PMID- 26537989
TI - Case report: anti-glomerular basement membrane antibody disease with normal renal
function.
AB - BACKGROUND: Anti-glomerular basement membrane (GBM) antibody disease is a rare
autoimmune disorder characterized by rapidly progressive glomerulonephritis
caused by autoantibodies against the alpha3-chain of type IV collagen in the GBM.
CASE PRESENTATION: An 8-year-old girl with hematuria and proteinuria due to anti
GBM nephritis was diagnosed with hematuria and proteinuria during a school urine
screening program. Her blood pressure and serum creatinine levels were normal.
Her hematuria and proteinuria persisted for several months. Since a spot urine
protein to creatinine ratio was around 7 g/g Cre, a percutaneous renal biopsy was
performed. Immunofluorescent staining demonstrated a linear pattern for
immunoglobulin G along the entire GBM. Chest computed tomography was normal. Anti
GBM antibody assays were reported as slightly raised; thus, the diagnosis was
anti-GBM disease with normal renal function. Treatment included plasma exchange,
intravenous high-dose methylprednisolone, and cyclophosphamide as a mainstay
medication. The treatment was rapidly effective with an immediate decrease in
anti-GBM titers and proteinuria. CONCLUSIONS: Cases of anti-GBM disease with
normal renal function in children are rare. Treatment in children has not been
established; therefore, clinicians need to carefully select an effective
treatment because the prognosis is poor.
PMID- 26537988
TI - Network meta-analysis incorporating randomized controlled trials and non
randomized comparative cohort studies for assessing the safety and effectiveness
of medical treatments: challenges and opportunities.
AB - Network meta-analysis is increasingly used to allow comparison of multiple
treatment alternatives simultaneously, some of which may not have been compared
directly in primary research studies. The majority of network meta-analyses
published to date have incorporated data from randomized controlled trials (RCTs)
only; however, inclusion of non-randomized studies may sometimes be considered.
Non-randomized studies can complement RCTs or address some of their limitations,
such as short follow-up time, small sample size, highly selected population, high
cost, and ethical restrictions. In this paper, we discuss the challenges and
opportunities of incorporating both RCTs and non-randomized comparative cohort
studies into network meta-analysis for assessing the safety and effectiveness of
medical treatments. Non-randomized studies with inadequate control of biases such
as confounding may threaten the validity of the entire network meta-analysis.
Therefore, identification and inclusion of non-randomized studies must balance
their strengths with their limitations. Inclusion of both RCTs and non-randomized
studies in network meta-analysis will likely increase in the future due to the
growing need to assess multiple treatments simultaneously, the availability of
higher quality non-randomized data and more valid methods, and the increased use
of progressive licensing and product listing agreements requiring collection of
data over the life cycle of medical products. Inappropriate inclusion of non
randomized studies could perpetuate the biases that are unknown, unmeasured, or
uncontrolled. However, thoughtful integration of randomized and non-randomized
studies may offer opportunities to provide more timely, comprehensive, and
generalizable evidence about the comparative safety and effectiveness of medical
treatments.
PMID- 26537990
TI - MiR-146a-5p suppresses activation and proliferation of hepatic stellate cells in
nonalcoholic fibrosing steatohepatitis through directly targeting Wnt1 and Wnt5a.
AB - Nonalcoholic fibrosing steatohepatitis is a uniform process throughout
nonalcoholic fatty liver disease (NAFLD). MicroRNAs (miRNAs) have been suggested
to modulate cellular processes in liver diseases. However, the functional role of
miRNAs in nonalcoholic fibrosing steatohepatitis is largely unclear. In this
study, we systematically analyzed the hepatic miRNAs by microarray analysis in
nonalcoholic fibrosing steatohepatitis in C57BL/6J mice induced by methionine
choline deficient (MCD) diet. We identified 19 up-regulated and 18 down-regulated
miRNAs in liver with fibrosis. Among these dysregulated miRNAs, miR-146a-5p was
the most significant down-regulated miRNA. Luciferase activity assay confirmed
that Wnt1 and Wnt5a were both the target genes of miR-146a-5p. Hepatic miR-146a
5p was down-regulated in fibrosing steatohepatitis, but its target genes Wnt1 and
Wnt5a and their consequent effectors alpha-SMA and Col-1 were significantly up
regulated. In addition, miR-146a-5p was downregulated, whilst Wnt1 and Wnt5a were
up-regulated in the activated primary hepatic stellate cells (HSCs) compared to
the quiescent primary HSCs. Overexpression of miR-146a-5p in HSCs inhibited HSC
activation and proliferation, which concomitant with the decreased expressions of
Wnt1, Wnt5a, alpha-SMA and Col-1. In conclusion, miR-146a-5p suppresses
activation and proliferation of HSCs in the progress of nonalcoholic fibrosing
steatohepatitis through targeting Wnt1 and Wnt5a and consequent effectors alpha
SMA and Col-1.
PMID- 26537991
TI - Capsular Outcomes Differ with Capsulorhexis Sizes after Pediatric Cataract
Surgery: A Randomized Controlled Trial.
AB - Capsular outcomes of anterior/posterior capsulorhexis opening (ACO/PCO) are
essential for performing a secondary in-the-bag intraocular lens implantation. To
compare the capsular outcomes with different primary capsulorhexis sizes, Thirty
eight eligible patients (45 eyes) were randomly assigned to three groups by
anterior capsulorhexis diameter (Group A: 3.0-3.9, Group B: 4.0-5.0, and Group C:
5.1-6.0 mm). The areas of ACO/PCO and posterior capsule opening opacity (PCOO) as
primary outcomes, while, the incidence of visual axis opacity (VAO) as secondary
outcome were measured at follow-up visits. Among the thirty eyes included in the
final analysis, the mean area of the ACO decreased significantly, whereas the PCO
enlarged with time. Group A had the highest anterior capsule constriction and
percentage reduction, which increased with time. There were significant
differences in the percentage reductions at 6 months and 1 year compared to 1
month in Group A and B. Group C had the highest posterior capsule enlargement.
The percentage of PCOO to PCO area and the incidence of VAO was highest in Group
A and lowest in Group C. Thus, Capsulorhexis diameter of 4.0-5.0 mm may yield
better capsular outcomes, considering moderate contraction of ACO, moderate
enlargement of PCO, and lower percentage of PCOO and VAO.
PMID- 26537992
TI - Synthesis of Migrastatin Analogues as Inhibitors of Tumour Cell Migration:
Exploring Structural Change in and on the Macrocyclic Ring.
AB - Invited for the cover of this issue are Paul V. Murphy and co-workers at the
National University of Ireland Galway (NUI Galway) and Warsaw University. The
image depicts MGSTA-6 giving a stop signal to tumour cells that are on the move.
Read the full text of the article at 10.1002/chem.201502861.
PMID- 26537994
TI - Strain-driven band inversion and topological aspects in Antimonene.
AB - Searching for the two-dimensional (2D) topological insulators (TIs) with large
bulk band gaps is the key to achieve room-temperature quantum spin Hall effect
(QSHE). Using first-principles calculations, we demonstrated that the recently
proposed antimonene [Zhang et al., Angew. Chem. Int. Ed. 54, 3112-3115 (2015)]
can be tuned to a 2D TI by reducing the buckling height of the lattice which can
be realized under tensile strain. The strain-driven band inversion in the
vicinity of the Fermi level is responsible for the quantum phase transition. The
buckled configuration of antimonene enables it to endure large tensile strain up
to 18% and the resulted bulk band gap can be as large as 270 meV. The tunable
bulk band gap makes antimonene a promising candidate material for achieving
quantum spin Hall effect (QSH) at high temperatures which meets the requirement
of future electronic devices with low power consumption.
PMID- 26537993
TI - Functional analysis of Paracoccidioides brasiliensis 14-3-3 adhesin expressed in
Saccharomyces cerevisiae.
AB - BACKGROUND: 14-3-3 proteins comprise a family of eukaryotic multifunctional
proteins involved in several cellular processes. The Pb14-3-3 of Paracoccidioides
brasiliensis seems to play an important role in the Paracoccidioides-host
interaction. Paracoccidioides brasiliensis is an etiological agent of
paracoccidioidomycosis, which is a systemic mycosis that is endemic in Latin
America. In the initial steps of the infection, Paracoccidioides spp. synthetizes
adhesins that allow it to adhere and invade host cells. Therefore, the aim of
this work was to perform a functional analysis of Pb14-3-3 using Saccharomyces
cerevisiae as a model. RESULTS: The functional analysis of Pb14-3-3 was performed
in S. cerevisiae, and it was found that Pb14-3-3 partially complemented S.
cerevisiae proteins Bmh1p and Bmh2p, which are recognized as two yeast 14-3-3
homologues. When we evaluated the adhesion profile of S. cerevisiae
transformants, Pb14-3-3 acted as an adhesin in S. cerevisiae; however, Bmh1p did
not show this function. The influence of Pb14-3-3 in S. cerevisiae ergosterol
pathway was also evaluated and our results showed that Pb14-3-3 up-regulates
genes involved in ergosterol biosynthesis. CONCLUSIONS: Our data showed that Pb14
3-3 was able to partially complement Bmh1p and Bmh2p proteins in S. cerevisiae;
however, we suggest that Pb14-3-3 has a differential role as an adhesin. In
addition, Pb-14-3-3 may be involved in Paracoccidioides spp. ergosterol
biosynthesis which makes it an interest as a therapeutic target.
PMID- 26537995
TI - Targeted therapies for treatment of non-small cell lung cancer--Recent advances
and future perspectives.
AB - Non-small cell lung cancer (NSCLC) is one of the most deadly cancers worldwide,
with poor prognosis once the disease has progressed past the point at which
surgery is a viable option. Whilst chemotherapy has improved survival over recent
decades, there is still great need for improvements in treatments for patients
with advanced disease. Over the last decade, a variety of such drugs have
received market approval for treating NSCLC, with a variety of others in the
pipeline. Here, we review the development of targeted therapies for the treatment
of advanced or metastatic NSCLC, including those already in clinical practice and
those in early trials. The epidermal growth factor receptor (EGFR) inhibitors,
gefitinib, erlotinib and afatinib; the anaplastic lymphoma kinase (ALK)
inhibitor, crizotinib; and the anti-vascular endothelial growth factor receptor
monoclonal antibody, bevacizumab, are already providing improved survival for
patients with NSCLC. Moreover, the discovery of EGFR mutations and ALK
rearrangements has enabled the identification of patients who are more likely to
benefit from a specific drug. The recent approval of the immune checkpoint
inhibitor nivolumab, along with the designation of alectinib and MPDL3280A as
breakthrough therapies by the FDA, demonstrates how rapidly this area of research
is expanding. Over the last decade there has been significant progress made in
the treatment of advanced NSCLC, and the large and varied selection of drugs
currently undergoing trials provide great promise for improving the prognosis of
this highly prevalent and deadly form of cancer.
PMID- 26537996
TI - Epidemiology of Traumatic Brain Injury in Europe: A Living Systematic Review.
AB - This systematic review provides a comprehensive, up-to-date summary of traumatic
brain injury (TBI) epidemiology in Europe, describing incidence, mortality, age,
and sex distribution, plus severity, mechanism of injury, and time trends.
PubMed, CINAHL, EMBASE, and Web of Science were searched in January 2015 for
observational, descriptive, English language studies reporting incidence,
mortality, or case fatality of TBI in Europe. There were no limitations according
to date, age, or TBI severity. Methodological quality was assessed using the
Methodological Evaluation of Observational Research checklist. Data were
presented narratively. Sixty-six studies were included in the review. Country
level data were provided in 22 studies, regional population or treatment center
catchment area data were reported by 44 studies. Crude incidence rates varied
widely. For all ages and TBI severities, crude incidence rates ranged from 47.3
per 100,000, to 694 per 100,000 population per year (country-level studies) and
83.3 per 100,000, to 849 per 100,000 population per year (regional-level
studies). Crude mortality rates ranged from 9 to 28.10 per 100,000 population per
year (country-level studies), and 3.3 to 24.4 per 100,000 population per year
(regional-level studies.) The most common mechanisms of injury were traffic
accidents and falls. Over time, the contribution of traffic accidents to total
TBI events may be reducing. Case ascertainment and definitions of TBI are
variable. Improved standardization would enable more accurate comparisons.
PMID- 26537997
TI - Gambling and gambling policy in Norway--an exceptional case.
AB - AIMS: The aim of this paper is to provide an overview of the development and
current status of gambling and gambling policy in Norway. METHODS: An overview of
the research literature and official documents and websites. RESULTS: Gambling on
electronic gaming machines (EGMs) increased dramatically in the 1990s in response
to technological development and liberalization of gambling policy. Restrictions
on availability of EGM gambling occurred from 2006 to 2009 and included a ban on
note acceptors, a temporary ban on EGMs and re-introduction of fewer and less
aggressive machines under a state monopoly. The restrictions led to significant
decreases in total gambling turnover, and several studies suggest that they led
to fewer gambling and gambling problems. Various factors may explain why the
restrictions were politically feasible. These include media coverage of gambling
concerns and economic compensation for revenue losses under the monopoly.
CONCLUSIONS: In an international context of deregulation of gambling markets, the
Norwegian policy restrictions on gambling availability have represented an
exceptional case and provide a rare opportunity to explore the outcomes of such
regulations. Overall, studies suggest that the policy restrictions have led to
reductions in gambling expenditures and problem gambling.
PMID- 26537998
TI - Associations of workplace bullying and harassment with stress reactions: a two
year follow-up study.
AB - The purpose of this prospective study was to investigate the effect of the
patterning of workplace bullying and harassment over two time points (chronic,
remission, onset, and never) on psychological and physical stress reactions. The
subjects were 543 workers at welfare facilities for the elderly in Japan who
completed a self-administered questionnaire at Time 1 (from August to September,
2009) and at Time 2 (from September to October, 2011). Workplace bullying and
harassment were assessed using the Negative Acts Questionnaire (NAQ). Stress
reactions were assessed using the Brief Job Stress Questionnaire. In the multiple
logistic regression analyses, onset of person-related bullying was significantly
(p<0.05) positively associated with both psychological and physical stress
reactions at Time 2. Chronic form of person-related bullying was significantly
(p<0.05) positively associated with psychological stress reaction at Time 2.
Onset of sexual harassment was significantly (p<0.05) positively, and remission
of sexual harassment was significantly (p<0.05) negatively associated with
physical stress reaction at Time 2. Onset and chronic form of person-related
bullying and onset of sexual harassment can cause stress reactions. Remission of
sexual harassment can terminate physical stress reaction.
PMID- 26537999
TI - Job demands and resting and napping opportunities for nurses during night shifts:
impact on sleepiness and self-evaluated quality of healthcare.
AB - The aim of this field study is to describe night shift resting and napping
strategies and to examine their beneficial effects on sleepiness and quality of
work. The study was carried out with 16 nurses working in an intensive care unit.
Data collected during 20 night shifts were related to job demands (systematic
observations), to the duration and timing of rests and naps taken by nurses
(systematic observations, sleep diaries), to sleepiness (Karolinska Sleepiness
Scale), and to quality of work scores (visual analog scale). The results showed
that the number of rests and naps depended on the job demands. Resting and
napping lowered the levels of sleepiness at the end of the shift. There was no
direct relationship between sleepiness and the quality of work score. Discussions
about the choice of indicators for the quality of work are necessary. Suggestions
for implementing regulations for prescribed napping during night shifts are
presented.
PMID- 26538000
TI - Amines as occupational hazards for visual disturbance.
AB - Various amines, such as triethylamine and N,N-dimethylethylamine, have been
reported to cause glaucopsia in workers employed in epoxy, foundry, and
polyurethane foam industries. This symptom has been related to corneal edema and
vesicular collection of fluid within the corneal subepithelial cells. Exposure to
amine vapors for 30 min to several hours leads to blurring of vision, a blue-grey
appearance of objects, and halos around lights, that are probably reversible.
Concentration-effect relationships have been established. The visual disturbance
is considered a nuisance, as it could cause onsite accidents, impair work
efficiency, and create difficulties in driving back home. Occupational exposure
limits have been established for some amines, but there is shortage of criteria.
Volatility factors, such as vapor pressure, should be considered in industrial
settings to prevent human ocular risks, while trying to reduce levels of
hazardous amines in the atmosphere.
PMID- 26538001
TI - The education and practice program for medical students with quantitative and
qualitative fit test for respiratory protective equipment.
AB - Tuberculosis infection is prevalent in Korea and health care workers are
vulnerable to tuberculosis infection in the hospital. The aims of this study were
to develop and validate an education program that teaches senior medical students
how to wear and choose the proper size and type of respiratory protective
equipment (RPE), which may help reduce the risk of contracting Mycobacterium
tuberculosis (MTB) from patients. Overall, 50 senior medical students
participated in this education program. Methods of choosing the proper type of
RPE, performing a fit check of the RPE, and choosing a suitable mask size were
taught by certified instructors using the real-time quantitative fit test (QNFT).
The validity of education program was evaluated with qualitative fit test (QLFT)
before and after the education as pass or fail. The education program was
effective, as shown by the significantly pass rate (increased 30 to 74%) in the
QLFT after the education program (p<0.05). Among study participants, changing
mask size from medium to small significantly increased the pass rate (p<0.001).
Incorporation of this program into the medical school curriculum may help reduce
risk of MTB infection in medical students working in the hospital.
PMID- 26538002
TI - Work-home interface stress: an important predictor of emotional exhaustion 15
years into a medical career.
AB - The importance of work-home interface stress can vary throughout a medical career
and between genders. We studied changes in work-home interface stress over 5 yr,
and their prediction of emotional exhaustion (main dimension of burn-out),
controlled for other variables. A nationwide doctor cohort (NORDOC; n=293)
completed questionnaires at 10 and 15 yr after graduation. Changes over the
period were examined and predictors of emotional exhaustion analyzed using linear
regression. Levels of work-home interface stress declined, whereas emotional
exhaustion stayed on the same level. Lack of reduction in work-home interface
stress was an independent predictor of emotional exhaustion in year 15 (beta=
0.21, p=0.001). Additional independent predictors were reduction in support from
colleagues (beta=0.11, p=0.04) and emotional exhaustion at baseline (beta=0.62,
p<0.001). Collegial support was a more important predictor for men than for
women. In separate analyses, significant adjusted predictors were lack of
reduction in work-home interface stress among women, and reduction of collegial
support and lack of reduction in working hours among men. Thus, change in work
home interface stress is a key independent predictor of emotional exhaustion
among doctors 15 yr after graduation. Some gender differences in predictors of
emotional exhaustion were found.
PMID- 26538003
TI - Different gene expressions between cattle and yak provide insights into high
altitude adaptation.
AB - DNA sequence variation has been widely reported as the genetic basis for
adaptation, in both humans and other animals, to the hypoxic environment
experienced at high altitudes. However, little is known about the patterns of
gene expression underlying such hypoxic adaptations. In this study, we examined
the differences in the transcriptomes of four organs (heart, kidney, liver and
lung) between yak and cattle, a pair of closely related species distributed at
high and low altitudes respectively. Of the four organs examined, heart shows the
greatest differentiation between the two species in terms of gene expression
profiles. Detailed analyses demonstrated that some genes associated with the
oxygen supply system and the defense systems that respond to threats of hypoxia
are differentially expressed. In addition, genes with significantly
differentiated patterns of expression in all organs exhibited an unexpected
uniformity of regulation along with an elevated frequency of nonsynonymous
substitutions. This co-evolution of protein sequences and gene expression
patterns is likely to be correlated with the optimization of the yak metabolic
system to resist hypoxia.
PMID- 26538004
TI - Guidelines for the investigation and management of nodular lymphocyte predominant
Hodgkin lymphoma.
PMID- 26538006
TI - Remote control of self-assembled microswimmers.
AB - Physics governing the locomotion of microorganisms and other microsystems is
dominated by viscous damping. An effective swimming strategy involves the non
reciprocal and periodic deformations of the considered body. Here, we show that a
magnetocapillary-driven self-assembly, composed of three soft ferromagnetic
beads, is able to swim along a liquid-air interface when powered by an external
magnetic field. More importantly, we demonstrate that trajectories can be fully
controlled, opening ways to explore low Reynolds number swimming. This
magnetocapillary system spontaneously forms by self-assembly, allowing
miniaturization and other possible applications such as cargo transport or
solvent flows.
PMID- 26538007
TI - The 10m incremental shuttle walk test is a highly reliable field exercise test
for patients referred to cardiac rehabilitation: a retest reliability study.
AB - OBJECTIVES: To determine the retest reliability of the 10m incremental shuttle
walk test (ISWT) in a mixed cardiac rehabilitation population. DESIGN:
Participants completed two 10m ISWTs in a single session in a repeated measures
study. Ten participants completed a third 10m ISWT as part of a pilot study.
SETTING: Hospital physiotherapy department. PARTICIPANTS: 62 adults aged a mean
of 68 years (SD 10) referred to a cardiac rehabilitation program. MAIN OUTCOME
MEASURES: Retest reliability of the 10m ISWT expressed as relative reliability
and measurement error. Relative reliability was expressed in a ratio in the form
of an intraclass correlation coefficient (ICC) and measurement error in the form
of the standard error of measurement (SEM) and 95% confidence intervals for the
group and individual. RESULTS: There was a high level of relative reliability
over the two walks with an ICC of .99. The SEMagreement was 17m, and a change of
at least 23m for the group and 54m for the individual would be required to be 95%
confident of exceeding measurement error. CONCLUSIONS: The 10m ISWT demonstrated
good retest reliability and is sufficiently reliable to be applied in practice in
this population without the use of a practice test.
PMID- 26538008
TI - Stable ultrathin partially oxidized copper film electrode for highly efficient
flexible solar cells.
AB - Advances in flexible optoelectronic devices have led to an increasing need for
developing highly efficient, low-cost, flexible transparent conducting
electrodes. Copper-based electrodes have been unattainable due to the relatively
low optical transmission and poor oxidation resistance of copper. Here, we report
the synthesis of a completely continuous, smooth copper ultra-thin film via
limited copper oxidation with a trace amount of oxygen. The weakly oxidized
copper thin film sandwiched between zinc oxide films exhibits good optoelectrical
performance (an average transmittance of 83% over the visible spectral range of
400-800 nm and a sheet resistance of 9 Omega sq(-1)) and strong oxidation
resistance. These values surpass those previously reported for copper-based
electrodes; further, the record power conversion efficiency of 7.5% makes it
clear that the use of an oxidized copper-based transparent electrode on a polymer
substrate can provide an effective solution for the fabrication of flexible
organic solar cells.
PMID- 26538009
TI - Factors associated with outcome and gradual improvement in survival over time in
1065 equine neonates admitted to an intensive care unit.
AB - REASONS FOR PERFORMING STUDY: There is a paucity of information regarding the
association between common disorders and outcome over time in a large population
of ill equine neonates. OBJECTIVES: To describe the relative frequency of
neonatal disorders in a large population of foals admitted to a neonatal
intensive care unit, to determine the disorders and factors associated with
nonsurvival and determine if the outcome of ill neonatal foals has improved over
time. STUDY DESIGN: Retrospective study. METHODS: Cases were selected from equine
neonatal (<=14 days of age) admissions between 1982 and 2008. Multivariable
logistic regression was used to identify the disorders, clinical parameters and
laboratory variables associated with nonsurvival or natural death and assess
survival over time after accounting for potential confounding variables. RESULTS:
A total of 1065 foals were included in the study. Overall, 775 of 1065 (72.8%)
foals survived to be discharged from the hospital and 290 (27.2%) foals were
nonsurvivors. Age at admission, sepsis score, proportion of foals with positive
blood cultures and proportion of survivors were significantly different (P<0.001)
between primary disease categories. Variables retained in the multivariable model
for nonsurvival included positive blood culture, neutrophils <2.28 * 109 /l,
temperature <=37.6 degrees C, bicarbonate, PCO2 , presence of infectious
orthopaedic disorders and sepsis score. The adjusted odds of survival for foals
admitted in the 2000s were approximately 3.4 (95% CI = 1.9-6.0, P<0.001) times
higher than that of foals admitted in the 1980s. CONCLUSIONS: Primary disorders,
sepsis, temperature, acid base status and neutropenia are the main factors that
affect outcome in this population of equine neonates. The survival of foals
admitted to a neonatal intensive care unit has increased dramatically over a 26
year period.
PMID- 26538010
TI - Categorization in infancy: labeling induces a persisting focus on commonalities.
AB - Recent studies with infants and adults demonstrate a facilitative role of labels
in object categorization. A common interpretation is that labels highlight
commonalities between objects. However, direct evidence for such a mechanism is
lacking. Using a novel object category with spatially separate features that are
either of low or high variability across the stimulus set, we tracked 12-month
olds' attention to object features during learning and at test. Learning occurred
in both conditions, but what was learned depended on whether or not labels were
heard. A detailed analysis of eye movements revealed that infants in the two
conditions employed different object processing strategies. In the silent
condition, looking patterns were governed exclusively by the variability of
object parts. In the label condition, infants' categorization performance was
linked to their relative attention to commonalities. Moreover, the commonality
focus persisted after learning even in the absence of labels. These findings
constitute the first experimental evidence that labels induce a persistent focus
on commonalities.
PMID- 26538011
TI - Personality prototype as a risk factor for eating disorders.
AB - OBJECTIVE: To establish whether the risk of suffering from an eating disorder
(ED) is associated with the high-functioning, undercontrolled, or overcontrolled
personality prototype groups. METHOD: The Revised NEO Personality Inventory (NEO
PI-R) and the Eating Disorder Inventory 2 (EDI-2) were administered to 69
patients diagnosed as suffering from EDs (cases) and 89 people free of any ED
symptoms (control group). A cluster analysis was carried out to divide the
participants into three groups based on their scores in the Big Five personality
dimensions. A logistic regression model was then created. RESULTS: Participants
in the undercontrolled group had a risk of suffering from an ED 6.517 times
higher than those in the high-functioning group (p = 0.019; odds ratio [OR] =
6.517), while those in the overcontrolled subgroup had a risk of ED 15.972 times
higher than those in the high-functioning group. CONCLUSIONS: Two personality
subtypes were identified in which the risk of EDs was six times higher (the
undercontrolled group) and almost 16 times higher (the overcontrolled group).
Prevention and treatment programs for ED could benefit from focusing on the
abovementioned personality profiles.
PMID- 26538013
TI - Secular trends of the impact of overweight and obesity on hypertension in Japan,
1980-2010.
PMID- 26538012
TI - Regulation of urinary bladder function by protein kinase C in physiology and
pathophysiology.
AB - BACKGROUND: Protein kinase C (PKC) is expressed in many tissues and organs
including the urinary bladder, however, its role in bladder physiology and
pathophysiology is still evolving. The aim of this review was to evaluate
available evidence on the involvement of PKC in regulation of detrusor
contractility, muscle tone of the bladder wall, spontaneous contractile activity
and bladder function under physiological and pathophysiological conditions.
METHODS: This is a non-systematic review of the published literature which
summarizes the available animal and human data on the role of PKC signaling in
the urinary bladder under different physiological and pathophysiological
conditions. A wide PubMed search was performed including the combination of the
following keywords: "urinary bladder", "PKC", "detrusor contractility", "bladder
smooth muscle", "detrusor relaxation", "peak force", "detrusor underactivity",
"partial bladder outlet obstruction", "voltage-gated channels", "bladder nerves",
"PKC inhibitors", "PKC activators". Retrieved articles were individually screened
for the relevance to the topic of this review with 91 citations being selected
and included in the data analysis. DISCUSSION: Urinary bladder function includes
the ability to store urine at low intravesical pressure followed by a subsequent
release of bladder contents due to a rapid phasic contraction that is maintained
long enough to ensure complete emptying. This review summarizes the current
concepts regarding the potential contribution of PKC to contractility,
physiological voiding, and related signaling mechanisms involved in the control
of both the storage and emptying phases of the micturition cycle, and in
dysfunctional voiding. Previous studies linked PKC activation exclusively with an
increase in generation of the peak force of smooth muscle contraction, and
maximum force generation in the lower urinary tract. More recent data suggests
that PKC presents a broader range of effects on urinary bladder function
including regulation of storage, emptying, excitability of the detrusor, and
bladder innervation. In this review, we evaluated the mechanisms of peripheral
and local regulation of PKC signaling in the urinary bladder, and their impact on
different phases of the micturition cycle under physiological and
pathophysiological conditions.
PMID- 26538016
TI - The role of life histories and trophic interactions in population recovery.
AB - Factors affecting population recovery from depletion are at the focus of wildlife
management. Particularly, it has been debated how life-history characteristics
might affect population recovery ability and productivity. Many exploited fish
stocks have shown temporal changes towards earlier maturation and reduced adult
body size, potentially owing to evolutionary responses to fishing. Whereas such
life-history changes have been widely documented, their potential role on stock's
ability to recover from exploitation often remains ignored by traditional
fisheries management. We used a marine ecosystem model parameterized for
Southeastern Australian ecosystem to explore how changes towards "faster" life
histories might affect population per capita growth rate r. We show that for most
species changes towards earlier maturation during fishing have a negative effect
(3-40% decrease) on r during the recovery phase. Faster juvenile growth and
earlier maturation were beneficial early in life, but smaller adult body sizes
reduced the lifetime reproductive output and increased adult natural mortality.
However, both at intra- and inter-specific level natural mortality and trophic
position of the species were as important in determining r as species longevity
and age of maturation, suggesting that r cannot be predicted from life-history
traits alone. Our study highlights that factors affecting population recovery
ability and productivity should be explored in a multi-species context, where
both age-specific fecundity and survival schedules are addressed simultaneously.
It also suggests that contemporary life-history changes in harvested species are
unlikely to increase their resilience and recovery ability.
PMID- 26538017
TI - In search for symmetries in the metabolism of cancer.
AB - Even though aerobic glycolysis, or the Warburg effect, is arguably the most
common trait of metabolic reprogramming in cancer, it is unobserved in certain
tumor types. Systems biology advocates a global view on metabolism to dissect
which traits are consistently reprogrammed in cancer, and hence likely to
constitute an obligate step for the evolution of cancer cells. We refer to such
traits as symmetric. Here, we review early systems biology studies that attempted
to reveal symmetric traits in the metabolic reprogramming of cancer, discuss the
symmetry of reprogramming of nucleotide metabolism, and outline the current
limitations that, if unlocked, could elucidate whether symmetries in cancer
metabolism may be claimed.
PMID- 26538018
TI - Sensitization to reactive diluents and hardeners in epoxy resin systems. IVDK
data 2002-2011. Part I: reaction frequencies.
AB - BACKGROUND: Epoxy resin systems (ERSs), consisting of resins, reactive diluents,
and hardeners, are indispensable in many branches of industry. In order to
develop less sensitizing ERS formulations, knowledge of the sensitizing
properties of single components is mandatory. OBJECTIVES: To analyse the
frequency of sensitization in the patients concerned, as one integral part of a
research project on the sensitizing potency of epoxy resin compounds (FP-0324).
METHODS: A retrospective analysis of data from the Information Network of
Departments of Dermatology (IVDK), 2002-2011, and a comparison of reaction
frequencies with (surrogate) exposure data, were performed. RESULTS: Almost half
of the patients sensitized to epoxy resin were additionally sensitized to
reactive diluents or hardeners. Among the reactive diluents, 1,6-hexanediol
diglycidyl ether was the most frequent allergen, followed by 1,4-butanediol
diglycidyl ether, phenyl glycidyl ether, and p-tert-butylphenyl glycidyl ether.
Among the hardeners, m-xylylene diamine (MXDA) and isophorone diamine (IPDA) were
the most frequent allergens. According to the calculated exposure-related
frequency of sensitization, MXDA seems to be a far more important sensitizer than
IPDA. Up to 60% of the patients sensitized to hardeners and 15-20% of those
sensitized to reactive diluents do not react to epoxy resin. CONCLUSIONS: In
cases of suspected contact allergy to an ERS, a complete epoxy resin series must
be patch tested from the start.
PMID- 26538019
TI - Elaboration of a nomogram to predict non sentinel node status in breast cancer
patients with positive sentinel node, intra-operatively assessed with one step
nucleic acid amplification method.
AB - BACKGROUNDS: Tumor-positive sentinel node(SLN) biopsy results in a risk of
nonsentinel node metastases in case of micro and macro metastases ranging from 20
to 50 %, respectively. Therefore, most patients underwent unnecessary axillary
lymph node dissections. Thus, the development of a mathematical model for
predicting patient-specific risk of non sentinel node(NSLN) metastases is
strongly warranted. METHODS: The following parameters were recorded: CLINICAL:
hospital, age, medical record number Bio-pathological: tumor (T) size, grading
(G), multifocality, histological type, LVI, ER-PR status, HER-2, ki67, molecular
classification (luminal A, luminal B, HER2 like, triple negative) Sentinel and
nonsentinel lymph node related: number of removed SLNs, number of positive and
negative SLNs, copy number of positive sentinel nodes, ratio: number of positive
SLNs to number of removed SLNs, number of removed and number of positive nodes
after ALND. A total of 2460 patients have been included in the database. All the
patients have been provided by the authors of this paper. RESULTS: Multivariate
logistic regression analysis demonstrated that only the number of a CK19 mRNA
copies (p < 0.0001), T size (p < 0.0001) and LVI (p < 0.0001) were associated
with NSN metastases. The discrimination of the model, quantified with the area
under the receiver operating characteristics curve, was 0.71 (95 %, C.I. 0.69
0.73), thus confirming a good level of reliability. CONCLUSIONS: The nomogram may
be employed by the surgeon as a decision making tool on whether to perform an
intraoperative axillary lymph node dissection on breast cancer patients with SLN
positive. The large population employed and the standardized method of measuring
the value of CK19 mRNA copies are appropiate prerequisites for a reliable
nomogram.
PMID- 26538020
TI - Erratum.
PMID- 26538021
TI - Sex-Linked Skeletal Phenotype of Lysyl Oxidase Like-1 Mutant Mice.
AB - Lysyl oxidases are required for collagen and elastin cross-linking and
extracellular matrix maturation including in bone. The lysyl oxidase family
consists of lysyl oxidase (LOX) and 4 isoforms (LOXL1-4). Here we investigate
whether deletion of LOXL1, which has been linked primarily to elastin maturation,
leads to skeletal abnormalities. Left femurs (n = 8), L5 vertebrae (n = 8), and
tibiae (n = 8) were analyzed by micro-computed tomography in 13-week-old wild
type (WT) and LOXL1-/- male and female mice. Right femurs (n = 8) were subjected
to immunohistochemistry for LOXL1, and histochemical/histology analyses of
osteoclasts and growth plates. Sera from all mice were analyzed for bone turnover
markers. Results indicate strong expression of LOXL1 in wild-type growth plates
in femurs. Significant deterioration of trabecular bone structure in long bones
and vertebrae from female was observed but not from male, mutant mice compared
with WT. Decreases in BV/TV, Conn.D, trabecular thickness, and number in the
femoral distal metaphysis were observed in female, but not in male, mutant mice.
Trabecular spacing was increased significantly in femurs of female mutant mice.
Findings were similar in trabeculae of L5 vertebrae from female mutant mice. The
number of TRAP positive osteoclasts at the trabecular bone surface was increased
in female mutant mice compared with WT females, consistent with increased serum
RANKL and decreased OPG levels. Analysis of bone turnover markers confirmed
increased bone resorption as indicated by significantly elevated CTX-1 in the
serum of female LOXL1-/- mice compared to their wild-type counterparts, as well
as decreased bone formation as measured by decreased serum levels of PINP.
Picrosirius red staining revealed a loss of heterogeneity in collagen
organization in female LOXL1-/- mice only, with little to no yellow and orange
birefringence. Organization was also impaired in chondrocyte columns in both
female and male LOXL1-/- mice, but to a greater extent in females. Data indicate
that LOXL1-/- mutant mice develop appendicular and axial skeletal phenotypes
characterized by decreased bone volume fraction and compromised trabecular
microstructure, predominantly in females.
PMID- 26538022
TI - A complex of Rab13 with MICAL-L2 and alpha-actinin-4 is essential for insulin
dependent GLUT4 exocytosis.
AB - Insulin promotes glucose uptake into skeletal muscle through recruitment of
glucose transporter 4 (GLUT4) to the plasma membrane. Rab GTPases are molecular
switches mobilizing intracellular vesicles, and Rab13 is necessary for insulin
regulated GLUT4-vesicle exocytic translocation in muscle cells. We show that
Rab13 engages the scaffold protein MICAL-L2 in this process. RNA interference
mediated knockdown of MICAL-L2 or truncated MICAL-L2 (MICAL-L2-CT) impaired
insulin-stimulated GLUT4 translocation. Insulin increased Rab13 binding to MICAL
L2, assessed by pull down and colocalization under confocal fluorescence and
structured illumination microscopies. Association was also visualized at the cell
periphery using TIRF microscopy. Insulin further increased binding of MICAL-L2 to
alpha-actinin-4 (ACTN4), a protein involved in GLUT4 translocation. Rab13, MICAL
L2, and ACTN4 formed an insulin-dependent complex assessed by pull down and
confocal fluorescence imaging. Of note, GLUT4 associated with the complex in
response to insulin, requiring the ACTN4-binding domain in MICAL-L2. This was
demonstrated by pull down with distinct fragments of MICAL-L2 and confocal and
structured illumination microscopies. Finally, expression of MICAL-L2-CT
abrogated the insulin-dependent colocalization of Rab13 with ACTN4 or Rab13 with
GLUT4. Our findings suggest that MICAL-L2 is an effector of insulin-activated
Rab13, which links to GLUT4 through ACTN4, localizing GLUT4 vesicles at the
muscle cell periphery to enable their fusion with the membrane.
PMID- 26538023
TI - Mena-GRASP65 interaction couples actin polymerization to Golgi ribbon linking.
AB - In mammalian cells, the Golgi reassembly stacking protein 65 (GRASP65) has been
implicated in both Golgi stacking and ribbon linking by forming trans-oligomers
through the N-terminal GRASP domain. Because the GRASP domain is globular and
relatively small, but the gaps between stacks are large and heterogeneous, it
remains puzzling how GRASP65 physically links Golgi stacks into a ribbon. To
explore the possibility that other proteins may help GRASP65 in ribbon linking,
we used biochemical methods and identified the actin elongation factor Mena as a
novel GRASP65-binding protein. Mena is recruited onto the Golgi membranes through
interaction with GRASP65. Depleting Mena or disrupting actin polymerization
resulted in Golgi fragmentation. In cells, Mena and actin were required for Golgi
ribbon formation after nocodazole washout; in vitro, Mena and microfilaments
enhanced GRASP65 oligomerization and Golgi membrane fusion. Thus Mena interacts
with GRASP65 to promote local actin polymerization, which facilitates Golgi
ribbon linking.
PMID- 26538024
TI - ChromoShake: a chromosome dynamics simulator reveals that chromatin loops stiffen
centromeric chromatin.
AB - ChromoShake is a three-dimensional simulator designed to find the
thermodynamically favored states for given chromosome geometries. The simulator
has been applied to a geometric model based on experimentally determined
positions and fluctuations of DNA and the distribution of cohesin and condensin
in the budding yeast centromere. Simulations of chromatin in differing initial
configurations reveal novel principles for understanding the structure and
function of a eukaryotic centromere. The entropic position of DNA loops mirrors
their experimental position, consistent with their radial displacement from the
spindle axis. The barrel-like distribution of cohesin complexes surrounding the
central spindle in metaphase is a consequence of the size of the DNA loops within
the pericentromere to which cohesin is bound. Linkage between DNA loops of
different centromeres is requisite to recapitulate experimentally determined
correlations in DNA motion. The consequences of radial loops and cohesin and
condensin binding are to stiffen the DNA along the spindle axis, imparting an
active function to the centromere in mitosis.
PMID- 26538025
TI - Ccdc11 is a novel centriolar satellite protein essential for ciliogenesis and
establishment of left-right asymmetry.
AB - The establishment of left-right (L-R) asymmetry in vertebrates is dependent on
the sensory and motile functions of cilia during embryogenesis. Mutations in
CCDC11 disrupt L-R asymmetry and cause congenital heart disease in humans, yet
the molecular and cellular functions of the protein remain unknown. Here we
demonstrate that Ccdc11 is a novel component of centriolar satellites-cytoplasmic
granules that serve as recruitment sites for proteins destined for the centrosome
and cilium. Ccdc11 interacts with core components of satellites, and its loss
disrupts the subcellular organization of satellite proteins and perturbs primary
cilium assembly. Ccdc11 colocalizes with satellite proteins in human
multiciliated tracheal epithelia, and its loss inhibits motile ciliogenesis.
Similarly, depletion of CCDC11 in Xenopus embryos causes defective assembly and
motility of cilia in multiciliated epidermal cells. To determine the role of
CCDC11 during vertebrate development, we generated mutant alleles in zebrafish.
Loss of CCDC11 leads to defective ciliogenesis in the pronephros and within the
Kupffer's vesicle and results in aberrant L-R axis determination. Our results
highlight a critical role for Ccdc11 in the assembly and function of motile cilia
and implicate centriolar satellite-associated proteins as a new class of proteins
in the pathology of L-R patterning and congenital heart disease.
PMID- 26538026
TI - Cell cycle Start is coupled to entry into the yeast metabolic cycle across
diverse strains and growth rates.
AB - Cells have evolved oscillators with different frequencies to coordinate periodic
processes. Here we studied the interaction of two oscillators, the cell division
cycle (CDC) and the yeast metabolic cycle (YMC), in budding yeast. Previous work
suggested that the CDC and YMC interact to separate high oxygen consumption (HOC)
from DNA replication to prevent genetic damage. To test this hypothesis, we grew
diverse strains in chemostat and measured DNA replication and oxygen consumption
with high temporal resolution at different growth rates. Our data showed that HOC
is not strictly separated from DNA replication; rather, cell cycle Start is
coupled with the initiation of HOC and catabolism of storage carbohydrates. The
logic of this YMC-CDC coupling may be to ensure that DNA replication and cell
division occur only when sufficient cellular energy reserves have accumulated.
Our results also uncovered a quantitative relationship between CDC period and YMC
period across different strains. More generally, our approach shows how studies
in genetically diverse strains efficiently identify robust phenotypes and steer
the experimentalist away from strain-specific idiosyncrasies.
PMID- 26538027
TI - Dissecting in vivo steady-state dynamics of karyopherin-dependent nuclear
transport.
AB - Karyopherin-dependent molecular transport through the nuclear pore complex is
maintained by constant recycling pathways of karyopherins coupled with the Ran
dependent cargo catch-and-release mechanism. Although many studies have revealed
the bidirectional dynamics of karyopherins, the entire kinetics of the steady
state dynamics of karyopherin and cargo is still not fully understood. In this
study, we used fluorescence recovery after photobleaching and fluorescence loss
in photobleaching on live cells to provide convincing in vivo proof that
karyopherin-mediated nucleocytoplasmic transport of cargoes is bidirectional.
Continuous photobleaching of the cytoplasm of live cells expressing NLS cargoes
led to progressive decrease of nuclear fluorescence signals. In addition,
experimentally obtained kinetic parameters of karyopherin complexes were used to
establish a kinetic model to explain the entire cargo import and export transport
cycles facilitated by importin beta. The results strongly indicate that constant
shuttling of karyopherins, either free or bound to cargo, ensures proper
balancing of nucleocytoplasmic distribution of cargoes and establishes effective
regulation of cargo dynamics by RanGTP.
PMID- 26538028
TI - Auxilin facilitates membrane traffic in the early secretory pathway.
AB - Coat protein complexes contain an inner shell that sorts cargo and an outer shell
that helps deform the membrane to give the vesicle its shape. There are three
major types of coated vesicles in the cell: COPII, COPI, and clathrin. The COPII
coat complex facilitates vesicle budding from the endoplasmic reticulum (ER),
while the COPI coat complex performs an analogous function in the Golgi. Clathrin
coated vesicles mediate traffic from the cell surface and between the trans-Golgi
and endosome. While the assembly and structure of these coat complexes has been
extensively studied, the disassembly of COPII and COPI coats from membranes is
less well understood. We describe a proteomic and genetic approach that connects
the J-domain chaperone auxilin, which uncoats clathrin-coated vesicles, to COPII
and COPI coat complexes. Consistent with a functional role for auxilin in the
early secretory pathway, auxilin binds to COPII and COPI coat subunits.
Furthermore, ER-Golgi and intra-Golgi traffic is delayed at 15 degrees C in
swa2Delta mutant cells, which lack auxilin. In the case of COPII vesicles, we
link this delay to a defect in vesicle fusion. We propose that auxilin acts as a
chaperone and/or uncoating factor for transport vesicles that act in the early
secretory pathway.
PMID- 26538029
TI - Mcl-1 involvement in mitochondrial dynamics is associated with apoptotic cell
death.
AB - The B-cell lymphoma-2 (Bcl-2) family proteins are critical regulators of
apoptosis and consist of both proapoptotic and antiapoptotic factors. Within this
family, the myeloid cell leukemia factor 1 (Mcl-1) protein exists in two forms as
the result of alternative splicing. The long variant (Mcl-1L) acts as an
antiapoptotic factor, whereas the short isoform (Mcl-1S) displays proapoptotic
activity. In this study, using splice-switching antisense oligonucleotides
(ASOs), we increased the synthesis of Mcl-1S, which induced a concurrent
reduction of Mcl-1L, resulting in increased sensitivity of cancer cells to
apoptotic stimuli. The Mcl-1 ASOs also induced mitochondrial hyperpolarization
and a consequent increase in mitochondrial calcium (Ca(2+)) accumulation. The
high Mcl-1S/L ratio correlated with significant hyperfusion of the entire
mitochondrial network, which occurred in a dynamin-related protein (Drp1)
dependent manner. Our data indicate that the balance between the long and short
variants of the Mcl-1 gene represents a key aspect of the regulation of
mitochondrial physiology. We propose that the Mcl-1L/S balance is a novel
regulatory factor controlling the mitochondrial fusion and fission machinery.
PMID- 26538031
TI - Pyrene-Anderson-Modified CNTs as Anode Materials for Lithium-Ion Batteries.
AB - An organo-functionalized polyoxometalate (POM)-pyrene hybrid (Py-Anderson) has
been used for noncovalent functionalization of carbon nanotubes (CNTs) to give a
Py-Anderson-CNT nanocomposite through pi-pi interactions. The as-synthesized
nanocomposite was used as the anode material for lithium-ion batteries, and shows
higher discharge capacities and better rate capacity and cycling stability than
the individual components. When the current density was 0.5 mA cm(-2), the
nanocomposite exhibited an initial discharge capacity of 1898.5 mA h g(-1) and a
high discharge capacity of 665.3 mA h g(-1) for up to 100 cycles. AC impedance
spectroscopy provides insight into the electrochemical properties and the charge
transfer mechanism of the Py-Anderson-CNTs electrode.
PMID- 26538030
TI - Antituberculosis drug resistance patterns in adults with tuberculous meningitis:
results of haydarpasa-iv study.
AB - BACKGROUND: Tuberculous meningitis (TBM) caused by Mycobacterium tuberculosis
resistant to antituberculosis drugs is an increasingly common clinical problem.
This study aimed to evaluate drug resistance profiles of TBM isolates in adult
patients in nine European countries involving 32 centers to provide insight into
the empiric treatment of TBM. METHODS: Mycobacterium tuberculosis was cultured
from the cerebrospinal fluid (CSF) of 142 patients and was tested for
susceptibility to first-line antituberculosis drugs, streptomycin (SM), isoniazid
(INH), rifampicin (RIF) and ethambutol (EMB). RESULTS: Twenty of 142 isolates
(14.1 %) were resistant to at least one antituberculosis drug, and five (3.5 %)
were resistant to at least INH and RIF, [multidrug resistant (MDR)]. The
resistance rate was 12, 4.9, 4.2 and 3.5 % for INH, SM, EMB and RIF,
respectively. The monoresistance rate was 6.3, 1.4 and 0.7 % for INH, SM and EMB
respectively. There was no monoresistance to RIF. The mortality rate was 23.8 %
in fully susceptible cases while it was 33.3 % for those exhibiting
monoresistance to INH, and 40 % in cases with MDR-TBM. In compared to patients
without resistance to any first-line drug, the relative risk of death for INH
monoresistance and MDR-TBM was 1.60 (95 % CI, 0.38-6.82) and 2.14 (95 % CI, 0:34
13:42), respectively. CONCLUSION: INH-resistance and MDR rates seemed not to be
worrisome in our study. However, considering their adverse effects on treatment,
rapid detection of resistance to at least INH and RIF would be most beneficial
for designing anti-TB therapy. Still, empiric TBM treatment should be started
immediately without waiting the drug susceptibility testing.
PMID- 26538032
TI - Studying Edge Defects of Hexagonal Boron Nitride Using High-Resolution Electron
Energy Loss Spectroscopy.
AB - Studying the phonons of hexagonal boron nitride (h-BN) is important for
understanding its thermal, electronic, and imaging applications. Herein, we
applied high-resolution electron energy loss spectroscopy (HREELS) to monitor the
presence of edge defects in h-BN films. We observed an edge phonon at 90.5 meV
with the initial formation of island-like domains on Ru(0001), which subsequently
weakens with respect to the bulk phonon as the islands congregate into a film.
The presence of a weak edge phonon peak even at full surface coverage of the h-BN
film indicates the sensitivity of HREELS in detecting line defects. A shoulder
peak at ~160 meV assignable to sp(3) bonded modes was attributed to grain
boundaries arising from misaligned domains. In addition, the strengths of
substrate interaction and the rippling of the h-BN film can be judged from the
shift in the phonon energy of the out-of-plane TO? mode.
PMID- 26538033
TI - Ring-Polymer Molecular Dynamics for the Prediction of Low-Temperature Rates: An
Investigation of the C((1)D) + H2 Reaction.
AB - Quantum mechanical calculations are important tools for predicting the rates of
elementary reactions, particularly for those involving hydrogen and at low
temperatures where quantum effects become increasingly important. These
approaches are computationally expensive, however, particularly when applied to
complex polyatomic systems or processes characterized by deep potential wells.
While several approximate techniques exist, many of these have issues with
reliability. The ring-polymer molecular dynamics method was recently proposed as
an accurate and efficient alternative. Here, we test this technique at low
temperatures (300-50 K) by analyzing the behavior of the barrierless C((1)D) + H2
reaction over the two lowest singlet potential energy surfaces. To validate the
theory, rate coefficients were measured using a supersonic flow reactor down to
50 K. The experimental and theoretical rates are in excellent agreement,
supporting the future application of this method for determining the kinetics and
dynamics of a wide range of low-temperature reactions.
PMID- 26538034
TI - Fast Numerical Evaluation of Time-Derivative Nonadiabatic Couplings for Mixed
Quantum-Classical Methods.
AB - We have developed a numerical differentiation scheme that eliminates evaluation
of overlap determinants in calculating the time-derivative nonadiabatic couplings
(TDNACs). Evaluation of these determinants was the bottleneck in previous
implementations of mixed quantum-classical methods using numerical
differentiation of electronic wave functions in the Slater determinant
representation. The central idea of our approach is, first, to reduce the
analytic time derivatives of Slater determinants to time derivatives of molecular
orbitals and then to apply a finite-difference formula. Benchmark calculations
prove the efficiency of the proposed scheme showing impressive several-order-of
magnitude speedups of the TDNAC calculation step for midsize molecules.
PMID- 26538035
TI - Short-Range Catalyst-Surface Interactions Revealed by Heterodyne Two-Dimensional
Sum Frequency Generation Spectroscopy.
AB - Heterodyne 2D sum frequency generation spectroscopy is used to study a model CO2
reduction catalyst, Re(diCN-bpy) (CO)3Cl, as a monolayer on a gold surface. We
show that short-range interactions with the surface can cause substantial line
shape differences between vibrational bands from the same molecules. We explain
this interaction as the result of couplings between CO vibrational modes of the
catalyst molecules and the image dipoles on gold surface, which are sensitive to
the relative distance between the molecule and the surface. Thus, by analysis of
HD 2D SFG line-shape differences and polarization dependences of IR spectra, we
can unambiguously determine the ensemble-averaged orientation of the molecules on
the surface. The high sensitivity of HD 2D SFG spectra to short-range
interactions can be applied to many other adsorbate-substrate interactions and
therefore can serve as a unique tool to determine adsorbate orientations on
surfaces.
PMID- 26538036
TI - Plasmon-Mediated Electron Transport in Tip-Enhanced Raman Spectroscopic
Junctions.
AB - We combine experiment, theory, and first-principles-based calculations to study
the light-induced plasmon-mediated electron transport characteristics of a
molecular-scale junction. The experimental data show a nonlinear increase in
electronic current perturbation when the focus of a chopped laser beam moves
laterally toward the tip-sample junction. To understand this behavior and
generalize it, we apply a combined theory of the electronic nonequilibrium formed
upon decoherence of an optically triggered plasmon and first-principles transport
calculations. Our model illustrates that the current via an adsorbed molecular
monolayer increases nonlinearly as more energy is pumped into the junction due to
the increasing availability of virtual molecular orbital channels for transport
with higher injection energies. Our results thus illustrate light-triggered,
plasmon-enhanced tunneling current in the presence of a molecular linker.
PMID- 26538037
TI - Selective Electrochemical Generation of Hydrogen Peroxide from Water Oxidation.
AB - Water is a life-giving source, fundamental to human existence, yet over a billion
people lack access to clean drinking water. The present techniques for water
treatment such as piped, treated water rely on time and resource intensive
centralized solutions. In this work, we propose a decentralized device concept
that can utilize sunlight to split water into hydrogen and hydrogen peroxide. The
hydrogen peroxide can oxidize organics while the hydrogen bubbles out. In
enabling this device, we require an electrocatalyst that can oxidize water while
suppressing the thermodynamically favored oxygen evolution and form hydrogen
peroxide. Using density functional theory calculations, we show that the free
energy of adsorbed OH* can be used to determine selectivity trends between the
2e(-) water oxidation to H2O2 and the 4e(-) oxidation to O2. We show that
materials which bind oxygen intermediates sufficiently weakly, such as SnO2, can
activate hydrogen peroxide evolution. We present a rational design principle for
the selectivity in electrochemical water oxidation and identify new material
candidates that could perform H2O2 evolution selectively.
PMID- 26538038
TI - CH+5: Symmetry and the Entangled Rovibrational Quantum States of a Fluxional
Molecule.
AB - Protonated methane, CH5+, is the prototypical example of a fluxional molecular
system. The almost unconstrained angular motion of its five hydrogen atoms
results in dynamical phenomena not found in rigid or semirigid molecules. Here it
is shown that standard concepts to describe rotational quantum states of
molecules can not be applied to CH5+ or any other fluxional system of the type
ABn or Bn with n > 4 due to fundamental symmetry reasons. Instead, the ro
vibrational states of CH5+ display a unique level scheme, which results from a
complex entanglement of rotational and tunneling motions. A detailed analysis of
the ro-vibrational quantum states of CH5+ based on full-dimensional quantum
dynamics simulations is presented, and the effects of the Pauli principle are
considered. The consequences for the interpretation of recent experimental
results are highlighted
PMID- 26538039
TI - Graphene-Based Photocatalysts for CO2 Reduction to Solar Fuel.
AB - Recently, photocatalytic CO2 reduction for solar fuel production has attracted
much attention because of its potential for simultaneously solving energy and
global warming problems. Many studies have been conducted to prepare novel and
efficient photocatalysts for CO2 reduction. Graphene, a two-dimensional material,
has been increasingly used in photocatalytic CO2 reduction. In theory, graphene
shows several remarkable properties, including excellent electronic conductivity,
good optical transmittance, large specific surface area, and superior chemical
stability. Attributing to these advantages, fabrication of graphene-based
materials has been known as one of the most feasible strategies to improve the
CO2 reduction performance of photocatalysts. This Perspective mainly focuses on
the recent important advances in the fabrication and application of graphene
based photocatalysts for CO2 reduction to solar fuels. The existing challenges
and difficulties of graphene-based photocatalysts are also discussed for future
application.
PMID- 26538040
TI - High Carrier Mobility and Pronounced Light Absorption in Methyl-Terminated
Germanene: Insights from First-Principles Computations.
AB - On the basis of Herd-Scuseria-Emzerhof hybrid functional (HSE06) within the
framework of density functional theory (DFT), we have computationally explored
the intrinsic electronic and optical properties of 2D methyl-terminated germanene
(GeCH3). GeCH3 monolayer possesses an opportune direct band gap of 1.76 eV, which
can be effectively tuned by applying elastic strain and decreases with increasing
the tensile strain, while it increases with small compressive strain. Also,
anisotropic carrier mobility was disclosed in the armchair (x) and zigzag (y)
directions of GeCH3 monolayer. Moreover, GeCH3 monolayer shows significant light
absorption in the visible and ultraviolet range of solar spectrum and is
attractive for light harvesting. The results can help us better understand the
intrinsic properties of GeCH3 and provide reliable guidance for its experimental
applications to electronics and optoelectronics.
PMID- 26538041
TI - Probing Photocurrent Generation, Charge Transport, and Recombination Mechanisms
in Mesostructured Hybrid Perovskite through Photoconductivity Measurements.
AB - Conductivity of methylammonium lead triiodide (MAPbI3) perovskite was measured on
different mesoporous metal oxide scaffolds: TiO2, Al2O3, and ZrO2, as a function
of incident light irradiation and temperature. It was found that MAPbI3 exhibits
intrinsic charge separation, and its conductivity stems from a majority of free
charge carriers. The crystal morphology of the MAPbI3 was found to significantly
affect the photoconductivity, whereas in the dark the conductivity is governed by
the perovskite in the pores of the mesoporous scaffold. The temperature-dependent
conductivity measurements also indicate the presence of states within the band
gap of the perovskite. Despite a relatively large amount of crystal defects in
the measured material, the main recombination mechanism of the photogenerated
charges is bimolecular (band-to-band), which suggests that the defect states are
rather inactive in the recombination. This may explain the remarkable
efficiencies obtained for perovskite solar cells prepared with wet-chemical
methods.
PMID- 26538042
TI - Softening upon Adsorption in Microporous Materials: A Counterintuitive Mechanical
Response.
AB - We demonstrate here that microporous materials can exhibit softening upon
adsorption of guest molecules, at low to intermediate pore loading, in parallel
to the pore shrinking that is well-known in this regime. This novel and
counterintuitive mechanical response was observed through molecular simulations
of both model pore systems (such as slit pore) and real metal-organic frameworks.
It is contrary to common belief that adsorption of guest molecules necessarily
leads to stiffening due to increased density, a fact that we show is the high
loading limit of a more complex behavior: a nonmonotonic softening-then
stiffening.
PMID- 26538043
TI - A "Tips and Tricks" Practical Guide to the Synthesis of Gold Nanorods.
PMID- 26538044
TI - Ligand Surface Chemistry Dictates Light Emission from Nanocrystals.
AB - There are several contradictory accounts of the changes to the emissive behavior
of semiconductor nanocrystal upon a ligand exchange from
trioctylphosphine/cadmium-phosphonates passivation to N-butylamine. This
communication explains the contradictory accounts of this reaction using new
insights into ligand chemistry. Also, a previously unknown link between surface
emission and cadmium-phosphonate (Z-type) ligands is shown.
PMID- 26538045
TI - Investigation of Bismuth Triiodide (BiI3) for Photovoltaic Applications.
AB - Guided by predictive discovery framework, we investigate bismuth triiodide (BiI3)
as a candidate thin-film photovoltaic (PV) absorber. BiI3 was chosen for its
optical properties and the potential for "defect-tolerant" charge transport
properties, which we test experimentally by measuring optical absorption and
recombination lifetimes. We synthesize phase-pure BiI3 thin films by physical
vapor transport and solution processing and single-crystals by an electrodynamic
gradient vertical Bridgman method. The bandgap of these materials is ~1.8 eV, and
they demonstrate room-temperature band-edge photoluminescence. We measure
monoexponential recombination lifetimes in the range of 180-240 ps for thin
films, and longer, multiexponential dynamics for single crystals, with time
constants up to 1.3 to 1.5 ns. We discuss the outstanding challenges to
developing BiI3 PVs, including mechanical and electrical properties, which can
also inform future selection of candidate PV absorbers.
PMID- 26538046
TI - Raman Measurements of Pure Hydrogen Clathrate Formation from a Supercooled
Hydrogen-Water Solution.
AB - The nucleation and growth of a solid clathrate hydrate from the liquid phase is a
process that is even less understood and more difficult to study than the
nucleation of a solid phase from a pure liquid. We have employed in situ Raman
spectroscopy to study the hydrogen-water supercooled solution undergoing
clathrate formation at a pressure of about 2 kbar and temperature of 263 K. Raman
light scattering detects unambiguously the H2 molecules inside of clathrate
crystallites, which change stoichiometry during growth. The spectral intensity of
the hydrogen vibrational band shows the time evolution of the population of the
large and small cages, demonstrating that, in the initial stages of clathrate
formation, the occupation of the large cages is quite lower than its equilibrium
value. From the measurement of the growth rate of the crystallites, we
demonstrate that the growth of the clathrate in the liquid is a diffusion-limited
process.
PMID- 26538047
TI - Carr-Purcell Pulsed Electron Double Resonance with Shaped Inversion Pulses.
AB - Pulsed electron paramagnetic resonance (EPR) spectroscopy allows the
determination of distances, in the range of 1.5-8 nm, between two spin-labels
attached to macromolecules containing protons. Unfortunately, for hydrophobic
lipid-bound or detergent-solubilized membrane proteins, the maximum distance
accessible is much lower, because of a strongly reduced coherence time of the
electron spins. Here we introduce a pulse sequence, based on a Carr-Purcell
decoupling scheme on the observer spin, where each pi-pulse is accompanied by a
shaped sech/tanh inversion pulse applied to the second spin, to overcome this
limitation. This pump/probe excitation scheme efficiently recouples the dipolar
interaction, allowing a substantially longer observation time window to be
achieved. This increases the upper limit and accuracy of distances that can be
determined in membrane protein complexes. We validated the method on a bis
nitroxide model compound and applied this technique to the trimeric betaine
transporter BetP. Interprotomer distances as long as 6 nm could be reliably
determined, which is impossible with the existing methods.
PMID- 26538048
TI - Evidence in Support of Exciton to Ligand Vibrational Coupling in Colloidal
Quantum Dots.
AB - The Perspective focuses on the investigation of an unresolved conflict in
semiconductor colloidal quantum dots (CQDs) research, concerning the influence of
the immediate surrounding on the optical properties of the materials. Today's
advanced synthetic colloidal procedures offer formation of a high-quality
inorganic crystallite, capped with various organic/inorganic molecular ligands.
The Perspective aims to clarify whether exciton recombination processes in CQDs
are influenced by the type of crystallite-ligand bonding and, moreover, whether
these excitonic processes experience direct coupling to the ligands' vibrational
modes. Most ligands used have redox characteristics whose functional groups are
added on to the CQDs' surface via coordination, covalent or ionic bonding. The
surface-ligand bonding introduces electronic states either above or below the
intraband/interband energy gap, resulting in electronic passivation or in
creation of trapping states that affect intraband and interband relaxation
processes. Furthermore, crystalline electronic states may have a direct coupling
to molecular vibrational states via direct overlap of electronic wave functions
or through a long-range energy-transfer process. Also, photoejected carriers
resulting from an Auger process or ionization processes may diffuse temporarily
onto a ligand site. These scenarios are discussed in the current publication with
supporting theoretical and experimental observations.
PMID- 26538049
TI - Transition from the Tetragonal to Cubic Phase of Organohalide Perovskite: The
Role of Chlorine in Crystal Formation of CH3NH3PbI3 on TiO2 Substrates.
AB - The role of chlorine in the superior electronic property and photovoltaic
performance of CH3NH3PbI(3-x)Clx perovskite has attracted recent research
attention. Here, we study the impact of chlorine in the perspective of the
crystal structure of the perovskite layer, which can provide important
understanding of its excellent charge mobility and extended lifetimes. In
particular, we find that in the presence of chlorine (PbCl2 or CH3NH3Cl), when
CH3NH3PbI3 films are deposited on a TiO2 mesoporous layer instead of a planar
TiO2 substrate, a stable cubic phase rather than the commonly observed tetragonal
phase is formed in CH3NH3PbI3 perovskite at room temperature. The relative peak
intensity of two major facets of cubic CH3NH3PbI3 crystals, (100)C and (200)C
facets, can also be easily tuned, depending on the film thickness. Furthermore,
compared with pristine CH3NH3PbI3 perovskite films, in the presence of chlorine,
CH3NH3PbI3 crystals grown on planar substrates exhibit strong preferred
orientations on (110)T and (220)T facets.
PMID- 26538050
TI - Low-Scaling Quantum Chemistry Approach to Excited-State Properties via an ab
Initio Exciton Model: Application to Excitation Energy Transfer in a Self
Assembled Nanotube.
AB - We introduce a charge-embedding scheme for an excited-state quantum chemistry
method aimed at weakly interacting molecular aggregates. The Hamiltonian matrix
for the aggregate is constructed in a basis of direct products of configuration
state functions for the monomers, and diagonalization of this matrix affords
excitation energies within ~0.2 eV of the corresponding supersystem calculation.
Both the basis states and the coupling matrix elements can be computed in a
distributed way, resulting in an algorithm whose time-to-solution is independent
of the number of chromophores, and we report calculations on systems with almost
55 000 basis functions using fewer than 450 processors. In a semiconducting
organic nanotube, we find evidence of ultrafast, coherent dynamics followed by
energy localization driven by static disorder. Truncation of the model system has
a qualitative effect on the energy-transfer dynamics, demonstrating the
importance of simulating an extended portion of the nanotube, which is not
feasible using traditional quantum chemistry.
PMID- 26538051
TI - Direct Observation of Triplet-State Population Dynamics in the RNA Uracil
Derivative 1-Cyclohexyluracil.
AB - Investigation of the excited-state dynamics in nucleic acid monomers is an area
of active research due to the crucial role these early events play in DNA and RNA
photodamage. The dynamics and rate at which the triplet state is populated are
key mechanistic pathways yet to be fully elucidated. Direct spectroscopic
evidence is presented in this contribution for intersystem crossing dynamics in a
uracil derivative, 1-cyclohexyluracil. It is shown that intersystem crossing to
the triplet manifold occurs in one picosecond or less in acetonitrile solution-at
least an order of magnitude faster than previously estimated experimentally.
Broadband transient absorption measurements also reveal the primary electronic
relaxation pathways of the uracil chromophore, including the absorption spectra
of the (1)pipi*, (1)npi*, and (3)pipi* states and the rates of vibrational
cooling in the ground and (3)pipi* states. The experimental results are supported
by density functional calculations.
PMID- 26538052
TI - Hydrostatic Pressure Promotes Domain Formation in Model Lipid Raft Membranes.
AB - Neutron diffraction measurements demonstrate that hydrostatic pressure promotes
liquid-ordered (Lo) domain formation in lipid membranes prepared as both oriented
multilayers and unilamellar vesicles made of a canonical ternary lipid mixture
for which demixing transitions have been extensively studied. The results
demonstrate an unusually large dependence of the mixing transition on hydrostatic
pressure. Additionally, data at 28 degrees C show that the magnitude of increase
in Lo caused by 10 MPa pressure is much the same as the decrease in Lo produced
by twice minimum alveolar concentrations (MAC) of general anesthetics such as
halothane, nitrous oxide, and xenon. Therefore, the results may provide a
plausible explanation for the reversal of general anesthesia by hydrostatic
pressure.
PMID- 26538053
TI - Some Interesting Properties and Promising Applications of Nanostructured
Materials.
PMID- 26538054
TI - Apoptosis-like cell death induced by nematocyst venom from Chrysaora helvola
Brandt jellyfish and an in vitro evaluation of commonly used antidotes.
AB - The present work investigated the in vitro cytotoxicity of nematocyst venom (NV)
from Chrysaora helvola Brandt (C. helvola) jellyfish against human MCF-7 and CNE
2 tumor cell lines. Potent cytotoxicity was quantified using the MTT assay
(LC50=12.07+/-3.13 and 1.6+/-0.22MUg/mL (n=4), respectively). Apoptosis-like cell
death was further confirmed using the LDH release assay and Annexin V/PI double
staining-based flow cytometry analysis. However, only activation of caspase-4 was
observed. It is possible that some caspase-independent pathways were activated by
the NV treatment. Since no reference or antivenom is available, the effects of
several commonly used antidotes on the cytotoxicity of NV were examined on more
sensitive CNE-2 cells to determine the appropriate emergency measures for
envenomation by C. helvola. The phospholipase A2 (PLA2) inhibitor para
bromophenacyl bromide (pBPB) showed no protective effect, while Mg(2+)
potentiated cytotoxicity. Voltage-gated L-type Ca(2+) channel blockers
(verapamil, nifedipine and felodipine) and Na-Ca(2+) exchanger inhibitor KB-R7943
also showed no effect. Assays using Ca(2+)-free culture media or the
intracellular Ca(2+) chelator BAPTA also could not inhibit the cytotoxicity.
Taken together, these results suggest that PLA2 and Ca(2+) are not directly
involved in the cytotoxicity of NV from C. helvola. Our work also suggests
caution regarding the choice for first aid for envenomation by C. helvola
jellyfish.
PMID- 26538055
TI - Parental Predictors of Children's Shame and Guilt at Age 6 in a Multimethod,
Longitudinal Study.
AB - Shame and guilt are self-conscious emotions that begin to develop early in life
and are associated with various forms of psychopathology. However, little is
known about the factors that contribute to these emotions in young children.
Specifically, no longitudinal studies to date have examined a range of parent
factors that shape the expression of children's shame and guilt. The current
multimethod, longitudinal study sought to determine whether parenting style,
parental psychopathology, and parents' marital satisfaction assessed when
children were age 3 predict expressions of shame and guilt in children at age 6.
A large community sample of families (N = 446; 87.4% Caucasian) with 3-year-old
children (45.7% female) was recruited through commercial mailing lists. Parent
variables were assessed when children were age 3 with mother- and father-report
questionnaires and a diagnostic interview. Children's expressions of shame and
guilt were observed in the laboratory at age 6. Fathers', but not mothers',
history of depression and permissive parenting assessed when children were age 3
predicted children's expressions of shame and guilt when children were age 6;
parents' marital dissatisfaction also predicted children's shame and guilt. These
findings suggest that parents, and fathers in particular, contribute to
expressions of self-conscious emotions in children. These data on emotional
development may be useful for better characterizing the risk and developmental
pathways of psychopathology.
PMID- 26538057
TI - Editor's Note.
PMID- 26538056
TI - Seasons of Risk: Anticipated Behavior on Vacation and Interest in Episodic
Antiretroviral Pre-exposure Prophylaxis (PrEP) Among a Large National Sample of
U.S. Men Who have Sex with Men (MSM).
AB - The current analysis evaluates interest in and acceptability of daily PrEP during
short episodes of anticipated increased risk (i.e. Epi-PrEP). In 2013, U.S.
members of an internet-based MSM sexual networking site were invited to complete
a survey about HIV prevention practices in the context of vacationing. 7305 MSM
responded to the survey. Of respondents who had vacationed in the past year, 25.6
% reported condomless anal sex (CAS) with new male sex partners while
vacationing. Most (92.6 %) respondents agreed that having to use PrEP every day
was a barrier to PrEP use and 74.3 % indicated they would take PrEP if they knew
it would be helpful for short periods of anticipated increased risk. MSM who
reported increased CAS while on vacation in the past year were more likely to
indicate that they would take PrEP if it were helpful when used for short periods
than respondents who did not (aOR = 2.02, 95 % CI 1.59-2.56, p < 0.001). Studies
designed to evaluate uptake, adherence, and protective benefit of short PrEP
courses are warranted.
PMID- 26538058
TI - Bugs and Guts: Practical Applications of Probiotics for Gastrointestinal
Disorders in Children.
AB - Probiotics are foods or products that contain live microorganisms that benefit
the host when administered. In this clinical review, we evaluate the literature
associated with using probiotics in common pediatric gastrointestinal disorders,
focusing specifically on antibiotic-associated diarrhea, acute gastroenteritis,
Clostridium difficile infection (CDI), colic, inflammatory bowel disease, and
functional gastrointestinal diseases. Meta-analysis of several randomized
controlled trials have confirmed benefit for the administration of Lactobacillus
rhamnosus GG and Saccharomyces boulardii to prevent antibiotic-associated
diarrhea and to treat acute infectious diarrhea. Individual studies have also
suggested benefit of probiotics to prevent acute gastroenteritis and serve as an
adjunct in ulcerative colitis, pouchitis, antibiotic-associated diarrhea, CDI,
functional abdominal pain, irritable bowel syndrome, and colic in breastfed
babies. Although promising, larger well-designed studies need to confirm these
findings. There is currently insufficient evidence to recommend probiotics for
the treatment of constipation-predominant irritable bowel syndrome or Crohn's
disease.
PMID- 26538059
TI - PRO-viding Additional Evidence for Enteral Nutrition in Septic Shock.
PMID- 26538060
TI - Pros and Cons of Feeding the Septic Intensive Care Unit Patient: Response to
Patel et al.
PMID- 26538061
TI - Wernicke Encephalopathy: A "Complication" of Acute Liver Failure.
PMID- 26538062
TI - Physiological implications of the abnormal absence of the parietal foramen in a
late Permian cynodont (Therapsida).
AB - The third eye (pineal eye), an organ responsible for regulating exposure to
sunlight in extant ectotherms, is located in an opening on the dorsal surface of
the skull, the parietal foramen. The parietal foramen is absent in extant mammals
but often observed in basal therapsids, the stem-group to true mammals. Here, we
report the absence of the parietal foramen in a specimen of Cynosaurus suppostus,
a Late Permian cynodont from South Africa (SA). Comparison with Procynosuchus
delaharpeae, a contemporaneous non-mammalian cynodont from SA, demonstrates that
the absence of this foramen is an abnormal condition for such a basal species.
Because seasonality was marked during the Late Permian in SA, it is proposed that
the third eye was functionally redundant in Cynosaurus, possibly due to the
acquisition of better thermoregulation or the evolution of specialized cells in
the lateral eyes to compensate for the role of the third eye.
PMID- 26538063
TI - Calcium and vitamin D nutrition and bisphosphonate treatment.
PMID- 26538064
TI - CRISPR/gRNA-directed synergistic activation mediator (SAM) induces specific,
persistent and robust reactivation of the HIV-1 latent reservoirs.
AB - Current antiretroviral therapy does not eliminate the integrated and
transcriptionally silent HIV-1 provirus in latently infected cells. Recently, a
"shock and kill" strategy has been extensively explored to eradicate the HIV-1
latent reservoirs for a permanent cure of AIDS. The therapeutic efficacy of
currently used agents remains disappointing because of low efficiency, non
specificity and cellular toxicity. Here we present a novel catalytically
deficient Cas9-synergistic activation mediator (dCas9-SAM) technology to
selectively, potently and persistently reactivate the HIV-1 latent reservoirs. By
screening 16 MS2-mediated single guide RNAs, we identified long terminal repeat
(LTR)-L and O that surround the enhancer region (-165/-145 for L and -92/-112 for
O) and induce robust reactivation of HIV-1 provirus in HIV-1 latent TZM-bI
epithelial, Jurkat T lymphocytic and CHME5 microglial cells. This compulsory
reactivation induced cellular suicide via toxic buildup of viral proteins within
HIV-1 latent Jurkat T and CHME5 microglial cells. These results suggest that this
highly effective and target-specific dCas9-SAM system can serve as a novel HIV
latency-reversing therapeutic tool for the permanent elimination of HIV-1 latent
reservoirs.
PMID- 26538065
TI - Chemical Synthesis of GM2 Glycans, Bioconjugation with Bacteriophage Qbeta, and
the Induction of Anticancer Antibodies.
AB - The development of carbohydrate-based antitumor vaccines is an attractive
approach towards tumor prevention and treatment. Herein, we focused on the
ganglioside GM2 tumor-associated carbohydrate antigen (TACA), which is
overexpressed in a wide range of tumor cells. GM2 was synthesized chemically and
conjugated with a virus-like particle derived from bacteriophage Qbeta. Although
the copper-catalyzed azide-alkyne cycloaddition reaction efficiently introduced
237 copies of GM2 per Qbeta, this construct failed to induce significant amounts
of anti-GM2 antibodies compared to the Qbeta control. In contrast, GM2
immobilized on Qbeta through a thiourea linker elicited high titers of IgG
antibodies that recognized GM2-positive tumor cells and effectively induced cell
lysis through complement-mediated cytotoxicity. Thus, bacteriophage Qbeta is a
suitable platform to boost antibody responses towards GM2, a representative
member of an important class of TACA: the ganglioside.
PMID- 26538066
TI - Gene-expression patterns in peripheral blood classify familial breast cancer
susceptibility.
AB - BACKGROUND: Women with a family history of breast cancer face considerable
uncertainty about whether to pursue standard screening, intensive screening, or
prophylactic surgery. Accurate and individualized risk-estimation approaches may
help these women make more informed decisions. Although highly penetrant genetic
variants have been associated with familial breast cancer (FBC) risk, many
individuals do not carry these variants, and many carriers never develop breast
cancer. Common risk variants have a relatively modest effect on risk and show
limited potential for predicting FBC development. As an alternative, we
hypothesized that additional genomic data types, such as gene-expression levels,
which can reflect genetic and epigenetic variation, could contribute to
classifying a person's risk status. Specifically, we aimed to identify common
patterns in gene-expression levels across individuals who develop FBC. METHODS:
We profiled peripheral blood mononuclear cells from women with a family history
of breast cancer (with or without a germline BRCA1/2 variant) and from controls.
We used the support vector machines algorithm to differentiate between patients
who developed FBC and those who did not. Our study used two independent datasets,
a training set of 124 women from Utah (USA) and an external validation (test) set
from Ontario (Canada) of 73 women (197 total). We controlled for expression
variation associated with clinical, demographic, and treatment variables as well
as lymphocyte markers. RESULTS: Our multigene biomarker provided accurate,
individual-level estimates of FBC occurrence for the Utah cohort (AUC = 0.76
[0.67-84]) . Even at their lower confidence bounds, these accuracy estimates meet
or exceed estimates from alternative approaches. Our Ontario cohort resulted in
similarly high levels of accuracy (AUC = 0.73 [0.59-0.86]), thus providing
external validation of our findings. Individuals deemed to have "high" risk by
our model would have an estimated 2.4 times greater odds of developing familial
breast cancer than individuals deemed to have "low" risk. CONCLUSIONS: Together,
these findings suggest that gene-expression levels in peripheral blood cells
reflect genomic variation associated with breast cancer risk and that such data
have potential to be used as a non-invasive biomarker for familial breast cancer
risk.
PMID- 26538067
TI - Prevalence and genotypic characterization of Human Parvovirus B19 in children
with measles- and rubella-like illness in Iran.
AB - Human Parvovirus B19 (B19V) is a prototype of the Erythroparvovirus genus in
Parvoviridae family. B19V infections are often associated with fever and rash,
and can be mistakenly reported as measles or rubella. Differential diagnosis of
B19V illness is necessary for case management and also for public health control
activities, particularly in outbreak situations in which measles or rubella is
suspected. To investigate the causative role of B19V infection in children with
measles- and rubella-like illness, a total of 583 sera from children with
exanthema were tested for presence of B19V by determining anti-B19V IgG and IgM
antibodies by ELISA as well as B19V DNA detection by nested PCR. DNA positive
samples were assessed further for determination of viral load and sequence
analysis by Real-Time PCR and Sanger sequencing method, respectively. Out of 583
patients, 112 (19.21%) patients were positive for B19V-IgM antibody, 110 (18.87%)
were positive for B19V-IgG antibody, and 63 (10.81%) were positive for B19V viral
DNA. The frequency of B19V-IgG antibodies were increased with age; that is
children under 6 year old showed 7.11% seroprevalence for B19V-IgG as compared to
18.39% and 28.91% for age groups 6 to >11 and 11-14 years old, respectively.
Phylogenetic analysis of the NS1-VPu1 overlapping region revealed that all
sequenced B19V-DNA belonged to genotype 1. The results of this study may aid the
surveillance programs aiming at eradicating measles/rubella virus in Iran, as
infections with B19V can be mistakenly reported as measles or rubella if
laboratory testing is not conducted.
PMID- 26538068
TI - Herpesvirus orthologues of CD200 bind host CD200R but not related activating
receptors.
AB - Several herpesviruses have acquired the gene for the CD200 membrane protein from
their hosts and can downregulate myeloid activity through interaction of this
viral CD200 orthologue with the host receptor for CD200, namely CD200R, which can
give inhibitory signals. This receptor is a 'paired receptor', meaning proteins
related to the inhibitory CD200R are present but differ in that they can give
activating signals and also give a negligible interaction with CD200. We showed
that the viral orthologues e127 from rat cytomegalovirus and K14 from human
herpesvirus 8 do not bind the activating CD200R-like proteins from their
respective species, although they do bind the inhibitory receptors. It is thought
that the activating receptors have evolved in response to pathogens targeting the
inhibitory receptor. In this case, the CD200 orthologue is not trapped by the
activating receptor but has maintained the specificity of the host from which it
was acquired, suggesting that the activating members of the CD200R family have
evolved to protect against a different pathogen.
PMID- 26538069
TI - Clinical Sensitivity of Cystic Fibrosis Mutation Panels in a Diverse Population.
AB - Infants are screened for cystic fibrosis (CF) in New York State (NYS) using an
IRT-DNA algorithm. The purpose of this study was to validate and assess clinical
validity of the US FDA-cleared Illumina MiSeqDx CF 139-Variant Assay (139-VA) in
the diverse NYS CF population. The study included 439 infants with CF identified
via newborn screening (NBS) from 2002 to 2012. All had been screened using the
Abbott Molecular CF Genotyping Assay or the Hologic InPlex CF Molecular Test. All
with CF and zero or one mutation were tested using the 139-VA. DNA extracted from
dried blood spots was reliably and accurately genotyped using the 139-VA. Sixty
three additional mutations were identified. Clinical sensitivity of three panels
ranged from 76.2% (23 mutations recommended for screening by ACMG/ACOG) to 79.7%
(current NYS 39-mutation InPlex panel), up to 86.0% for the 139-VA. For all,
sensitivity was highest in Whites and lowest in the Black population. Although
the sample size was small, there was a nearly 20% increase in sensitivity for the
Black CF population using the 139-VA (68.2%) over the ACMG/ACOG and InPlex panels
(both 50.0%). Overall, the 139-VA is more sensitive than other commercially
available panels, and could be considered for NBS, clinical, or research
laboratories conducting CF screening.
PMID- 26538072
TI - Prospective Cohort Studies.
PMID- 26538070
TI - Length of stay an important mediator of hospital-acquired methicillin-resistant
Staphylococcus aureus.
AB - Hospital-acquired methicillin-resistant Staphylococcus aureus (HA-MRSA) is
becoming increasingly established in Asian hospitals. The primary aim of this
study was to decompose the risk factors for HA-MRSA based on conceptual clinical
pathways. The secondary aim was to show the amount of effect attributable to
antibiotic exposure and total length of stay before outcome (LBO) so that
institutions can manage at-risk patients accordingly. A case-control study
consisting of 1200 inpatients was conducted in a large tertiary hospital in
Singapore between January and December 2006. Results from the generalized
structural equation model (GSEM) show that LBO [adjusted odds ratio (aOR) 14.9,
95% confidence interval (CI) 8.7-25.5], prior hospitalization (aOR 6.2, 95% CI
3.3-11.5), and cumulative antibiotic exposure (aOR 3.5, 95% CI 2.3-5.3), directly
affected HA-MRSA acquisition. LBO accounted for the majority of the effects due
to age (100%), immunosuppression (67%), and surgery (96%), and to a lesser extent
for male gender (22%). Our model enabled us to account and quantify effects of
intermediaries. LBO was found to be an important mediator of age,
immunosuppression and surgery on MRSA infection. Traditional regression
approaches will not only give different conclusions but also underestimate the
effects. Hospitals should minimize the hospital stay when possible to reduce the
risk of MRSA.
PMID- 26538073
TI - UC Davis Transgenic Animal Research Conference X (TARC X): Tahoe City, CA, USA,
August 9-12, 2015.
PMID- 26538074
TI - Exercise as Therapy for Diabetic and Prediabetic Neuropathy.
AB - Length-dependent neuropathy is the most common and costly complication of
diabetes and frequently causes injury primarily to small-diameter cutaneous
nociceptive fibers. Not only persistent hyperglycemia but also metabolic,
endocrine, and inflammatory effects of obesity and dyslipidemia appear to play an
important role in the development of diabetic neuropathy. Rational therapies
aimed at direct control of glucose or its increased entry into the polyol
pathway, oxidative or nitrosative stress, advanced glycation end product
formation or signaling, microvascular ischemia, or adipocyte-derived toxicity
have each failed in human trials of diabetic neuropathy. Aerobic exercise
produces salutary effects in many of these pathogenic pathways simultaneously
and, in both animal models and human trials, has been shown to improve symptoms
of neuropathy and promote re-growth of cutaneous small-diameter fibers.
Behavioral reduction in periods of seated, awake inactivity produces multimodal
metabolic benefits similar to exercise, and the two strategies when combined may
offer sustained benefit to peripheral nerve function.
PMID- 26538075
TI - The effect of vitamin D supplementation on selected inflammatory biomarkers in
obese and overweight subjects: a systematic review with meta-analysis.
AB - PURPOSE: The objective of this systematic review was to assess the effect of
vitamin D supplementation on selected inflammatory biomarkers in obese and
overweight subjects. METHODS: The search process was based on the selection of
publications (DB-RCT and RCT) listed in the following databases: PubMed, Web of
Knowledge, Scopus, the Cochrane Library and Embase. To assess the study quality,
a nine-point scoring system according to the Newcastle-Ottawa scale was used, and
a high-quality study was defined by a threshold of >=7 points. Thirteen
randomized controlled trials were included. The analysed population consisted of
1955 overweight and obese subjects. The mean age ranged from 13.6 to 71.7 years.
Changes in the concentration of 25-hydroxycholecalciferol (25(OH)D), C-reactive
protein (CRP), tumor necrosis factor alpha (TNF-alpha) and interleukin 6 (IL-6)
were assessed. To combine individual study results, a meta-analysis was
performed. RESULTS: The baseline levels of 25(OH)D suggested vitamin D deficiency
or insufficiency in the analysed population. The vitamin D supplementation did
not influence on CRP (std. mean differences -0.11; 95 % CI -0.27-0.04; p = 0.15),
TNF-alpha (std. mean differences -0.13; 95 % CI -0.38-0.12; p = 0.31) and IL-6
concentrations (std. mean differences 0.1; 95 % CI -0.43-0.63; p = 0.71).
CONCLUSIONS: This meta-analysis suggests that supplementation with vitamin D does
not have a significant influence on changes in the concentration of selected
inflammatory biomarkers in the obese and overweight subjects.
PMID- 26538076
TI - Disseminated mucormycosis with myocardial involvement in a renal transplant
recipient.
AB - We report the case of a renal transplant recipient with pulmonary and splenic
mucormycosis whose demise was accelerated by a myocardial abscess. Once pulmonary
and splenic mucormycosis was diagnosed, liposomal amphotericin B was started and
immunosuppressant treatments were discontinued. The pulmonary cavities regressed
during treatment, but new myocardial and peri-allograft abscesses developed. The
myocardial abscess diffusely infiltrated the left ventricular wall and was
associated with akinesia, which led to sudden cardiac arrest. This case
demonstrates a rare manifestation of mucormycosis and highlights the fatality and
invasiveness of this infection.
PMID- 26538078
TI - Role of magnifying colonoscopy for diagnosis of colorectal neoplasms: From the
perspective of Japanese colonoscopists.
AB - Colorectal cancer is the third leading cause of cancer-related death. As the
therapeutic strategy for colorectal cancer depends on the clinical stage of the
tumor, precise and accurate staging is necessary prior to treatment decision
making. Colonoscopy is an essential tool for detection and prevention of
colorectal cancer, as it also allows for removal of adenomatous lesions. Using
conventional endoscopy, however, it is sometimes difficult to differentiate
neoplastic lesions from non-neoplastic lesions. Several new endoscopic
technologies have been developed to provide a more precise diagnosis. Magnifying
chromoendoscopy and narrow-band imaging endoscopy with or without magnification
are invaluable not only for distinction of colorectal neoplastic lesions from non
neoplastic lesions, but also for the accurate diagnosis of invasion depth in
colorectal cancers. Based on an accumulation of a large number of clinical data,
the use of magnifying colonoscopy has become inevitable for the prediction of
histology and the diagnosis of invasion depth of colorectal neoplasms in Japan.
PMID- 26538077
TI - Detection of palisade vessels as a landmark for Barrett's esophagus in a Western
population.
AB - BACKGROUND: In Japan, palisade vessels (PV) are used to distinguish the
esophagogastric junction (EGJ). Elsewhere, the EGJ is defined by the upper end of
the gastric folds (GF) and PV are considered difficult to detect. This study
evaluated the detection rate of PV in Western patients with Barrett's esophagus
(BE) using white light imaging (WLI) and narrow band imaging (NBI), and
quantified any discordance between Western and Japanese criteria for the EGJ.
METHODS: In 25 BE patients, the presence and location of PV and GF were
determined and biopsies were obtained. High-quality images of the EGJ were
collected under different conditions (insufflations-desufflation, WLI-NBI,
forward-retroflex approach), resulting in eight different images per patient. The
presence of PV on each still image was assessed by a panel of six Western and
Japanese endoscopists with expertise in BE. RESULTS: PV were observed in >= 1
images by a majority of the panel (>= 4 raters) in 100 % of patients during
insufflation versus 60 % during desufflation (p < 0.001). WLI and NBI detected PV
in 100 and 92 %, respectively (p = 0.50). Interobserver agreement of the panel
was 'moderate' (kappa = 0.51). During endoscopy PV were located a median of 1 cm
distal of the GF in 15 patients (63 %), with intestinal metaplasia (IM) in this
discordant zone, in 27 % of patients. CONCLUSIONS: PV are visible in most Western
BE patients and are best inspected during insufflation. The location of the GF
and PV differed in a substantial group of patients, partially with IM in this
discordant zone.
PMID- 26538079
TI - Curvature-based interaction potential between a micro/nano curved surface body
and a particle on the surface of the body.
AB - The interaction potential between a curved surface body and a particle located on
the surface of the body is studied in this paper. Based on the negative
exponential pair potential (1/R(n)) between particles, the interaction potential
is proved to be of the curvature-based form, i.e., it can be written as a
function of curvatures of the surface. Idealized numerical experiments are
designed to test the accuracy of curvature-based potential. Based on the
curvature-based potential, propositions below are confirmed: a highly curved
surface body will induce driving forces on the particle located on the surface,
and curvatures and the gradients of curvatures are essential factors forming the
driving forces. In addition, the tangent driving force acting on the particle
from the curved surface body is studied. Based on duality, the following rule is
proved: for a convex or concave curved body sharing the same curved surface, the
curvature-based interaction potential between them and a particle on the surface
can make up the potential of a particle in the whole space.
PMID- 26538080
TI - The asymmetric total synthesis of (+)-N-acetyl norloline.
AB - The asymmetric total synthesis of (+)-N-acetyl norloline, the putative biogenic
precursor of all known loline alkaloids, has been achieved in 12 steps from
commercially available (R)-glyceraldehyde acetonide. The synthesis relies on the
Rassu/Casiraghi's vinylogous aldol reaction, an intramolecular oxa
heteroconjugate addition and a reductive amination to establish the four
contiguous stereogenic centers and construct the strained oxygen-bridge under
mild conditions.
PMID- 26538081
TI - Long-term moderate treadmill exercise promotes stress-coping strategies in male
and female rats.
AB - Recent evidence has revealed the impact of exercise in alleviating anxiety and
mood disorders; however, the exercise protocol that exerts such benefit is far
from known. The current study was aimed to assess the effects of long-term
moderate exercise on behavioural coping strategies (active vs. passive) and
Hypothalamic-Pituitary-Adrenal response in rats. Sprague-Dawley male and female
rats were exposed to 32-weeks of treadmill exercise and then tested for two-way
active avoidance learning (shuttle-box). Two groups were used as controls: a non
handled sedentary group, receiving no manipulation, and a control group exposed
to a stationary treadmill. Female rats displayed shorter escape responses and
higher number of avoidance responses, reaching criterion for performance earlier
than male rats. In both sexes, exercise shortened escape latencies, increased the
total number of avoidances and diminished the number of trials needed to reach
criterion for performance. Those effects were greater during acquisition in
female rats, but remained over the shuttle-box sessions in treadmill trained male
rats. In females, exercise did not change ACTH and corticosterone levels after
shuttle-box acquisition. Collectively, treadmill exercise improved active coping
strategies in a sex-dependent manner. In a broader context, moderate exercise
could serve as a therapeutic intervention for anxiety and mood disorders.
PMID- 26538082
TI - Identifying the content of home-based health behaviour change interventions for
frail older people: a systematic review protocol.
AB - BACKGROUND: Meeting the needs of the growing number of older people is a
challenge for health and social care services. Home-based interventions aiming to
modify health-related behaviours of frail older people have the potential to
improve functioning and well-being. Previous reviews have focused on whether such
interventions are effective, rather than what might make them effective. Recent
advances in behavioural science make possible the identification of potential
'active ingredients' of effective interventions, such as component behaviour
change techniques (BCTs), and intended intervention functions (IFs; e.g. to
educate, to impart skills). This paper reports a protocol for a systematic review
that seeks to (a) identify health behaviour change interventions for older frail
people, (b) describe the content of these interventions, and (c) explore links
between intervention content and effectiveness. The protocol is reported in
accordance with Preferred Reporting Items for Systematic Reviews and Meta
Analyses Protocols (PRISMA-P) 2015 guidelines. METHODS/DESIGN: Studies will be
identified through a systematic search of 15 electronic databases, supplemented
by citation tracking. Studies will be retained for review where they report
randomised controlled trials focusing on home-based health promotion delivered by
a health professional for frail older people in community settings, written in
English, and either published from 1980 onwards, or, for registered trials only,
unpublished but completed with results obtainable from authors. Interventions
will be coded for their content (BCTs, IFs) and for evidence of effectiveness
(outcome data relating to behavioural and health outcomes). Analyses will
describe characteristics of all interventions. Interventions for which
effectiveness data are available will be categorised into those showing evidence
of effectiveness versus those showing no such evidence. The potential for each
intervention characteristic to contribute to change in behaviour or health
outcomes will be estimated by calculating the percentage of all interventions
featuring those characteristics that have shown effectiveness. DISCUSSION:
Results will reveal the strategies that have been drawn on within home-based
interventions to modify the health behaviours of frail older people, and
highlight those more associated with positive changes in behaviour and health.
Findings from this review will provide a useful basis for understanding,
developing, and implementing behaviour change interventions in this field.
SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42014010370.
PMID- 26538083
TI - OECD rates quality of UK healthcare as worse than in many similar countries.
PMID- 26538084
TI - Losing women along the path to safe motherhood: why is there such a gap between
women's use of antenatal care and skilled birth attendance? A mixed methods study
in northern Uganda.
AB - BACKGROUND: Thousands of women and newborns still die preventable deaths from
pregnancy and childbirth-related complications in poor settings. Delivery with a
skilled birth attendant is a vital intervention for saving lives. Yet many women,
particularly where maternal mortality ratios are highest, do not have a skilled
birth attendant at delivery. In Uganda, only 58 % of women deliver in a health
facility, despite approximately 95 % of women attending antenatal care (ANC).
This study aimed to (1) identify key factors underlying the gap between high
rates of antenatal care attendance and much lower rates of health-facility
delivery; (2) examine the association between advice during antenatal care to
deliver at a health facility and actual place of delivery; (3) investigate
whether antenatal care services in a post-conflict district of Northern Uganda
actively link women to skilled birth attendant services; and (4) make
recommendations for policy- and program-relevant implementation research to
enhance use of skilled birth attendance services. METHODS: This study was carried
out in Gulu District in 2009. Quantitative and qualitative methods used included:
structured antenatal care client entry and exit interviews [n = 139]; semi
structured interviews with women in their homes [n = 36], with health workers [n
= 10], and with policymakers [n = 10]; and focus group discussions with women [n
= 20], men [n = 20], and traditional birth attendants [n = 20]. RESULTS: Seventy
five percent of antenatal care clients currently pregnant reported they received
advice during their last pregnancy to deliver in a health facility, and 58 % of
these reported having delivered in a health facility. After adjustment for
confounding, women who reported they received advice at antenatal care to deliver
at a health facility were significantly more likely (aOR = 2.83 [95 % CI: 1.19
6.75], p = 0.02) to report giving birth in a facility. Despite high antenatal
care coverage, a number of demand and supply side barriers deter use of skilled
birth attendance services. Primary barriers were: fear of being neglected or
maltreated by health workers; long distance and other difficulties in access;
poverty, and material requirements for delivery; lack of support from
husband/partner; health systems deficiencies such as inadequate
staffing/training, work environment, and referral systems; and socio-cultural and
gender issues such as preferred birthing position and preference for traditional
birth attendants. CONCLUSIONS: Initiatives to improve quality of client-provider
interaction and respect for women are essential. Financial barriers must be
abolished and emergency transport for referrals improved. Simultaneously, supply
side barriers must be addressed, notably ensuring a sufficient number of health
workers providing skilled obstetric care in health facilities and creating
habitable conditions and enabling environments for them.
PMID- 26538085
TI - Soft resonator of omnidirectional resonance for acoustic metamaterials with a
negative bulk modulus.
AB - Monopolar resonance is of fundamental importance in the acoustic field. Here, we
present the realization of a monopolar resonance that goes beyond the concept of
Helmholtz resonators. The balloon-like soft resonator (SR) oscillates
omnidirectionally and radiates from all parts of its spherical surface,
eliminating the need for a hard wall for the cavity and baffle effects. For
airborne sound, such a low-modulus resonator can be made extremely lightweight.
Deep subwavelength resonance is achieved when the SR is tuned by adjusting the
shell thickness, benefiting from the large density contrast between the shell
material and the encapsulated gas. The SR resonates with near-perfect monopole
symmetry, as demonstrated by the theoretical and experimental results, which are
in excellent agreement. For a lattice of SRs, a band gap occurs and blocks near
total transmission, and the effective bulk modulus exhibits a prominent negative
band, while the effective mass density remains unchanged. Our study shows that
the SR is suitable for building 3D acoustic metamaterials and provides a basis
for constructing left-handed materials as a new means of creating a negative bulk
modulus.
PMID- 26538086
TI - Improving chemotherapeutic efficiency in acute myeloid leukemia treatments by
chemically synthesized peptide interfering with CXCR4/CXCL12 axis.
AB - Bone marrow stroma can protect acute myeloid leukemia (AML) cells against
chemotherapeutic agents and provide anti-apoptosis and chemoresistance signals
through secreting chemokine CXCL12 to activate its receptor CXCR4 on AML cells,
resulting in minimal residual leukemia and relapse. Therefore disrupting the
CXCR4/CXCL12 axis with antagonists is of great significance for improving
chemosensitivity and decreasing relapse rate. In a previous study, we reported a
novel synthetic peptide E5 with its remarkable effect on inhibiting CXCR4/CXCL12
mediated adhesion and migration of AML cells. Here we presented E5's capacity of
enhancing the therapeutic efficiency of various chemotherapeutics on AML in vitro
and in vivo. Results showed that E5 can diminish bone marrow stromal cell
provided protection to leukemia cells, significantly increasing the apoptosis
induced by various chemotherapeutics in multiple AML cell lines. In an AML mouse
xenograft model, E5 induced 1.84-fold increase of circulating AML cells out of
protective stroma niche. Combined with vincristine or cyclophosphamide, E5
inhibited infiltration of AML cells into bone marrow, liver and spleen, as well
as prolonged the lifespan of AML mice compared with mice treated with
chemotherapy alone. In addition, E5 presented no toxicity in vivo according to
the histological analysis and routine clinical parameters of serum analysis.
PMID- 26538087
TI - Clinicopathological and molecular alterations in early gastric cancers with the
microsatellite instability-high phenotype.
AB - The relevance of the clinicopathological and molecular features of early gastric
cancers (EGCs) having the microsatellite instability (MSI)-high phenotype has not
been clearly defined in sporadic gastric carcinogenesis. Here, we examined the
clinicopathological and molecular characteristics of EGC according to MSI status
in 330 patients with EGC (intestinal-type adenocarcinoma). Tumors were classified
as MSI-high (45 cases), MSI-low (9 cases), or microsatellite stable (MSS; 276
cases). The specimens were examined using a combination of polymerase chain
reaction (PCR)-microsatellite assays and PCR-pyrosequencing to detect chromosomal
allelic imbalances in multiple cancer-related chromosomal loci, MSI, gene
mutations (KRAS and BRAF) and methylation status [high methylation epigenome
(HME), intermediate methylation epigenome and low methylation epigenome]. In
addition, the expression levels of various target proteins were examined using
immunohistochemistry. Interestingly, EGC with the MSI phenotype showed distinct
papillary features. The expression of gastric mucin was more frequent in EGC with
the MSI phenotype, while p53 overexpression was common in EGCs, irrespective of
MSI status. The frequency of HME was significantly higher in EGCs with the MSI
phenotype than in EGCs with the MSS phenotype. Although there was a low frequency
of allelic imbalance in EGCs with the MSI phenotype, some markers of allelic
imbalance were more frequently detected in EGCs with the MSI-high phenotype than
in EGCs with the MSS phenotype. KRAS and BRAF mutations were rare in EGCs. Thus,
the MSI phenotype in EGC is a major precursor lesion in gastric cancer and is
characterized by distinct clinicopathological and molecular features.
PMID- 26538088
TI - Assessment of Methods for Rapid Intraoperative Concentration and Selection of
Marrow-Derived Connective Tissue Progenitors for Bone Regeneration Using the
Canine Femoral Multidefect Model.
AB - Treatment of large bone defects remains an unsolved clinical challenge, despite a
wide array of existing bone graft materials and strategies. Local deficiency in
osteogenic connective tissue progenitors (CTP-Os) due to tissue loss is one of
the central biological barriers to bone regeneration. Density separation (DS) and
selective retention (SR) represent two promising methods that can be used
intraoperatively to rapidly concentrate cells and potentially select CTP-Os. This
project was designed to compare DS and SR using the canine femoral multidefect
(CFMD) model. Mineralized cancellous allograft (MCA) was used as a standardized
scaffold for cell transplantation. Two experiments were performed using a cohort
of six animals in each comparison. In Cohort I, unprocessed bone marrow aspirate
(BMA) clot was compared to DS processing. MCA combined with raw BMA or DS
processed cells produced a robust and advanced stage of bone regeneration
throughout the defect in 4 weeks with reconstitution of hematopoietic marrow.
However, the retention of DS processed cells and CTP-Os in the MCA matrix was low
compared to BMA clot. In Cohort II, MCA with DS-T cells (addition of calcium
chloride thrombin to induce clotting and enhance cell and CTP-O retention) was
compared to MCA with SR cells. A mean of 276 +/- 86 million nucleated cells and
29,030 +/- 10,510 CTP-Os were implanted per defect in the DS-T group. A mean of
76 +/- 42 million nucleated cells and 30,266 +/- 15,850 CTP-Os were implanted in
the SR group. Bone formation was robust and not different between treatments.
Histologically, both groups demonstrated regeneration of hematopoietic marrow
tissue. However, SR sites contained more hematopoietic vascular tissues, less
fibrosis, and less residual allograft, particularly in the intramedullary cavity,
suggesting a more advanced stage of remodeling (p = 0.04). These data demonstrate
excellent overall performance of DS and SR processing methods. Both methods
achieve a bone regeneration response that approaches the limits of performance
that can be achieved in the CFMD model. Further advancement and comparison of
these intraoperative bone marrow cell processing methods will require use of a
larger and more biologically compromised defect site to guide the next steps of
preclinical development and optimization.
PMID- 26538089
TI - Ankyrin-B directs membrane tethering of periaxin and is required for maintenance
of lens fiber cell hexagonal shape and mechanics.
AB - Periaxin (Prx), a PDZ domain protein expressed preferentially in myelinating
Schwann cells and lens fibers, plays a key role in membrane scaffolding and
cytoarchitecture. Little is known, however, about how Prx is anchored to the
plasma membrane. Here we report that ankyrin-B (AnkB), a well-characterized
adaptor protein involved in linking the spectrin-actin cytoskeleton to integral
membrane proteins, is required for membrane association of Prx in lens fibers and
colocalizes with Prx in hexagonal fiber cells. Under AnkB haploinsufficiency, Prx
accumulates in the soluble fraction with a concomitant loss from the membrane
enriched fraction of mouse lenses. Moreover, AnkB haploinsufficiency induced age
dependent disruptions in fiber cell hexagonal geometry and radial alignment and
decreased compressive stiffness in mouse lenses parallel to the changes observed
in Prx null mouse lens. Both AnkB- and Prx-deficient mice exhibit disruptions in
membrane organization of the spectrin-actin network and the dystrophin
glycoprotein complex in lens fiber cells. Taken together, these observations
reveal that AnkB is required for Prx membrane anchoring and for maintenance of
lens fiber cell hexagonal geometry, membrane skeleton organization, and
biomechanics.
PMID- 26538091
TI - More roles for the (passive) giant. Focus on "The increase in non-cross-bridge
forces after stretch of activated striated muscle is related to titin isoforms".
PMID- 26538090
TI - The vascular Ca2+-sensing receptor regulates blood vessel tone and blood
pressure.
AB - The extracellular calcium-sensing receptor CaSR is expressed in blood vessels
where its role is not completely understood. In this study, we tested the
hypothesis that the CaSR expressed in vascular smooth muscle cells (VSMC) is
directly involved in regulation of blood pressure and blood vessel tone. Mice
with targeted CaSR gene ablation from vascular smooth muscle cells (VSMC) were
generated by breeding exon 7 LoxP-CaSR mice with animals in which Cre recombinase
is driven by a SM22alpha promoter (SM22alpha-Cre). Wire myography performed on
Cre-negative [wild-type (WT)] and Cre-positive
(SM22alpha)CaSR(Deltaflox/Deltaflox) [knockout (KO)] mice showed an endothelium
independent reduction in aorta and mesenteric artery contractility of KO compared
with WT mice in response to KCl and to phenylephrine. Increasing extracellular
calcium ion (Ca(2+)) concentrations (1-5 mM) evoked contraction in WT but only
relaxation in KO aortas. Accordingly, diastolic and mean arterial blood pressures
of KO animals were significantly reduced compared with WT, as measured by both
tail cuff and radiotelemetry. This hypotension was mostly pronounced during the
animals' active phase and was not rescued by either nitric oxide-synthase
inhibition with nitro-l-arginine methyl ester or by a high-salt-supplemented
diet. KO animals also exhibited cardiac remodeling, bradycardia, and reduced
spontaneous activity in isolated hearts and cardiomyocyte-like cells. Our
findings demonstrate a role for CaSR in the cardiovascular system and suggest
that physiologically relevant changes in extracellular Ca(2+) concentrations
could contribute to setting blood vessel tone levels and heart rate by directly
acting on the cardiovascular CaSR.
PMID- 26538092
TI - AIP1 is a novel Agenet/Tudor domain protein from Arabidopsis that interacts with
regulators of DNA replication, transcription and chromatin remodeling.
AB - BACKGROUND: DNA replication and transcription are dynamic processes regulating
plant development that are dependent on the chromatin accessibility. Proteins
belonging to the Agenet/Tudor domain family are known as histone modification
"readers" and classified as chromatin remodeling proteins. Histone modifications
and chromatin remodeling have profound effects on gene expression as well as on
DNA replication, but how these processes are integrated has not been completely
elucidated. It is clear that members of the Agenet/Tudor family are important
regulators of development playing roles not well known in plants. METHODS:
Bioinformatics and phylogenetic analyses of the Agenet/Tudor Family domain in the
plant kingdom were carried out with sequences from available complete genomes
databases. 3D structure predictions of Agenet/Tudor domains were calculated by I
TASSER server. Protein interactions were tested in two-hybrid, GST pulldown, semi
in vivo pulldown and Tandem Affinity Purification assays. Gene function was
studied in a T-DNA insertion GABI-line. RESULTS: In the present work we analyzed
the family of Agenet/Tudor domain proteins in the plant kingdom and we mapped the
organization of this family throughout plant evolution. Furthermore, we
characterized a member from Arabidopsis thaliana named AIP1 that harbors
Agenet/Tudor and DUF724 domains. AIP1 interacts with ABAP1, a plant regulator of
DNA replication licensing and gene transcription, with a plant histone
modification "reader" (LHP1) and with non modified histones. AIP1 is expressed in
reproductive tissues and its down-regulation delays flower development timing.
Also, expression of ABAP1 and LHP1 target genes were repressed in flower buds of
plants with reduced levels of AIP1. CONCLUSIONS: AIP1 is a novel Agenet/Tudor
domain protein in plants that could act as a link between DNA replication,
transcription and chromatin remodeling during flower development.
PMID- 26538093
TI - The prion-related protein (testis-specific) gene (PRNT) is highly polymorphic in
Portuguese sheep.
AB - The objective of this study was to search for polymorphisms in the ovine prion
related protein (testis-specific) gene (PRNT). Sampling included 567 sheep from
eight Portuguese breeds. The PRNT gene-coding region was analyzed by single
strand conformation polymorphism and sequencing, allowing the identification of
the first ovine PRNT polymorphisms, in codons 6, 38, 43 and 48: c.17C>T
(p.Ser6Phe, which disrupts a consensus arginine-X-X-serine/threonine motif);
c.112G>C (p.Gly38>Arg); c.129T>C and c.144A>G (synonymous) respectively.
Polymorphisms in codons 6, 38 and 48 occur simultaneously in 50.6% of the
animals, 38.8% presenting as heterozygous. To study the distribution of the
polymorphism in codon 43, a restriction fragment length polymorphism analysis was
performed. Polymorphic variant c.129C, identified in 89.8% of the animals with
32.8% presented as heterozygous, was considered the wild genotype in Portuguese
sheep. Eight different haplotypes which have comparable distribution in all
breeds were identified for the PRNT gene. In conclusion, the PRNT coding region
is highly polymorphic in sheep, unlike the prion protein 2 dublet gene (PRND), in
which we previously found only one synonymous substitution (c.78G>A), in codon
26. The absence or reduced number of PRND heterozygotes (c.78G>A) was
significantly associated with three PRNT haplotypes (17C-112G-129T-144A,17CT
112GC-129CT-144AG and 17T-112C-129C-144G), and the only three animals found
homozygous at c.78A had the 17C-112G-129C-144A PRNT haplotype. These results
constitute evidence of an association between polymorphic variation in PRND and
PRNT genes, as has already been observed for PRND and prion protein gene (PRNP).
PMID- 26538094
TI - Screening and Molecular Analysis of Single Circulating Tumor Cells Using
Micromagnet Array.
AB - Immunomagnetic assay has been developed to detect rare circulating tumor cells
(CTCs), which shows clinical significance in cancer diagnosis and prognosis. The
generation and fine-tuning of the magnetic field play essential roles in such
assay toward effective single-cell-based analyses of target cells. However, the
current assay has a limited range of field gradient, potentially leading to
aggregation of cells and nanoparticles. Consequently, quenching of the
fluorescence signal and mechanical damage to the cells may occur, which lower the
system sensitivity and specificity. We develop a micromagnet-integrated
microfluidic system for enhanced CTC detection. The ferromagnetic micromagnets,
after being magnetized, generate localized magnetic field up to 8-fold stronger
than that without the micromagnets, and strengthen the interactions between CTCs
and the magnetic field. The system is demonstrated with four cancer cell lines
with over 97% capture rate, as well as with clinical samples from breast,
prostate, lung, and colorectal cancer patients. The system captures target CTCs
from patient blood samples on a standard glass slide that can be examined using
the fluorescence in-situ hybridization method for the single-cell profiling. All
cells showed clear hybridization signals, indicating the efficacy of the compact
system in providing retrievable cells for molecular studies.
PMID- 26538095
TI - Art engagement and mental health: experiences of service users of a community
based arts programme at Tate Modern, London.
AB - OBJECTIVES: To examine the experiences of mental health service users who took
part in an arts-based programme at Tate Modern, a major London art gallery. STUDY
DESIGN: Exploratory qualitative design. METHODS: Data were collected using in
depth semi-structured interviews with 10 mental health service users who had
taken part in a community-based programme at Tate Modern. Additionally, six art
educators from Tate Modern were interviewed. Concepts that emerged from the text
were identified using thematic analysis. RESULTS: All participants valued the
gallery-based programme. The three overarching thematic areas were: the symbolic
and physical context in which the programme workshops were located; the
relational and social context of the programme workshops; and reflections on the
relationship between the arts-based programme and subsequent mental health.
CONCLUSIONS: Art galleries are increasingly seen to function as vehicles for
popular education with mental health service users. This study adds to the
growing body of evidence related to how mental health service users experience
and reflect on arts-related programmes targeted at them. This study indicates
that emphasis on how users experience gallery-based programmes may contribute to
a more nuanced understanding of the relationship between art and mental health.
PMID- 26538096
TI - Test-retest reliability of the Physical Activity Neighborhood Environment Scale
among school students in China.
PMID- 26538097
TI - Spectral splitting photovoltaics using perovskite and wideband dye-sensitized
solar cells.
AB - The extension of the light absorption of photovoltaics into the near-infrared
region is important to increase the energy conversion efficiency. Although the
progress of the lead halide perovskite solar cells is remarkable, and high
conversion efficiency of >20% has been reached, their absorption limit on the
long-wavelength side is ~800 nm. To further enhance the conversion efficiency of
perovskite-based photovoltaics, a hybridized system with near-infrared
photovoltaics is a useful approach. Here we report a panchromatic sensitizer,
coded DX3, that exhibits a broad response into the near-infrared, up to ~1100 nm,
and a photocurrent density exceeding 30 mA cm(-2) in simulated air mass 1.5
standard solar radiation. Using the DX3-based dye-sensitized solar cell in
conjunction with a perovskite cell that harvests visible light, the hybridized
mesoscopic photovoltaics achieved a conversion efficiency of 21.5% using a system
of spectral splitting.
PMID- 26538098
TI - Heritabilities of health traits in Swiss Warmblood horses.
AB - REASONS FOR PERFORMING STUDY: There is a lack of evidence regarding genetic
parameters of health traits in Swiss Warmblood horses. OBJECTIVES: To estimate
heritabilities of equine sarcoid disease, horn quality of hooves, prognathism and
increased filling of talocrural joints as a possible indicator for
osteochondrosis in Swiss Warmblood horses examined at the field tests for 3-year
olds between 2005 and 2013. STUDY DESIGN: Retrospective analysis of breed society
database. METHODS: Swiss Warmblood horses were examined clinically by 13
veterinarians at field tests in Switzerland between 2005 and 2013. The presence
of sarcoids, horn quality of the hooves, incisor occlusion and increased joint
filling were assessed and recorded. Records of 3715 horses were integrated in a
pedigree comprising 217,282 horses. Variance components and heritabilities were
estimated on the liability scale using multiple-trait Gibbs sampler for animal
models (MTGSAM). RESULTS: The prevalences of the examined traits were rather low
ranging from 2.4 to 13.0%. Heritabilities estimated were 0.21 +/- 0.07 for the
occurrence of sarcoids, 0.04 +/- 0.02 for hooves with markedly brittle and
friable horn quality, 0.03 +/- 0.01 for hooves with marked growth ring formation,
0.06 +/- 0.03 for prognathism and 0.08 +/- 0.04 for increased filling of the
talocrural joint (an indicator of possible osteochondrosis). The influence of the
examiner on the variance of these observations was considerable. CONCLUSIONS:
With the exception of equine sarcoid disease, estimates for the heritabilities
for the traits examined here were low. A standardised examination protocol may
reduce the variance due to the examiner.
PMID- 26538099
TI - Population health diagnosis with an ecohealth approach.
AB - OBJECTIVE: To analyze the characteristics of health diagnosis according to the
ecohealth approach in rural and urban communities in Mexico. METHODS: Health
diagnosis were conducted in La Nopalera, from December 2007 to October 2008, and
in Atlihuayan, from December 2010 to October 2011. The research was based on
three principles of the ecohealth approach: transdisciplinarity, community
participation, gender and equity. To collect information, a joint methodology and
several techniques were used to stimulate the participation of inhabitants. The
diagnostic exercise was carried out in five phases that went from collecting
information to prioritization of problems. RESULTS: The constitution of the
transdisciplinary team, as well as the participation of the population and the
principle of gender/equity were differentials between the communities. In the
rural community, the active participation of inhabitants and authorities was
achieved and the principles of transdisciplinarity and gender/equity were
incorporated. CONCLUSIONS: With all the difficulties that entails the boost in
participation, the incorporation of gender/equity and transdisciplinarity in
health diagnosis allowed a holistic public health approach closer to the needs of
the population.
PMID- 26538100
TI - Dentistry and HIV/AIDS related stigma.
AB - OBJECTIVE: To analyze HIV/AIDS positive individual's perception and attitudes
regarding dental services. METHODS: One hundred and thirty-four subjects (30.0%
of women and 70.0% of men) from Nuevo Leon, Mexico, took part in the study
(2014). They filled out structured, analytical, self-administered, anonymous
questionnaires. Besides the sociodemographic variables, the perception regarding
public and private dental services and related professionals was evaluated, as
well as the perceived stigma associated with HIV/AIDS, through a Likert-type
scale. The statistical evaluation included a factorial and a non-hierarchical
cluster analysis. RESULTS: Social inequalities were found regarding the search
for public and private dental professionals and services. Most subjects reported
omitting their HIV serodiagnosis and agreed that dentists must be trained and
qualified to treat patients with HIV/AIDS. The factorial analysis revealed two
elements: experiences of stigma and discrimination in dental appointments and
feelings of concern regarding the attitudes of professionals or their teams
concerning patients' HIV serodiagnosis. The cluster analysis identified three
groups: users who have not experienced stigma or discrimination (85.0%); the ones
who have not had those experiences, but feel somewhat concerned (12.7%); and the
ones who underwent stigma and discrimination and feel concerned (2.3%).
CONCLUSIONS: We observed a low percentage of stigma and discrimination in dental
appointments; however, most HIV/AIDS patients do not reveal their serodiagnosis
to dentists out of fear of being rejected. Such fact implies a workplace hazard
to dental professionals, but especially to the very own health of HIV/AIDS
patients, as dentists will not be able to provide them a proper clinical and
pharmaceutical treatment.
PMID- 26538101
TI - Evaluating the use of in-store measures in retail food stores and restaurants in
Brazil.
AB - OBJECTIVE: To assess inter-rater reliability, test-retest reliability, and
construct validity of retail food store, open-air food market, and restaurant
observation tools adapted to the Brazilian urban context. METHODS: This study is
part of a cross-sectional observation survey conducted in 13 districts across the
city of Sao Paulo, Brazil in 2010-2011. Food store and restaurant observational
tools were developed based on previously available tools, and then tested it.
They included measures on the availability, variety, quality, pricing, and
promotion of fruits and vegetables and ultra-processed foods. We used Kappa
statistics and intra-class correlation coefficients to assess inter-rater and
test-retest reliabilities in samples of 142 restaurants, 97 retail food stores
(including open-air food markets), and of 62 restaurants and 45 retail food
stores (including open-air food markets), respectively. Construct validity as the
tool's abilities to discriminate based on store types and different income
contexts were assessed in the entire sample: 305 retail food stores, 8 fruits and
vegetable markets, and 472 restaurants. RESULTS: Inter-rater and test-retest
reliability were generally high, with most Kappa values greater than 0.70 (range
0.49-1.00). Both tools discriminated between store types and neighborhoods with
different median income. Fruits and vegetables were more likely to be found in
middle to higher-income neighborhoods, while soda, fruit-flavored drink mixes,
cookies, and chips were cheaper and more likely to be found in lower-income
neighborhoods. CONCLUSIONS: The measures were reliable and able to reveal
significant differences across store types and different contexts. Although some
items may require revision, results suggest that the tools may be used to
reliably measure the food stores and restaurant food environment in urban
settings of middle-income countries. Such studies can help .inform health
promotion interventions and policies in these contexts.
PMID- 26538102
TI - Lung excision of non-small-cell lung cancer leaves cancer cells in residual lobe:
cytological detection using pulmonary vein blood.
AB - OBJECTIVES: Lung excision to treat non-small-cell lung cancer (NSCLC) is
associated with a worse prognosis when compared with a lobectomy. Cancer relapse
may be caused by tumour cells remaining in the residual lobe, the possibility of
dislodged cancer cells in the residual lobe is assessed using pulmonary vein
blood (PVB) from the resected lung. METHODS: Twenty-eight patients with
pathological stage I NSCLC who underwent lung excision followed by a lobectomy
were evaluated according to the status of isolated tumour cells (ITCs) (origin of
circulating tumour cells) in PVB from the resected lobe. Survival was also
assessed according to the status of ITCs. RESULTS: The rate of ITC presence was
60.7% and depended on margin distance/tumour size (M/T) with a threshold of 1.0
30.8% (4/13) in M/T greater than or equal to 1.0 and 86.7% (13/15) in M/T smaller
than 1.0 (P = 0.001). PVB-ITC status was no ITCs (N) in 11 (39.3%), only singular
cells (S) in 13 (50.0%) and clustered cells (C) in 4 (14.3%). In addition, the
survival status of patients with clustered cells was exclusively wrong.
CONCLUSION: After pulmonary excision for lung cancer, tumour cells remain in the
residual lobe and the morphology of which may indicate recurrence.
PMID- 26538103
TI - Minimally invasive Ivor Lewis oesophagogastrectomy in a patient with situs
inversus totalis?.
AB - Situs inversus totalis (SIT) is a rare congenital condition in which the internal
organs of the thoracic and abdominal cavities experience a right-to-left
reflection across the sagittal plane. We describe a case of locally advanced
adenocarcinoma of the oesophagus treated with minimally invasive oesophagectomy
using a laparoscopic and left video-assisted thoracoscopic surgery approach in a
patient with situs inversus totalis.
PMID- 26538104
TI - Imiquimod 5% as Adjuvant Therapy for Incompletely Excised Infiltrative Nodular
Basal Cell Carcinoma and Dermoscopy to Monitor Treatment Response.
AB - INTRODUCTION: A relatively novel application for dermoscopy is its use in the
monitoring of topical treatment response for non-melanoma skin cancer. Basal cell
carcinoma (BCC) is the most frequent type of skin cancer in humans. Surgical
excision is still considered the "gold-standard" of treatment. However, a number
of topical therapies are now available for the treatment of different types of
basal cell carcinoma. CASE REPORT: This case report exemplifies the usefulness of
dermoscopy in the monitoring of residual disease after incomplete surgical
excision and also in the monitoring of topical treatment response. Imiquimod 5%
cream acts as a topical immune response modifier promoting a Th-1 immune response
enhancing the removal of neoplastic cells and has proven to reduce deregulated
Hedgehog (HH)/GLI signal strength independent of Toll-like receptor signaling,
which makes it a valuable adjuvant topical therapy for the treatment of basal
cell carcinoma. CONCLUSION: Imiquimod 5% cream is a valuable adjuvant therapy for
the treatment of incompletely excised BCC. This case report adds further evidence
to the usefulness of dermoscopy in the assessment and monitoring of treatment
outcome.
PMID- 26538105
TI - Pelvic floor muscle training for erectile dysfunction and climacturia 1 year
after nerve sparing radical prostatectomy: a randomized controlled trial.
AB - This study aimed to determine whether patients with persistent erectile
dysfunction (ED), minimum 12 months after radical prostatectomy (RP), experienced
a better recovery of erectile function (EF) with pelvic floor muscle training
(PFMT) compared with patients without this intervention. Second, we aimed to
investigate the effect of PFMT on climacturia. All patients, who underwent RP,
with persistent ED of minimum 1 year post operation were eligible. The treatment
group started PFMT immediately at 12 months post operation and the control group
started at 15 months after RP. All patients received PFMT during 3 months. The
sample size needed to detect with 80% power a 6 points-difference regarding the
EF-domain of the International Index of Erectile Function (IIEF), was at least 12
subjects per group. Patients were evaluated using the IIEF and questioned
regarding climacturia. Differences between groups at 15 months were evaluated
with Mann-Whitney U-test and Fisher's exact test. As a result, the treatment
group had a significantly better EF than the control group at 15 months after
surgery (P=0.025). Other subdomains of the IIEF remained constant for both
groups. The effect of PFMT was maintained during follow-up. At 15 months, a
significantly higher percentage of patients in the treatment group showed an
improvement regarding climacturia (P=0.004).
PMID- 26538106
TI - Inferring plant microRNA functional similarity using a weighted protein-protein
interaction network.
AB - BACKGROUND: MiRNAs play a critical role in the response of plants to abiotic and
biotic stress. However, the functions of most plant miRNAs remain unknown.
Inferring these functions from miRNA functional similarity would thus be useful.
This study proposes a new method, called PPImiRFS, for inferring miRNA functional
similarity. RESULTS: The functional similarity of miRNAs was inferred from the
functional similarity of their target gene sets. A protein-protein interaction
network with semantic similarity weights of edges generated using Gene Ontology
terms was constructed to infer the functional similarity between two target genes
that belong to two different miRNAs, and the score for functional similarity was
calculated using the weighted shortest path for the two target genes through the
whole network. The experimental results showed that the proposed method was more
effective and reliable than previous methods (miRFunSim and GOSemSim) applied to
Arabidopsis thaliana. Additionally, miRNAs responding to the same type of stress
had higher functional similarity than miRNAs responding to different types of
stress. CONCLUSIONS: For the first time, a protein-protein interaction network
with semantic similarity weights generated using Gene Ontology terms was employed
to calculate the functional similarity of plant miRNAs. A novel method based on
calculating the weighted shortest path between two target genes was introduced.
PMID- 26538107
TI - Feelings of worthlessness during a single complicated major depressive episode
predict postremission suicide attempt.
AB - OBJECTIVE: To establish which symptoms of major depressive episode (MDE) predict
postremission suicide attempts in complicated single-episode cases. METHOD: Using
the nationally representative two-wave National Epidemiologic Survey on Alcohol
and Related Conditions data set, we identified wave 1 lifetime single-episode MDE
cases in which the episode remitted by the beginning of the wave 2 three-year
follow-up period (N = 2791). The analytic sample was further limited to
'complicated' cases (N = 1872) known to have elevated suicide attempt rates,
defined as having two or more of the following: suicidal ideation, marked role
impairment, feeling worthless, psychomotor retardation, and prolonged (>6 months)
duration. RESULTS: Logistic regression analyses showed that, after controlling
for wave 1 suicide attempt which significantly predicted postremission suicide
attempt (OR = 10.0), the additional complicated symptom 'feelings of
worthlessness' during the wave 1 index episode significantly and very
substantially predicted postremission suicide attempt (OR = 6.96). Neither wave 1
psychomotor retardation nor wave 1 suicidal ideation nor any of the other wave 1
depressive symptoms were significant predictors of wave 2 suicide attempt.
CONCLUSION: Among depressive symptoms during an MDE, feelings of worthlessness is
the only significant indicator of elevated risk of suicide attempt after the
episode has remitted, beyond previous suicide attempts.
PMID- 26538108
TI - Catalytic Depolymerization of Chitin with Retention of N-Acetyl Group.
AB - Chitin, a polymer of N-acetylglucosamine units with beta-1,4-glycosidic linkages,
is the most abundant marine biomass. Chitin monomers containing N-acetyl groups
are useful precursors to various fine chemicals and medicines. However, the
selective conversion of robust chitin to N-acetylated monomers currently requires
a large excess of acid or a long reaction time, which limits its application. We
demonstrate a fast catalytic transformation of chitin to monomers with retention
of N-acetyl groups by combining mechanochemistry and homogeneous catalysis.
Mechanical-force-assisted depolymerization of chitin with a catalytic amount of
H2SO4 gave soluble short-chain oligomers. Subsequent hydrolysis of the ball
milled sample provided N-acetylglucosamine in 53% yield, and methanolysis
afforded 1-O-methyl-N-acetylglucosamine in yields of up to 70%. Our process can
greatly reduce the use of acid compared to the conventional process.
PMID- 26538109
TI - An exploratory pilot study to assess self-perceived changes among social
assistance recipients regarding employment prospects after receiving dental
treatment.
AB - BACKGROUND: Strengthening self-efficacy in job-seeking among individuals with
dental problems has been identified as an important factor in facilitating job
procurement and maintenance. There is no knowledge about whether receiving dental
treatment improves someone's self-efficacy in seeking a job. This work explores
this relationship. METHODS: An exploratory pilot study of a convenience sample of
30 social assistance recipients of Ontario, Canada, was conducted using a pre-
and post-dental treatment survey, which included both quantitative and
qualitative components. The survey included two validated instruments Oral Health
Impact Profile (OHIP-14) and Job-Seeking Self-efficacy scale (JSS). Changes in
scores of both scales following dental treatment were calculated. Pearson
correlation was performed between OHIP-14 and JSS scores. Qualitative data were
transcribed and interrelated ideas were grouped together to generate themes.
RESULTS: Mean scores for OHIP-14 (23.4 to 6.7, p < 0.001, effect size: 1.75) and
median scores for JSS (4.9 to 5.5, p = 0.002, effect size: 0.40) changed
significantly after receiving dental treatment. A significant negative
correlation (-0.56, p = 0.001) was observed between OHIP-14 and JSS scores
indicating that job-seeking self-efficacy improves with improvement in oral
health related quality of life (OHRQoL). Qualitative analysis reveals
participants' physical and psychosocial impacts of dental problems; barriers
experienced in accessing dental care and seeking a job; and changes perceived
after receiving dental care. CONCLUSION: Results of our survey indicate that
social assistance recipients experience negative impacts of dental problems and
perceive improvements in OHRQoL and job-seeking self-efficacy after receiving
dental treatment.
PMID- 26538110
TI - Direct printing of patterned three-dimensional ultrafine fibrous scaffolds by
stable jet electrospinning for cellular ingrowth.
AB - Electrospinning has been widely used to produce ultrafine fibers in microscale
and nanoscale; however, traditional electrospinning processes are currently beset
by troublesome limitations in fabrication of 3D periodic porous structures
because of the chaotic nature of the electrospinning jet. Here we report a novel
strategy to print 3D poly(L-lactic acid) (PLLA) ultrafine fibrous scaffolds with
the fiber diameter of approximately 2 MUm by combining a stable jet
electrospinning method and an X-Y stage technique. Our approach allows linearly
deposited electrospun ultrafine fibers to assemble into 3D structures with
tunable pore sizes and desired patterns. Process conditions (e.g., plotting
speed, feeding rate, and collecting distance) were investigated in order to
achieve stable jet printing of ultrafine PLLA fibers. The proposed 3D scaffold
was successfully used for cell penetration and growth, demonstrating great
potential for tissue engineering applications.
PMID- 26538112
TI - Fossils of parasites: what can the fossil record tell us about the evolution of
parasitism?
AB - Parasites are common in many ecosystems, yet because of their nature, they do not
fossilise readily and are very rare in the geological record. This makes it
challenging to study the evolutionary transition that led to the evolution of
parasitism in different taxa. Most studies on the evolution of parasites are
based on phylogenies of extant species that were constructed based on
morphological and molecular data, but they give us an incomplete picture and
offer little information on many important details of parasite-host interactions.
The lack of fossil parasites also means we know very little about the roles that
parasites played in ecosystems of the past even though it is known that parasites
have significant influences on many ecosystems. The goal of this review is to
bring attention to known fossils of parasites and parasitism, and provide a
conceptual framework for how research on fossil parasites can develop in the
future. Despite their rarity, there are some fossil parasites which have been
described from different geological eras. These fossils include the free-living
stage of parasites, parasites which became fossilised with their hosts, parasite
eggs and propagules in coprolites, and traces of pathology inflicted by parasites
on the host's body. Judging from the fossil record, while there were some
parasite-host relationships which no longer exist in the present day, many
parasite taxa which are known from the fossil record seem to have remained
relatively unchanged in their general morphology and their patterns of host
association over tens or even hundreds of millions of years. It also appears that
major evolutionary and ecological transitions throughout the history of life on
Earth coincided with the appearance of certain parasite taxa, as the appearance
of new host groups also provided new niches for potential parasites. As such,
fossil parasites can provide additional data regarding the ecology of their
extinct hosts, since many parasites have specific life cycles and transmission
modes which reflect certain aspects of the host's ecology. The study of fossil
parasites can be conducted using existing techniques in palaeontology and
palaeoecology, and microscopic examination of potential material such as
coprolites may uncover more fossil evidence of parasitism. However, I also urge
caution when interpreting fossils as examples of parasites or parasitism-induced
traces. I point out a number of cases where parasitism has been spuriously
attributed to some fossil specimens which, upon re-examination, display traits
which are just as (if not more) likely to be found in free-living taxa. The study
of parasite fossils can provide a more complete picture of the ecosystems and
evolution of life throughout Earth's history.
PMID- 26538111
TI - 2D cine DENSE with low encoding frequencies accurately quantifies cardiac
mechanics with improved image characteristics.
AB - BACKGROUND: Displacement Encoding with Stimulated Echoes (DENSE) encodes
displacement into the phase of the magnetic resonance signal. The encoding
frequency (ke) maps the measured phase to tissue displacement while the strength
of the encoding gradients affects image quality. 2D cine DENSE studies have used
a ke of 0.10 cycles/mm, which is high enough to remove an artifact-generating
echo from k-space, provide high sensitivity to tissue displacements, and dephase
the blood pool. However, through-plane dephasing can remove the unwanted echo and
dephase the blood pool without relying on high ke. Additionally, the high
sensitivity comes with the costs of increased phase wrapping and intra-voxel
dephasing. We hypothesized that ke below 0.10 cycles/mm can be used to improve
image characteristics and provide accurate measures of cardiac mechanics.
METHODS: Spiral cine DENSE images were obtained for 10 healthy subjects and 10
patients with a history of heart disease on a 3 T Siemens Trio. A mid-ventricular
short-axis image was acquired with different ke: 0.02, 0.04, 0.06, 0.08, and 0.10
cycles/mm. Peak twist, circumferential strain, and radial strain were compared
between acquisitions employing different ke using Bland-Altman analyses and
coefficients of variation. The percentage of wrapped pixels in the phase images
at end-systole was calculated for each ke. The dephasing of the blood signal and
signal to noise ratio (SNR) were also calculated and compared. RESULTS:
Negligible differences were seen in strains and twist for all ke between 0.04 and
0.10 cycles/mm. These differences were of the same magnitude as inter-test
differences. Specifically, the acquisitions with 0.04 cycles/mm accurately
quantified cardiac mechanics and had zero phase wrapping. Compared to 0.10
cycles/mm, the acquisitions with 0.04 cycles/mm had 9 % greater SNR and
negligible differences in blood pool dephasing. CONCLUSIONS: For 2D cine DENSE
with through-plane dephasing, the encoding frequency can be lowered to 0.04
cycles/mm without compromising the quantification of twist or strain. The amount
of wrapping can be reduced with this lower value to greatly simplify the input to
unwrapping algorithms. The strain and twist results from studies using different
encoding frequencies can be directly compared.
PMID- 26538114
TI - The electrochemical oxidation of toluene catalysed by Co(II) in N-butyl-N
methylpyrrolidinium bis(trifluoromethylsulfonyl)imide.
AB - The electrochemical oxidation of toluene in N-butyl-N-methylpyrrolidinium
bis(trifluoromethylsulfonyl)imide ([bmpyr](+)[Ntf2](-)) was investigated by using
cyclic voltammetry and galvanostatic electrolysis in the presence of Co(II) at a
Pt disc working electrode. Cyclic voltammetry (CV) investigations revealed that
Co(II)-Co(III) oxidation is a diffusion controlled electron transfer process. The
diffusion coefficient values of Co(II) were found to increase from 0.38 * 10(-7)
to 1.9 * 10(-7) cm(2) s(-1) as the temperature was increased from 25 degrees C
to 80 degrees C. The CV peak current for toluene electro-oxidation increased by
nearly 7 fold in the presence of Co(II) demonstrating a good catalytic effect.
Co(II) catalysed galvanostatic electrolysis of toluene at room temperature has
shown that benzaldehyde was formed along with a small quantity of 3-methyl-1
hexanol.
PMID- 26538115
TI - High prevalence of contact allergy in adolescence: results from the population
based BAMSE birth cohort.
AB - BACKGROUND: Contact allergy is common among adults. However, little is known
about the prevalence in adolescents. OBJECTIVES: To assess the prevalence of
allergy to common contact allergens in Swedish adolescents in the general
population. PARTICIPANTS AND METHODS: The BAMSE cohort is a population-based
birth cohort with the main aim of studying the risk factors for asthma, rhinitis,
and atopic dermatitis. Patch testing was performed at the 16-year follow-up. The
test (TRUE Test((r)) ) was applied at home, and removed 2 days later by nurses,
who recorded and photographed the results. Dermatologists made final assessments
on the basis of photographs and protocols. RESULTS: Two thousand two hundred and
eighty-five participants (88% of all 16-year follow-up participants) were patch
tested; 15.3% had at least one positive reaction. Contact allergy was more common
in girls than in boys (17.0% versus 13.4%, p = 0.018). Sensitization to nickel
was most common (7.5%), followed by sensitization to fragrance mix I (2.1%) and p
tert-butylphenol formaldehyde resin (1.9%). Nickel allergy was more frequent in
girls (9.8% versus 4.9%, p < 0.001). Solitary sensitization to cobalt was more
common than co-sensitization to nickel and cobalt. CONCLUSIONS: The prevalence of
contact allergy in adolescents is of almost the same high magnitude as in adults.
The applied method was feasible in the population-based setting.
PMID- 26538116
TI - Diastereoselective Strategies towards Thia[n]helicenes.
AB - In the present study, we have investigated different strategies for
diastereoselective synthesis of thia[n]helicenes. We describe the introduction of
different chiral auxiliaries at various positions and investigated their effect
in the photocyclization reaction. Different chiral groups were placed at the
sterically hindered position of the helical core and their interactions with
various solvents and metals like copper were investigated. The use of Cu(I) salts
has led to high diastereoselectivity in the photocyclization process and we were
successful in obtaining the thia[5]helicene in enantiomerically pure form in good
yield. The single diastereomer obtained was characterized by X-ray
crystallography. From the study of the barrier of racemization of these
thia[5]helicenes, the stability was found to be comparable to unsubstituted
tetrathia[7]helicenes and substituted diazadithia[7]helicenes. This approach
provides an easy access to enantiopure helicenes.
PMID- 26538117
TI - Linc00152 promotes proliferation in gastric cancer through the EGFR-dependent
pathway.
AB - BACKGROUND: Linc00152 has been identified highly associated with the
tumorigenesis and development of gastric cancer, however, the detailed mechanism
of Linc00152 involved still remains unclear. METHODS: RT-PCR and western blot
were used to detect the expression of Linc00152 and EGFR. The CCK8 and EDU assay
was employed to measure cell proliferation while xenotransplantation technology
was applied in BALB/C nude mice. The interaction between lncRNA and target
protein was investigated by RNA pull-down and RNA immunoprecipitation assay.
RESULTS: In this study, we first confirmed the upregulation of cytoplasmic
expressed Linc00152 in 72 pair tissues of gastric patients. A suppression of cell
proliferation and tumor growth was obtained in MGC803 and HGC-27 cells treated
with Linc00152 shRNA. RNA pull-down and RIP assay revealed that Linc00152 could
directly bind with EGFR which caused an activation of PI3K/AKT signaling.
CONCLUSION: We first found that Linc00152 could promote tumor growth through EGFR
mediated PI3K/AKT pathway which may serve as potential targets for therapy in the
future.
PMID- 26538118
TI - Parallel Accumulation-Serial Fragmentation (PASEF): Multiplying Sequencing Speed
and Sensitivity by Synchronized Scans in a Trapped Ion Mobility Device.
AB - In liquid chromatography-mass spectrometry (LC-MS)-based proteomics, many
precursors elute from the column simultaneously. In data-dependent analyses,
these precursors are fragmented one at a time, whereas the others are discarded
entirely. Here we employ trapped ion mobility spectrometry (TIMS) on an
orthogonal quadrupole time-of-flight (QTOF) mass spectrometer to remove this
limitation. In TIMS, all precursor ions are accumulated in parallel and released
sequentially as a function of their ion mobility. Instead of selecting a single
precursor mass with the quadrupole mass filter, we here implement synchronized
scans in which the quadrupole is mass positioned with sub-millisecond switching
times at the m/z values of appropriate precursors, such as those derived from a
topN precursor list. We demonstrate serial selection and fragmentation of
multiple precursors in single 50 ms TIMS scans. Parallel accumulation-serial
fragmentation (PASEF) enables hundreds of MS/MS events per second at full
sensitivity. Modeling the effect of such synchronized scans for shotgun
proteomics, we estimate that about a 10-fold gain in sequencing speed should be
achievable by PASEF without a decrease in sensitivity.
PMID- 26538119
TI - Rough-set-based ADR signaling from spontaneous reporting data with missing
values.
AB - Spontaneous reporting systems of adverse drug events have been widely established
in many countries to collect as could as possible all adverse drug events to
facilitate the detection of suspected ADR signals via some statistical or data
mining methods. Unfortunately, due to privacy concern or other reasons, the
reporters sometimes may omit consciously some attributes, causing many missing
values existing in the reporting database. Most of research work on ADR detection
or methods applied in practice simply adopted listwise deletion to eliminate all
data with missing values. Very little work has noticed the possibility and
examined the effect of including the missing data in the process of ADR
detection. This paper represents our endeavor towards the exploration of this
question. We aim at inspecting the feasibility of applying rough set theory to
the ADR detection problem. Based on the concept of utilizing characteristic set
based approximation to measure the strength of ADR signals, we propose twelve
different rough set based measuring methods and show only six of them are
feasible for the purpose. Experimental results conducted on the FARES database
show that our rough-set-based approach exhibits similar capability in timeline
warning of suspicious ADR signals as traditional method with missing deletion,
and sometimes can yield noteworthy measures earlier than the traditional method.
PMID- 26538120
TI - Aerobic Fitness and Inhibition in Young Children: Moderating Roles of ADHD Status
and Age.
AB - We examined the relation between aerobic fitness and inhibition in young children
with and without attention deficit hyperactivity disorder (ADHD)-risk status.
Participants (91 ADHD risk, 107 typically developing, Mage = 6.83, 53.5% male,
68.2% Caucasian) completed an assessment of aerobic fitness and a flanker task
requiring variable amounts of inhibitory control. Aerobic fitness was positively
associated with inhibition. When inhibitory control demands were largest, the
relation varied as a function of ADHD-risk status such that the link between
aerobic fitness and inhibition was significant only for children with ADHD risk.
The relation between aerobic fitness, status, and inhibition was further
moderated by age for interference control. Specifically, the positive relation
between aerobic fitness and interference control was only significant for younger
children with ADHD risk. A fitness-cognition link appears in young childhood that
seems particularly salient for those in the earliest school years with ADHD risk.
The findings extend work on typically developing children and suggest that
exploring aerobic fitness interventions to address executive function impairments
in children at risk for ADHD is warranted.
PMID- 26538121
TI - The Effects of SELEX Conditions on the Resultant Aptamer Pools in the Selection
of Aptamers Binding to Bacterial Cells.
AB - Aptamers of high affinity and specificity have a wide range of analytic and
clinical applications. Selection of DNA or RNA aptamer molecules usually involves
systematic evolution of ligands via exponential enrichment (SELEX), in which a
random DNA or RNA library is incubated with a target molecule, and the
oligonucleotides that bind the target are then separated from the nonbinders, PCR
amplified, and used as refined libraries in the next round of selection.
Conventional SELEX methodologies require the use of purified target molecules and
their immobilization onto a solid support. However, purified targets from cells
are not always available, and fixing the target to a support may alter its
conformation. To overcome these problems, we have developed a SELEX technique
using live bacterial cells in suspension as targets, for selecting DNA aptamers
specific to cell-surface molecules. Through the selection of aptamers binding to
Lactobacillus acidophilus and Streptococcus pyogenes, we report here optimization
of this technique and show how varying selection conditions impact the
characteristics of resultant aptamer pools, including the binding affinity,
selectivity, and the secondary structures. We found that the use of larger
starting library sequence diversity, gel purification of the subsequent pools,
and the introduction of counter-selection resulted in a more efficient SELEX
process and more selective aptamers. A SELEX protocol with lower starting
sequence diversity, the use of heat denaturation, and the absence of counter
selection still resulted in high-affinity aptamer sequences specific to the
target cell types; however, the SELEX process was inefficient, requiring 20
rounds, and the aptamers were not specific to the strain of the bacterial cells.
Strikingly, two different SELEX methodologies yielded the same sequence that
bound strongly to the target S. pyogenes cells, suggesting the robustness of the
bacterial cell-SELEX technique.
PMID- 26538123
TI - Long-Range Effects of Na(+) Binding in Na,K-ATPase Reported by ATP.
AB - This paper addresses the question of long-range interactions between the
intramembranous cation binding sites and the cytoplasmic nucleotide binding site
of the ubiquitous ion-transporting Na,K-ATPase using (13)C cross-polarization
magic-angle spinning (CP-MAS) solid-state nuclear magnetic resonance. High
affinity ATP binding is induced by the presence of Na(+) as well as of Na-like
substances such as Tris(+), and these ions are equally efficient promoters of
nucleotide binding. CP-MAS analysis of bound ATP with Na,K-ATPase purified from
pig kidney membranes reveals subtle differences in the nucleotide interactions
within the nucleotide site depending on whether Na(+) or Tris(+) is used to
induce binding. Differences in chemical shifts for ATP atoms C1' and C5' observed
in the presence of Na(+) or Tris(+) suggest alterations in the residues
surrounding the bound nucleotide, hydrogen bonding, and/or conformation of the
ribose ring. This is taken as evidence of a long-distance communication between
the Na(+)-filled ion sites in the membrane interior and the nucleotide binding
site in the cytoplasmic domain and reflects the first conformational change
ultimately leading to phosphorylation of the enzyme. Stopped-flow fluorescence
measurements with the nucleotide analogue eosin show that the dissociation rate
constant for eosin is larger in Tris(+) than in Na(+), giving kinetic evidence of
the difference in structural effects of Na(+) and Tris(+). According to the
recent crystal structure of the E1.AlF4(-).ADP.3Na(+) form, the coupling between
the ion binding sites and the nucleotide side is mediated by, among others, the
M5 helix.
PMID- 26538122
TI - The Code of Silence: Widespread Associations Between Synonymous Codon Biases and
Gene Function.
AB - Some mutations in gene coding regions exchange one synonymous codon for another,
and thus do not alter the amino acid sequence of the encoded protein. Even though
they are often called 'silent,' these mutations may exhibit a plethora of effects
on the living cell. Therefore, they are often selected during evolution, causing
synonymous codon usage biases in genomes. Comparative analyses of bacterial,
archaeal, fungal, and human cancer genomes have found many links between a gene's
biological role and the accrual of synonymous mutations during evolution. In
particular, highly expressed genes in certain functional categories are enriched
with optimal codons, which are decoded by the abundant tRNAs, thus enhancing the
speed and accuracy of the translating ribosome. The set of genes exhibiting codon
adaptation differs between genomes, and these differences show robust
associations to organismal phenotypes. In addition to selection for translation
efficiency, other distinct codon bias patterns have been found in: amino acid
starvation genes, cyclically expressed genes, tissue-specific genes in animals
and plants, oxidative stress response genes, cellular differentiation genes, and
oncogenes. In addition, genomes of organisms harboring tRNA modifications exhibit
particular codon preferences. The evolutionary trace of codon bias patterns
across orthologous genes may be examined to learn about a gene's relevance to
various phenotypes, or, more generally, its function in the cell.
PMID- 26538124
TI - Erratum to: Dissolution Similarity Requirements: How Similar or Dissimilar Are
the Global Regulatory Expectations?
PMID- 26538125
TI - Reduction of a Whole-Body Physiologically Based Pharmacokinetic Model to
Stabilise the Bayesian Analysis of Clinical Data.
AB - Whole-body physiologically based pharmacokinetic (PBPK) models are increasingly
used in drug development for their ability to predict drug concentrations in
clinically relevant tissues and to extrapolate across species, experimental
conditions and sub-populations. A whole-body PBPK model can be fitted to clinical
data using a Bayesian population approach. However, the analysis might be time
consuming and numerically unstable if prior information on the model parameters
is too vague given the complexity of the system. We suggest an approach where (i)
a whole-body PBPK model is formally reduced using a Bayesian proper lumping
method to retain the mechanistic interpretation of the system and account for
parameter uncertainty, (ii) the simplified model is fitted to clinical data using
Markov Chain Monte Carlo techniques and (iii) the optimised reduced PBPK model is
used for extrapolation. A previously developed 16-compartment whole-body PBPK
model for mavoglurant was reduced to 7 compartments while preserving plasma
concentration-time profiles (median and variance) and giving emphasis to the
brain (target site) and the liver (elimination site). The reduced model was
numerically more stable than the whole-body model for the Bayesian analysis of
mavoglurant pharmacokinetic data in healthy adult volunteers. Finally, the
reduced yet mechanistic model could easily be scaled from adults to children and
predict mavoglurant pharmacokinetics in children aged from 3 to 11 years with
similar performance compared with the whole-body model. This study is a first
example of the practicality of formal reduction of complex mechanistic models for
Bayesian inference in drug development.
PMID- 26538126
TI - 'Vulval oedema': a conundrum!
AB - Massive oedema of the vulva appears to be a sequel of an underlying systemic
disease in pregnant women. Isolated vulval oedema in pregnancy is rare. Vulval
oedema has been treated, depending on pathophysiology, with steroids, furosemide,
albumin and continuous epidural analgaesia. We present a case of vulval oedema,
where the oedema was confined to the labia minora in a healthy young pregnant
woman. The patient was in pain and extreme discomfort due to the labial swelling,
and caesarean section was being considered for delivery as the massive oedema
would obstruct the birth canal. The swelling, however, resolved successfully by
simple drainage. In the literature, there have been cases delivered by caesarean
section as vulval swelling was causing an obstruction.
PMID- 26538127
TI - Early recognition is the key to success: pulseless electrical activity (PEA) as a
manifestation of heparin-induced anaphylactoid reaction.
AB - A 64-year-old man underwent mitral valve replacement. He was hospitalised 9 days
later because of slurring of speech and left-sided facial weakness. During
hospitalisation, unfractionated heparin (UFH) bolus and drip were initiated due
to subtherapeutic international normalised ratio. Within 5 min of UFH bolus, the
patient began to experience symptoms of dyspnoea, followed by pulseless
electrical activity arrest. He was successfully resuscitated. A decline in
platelet count >50% was noted immediately after UFH bolus and cardiac arrest. A
diagnosis of heparin-induced thrombocytopenia and anaphylactoid reaction was
considered (4Ts score of 7). Heparin was discontinued and argatroban was started.
A platelet factor 4 (PF4) assay was strongly positive. Platelet counts
subsequently improved following discontinuation of heparin.
PMID- 26538128
TI - Stranded under the Prom: impacted gravid uterus presenting as acute urinary
retention.
AB - Acute urinary retention in pregnancy secondary to an impacted uterus is a rare
occurrence. It can have non-specific presentations, leading to delay in
diagnosis, hence potentially increasing maternal and fetal morbidity and
mortality. A number of risk factors for the condition have been identified. We
describe the case of a 31-year-old woman presenting with urinary retention at 18
weeks' gestation. Clinical examination revealed features consistent with a gravid
uterus impacted in the pelvis. Management with urinary catheterisation followed
by gentle manual disimpaction of the uterus was successful.
PMID- 26538129
TI - Automated implantable cardioverter defibrillator lead infection in a patient with
previous superior vena cava thrombosis.
AB - We present a case of a 44-year-old woman who presented with cough, pleuritic
chest pain and fever leading to a diagnosis of pneumonia+/-pulmonary embolism.
She had a history of familial hypertrophic obstructive cardiomyopathy (HOCM), for
which an automated implantable cardioverter defibrillator (AICD) had been
implanted, and a subsequent superior vena cava (SVC) thrombus, for which she was
anticoagulated with warfarin. On admission, blood cultures grew a coagulase
negative Staphylococcus. CT pulmonary angiogram and transoesophageal
echocardiography (TOE) were performed and revealed large vegetations adherent to
the AICD leads with complete occlusion of the SVC. The infected leads were the
source of sepsis. Open surgery was planned. For cardiopulmonary bypass, the
venous cannula was inserted in the inferior vena cava (IVC) and a completely
bloodless field was obtained in the right atrium allowing for the extraction of
the AICD leads completely, along with the adherent vegetations from within.
PMID- 26538130
TI - Fatal fungal nephropathy in an immunocompetent host: an interesting case.
PMID- 26538131
TI - Fibrosing mediastinitis: a rare complication of histoplasmosis.
AB - We report a case of a 29-year-old man who presented with intermittent haemoptysis
for about 18 months. Previously, his symptoms had been diagnosed as
musculoskeletal pain and later as pneumonia. CT found a venous infarct in the
right lung in addition to extensive lymphadenopathy in the mediastinum and
pulmonary hila, with associated calcifications almost completely occluding the
superior vena cava and azygos vein. Further questioning revealed that the patient
had once worked on an organic farm in Colorado. Subsequent work up was positive
for histoplasmosis yeast antibodies. The patient was diagnosed with fibrosing
mediastinitis (FM) and started on itraconazole for 3 months. We note that FM is a
rare complication of histoplasmosis and can present as chronic haemoptysis.
Travel history is an important aspect of the clinical evaluation. Antifungal
agents have shown some efficacy in treating histoplasmosis-related FM.
PMID- 26538133
TI - Computational Approach to Explore the B/A Junction Free Energy in DNA.
AB - Protein-DNA interactions induce conformational changes in DNA such as B- to A
form transitions at a local level. Such transitions are associated with a
junction free energy cost at the boundary of two different conformations in a DNA
molecule. In this study, we performed umbrella sampling simulations to find the
free energy values of the B-A transition at the dinucleotide and trinucleotide
level of DNA. Using a combination of dinucleotide and trinucleotide free energy
costs obtained from simulations, we calculated the B/A junction free energy. Our
study shows that the B/A junction free energy is 0.52 kcal mol(-1) for the A
philic GG step and 1.59 kcal mol(-1) for the B-philic AA step. This observation
is in agreement with experimentally derived values. After excluding junction
effects, we obtained an absolute free energy cost for the B- to A-form conversion
for all the dinucleotide steps. These absolute free energies may be used for
predicting the propensity of structural transitions in DNA.
PMID- 26538132
TI - Genetic variations in STAT4,C2,HLA-DRB1 and HLA-DQ associated with risk of
hepatitis B virus-related liver cirrhosis.
AB - Recent genome-wide associated studies (GWASs) have revealed several common loci
associated with the risk of hepatitis B virus (HBV)- or hepatitis C virus (HCV)
related hepatocellular carcinoma (HCC). We selected 15 single nucleotide
polymorphisms (SNPs) identified through GWASs on HBV- or HCV-related HCC, and
genotyped them in two independent Chinese cohorts of chronic HBV carriers,
including 712 LC cases and 2601 controls. The association of each SNP with the
risk of HBV-related LC was assessed by meta-analysis of the two cohorts. Of the
12 SNPs reported in HBV-related HCC GWASs, five SNPs (rs7574865 in STAT4,
rs9267673 near C2, rs2647073 and rs3997872 near HLA-DRB1 and rs9275319 near HLA
DQ), were found to be significantly associated with the risk of HBV-related LC
(rs7574865: P = 1.79 * 10(-2), OR = 1.17, 95% CI = 1.03-1.34; rs9267673: P = 4.91
* 10(-4), OR = 1.37, 95% CI = 1.15-1.63; rs2647073: P = 3.53 * 10(-5), OR = 1.63,
95% CI = 1.29-2.06; rs3997872: P = 4.22 * 10(-4), OR = 1.86, 95% CI = 1.32-2.62;
rs9275319: P = 1.30 * 10(-2), OR = 1.32, 95% CI = 1.06-1.64). However, among the
three SNPs associated with the risk of HCV-related HCC in previous GWASs, none of
them showed significant association with the risk of HBV-related LC. Our results
suggested that genetic variants associated with HBV-related hepatocarcinogenesis
may already play an important role in the progression from CHB to LC.
PMID- 26538134
TI - [Controlling in outpatient radiology].
AB - Radiology is among the medical disciplines which require the highest investment
costs in the healthcare system. The need to design efficient workflows to ensure
maximum utilization of the equipment has long been known. In order to be able to
establish a sound financial plan prior to a project or equipment purchase, the
costs of an examination have to be broken down by modality and compared with the
reimbursement rates. Obviously, the same holds true for operative decisions when
scarce human resources have to be allocated. It is the task of controlling to
review the economic viability of the different modalities and ideally, the
results are incorporated into the management decision-making processes. The main
section of this article looks at the recognition and allocation of direct and
indirect costs in a medical center (Medizinisches Versorgungszentrum - MVZ) in
the German North Rhine region. The profit contribution of each examination is
determined by deducting the costs from the income generated by the treatment of
patients with either private or statutory health insurance.
PMID- 26538135
TI - [Evaluation in medical residency training programs].
AB - BACKGROUND: For resident doctors the acquisition of technical and professional
competence is decisive for the successful practice of their activities.
Competency and professional development of resident doctors benefit from regular
self-reflection and assessment by peers. While often promoted and recommended by
national educational authorities, the implementation of a robust evaluation
process in the clinical routine is often counteracted by several factors.
OBJECTIVE: The aim of the study was to test a self-developed digital evaluation
system for the assessment of radiology residents at our institute for
practicality and impact with regard to the radiological training. MATERIAL AND
METHODS: The intranet-based evaluation system was implemented in January 2014,
which allowed all Radiology consultants to submit a structured assessment of the
Radiology residents according to standardized criteria. It included 7 areas of
competency and 31 questions, as well as a self-assessment module, both of which
were filled out electronically on a 3-month basis using a 10-point scale and the
opportunity to make free text comments. The results of the mandatory self
evaluation by the residents were displayed beside the evaluation by the
supervisor. Access to results was restricted and quarterly discussions with the
residents were conducted confidentially and individually. RESULTS AND DISCUSSION:
The system was considered to be practical to use and stable in its functionality.
The centrally conducted anonymous national survey of residents revealed a
noticeable improvement of satisfaction with the institute assessment for the
criterion "regular feedback"compared to the national average. Since its
implementation the system has been further developed and extended and is now
available for other institutions.
PMID- 26538136
TI - [Local imaging of rectal cancer--update 2015: MRI as imaging biomarker].
AB - The significance of state of the art magnetic resonance imaging (MRI) for rectal
cancer goes far beyond the detection and diagnostics of local dispersion and is
increasingly focusing on patient prognosis. The identification of prognostic
factors, such as tumor (T) and nodal (N) status, involvement of the
circumferential resection margin, presence of extramural vascular invasion, tumor
response prediction following neoadjuvant therapy, therapy-related changes in
microcirculation, permeability and tissue cellularity and structured reporting
are important elements of advanced rectal cancer imaging. In this context,
multiparametric MRI is progressively evolving into a powerful imaging biomarker.
PMID- 26538137
TI - Epidemiology of hepatitis C virus infection in a large Israeli health maintenance
organization.
AB - Hepatitis C affects an estimated 130 million people worldwide and is a major
cause of chronic liver disease. This retrospective database study aims to
describe the epidemiology of HCV-infected patients in Maccabi Healthcare
Services, a 2-million-member health maintenance organization in Israel. HCV was
identified by cross-linking diagnoses, laboratory data, and dispensed HCV
treatment (1993-2013). The point-prevalence of HCV in 2012 and annual incidence
of newly-diagnosed HCV during 2003-2012 (index period) were calculated. The age
adjusted prevalence of HCV was 5.19/1,000 population (n = 10,648). The highest
prevalence was found among males and in patients aged 35-54 years. Two thirds of
HCV-infected patients were immigrants from the former Soviet Union (FSU). HCV
genotype 1 was predominant (67%). A total of 6,150 patients were newly diagnosed
with HCV infection during the index period. The age-standardized rate of newly
diagnosed HCV declined from over 50/100,000 (2003) to 15/100,000 (2012). This
rate was highest in males from the FSU, particularly for birth cohorts in 1950
70. The study results suggest that the reported incidence of HCV infection in
Israel is declining, while prevalence is particularly high among FSU immigrants
and genotype 1 is predominant. As the HCV treatment landscape evolves, these
estimates can inform future studies and health technology assessments.
PMID- 26538138
TI - Development and Validation of the Morphing Fear Questionnaire (MFQ).
AB - : Morphing fears (also called transformation obsessions) involve concerns that a
person may become contaminated by and acquire undesirable characteristics of
others. These symptoms are found in patients with obsessive-compulsive disorder
(OCD) and are thought to be related to mental contamination. Given the high
levels of distress and interference morphing fears can cause, a reliable and
valid assessment measure is needed. This article describes the development and
evaluation of the Morphing Fear Questionnaire (MFQ), a 13-item measure designed
to assess for the presence and severity of morphing fears. A sample of 900
participants took part in the research. Of these, 140 reported having a current
diagnosis of OCD (SR-OCD) and 760 reported never having had OCD (N-OCD; of whom
24 reported a diagnosis of an anxiety disorder and 23 reported a diagnosis of
depression). Factor structure, reliability and construct and criterion-related
validity were investigated. Exploratory and confirmatory factor analyses
supported a one-factor structure replicable across the N-OCD and SR-OCD group.
The MFQ was found to have high internal consistency and good temporal stability
and showed significantly greater associations with convergent measures (assessing
obsessive-compulsive symptoms, mental contamination, thought-action fusion and
magical thinking) than with divergent measures (assessing depression and
anxiety). Moreover, the MFQ successfully discriminated between the SR-OCD sample
and the N-OCD group, anxiety disorder sample and depression sample. These
findings suggest that the MFQ has sound psychometric properties and that it can
be used to assess morphing fear. Clinical implications are discussed. Copyright
(c) 2015 John Wiley & Sons, Ltd. KEY PRACTITIONER MESSAGE: Little remains known
about morphing fears, but it is an important area of investigation due to
symptoms being highly distressing and often debilitating Because morphing fears
commonly present as obscure symptoms, they may not be recognized as a type of OCD
The MFQ is a robust measure with clinical utility; it can facilitate recognition
and assessment of morphing fears The MFQ will allow for further investigations of
the prevalence, correlates and treatment outcomes of morphing fears.
PMID- 26538139
TI - Some thoughts about recurrent Takotsubo syndrome attacks in a child with
seizures.
PMID- 26538140
TI - Five minutes with...Joanna Rose.
PMID- 26538141
TI - A Hybrid Drug Limits Resistance by Evading the Action of the Multiple Antibiotic
Resistance Pathway.
AB - Hybrid drugs are a promising strategy to address the growing problem of drug
resistance, but the mechanism by which they modulate the evolution of resistance
is poorly understood. Integrating high-throughput resistance measurements and
genomic sequencing, we compared Escherichia coli populations evolved in a hybrid
antibiotic that links ciprofloxacin and neomycin B with populations evolved in
combinations of the component drugs. We find that populations evolved in the
hybrid gain less resistance than those evolved in an equimolar mixture of the
hybrid's components, in part because the hybrid evades resistance mediated by the
multiple antibiotic resistance (mar) operon. Furthermore, we find that the
ciprofloxacin moiety of the hybrid inhibits bacterial growth whereas the neomycin
B moiety diminishes the effectiveness of mar activation. More generally,
comparing the phenotypic and genotypic paths to resistance across different drug
treatments can pinpoint unique properties of new compounds that limit the
emergence of resistance.
PMID- 26538142
TI - Evolution of SUMO Function and Chain Formation in Insects.
AB - SUMOylation, the covalent binding of Small Ubiquitin-like Modifier (SUMO) to
target proteins, is a posttranslational modification that regulates critical
cellular processes in eukaryotes. In insects, SUMOylation has been studied in
holometabolous species, particularly in the dipteran Drosophila melanogaster,
which contains a single SUMO gene (smt3). This has led to the assumption that
insects contain a single SUMO gene. However, the analysis of insect genomes shows
that basal insects contain two SUMO genes, orthologous to vertebrate SUMO1 and
SUMO2/3. Our phylogenetical analysis reveals that the SUMO gene has been
duplicated giving rise to SUMO1 and SUMO2/3 families early in Metazoan evolution,
and that later in insect evolution the SUMO1 gene has been lost after the
Hymenoptera divergence. To explore the consequences of this loss, we have
examined the characteristics and different biological functions of the two SUMO
genes (SUMO1 and SUMO3) in the hemimetabolous cockroach Blattella germanica and
compared them with those of Drosophila Smt3. Here, we show that the metamorphic
role of the SUMO genes is evolutionary conserved in insects, although there has
been a regulatory switch from SUMO1 in basal insects to SUMO3 in more derived
ones. We also show that, unlike vertebrates, insect SUMO3 proteins cannot form
polySUMO chains due to the loss of critical lysine residues within the N-terminal
part of the protein. Furthermore, the formation of polySUMO chains by expression
of ectopic human SUMO3 has a deleterious effect in Drosophila. These findings
contribute to the understanding of the functional consequences of the evolution
of SUMO genes.
PMID- 26538143
TI - Acute hemodynamic effects of adaptive servoventilation in patients with pre
capillary and post-capillary pulmonary hypertension.
AB - RATIONALE: The hemodynamic effects of adaptive servoventilation (ASV) in patients
with pulmonary hypertension (PH) are unknown. METHODS: A series of clinically
stable patients with pre- or post-capillary PH underwent ASV therapy
(endexpiratory positive airway pressure support 12-14 cm H2O, pressure support 4
10 cm H2O) during right heart catheterization. Hemodynamics were measured at
rest, at the end of a 15-min episode of ASV therapy, and 15 min after ASV
completion. Hemodynamic variables included heart rate, blood pressure, right
atrial pressure (RAP), mean pulmonary artery pressure (PAPm), pulmonary arterial
wedge pressure (PAWP), cardiac output and pulmonary vascular resistance (PVR).
RESULTS: The study enrolled 33 patients; 12 patients with post-capillary PH due
to heart failure with preserved ejection fraction, and 21 patients with pre
capillary PH due to pulmonary arterial hypertension (n = 8) or chronic
thromboembolic pulmonary hypertension (n = 13). ASV was well tolerated by all
patients and resulted in reductions in systolic blood pressure (-8 mmHg, p =
0.01), PAPm (-5 mmHg, p <0.001) and PVR (-10%, p = 0.01). Right and left filling
pressure increased, while the cardiac output decreased (-0.4 L/min; p < 0.001).
The hemodynamic effects of ASV were similar in both patient populations.
CONCLUSIONS: ASV had moderate hemodynamic effects in patients with PH of various
origins, most importantly a decline in systolic blood pressure, PAPm and cardiac
output. ASV was safe and well tolerated during this short-term study, but the
observed drop in blood pressure and cardiac output may be of concern if ASV is
applied in patients with advanced PH and severely impaired right ventricular
function.
PMID- 26538144
TI - Doxorubicin and carboplatin trials in Tasmanian devils (Sarcophilus harrisii)
with Tasmanian devil facial tumor disease.
AB - The devil facial tumor disease (DFTD) is having a devastating impact on Tasmanian
devils (Sarcophilus harrisii) (devils) in the wild. Only a single study has been
published regarding treatment of DFTD, where vincristine was not found to be an
effective chemotherapeutic agent. In the current study, devils were treated with
escalating dosages of carboplatin (8-26 mg/kg) (n = 13) and doxorubicin (0.75-1.0
mg/kg) (n = 5). Dosages for carboplatin (20 mg/kg) and doxorubicin (1.0 mg/kg)
were identified as maximally tolerated dosages. Limiting toxicities for
carboplatin were anorexia and weight loss (gastrointestinal signs) and azotemia.
Limiting toxicities for doxorubicin were neutropenia, anorexia and weight loss.
None of the treated devils responded to either drug, suggesting that, based on
the clonality of this tumour, it is unlikely that either drug individually or in
combination would be effective treatments for DFTD. These results, however,
provide valuable information for practitioners who may choose to treat other
neoplastic diseases in the devil or other marsupials. In addition, these results
show that even drugs that are metabolized and excreted in the same manner can be
tolerated to different degrees by the same species.
PMID- 26538146
TI - Enhancement of acid-sensing ion channel activity by metabotropic P2Y UTP
receptors in primary sensory neurons.
AB - Peripheral purinergic signaling plays an important role in nociception.
Increasing evidence suggests that metabotropic P2Y receptors are also involved,
but little is known about the underlying mechanism. Herein, we report that
selective P2Y receptor agonist uridine 5'-triphosphate (UTP) can exert an
enhancing effect on the functional activity of acid-sensing ion channels (ASICs),
key sensors for extracellular protons, in rat dorsal root ganglia (DRG) neurons.
First, UTP dose-dependently increased the amplitude of ASIC currents. UTP also
shifted the concentration-response curve for proton upwards, with a 56.6 +/- 6.4%
increase of the maximal current response to proton. Second, UTP potentiation of
proton-gated currents can be mimicked by adenosine 5'-triphosphate (ATP), but not
by P2Y1 receptor agonist ADP. Potentiation of UTP was blocked by P2Y receptor
antagonist suramin and by inhibition of intracellular G protein, phospholipase C
(PLC), protein kinase C (PKC), or protein interacting with C-kinase 1 (PICK1)
signaling. Third, UTP altered acidosis-evoked membrane excitability of DRG
neurons and caused a significant increase in the amplitude of the depolarization
and the number of spikes induced by acid stimuli. Finally, UTP dose-dependently
exacerbated nociceptive responses to injection of acetic acid in rats. These
results suggest that UTP enhanced ASIC-mediated currents and nociceptive
responses, which reveal a novel peripheral mechanism underlying UTP-sensitive
P2Y2 receptor involvement in hyperalgesia by sensitizing ASICs in primary sensory
neurons.
PMID- 26538147
TI - PTGER4 gene variant rs76523431 is a candidate risk factor for radiological joint
damage in rheumatoid arthritis patients: a genetic study of six cohorts.
AB - INTRODUCTION: Prostaglandin E receptor 4 (PTGER4) is implicated in immune
regulation and bone metabolism. The aim of this study was to analyze its role in
radiological joint damage in rheumatoid arthritis (RA). METHODS: Six independent
cohorts of patients with RA of European or North American descent were included,
comprising 1789 patients with 5083 sets of X-rays. The Hospital Clinico San
Carlos Rheumatoid Arthritis, Princesa Early Arthritis Register Longitudinal
study, and Hospital Universitario de La Paz early arthritis (Spain) cohorts were
used as discovery cohorts, and the Leiden Early Arthritis Clinic (The
Netherlands), Wichita (United States), and National Databank for Rheumatic
Diseases (United States and Canada) cohorts as replication cohorts. First, the
PTGER4 rs6896969 single-nucleotide polymorphism (SNP) was genotyped using TaqMan
assays and available Illumina Immunochip data and studied in the discovery and
replication cohorts. Second, the PTGER4 gene and adjacent regions were analyzed
using Immunochip genotyping data in the discovery cohorts. On the basis of pooled
p values, linkage disequilibrium structure of the region, and location in regions
with transcriptional properties, SNPs were selected for replication. The results
from discovery, replication, and overall cohorts were pooled using inverse
variance-weighted meta-analysis. Influence of the polymorphisms on the overall
radiological damage (constant effect) and on damage progression over time (time
varying effect) was analyzed. RESULTS: The rs6896969 polymorphism showed a
significant association with radiological damage in the constant effect pooled
analysis of the discovery cohorts, although no significant association was
observed in the replication cohorts or the overall pooled analysis. Regarding the
analysis of the PTGER4 region, 976 variants were analyzed in the discovery
cohorts. From the constant and time-varying effect analyses, 12 and 20 SNPs,
respectively, were selected for replication. Only the rs76523431 variant showed a
significant association with radiographic progression in the time-varying effect
pooled analysis of the discovery, replication, and overall cohorts. The overall
pooled effect size was 1.10 (95 % confidence interval 1.05-1.14, p = 2.10 * 10(
5)), meaning that radiographic yearly progression was 10 % greater for each copy
of the minor allele. CONCLUSIONS: The PTGER4 gene is a candidate risk factor for
radiological progression in RA.
PMID- 26538148
TI - Intermediate-risk patients with three to four small adenomas should be considered
low risk for colorectal cancer screening.
AB - BACKGROUND AND AIM: Intermediate-risk patients following a colorectal cancer
screening program may have differential risk of advanced lesions depending on the
findings of an index colonoscopy. The aim of the present study was to
comparatively assess advanced colorectal neoplasia risk at the first follow-up
colonoscopy among the different intermediate-risk subgroups with a focus on
patients with three to four adenomas. METHODS: All patients recruited for a
baseline screening colonoscopy between 2006 and 2011 were included. Number, size
and histopathological characteristics of adenomas were collected. Main outcome
was an advanced colorectal neoplasia detection rate (invasive carcinoma or
advanced adenoma) at the first follow-up colonoscopy. Low- and high-risk patients
were excluded. RESULTS: Five hundred and sixty-one intermediate-risk patients
(63.3% men, mean age: 59.01 +/- 6.16 years) underwent indexing and follow-up
colonoscopy. By multivariate analysis, three to four adenomas (OR: 3.613 [95% CI:
1.661-7.859], P = 0.001) and adenoma size >=10 <20 mm (OR: 3.374 [95% CI: 1.618
7.034], P = 0.001) were independent factors associated with advanced colorectal
neoplasia. Advanced lesions were detected in 7.66% of cases. Of patients with
advanced colorectal neoplasia, 51.16% belonged to the three-to-four-adenoma group
and >=1 of >=10 <20-mm subgroups (n = 132, 23.53%). These patients demonstrated a
higher rate of advanced lesions [OR: 3.886 (95% CI: 2.061-7.325), P < 0.001] than
patients with three to four small adenomas of <10 mm (16.67% vs 5.07%, P <
0.001). The association between patients with small adenomas (n = 217, 38.68%)
and advanced lesions was not significant (OR: 0.521 [95% CI: 0257-1.056], P =
0.066). CONCLUSION: Intermediate-risk patients with three to four small adenomas
achieved a very low advanced lesion rate at follow up. Surveillance interval
should be lengthened because these patients should be considered low risk.
PMID- 26538149
TI - Viral gene transfer of APPsalpha rescues synaptic failure in an Alzheimer's
disease mouse model.
AB - Alzheimer's disease (AD) is characterized by synaptic failure, dendritic and
axonal atrophy, neuronal death and progressive loss of cognitive functions. It is
commonly assumed that these deficits arise due to beta-amyloid accumulation and
plaque deposition. However, increasing evidence indicates that loss of
physiological APP functions mediated predominantly by neurotrophic APPsalpha
produced in the non-amyloidogenic alpha-secretase pathway may contribute to AD
pathogenesis. Upregulation of APPsalpha production via induction of alpha
secretase might, however, be problematic as this may also affect substrates
implicated in tumorigenesis. Here, we used a gene therapy approach to directly
overexpress APPsalpha in the brain using AAV-mediated gene transfer and explored
its potential to rescue structural, electrophysiological and behavioral deficits
in APP/PS1?E9 AD model mice. Sustained APPsalpha overexpression in aged mice with
already preexisting pathology and amyloidosis restored synaptic plasticity and
partially rescued spine density deficits. Importantly, AAV-APPsalpha treatment
also resulted in a functional rescue of spatial reference memory in the Morris
water maze. Moreover, we demonstrate a significant reduction of soluble Abeta
species and plaque load. In addition, APPsalpha induced the recruitment of
microglia with a ramified morphology into the vicinity of plaques and upregulated
IDE and TREM2 expression suggesting enhanced plaque clearance. Collectively,
these data indicate that APPsalpha can mitigate synaptic and cognitive deficits,
despite established pathology. Increasing APPsalpha may therefore be of
therapeutic relevance for AD.
PMID- 26538150
TI - Biochemical classification of tauopathies by immunoblot, protein sequence and
mass spectrometric analyses of sarkosyl-insoluble and trypsin-resistant tau.
AB - Intracellular filamentous tau pathology is the defining feature of tauopathies,
which form a subset of neurodegenerative diseases. We have analyzed pathological
tau in Alzheimer's disease, and in frontotemporal lobar degeneration associated
with tauopathy to include cases with Pick bodies, corticobasal degeneration,
progressive supranuclear palsy, and ones due to intronic mutations in MAPT. We
found that the C-terminal band pattern of the pathological tau species is
distinct for each disease. Immunoblot analysis of trypsin-resistant tau indicated
that the different band patterns of the 7-18 kDa fragments in these diseases
likely reflect different conformations of tau molecular species. Protein sequence
and mass spectrometric analyses revealed the carboxyl-terminal region (residues
243-406) of tau comprises the protease-resistant core units of the tau
aggregates, and the sequence lengths and precise regions involved are different
among the diseases. These unique assembled tau cores may be used to classify and
diagnose disease strains. Based on these results, we propose a new
clinicopathological classification of tauopathies based on the biochemical
properties of tau.
PMID- 26538151
TI - Nodular lymphocyte predominant Hodgkin lymphoma: Clincopathological study of 25
cases from Japan with a reappraisal of tissue associated macrophages.
AB - Clinicopathological features of 25 nodular lymphocyte predominant Hodgkin
lymphoma (NLPHL) patients in Japan were analysed. To investigate the
clinicopathological significance of tissue associated macrophages (TAM) in NLPHL,
CD68 and CD163 expression were investigated. The median age at onset was 56 years
(range: 6-82 years) with male predominance (64%). All patients presented with
lymph node enlargement with predilection for cervical LNs. Seven cases (28%) had
mediastinal lesion and four (16%) had extranodal involvement. Most cases (76%)
presented with early clinical stages. After median follow up of 44 months, both
of overall and progression free survival rates were 95%. The presence of >5%
CD68+ TAM in NLPHL was significantly associated with older age at diagnosis
(median, 71 vs 52.5 years; P = 0.048), lower hemoglobin level (33.3% vs 0%; P =
0.037) and lower CR rate after initial treatment (42.9% vs 91.7%; P = 0.038). The
presence of >5% CD163+ TAM was significantly correlated with presence of B
symptoms (40% vs 0%; P = 0.036). In conclusion, NLPHL is rare among Japanese and
appears to present at an older age than among Western patients. In our series,
the presence of >5% CD68+ TAM in NLPHL was associated with lower CR rate, but
with no impact on patients' survival.
PMID- 26538152
TI - Evolution and characterization of a benzylguanine-binding RNA aptamer.
AB - Repurposing the "protein-labeling toolkit" for RNA research could be a pragmatic
approach for developing new RNA-labeling methods. We have evolved an RNA aptamer
that tightly binds benzylguanine (bG), the key ligand for the protein SNAP-tag.
The aptamer tightly binds bG fluorophores and can be purified from cellular RNA
with bG agarose under native conditions.
PMID- 26538153
TI - The spatial distribution, accumulation and potential source of seldom monitored
trace elements in sediments of Three Gorges Reservoir, China.
AB - The alteration of hydrologic condition of Three Gorges Reservoir (TGR) after
impoundment has caused numerous environmental changes. This study investigated
the distribution, accumulation and potential sources of the seldom monitored
trace elements (SMTEs) in sediments from three tributaries (ZY, MX and CT) and
one mainstream (CJ) in TGR during different seasons. The average contents of most
SMTEs excluding Sb in the winter were similar to that in the summer. For Sb, its
average concentrations in the summer and winter were roughly six and three times
higher than its background value, respectively. Contamination factor (CF) and
geoaccumulation index (Igeo) demonstrated that most of the sediments were
obviously contaminated by Sb. The enrichment factors (EF) of Ga and Sb were
higher than 2.0, revealing the possible anthropogenic inputs; However, the EFs of
other SMTEs were lower than 1.5, indicating the natural inputs. Correlation and
principal component analysis suggested the most SMTEs were positively correlated
with major elements (Cr, Mn, Cu, Zn, As, Cd and Pb) and clay contents, which
implies that SMTEs had the same sources with these major metals, and the fine
particles might be a major carrier for transporting SMTEs from the rivers to the
TGR.
PMID- 26538154
TI - Favourable outcome of posterior decompression and stabilization in lordosis for
cervical spondylotic myelopathy: the spinal cord "back shift" concept.
AB - PURPOSE: Surgical management of patients with multilevel CSM aims to decompress
the spinal cord and restore the normal sagittal alignment. The literature lacks
of high level evidences about the best surgical approach. Posterior decompression
and stabilization in lordosis allows spinal cord back shift, leading to indirect
decompression of the anterior spinal cord. The purpose of this study was to
investigate the efficacy of posterior decompression and stabilization in lordosis
for multilevel CSM. METHODS: 36 out of 40 patients were clinically assessed at a
mean follow-up of 5, 7 years. Outcome measures included EMS, mJOA Score, NDI and
SF-12. Patients were asked whether surgery met their expectations and if they
would undergo the same surgery again. Bone graft fusion, instrumental failure and
cervical curvature were evaluated. Spinal cord back shift was measured and
correlation with EMS and mJOA score recovery rate was analyzed. RESULTS: All
scores showed a significative improvement (p < 0.001), except the SF12-MCS (p >
0.05). Ninety percent of patients would undergo the same surgery again. There was
no deterioration of the cervical alignment, posterior grafted bones had
completely fused and there were no instrument failures. The mean spinal cord back
shift was 3.9 mm (range 2.5-4.5 mm). EMS and mJOA recovery rates were
significantly correlated with the postoperative posterior cord migration (P <
0.05). CONCLUSIONS: Posterior decompression and stabilization in lordosis is a
valuable procedure for patients affected by multilevel CSM, leading to
significant clinical improvement thanks to the spinal cord back shift.
Postoperative lordotic alignment of the cervical spine is a key factor for
successful treatment.
PMID- 26538155
TI - Equal contributions and credit: an emerging trend in the characterization of
authorship in major spine journals during a 10-year period.
AB - PURPOSE: The practice of giving certain authors equal credit in scientific
publications has become increasingly common in some medical specialties. However,
whether this trend also exists in major spine journals remains unclear. This
study aimed to investigate the prevalence and characteristics of the practice of
giving authors equal credit in major spine journals. METHODS: Manual searches
were performed to identify original research articles with equally credited
authors (ECA) published between January 1, 2004 and December 31, 2013 in three
major spine journals: Spine, European Spine Journal, and The Spine Journal. The
number of authors with ECA and their positions in the byline, total number of
authors, year of publication, and country of origin were analysed. RESULTS: The
practice of ECA was found in all three journals. Articles with ECA comprised a
greater proportion of the total number of publications in each journal in 2013
versus 2004 (Spine, 7.2 vs. 0.2%; European Spine Journal, 7.5 vs. 0.0%; and The
Spine Journal, 6.2 vs. 0.0%). There was a statistically significant increasing
trend in the annual proportion of papers with ECA for all three spine journals (p
< 0.0001). The practice of ECA was applied in nearly every position in the
byline, and the first two authors received equal credit in most cases. Articles
with ECA were published by authors from various countries and regions around the
world. However, none of the three spine journals provided specific guidance on
this practice in their author instructions. CONCLUSIONS: The practice of ECA in
original research articles is increasingly common in major spine journals. A
guideline for authors regarding when and how to designate equal credit is
warranted in the future.
PMID- 26538156
TI - Evaluation of the predictors of postoperative aggravation of shoulder imbalance
in severe and rigid thoracic or thoracolumbar scoliosis.
AB - OBJECTIVE: To study the predictors of postoperative aggravation of shoulder
imbalance in severe and rigid thoracic or thoracolumbar scoliosis. METHODS: In
this study, 49 patients with severe and rigid thoracic or thoracolumbar scoliosis
were analyzed retrospectively. The patients underwent whole-spine anteroposterior
and lateral radiography preoperatively and postoperatively. On the radiographs,
we measured parameters, including T1 tilt, radiographic shoulder height (RSH),
proximal curve, middle curve, distal curve, apical vertebral translation (AVT) of
the middle curve, thoracic trunk shift (TTS), coronal balance, and sagittal
balance. We regarded RSH and T1 tilt as postoperative shoulder balance parameters
and divided the patients into improved and aggravated groups of shoulder
imbalance. Univariate analysis, receiver operating characteristic (ROC) curve,
and multivariate logistic regression analyses were used in the statistical
analyses. RESULTS: The RSH was -17.01 +/- 21.85 mm before surgery and 4.76 +/-
18.11 mm at follow-up. The T1 tilt angle was -10.20 degrees +/- 19.53 degrees
before surgery and -2.72 degrees +/- 13.48 degrees at follow-up. The results of
the univariate analysis suggest that preoperative RSH and proximal to middle
curve change ratio were significantly higher in the patients in the improved RSH
group (p < 0.01). In addition, preoperative RSH, preoperative T1 tilt, and apical
vertebral translation of the middle curve were significantly higher, and
preoperative proximal curve, postoperative proximal curve, and preoperative
distal curve were significantly lower in the patients with improved T1 tilt group
(p < 0.01). In a binary logistic regression analysis, preoperative RSH [B =
0.120, odds ratio (OR) = 0.887, p = 0.006] was found to be an independent
predictor of postoperative aggravation of RSH. Similarly, preoperative T1 tilt (B
= -0.488, OR = 0.614, p = 0.001) was found to be an independent predictor of
postoperative aggravation of T1 tilt. Moreover, the relationship between changes
in RSH and T1 tilt was either concordant or discordant. CONCLUSION: Several
radiographic parameters were found to affect postoperative aggravation of RSH and
T1 tilt. In particular, preoperative RSH and T1 tilt were found to be independent
predictive factors of postoperative aggravation of RSH and T1 tilt, respectively.
PMID- 26538157
TI - Acute benign vertebral compression fractures: "see-through sign" on contrast
enhanced MR images.
AB - PURPOSE: To retrospectively evaluate the diagnostic role of the contrast-enhanced
MRI (CE-MRI) for differentiation between benign VCFs and malignant VCFs focusing
on the internal transparent trabecular bone on CE-MRI (the "see-through sign").
MATERIALS AND METHODS: The institutional review board approved this study and
informed consent was waived due to the retrospective nature of the study. From
January 2012 to December 2013, all 149 consecutive benign or malignant VCF
patients were enrolled for consideration in this study from a CE-MRI database. In
the first analysis, four radiologists independently evaluated the presence or
absence of the see-through sign. The see-through sign was defined as internal
transparent trabecular bone morphology on CE-MRI. The intraclass correlation
coefficient (ICC), percentage agreement, and Fleiss's kappa statistics were
obtained. RESULTS: Fifty-seven patients (M:F = 27:30; mean age, 63 years; age
range, 20-88 years) who diagnosed as acute benign (n = 24) and malignant (n = 33)
VCFs were finally included for the analysis. The results of all readers showed
that the see-through sign was associated with acute benign VCFs (p < 0.05). The
sensitivity, specificity, positive predictive value (PPV), negative predictive
value (NPV) of the see-through sign ranged from 75-96, 70-88, 66-85, 81-97 %,
respectively. The inter-observer reliability of the see-through sign was
sufficient with ICC = 0.847, percentage agreement = 78.9, and kappa = 0.578.
CONCLUSION: The see-through sign on CE-MRI is featured in acute benign VCFs, and
it can be a useful finding to differentiate between benign and malignant VCFs.
PMID- 26538158
TI - Pelvic incidence: an anatomic investigation of 880 cadaveric specimens.
AB - PURPOSE: Pelvic incidence is a position- and posture-independent parameter used
to quantify sagittal balance of the spine, sacrum, pelvis and hips. Its
functional consequences have been associated with a number of different
pathologies of the spine. However, there exists considerable controversy over
which demographic features contribute to the development of pelvic incidence.
METHODS: 880 cadaveric skeletons from the Hamann-Todd Osteological Collection
were obtained. The innominate bones and sacrum were reconstructed, and pelvic
incidence was measured using a previously validated technique. Specimens with
obvious fracture, infection, or rheumatologic conditions were excluded from
study. Descriptive data of age at the time of death, gender, race and height were
collected. RESULTS: The average pelvic incidence was 46.0 degrees +/- 11.0
degrees . Pelvic incidence did not change with age (r = 0.026, p = 0.288). There
was no difference in pelvic incidence measurements between females and males
(47.2 degrees +/- 13.8 degrees vs. 45.8 degrees +/- 10.4 degrees ,
respectively; p = 0.257), although this analysis was under-powered. Pelvic
incidence was higher in African-Americans compared to Caucasians (48.9 degrees
+/- 11.0 degrees vs. 44.9 degrees +/- 10.8 degrees ; p = 0.001). There was no
association between height and pelvic incidence (r = -0.042, p = 0.164).
CONCLUSIONS: This study represents the largest single cohort of pelvic incidence
measurements reported in the literature. Our data suggest that pelvic incidence
does not change with age or height, although racial differences do exist. As
spine care providers increasingly rely on pelvic incidence as an important means
to quantify sagittal balance, the normative data provided herein will provide an
essential reference.
PMID- 26538159
TI - A multifunctional role of trialkylbenzenes for the preparation of aqueous
colloidal mesostructured/mesoporous silica nanoparticles with controlled pore
size, particle diameter, and morphology.
AB - Both the pore size and particle diameter of aqueous colloidal
mesostructured/mesoporous silica nanoparticles (CMSS/CMPS) derived from
tetrapropoxysilane were effectively and easily controlled by the addition of
trialkylbenzenes (TAB). Aqueous highly dispersed CMPS with large pores were
successfully obtained through removal of surfactants and TAB by a dialysis
process. The pore size (from 4 nm to 8 nm) and particle diameter (from 50 nm to
380 nm) were more effectively enlarged by the addition of 1,3,5
triisopropylbenzene (TIPB) than 1,3,5-trimethylbenzene (TMB), and the enlargement
did not cause the variation of the mesostructure and particle morphology. The
larger molecular size and higher hydrophobicity of TIPB than TMB induce the
incorporation of TIPB into micelles without the structural change. When TMB was
used as TAB, the pore size of CMSS was also enlarged while the mesostructure and
particle morphology were varied. Interestingly, when tetramethoxysilane and TIPB
were used, CMSS with a very small particle diameter (20 nm) with concave surfaces
and large mesopores were obtained, which may strongly be related to the initial
nucleation of CMSS. A judicious choice of TAB and Si sources is quite important
to control the mesostructure, size of mesopores, particle diameter, and
morphology.
PMID- 26538160
TI - Interdisciplinary Treatment of Maladaptive Behaviors Associated with Postural
Orthostatic Tachycardia Syndrome (POTS): A Case Report.
AB - The prevalence of postural orthostatic tachycardia syndrome (POTS) in adolescents
and young adults has been increasing during the past decade. Despite this
increase, documentation regarding treatment of these patients is just beginning
to emerge. In addition, despite a call for a multidisciplinary or
interdisciplinary approach, no studies have examined the efficacy of such an
approach to treatment. This paper describes a case study of a 19-year-old male
with debilitating POTS seen at a tertiary clinic for evaluation and subsequent
intensive interdisciplinary treatment. The treatment approach is described and
outcomes are presented.
PMID- 26538161
TI - Was the increase in culture-confirmed Campylobacter infections in Denmark during
the 1990s a surveillance artefact?
AB - In 1991, 1999 and 2006, randomly selected individuals from the Danish Central
Personal Register provided a serum sample. From individuals aged 30 years and
above, 500 samples from each year were analysed for Campylobacter IgG, IgA and
IgM antibodies using a direct ELISA method. We applied a seroincidence calculator
available from the European Centre for Disease Prevention and Control to perform
a mathematical back-calculation to estimate the annual Campylobacter
seroincidence in the Danish population. The estimated Campylobacter seroincidence
did not differ significantly between the 1991, 1999 and 2006 studies although the
reported number of culture-confirmed cases of Campylobacter infection increased
2.5 fold from 1993 to 1999 among individuals aged 30 years and above. This
suggests that Campylobacter was widely present in the Danish population before
the increase in poultry-associated clinical Campylobacter infections observed
from 1993 to 2001 among individuals of this age groups.
PMID- 26538162
TI - Rhodium-Catalyzed C-S and C-N Functionalization of Arenes: Combination of C-H
Activation and Hypervalent Iodine Chemistry.
AB - Rhodium-catalyzed sulfonylation, thioetherification, thiocyanation, and other
heterofunctionalizations of arenes bearing a heterocyclic directing group have
been realized. The reaction proceeds by initial Rh(III) -catalyzed C-H
hyperiodination of arene at room temperature followed by uncatalyzed nucleophilic
functionalization. A diaryliodonium salt is isolated as an intermediate, which
represents umpolung of the arene substrate, in contrast to previous studies that
suggested umpolung of the coupling partner.
PMID- 26538163
TI - Adherence to Brain Trauma Foundation guidelines for management of traumatic brain
injury patients: study protocol for a systematic review and meta-analysis.
AB - BACKGROUND: Traumatic brain injury (TBI) is a leading cause of death and
disability around the world. Management based on Brain Trauma Foundation (BTF)
guidelines is widely accepted and thought to improve outcome. The objectives of
this systematic review are to give an overview of adherence to the BTF
guidelines, describe factors influencing adherence, and study the effect of
guideline-based management on outcome. METHODS/DESIGN: We will search electronic
bibliographic databases: PROSPERO, Medline, EMBASE, SCOPUS, NHS, CINAHL, Cochrane
Database, and ProQuest Dissertations and Theses Global. Two investigators will
independently screen all titles, abstracts, and articles and select Randomized
Controlled Trial (RCT), cohort studies, case-control studies, and case series
reporting the adherence rate, factors influencing adherence, and mortality or
morbidity. These investigators will also independently extract data using a pre
designed and pilot-tested standardized electronic data extraction form and assess
the risk of bias. We will exclude pediatric and military-related TBI studies,
studies that included fewer than ten patients or addressed adherence to pre
hospital guidelines. Narrative synthesis and if appropriate, quantitative meta
analysis clustered by type of recommendation will be reported. DISCUSSION: This
study is expected to demonstrate the current level of professionals' adherence to
BTF guidelines in patients with severe traumatic brain injury, it will describe
the factors influencing adherence, which may provide valuable input for
development of strategies to successfully increase adherence. In addition, if the
studies are sufficiently homogenous, it will describe the effect of these
guidelines on patient outcome. SYSTEMATIC REVIEW REGISTRATION: PROSPERO
CRD42015017794.
PMID- 26538164
TI - Temperature dependence of Coulomb oscillations in a few-layer two-dimensional WS2
quantum dot.
AB - Standard semiconductor fabrication techniques are used to fabricate a quantum dot
(QD) made of WS2, where Coulomb oscillations were found. The full-width-at-half
maximum of the Coulomb peaks increases linearly with temperature while the height
of the peaks remains almost independent of temperature, which is consistent with
standard semiconductor QD theory. Unlike graphene etched QDs, where Coulomb peaks
belonging to the same QD can have different temperature dependences, these
results indicate the absence of the disordered confining potential. This
difference in the potential-forming mechanism between graphene etched QDs and WS2
QDs may be the reason for the larger potential fluctuation found in graphene QDs.
PMID- 26538165
TI - IDH mutation status is associated with a distinct hypoxia/angiogenesis
transcriptome signature which is non-invasively predictable with rCBV imaging in
human glioma.
AB - The recent identification of IDH mutations in gliomas and several other cancers
suggests that this pathway is involved in oncogenesis; however effector functions
are complex and yet incompletely understood. To study the regulatory effects of
IDH on hypoxia-inducible-factor 1-alpha (HIF1A), a driving force in hypoxia
initiated angiogenesis, we analyzed mRNA expression profiles of 288 glioma
patients and show decreased expression of HIF1A targets on a single-gene and
pathway level, strong inhibition of upstream regulators such as HIF1A and
downstream biological functions such as angio- and vasculogenesis in IDH mutant
tumors. Genotype/imaging phenotype correlation analysis with relative cerebral
blood volume (rCBV) MRI - a robust and non-invasive estimate of tumor
angiogenesis - in 73 treatment-naive patients with low-grade and anaplastic
gliomas showed that a one-unit increase in rCBV corresponded to a two-third
decrease in the odds for an IDH mutation and correctly predicted IDH mutation
status in 88% of patients. Together, these findings (1) show that IDH mutation
status is associated with a distinct angiogenesis transcriptome signature which
is non-invasively predictable with rCBV imaging and (2) highlight the potential
future of radiogenomics (i.e. the correlation between cancer imaging and genomic
features) towards a more accurate diagnostic workup of brain tumors.
PMID- 26538166
TI - The clinician in the university: Reflections on a South African
psychoanalytically oriented doctoral programme.
AB - There is increasing interest, both internationally and in South Africa, in
strengthening the relationship between psychoanalytic practice and research. This
paper reports on a psychoanalytically oriented doctoral programme offered at the
University of the Witwatersrand in South Africa. The programme is described in
relation to the broader context of the historical relationship of psychoanalysis
to the university as well as to the specific context of the history of
psychoanalysis in South Africa. Key challenges of the programme, specifically
concerning research tensions and methodological and theoretical tolerance, are
subsequently explored. The way in which these challenges manifested within a
group context illustrates their potential for conflict as well as productive
debate. The paper reflects on how this specific programme illuminates and extends
some of the broader debates in the field of psychoanalysis.
PMID- 26538167
TI - Tissue Contraction Force Microscopy for Optimization of Engineered Cardiac
Tissue.
AB - We developed a high-throughput screening assay that allows for relative
comparison of the twitch force of millimeter-scale gel-based cardiac tissues.
This assay is based on principles taken from traction force microscopy and uses
fluorescent microspheres embedded in a soft polydimethylsiloxane (PDMS)
substrate. A gel-forming cell suspension is simply pipetted onto the PDMS to form
hemispherical cardiac tissue samples. Recordings of the fluorescent bead movement
during tissue pacing are used to determine the maximum distance that the tissue
can displace the elastic PDMS substrate. In this study, fibrin gel hemispheres
containing human induced pluripotent stem cell-derived cardiomyocytes were formed
on the PDMS and allowed to culture for 9 days. Bead displacement values were
measured and compared to direct force measurements to validate the utility of the
system. The amplitude of bead displacement correlated with direct force
measurements, and the twitch force generated by the tissues was the same in 2 and
4 mg/mL fibrin gels, even though the 2 mg/mL samples visually appear more
contractile if the assessment were made on free-floating samples. These results
demonstrate the usefulness of this assay as a screening tool that allows for
rapid sample preparation, data collection, and analysis in a simple and cost
effective platform.
PMID- 26538168
TI - The evolution of aquatic feeding in seals: insights from Enaliarctos (Carnivora:
Pinnipedimorpha), the oldest known seal.
AB - The development of pierce-feeding and loss of oral processing represented major
adaptations for underwater feeding in marine mammals. We examined the evolution
of pierce-feeding and its association with changes in tooth spacing and tooth
size to determine whether pierce-feeding was practiced by the earliest known
pinnipeds. Data on crown size and spacing in postcanine dentition were collected
and 1) analysed by principal components analysis (PCA) to determine the tooth
morphospace of arctoid carnivores, 2) analysed by least squares (LS) regression
and phylogenetic independent contrasts (PIC) to determine what morphological
variables were associated with increases in tooth spacing, and 3) used to
reconstruct the evolution of feeding related traits within a phylogenetic
context. The PCA analysis revealed that within arctoid carnivores, the greatest
differences in morphospace were associated with pierce-feeding, and the early
diverging seal Enaliarctos was placed within the pinniped morphospace. Increased
tooth spacing within Pinnipedia is a result of decreased postcanine crown size.
When the evolution of dental characters is reconstructed, 'enaliarctines' were
found to represent an intermediate stage in evolution between 'fissiped' and
pinniped carnivores. They retained the limited tooth spacing of terrestrial
carnivores, possessed postcanine crown lengths intermediate in size between
pinnipeds and fissipeds, and possessed reduced heterodonty characteristic of
crown pinnipeds. Our study indicated that pierce-feeding evolved early within
pinnipeds. This suggested either that pierce-feeding evolved prior to the loss of
mastication, or that pierce-feeding evolved at the same time as loss of
mastication, and well before simplification of the dentition was completed.
PMID- 26538170
TI - Death rates have risen sharply among middle aged white people in the US, study
finds.
PMID- 26538169
TI - Low birth weight in a sub-urban area of Cameroon: an analysis of the clinical cut
off, incidence, predictors and complications.
AB - BACKGROUND: The World Health Organisation recommends that each country adopts its
own cut-off value of low birth weight (LBW) for clinical use. The aims of this
study were to establish a clinical cut-off point for LBW and to determine its
incidence, predictors and complications in a sub-urban area's hospital of
Cameroon. METHODS: We conducted a study in two phases: a 6-year retrospective
phase during which we collected demographic and clinical information from the
records of the maternity of the Buea Regional Hospital (BRH) and a 3-month
prospective phase during which data were collected from consenting pregnant women
using a structured questionnaire, and newborns were examined and followed after
birth. RESULTS: A total of 4941 records were reviewed during the retrospective
phase and the 10(th) centile of birth weights was 2600 g. In the 200 pregnant
women enrolled during the prospective phase, using this cut-off yielded an
incidence of LBW of 19.0 %. Independent predictors of LBW were preterm delivery,
hypertensive disorders in pregnancy, HIV infection, maternal age >36 years,
maternal height <150 cm and pre-delivery BMI < 25 kg/m(2). Neonates with LBW were
more likely to have neonatal asphyxia, foetal distress, respiratory distress and
neonatal death. CONCLUSIONS: Our results suggest that newborns under 2600 g have
LBW in sub-urban Cameroon. They represent one out of every five babies, and they
deserve close care. Preventive measures targeting the predictors described here
are warranted to reduce the incidence and complications. Similar studies in urban
areas are required in order to generalize the results.
PMID- 26538171
TI - Supporting biologists, inspiring biology.
PMID- 26538172
TI - A classic model animal in the 21st century: recent lessons from the leech nervous
system.
AB - The medicinal leech (genus Hirudo) is a classic model animal in systems
neuroscience. The leech has been central to many integrative studies that
establish how properties of neurons and their interconnections give rise to the
functioning of the animal at the behavioral level. Leeches exhibit several
discrete behaviors (such as crawling, swimming and feeding) that are each
relatively simple. Importantly, these behaviors can all be studied - at least at
a basal level - in the isolated nervous system. The leech nervous system is
particularly amenable to such studies because of its distributed nature; sensory
processing and generation of behavior occur to a large degree in iterated
segmental ganglia that each contain only ~400 neurons. Furthermore, the neurons
are relatively large and are arranged with stereotyped topography on the surface
of the ganglion, which greatly facilitates their identification and
accessibility. This Commentary provides an overview of recent work on the leech
nervous system, with particular focus on circuits that underlie leech behavior.
Studies that combine the unique features of the leech with modern optical and
genetic techniques are also discussed. Thus, this Commentary aims to explain the
continued appeal of the leech as an experimental animal in the 21st century.
PMID- 26538173
TI - Robust jumping performance and elastic energy recovery from compliant perches in
tree frogs.
AB - Arboreal animals often move on compliant branches, which may deform substantially
under loads, absorbing energy. Energy stored in a compliant substrate may be
returned to the animal or it may be lost. In all cases studied so far, animals
jumping from a static start lose all of the energy imparted to compliant
substrates and performance is reduced. Cuban tree frogs (Osteopilus
septentrionalis) are particularly capable arboreal jumpers, and we hypothesized
that these animals would be able to recover energy from perches of varying
compliance. In spite of large deflections of the perches and consequent
substantial energy absorption, frogs were able to regain some of the energy lost
to the perch during the recoil. Takeoff velocity was robust to changes in
compliance, but was lower than when jumping from flat surfaces. This highlights
the ability of animals to minimize energy loss and maintain dependable
performance on challenging substrates via behavioral changes.
PMID- 26538174
TI - Chemical cues from fish heighten visual sensitivity in larval crabs through
changes in photoreceptor structure and function.
AB - Several predator avoidance strategies in zooplankton rely on the use of light to
control vertical position in the water column. Although light is the primary cue
for such photobehavior, predator chemical cues or kairomones increase swimming
responses to light. We currently lack a mechanistic understanding for how
zooplankton integrate visual and chemical cues to mediate phenotypic plasticity
in defensive photobehavior. In marine systems, kairomones are thought to be amino
sugar degradation products of fish body mucus. Here, we demonstrate that
increasing concentrations of fish kairomones heightened sensitivity of light
mediated swimming behavior for two larval crab species (Rhithropanopeus harrisii
and Hemigrapsus sanguineus). Consistent with these behavioral results, we report
increased visual sensitivity at the retinal level in larval crab eyes directly
following acute (1-3 h) kairomone exposure, as evidenced electrophysiologically
from V-log I curves and morphologically from wider, shorter rhabdoms. The
observed increases in visual sensitivity do not correspond with a decline in
temporal resolution, because latency in electrophysiological responses actually
increased after kairomone exposure. Collectively, these data suggest that
phenotypic plasticity in larval crab photobehavior is achieved, at least in part,
through rapid changes in photoreceptor structure and function.
PMID- 26538175
TI - Effects of stride frequency and foot position at landing on braking force, hip
torque, impact peak force and the metabolic cost of running in humans.
AB - Endurance runners are often advised to use 90 strides min(-1), but how optimal is
this stride frequency and why? Endurance runners are also often advised to
maintain short strides and avoid landing with the feet too far in front of their
hips or knees (colloquially termed 'overstriding'), but how do different
kinematic strategies for varying stride length at the same stride frequency
affect economy and impact peaks? Linear mixed models were used to analyze
repeated measures of stride frequency, the anteroposterior position of the foot
at landing, VO2 , lower extremity kinematics and vertical ground reaction forces
in 14 runners who varied substantially in height and body mass and who were asked
to run at 75, 80, 85, 90 and 95 strides min(-1) at 3.0 m s(-1). For every
increase of 5 strides min(-1), maximum hip flexor moments in the sagittal plane
increased by 5.8% (P<0.0001), and the position of the foot at landing relative to
the hip decreased by 5.9% (P=0.003). Higher magnitudes of posteriorly directed
braking forces were associated with increases in foot landing position relative
to the hip (P=0.0005) but not the knee (P=0.54); increases in foot landing
position relative to the knee were associated with higher magnitudes (P<0.0001)
and rates of loading (P=0.07) of the vertical ground reaction force impact peak.
Finally, the mean metabolically optimal stride frequency was 84.8+/-3.6 strides
min(-1), with 50.4% of the variance explained by the trade-off between minimizing
braking forces versus maximum hip flexor moments during swing. The results
suggest that runners may benefit from a stride frequency of approximately 85
strides min(-1) and by landing at the end of swing phase with a relatively
vertical tibia.
PMID- 26538176
TI - Decreasing methane yield with increasing food intake keeps daily methane
emissions constant in two foregut fermenting marsupials, the western grey
kangaroo and red kangaroo.
AB - Fundamental differences in methane (CH4) production between macropods (kangaroos)
and ruminants have been suggested and linked to differences in the composition of
the forestomach microbiome. Using six western grey kangaroos (Macropus
fuliginosus) and four red kangaroos (Macropus rufus), we measured daily absolute
CH4 production in vivo as well as CH4 yield (CH4 per unit of intake of dry
matter, gross energy or digestible fibre) by open-circuit respirometry. Two food
intake levels were tested using a chopped lucerne hay (alfalfa) diet. Body mass
specific absolute CH4 production resembled values previously reported in
wallabies and non-ruminant herbivores such as horses, and did not differ with
food intake level, although there was no concomitant proportionate decrease in
fibre digestibility with higher food intake. In contrast, CH4 yield decreased
with increasing intake, and was intermediate between values reported for
ruminants and non-ruminant herbivores. These results correspond to those in
ruminants and other non-ruminant species where increased intake (and hence a
shorter digesta retention in the gut) leads to a lower CH4 yield. We hypothesize
that rather than harbouring a fundamentally different microbiome in their
foregut, the microbiome of macropods is in a particular metabolic state more
tuned towards growth (i.e. biomass production) rather than CH4 production. This
is due to the short digesta retention time in macropods and the known distinct
'digesta washing' in the gut of macropods, where fluids move faster than
particles and hence most likely wash out microbes from the forestomach. Although
our data suggest that kangaroos only produce about 27% of the body mass-specific
volume of CH4 of ruminants, it remains to be modelled with species-specific
growth rates and production conditions whether or not significantly lower CH4
amounts are emitted per kg of meat in kangaroo than in beef or mutton production.
PMID- 26538177
TI - Gas exchange and dive characteristics of the free-swimming backswimmer Anisops
deanei.
AB - Many aquatic insects utilise air bubbles on the surface of their bodies to supply
O2 while they dive. The bubbles can simply store O2, as in the case of an 'air
store', or they can act as a physical 'gas gill', extracting O2 from the water.
Backswimmers of the genus Anisops augment their air store with O2 from
haemoglobin cells located in the abdomen. The O2 release from the haemoglobin
helps stabilise bubble volume, enabling backswimmers to remain near neutrally
buoyant for a period of the dive. It is generally assumed that the backswimmer
air store does not act as a gas gill and that gas exchange with the water is
negligible. This study combines measurements of dive characteristics under
different exotic gases (N2, He, SF6, CO) with mathematical modelling, to show
that the air store of the backswimmer Anisops deanei does exchange gases with the
water. Our results indicate that approximately 20% of O2 consumed during a dive
is obtained directly from the water. Oxygen from the water complements that
released from the haemoglobin, extending the period of near-neutral buoyancy and
increasing dive duration.
PMID- 26538179
TI - Unusual Deep Water sponge assemblage in South China-Witness of the end-Ordovician
mass extinction.
AB - There are few sponges known from the end-Ordovician to early-Silurian strata all
over the world, and no records of sponge fossils have been found yet in China
during this interval. Here we report a unique sponge assemblage spanning the
interval of the end-Ordovician mass extinction from the Kaochiapien Formation
(Upper Ordovician-Lower Silurian) in South China. This assemblage contains a
variety of well-preserved siliceous sponges, including both Burgess Shale-type
and modern type taxa. It is clear that this assemblage developed in deep water,
low energy ecosystem with less competitors and more vacant niches. Its explosion
may be related to the euxinic and anoxic condition as well as the noticeable
transgression during the end-Ordovician mass extinction. The excellent
preservation of this assemblage is probably due to the rapid burial by mud
turbidites. This unusual sponge assemblage provides a link between the Burgess
Shale-type deep water sponges and the modern forms. It gives an excellent insight
into the deep sea palaeoecology and the macroevolution of Phanerozoic sponges,
and opens a new window to investigate the marine ecosystem before and after the
end-Ordovician mass extinction. It also offers potential to search for
exceptional fossil biota across the Ordovician-Silurian boundary interval in
China.
PMID- 26538182
TI - Optimal adaptive sequential designs for crossover bioequivalence studies.
AB - In prior works, this group demonstrated the feasibility of valid adaptive
sequential designs for crossover bioequivalence studies. In this paper, we extend
the prior work to optimize adaptive sequential designs over a range of geometric
mean test/reference ratios (GMRs) of 70-143% within each of two ranges of intra
subject coefficient of variation (10-30% and 30-55%). These designs also
introduce a futility decision for stopping the study after the first stage if
there is sufficiently low likelihood of meeting bioequivalence criteria if the
second stage were completed, as well as an upper limit on total study size. The
optimized designs exhibited substantially improved performance characteristics
over our previous adaptive sequential designs. Even though the optimized designs
avoided undue inflation of type I error and maintained power at >= 80%, their
average sample sizes were similar to or less than those of conventional single
stage designs.
PMID- 26538181
TI - Inward lithium-ion breathing of hierarchically porous silicon anodes.
AB - Silicon has been identified as a highly promising anode for next-generation
lithium-ion batteries (LIBs). The key challenge for Si anodes is large volume
change during the lithiation/delithiation cycle that results in chemomechanical
degradation and subsequent rapid capacity fading. Here we report a novel
fabrication method for hierarchically porous Si nanospheres (hp-SiNSs), which
consist of a porous shell and a hollow core. On charge/discharge cycling, the hp
SiNSs accommodate the volume change through reversible inward Li breathing with
negligible particle-level outward expansion. Our mechanics analysis revealed that
such inward expansion is enabled by the much stiffer lithiated layer than the
unlithiated porous layer. LIBs assembled with the hp-SiNSs exhibit high capacity,
high power and long cycle life, which is superior to the current commercial Si
based anode materials. The low-cost synthesis approach provides a new avenue for
the rational design of hierarchically porous structures with unique materials
properties.
PMID- 26538180
TI - Boron-deficiency-responsive microRNAs and their targets in Citrus sinensis
leaves.
AB - BACKGROUND: MicroRNAs play important roles in the adaptive responses of plants to
nutrient deficiencies. Most research, however, has focused on nitrogen (N),
phosphorus (P), sulfur (S), copper (Cu) and iron (Fe) deficiencies, limited data
are available on the differential expression of miRNAs and their target genes in
response to deficiencies of other nutrient elements. In this study, we identified
the known and novel miRNAs as well as the boron (B)-deficiency-responsive miRNAs
from citrus leaves in order to obtain the potential miRNAs related to the
tolerance of citrus to B-deficiency. METHODS: Seedlings of 'Xuegan' [Citrus
sinensis (L.) Osbeck] were supplied every other day with B-deficient (0 MUM
H3BO3) or -sufficient (10 MUM H3BO3) nutrient solution for 15 weeks. Thereafter,
we sequenced two small RNA libraries from B-deficient and -sufficient (control)
citrus leaves, respectively, using Illumina sequencing. RESULTS: Ninety one (83
known and 8 novel) up- and 81 (75 known and 6 novel) down-regulated miRNAs were
isolated from B-deficient leaves. The great alteration of miRNA expression might
contribute to the tolerance of citrus to B-deficiency. The adaptive responses of
miRNAs to B-deficiency might related to several aspects: (a) attenuation of plant
growth and development by repressing auxin signaling due to decreased TIR1 level
and ARF-mediated gene expression by altering the expression of miR393, miR160 and
miR3946; (b) maintaining leaf phenotype and enhancing the stress tolerance by up
regulating NACs targeted by miR159, miR782, miR3946 and miR7539; (c) activation
of the stress responses and antioxidant system through down-regulating the
expression of miR164, miR6260, miR5929, miR6214, miR3946 and miR3446; (d)
decreasing the expression of major facilitator superfamily protein genes targeted
by miR5037, thus lowering B export from plants. Also, B-deficiency-induced down
regulation of miR408 might play a role in plant tolerance to B-deficiency by
regulating Cu homeostasis and enhancing superoxide dismutase activity.
CONCLUSIONS: Our study reveals some novel responses of citrus to B-deficiency,
which increase our understanding of the adaptive mechanisms of citrus to B
deficiency at the miRNA (post-transcriptional) level.
PMID- 26538184
TI - Unraveling the complexity of the interactions of DNA nucleotides with gold by
single molecule force spectroscopy.
AB - Addressing the effect of different environmental factors on the adsorption of DNA
to solid supports is critical for the development of robust miniaturized devices
for applications ranging from biosensors to next generation molecular technology.
Most of the time, thiol-based chemistry is used to anchor DNA on gold - a
substrate commonly used in nanotechnology - and little is known about the direct
interaction between DNA and gold. So far there have been no systematic studies on
the direct adsorption behavior of the deoxyribonucleotides (i.e., a nitrogenous
base, a deoxyribose sugar, and a phosphate group) and on the factors that govern
the DNA-gold bond strength. Here, using single molecule force spectroscopy, we
investigated the interaction of the four individual nucleotides, adenine,
guanine, cytosine, and thymine, with gold. Experiments were performed in three
salinity conditions and two surface dwell times to reveal the factors that
influence nucleotide-Au bond strength. Force data show that, at physiological
ionic strength, adenine-Au interactions are stronger, asymmetrical and
independent of surface dwell time as compared to cytosine-Au and guanine-Au
interactions. We suggest that in these conditions only adenine is able to
chemisorb on gold. A decrease of the ionic strength significantly increases the
bond strength for all nucleotides. We show that moderate ionic strength along
with longer surface dwell period suggest weak chemisorption also for cytosine and
guanine.
PMID- 26538183
TI - In vivo assessment of diet-induced rat hepatic steatosis development by
percutaneous single-fiber spectroscopy detects scattering spectral changes due to
fatty infiltration.
AB - This study explores percutaneous single-fiber spectroscopy (SfS) of rat livers
undergoing fatty infiltration. Eight test rats were fed a methionine-choline
deficient (MCD) diet, and four control rats were fed a normal diet. Two test rats
and one control rat were euthanized on days 12, 28, 49, and 77 following
initiation of the diet, after percutaneous SfS of the liver under transabdominal
ultrasound guidance. Histology of each set of the two euthanized test rats showed
mild and mild hepatic lipid accumulations on day 12, moderate and severe on day
28, severe and mild on day 49, and moderate and mild on day 77. Livers with
moderate or higher lipid accumulation generally presented higher spectral
reflectance intensity when compared to lean livers. Livers of the eight test rats
on day 12, two of which had mild lipid accumulation, revealed an average
scattering power of 0.37+/-0.14 in comparison to 0.07+/-0.14 for the four control
rats (p<0.01 ). When livers of the test rats with various levels of fatty
infiltration were combined, the average scattering power was 0.36+/-0.15 0.36+/
0.15 in comparison to 0.14+/-0.24 of the control rats (0.05
95 %). CONCLUSIONS: Prevalence
and experience of odontogenic infections and the untreated caries-pufa ratio were
increasing from the younger to the elder children. Dmft and pufa scores in
primary teeth predict a higher caries risk in permanent teeth. The pufa index
highlights relevant information for decision makers to develop effective oral
health care programs for children at high risk for caries.
PMID- 26538197
TI - Correction: Proteomic analysis of the copper resistance of Streptococcus
pneumoniae.
PMID- 26538198
TI - Fast T2 gradient-spin-echo (T2-GraSE) mapping for myocardial edema
quantification: first in vivo validation in a porcine model of
ischemia/reperfusion.
AB - BACKGROUND: Several T2-mapping sequences have been recently proposed to quantify
myocardial edema by providing T2 relaxation time values. However, no T2-mapping
sequence has ever been validated against actual myocardial water content for
edema detection. In addition, these T2-mapping sequences are either time
consuming or require specialized software for data acquisition and/or post
processing, factors impeding their routine clinical use. Our objective was to
obtain in vivo validation of a sequence for fast and accurate myocardial T2
mapping (T2 gradient-spin-echo [GraSE]) that can be easily integrated in routine
protocols. METHODS: The study population comprised 25 pigs. Closed-chest 40 min
ischemia/reperfusion was performed in 20 pigs. Pigs were sacrificed at 120 min (n
= 5), 24 h (n = 5), 4 days (n = 5) and 7 days (n = 5) after reperfusion, and
heart tissue extracted for quantification of myocardial water content. For the
evaluation of T2 relaxation time, cardiovascular magnetic resonance (CMR) scans,
including T2 turbo-spin-echo (T2-TSE, reference standard) mapping and T2-GraSE
mapping, were performed at baseline and at every follow-up until sacrifice. Five
additional pigs were sacrificed after baseline CMR study and served as controls.
RESULTS: Acquisition of T2-GraSE mapping was significantly (3-fold) faster than
conventional T2-TSE mapping. Myocardial T2 relaxation measurements performed by
T2-TSE and T2-GraSE mapping demonstrated an almost perfect correlation (R(2) =
0.99) and agreement with no systematic error between techniques. The two T2
mapping sequences showed similarly good correlations with myocardial water
content: R(2) = 0.75 and R(2) = 0.73 for T2-TSE and T2-GraSE mapping,
respectively. CONCLUSIONS: We present the first in vivo validation of T2-mapping
to assess myocardial edema. Given its shorter acquisition time and no requirement
for specific software for data acquisition or post-processing, fast T2-GraSE
mapping of the myocardium offers an attractive alternative to current CMR
sequences for T2 quantification.
PMID- 26538199
TI - Hepatotoxicity associated with the dietary supplement OxyELITE ProTM - Hawaii,
2013.
AB - Dietary supplements are increasingly marketed to and consumed by the American
public for a variety of purported health benefits. On 9 September 2013, the
Hawaii Department of Health (HDOH) was notified of a cluster of acute hepatitis
and fulminant hepatic failure among individuals with exposure to the dietary
supplement OxyELITE ProTM (OEP). HDOH conducted an outbreak investigation in
collaboration with federal partners. Physicians were asked to report cases,
defined as individuals with acute onset hepatitis of unknown etiology on or after
1 April 2013, a history of weight-loss/muscle-building dietary supplement use
during the 60 days before illness onset, and residence in Hawaii during the
period of exposure. Reported cases' medical records were reviewed, questionnaires
were administered, and a product investigation, including chemical analyses and
traceback, was conducted. Of 76 reports, 44 (58%) met case definition; of these,
36 (82%) reported OEP exposure during the two months before illness. No other
common supplements or exposures were observed. Within the OEP-exposed subset, two
patients required liver transplantation, and a third patient died. Excessive
product dosing was not reported. No unique lot numbers were identified; there
were multiple mainland distribution points, and lot numbers common to cases in
Hawaii were also identified in continental states. Product analysis found
consumed products were consistent with labeled ingredients; the mechanism of
hepatotoxicity was not identified. We report one of the largest statewide
outbreaks of dietary supplement-associated hepatotoxicity. The implicated product
was OEP. The increasing popularity of dietary supplements raises the potential
for additional clusters of dietary supplement-related adverse events. Copyright
(c) 2015 John Wiley & Sons, Ltd.
PMID- 26538200
TI - Temperature effects on hatching and viability of Juvenile Gill Lice, Salmincola
californiensis.
AB - Salmonids of the genus Oncorhynchus, distributed throughout the Pacific Rim, can
be infected by the gill lice species Salmincola californiensis (Dana, 1852),
which makes them one of the most broadly distributed gill lice species. Despite
their broad distribution and valuable obligate salmonid hosts, relatively little
is known about S. californiensis. We evaluated effects of temperature on timing
of S. californiensis hatching and survival of copepodids, and provide information
on brood size and variability. Our results suggest that temperature was a primary
driver of timing of S. californiensis hatching and post-hatching survival. Prior
to this study, the free-swimming stage of S. californiensis was reported to
survive approximately 2 days without a suitable host. We observed active
copepodids 13 days after hatch with some individuals from most (>90%) viable egg
sacs at all temperature treatments surviving >=5 days. Our findings indicate that
warmer temperatures could increase development rates of gill lice at certain life
stages, potentially increasing fecundity. This information coupled with
predictions that warmer water temperatures could intensify crowding of coldwater
fishes, stress, and parasite transmission suggests that climate change could
exacerbate negative effects of S. californiensis on ecologically and economically
important salmonids.
PMID- 26538201
TI - Carbon nanodot decorated graphitic carbon nitride: new insights into the enhanced
photocatalytic water splitting from ab initio studies.
AB - Interfacing carbon nanodots (C-dots) with graphitic carbon nitride (g-C3N4)
produces a metal-free system that has recently demonstrated significant
enhancement of photo-catalytic performance for water splitting into hydrogen
[Science, 2015, 347, 970-974]. However, the underlying photo-catalytic mechanism
is not fully established. Herein, we have carried out density functional theory
(DFT) calculations to study the interactions between g-C3N4 and
trigonal/hexagonal shaped C-dots. We find that hybrid C-dots/g-C3N4 can form a
type-II van der Waals heterojunction, leading to significant reduction of band
gap. The C-dot decorated g-C3N4 enhances the separation of photogenerated
electron and hole pairs and the composite's visible light response.
Interestingly, the band alignment of C-dots and g-C3N4 calculated by the hybrid
functional method indicates that C-dots act as a spectral sensitizer in hybrid C
dots/g-C3N4 for water splitting. Our results offer new theoretical insights into
this metal-free photocatalyst for water splitting.
PMID- 26538202
TI - Size reduction of 3D-polymer-coated single-walled carbon nanotubes by
ultracentrifugation.
AB - We describe a novel single-walled carbon nanotube (SWNT) cutting method without
introducing any structural defects on the tubes; namely, the finding that simple
ultracentrifugation at 600 000g for the SWNTs coated with a cross-linked polymer
formed by poly(N-isopropylacrylamide) (PNIPAM) or the polyethylene glycol
carrying PNIPAM copolymer provides shortened (<200 nm) SWNTs, which was revealed
by dynamic light scattering (DLS) and atomic force microscopy (AFM) measurements.
The Raman and absorption measurements of the obtained SWNTs indicated that the
graphitic structure and optical properties, such as characteristic absorption and
photoluminescence in the near-IR region of the SWNTs, were almost unchanged even
after the cutting. The obtained shortened SWNTs were individually solubilized in
water and buffer solution due to the remaining cross-linked polymer structures on
the SWNTs. The present method is very simple (only ultracentrifugation) and the
yield is very high, which are the advantages in the preparation of many shortened
isolated SWNTs with specific properties and functions that are applicable in many
fields including bioapplications in vivo, such as imaging, NIR-hyperthermic
treatment, photodynamic therapy, etc.
PMID- 26538203
TI - Site Isolation Leads to Stable Photocatalytic Reduction of CO2 over a Rhenium
Based Catalyst.
AB - A porous organic polymer incorporating [(alpha-diimine)Re(CO)3Cl] moieties was
produced and tested in the photocatalytic reduction of CO2, with NEt3 as a
sacrificial donor. The catalyst generated both H2 and CO, although the Re moiety
was not required for H2 generation. After an induction period, the Re-containing
porous organic polymer produced CO at a stable rate, unless soluble
[(bpy)Re(CO)3Cl] (bpy=2,2'-bipyridine) was added. This provides the strongest
evidence to date that [(alpha-diimine)Re(CO)3Cl] catalysts for photocatalytic CO2
reduction decompose through a bimetallic pathway.
PMID- 26538204
TI - Optimizing Anti-TNF-alpha Therapy: Serum Levels of Infliximab and Adalimumab Are
Associated With Mucosal Healing in Patients With Inflammatory Bowel Diseases.
AB - BACKGROUND & AIMS: It is not clear what serum levels of anti-tumor necrosis
factor are associated with reduced intestinal inflammation in patients with
inflammatory bowel disease (IBD). We aimed to identify serum levels of infliximab
and adalimumab associated with mucosal healing in patients with IBD and to
evaluate the putative gain in control of inflammation by incremental increases in
drug levels. METHODS: We performed a retrospective cross-sectional study of 145
patients with IBD treated with infliximab (n = 78) or adalimumab (n = 67) at a
medical center in Israel from 2009 through 2014. We collected data from
colonoscopy examinations; mucosal healing was defined as simple endoscopic score
of <3 or a Mayo score <=1. These data were compared with serum levels of anti
tumor necrosis factor agents, clinical scores, and levels of C-reactive protein.
RESULTS: Median serum levels of infliximab and adalimumab were significantly
higher in patients with mucosal healing than patients with active disease (based
on endoscopy) (for infliximab, 4.3 vs 1.7 MUg/mL, P = .0002; for adalimumab, 6.2
vs 3.1 MUg/mL, P = .01). Levels of infliximab above 5 MUg/mL (area under the
curve = 0.75; P < .0001) and levels of adalimumab above 7.1 MUg/mL (area under
the curve = 0.7; P = .004) identified patients with mucosal healing with 85%
specificity. Increasing levels of infliximab beyond 8 MUg/mL produced only
minimal increases in the rate of mucosal healing, whereas the association between
higher level of adalimumab and increased rate of mucosal healing reached a
plateau at 12 MUg/mL. In patients with measurable levels of infliximab >3 MUg/mL,
the presence of antibodies to infliximab was associated with a lower rate of
mucosal healing compared with patients with similar drug level without antibodies
(16% vs 50%, respectively; P = .003). CONCLUSIONS: In a retrospective study, we
found significant association between serum levels of anti-tumor necrosis factor
agents and level of mucosal healing. We propose that serum levels of 6-10 MUg/mL
for infliximab and 8-12 MUg/mL for adalimumab are required to achieve mucosal
healing in 80%-90% of patients with IBD, and that this could be considered as a
"therapeutic window." Exceeding these levels produces only a negligible gain in
proportion of patients with mucosal healing.
PMID- 26538205
TI - Proton Pump Inhibitors Increase Incidence of Nonsteroidal Anti-Inflammatory Drug
Induced Small Bowel Injury: A Randomized, Placebo-Controlled Trial.
AB - BACKGROUND & AIMS: Some studies have reported a high incidence of small bowel
injuries in 60%-80% of subjects who take nonselective nonsteroidal anti
inflammatory drugs and PPIs simultaneously. We performed a randomized, double
blind, controlled study to determine whether proton pump inhibitors (PPIs)
exacerbate nonsteroidal anti-inflammatory drug-induced small bowel injury.
METHODS: Fifty-seven healthy subjects were randomly assigned groups given the
cyclooxygenase (COX) 2 inhibitor celecoxib (200 mg, twice daily) plus placebo for
2 weeks (COX-2 + placebo group, n = 30), or celecoxib plus the PPI rabeprazole
(20 mg, once daily) for 2 weeks (COX-2 + PPI group, n = 27). The study was
performed from October 2012 through September 2013 at a tertiary medical center
in Japan. All subjects were evaluated by capsule endoscopy at the start of the
study and then after 2 weeks administration of celecoxib with rabeprazole or
placebo. The incidence rates and the numbers of small bowel injuries (ulcers and
erosions) that were observed under capsule endoscopy were compared between
groups. The primary endpoint was the incidence of mucosal injuries at the second
capsule endoscopy examination. RESULTS: A significantly higher proportion of
subjects in the COX-2 + PPI group developed small bowel injury (12 of 27
subjects; 44.4%) than in the COX-2 + placebo group (5 of 30 subjects; 16.7%; P =
.04). Subjects in the COX-2 + PPI group had a significant increase in risk of
small bowel injury compared with the COX-2 + placebo group (relative risk, 2.67;
95% confidence interval, 1.08-6.58). The number of erosions in each member of the
COX-2 + PPI group was greater than in each member of the COX-2 + placebo group (P
= .02). The number of ulcers did not differ between groups. Twenty-six percent of
subjects in the COX-2 + PPI group developed mucosal injury in the jejunum,
compared with none of the subjects in the COX-2 + placebo group (P = .003); no
such trend was found in the ileum. CONCLUSIONS: In a randomized, controlled
trial, PPIs increased the risk of short-term nonsteroidal anti-inflammatory drug
induced small bowel injury. UMIN clinical trial registry number: UMIN000008883.
PMID- 26538206
TI - Procedure Delays and Time of Day Are Not Associated With Reductions in Quality of
Screening Colonoscopies.
AB - BACKGROUND & AIMS: There have been conflicting results from studies to determine
whether factors unrelated to endoscopist skill, such as fatigue, affect the
quality of screening colonoscopy. We studied the effects of human and system
factors on screening colonoscopy withdrawal time and likelihood of detecting an
adenoma in a large cohort of patients. METHODS: We performed a retrospective
analysis of operation and quality improvement data in colonoscopies performed at
single academic medical center from November 2012 through February 2014. We
collected data from the Northwestern Medicine Enterprise Data Warehouse on
endoscopy procedure reports, patient demographics, and pathology reports of all
patients undergoing endoscopy. We identified all screening colonoscopies during
the study period and determined whether an adenoma was identified in each
screening colonoscopy procedure. Our study included data from 7004 screening
colonoscopies of patients 50-75 years old performed by endoscopists who performed
at least 100 screening colonoscopies during the study period (n = 18). RESULTS:
Approximately 27% of procedures began on time; the median colonoscope insertion
time was 5.9 minutes (interquartile range, 4.0-8.6). In multivariable logistic
regression analysis adjusting for covariates and endoscopist-level clustering,
adenoma detection was not associated with procedure delay (P = .48), hour of day
(P = .40), or performing the second of 2 colonoscopy blocks in 1 day (P = .88).
Adenoma detection was associated with insertion time overall (P = .006), but
there was no consistent directional relationship across insertion quintiles.
CONCLUSIONS: Procedure delays and measured factors associated with fatigue,
including time of day and multiple procedure blocks, do not reduce the odds of
detecting an adenoma. Adenoma detection varies widely among providers, so efforts
to improve adenoma detection should focus mainly on optimizing physician skill.
PMID- 26538207
TI - Features and Progression of Potential Celiac Disease in Adults.
AB - BACKGROUND & AIMS: Individuals with potential celiac disease have serologic and
genetic markers of the disease with little or no damage to the small intestinal
mucosa. We performed a prospective study to learn more about disease progression
in these people. METHODS: We collected data from 77 adults (59 female; median
age, 33 years) diagnosed with potential celiac disease (on the basis of serology
and HLA type) at Bologna University in Italy from 2004 through 2013. The subjects
had normal or slight inflammation of the small intestinal mucosa. Clinical,
laboratory, and histologic parameters were evaluated at diagnosis and during a 3
year follow-up period. RESULTS: Sixty-one patients (46 female; median age, 36
years) showed intestinal and extraintestinal symptoms, whereas the remaining 16
(13 female; median age, 21 years) were completely asymptomatic at diagnosis. All
subjects tested positive for immunoglobulin A endomysial antibody and tissue
transglutaminase antibody, except for 1 patient with immunoglobulin A deficiency;
95% of patients were carriers of HLA-DQ2. Duodenal biopsies from 26% patients had
a Marsh score of 0, and 74% had a Marsh score of 1. A higher proportion of
symptomatic patients had autoimmune disorders (36%) and antinuclear antibodies
(41%) than asymptomatic patients (5% and 12.5%, respectively), and symptomatic
patients were of older age at diagnosis (P < .05). Gluten withdrawal led to
significant clinical improvement in all 61 symptomatic patients. The 16
asymptomatic patients continued on gluten-containing diets, and only 1 developed
mucosal flattening; levels of anti-endomysial and tissue transglutaminase
antibodies fluctuated in 5 of these patients or became undetectable. CONCLUSIONS:
In a 3-year study of adults with potential celiac disease, we found most to have
symptoms, but these improved on gluten withdrawal. Conversely, we do not
recommend a gluten-free diet for asymptomatic adults with potential celiac
disease because they do not tend to develop villous atrophy.
PMID- 26538208
TI - Efficacy of Mirtazapine in Patients With Functional Dyspepsia and Weight Loss.
AB - BACKGROUND & AIMS: A subset of patients with functional dyspepsia (FD) present
with early satiation and weight loss, for which there are no established
therapeutic options. We investigated the efficacy of mirtazapine (an
antidepressant and antagonist of the histamine receptor H1, the alpha2 adrenergic
receptor, and the serotonin receptors 5-HT2C and 5-HT-3) in patients with FD and
weight loss. METHODS: We conducted a randomized, placebo-controlled pilot trial
that studied 34 patients with FD (29 women; mean age, 35.9 +/- 2.3 years) with
weight loss >10% of original body weight (mean loss, 12.4 +/- 2.3 kg) without
depression or anxiety. After a run-in period, patients were randomly assigned to
groups given placebo (n = 17) or mirtazapine 15 mg each day for 8 weeks (n = 17)
in a double-blind manner. Subjects were evaluated during a 2-week baseline and 8
week treatment for dyspepsia symptom severity, quality of life (on the basis of
the Nepean Dyspepsia Index), and gastrointestinal-specific anxiety; they were
given a nutrient challenge test and weighed. Data were analyzed by using linear
mixed models, followed by planned contrasts with adaptive step-down Bonferroni
multiple testing correction. RESULTS: Two patients in each group dropped out. At
weeks 4 and 8, mirtazapine significantly reduced mean dyspepsia symptom severity
scores compared with week 0 (P = .003 and P = .017, respectively); there was no
significant reduction in the placebo group (P > .37 for weeks 4 and 8). The
difference in change from week 0 between mirtazapine and placebo showed a trend
with a large effect size at week 4 (P = .059) that was not significant at week 8
(P = .55). However, improvements from week 0 to weeks 4 and 8 were significantly
larger in the mirtazapine group than placebo group for early satiation, quality
of life, gastrointestinal-specific anxiety, weight, and nutrient tolerance
(mostly with large effect sizes). CONCLUSIONS: In a randomized, placebo
controlled trial, mirtazapine significantly improved early satiation, quality of
life, gastrointestinal-specific anxiety, nutrient tolerance, and weight loss in
patients with FD. ClinicalTrials.gov number: NCT01240096.
PMID- 26538210
TI - Comparisons of Caenorhabditis Fucosyltransferase Mutants Reveal a Multiplicity of
Isomeric N-Glycan Structures.
AB - Recent studies have shown a remarkable degree of plasticity in the N-glycome of
the model nematode Caenorhabditis elegans; ablation of glycosylation-relevant
genes can result in radically altered N-glycan profiles despite only minor
biological phenotypic effects. Up to four fucose residues and five different
linkages of fucose are known on the N-glycans of C. elegans. Due to the
complexity in the wild type, we established three mutant strains defective in two
core fucosyltransferases each (fut-1;fut-6, fut-1;fut-8, and fut-6;fut-8).
Enzymatically released N-glycans were subject to HPLC and MALDI-TOF MS/MS, in
combination with various treatments, to verify structural details. The N-glycome
of the fut-1;fut-6 mutant was the most complex of the three double-mutant strains
due to the extension of the core alpha1,6-fucose as well as the presence of
fucose on the bisecting galactose. In contrast, maximally two fucoses were found
on N-glycans of the fut-1;fut-8 and fut-6;fut-8 strains. The different locations
and capping of fucose meant that up to 13 isomeric structures, many highly
galactosylated, were determined for some single masses. These data not only show
the high variability of the N-glycomic capacity of a "simple" nematode but also
exemplify the need for multiple approaches to reveal individual glycan structures
within complex invertebrate glycomes.
PMID- 26538211
TI - Catheter Ablation of Pediatric Focal Atrial Tachycardia: Ten-Year Experience
Using Modern Mapping Systems.
AB - Experience of catheter ablation of pediatric focal atrial tachycardia (FAT) is
still limited. There are data which were gathered prior to the introduction of
modern 3D mapping and navigation systems into the clinical routine. Accordingly,
procedures were associated with significant fluoroscopy and low success rates.
The aim of this study was to present clinical and electrophysiological details of
catheter ablation of pediatric FAT using modern mapping systems. Since March
2003, 17 consecutive patients <20 years underwent electrophysiological study
(EPS) for FAT using the NavX((r)) system (n = 7), the non-contact mapping system
(n = 6) or the LocaLisa((r)) system (n = 4), respectively. Radiofrequency was the
primary energy source; cryoablation was performed in selected patients with a
focus close to the AV node. In 16 patients, a total number of 19 atrial foci
(right-sided n = 13, left-sided n = 6) could be targeted. In the remaining
patient, FAT was not present/inducible during EPS. On an intention-to-treat
basis, acute success was achieved in 14/16 patients (87.5 %) with a median number
of 11 (1-31) energy applications. Ablation was unsuccessful in two patients due
to an epicardial location of a right atrial focus (n = 1) and a focus close to
the His bundle (n = 1), respectively. Median procedure time was 210 (84-332) min,
and median fluoroscopy time was 13.1 (4.5-22.5) min. In pediatric patients with
FAT, 3D mapping and catheter ablation provided improved clinical quality of care.
Catheter ablation may be considered early in the course of treatment of this
tachyarrhythmia in symptomatic patients.
PMID- 26538209
TI - Morusin suppresses breast cancer cell growth in vitro and in vivo through
C/EBPbeta and PPARgamma mediated lipoapoptosis.
AB - BACKGROUND: Breast cancer is the most fatal malignant cancer among women, the
conventional therapeutic modalities of it are limited. Morusin possesses
cytotoxicity against some cancer cells in vitro. The purpose of this study is to
test the growth inhibition effect of morusin on human breast cancer growth in
vitro and in vivo and to explore the potential mechanism of its action. METHODS:
The growth inhibition effect of morusin on human breast cancer cells in vitro and
in vivo were tested by cell cytotoxicity, colony formation inhibition, adipogenic
differentiation, apoptosis induction, and tumor growth inhibition in vivo assays.
The potential molecular mechanisms underlying the growth inhibition effect of
morusin on human breast cancer cells in vitro and in vivo were investigated with
Western blotting evaluation of expression levels of transcription factors,
C/EBPbeta and PPARgamma, adipogenic and apoptotic proteins in morusin treated
breast cancer cells and tumor tissues. RESULTS: Morusin inhibited breast cancer
cells growth in vitro and in vivo; it induced adipogenic differentiation,
apoptosis and lipoapoptosis of cancer cells. CONCLUSIONS: Morusin has the
potential to inhibit human breast cancer cell growth in vitro and in vivo through
C/EBPbeta and PPARgamma mediated lipoapoptosis.
PMID- 26538212
TI - Extended Application of the Hybrid Procedure in Neonates with Left-Sided
Obstructive Lesions in an Evolving Cardiac Program.
AB - The hybrid approach to management of hypoplastic left heart syndrome (HLHS) was
developed as an alternative to neonatal Norwood surgery, providing a less
invasive initial palliation for HLHS. We describe our experience in extending the
concept of the hybrid procedure to palliate neonates with anatomically
compromised systemic arterial blood flow in a variety of congenital cardiac
anomalies and supporting its application as first-line palliation in centers
developing their HLHS programs. Retrospective review of patients undergoing
therapy for HLHS at a single institution from June 2008 to December 2014 was
performed. Subject demographics, clinical and procedural data, along with follow
up, were collected. Thirteen patients had initial hybrid palliation for HLHS
during the time frame indicated at a median age of 8 days (range 1-29 days) and
median weight of 3.4 kg (range 2.4-4.6 kg). Diagnoses included typical HLHS (n =
6), right-dominant unbalanced atrioventricular septal defect with arch hypoplasia
(n = 4), double outlet right ventricle [subpulmonic VSD (n = 1) and intact
ventricular septum (n = 1)] with hypoplastic transverse aortic arch and
borderline left ventricular dimensions. Standard approach with bilateral
pulmonary artery banding and ductal stenting was carried out in all thirteen
patients. Two patients required two ductal stents at the time of index procedure.
There were no intraprocedural complications. Median intubation length post
procedure was 4 days (range 1-74 days). Median hospital stay post-procedure was
47 days (range 15-270 days). The overall mortality rate on follow-up through
comprehensive stage 2 over the 6-year experience was 38 % (5 out of 13). Of note,
the mortality rate was significantly lower in the latter 3 years of the study
period when the procedure was adopted as a primary palliation for HLHS (14 % or 1
out of 7) compared to the initial 3-year period when it was reserved for higher
risk cohorts (67 % or 4 out of 6). Median time to subsequent surgery was 3 months
(range 1-4 months). One patient required further ductal stenting on follow-up and
developed subsequently airway compression. On median follow-up of 24 months, two
patients required pulmonary artery arterioplasty. The hybrid procedure may be
used for palliation for a variety of cardiac lesions to avoid high-risk surgery
in the neonatal period. This approach may be also an alternative in centers
performing lower number of Norwood surgery, which has been associated with higher
mortality.
PMID- 26538213
TI - Event-Related Household Discussions Following the Boston Marathon Bombing and
Associated Posttraumatic Stress Among Area Youth.
AB - Despite research documenting the scope of disaster-related posttraumatic stress
(PTS) in youth, less is known about how family processes immediately postdisaster
might associate with child outcomes. The 2013 Boston Marathon bombing affords a
unique opportunity to assess links between immediate family discussions about
community trauma and child mental health outcomes. The present study examined
associations between attack-related household discussions and child PTS among
Boston-area youth ages 4 to 19 following the Marathon bombing (N = 460).
Caregivers completed surveys 2 to 6 months postattack about immediate household
discussions about the events, child exposure to potentially traumatic attack
related experiences, and child PTS. During the Marathon bombing and manhunt,
there was considerable heterogeneity in household discussions across area
families, and several discussion items were differentially predictive of
variability in children's PTS. Specifically, after controlling for children's
direct exposure to the potentially traumatic attack/manhunt events, children
showed lower PTS when it was their caregivers who informed them about the attack
and manhunt, and when their caregivers expressed confidence in their safety and
discussed their own feelings about the manhunt with their child. Children showed
higher PTS when their caregivers did not discuss the events in front of them,
asked others to avoid discussing the events in front of them, and expressed
concern at the time that their child might not be safe. Child age and traumatic
attack/manhunt exposure moderated several links between household discussions and
child PTS. Findings underscore the importance of family communication and
caregiver modeling during times of community threat and uncertainty.
PMID- 26538214
TI - Formation of hollow and mesoporous structures in single-crystalline microcrystals
of metal-organic frameworks via double-solvent mediated overgrowth.
AB - The creation of hierarchical porosity in metal-organic frameworks (MOFs) could
benefit various applications of MOFs such as gas storage and separation. Having
single-crystalline microcrystals instead of poly-crystalline composites is
critical for these potential applications of MOFs with hierarchical porosity. We
developed a room temperature synthetic method to generate uniform hollow and
mesoporous zeolitic imidazolate framework-8 (ZIF-8) microcrystals with a single
crystalline structure via overgrowing a ZIF-8 shell in methanol solution on a ZIF
8 core with water adsorbed in the pores. The cavities formed as a result of the
different solvent micro-environment. This double-solvent mediated overgrowth
method could be applied to prepare other MOFs with hierarchical porosity.
PMID- 26538216
TI - Visual Function Assessment in Medical Imaging Research.
AB - BACKGROUND: Medical image perception research relies on visual data to study the
diagnostic relationship between observers and medical images. A consistent method
to assess visual function for participants in medical imaging research has not
been developed and represents a significant gap in existing research. METHODS:
Three visual assessment factors appropriate to observer studies were identified:
visual acuity, contrast sensitivity, and stereopsis. A test was designed for
each, and 30 radiography observers (mean age 31.6 years) participated in each
test. RESULTS: Mean binocular visual acuity for distance was 20/14 for all
observers. The difference between observers who did and did not use corrective
lenses was not statistically significant (P = .12). All subjects had a normal
value for near visual acuity and stereoacuity. Contrast sensitivity was better
than population norms. CONCLUSION: All observers had normal visual function and
could participate in medical imaging visual analysis studies. Protocols of
evaluation and populations norms are provided. Further studies are necessary to
understand fully the relationship between visual performance on tests and
diagnostic accuracy in practice.
PMID- 26538217
TI - Microbial Safety Assessment of a Double Check-Valve Patient Line in a Multiuse
Contrast Delivery System.
AB - PURPOSE: To demonstrate the microbial safety of a secure filling and injection
kit designed to allow for multiple injections of contrast media from a single
large-volume container in computed tomography (CT) and magnetic resonance (MR)
imaging examinations. METHODS: Two male Papio anubis baboons were injected with
technetium-99 labeled albumin to mimic a contaminated patient. Researchers
injected iodinated contrast medium into the animals using an automated power
injector via an antecubital vein, with an injection line fitted with a double
check-valve positioned at a 45 degrees angle toward the vein (worst-case
condition). Two contact times (before and after injection) were assessed in 3
experiments and repeated 3 times for a total of 9 tested lines. Radioactivity
levels were measured in the animals' plasma and in the injection system. RESULTS:
Crude values were corrected for background signal and technetium Tc 99m
radioactive decay. Results showed an absence of contamination in the line above
the check-valve. Negative results were because the mean value of background noise
was similar to the crude values measured. DISCUSSION: Injecting contrast media
from a large-volume container decreases the cost of CT and MR examinations.
However, this practice, which involves the use of the same injection system for
multiple patients, is associated with a risk of cross-contamination and requires
manufacturers to demonstrate the safety of reusable injection kits. CONCLUSION:
Based on appropriate demonstration of worst-case conditions and the use of a
radiolabeled molecule mimicking a pathogen particle (ie, as small as viral
particles), this study highlights the safety and performance of the tested
injection system to perform repeated injections from a multidose container to
more than one patient, regardless of the conditions and duration of the
examination.
PMID- 26538215
TI - A20 suppresses hepatocellular carcinoma proliferation and metastasis through
inhibition of Twist1 expression.
AB - BACKGROUND: Aberrant expression of A20 has been reported in several human
malignancies including hepatocellular carcinoma (HCC). However, its clinical
relevance and potential role in HCC remain unknown. METHODS: Quantitative PCR,
Western blots and immunohistochemistry analyses were used to quantify A20
expression in HCC samples and cell lines. The correlation of A20 expression with
clinicopathologic features was analyzed in a cohort containing 143 patients with
primary HCC. Kaplan-Meier curves were used to evaluate the association between
A20 expression and patient survival. Functional studies were performed to
determine the effects of A20 on proliferation and metastasis of HCC cells in
vitro and in vivo. RESULTS: Expression of A20 was increased in HCC tissues and
cell lines. Increased expression of A20 was negatively correlated with the tumor
size, TNM stage, tumor thrombus formation, capsular invasion and serum AFP
levels. Patients with higher A20 expression had a prolonged disease-free survival
and overall survival than those with lower A20 expression. Forced expression of
A20 significantly inhibited the proliferative and invasive properties of HCC
cells both in vitro and in vivo, whereas knockdown of A20 expression showed the
opposite effects. Further studies revealed that expression of A20 was inversely
correlated with Twist1 levels and NF-kappaB activity in HCC tissues and cell
lines. A20-induced suppression of proliferation and migration of HCC cells were
mainly mediated through inhibition of Twist1 expression that was regulated at
least partly by A20-induced attenuation of NF-kappaB activity. CONCLUSIONS: Our
results demonstrate that A20 plays a negative role in the development and
progression of HCC probably through inhibiting Twist1 expression. A20 may serve
as a novel prognostic biomarker and potential therapeutic target for HCC
patients.
PMID- 26538218
TI - Evaluation of Stress and a Stress-Reduction Program Among Radiologic
Technologists.
AB - PURPOSE: To investigate stress levels and causes of stress among radiologic
technologists and determine whether an intervention could reduce stress in a
selected radiologic technologist population. METHODS: Demographic characteristics
and data on preintervention stress sources and levels were collected through
Internet-based questionnaires. A 6-week, self-administered, mindfulness-based
stress-reduction program was conducted as a pilot intervention with 42 radiologic
technologists from the Veterans Administration Medical Center. Data also were
collected postintervention. Identified sources of stress were compared with
findings from previous studies. RESULTS: Some radiologic technologists
experienced improvement in their perceptions of stress after the intervention.
Sources of stress for radiologic technologists were similar to those shown in
earlier research, including inconsistent management, poor management
communication, conflicting demands, long work hours, excessive workloads, lack of
work breaks, and time pressures. CONCLUSION: The mindfulness-based stress
reduction program is an example of an inexpensive method that could improve
personal well-being, reduce work errors, improve relationships in the workplace,
and increase job satisfaction. More research is needed to determine the best type
of intervention for stress reduction in a larger radiologic technologist
population.
PMID- 26538219
TI - Medical Ethics and Law in Radiologic Technology.
AB - At every stage of their careers, radiologic technologists and student
technologists must adhere to high ethical standards, obey the law, and
consistently conduct themselves with professionalism. This article explains how
modern health care ethics evolved, focusing on 8 important theorists. It also
describes the ethical responsibilities of health care providers and the rights of
patients. Important civil rights laws are discussed, focusing on the rights of
health care workers as employees. A brief overview of the U.S. legal system
follows, including the causes of action that most commonly involve health care
professionals. Finally, this article discusses professionalism and its
implications for radiologic technologists.
PMID- 26538220
TI - Medical Imaging of Oral and Oropharyngeal Cancer.
AB - Oral cancer is associated with documented risk factors, yet no comprehensive
screening program is in place in the United States for early detection of the
disease. Oral cancer often is diagnosed in more advanced stages, resulting in a
poor prognosis. Dental practitioners and radiographers play an important role in
the management of the disease and in helping to improve the quality of life for
people who have oral cancer. This article discusses types of oral and
oropharyngeal cancer, their diagnosis, treatment options, and the role of dental
imaging in patients with these cancers.
PMID- 26538221
TI - Leslie Winter on Her Role and the Challenges Facing Our Profession.
PMID- 26538222
TI - Computed Tomography for Assessment of Coronary Artery Bypass Grafts.
PMID- 26538223
TI - Microexpressions: Do They Have Value in Radiology?
PMID- 26538224
TI - E-Portfolios for Radiologic Technology Students.
PMID- 26538225
TI - Developing Clinical Competence in Diagnostic Imaging Students.
PMID- 26538226
TI - Writing Research Proposals.
PMID- 26538227
TI - Image Fusion.
PMID- 26538228
TI - CT-guided Intra-abdominal Abscess Drainage.
AB - Intra-abdominal abscesses arise from complications of surgery and disease.
Computed tomography (CT) facilitates abscess drainage procedures while reducing
the risks and costs associated with surgery. These procedures require
collaboration to ensure positive patient outcomes. To perform their role in CT
guided intra-abdominal abscess drainage, radiologic technologists must understand
patient care, instrumentation, imaging techniques, and underlying disease
processes specific to the anatomical site. Once these considerations are grasped,
CT technologists can help radiologists and administrators to determine cost
effective instrumentation and quality control specific to the patient and
institution.
PMID- 26538229
TI - From Student to Professional: A Personal Perspective on Lifelong Learning.
PMID- 26538230
TI - Sequence-Defined Peptidocopolymers: The Effect of Small Molecular Linkers.
AB - In this paper, the contribution of nonpeptido small molecular linkers to the
properties of sequence-defined peptidocopolymers was investigated. We synthesized
four novel bioinspired peptidocopolymers (P1-P4) based on elastin motif
pentapeptide (Gly-Pro-Gly-Gly-Ala) by step growth polymerization. Small molecular
linkers, including tetraethylene glycol (M1), adipic acid (M2), isophthalic acid
(M3), and terephthalic acid (M4) with different length and flexibility are
employed to tune the conformation, physical, and mechanical properties of the
corresponding peptidocopolymers P1-P4 respectively. Raman spectroscopy, solid
state NMR, and circular dichroism spectroscopy were used to characterize the
conformation of the four peptidocopolymers. The experimental results were further
confirmed by molecular dynamics simulation of typical P2 and P4 with different
repeating units. High ratio of beta-turn conformation was observed in P2 due to
flexible linker M2; while affected by the hydrophobic and rigid M4 linker, P4
retained less beta-turn conformation and showed drastic difference on macroscopic
properties. These simple step growth synthesis techniques provide an efficient
approach toward a broad range of bioinspired peptidocopolymers, which takes a
further insight into the significant effect of nonpeptido linkages toward
chemical-synthesized peptidocopolymers.
PMID- 26538231
TI - Shift in performance of food safety management systems in supply chains: case of
green bean chain in Kenya versus hot pepper chain in Uganda.
AB - BACKGROUND: This study investigates the level of design and operation of food
safety management systems (FSMS) of farmers and export traders in Kenya and
Uganda. FSMS diagnostic tools developed for the fresh produce chain were used to
assess the levels of context riskiness, FSMS activities and system output in
primary production (n = 60) and trade (n = 60). High-risk context characteristics
combined with basic FSMS are expected to increase the risk on unsafe produce.
RESULTS: In Uganda both farmers and export traders of hot peppers operate in a
high- to moderate-risk context but have basic FSMS and low systems output. In
Kenya, both farmers and export traders of green beans operate in a low- to
moderate-risk context. The farmers have average performing FSMS, whereas export
trade companies showed more advanced FSMS and system output scores ranging from
satisfactory to good. CONCLUSION: Large retailers supplying the EU premium market
play a crucial role in demanding compliance with strict voluntary food safety
standards, which was reflected in the more advanced FSMS and good system output
in Kenya, especially traders. In Kenya, a clear shift in more fit-for-purpose
FSMS and higher system output was noticed between farms and trade companies. In
the case of Uganda, traders commonly supply to the less demanding EU wholesale
markets such as ethnic specialty shops. They only have to comply with the legal
phytosanitary and pesticide residue requirements for export activities, which
apparently resulted in basic FSMS and low system output present with both farmers
and traders. (c) 2015 Society of Chemical Industry.
PMID- 26538232
TI - Early View of the Effectiveness of New Direct-Acting Antiviral (DAA) Regimens in
Patients with Hepatitis C Virus (HCV).
AB - INTRODUCTION: Clinical trials have demonstrated the efficacy of all-oral direct
acting antiviral (DAA) regimens in the treatment of patients infected with
hepatitis C virus (HCV). This study assessed real-world effectiveness of two
recently approved regimens; paritaprevir/ritonavir/ombitasvir; dasabuvir (3D),
and sofosbuvir/ledipasvir (SOF/LDV) in patients with HCV genotype 1. METHODS: A
retrospective analysis of administrative claims data (IMS Health Patient-Centric
Data Warehouse/Medivo database) from October 1, 2013 to August 14, 2015 was
conducted. Patients >=19 years of age with a HCV genotype 1 infection, a
prescription fill for 3D or SOF/LDV, and >=1 HCV viral load (VL) assessment from
weeks 4-30 post-treatment were selected for analysis. Percentages of patients
achieving sustained virologic response (SVR; defined as HCV RNA <=43 IU/mL) were
determined. Unadjusted SVR rates were compared between treatment groups using
Fisher's exact tests. SVR rates were also assessed using multivariate regression
with adjustment for age group, sex, and treatment history. Analyses were repeated
for a subset of patients with VL assessment from 12 to 30 weeks post-treatment.
RESULTS: A total of 1707 (44 3D and 1663 SOF/LDV) patients were included. The
majority (60%) were male, 49% were aged 55-64 years, and 97% were treatment-naive
1 year prior to index. The unadjusted relative risk (RR) for achieving SVR in
patients treated with SOF/LDV compared with 3D was 0.98%, 95% confidence interval
(CI): 0.93-1.02. After adjusting for the baseline covariates, the RR was 0.98%,
95% CI: 0.94-1.03. CONCLUSIONS: In this early view of real-world data,
effectiveness of all-oral DAA regimens in HCV genotype 1 patients was concordant
with results from registration trials. SVR rates were similar for the two
regimens. Further studies are needed to confirm these results. FUNDING: AbbVie,
Inc.
PMID- 26538234
TI - Prediction of virologic response to tenofovir mono-rescue therapy for multidrug
resistant chronic hepatitis B.
AB - Most guidelines suggest combination therapy including nucleoside and nucleotide
analogues for the treatment of chronic hepatitis B (CHB) with multidrug
resistance (MD-R). However, long-term combination treatment can evoke high costs
and safety problems. Therefore, we investigated the efficacy of tenofovir
disoproxil fumarate (TDF) mono-rescue therapy for viral suppression in patients
with CHB exhibiting MD-R. We reviewed patients with CHB exhibiting antiviral drug
resistance treated by TDF mono-rescue therapy from December 2012 to June 2014.
The patients were categorized into three groups: lamivudine-resistance (LAM-R)
group (n = 290), and LAM-R + adefovir-resistance (ADV-R) group (n = 43), and LAM
R + entecavir-resistance (ETV-R) group (n = 113). We compared the virologic
response rate according to the multiplicity of resistance and investigated the
predictive factors of a virologic response. For a median of 15 months (range, 6
24 months) of TDF mono-rescue therapy, the cumulative virologic response rates
were 82.8, 81.4, and 84.1% in the LAM-R, LAM-R + ADV-R, and LAM-R + ETV-R groups,
respectively (P = 0.239). Multivariate analysis revealed that multiplicity of
resistance did not influence the achievement of a virologic response (P = 0.218).
However, the baseline HBV DNA level significantly influenced the achievement of a
virologic response for the treatment of CHB with MD-R (P < 0.001). TDF mono
rescue therapy is an appropriate treatment for CHB with MD-R, and the baseline
HBV DNA level is a significant predictive factor for a virologic response. These
factors should be considered before treating CHB with MD-R.
PMID- 26538233
TI - Targeting HER-3 to elicit antitumor helper T cells against head and neck squamous
cell carcinoma.
AB - HER-3 expression has been reported to act as an important oncoprotein in head and
neck squamous cell carcinoma. This protein is known to control tumor
proliferation and acquisition of resistance by tumor cells towards EGFR
inhibitors, therefore, development of a HER-3-targeted therapy is desirable. In
this study, we found that HER-3 expression on tumor cells was increased after
EGFR inhibition. To establish a novel therapeutic approach for HER-3-positive
head and neck carcinoma, we identified a HER-3 helper epitope that could elicit
effective helper T cell responses to the naturally processed HER-3-derived
epitope presented in a HER-3 expressing tumors. This epitope induced potent
cytolytic activity of CD4 T cells against such tumor cells. Moreover, pan HER
family tyrosine kinase inhibitor augmented the responses of HER-3-reactive CD4 T
cells via upregulation of HLA-DR protein on the surface of tumor cells. Our
results supports the validity of CD4 T cell-dependent HER-3-targeted therapy
combined with a broad inhibitor of HER-family.
PMID- 26538235
TI - Renal nerves dynamically regulate renal blood flow in conscious, healthy rabbits.
AB - Despite significant clinical interest in renal denervation as a therapy, the role
of the renal nerves in the physiological regulation of renal blood flow (RBF)
remains debated. We hypothesized that the renal nerves physiologically regulate
beat-to-beat RBF variability (RBFV). This was tested in chronically instrumented,
healthy rabbits that underwent either bilateral surgical renal denervation (DDNx)
or a sham denervation procedure (INV). Artifact-free segments of RBF and arterial
pressure (AP) from calmly resting, conscious rabbits were used to extract RBFV
and AP variability for time-domain, frequency-domain, and nonlinear analysis.
Whereas steady-state measures of RBF, AP, and heart rate did not statistically
differ between groups, DDNx rabbits had greater RBFV than INV rabbits. AP-RBF
transfer function analysis showed greater admittance gain in DDNx rabbits than in
INV rabbits, particularly in the low-frequency (LF) range where systemic
sympathetic vasomotion gives rise to AP oscillations. In the LF range, INV
rabbits exhibited a negative AP-RBF phase shift and low coherence, consistent
with the presence of an active control system. Neither of these features were
present in the LF range of DDNx rabbits, which showed no phase shift and high
coherence, consistent with a passive, Ohm's law pressure-flow relationship. Renal
denervation did not significantly affect nonlinear RBFV measures of chaos, self
affinity, or complexity, nor did it significantly affect glomerular filtration
rate or extracellular fluid volume. Cumulatively, these data suggest that the
renal nerves mediate LF renal sympathetic vasomotion, which buffers RBF from LF
AP oscillations in conscious, healthy rabbits.
PMID- 26538236
TI - ZnT4 (SLC30A4)-null ("lethal milk") mice have defects in mammary gland secretion
and hallmarks of precocious involution during lactation.
AB - During lactation, highly specialized secretory mammary epithelial cells (MECs)
produce and secrete huge quantities of nutrients and nonnutritive factors into
breast milk. The zinc (Zn) transporter ZnT4 (SLC30A4) transports Zn into the
trans-Golgi apparatus for lactose synthesis, and across the apical cell membrane
for efflux from MECs into milk. This is consistent with observations in "lethal
milk" (lm/lm) mice, which have a truncation mutation in SLC30A4, and present with
not only low milk Zn concentration, but also smaller mammary glands, decreased
milk volume, and lactation failure by lactation day 2. However, the molecular
underpinnings of these defects are not understood. Here, we used lactating
C57BL/6J(lm/lm) (ZnT4-null) mice to explore the consequences of a ZnT4-null
phenotype on mammary gland function during early lactation. Lactating
C57BL/6J(lm/lm) mice had significantly fewer, smaller, and collapsed alveoli
comprising swollen, lipid-filled MECs during early lactation. These defects were
associated with decreased Akt expression and STAT5 activation, indicative of
defects in MEC secretion. In addition, increased expression of ZnT2, TNF-alpha,
and cleaved e-cadherin concomitant with increased activation of STAT3 implicated
the loss of ZnT4 in precocious activation of involution. Collectively, our study
indicates that the loss of ZnT4 has profound consequences on MEC secretion and
may promote tissue remodeling in the mammary gland during early lactation.
PMID- 26538237
TI - Adipogenic role of alternatively activated macrophages in beta-adrenergic
remodeling of white adipose tissue.
AB - De novo brown adipogenesis involves the proliferation and differentiation of
progenitors, yet the mechanisms that guide these events in vivo are poorly
understood. We previously demonstrated that treatment with a beta3-adrenergic
receptor (ADRB3) agonist triggers brown/beige adipogenesis in gonadal white
adipose tissue following adipocyte death and clearance by tissue macrophages. The
close physical relationship between adipocyte progenitors and tissue macrophages
suggested that the macrophages that clear dying adipocytes might generate
proadipogenic factors. Flow cytometric analysis of macrophages from mice treated
with CL 316,243 identified a subpopulation that contained elevated lipid and
expressed CD44. Lipidomic analysis of fluorescence-activated cell sorting
isolated macrophages demonstrated that CD44+ macrophages contained four- to five
fold higher levels of the endogenous peroxisome-proliferator activated receptor
gamma (PPARgamma) ligands 9-hydroxyoctadecadienoic acid (HODE), and 13-HODE
compared with CD44- macrophages. Gene expression profiling and
immunohistochemistry demonstrated that ADRB3 agonist treatment upregulated
expression of ALOX15, the lipoxygenase responsible for generating 9-HODE and 13
HODE. Using an in vitro model of adipocyte efferocytosis, we found that IL-4
primed tissue macrophages accumulated lipid from dying fat cells and upregulated
expression of Alox15. Furthermore, treatment of differentiating adipocytes with 9
HODE and 13-HODE potentiated brown/beige adipogenesis. Collectively, these data
indicate that noninflammatory removal of adipocyte remnants and coordinated
generation of PPARgamma ligands by M2 macrophages provides localized adipogenic
signals to support de novo brown/beige adipogenesis.
PMID- 26538239
TI - Water deprivation-partial rehydration induces sensitization of sodium appetite
and alteration of hypothalamic transcripts.
AB - iSodium intake occurs either as a spontaneous or induced behavior, which is
enhanced, i.e., sensitized, by repeated episodes of water deprivation followed by
subsequent partial rehydration (WD-PR). In the present work, we examined whether
repeated WD-PR alters hypothalamic transcripts related to the brain renin
angiotensin system (RAS) and apelin system in male normotensive Holtzman rats
(HTZ). We also examined whether the sodium intake of a strain with genetically
inherited high expression of the brain RAS, the spontaneously hypertensive rat
(SHR), responds differently than HTZ to repeated WD-PR. We found that repeated WD
PR, besides enhancing spontaneous and induced 0.3 M NaCl intake, increased the
hypothalamic expression of angiotensinogen, aminopeptidase N, and apelin receptor
transcripts (43%, 60%, and 159%, respectively) in HTZ at the end of the third WD
PR. Repeated WD-PR did not change the daily spontaneous 0.3 M NaCl intake and
barely changed the need-induced 0.3 M NaCl intake of SHR. The same treatment
consistently enhanced spontaneous daily 0.3 M NaCl intake in the normotensive
Wistar-Kyoto rats. The results show that repeated WD-PR produces alterations in
hypothalamic transcripts and also sensitizes sodium appetite in HTZ. They suggest
an association between the components of hypothalamic RAS and the apelin system,
with neural and behavioral plasticity produced by repeated episodes of WD-PR in a
normotensive strain. The results also indicate that the inherited hyperactive
brain RAS is not a guarantee for sensitization of sodium intake in the male adult
SHR exposed to repeated WD-PR.
PMID- 26538240
TI - NIR-to-NIR Two-Photon Scanning Laser Microscopy Imaging of Single Nanoparticles
Doped by Yb(III) Complexes.
AB - The photophysical and nonlinear optical properties of water-soluble chromophore
functionalised tris-dipicolinate complexes [LnL3](3-) (Ln=Yb and Nd) are
thoroughly studied, revealing that only the Yb(III) luminescence can be
sensitized by a two-photon excitation process. The stability of the complex in
water is strongly enhanced by embedding in dispersible organosilicate
nanoparticles (NPs). Finally, the spectroscopic properties of [NBu4]3 [YbL3] are
studied in solution and in the solid state. The high brightness of the NPs allows
imaging them as single objects using a modified two-photon microscopy setup in a
NIR-to-NIR configuration.
PMID- 26538241
TI - Common Factors and Depressive Symptom Relief Trajectories in Group Teletherapy
for Persons Ageing with HIV.
AB - : Telepsychology research has focused primarily on treatment efficacy, with far
less attention devoted to how common factors relate to teletherapy outcomes. This
research identified trajectories of depressive symptom relief in 105 older people
living with HIV with elevated depressive symptoms enrolled in a randomized
clinical trial testing two 12-session group teletherapies and compared common
factors (e.g., therapeutic alliance and group cohesion) across depressive symptom
trajectory groups. Growth mixture modelling of weekly depression scores
identified three depressive symptom change groups: (1) 'early improvers' (31%)
who reported reductions in depressive symptoms by Session 4; (2) 'delayed
improvers' (16%) whose symptoms improved after Session 5 and (3) 'non-improvers'
(53%). Therapeutic alliance was unrelated to treatment outcome group. Group
cohesion was greater in early improvers than non-improvers. Group cohesion was
unexpectedly lower, and group member similarity was greater in delayed improvers
than non-improvers. Early improvers had been living with HIV/AIDS for fewer years
than non-improvers. In group teletherapy, group cohesion and group member
similarity are more important than client-therapist alliance. Copyright (c) 2015
John Wiley & Sons, Ltd. KEY PRACTITIONER MESSAGE: In group teletherapy with older
people living with HIV (OPLWHIV), three latent outcome trajectory groups emerged
over the 12-week treatment period: (1) non-improvers (53%); (2) early improvers
(31%) and (3) delayed improvers (16%). In group teletherapy with OPLWHIV, group
cohesion is a stronger predictor of depressive symptom relief than is client
therapist alliance. OPLWHIV in group teletherapy who do not respond to treatment
until the latter therapy sessions can still experience depressive symptom relief
comparable with early responders.
PMID- 26538242
TI - Profile of Patients Hospitalized through the Emergency Room to the Medicine Ward
and their Short-term Outcome at a Tertiary Care Hospital in Delhi.
AB - INTRODUCTION: With an increasing number of sicker patients, limited hospital
beds, and an emphasis on day care, the profile of patients hospitalized to
medicine wards has undergone a radical re-definition. The increasing share of
patients hospitalized through the emergency department for acute care to medicine
wards has left little space for hospitalization through the outpatient department
(OPD). There are some global data available on the profile of patients presenting
to the emergency rooms (ERs) and their subsequent outcome. Data from developing
countries, especially India, in this regard are lacking. METHODS: This cross
sectional study included all patients hospitalized to the medicine ward through
the medical emergency services, provided by the Department of Medicine, each
Wednesday and every sixth Sunday for the entire year (a total of 62 days), from
November 2010 through October 2011, and followed their outcome up to seven days
after hospitalization. RESULTS: Of the 3,618 cases presenting to medicine
emergency on these days, 1,547 (42.3%) were advised admission. Nine hundred sixty
seven reported to the medicine wards. One hundred eleven (7.73%) expired within
24 hours; others absconded, were lost in transit, did not consent to
participation, or were discharged. During the next seven days, 452 (46.7%)
recovered sufficiently and were discharged to go home. Two hundred thirty (23.8%)
left the hospital without informing the medical staff. Fourteen (1.4%) patients
were transferred to other departments. One hundred thirty-seven (8.8%) patients
died during the next six days of hospitalization. After Multivariate Logistic
Regression analysis, abnormal Glasgow Coma Scale (GCS) score, high systolic blood
pressure (BP), age, increased total leucocyte count, increased globulin, low
bicarbonate in arterial blood, low Mini Mental Status Examination (MMSE) score,
and a raised urea >40 mg/dL were found to be associated significantly with
mortality. CONCLUSION: Of the 1,547 patients who needed urgent hospitalization,
248 (16%) died within the first week, one-half of them within the first 24 hours.
An advanced age, abnormal GCS score, low MMSE score, increased systolic BP,
leukocytosis, acidosis, and uremia were found to be associated with a fatal
outcome. Therefore, nearly one-half of the patients who would have a fatal short
term outcome were likely to do so within the first 24 hours, making the first day
of presentation "the golden day" period.
PMID- 26538238
TI - Reactive oxygen species: players in the cardiovascular effects of testosterone.
AB - Androgens are essential for the development and maintenance of male reproductive
tissues and sexual function and for overall health and well being. Testosterone,
the predominant and most important androgen, not only affects the male
reproductive system, but also influences the activity of many other organs. In
the cardiovascular system, the actions of testosterone are still controversial,
its effects ranging from protective to deleterious. While early studies showed
that testosterone replacement therapy exerted beneficial effects on
cardiovascular disease, some recent safety studies point to a positive
association between endogenous and supraphysiological levels of
androgens/testosterone and cardiovascular disease risk. Among the possible
mechanisms involved in the actions of testosterone on the cardiovascular system,
indirect actions (changes in the lipid profile, insulin sensitivity, and
hemostatic mechanisms, modulation of the sympathetic nervous system and renin
angiotensin-aldosterone system), as well as direct actions (modulatory effects on
proinflammatory enzymes, on the generation of reactive oxygen species, nitric
oxide bioavailability, and on vasoconstrictor signaling pathways) have been
reported. This mini-review focuses on evidence indicating that testosterone has
prooxidative actions that may contribute to its deleterious actions in the
cardiovascular system. The controversial effects of testosterone on ROS
generation and oxidant status, both prooxidant and antioxidant, in the
cardiovascular system and in cells and tissues of other systems are reviewed.
PMID- 26538243
TI - A Danish Twin Study of Schizophrenia Liability: Investigation from Interviewed
Twins for Genetic Links to Affective Psychoses and for Cross-Cohort Comparisons.
AB - We studied schizophrenia liability in a Danish population-based sample of 44 twin
pairs (13 MZ, 31 DZ, SS plus OS) in order to replicate previous twin study
findings using contemporary diagnostic criteria, to examine genetic liability
shared between schizophrenia and other disorders, and to explore whether variance
in schizophrenia liability attributable to environmental factors may have
decreased with successive cohorts exposed to improvements in public health. ICD
10 diagnoses were determined by clinical interview. Although the best-fitting,
most parsimonious biometric model of schizophrenia liability specified variance
attributable to additive genetic and non-shared environmental factors, this model
did not differ significantly from a model that also included non-additive genetic
factors, consistent with recent interview-based twin studies. Schizophrenia
showed strong genetic links to other psychotic disorders but much less so for the
broader category of psychiatric disorders in general. We also observed a
marginally significant decline in schizophrenia variance attributable to
environmental factors over successive Western European cohorts, consistent
perhaps with improvements in diagnosis and in prenatal and perinatal care and
with a secular decline in the prevalence of schizophrenia in that region.
PMID- 26538245
TI - Oral administration and younger age decrease plasma concentrations of
voriconazole in pediatric patients.
AB - Voriconazole is used for treating or preventing invasive aspergillosis and other
invasive fungal infections. To minimize adverse reactions and to maximize
treatment effects, therapeutic drug monitoring should be performed. However, it
is challenging to optimize daily voriconazole dosing because limited data have
been published so far on pediatric patients. We retrospectively analyzed
voriconazole concentrations in patients aged 0-18 years. In addition, a
literature review was conducted. In our study cohort, younger age and oral
administration were significantly associated with lower plasma voriconazole
concentrations (P < 0.01). An unfavorable outcome was associated with low
concentrations of voriconazole (P = 0.01). Reports of voriconazole administration
in pediatric patients show that higher doses are required in younger children and
in patients receiving oral administration. Hence, the current data suggest that
we should escalate both initial and maintenance doses of voriconazole in
pediatric patients, particularly in patients of younger age receiving an oral
administration of voriconazole.
PMID- 26538244
TI - Gene-Environment Interplay in Physical, Psychological, and Cognitive Domains in
Mid to Late Adulthood: Is APOE a Variability Gene?
AB - Despite emerging interest in gene-environment interaction (GxE) effects, there is
a dearth of studies evaluating its potential relevance apart from specific
hypothesized environments and biometrical variance trends. Using a monozygotic
within-pair approach, we evaluated evidence of G*E for body mass index (BMI),
depressive symptoms, and cognition (verbal, spatial, attention, working memory,
perceptual speed) in twin studies from four countries. We also evaluated whether
APOE is a 'variability gene' across these measures and whether it partly
represents the 'G' in G*E effects. In all three domains, G*E effects were
pervasive across country and gender, with small-to-moderate effects. Age-cohort
trends were generally stable for BMI and depressive symptoms; however, they were
variable-with both increasing and decreasing age-cohort trends-for different
cognitive measures. Results also suggested that APOE may represent a 'variability
gene' for depressive symptoms and spatial reasoning, but not for BMI or other
cognitive measures. Hence, additional genes are salient beyond APOE.
PMID- 26538248
TI - Stories of South Asian clinical excellence: who won the 2015 BMJ Awards?
PMID- 26538247
TI - Visceral adiposity is associated with altered myocardial glucose uptake measured
by (18)FDG-PET in 346 subjects with normal glucose tolerance, prediabetes, and
type 2 diabetes.
AB - BACKGROUND: The heart requires constant sources of energy mostly from free fatty
acids (FFA) and glucose. The alteration in myocardial substrate metabolism occurs
in the heart of diabetic patients, but its specific association with other
metabolic variables remains unclear. We aimed to evaluate glucose uptake in
hearts of subjects with normal glucose tolerance (NGT), prediabetes, and type 2
diabetes mellitus (T2DM) using [(18)F]-fluorodeoxyglucose-positron emission
tomography ((18)FDG-PET) in association with visceral and subcutaneous adiposity,
and metabolic laboratory parameters. METHODS: A total of 346 individuals (NGT, n
= 76; prediabetes, n = 208; T2DM, n = 62) in a health promotion center of a
tertiary hospital were enrolled. The fasting myocardial glucose uptake, and
visceral and subcutaneous fat areas were evaluated using (18)FDG-PET and
abdominal computed tomography, respectively. RESULTS: Myocardial glucose uptake
was significantly decreased in subjects with T2DM compared to the NGT or
prediabetes groups (p for trend = 0.001). Multivariate linear regression analyses
revealed that visceral fat area (beta = -0.22, p = 0.018), fasting FFA (beta =
0.39, p < 0.001), and uric acid levels (beta = -0.21, p = 0.007) were independent
determinants of myocardial glucose uptake. Multiple logistic analyses
demonstrated that decreased myocardial glucose uptake (OR 2.32; 95% CI 1.02-5.29,
p = 0.045) and visceral fat area (OR 1.02, 95% CI 1.01-1.03, p = 0.018) were
associated with T2DM. CONCLUSIONS: Our findings indicate visceral adiposity is
strongly associated with the alteration of myocardial glucose uptake evaluated by
(18)FDG-PET, and its association further relates to T2DM.
PMID- 26538249
TI - A rare case of primary cardiac lymphoma.
AB - A 71-year-old man presented with shortness of breath and tachycardia along with
systemic symptoms of weight loss and lethargy. A pulmonary embolus was the
initial suspected diagnosis but through extensive investigations a rarer cause of
his symptoms was identified. This case demonstrates the importance of cardiac
imaging in the assessment and non-invasive tissue characterisation of a suspected
cardiac tumour; in our case, this was subsequently confirmed by careful
histological/immunocytochemical evaluation of the pericardial effusion as a
primary cardiac B-cell non-Hodgkin's lymphoma, thus enabling appropriate
management leading to an excellent clinical outcome.
PMID- 26538250
TI - Subaortic membrane mimicking hypertrophic cardiomyopathy.
AB - A 34-year-old man was referred for progressive angina and exertional dyspnoea
refractory to medical therapy, with a presumptive diagnosis of hypertrophic
cardiomyopathy (HCM). Transthoracic echocardiography (TTE) revealed asymmetric
septal hypertrophy without systolic anterior motion of the mitral valve leaflet
and with no dynamic left ventricular outflow tract (LVOT) obstruction. However,
the LVOT velocity was elevated at rest as well as with provocation, without the
characteristic late peaking obstruction seen in HCM. Focused TTE to evaluate for
suspected fixed obstruction demonstrated a subaortic membrane 2.2 cm below the
aortic valve. Coronary CT angiography confirmed the presence of the subaortic
membrane and was negative for concomitant coronary artery disease. Surgical
resection of the subaortic membrane and septal myectomy resulted in significant
symptomatic relief and lower LVOT velocities on postoperative TTE. This case
reminds the clinician to carefully evaluate for alternative causes of LVOT
obstruction, especially subaortic membrane, as a cause of symptoms mimicking HCM.
PMID- 26538251
TI - Role of neuropeptide Y in the bone marrow hematopoietic stem cell
microenvironment.
AB - The sympathetic nervous system (SNS) or neurotransmitters in the bone marrow
microenvironment has been known to regulate hematopoietic stem cell (HSC)
functions such as self-renewal, proliferation and differentiation. However, the
specific role of neuropeptide Y (NPY) in this process remains relatively
unexplored. In this study, we demonstrated that NPY deficient mice have
significantly reduced HSC numbers and impaired bone marrow regeneration due to
apoptotic destruction of SNS fibers and/or endothelial cells. Moreover, NPY
treatment prevented bone marrow impairments in a mouse model of chemotherapy
induced SNS injury, while conditional knockout mice lacking the Y1 receptor in
macrophages did not restore bone marrow dysfunction in spite of NPY injection.
Transforming growth factor-beta (TGF-beta) secreted by NPY-mediated Y1 receptor
stimulation in macrophages plays a key role in neuroprotection and HSC survival
in the bone marrow. Therefore, this study reveals a new role of NPY in bone
marrow HSC microenvironment, and provides an insight into the therapeutic
application of this neuropeptide.
PMID- 26538252
TI - Primary cilia in energy balance signaling and metabolic disorder.
AB - Energy homeostasis in our body system is maintained by balancing the intake and
expenditure of energy. Excessive accumulation of fat by disrupting the balance
system causes overweight and obesity, which are increasingly becoming global
health concerns. Understanding the pathogenesis of obesity focused on studying
the genes related to familial types of obesity. Recently, a rare human genetic
disorder, ciliopathy, links the role for genes regulating structure and function
of a cellular organelle, the primary cilium, to metabolic disorder, obesity and
type II diabetes. Primary cilia are microtubule based hair-like membranous
structures, lacking motility and functions such as sensing the environmental
cues, and transducing extracellular signals within the cells. Interestingly, the
subclass of ciliopathies, such as Bardet-Biedle and Alstrom syndrome, manifest
obesity and type II diabetes in human and mouse model systems. Moreover, studies
on genetic mouse model system indicate that more ciliary genes affect energy
homeostasis through multiple regulatory steps such as central and peripheral
actions of leptin and insulin. In this review, we discuss the latest findings in
primary cilia and metabolic disorders, and propose the possible interaction
between primary cilia and the leptin and insulin signal pathways which might
enhance our understanding of the unambiguous link of a cell's antenna to obesity
and type II diabetes.
PMID- 26538253
TI - Qualitative vs. quantitative atopic dermatitis criteria - in historical and
present perspectives.
AB - This review summarizes historical aspects, clinical expression and
pathophysiology leading to coining of the terms atopy and atopic dermatitis,
current diagnostic criteria and further explore the possibility of developing
quantitative diagnostic criteria of atopic dermatitis (AD) based on the
importance of atopic features - subjective, objective, and those derived from
laboratory tests - the new partly promising AD biomarkers. 'Atopy', introduced in
1923, denoted 'the sense of a strange disease without a precise place in the
body'. A decade later, Sulzberger and Hill, first defined 'atopic dermatitis'.
The pioneering well-recognized criteria, 'Hanifin & Rajka' (Acta Derm Venereol,
92, 1980, 44), were developed empirically on 'clinical experience' and expert
consensus. As opposed to the widely used, rather anamnestic 'UK Criteria' (1994),
they have few formal validation studies, but appear to well embrace various
atopic phenotypes. Pruritus, xerosis, typical morphology/distribution of
dermatitis and tendency to a relapsing/chronic course are common basic features
in AD criteria, whereas skin sensitivity, heredity and various ill-defined atopic
stigmata also seem to comprise the atopic phenomenon. Specific pheno- and
endotypes are now emerging potentially enabling us to better classify patients
with AD, but the influence of these on the diagnosis of AD is so far unclear. Few
diagnostic models use quantitative scoring systems to establish AD cases from
normal population, which, however, may be useful to better study and manage this
disease. Long-term prospective observational studies, from which few are
available at this point, along with interventional studies, are a perquisite and
will provide the best option to improve our understanding of its complex
characteristics and etiology.
PMID- 26538254
TI - Secondary metabolites produced by marine streptomyces as antibiofilm and quorum
sensing inhibitor of uropathogen Proteus mirabilis.
AB - Quorum-sensing regulates bacterial biofilm formation and virulence factors,
thereby making it an interesting target for attenuating pathogens. In this study,
we investigated anti-biofilm and anti-quorum-sensing compounds from secondary
metabolites of halophiles marine streptomyces against urinary catheter biofilm
forming Proteus mirabilis without effect on growth viability. A total of 40
actinomycetes were isolated from samples collected from different places in Iraq
including marine sediments and soil samples. Fifteen isolates identified as
streptomyces and their supernatant screened as anti-quorum-sensing by inhibiting
quorum-sensing regulated prodigiosin biosynthesis of Serratia marcescens strain
Smj-11 as a reporter strain. Isolate Sediment Lake Iraq (sdLi) showed potential
anti-quorum-sensing activity. Out of 35 clinical isolates obtained from Urinary
catheter used by patient at the Universiti Kebangsaan Malaysia Medical Center, 22
isolates were characterized and identified as Proteus mirabilis. Isolate Urinary
Catheter B4 (UCB4) showed the highest biofilm formation with highest resistance
to used antibiotic and was chosen for further studies. Ethyl acetate secondary
metabolites extract was produced from sdLi isolate. First, we determined the
Minimum Inhibitory Concentration (MIC) of sdLi crude extract against UCB4
isolate, and all further experiments used concentrations below the MIC. Tests of
subinhibitory concentrations of sdLi crude extract showed good inhibition against
UCB4 isolate biofilm formation on urinary catheter and cover glass using Scanning
electron microscopy and light microscopy respectively. The influence of sub-MIC
of sdLi crude extract was also found to attenuate the quorum sensing (QS)
dependent factors such as hemolysin activity, urease activity, pH value, and
motility of UCB4 isolate. Evidence is presented that these nontoxic secondary
metabolites may act as antagonists of bacterial quorum sensing by competing with
quorum-sensing signals for receptor binding.
PMID- 26538255
TI - Study on the behavior of heavy metals during thermal treatment of municipal solid
waste (MSW) components.
AB - Laboratory experiments were conducted to investigate the volatilization behavior
of heavy metals during pyrolysis and combustion of municipal solid waste (MSW)
components at different heating rates and temperatures. The waste fractions
comprised waste paper (Paper), disposable chopstick (DC), garbage bag (GB), PVC
plastic (PVC), and waste tire (Tire). Generally, the release trend of heavy
metals from all MSW fractions in rapid-heating combustion was superior to that in
low-heating combustion. Due to the different characteristics of MSW fractions,
the behavior of heavy metals varied. Cd exhibited higher volatility than the rest
of heavy metals. For Paper, DC, and PVC, the vaporization of Cd can reach as high
as 75% at 500 degrees C in the rapid-heating combustion due to violent
combustion, whereas a gradual increase was observed for Tire and GB. Zn and Pb
showed a moderate volatilization in rapid-heating combustion, but their
volatilities were depressed in slow-heating combustion. During thermal treatment,
the additives such as kaolin and calcium can react or adsorb Pb and Zn forming
stable metal compounds, thus decreasing their volatilities. The formation of
stable compounds can be strengthened in slow-heating combustion. The volatility
of Cu was comparatively low in both high and slow-heating combustion partially
due to the existence of Al, Si, or Fe in residuals. Generally, in the reducing
atmosphere, the volatility of Cd, Pb, and Zn was accelerated for Paper, DC, GB,
and Tire due to the formation of elemental metal vapor. TG analysis also showed
the reduction of metal oxides by chars forming elemental metal vapor. Cu2S was
the dominant Cu species in reducing atmosphere below 900 degrees C, which was
responsible for the low volatility of Cu. The addition of PVC in wastes may
enhance the release of heavy metals, while GB and Tire may play an opposite
effect. In controlling heavy metal emission, aluminosilicate- and calcium-based
sorbents can be co-treated with fuels. Moreover, pyrolysis can be a better choice
for treatment of solid waster in terms of controlling heavy metals. PVC and Tire
should be separated and treated individually due to high possibility of heavy
metal emission. This information may then serve as a guideline for the design of
the subsequent gas cleaning plant, necessary to reduce the final emissions to the
atmosphere to an acceptable level.
PMID- 26538256
TI - Sulfur crosslinks from thermal degradation of chitosan dithiocarbamate
derivatives and thermodynamic study for sorption of copper and cadmium from
aqueous system.
AB - Pristine chitosan beads were modified with sulfur (S)-containing functional
groups to produce thiolated chitosan beads (ETB), thereby increasing S donor
ligands and crosslinks. The effect of temperature, heating time, carbon disulfide
(CS2)/chitosan ratio, and pH on total S content of ETB was examined using Fourier
transform infrared spectroscopy and X-ray photoelectron spectroscopy. The total S
content of ETB increased with increasing CS2/chitosan ratio and decreased with
decreasing pH and increasing temperature (>60 degrees C) and heating time (at 60
degrees C). Spectroscopic analyses revealed the presence of thiol (-SH)/thione,
disulfide (-S-S-), and sulfonate groups in ETB. The thiolation mechanism involves
decomposition of dithiocarbamate groups, thereby forming thiourea crosslinks and
trithiocarbonate, resulting in -SH oxidation to produce -S-S- crosslinks. The
partially formed ETB crosslinks contribute to its acid stability and are
thermodynamically feasible in adsorbing Cd and Cu. The S-containing functional
groups added to chitinous wastes act as sorbents for metal remediation from
acidic environments.
PMID- 26538257
TI - Addressing the role of earthworms in treating domestic wastewater by analyzing
biofilm modification through chemical and spectroscopic methods.
AB - Vermifiltration eco-friendly system is an alternative and low-cost artificial
ecosystem for decentralized wastewater treatment and excess sludge reduction. The
biofilm characteristics of a vermifilter (VF) with earthworms, Eisenia fetida,
for domestic wastewater treatment were studied. A conventional biofilter (BF)
without earthworms served as the control. Pore number in VF biofilm was
significantly more than BF biofilm, and VF biofilm showed a better level
administrative structure through scanning electron microscope. VF biofilms had
lower levels of protein and polysaccharide, but phosphoric acids and humic acid
showed the opposite results. Furthermore, in the presence of earthworms, VF
biofilms contained higher total organic carbon (TOC) percentage composition in
the condition of less volatile suspended substances (VSS) contents. Dehydrogenase
activity (DHA) and adenosine triphosphate (ATP) contents along VF showed better
results than BF by increment of 12.84 ~ 16.46 %. Overall findings indicated that
the earthworms' presence remarkably decreases biofilm contests but increases
enzyme activity and improves the community structure of VF biofilms, which is
beneficial for the wastewater disposal.
PMID- 26538258
TI - Level of contamination by metallic trace elements and organic molecules in the
seagrass beds of Guadeloupe Island.
AB - Seagrass bed ecosystems occupy the most important part of coastal shelf in the
French West Indies. They also constitute nurseries for many invertebrates and
fishes harvested by local fisheries. In Guadeloupe, coastal fish stocks are
declining meanwhile several agroecosystems revealed to be heavily contaminated by
pollutants (agricultural lands, rivers, mangroves, seagrass beds, and coral
reefs). Considering these facts, a study of the contamination of seagrass beds
(8000 ha) of the Grand Cul-de-Sac Marin (GCSM) bay was conducted on their
sediments and marine phanerogams. The analyses concerned six metals (Cd, Cu, Hg,
Pb, V, Zn), tributyltin, 18 polycyclic aromatic hydrocarbons (PAHs), eight
polybrominated diphenyl ethers (PBDEs), 38 polychlorobiphenyls (PCBs),
dithiocarbamates (CS2 residues), and 225 pesticide molecules.Overall, the level
of contamination of the seagrass beds was low for both sediments and phanerogams.
Metallic trace elements were the main pollutants but with higher concentrations
recorded in coastal sites, and their distribution can be explained by the
proximity of river mouths and current patterns. The level of contamination was
lower in plants than in sediments. However, the level of contamination between
these two compartments was significantly correlated. The conclusion of this study
is that, unlike other coastal ecosystems of Guadeloupe such as mangroves, the
seagrass beds in the GCSM present a low degree of pollution. The observed level
of contaminants does not seem to threaten the role of nursery played by the
seagrass beds and does not likely present a risk for the reintroduction of
manatees.
PMID- 26538259
TI - Comparison of UV/hydrogen peroxide and UV/peroxydisulfate processes for the
degradation of humic acid in the presence of halide ions.
AB - This study compared the behaviors of two classic advanced oxidation processes
(AOPs), hydroxyl radical-based AOPs ((*)OH-based AOPs) and sulfate radical-based
AOPs (SO4 (*-)-based AOPs), represented by UV/ hydrogen peroxide (H2O2) and
UV/peroxydisulfate (PDS) systems, respectively, to degrade humic acid (HA) in the
presence of halide ions (Cl(-) and Br(-)). The effects of different operational
parameters, such as oxidant dosages, halide ions concentration, and pH on HA
degradation were investigated in UV/H2O2/Cl(-), UV/PDS/Cl(-), UV/H2O2/Br(-), and
UV/PDS/Br(-) processes. It was found that the oxidation capacity of H2O2 and PDS
to HA degradation in the presence of halides was nearly in the same order. High
dosage of peroxides would lead to an increase in HA removal while excess dosage
would slightly inhibit the efficiency. Both Cl(-) and Br(-) would have depressing
impact on the two AOPs, but the inhibiting effect of Br(-) was more obvious than
that of Cl(-), even the concentration of Cl(-) was far above that of Br(-). The
increasing pH would have an adverse effect on HA decomposition in UV/H2O2 system,
whereas there was no significant impact of pH in UV/PDS process. Furthermore,
infrared spectrometer was used to provide the information of degraded HA in
UV/H2O2/Cl(-), UV/PDS/Cl(-), UV/H2O2/Br(-), and UV/PDS/Br(-) processes, and
halogenated byproducts were identified in using GC-MS analysis in the four
processes. The present research might have significant technical implications on
water treatment using advanced oxidation technologies.
PMID- 26538260
TI - Vertical profile, source apportionment, and toxicity of PAHs in sediment cores of
a wharf near the coal-based steel refining industrial zone in Kaohsiung, Taiwan.
AB - Three sediment cores were collected from a wharf near a coal-based steel refining
industrial zone in Kaohsiung, Taiwan. Analyses for 16 polycyclic aromatic
hydrocarbons (PAHs) of the US Environmental Protection Agency priority list in
the core sediment samples were conducted using gas chromatography-mass
spectrometry. The vertical profiles of PAHs in the core sediments were assessed,
possible sources and apportionment were identified, and the toxicity risk of the
core sediments was determined. The results from the sediment analyses showed that
total concentrations of the 16 PAHs varied from 11774 +/- 4244 to 16755 +/- 4593
ng/g dry weight (dw). Generally, the vertical profiles of the PAHs in the
sediment cores exhibited a decreasing trend from the top to the lower levels of
the S1 core and an increasing trend of PAHs from the top to the lower levels of
the S2 and S3 cores. Among the core sediment samples, the five- and six-ring PAHs
were predominantly in the S1 core, ranging from 42 to 54 %, whereas the
composition of the PAHs in the S2 and S3 cores were distributed equally across
three groups: two- and three-ring, four-ring, and five- and six-ring PAHs. The
results indicated that PAH contamination at the site of the S1 core had a
different source. The molecular indices and principal component analyses with
multivariate linear regression were used to determine the source contributions,
with the results showing that the contributions of coal, oil-related, and vehicle
sources were 38.6, 35.9, and 25.5 %, respectively. A PAH toxicity assessment
using the mean effect range-median quotient (m-ERM-q, 0.59-0.79), benzo[a]pyrene
toxicity equivalent (TEQ(carc), 1466-1954 ng TEQ/g dw), and dioxin toxicity
equivalent (TEQ(fish), 3036-4174 pg TEQ/g dw) identified the wharf as the most
affected area. The results can be used for regular monitoring, and future
pollution prevention and management should target the coal-based industries in
this region for pollution reduction.
PMID- 26538261
TI - Seawater influence monitored by NaCl on the growth of Trametes versicolor.
AB - There are only a few scientific data about the function of ecosystems after
tsunami disasters. The ecosystems help the environment to recover after a
disaster, and therefore, the research on its function is important. We estimated
the seawater influences on wood degradation after a tsunami disaster by the
growth of Trametes versicolor. The debris from the Great East Japan Earthquake on
the pacific coast in March 2011 was used for the simulations. Its growth on
debris was compared with those on seawater-treated woods, and the amount of
sodium chloride was examined to know the approximate amount of salts in the
samples. Sodium chloride contents were employed as an indicative parameter of sea
salts, which contained many elements. As a result, this common white-rot fungus
degraded wood debris in the same way as sound sapwood. Although the study was
conducted at the laboratory level, this is the first report from the real debris,
which assessed the fungal decomposition ability of the ecosystem after a tsunami
disaster.
PMID- 26538262
TI - Health risk equations and risk assessment of airborne benzene homologues exposure
to drivers and passengers in taxi cabins.
AB - Interior air environment and health problems of vehicles have attracted
increasing attention, and benzene homologues (BHs) including benzene, toluene,
ethylbenzene, xylenes, and styrene are primary hazardous gases in vehicular
cabins. The BHs impact on the health of passengers and drivers in 38 taxis is
assessed, and health risk equations of in-car BHs to different drivers and
passengers are induced. The health risk of in-car BHs for male drivers is the
highest among all different receptors and is 1.04, 6.67, and 6.94 times more than
ones for female drivers, male passengers, and female passengers, respectively. In
car BHs could not lead to the non-cancer health risk to all passengers and
drivers as for the maximal value of non-cancer indices is 0.41 and is less than
the unacceptable value (1.00) of non-cancer health risk from USEPA. However, in
car BHs lead to cancer health risk to drivers as for the average value of cancer
indices is 1.21E-04 which is 1.21 times more than the unacceptable value (1.00E
04) of cancer health risk from USEPA. Finally, for in-car airborne benzene
concentration (X, MUg/m(3)) to male drivers, female drivers, male passengers, and
female passengers, the cancer health risk equations are Y = 1.48E-06X, Y = 1.42E
06X, Y = 2.22E-07X, and Y = 2.13E-07X, respectively, and the non-cancer health
risk equations are Y = 1.70E-03X, Y = 1.63E-03X, Y = 2.55E-04X, and Y = 2.45E
04X, respectively.
PMID- 26538264
TI - Erratum to: Continuously elevated serum matrix metalloproteinase-3 for 3 ~ 6
months predict one-year radiographic progression in rheumatoid arthritis: a
prospective cohort study.
PMID- 26538263
TI - Evidence for the Importance of Vitamin D Status in Neurologic Conditions.
AB - OPINION STATEMENT: Vitamin D status has been proposed as relevant to many
neurological disorders. Data suggest that vitamin D may be important for the
development of the nervous system, and it also plays a role in neuroimmunology
and neuroprotection. Lower levels of circulating 25-hydroxyvitamin D have been
linked with increased risk of multiple sclerosis (MS) and Alzheimer's disease
(AD). While people with amyotrophic lateral sclerosis (ALS), Parkinson's disease
(PD), and stroke have lower vitamin D levels than those without the diseases, it
is unclear if this is because hypovitaminosis D contributes to disease risk or is
a consequence of immobility and other factors caused by the disease. Lower levels
of vitamin D have been associated with worse prognosis in MS, PD, ALS, and
stroke, while no longitudinal studies have been performed to evaluate such an
association in AD. Small pilot trials have been performed to evaluate vitamin D
supplementation for some of these diseases, but there have been no phase III
studies to support vitamin D supplementation in these patient populations;
further, ideal levels of 25-hydroxyvitamin D are not known. Thus, while some
expert panels or individuals have suggested routine testing and supplementation
for patients with these neurological conditions, it is our opinion that there are
currently insufficient data to support high-dose vitamin D supplementation to
specifically treat or prevent these conditions.
PMID- 26538266
TI - Radiological and functional outcomes 2.7 years following conservatively treated
completely displaced midshaft clavicle fractures.
AB - OBJECTIVES: It is unclear whether all completely displaced midshaft clavicle
fractures require primary surgical intervention. The aim of this study was to
elucidate the radiological and clinical outcomes after conservative treatment,
and to identify subgroups at risk of an inferior outcome. DESIGN: Retrospective
case series. SETTING: Level II trauma center. PATIENTS: Between 2005 and 2008,
122 patients were conservatively treated for a completely displaced midshaft
clavicle fracture of whom 92 were eligible for inclusion in this study. Of these,
59 completed the study after a median of 2.7 years after the fracture (min-max,
1.1-4.9). INTERVENTION: The patients received the standard treatment administered
at our institution at the time: nonsurgically with a sling without physiotherapy.
Patients with painful nonunions were subsequently offered surgery. MAIN OUTCOME
MEASUREMENTS: At follow-up, the patients' Disabilities of Arm, Shoulder, and Hand
(DASH) and the Constant scores were evaluated. Radiographs were taken at follow
up and compared to those taken acutely. RESULTS: Nonunion was found in 9 of the
59 (15.3%) patients. Twenty-four (24%) patients reported a fair-to-poor DASH
score (i.e. >20). Patients with fractures that were vertically displaced by more
than 100% (one bone width) were significantly less satisfied than those with
fractures vertically displaced at 100% (p = 0.04). Initial shortening of more
than 15 mm was not associated with a worse outcome or nonunion. The odds ratio of
developing a nonunion increased with age (p = 0.04). CONCLUSIONS: By treating
completely displaced midshaft clavicle fractures conservatively with a sling and
offering plate fixation for eventual painful nonunions, we found a 24% risk of a
fair or poor clinical result with a DASH score over 20. A vertical displacement
of more than 100 % between the main fragments on the initial radiograph was
associated with an inferior clinical outcome in this study. LEVEL OF EVIDENCE:
IV.
PMID- 26538265
TI - Molecular changes in the medial prefrontal cortex and nucleus accumbens are
associated with blocking the behavioral sensitization to cocaine.
AB - Previous studies have demonstrated that cocaine-induced behavioral sensitization
is associated with persistent functional and structural alterations in the medial
prefrontal cortex (mPFC) and nucleus accumbens (NAc); however, the molecular
mechanisms underlying these changes have not been elucidated. In this study, the
behavioral sensitization to cocaine was established in Sprague Dawley rats and
was measured by locomotion and behavioral rating. The brain tissue homogenization
was used for measuring the level of brain-derived neurotrophic factor (BDNF), the
expression and activity of integrin-linked kinase (ILK), level of protein kinase
B (Akt) phosphorylation at serine 473 and threonine 308, and the expression of
p75(NTR), TrkA, and TrkB protein. The Results showed that cocaine sensitization
was associated with increased BDNF, ILK activity, phospho-Akt Ser(473), p75(NTR),
and TrkB protein levels in the mPFC and NAc core. The combination of pergolide
and ondansetron normalized not only behavioral sensitization, but also the
increases in these molecular markers. Dual immunofluoresence staining showed that
ILK expression is co-distributed with p75(NTR) and TrkA expression in both the
mPFC and NAc core. Results suggested that the BDNF-TrkA/p75(NTR)-ILK-Akt
signaling pathway may be active in cocaine sensitization and associated neural
plasticity in the mPFC and NAc core.
PMID- 26538267
TI - Daily Fluctuations in Everyday Cognition: Is It Meaningful?
AB - OBJECTIVE: This study examined whether there are daily fluctuations in everyday
cognition that are consistent with daily fluctuations often observed in
traditional measures of basic cognitive abilities. METHOD: Two hundred six
independently living older adults (age range = 60-91 years) were asked to
complete a computerized cognitive battery over eight occasions within a 2- to 3
week period. RESULTS: Using multilevel model, significant within-person
variability was observed across the Daily Everyday Cognition Assessment (DECA;
46%), with 54% between-person variability. At each occasion, better performance
on the DECA was significantly associated with better performance on simple
reaction time ( p < .01) and memory (Auditory Verbal Learning Task, p < .01) even
after accounting for time, age, education, and performance on other cognitive
measures. CONCLUSION: These findings demonstrate that within-person performance
fluctuations can be observed for everyday cognition tasks, and these fluctuations
are consistent with daily changes in basic cognitive abilities.
PMID- 26538268
TI - Caregiving, Transport-Related, and Demographic Correlates of Sedentary Behavior
in Older Adults: The Senior Neighborhood Quality of Life Study.
AB - OBJECTIVE: Excess sedentary time predicts negative health outcomes independent of
physical activity. The present investigation examined informal caregiving duties
and transportation-related factors as potential correlates of sedentary behavior
in older adults. METHOD: Average daily sedentary time was measured via
accelerometer in adults ages 66 years and older (N = 861). Caregiving variables
included dog ownership and informal family caregiving status. Transportation
variables included driver status, walking distance to public transit, and
reported presence of pedestrians and bicyclists in one's neighborhood. RESULTS:
In multivariate models, owning a dog and being a driver were associated with less
sedentary time (p <= .01). Educational status and geographic region modified the
association between dog ownership and sedentary time, and age modified the
association between driver status and sedentary time. DISCUSSION: This study
identified that older adult dog owners and drivers were less sedentary. Both
factors may create opportunities for older adults to get out of their homes.
PMID- 26538269
TI - Neurology in evolution 2014-2015.
PMID- 26538270
TI - Corrigendum.
PMID- 26538271
TI - Intracranial pressure and hypercapnia during the apnoea test for the diagnosis of
brain death.
PMID- 26538272
TI - The clinical determination of brain death: practice limitations.
PMID- 26538274
TI - Cardiac Denial and Psychological Predictors of Cardiac Care Adherence in Adults
With Congenital Heart Disease.
AB - The current study examined cardiac denial and psychological predictors (i.e.,
depression, anxiety) of health outcomes including medical nonadherence and
physical health in a sample of 80 adults with congenital heart disease (ACHD).
Results indicated that denial of impact was elevated in this patient group
compared with reference groups, and denial was negatively associated with
depression and anxiety at ps < .01. Results indicated that depression, anxiety,
and denial predicted unique variance in medical nonadherence, and gender
moderated the relationships between these psychological factors and nonadherence.
For depression, men and women showed similar relationships between depression and
nonadherence at high levels of depression; however, at low levels of depression
(i.e., a more normal mood state), men were less adherent compared with women. For
anxiety, men and women did not differ in adherence at low levels of anxiety;
however, men experiencing high anxiety were less adherent compared with women
experiencing high anxiety. Implications of this study are discussed including the
role of gender and denial and the impact of denial functioning to reduce negative
affect. Depression was the only significant predictor of physical functioning.
Results of this study suggest that psychological interventions aimed at
depression and anxiety may function differently across gender to improve patient
medical adherence and improve physical functioning in ACHD.
PMID- 26538275
TI - Anxiety Sensitivity and Smoking Behavior Among Trauma-Exposed Daily Smokers: The
Explanatory Role of Smoking-Related Avoidance and Inflexibility.
AB - Anxiety sensitivity (AS), defined as the extent to which individuals believe that
anxiety-related sensations have harmful consequences, is associated with smoking
processes and poorer clinical outcomes among trauma-exposed smokers. Yet the
specific mechanisms underlying this association are unclear. Smoking-specific
avoidance and inflexibility is a construct implicated in multiple manifestations
of mood regulation that may underlie smoking behavior. The current study examined
the explanatory role of smoking-specific avoidance and inflexibility in terms of
the relation between AS and indices of smoking behavior among trauma-exposed
smokers. The sample consisted of 217 treatment-seeking adult smokers (44% female;
M age = 37.8; SD = 13.2; age range: 18-65 years), who were exposed to at least
one lifetime Criterion A trauma event (Diagnostic and Statistical Manual of
Mental Disorders [4th ed., text rev.; DSM-IV-TR] Criterion A for trauma
exposure). Bootstrap analysis (5,000 re-samples) revealed that AS was indirectly
related to the (a) number of cigarettes smoked per day, (b) number of years being
a daily smoker, (c) number of failed quit attempts, and (d) heaviness of smoking
index among trauma-exposed smokers through its relation with smoking-specific
avoidance and inflexibility. These findings provide initial evidence suggesting
that smoking-specific avoidance and inflexibility may be an important construct
in better understanding AS-smoking relations among trauma-exposed smokers. Future
work is needed to explore the extent to which smoking-specific avoidance and
inflexibility account for relations between AS and other smoking processes (e.g.,
withdrawal, cessation outcome) in the context of trauma and smoking comorbidity.
PMID- 26538276
TI - Using Single-Case Experiments to Support Evidence-Based Decisions: How Much Is
Enough?
AB - For practitioners, the use of single-case experimental designs (SCEDs) in the
research literature raises an important question: How many single-case
experiments are enough to have sufficient confidence that an intervention will be
effective with an individual from a given population? Although standards have
been proposed to address this question, current guidelines do not appear to be
strongly grounded in theory or empirical research. The purpose of our article is
to address this issue by presenting guidelines to facilitate evidence-based
decisions by adopting a simple statistical approach to quantify the support for
interventions that have been validated using SCEDs. Specifically, we propose the
use of success rates as a supplement to support evidence-based decisions. The
proposed methodology allows practitioners to aggregate the results from single
case experiments to estimate the probability that a given intervention will
produce a successful outcome. We also discuss considerations and limitations
associated with this approach.
PMID- 26538277
TI - Comparison of serological assays in human Middle East respiratory syndrome (MERS)
coronavirus infection.
AB - Plaque reduction neutralisation tests (PRNT), microneutralisation (MN), Middle
East respiratory syndrome (MERS)-spike pseudoparticle neutralisation (ppNT) and
MERS S1-enzyme-linked immunosorbent assay (ELISA) antibody titres were compared
using 95 sera from 17 patients with MERS, collected two to 46 days after symptom
onset. Neutralisation tests correlated well with each other and moderately well
with S1 ELISA. Moreover to compare antigenic similarity of genetically diverse
MERS-CoV clades, the response of four sera from two patients sampled at two time
periods during the course of illness were tested by 90% PRNT. Genetically diverse
MERS-CoV clades were antigenically homogenous.
PMID- 26538278
TI - Doxorubicin-conjugated beta-NaYF4:Gd(3+)/Tb(3+) multifunctional, phosphor
nanorods: a multi-modal, luminescent, magnetic probe for simultaneous optical and
magnetic resonance imaging and an excellent pH-triggered anti-cancer drug
delivery nanovehicle.
AB - Herein, we report the fabrication of a multifunctional nanoprobe based on highly
monodispersed, optically and magnetically active, biocompatible, PEI
functionalized, highly crystalline beta-NaYF4:Gd(3+)/Tb(3+) nanorods as an
excellent multi-modal optical/magnetic imaging tool and a pH-triggered
intracellular drug delivery nanovehicle. The static and dynamic photoluminescence
spectroscopy showed the presence of sharp emission peaks, with long lifetimes
(~3.5 milliseconds), suitable for optical imaging. The static magnetic
susceptibility measurements at room temperature showed a strong paramagnetic
signal (chi~ 3.8 * 10(-5) emu g(-1) Oe(-1)). The nuclear magnetic resonance (NMR)
measurements showed fair T1 relaxivity (r1 = 1.14 s(-1) mM(-1)) and magnetic
resonance imaging gave enhanced T1-weighted MRI images with increased
concentrations of beta-NaYF4:Gd(3+)/Tb(3+) making them suitable for simultaneous
magnetic resonance imaging. In addition, an anticancer drug, doxorubicin (DOX)
was conjugated to the amine-functionalized beta-NaYF4:Gd(3+)/Tb(3+) nanorods via
pH-sensitive hydrazone bond linkages enabling them as a pH-triggered, site
specific drug delivery nanovehicle for DOX release inside tumor cells. A
comparison between in vitro DOX release studies undertaken in normal
physiological (pH 7.4) and acidic (pH 5.0) environments showed an enhanced DOX
dissociation (~80%) at pH 5.0. The multifunctional material was also applied as
an optical probe to confirm the conjugation of DOX and to monitor DOX release via
a fluorescence resonance energy transfer (FRET) mechanism. The DOX-conjugated
beta-NaYF4:Gd(3+)/Tb(3+) nanorods exhibited a cytotoxic effect on MCF-7 breast
cancer cells and their uptake by MCF-7 cells was demonstrated using confocal
laser scanning microscopy and flow cytometry. The comparative cellular uptakes of
free DOX and DOX-conjugated beta-NaYF4:Gd(3+)/Tb(3+) nanorods were studied in
tumor microenvironment conditions (pH 6.5) using confocal imaging, which showed
an increased uptake of DOX-conjugated beta-NaYF4:Gd(3+)/Tb(3+) nanorods. Thus,
DOX-conjugated beta-NaYF4:Gd(3+)/Tb(3+) nanorods combining pH-triggered drug
delivery, efficient luminescence and paramagnetic properties are promising for a
potential multifunctional platform for cancer therapy, biodetection, and optical
and magnetic resonance imaging.
PMID- 26538279
TI - Oufti: an integrated software package for high-accuracy, high-throughput
quantitative microscopy analysis.
AB - With the realization that bacteria display phenotypic variability among cells and
exhibit complex subcellular organization critical for cellular function and
behavior, microscopy has re-emerged as a primary tool in bacterial research
during the last decade. However, the bottleneck in today's single-cell studies is
quantitative image analysis of cells and fluorescent signals. Here, we address
current limitations through the development of Oufti, a stand-alone, open-source
software package for automated measurements of microbial cells and fluorescence
signals from microscopy images. Oufti provides computational solutions for
tracking touching cells in confluent samples, handles various cell morphologies,
offers algorithms for quantitative analysis of both diffraction and non
diffraction-limited fluorescence signals and is scalable for high-throughput
analysis of massive datasets, all with subpixel precision. All functionalities
are integrated in a single package. The graphical user interface, which includes
interactive modules for segmentation, image analysis and post-processing
analysis, makes the software broadly accessible to users irrespective of their
computational skills.
PMID- 26538280
TI - Usefullness of three-dimensional templating software to quantify the contact
state between implant and femur in total hip arthroplasty.
AB - BACKGROUND: It would be ideal if surgeons could precisely confirm whether the
planned femoral component achieves the best fit and fill of implant and femur.
However, the cortico-cancellous interfaces can be difficult to standardize using
plain radiography, and therefore, determining the contact state is a subjective
decision by the examiner. Few reports have described the use of CT-based three
dimensional templating software to quantify the contact state of stem and femur
in detail. The purpose of this study was to use three-dimensional templating
software to quantify the implant-femur contact state and develop a technique to
analyze the initial fixation pattern of a cementless femoral stem. METHODS: We
conducted a retrospective review of 55 hips in 53 patients using a short proximal
fit-and-fill anatomical stem (APS Natural-HipTM System). All femurs were examined
by density mapping which can visualize and digitize the contact state. We
evaluated the contact state of implant and femur by using density mapping.
RESULTS: The varus group (cases that had changed varus 2 degrees by 3 months
after surgery) consisted of 11 hips. The varus group showed no significant
difference with regard to cortical contact in the proximal medial portion (Gruen
7), but the contact area in the distal portion (Gruen 3 and Gruen 5) was
significantly lower than that of non-varus group. Density mapping showed that the
stem only has to be press-fit to the medial calcar, but also must fill the distal
portion of the implant in order to achieve the ideal contact state. CONCLUSIONS:
Our results indicated that quantifying the contact state of implant and femur by
using density mapping is a useful technique to accurately analyze the fixation
pattern of a cementless femoral stem.
PMID- 26538281
TI - Complex patterns of signalling to convey different social goals of sex in
bonobos, Pan paniscus.
AB - Sexual behaviour in bonobos (Pan paniscus) functions beyond mere reproduction to
mediate social interactions and relationships. In this study, we assessed the
signalling behaviour in relation to four social goals of sex in this species:
appeasement after conflict, tension reduction, social bonding and reproduction.
Overall, sexual behaviour was strongly decoupled from its ancestral reproductive
function with habitual use in the social domain, which was accompanied by a
corresponding complexity in communication behaviour. We found that signalling
behaviour varied systematically depending on the initiator's goals and gender.
Although all gestures and vocalisations were part of the species-typical
communication repertoire, they were often combined and produced flexibly.
Generally, gestures and multi-modal combinations were more flexibly used to
communicate a goal than vocalisations. There was no clear relation between
signalling behaviour and success of sexual initiations, suggesting that
communication was primarily used to indicate the signaller's intention, and not
to influence a recipient's willingness to interact sexually. We discuss these
findings in light of the larger question of what may have caused, in humans, the
evolutionary transition from primate-like communication to language.
PMID- 26538282
TI - Diel Variation in Flower Scent Reveals Poor Consistency of Diurnal and Nocturnal
Pollination Syndromes in Sileneae.
AB - The composition of flower scent and the timing of emission are crucial for
chemical communication between plants and their pollinators; hence, they are key
traits for the characterization of pollination syndromes. In many plants,
however, plants are assigned to a syndrome based on inexpensive to measure flower
traits, such as color, time of flower opening, and shape. We compared day and
night scents from 31 Sileneae species and tested for quantitative and semi
quantitative differences in scent among species classified a priori as diurnal or
nocturnal. As most Sileneae species are not only visited by either diurnal or
nocturnal animals as predicted by their syndrome, we hypothesized that, even if
flower scent were preferentially emitted during the day or at night, most species
also would emit some scents during the opposing periods of the day. This
phenomenon would contribute to the generalized assemblage of flower visitors
usually observed in Sileneae species. We found that diel variations of scent
often were not congruent with the syndrome definition, but could partially be
explained by taxonomy and sampling times. Most species emitted compounds with
attractive potential to insects during both the night and day. Our results
highlight the current opinion that syndromes are not watertight compartments
evolved to exclude some flower visitors. Thus, important information may be lost
when scents are collected either during day- or night-time, depending on the a
priori classification of the species as diurnal or nocturnal.
PMID- 26538283
TI - Structural Characterization of a Newly Identified Component of alpha
Carboxysomes: The AAA+ Domain Protein CsoCbbQ.
AB - Carboxysomes are bacterial microcompartments that enhance carbon fixation by
concentrating ribulose-1,5-bisphosphate carboxylase/oxygenase (RuBisCO) and its
substrate CO2 within a proteinaceous shell. They are found in all cyanobacteria,
some purple photoautotrophs and many chemoautotrophic bacteria. Carboxysomes
consist of a protein shell that encapsulates several hundred molecules of
RuBisCO, and contain carbonic anhydrase and other accessory proteins. Genes
coding for carboxysome shell components and the encapsulated proteins are
typically found together in an operon. The alpha-carboxysome operon is embedded
in a cluster of additional, conserved genes that are presumably related to its
function. In many chemoautotrophs, products of the expanded carboxysome locus
include CbbO and CbbQ, a member of the AAA+ domain superfamily. We
bioinformatically identified subtypes of CbbQ proteins and show that their genes
frequently co-occur with both Form IA and Form II RuBisCO. The alpha-carboxysome
associated ortholog, CsoCbbQ, from Halothiobacillus neapolitanus forms a hexamer
in solution and hydrolyzes ATP. The crystal structure shows that CsoCbbQ is a
hexamer of the typical AAA+ domain; the additional C-terminal domain, diagnostic
of the CbbQ subfamily, structurally fills the inter-monomer gaps, resulting in a
distinctly hexagonal shape. We show that CsoCbbQ interacts with CsoCbbO and is a
component of the carboxysome shell, the first example of ATPase activity
associated with a bacterial microcompartment.
PMID- 26538284
TI - Defining ATM-Independent Functions of the Mre11 Complex with a Novel Mouse Model.
AB - The Mre11 complex (Mre11, Rad50, and Nbs1) occupies a central node of the DNA
damage response (DDR) network and is required for ATM activation in response to
DNA damage. Hypomorphic alleles of MRE11 and NBS1 confer embryonic lethality in
ATM-deficient mice, indicating that the complex exerts ATM-independent functions
that are essential when ATM is absent. To delineate those functions, a
conditional ATM allele (ATM(flox)) was crossed to hypomorphic NBS1 mutants
(Nbs1(DeltaB/DeltaB) mice). Nbs1(DeltaB/DeltaB) Atm(-/-) hematopoietic cells
derived by crossing to vav(cre) were viable in vivo. Nbs1(DeltaB/DeltaB) Atm(-/-)
(VAV) mice exhibited a pronounced defect in double-strand break repair and
completely penetrant early onset lymphomagenesis. In addition to repair defects
observed, fragile site instability was noted, indicating that the Mre11 complex
promotes genome stability upon replication stress in vivo. The data suggest
combined influences of the Mre11 complex on DNA repair, as well as the responses
to DNA damage and DNA replication stress. IMPLICATIONS: A novel mouse model was
developed, by combining a vav(cre)-inducible ATM knockout mouse with an NBS1
hypomorphic mutation, to analyze ATM-independent functions of the Mre11 complex
in vivo. These data show that the DNA repair, rather than DDR signaling functions
of the complex, is acutely required in the context of ATM deficiency to suppress
genome instability and lymphomagenesis.
PMID- 26538286
TI - The sense of the body in the dream: Diagnostic capacity in the meanings of
dreams.
AB - The author investigates the oneiric representation of somatic states and the
diagnostic capacity of dreams. He draws on Freud's hypotheses on the procedures
by which somatic stimuli insert themselves in oneiric elaboration and
restructures them according to the recent neurobiological discoveries and to
analytical experiences. In the representations of certain dreams, with a psychic
interpretation agreed upon by the patients, somatic alterations unknown to the
analytical couple were discriminated and confirmed by radiological
investigations. These representations were linked to the manifestation of one
aspect of the bodily Self, neglected in the precocious maternal relation, that
entered the organization of the Self consolidated in the relation with the
paternal figure. This conjunction gave origin to the double meaning (somatic and
psychic) of the dream. The entering of the somatic representation in the oneiric
one did not appear to be the figurative effect, but of a condensation of
diagnostic capacity into the meaning of the dream. This characteristic manifested
itself in the particular styles of the dreamers, interpretable by an analyst
countertransferentially oriented. The perception or scotomization of the
condensation in the interpretation of the dream and of the moment had an effect
on the evolution of the analysis.
PMID- 26538285
TI - Linking Cancer Metabolism to DNA Repair and Accelerated Senescence.
AB - Conventional wisdom ascribes metabolic reprogramming in cancer to meeting
increased demands for intermediates to support rapid proliferation. Prior models
have proposed benefits toward cell survival, immortality, and stress resistance,
although the recent discovery of oncometabolites has shifted attention to
chromatin targets affecting gene expression. To explore further effects of cancer
metabolism and epigenetic deregulation, DNA repair kinetics were examined in
cells treated with metabolic intermediates, oncometabolites, and/or metabolic
inhibitors by tracking resolution of double-strand breaks (DSB) in irradiated
MCF7 breast cancer cells. Disrupting cancer metabolism revealed roles for both
glycolysis and glutaminolysis in promoting DSB repair and preventing accelerated
senescence after irradiation. Targeting pathways common to glycolysis and
glutaminolysis uncovered opposing effects of the hexosamine biosynthetic pathway
(HBP) and tricarboxylic acid (TCA) cycle. Treating cells with the HBP metabolite
N-acetylglucosamine (GlcNAc) or augmenting protein O-GlcNAcylation with small
molecules or RNAi targeting O-GlcNAcase each enhanced DSB repair, while targeting
O-GlcNAc transferase reversed GlcNAc's effects. Opposing the HBP, TCA metabolites
including alpha-ketoglutarate blocked DSB resolution. Strikingly, DNA repair
could be restored by the oncometabolite 2-hydroxyglutarate (2-HG). Targeting
downstream effectors of histone methylation and demethylation implicated the
PRC1/2 polycomb complexes as the ultimate targets for metabolic regulation,
reflecting known roles for Polycomb group proteins in nonhomologous end-joining
DSB repair. Our findings that epigenetic effects of cancer metabolic
reprogramming may promote DNA repair provide a molecular mechanism by which
deregulation of metabolism may not only support cell growth but also maintain
cell immortality, drive therapeutic resistance, and promote genomic instability.
IMPLICATIONS: By defining a pathway from deregulated metabolism to enhanced DNA
damage response in cancer, these data provide a rationale for targeting
downstream epigenetic effects of metabolic reprogramming to block cancer cell
immortality and overcome resistance to genotoxic stress.
PMID- 26538287
TI - Reliability and criterion validity of an observation protocol for working
technique assessments in cash register work.
AB - We evaluated the intra- and inter-observer reliability and criterion validity of
an observation protocol, developed in an iterative process involving practicing
ergonomists, for assessment of working technique during cash register work for
the purpose of preventing upper extremity symptoms. Two ergonomists independently
assessed 17 15-min videos of cash register work on two occasions each, as a basis
for examining reliability. Criterion validity was assessed by comparing these
assessments with meticulous video-based analyses by researchers. Intra-observer
reliability was acceptable (i.e. proportional agreement >0.7 and kappa >0.4) for
10/10 questions. Inter-observer reliability was acceptable for only 3/10
questions. An acceptable inter-observer reliability combined with an acceptable
criterion validity was obtained only for one working technique aspect, 'Quality
of movements'. Thus, major elements of the cashiers' working technique could not
be assessed with an acceptable accuracy from short periods of observations by one
observer, such as often desired by practitioners. Practitioner Summary: We
examined an observation protocol for assessing working technique in cash register
work. It was feasible in use, but inter-observer reliability and criterion
validity were generally not acceptable when working technique aspects were
assessed from short periods of work. We recommend the protocol to be used for
educational purposes only.
PMID- 26538288
TI - A qualitative study of treatment-seeking heroin users in contemporary China.
AB - BACKGROUND: Heroin has emerged as the primary drug of concern in China, with as
many as three million contemporary users. Once a Chinese citizen has been
identified by Chinese law enforcement as a 'drug addict', that individual is
'registered' in an official government tracking system for the rest of his or her
life, independent of verified rehabilitation and recovery. Most of what is known
about heroin users in China is based on studies of registered heroin users
participating, often involuntarily, in government-sponsored treatment. METHODS:
Using Grounded Theory Methodology, we collected and analyzed in-depth interviews
of heroin users voluntarily seeking treatment at a new, non-government-sponsored,
for-profit, addiction treatment hospital in Beijing, China. RESULTS: We
identified three major themes among our participants: (1) intense social stigma
towards individuals with drug addiction; (2) a desire for anonymous, confidential
treatment to avoid social stigma and the loss of personal freedom that
accompanies participation in government-sponsored treatment; and (3) a deep
mistrust of government-sponsored treatment and a search for more effective
alternatives. CONCLUSION: Despite a desire for treatment, our subjects were
reluctant to access government-sponsored treatment facilities because of fear of
a stigmatized identity, fear of loss of personal freedom, and lack of faith in
the efficacy and safety of government-sponsored treatments. Their willingness to
pay cash at a new, non-government-sponsored, addiction treatment facility
illustrates the lengths to which they will go to remain 'unregistered' and to
discover better alternatives. That the Chinese government allows such facilities
to operate outside of government surveillance suggests a new openness to
alternative options to combat China's rising drug epidemic. The efficacy of these
alternative options, however, remains in question.
PMID- 26538289
TI - Premonitory urges are associated with decreased grey matter thickness within the
insula and sensorimotor cortex in young people with Tourette syndrome.
AB - Tourette syndrome (TS) is a neurological disorder characterized by vocal and
motor tics and is associated with cortical-striatal-thalamic-cortical circuit
(CSTC) dysfunction and hyperexcitability of cortical limbic and motor regions,
which are thought to lead to the occurrence of tics. Importantly, individuals
with TS often report that their tics are preceded by 'premonitory sensory
phenomena' (PSP) that are described as uncomfortable cognitive or bodily
sensations that precede the execution of a tic, and are experienced as a strong
urge for motor discharge. While the precise role played by PSP in the occurrence
of tics is controversial, PSP are nonetheless of considerable theoretical and
clinical importance in TS, not least because they form the core component in many
of the behavioural therapies that are currently used in the treatment of tic
disorders. In this study, we investigated the brain structure correlates of PSP.
Specifically, we conducted a whole-brain analysis of cortical (grey matter)
thickness in 29 children and young adults with TS and investigated the
association between grey matter thickness and PSP. We demonstrate for the first
time that PSP are inversely associated with grey matter thickness measurements
within the insula and sensorimotor cortex. We also demonstrate that grey matter
thickness is significantly reduced in these areas in individuals with TS relative
to a closely age- and gender-matched group of typically developing individuals
and that PSP ratings are significantly correlated with tic severity.
PMID- 26538290
TI - Within-species reproductive costs affect the asymmetry of satyrization in
Drosophila.
AB - Understanding how species interactions influence their distribution and evolution
is a fundamental question in evolutionary biology. Theory suggests that
asymmetric reproductive interference, in which one species induces higher
reproductive costs on another species, may be more important in delimiting
species boundaries than interspecific competition over resources. However, the
underlying mechanisms of such asymmetry remain unclear. Here, we test whether
differences in within-species reproductive costs determine the between-species
asymmetry of costs using three allopatric Drosophila species belonging to the
melanogaster subgroup. Our results support this hypothesis, especially in a pair
of insular species. Males of one species that induce costs to their conspecific
females led to a 5-fold increase of heterospecific females mortality with dead
flies bearing spectacular large melanized wounds on their genitalia. Males of the
other species were harmful neither to their conspecific nor heterospecific
females. Comparative studies of within-species reproductive costs may therefore
be a valuable tool for predicting between-species interactions and community
structures.
PMID- 26538291
TI - Factors Predicting the Effects of Hybrid Assistive Limb Robot Suit during the
Acute Phase of Central Nervous System Injury.
AB - To improve the activities of daily living of patients with injury to the central
nervous system, physical therapy starting from the acute phase of the injury is
important. Recently, the efficacy of physical therapy using a hybrid assistive
limb (HAL) robot suit was reported. However, individual differences exist in the
effects of HAL. We investigated factors predicting the effects of HAL in 15
patients at our institution with central nervous system injury, primarily due to
stroke, who underwent training using HAL during the acute phase. Patients were
classified as either "with HAL suitability" or "without HAL suitability" based on
scores from 10-m walking speed, gait, satisfaction, and pain. In both groups,
Brunnstrom stage before HAL intervention, Fugl-Meyer assessment (FMA), stroke
impairment assessment set (SIAS), and functional independence measure (FIM) were
evaluated. Although motor function items did not differ significantly, FIM
cognitive function items (P = 0.036), visuospatial perception items on SIAS (P =
0.0277), and pain items on SIAS (P = 0.0122) differed significantly between
groups. These results indicated that training using HAL does not involve pain in
patients with central nervous system injury during the acute phase, and exhibits
positive effects in patients without pain and with high communication ability and
visuospatial perception function. When conducting HAL intervention, incorporating
functional assessment scores (FIM and SIAS), including peripheral items, may be
useful to predict the suitability of HAL.
PMID- 26538292
TI - Alterations in serotonin metabolism in the irritable bowel syndrome.
AB - BACKGROUND: Alterations in serotonin (5-HT) metabolism have been postulated to
play a role in the pathogenesis of irritable bowel syndrome (IBS). However,
previous reports regarding 5-HT metabolism in IBS are contradicting. AIM: To
compare platelet poor plasma (PPP) 5-HT and 5-hydroxyindole acetic acid (5-HIAA)
levels and their ratio in a large cohort of IBS patients and healthy controls
(HC), including IBS-subgroup analysis. METHODS: Irritable bowel syndrome patients
and HC were evaluated for fasting PPP 5-HT and 5-HIAA levels. Furthermore, GI
symptom diary, GSRS, quality of life, anxiety and depression scores were assessed
in the 2 weeks before blood sampling. RESULTS: One hundred and fifty four IBS
patients and 137 HC were included. No differences were detected in plasma 5-HT
between groups. The 5-HIAA concentrations and 5-HIAA/5-HT ratio were
significantly lower in IBS compared to HC: 24.6 +/- 21.9 vs. 39.0 +/- 29.5 MUg/L
(P < 0.001) and 8.4 +/- 12.2 vs. 13.5 +/- 16.6 (P < 0.01), respectively. Subtype
analysis for 5-HIAA showed all IBS subtypes to be significantly different from
HC. The 5-HIAA/5-HT ratio was significantly lower in the IBS-M subtype vs. HC.
Linear regression analysis points to an influence of gender but not of GI
symptoms, psychological scores or medication use. CONCLUSIONS: We demonstrated
that fasting 5-HT plasma levels are not significantly different in IBS patients
compared to controls. However, decreased 5-HIAA levels and 5-HIAA/5-HT ratio in
IBS patients may reflect altered serotonin metabolism in IBS. Gender affects 5
HIAA levels in IBS patients, but no effects of drugs, such as SSRIs, or higher GI
symptom or psychological scores were found.
PMID- 26538293
TI - Integrated active sensor system for real time vibration monitoring.
AB - We report a self-powered, lightweight and cost-effective active sensor system for
vibration monitoring with multiplexed operation based on contact electrification
between sensor and detected objects. The as-fabricated sensor matrix is capable
of monitoring and mapping the vibration state of large amounts of units. The
monitoring contents include: on-off state, vibration frequency and vibration
amplitude of each unit. The active sensor system delivers a detection range of 0
60 Hz, high accuracy (relative error below 0.42%), long-term stability (10000
cycles). On the time dimension, the sensor can provide the vibration process
memory by recording the outputs of the sensor system in an extend period of time.
Besides, the developed sensor system can realize detection under contact mode and
non-contact mode. Its high performance is not sensitive to the shape or the
conductivity of the detected object. With these features, the active sensor
system has great potential in automatic control, remote operation, surveillance
and security systems.
PMID- 26538294
TI - Erratum: A trapped-ion-based quantum byte with 10(-5) next-neighbour cross-talk.
PMID- 26538295
TI - Influence of Klotho gene polymorphisms on vascular gene expression and its
relationship to cardiovascular disease.
AB - Klotho protein has been associated with beneficial effects that contribute to the
maintenance of cardiovascular health. Diverse studies suggest that alterations in
the levels of this molecule may be associated with pathophysiological
abnormalities that result in increased cardiovascular risk. The primary aim of
this proof-of-concept study was to analyse the existence of a potential link
between Klotho gene polymorphisms and the expression level of this gene in the
vascular wall, and additionally with the incidence of cardiovascular disease and
cardiovascular risk factors. Our results indicate that the variant G-395A,
located in the promoter region, influences Klotho gene vascular expression and is
associated with the incidence of diabetes. Similarly, the exonic variant KL-VS
was associated with the incidence of atherosclerotic vascular disease and
coronary artery disease. Moreover, vascular expression levels of Klotho were
related with the incidence of diabetes mellitus and coronary artery disease.
These findings, which need to be confirmed in larger studies, suggest a potential
role of Klotho in the pathogenesis of vascular damage.
PMID- 26538296
TI - MiR-221 and miR-26b Regulate Chemotactic Migration of MSCs Toward HGF Through
Activation of Akt and FAK.
AB - The chemotactic migration of mesenchymal stem cells (MSCs) is fundamental for
their use in cell-based therapies, but little is known about the molecular
mechanisms that regulate their directed migration. MicroRNAs (miRNAs) participate
in the regulation of a large variety of cellular processes. However, their roles
in regulating the responses of MSCs to hepatocyte growth factor (HGF) remain
elusive. Here, we found that microRNA-221 (miR-221) and microRNA-26b (miR-26b)
were upregulated in MSCs subjected to HGF. Overexpression of miR-221 or miR-26b
enhanced MSC migration through activation of PI3K/Akt signaling. Phosphatase and
tensin homolog deleted on chromosome ten (PTEN) was identified as a potential
target of miR-221 and miR-26b; overexpression of miR-221 or miR-26b decreased
PTEN expression at both mRNA and protein levels. Overexpression of miR-221 or miR
26b in MSCs increased the phosphorylation of focal adhesion kinase (FAK), a
downstream effector of PTEN, which regulates cell migration through assembly and
distribution of focal adhesions (FAs), and more dot-like FAs were localized at
the periphery of these cells. Altering miR-221 or miR-26b expression influenced
the directed migration of MSCs toward HGF. Inhibition of miR-221 or miR-26b
suppressed the phosphorylation of Akt and FAK and upregulated PTEN expression,
which was partly restored by HGF treatment. Collectively, these results
demonstrate that miR-221 and miR-26b participate in regulating the chemotactic
response of MSCs toward HGF.
PMID- 26538297
TI - Risk of atrial fibrillation with bisphosphonate treatment.
PMID- 26538298
TI - Nutrition-adaptive control of multiple-bacteriocin production by Weissella
hellenica QU 13.
AB - AIM: To analyse nutrition-adaptive multiple-bacteriocin production by Weissella
hellenica QU 13. METHODS AND RESULTS: Weissella hellenica QU 13 produces two
leaderless bacteriocins, weissellicins Y and M. Their production was studied in
MRS and APT media by quantification analyses with liquid chromatography mass
spectrometry (LC/MS), while transcriptional analysis of biosynthetic genes was
performed by real-time reverse transcription (RT)-PCR. Weissellicin Y production
was higher in MRS culture than in APT culture, while weissellicin M production
was higher in APT culture than in MRS culture. APT medium contains a higher
amount of thiamine than MRS medium, to enhance the growth of heterofermentative
lactic acid bacteria. Therefore, thiamine addition to MRS culture enhanced the
growth of W. hellenica QU 13; consequently, weissellicin Y production was
decreased, while weissellicin M production was not affected. Furthermore, real
time RT-PCR analyses indicated that the transcriptional trends of their
respective structural genes, welY and welM, were different from each other, and
that these two genes' transcriptions responded to nutrition conditions.
CONCLUSION: Weissella hellenica QU 13 was demonstrated to control weissellicins Y
and M production based on nutrition conditions. In addition, differential
expression behaviour of weissellicins Y and M indicates that each of them would
have separate roles to adapt to different environmental situations. SIGNIFICANCE
AND IMPACT OF THE STUDY: This is the first report that describes nutrition
adaptive multiple-bacteriocin production, in which thiamine inhibits bacteriocin
production while it enhances the growth of the producer strain.
PMID- 26538299
TI - Reply.
PMID- 26538300
TI - Evaluation of apically extruded debris during root canal preparation in primary
molar teeth using three different rotary systems and hand files.
AB - AIM: To assess the amount of debris extruded apically during root canal
preparation using various nickel titanium instrumentation systems and hand files
in primary molar teeth. DESIGN: Sixty extracted primary first mandibular molar
human teeth were randomly assigned to four groups (n = 15 teeth for each group).
The canals were then instrumented with the following instrument systems: Revo-S,
Mtwo, ProTaper Next, and hand files. Apically extruded debris during
instrumentation was collected into pre-weighed Eppendorf tubes. The Eppendorf
tubes were then stored in an incubator at 70 degrees C for 5 days. The weight of
the dry extruded debris was established by subtracting the pre-instrumentation
and post-instrumentation weight of the Eppendorf tubes for each group. The data
were analyzed using one-way analysis of variance (anova) and Tukey's post hoc
tests. RESULTS: ProTaper Next files were associated with less apically extruded
debris than the Mtwo, Revo-S, and hand files (P < 0.05). Hand files extruded more
debris than Mtwo and Revo-S instruments (P < 0.05), but there was no significant
difference found between the Mtwo and Revo-S instruments (P > 0.05). CONCLUSIONS:
All instruments were associated with apical extrusion of debris. ProTaper Next
files caused less debris extrusion compared to the other systems used.
PMID- 26538301
TI - Neurodegeneration in frontotemporal lobar degeneration and motor neurone disease
associated with expansions in C9orf72 is linked to TDP-43 pathology and not
associated with aggregated forms of dipeptide repeat proteins.
AB - AIMS: A hexanucleotide expansion in C9orf72 is the major genetic cause of
inherited behavioural variant Frontotemporal dementia (bvFTD) and motor neurone
disease (MND), although the pathological mechanism(s) underlying disease remains
uncertain. METHODS: Using antibodies to poly-GA, poly-GP, poly-GR, poly-AP and
poly-PR proteins, we examined sections of cerebral cortex, hippocampus, thalamus,
cerebellum and spinal cord, from 20 patients with bvFTD and/or MND bearing an
expansion in C9orf72 for aggregated deposits of dipeptide repeat proteins (DPR).
RESULTS: Antibodies to poly-GA, poly-GP and poly-GR detected numerous rounded
cytoplasmic inclusions (NCI) within granule cells of hippocampal dentate gyrus
and those of the cerebellum, as well as 'star-burst' shaped NCI in pyramidal
neurones of CA3/4 region of hippocampus. NCI were uncommon in Purkinje cells, and
only very rarely seen in anterior horn cells. Poly-PA antibody detected
occasional NCI within CA3/4 neurones alone, whereas poly-PR antibody did not
identify any NCI but immunostained the nucleus of anterior horn cells, CA3/4
neurones and Purkinje cells, in patients with or without expansion in C9orf72, as
well as in normal controls. Poly-GA antibody generally detected more DPR than
poly-GP, which in turn was greater than poly-GR. All patients with bvFTD + MND or
MND showed plentiful p62/TDP-43 positive inclusions in remaining anterior horn
cells. CONCLUSION: Degeneration and loss of anterior horn cells associated with
expansions in C9orf72 occurs in the absence of DPR, and implies that changes
involving loss of nuclear staining for and a cytoplasmic aggregation of TDP-43
are more likely to be the cause of this.
PMID- 26538302
TI - Spinocerebellar ataxias in Venezuela: genetic epidemiology and their most likely
ethnic descent.
AB - Dominantly inherited ataxias (spinocerebellar ataxias, SCAs) are a genetically
heterogeneous group of neurologic diseases characterized by progressive
cerebellar and spinal tract degeneration with ataxia and other signs, common to
all known subtypes. Several types are relatively frequent worldwide, but in
several countries, one specific SCA may show a higher prevalence owing to founder
phenomena. In Venezuela, genetic epidemiological features of SCAs have been
assessed during the last 30 years; mutations in ATXN1 (SCA1), ATXN2 (SCA2), ATXN3
(SCA3), CACNA1A (SCA6), ATXN7 (SCA7), ATXN8 (SCA8), ATXN10 (SCA10), TBP (SCA17)
and ATN1 (dentatorubral pallidoluysian atrophy, DRPLA) loci were searched among
115 independent families. SCA7 was the most frequent subtype (26.6%), followed by
SCA3 (25.0%), SCA2 (21.9%), SCA1 (17.2%), SCA10 (4.7%) and DRPLA (3.1%); in 43%
of the families, the subtype remained unidentified. SCA7 mutations displayed
strong geographic aggregation in two independent founder foci, and SCA1 showed a
very remote founder effect for a subset of families. SCA10 families were
scattered across the country, but all had an identical in-phase haplotype carried
also by Mexican, Brazilian and Sioux patients, supporting a very old common
Amerindian origin. Prevalence for dominant SCAs in Venezuela was estimated as
1:25 000 nuclear families, provenances of which are either Caucasoid, African or
Amerindian.
PMID- 26538303
TI - Homozygous sequence variants in the FKBP10 gene underlie osteogenesis imperfecta
in consanguineous families.
AB - Osteogenesis imperfecta (OI, MIM 610968) is a genetically and clinically
heterogeneous disorder characterized by bone fragility. It is one of the rare
forms of skeletal deformity caused by sequence variants in at least 14 different
genes, including FKBP10 (MIM 607063) encoding protein FKBP65. Here we present
three consanguineous families of Pakistani origin segregating OI in an autosomal
recessive pattern. Genotyping using either single-nucleotide polymorphism markers
by Affymetrix GeneChip Human Mapping 250K Nsp array or polymorphic microsatellite
markers revealed a homozygous region, containing a candidate gene FKBP10, among
affected members on chromosome 17q21.2. Sequencing the FKBP10 gene revealed a
homozygous novel nonsense variant (c.1490G>A, p.Trp497*) in the family A and two
previously reported variants, including a missense (c.344G>A, p.Arg115Gln), in
the family B and duplication of a nucleotide C (c.831dupC, p.Gly278ArgfsX295) in
the family C. Our findings further extend the body of evidence that supports the
importance of FKBP10 gene in the development of skeletal system.
PMID- 26538304
TI - Cerebellar vermis hypoplasia in CHARGE syndrome: clinical and molecular
characterization of 18 unrelated Korean patients.
AB - CHARGE syndrome (OMIM 214800) is a rare autosomal-dominant congenital
malformation syndrome that results from haploinsufficiency of the chromodomain
helicase DNA-binding protein 7 (CHD7). We performed a phenotypic characterization
and genetic analysis of CHD7 in 18 Korean patients with CHARGE syndrome. Eighteen
unrelated Korean patients (10 females and 8 males; age range 0.0-19.6 years) with
CHARGE syndrome were enrolled. Clinical data were collected by retrospective
review of medical records. A serial analysis via sequencing and multiple ligation
dependent probe amplification of CHD7 was performed to determine the molecular
genetic spectrum of the patients. The prevalence of cardinal symptoms was as
follows: coloboma (13/18, 72.2%), heart defects (13/18, 72.2%), choanal
atresia/stenosis (4/18, 22.2%), retarded growth (10/18, 55.6%), genital anomalies
(15/18, 83.3%) and ear abnormalities (18/18, 100%). Five patients had cerebellar
vermis hypoplasia (5/17, 29.4%) with no clinical symptoms or signs of cerebellar
dysfunction. Furthermore, we identified genetic alterations in all 18 patients,
including 10 novel mutations. Considering its frequency among patients with CHD7
mutations, cerebellar vermis hypoplasia may be a clinical diagnostic clue of
CHARGE syndrome, although it is not included in the diagnostic criteria. And, the
identification of CHD7 mutations may help the confirmative diagnosis.
PMID- 26538305
TI - Resting metabolic rate varies by race and by sleep duration.
AB - OBJECTIVE: Short sleep duration is a significant risk factor for weight gain,
particularly in African Americans and men. Increased caloric intake underlies
this relationship, but it remains unclear whether decreased energy expenditure is
a contributory factor. The current study assessed the impact of sleep restriction
and recovery sleep on energy expenditure in African American and Caucasian men
and women. METHODS: Healthy adults participated in a controlled laboratory study.
After two baseline sleep nights, subjects were randomized to an experimental (n =
36; 4 h sleep/night for five nights followed by one night with 12 h recovery
sleep) or control condition (n = 11; 10 h sleep/night). Resting metabolic rate
and respiratory quotient were measured using indirect calorimetry in the morning
after overnight fasting. RESULTS: Resting metabolic rate-the largest component of
energy expenditure-decreased after sleep restriction (-2.6%, P = 0.032) and
returned to baseline levels after recovery sleep. No changes in resting metabolic
rate were observed in control subjects. Relative to Caucasians (n = 14), African
Americans (n = 22) exhibited comparable daily caloric intake but a lower resting
metabolic rate (P = 0.043) and higher respiratory quotient (P = 0.013) regardless
of sleep duration. CONCLUSIONS: Sleep restriction decreased morning resting
metabolic rate in healthy adults, suggesting that sleep loss leads to metabolic
changes aimed at conserving energy.
PMID- 26538306
TI - Fizzy: feature subset selection for metagenomics.
AB - BACKGROUND: Some of the current software tools for comparative metagenomics
provide ecologists with the ability to investigate and explore bacterial
communities using alpha- & beta-diversity. Feature subset selection--a sub-field
of machine learning--can also provide a unique insight into the differences
between metagenomic or 16S phenotypes. In particular, feature subset selection
methods can obtain the operational taxonomic units (OTUs), or functional
features, that have a high-level of influence on the condition being studied. For
example, in a previous study we have used information-theoretic feature selection
to understand the differences between protein family abundances that best
discriminate between age groups in the human gut microbiome. RESULTS: We have
developed a new Python command line tool, which is compatible with the widely
adopted BIOM format, for microbial ecologists that implements information
theoretic subset selection methods for biological data formats. We demonstrate
the software tools capabilities on publicly available datasets. CONCLUSIONS: We
have made the software implementation of Fizzy available to the public under the
GNU GPL license. The standalone implementation can be found at
http://github.com/EESI/Fizzy.
PMID- 26538308
TI - Prolonged prone positioning under VV-ECMO is safe and improves oxygenation and
respiratory compliance.
AB - BACKGROUND: Data are sparse regarding the effects of prolonged prone positioning
(PP) during VV-ECMO. Previous studies, using short sessions (<12 h), failed to
find any effects on respiratory system compliance. In the present analysis, the
effects of prolonged PP sessions (24 h) were retrospectively studied with regard
to safety data, oxygenation and respiratory system compliance. METHODS:
Retrospective review of 17 consecutive patients who required both VV-ECMO and
prone positioning. PP under VV-ECMO was considered when the patient presented at
least one unsuccessful ECMO weaning attempt after day 7 or refractory hypoxemia
combined or not with persistent high plateau pressure. PP sessions had a duration
of 24 h with fixed ECMO and respiratory settings. PP was not performed in
patients under vasopressor treatment and in cases of recent open chest cardiac
surgery. RESULTS: Despite optimized protective mechanical ventilation and other
adjuvant treatment (i.e. PP, inhaled nitric oxide, recruitment maneuvers), 44
patients received VV-ECMO during the study period for refractory acute
respiratory distress syndrome. Global survival rate was 66 %. Among the latter,
17 patients underwent PP during VV-ECMO for a total of 27 sessions. After 24 h in
prone position, PaO2/FiO2 ratio significantly increased from 111 (84-128) to 173
(120-203) mmHg (p < 0.0001) while respiratory system compliance increased from 18
(12-36) to 32 (15-36) ml/cmH2O (p < 0.0001). Twenty-four hours after the return
to supine position, tidal volume was increased from 3.0 (2.2-4.0) to 3.7 (2.8
5.0) ml/kg (p < 0.005). PaO2/FiO2 ratio increased by over 20 % in 14/14 sessions
for late sessions (>=7 days) and in 7/13 sessions for early sessions (<7 days) (p
= 0.01). Quantitative CT scan revealed a high percentage of non-aerated or poorly
aerated lung parenchyma [52 % (41-62)] in all patients. No correlation was found
between CT scan data and respiratory parameter changes. Hemodynamics did not vary
and side effects were rare (one membrane thrombosis and one drop in ECMO blood
flow). CONCLUSION: When used in combination with VV-ECMO, 24 h of prone
positioning improves both oxygenation and respiratory system compliance.
Moreover, our study confirms the absence of serious adverse events.
PMID- 26538307
TI - Computational model of polarized actin cables and cytokinetic actin ring
formation in budding yeast.
AB - The budding yeast actin cables and contractile ring are important for polarized
growth and division, revealing basic aspects of cytoskeletal function. To study
these formin-nucleated structures, we built a three-dimensional (3D)
computational model with actin filaments represented as beads connected by
springs. Polymerization by formins at the bud tip and bud neck, crosslinking,
severing, and myosin pulling, are included. Parameter values were estimated from
prior experiments. The model generates actin cable structures and dynamics
similar to those of wild type and formin deletion mutant cells. Simulations with
increased polymerization rate result in long, wavy cables. Simulated pulling by
type V myosin stretches actin cables. Increasing the affinity of actin filaments
for the bud neck together with reduced myosin V pulling promotes the formation of
a bundle of antiparallel filaments at the bud neck, which we suggest as a model
for the assembly of actin filaments to the contractile ring.
PMID- 26538309
TI - Influence of in-line microfilters on systemic inflammation in adult critically
ill patients: a prospective, randomized, controlled open-label trial.
AB - BACKGROUND: In critically ill children, in-line microfilters may reduce the
incidence of the systemic inflammatory response syndrome (SIRS), the overall
complication and organ dysfunction rate. No data on the use of in-line
microfilters exist in critically ill adults. METHODS: In this prospective,
randomized, controlled open-label study, we evaluated the influence of in-line
microfilters on systemic immune activation in 504 critically ill adults with a
central venous catheter in place and an expected length of stay in the intensive
care unit >24 h. Patients were randomized to have in-line microfilters placed
into all intravenous lines (intervention group) or usual care (control group).
The primary endpoint was the number of intensive care unit days with SIRS.
Secondary endpoints were the incidence of SIRS, SIRS criteria per day, duration
of invasive mechanical ventilation, intensive care unit length of stay, the
incidence of acute lung injury, maximum C-reactive protein, maximum white blood
cell count, incidence of new candida and/or central-line-associated bloodstream
infections, incidence of new thromboembolic complications, cumulative insulin
requirements and presence of hyper- or hypoglycemia. RESULTS: The study groups
did not differ in any baseline variable. There was no difference in the number of
days in the intensive care unit with SIRS between microfilter and control
patients [2 (0.8-4.7) vs. 1.8 (0.7-4.4), p = 0.62]. Except for a higher incidence
of SIRS in microfilter patients (99.6 vs. 96.8 %, p = 0.04), no difference
between the groups was observed in any secondary outcome parameter. Results did
not change when only patients with an intensive care unit length of stay of
greater than 7 days were included in the analysis. The rate of adverse events was
comparable between microfilter and control patients. In two patients allocated to
the microfilter group, the study intervention was discontinued for technical
reasons. Use of in-line microfilters was associated with additional costs.
CONCLUSIONS: The use of in-line microfilters failed to modulate systemic
inflammation and clinical outcome parameters in critically ill adults. TRIAL
REGISTRATION: Clinical Trials NCT01534390.
PMID- 26538311
TI - Anaphylactic Shock at the Beginning of Hemodialysis.
AB - In patients who receive hemodialysis, most hypersensitivity reactions to
components of the dialysis circuit are due to ethylene oxide or complement
activating bio-incompatible membranes. We present a case of a 59 year-old female,
with a 4-year history of uneventful hemodialysis using a cellulose based dialyzer
membrane at her outpatient dialysis center, who developed repeated anaphylactic
reactions associated with markers of an IgE mediated hypersensitivity reaction
when a polysulfone based dialyzer membrane was used while she was hospitalized.
Only when the patient's dialyzer was changed back to her usual cellulose based
membrane, did these reactions cease. On the basis of her clinical course and
laboratory findings, we concluded that the patient's symptoms were due to
exposure to polysulfone. This case reminds us that "biocompatible" membranes are
not free from dialyzer reactions, and can be especially severe if the mechanism
is an IgE mediated anaphylactic hypersensitivity reaction.
PMID- 26538310
TI - Arginase 1+ microglia reduce Abeta plaque deposition during IL-1beta-dependent
neuroinflammation.
AB - BACKGROUND: Neuroinflammation has long been considered a driver of Alzheimer's
disease progression. However, experiments developed to explore the interaction
between neuroinflammation and Alzheimer's disease (AD) pathology showed a
surprising reduction in amyloid beta (Abeta) plaque deposition. We sought to
understand this unexpected outcome by examining microglia phenotypes during
chronic neuroinflammation. METHODS: Using an adeno-associated virus vector
carrying hIL-1beta cDNA, inflammation was induced in one hippocampus of 8-month
old amyloid precursor protein (APP)/PS1 mice for 4 weeks, while the other
hemisphere received control injections. Bone marrow chimeras and staining
analysis were used to identify the origins and types of immune cells present
during sustained inflammation. Arginase 1 (Arg1) and inducible nitric oxide
synthase (iNOS) immunoreactivity were used as markers of alternatively activated
and classically activated cells, respectively, and changes in cellular uptake of
Abeta by Arg1+ or iNOS+ microglia was demonstrated by confocal microscopy. To
determine if an anti-inflammatory phenotype was present during neuroinflammation,
RNA was extracted on flow-sorted microglia and rt-PCR was performed. Interleukin
4 injection was used to induce alternatively activated cells, whereas a minipump
and intrahippocampal cannula was used to deliver an interleukin (IL)-4Ralpha
antibody to block the induction of Arg1+ cells in the setting of sustained IL
1beta expression. RESULTS: We observed a robust upregulation of centrally derived
Arg1+ microglia present only in the inflamed hemisphere. Furthermore, in the
inflamed hemisphere, greater numbers of Arg1+ microglia contained Abeta when
compared to iNOS+ microglia. RNA isolated from flow-sorted microglia from the
inflamed hemisphere demonstrated elevation of mRNA species consistent with
alternative activation as well as neuroprotective genes such as BDNF and IGF1. To
explore if Arg1+ microglia mediated plaque reduction, we induced Arg1+ microglia
with IL-4 and observed significant plaque clearance. Moreover, when we reduced
Arg1+ microglia induction in the context of neuroinflammation using an anti-IL
4Ralpha antibody delivered via intrahippocampal cannula, we observed a clear
correlation between numbers of Arg1+ microglia and plaque reduction. CONCLUSIONS:
Together, these findings suggest that Arg1+ microglia are involved in Abeta
plaque reduction during sustained, IL-1beta-dependent neuroinflammation, opening
up possible new avenues for immunomodulatory therapy of AD.
PMID- 26538312
TI - Interplay between solid state transitions, conductivity mechanisms, and
electrical relaxations in a [PVBTMA] [Br]-b-PMB diblock copolymer membrane for
electrochemical applications.
AB - Understanding the structure-property relationships and the phenomena responsible
for ion conduction is one of the keys in the design of novel ionomers with
improved properties. In this report, the morphology and the mechanism of ion
exchange in a model anion exchange membrane (AEM), poly(vinyl benzyl trimethyl
ammonium bromide)-block-poly(methylbutylene) ([PVBTMA][Br]-b-PMB), is
investigated with small angle X-ray scattering, high-resolution thermogravimetry,
modulated differential scanning calorimetry, dynamic mechanical analysis, and
broadband electrical spectroscopy. The hyper-morphology of the material consists
of hydrophilic domains characterized by stacked sides of [PVBTMA][Br] which are
sandwiched between "spaghetti-like" hydrophobic cylindrical parallel domains of
the PMB block. The most important interactions in the hydrophilic domains occur
between the dipoles of ammonium bromide ion pairs in the side chains of adjacent
chains. A reordering of the ion pair dipoles is responsible for a disorder-order
transition (Tdelta) at high temperature, observed here for the first time in
AEMs, which results in a dramatic decrease of the ionic conductivity. The overall
mechanism of long range charge transfer, deduced from a congruent picture of all
of the results, involves two distinct ion conduction pathways. In these pathways,
hydration and the motion of the ionic side groups are crucial to the conductivity
of the AEM. Unlike the typical perfluorinated sulfonated proton-conducting
polymer, the segmental motion of the backbone is negligible.
PMID- 26538313
TI - Fitting Transporter Activities to Cellular Drug Concentrations and Fluxes: Why
the Bumblebee Can Fly.
AB - A recent paper in this journal argued that reported expression levels, kcat and
Km for drug transporters could be used to estimate the likelihood that drug
fluxes through Caco-2 cells could be accounted for solely by protein
transporters. It was in fact concluded that if five such transporters contributed
'randomly' they could account for the flux of the most permeable drug tested
(verapamil) 35% of the time. However, the values of permeability cited for
verapamil were unusually high; this and other drugs have much lower
permeabilities. Even for the claimed permeabilities, we found that a single
'random' transporter could account for the flux 42% of the time, and that two
transporters can achieve 10.10(-6)cm.s(-1) 90% of the time. Parameter
optimisation methods show that even a single transporter can account for Caco-2
drug uptake of the most permeable drug. Overall, the proposal that 'phospholipid
bilayer diffusion (of drugs) is negligible' is not disproved by the calculations
of 'likely' transporter-based fluxes.
PMID- 26538315
TI - Emerging Role of Sirtuin 2 in the Regulation of Mammalian Metabolism.
AB - Sirtuins are an evolutionarily conserved family of NAD(+)-dependent deacylases
that display diversity in subcellular localization and function. SIRT2, the
predominantly cytosolic sirtuin, is among the least understood of the seven
mammalian sirtuin isoforms described (SIRT1-7). The purpose of this review is to
summarize the most recent findings about the potential roles and effects of SIRT2
in mammalian metabolic homeostasis. We discuss the different functions and
targets of SIRT2 in various physiological processes, including adipogenesis,
fatty acid oxidation, gluconeogenesis, and insulin sensitivity. We also cover the
role of SIRT2 in inflammation and oxidative stress due to the possible
implications for metabolic disorders. Finally, we consider its potential as a
therapeutic target for the prevention and treatment of type 2 diabetes.
PMID- 26538314
TI - Lessons from Hot Spot Analysis for Fragment-Based Drug Discovery.
AB - Analysis of binding energy hot spots at protein surfaces can provide crucial
insights into the prospects for successful application of fragment-based drug
discovery (FBDD), and whether a fragment hit can be advanced into a high
affinity, drug-like ligand. The key factor is the strength of the top ranking hot
spot, and how well a given fragment complements it. We show that published data
are sufficient to provide a sophisticated and quantitative understanding of how
hot spots derive from a protein 3D structure, and how their strength, number, and
spatial arrangement govern the potential for a surface site to bind to fragment
sized and larger ligands. This improved understanding provides important guidance
for the effective application of FBDD in drug discovery.
PMID- 26538316
TI - Targeted Therapies for Triple-Negative Breast Cancer: Combating a Stubborn
Disease.
AB - Triple-negative breast cancers (TNBCs) constitute a heterogeneous subtype of
breast cancers that have a poor clinical outcome. Although no approved targeted
therapy is available for TNBCs, molecular-profiling efforts have revealed
promising molecular targets, with several candidate compounds having now entered
clinical trials for TNBC patients. However, initial results remain modest,
thereby highlighting challenges potentially involving intra- and intertumoral
heterogeneity and acquisition of therapy resistance. We present a comprehensive
review on emerging targeted therapies for treating TNBCs, including the promising
approach of immunotherapy and the prognostic value of tumor-infiltrating
lymphocytes. We discuss the impact of pathway rewiring in the acquisition of drug
resistance, and the prospect of employing combination therapy strategies to
overcome challenges towards identifying clinically-viable targeted treatment
options for TNBC.
PMID- 26538317
TI - NAMPT as a Therapeutic Target against Stroke.
AB - Nicotinamide phosphoribosyltransferase (NAMPT), also an adipokine known as
visfatin, acts via enzymatic activity to synthesize nicotinamide mononucleotide
(NMN) and then to maintain homeostasis of nicotinamide adenine dinucleotide
(NAD), which plays a dual role in energy metabolism and biological signaling. Of
note, the NAMPT metabolic pathway connects NAD-dependent sirtuin (SIRT)
signaling, constituting a strong intrinsic defense system against various
stresses. Most recently, studies have demonstrated several mechanisms by which
NAMPT might serve as a therapeutic target against ischemic stroke, including
cerebroprotection in the acute phase as well as vascular repair and neurogenesis
in the chronic phase. The molecular mechanisms underlying these benefits have
been explored in vivo and in vitro for neural cells, endothelial progenitor
cells, and neural stem cells. Therapeutic interventions using NMN, NAMPT
activators, and ischemic conditioning are promising for stroke salvage and
rehabilitation. This review discusses the current NAMPT data in the context of
translational efforts for stroke treatment.
PMID- 26538318
TI - Advances in Computational Techniques to Study GPCR-Ligand Recognition.
AB - G-protein-coupled receptors (GPCRs) are among the most intensely investigated
drug targets. The recent revolutions in protein engineering and molecular
modeling algorithms have overturned the research paradigm in the GPCR field.
While the numerous ligand-bound X-ray structures determined have provided
invaluable insights into GPCR structure and function, the development of
algorithms exploiting graphics processing units (GPUs) has made the simulation of
GPCRs in explicit lipid-water environments feasible within reasonable computation
times. In this review we present a survey of the recent advances in structure
based drug design approaches with a particular emphasis on the elucidation of the
ligand recognition process in class A GPCRs by means of membrane molecular
dynamics (MD) simulations.
PMID- 26538319
TI - Pharmacokinetics of procaterol in thoroughbred horses.
AB - Procaterol (PCR) is a beta-2-adrenergic bronchodilator widely used in Japanese
racehorses for treating lower respiratory disease. The pharmacokinetics of PCR
following single intravenous (0.5 MUg/kg) and oral (2.0 MUg/kg) administrations
were investigated in six thoroughbred horses. Plasma and urine concentrations of
PCR were measured using liquid chromatography-mass spectrometry. Plasma PCR
concentration following intravenous administration showed a biphasic elimination
pattern. The systemic clearance was 0.47 +/- 0.16 L/h/kg, the steady-state volume
of the distribution was 1.21 +/- 0.23 L/kg, and the elimination half-life was
2.85 +/- 1.35 h. Heart rate rapidly increased after intravenous administration
and gradually decreased thereafter. A strong correlation between heart rate and
plasma concentration of PCR was observed. Plasma concentrations of PCR after oral
administration were not quantifiable in all horses. Urine concentrations of PCR
following intravenous and oral administrations were quantified in all horses
until 32 h after administration. Urine PCR concentrations were not significantly
different on and after 24 h between intravenous and oral administrations. These
results suggest that the bioavailability of orally administrated PCR in horses is
very poor, and the drug was eliminated from the body slowly based on urinary
concentrations. This report is the first study to demonstrate the pharmacokinetic
character of PCR in thoroughbred horses.
PMID- 26538320
TI - Exceptionally Inert Lanthanide(III) PARACEST MRI Contrast Agents Based on an 18
Membered Macrocyclic Platform.
AB - We report a macrocyclic ligand based on a 3,6,10,13-tetraaza-1,8(2,6)
dipyridinacyclotetradecaphane platform containing four hydroxyethyl pendant arms
(L(1)) that forms extraordinary inert complexes with Ln(3+) ions. The
[EuL(1)](3+) complex does not undergo dissociation in 1 M HCl over a period of
months at room temperature. Furthermore, high concentrations of phosphate and
Zn(2+) ions at room temperature do not provoke metal-complex dissociation. The X
ray crystal structures of six Ln(3+) complexes reveal ten coordination of the
ligand to the metal ions through the six nitrogen atoms of the macrocycle and the
four oxygen atoms of the hydroxyethyl pendant arms. The analysis of the Yb(3+)-
and Pr(3+)-induced paramagnetic (1)H NMR shifts show that the solid-state
structures are retained in aqueous solution. The intensity of the (1)H NMR signal
of bulk water can be modulated by saturation of the signals of the hydroxy
protons of Pr(3+), Eu(3+), and Yb(3+) complexes following chemical-exchange
saturation transfer (CEST). The ability of these complexes to provide large CEST
effects at 25 and 37 degrees C and pH 7.4 was confirmed by using CEST magnetic
resonance imaging experiments.
PMID- 26538321
TI - Prospecting for new bacterial metabolites: a glossary of approaches for inducing,
activating and upregulating the biosynthesis of bacterial cryptic or silent
natural products.
AB - Covering: up to 2015. Over the centuries, microbial secondary metabolites have
played a central role in the treatment of human diseases and have revolutionised
the pharmaceutical industry. With the increasing number of sequenced microbial
genomes revealing a plethora of novel biosynthetic genes, natural product drug
discovery is entering an exciting second golden age. Here, we provide a concise
overview as an introductory guide to the main methods employed to unlock or up
regulate these so called 'cryptic', 'silent' and 'orphan' gene clusters, and
increase the production of the encoded natural product. With a predominant focus
on bacterial natural products we will discuss the importance of the
bioinformatics approach for genome mining, the use of first different and simple
culturing techniques and then the application of genetic engineering to unlock
the microbial treasure trove.
PMID- 26538323
TI - Reproducibility blues.
AB - Research findings advance science only if they are significant, reliable and
reproducible. Scientists and journals must publish robust data in a way that
renders it optimally reproducible. Reproducibility has to be incentivized and
supported by the research infrastructure but without dampening innovation.
PMID- 26538322
TI - Removing endogenous tau does not prevent tau propagation yet reduces its
neurotoxicity.
AB - In Alzheimer's disease and tauopathies, tau protein aggregates into
neurofibrillary tangles that progressively spread to synaptically connected brain
regions. A prion-like mechanism has been suggested: misfolded tau propagating
through the brain seeds neurotoxic aggregation of soluble tau in recipient
neurons. We use transgenic mice and viral tau expression to test the hypotheses
that trans-synaptic tau propagation, aggregation, and toxicity rely on the
presence of endogenous soluble tau. Surprisingly, mice expressing human P301Ltau
in the entorhinal cortex showed equivalent tau propagation and accumulation in
recipient neurons even in the absence of endogenous tau. We then tested whether
the lack of endogenous tau protects against misfolded tau aggregation and
toxicity, a second prion model paradigm for tau, using P301Ltau-overexpressing
mice with severe tangle pathology and neurodegeneration. Crossed onto tau-null
background, these mice had similar tangle numbers but were protected against
neurotoxicity. Therefore, misfolded tau can propagate across neural systems
without requisite templated misfolding, but the absence of endogenous tau
markedly blunts toxicity. These results show that tau does not strictly classify
as a prion protein.
PMID- 26538324
TI - Metabolomics Study of Urine in Autism Spectrum Disorders Using a Multiplatform
Analytical Methodology.
AB - Autism spectrum disorder (ASD) is a neurodevelopmental disorder with no clinical
biomarker. The aims of this study were to characterize a metabolic signature of
ASD and to evaluate multiplatform analytical methodologies in order to develop
predictive tools for diagnosis and disease follow-up. Urine samples were analyzed
using (1)H and (1)H-(13)C NMR-based approaches and LC-HRMS-based approaches (ESI+
and ESI- on HILIC and C18 chromatography columns). Data tables obtained from the
six analytical modalities on a training set of 46 urine samples (22 autistic
children and 24 controls) were processed by multivariate analysis (orthogonal
partial least-squares discriminant analysis, OPLS-DA). The predictions from each
of these OPLS-DA models were then evaluated using a prediction set of 16 samples
(8 autistic children and 8 controls) and receiver operating characteristic
curves. Thereafter, a data fusion block-scaling OPLS-DA model was generated from
the 6 best models obtained for each modality. This fused OPLS-DA model showed an
enhanced performance (R(2)Y(cum) = 0.88, Q(2)(cum) = 0.75) compared to each
analytical modality model, as well as a better predictive capacity (AUC = 0.91, p
value = 0.006). Metabolites that are most significantly different between
autistic and control children (p < 0.05) are indoxyl sulfate, N-alpha-acetyl-l
arginine, methyl guanidine, and phenylacetylglutamine. This multimodality
approach has the potential to contribute to find robust biomarkers and
characterize a metabolic phenotype of the ASD population.
PMID- 26538325
TI - Monomorphic ventricular tachycardia in patients with Brugada syndrome: A
multicenter retrospective study.
AB - BACKGROUND: Isolated cases of monomorphic ventricular tachycardia (MVT) in
patients with Brugada syndrome (BrS) have been reported. OBJECTIVE: We aimed to
describe the incidence and characteristics of MVT in a cohort of patients with
BrS who had received an implantable cardioverter-defibrillator (ICD). METHODS:
Data from 834 patients with BrS implanted with an ICD in 15 tertiary hospitals
between 1993 and 2014 were included. RESULTS: The mean age of enrolled patients
was 45.3 +/- 13.9 years; 200 patients (24%) were women. During a mean follow-up
of 69.4 +/- 54.3 months, 114 patients (13.7%) experienced at least 1 appropriate
ICD intervention, with MVT recorded in 35 patients (4.2%) (sensitive to
antitachycardia pacing in 15 [42.8%]). Only QRS width was an independent
predictor of MVT in the overall population. Specifically, 6 (17.1%) patients
presented with right ventricular outflow tract tachycardia (successfully ablated
from the endocardium in 4 and epicardial and endocardial ablation in 1), 2
patients with MVT arising from the left ventricle (1 successfully ablated in the
supra lateral mitral annulus), and 2 (5.7%) patients with bundle branch reentry
ventricular tachycardia. Significant structural heart disease was ruled out by
echocardiography and/or cardiac magnetic resonance imaging. CONCLUSION: In this
retrospective study, 4.2% of patients with BrS implanted with an ICD presented
with MVT confirmed as arising from the right ventricular outflow tract
tachycardia in 6, patients with MVT arising from the left ventricle in 2, and
patients with bundle branch reentry ventricular tachycardia in 2. Endocardial
and/or epicardial ablation was successful in 80% of these cases. These data imply
that the occurrence of MVT should not rule out the possibility of BrS. This
finding may also be relevant for ICD model selection and programming.
PMID- 26538327
TI - A Hierarchical Multiple-Level Approach to the Assessment of Interpersonal
Relatedness and Self-Definition: Implications for Research, Clinical Practice,
and DSM Planning.
AB - Extant research suggests there is considerable overlap between so-called 2
polarities models of personality development; that is, models that propose that
personality development evolves through a dialectic synergistic interaction
between 2 key developmental tasks across the life span-the development of self
definition on the one hand and of relatedness on the other. These models have
attracted considerable research attention and play a central role in DSM
planning. This article provides a researcher- and clinician-friendly guide to the
assessment of these personality theories. We argue that current theoretical
models focus on issues of relatedness and self-definition at different
hierarchically organized levels of analysis; that is (a) at the level of broad
personality features, (b) at the motivational level (i.e., the motivational
processes underlying the development of these dimensions), and (c) at the level
of underlying internal working models or cognitive affective schemas, and the
specific interpersonal features and problems in which they are expressed.
Implications for further research and DSM planning are outlined.
PMID- 26538328
TI - Electrochemical Synthesis of Photoelectrodes and Catalysts for Use in Solar Water
Splitting.
AB - This review focuses on introducing and explaining electrodepostion mechanisms and
electrodeposition-based synthesis strategies used for the production of catalysts
and semiconductor electrodes for use in water-splitting photoelectrochemical
cells (PECs). It is composed of three main sections: electrochemical synthesis of
hydrogen evolution catalysts, oxygen evolution catalysts, and semiconductor
electrodes. The semiconductor section is divided into two parts: photoanodes and
photocathodes. Photoanodes include n-type semiconductor electrodes that can
perform water oxidation to O2 using photogenerated holes, while photocathodes
include p-type semiconductor electrodes that can reduce water to H2 using
photoexcited electrons. For each material type, deposition mechanisms were
reviewed first followed by a brief discussion on its properties relevant to
electrochemical and photoelectrochemical water splitting. Electrodeposition or
electrochemical synthesis is an ideal method to produce individual components and
integrated systems for PECs due to its various intrinsic advantages. This review
will serve as a good resource or guideline for researchers who are currently
utilizing electrochemical synthesis as well as for those who are interested in
beginning to employ electrochemical synthesis for the construction of more
efficient PECs.
PMID- 26538326
TI - JPH-2 interacts with Cai-handling proteins and ion channels in dyads:
Contribution to premature ventricular contraction-induced cardiomyopathy.
AB - BACKGROUND: In a canine model of premature ventricular contraction-induced
cardiomyopathy (PVC-CM), Cav1.2 is downregulated and misplaced from transverse
tubules (T tubules). Junctophilin-2 (JPH-2) is also downregulated. OBJECTIVES:
The objectives of this study were to understand the role of JPH-2 in PVC-CM and
to probe changes in other proteins involved in dyad structure and function.
METHODS: We quantify T-tubule contents (di-8-ANEPPS fluorescence in live
myocytes), examine myocyte ultrastructures (electron microscopy), probe JPH-2
interacting proteins (co-immunoprecipitation), quantify dyad and nondyad protein
levels (immunoblotting), and examine subcellular distributions of dyad proteins
(immunofluorescence/confocal microscopy). We also test direct JPH-2 modulation of
channel function (vs indirect modulation through dyad formation) using
heterologous expression. RESULTS: PVC myocytes have reduced T-tubule contents but
otherwise normal ultrastructures. Among 19 proteins examined, only JPH-2,
bridging integrator-1 (BIN-1), and Cav1.2 are highly downregulated in PVC hearts.
However, statistical analysis indicates a general reduction in dyad protein
levels when JPH-2 is downregulated. Furthermore, several dyad proteins, including
Na/Ca exchanger, are missing or shifted from dyads to the peripheral surface in
PVC myocytes. JPH-2 directly or indirectly interacts with Cai-handling proteins,
Cav1.2 and KCNQ1, although not BIN-1 or other scaffolding proteins tested.
Expression in mammalian cells that do not have dyads confirms direct JPH-2
modulation of the L-type Ca channel current (Cav1.2/voltage-gated Ca channel beta
subunit 2) and slow delayed rectifier current (KCNQ1/KCNE1). CONCLUSION: JPH-2 is
more than a "dyad glue": it can modulate Cai handling and ion channel function in
the dyad region. Downregulation of JPH-2, BIN-1, and Cav1.2 plays a deterministic
role in PVC-CM. Dissecting the hierarchical relationship among the three is
necessary for the design of therapeutic interventions to prevent the progression
of PVC-CM.
PMID- 26538329
TI - Differences in forward angular light scattering distributions between M1 and M2
macrophages.
AB - The ability to distinguish macrophage subtypes noninvasively could have
diagnostic potential in cancer, atherosclerosis, and diabetes, where polarized M1
and M2 macrophages play critical and often opposing roles. Current methods to
distinguish macrophage subtypes rely on tissue biopsy. Optical imaging techniques
based on light scattering are of interest as they can be translated into biopsy
free strategies. Because mitochondria are relatively strong subcellular light
scattering centers, and M2 macrophages are known to have enhanced mitochondrial
biogenesis compared to M1, we hypothesized that M1 and M2 macrophages may have
different angular light scattering profiles. To test this, we developed an in
vitro angle-resolved forward light scattering measurement system. We found that
M1 and M2 macrophage monolayers scatter relatively unequal amounts of light in
the forward direction between 1.6 deg and 3.2 deg with M2 forward scattering
significantly more light than M1 at increasing angles. The ratio of forward
scattering can be used to identify the polarization state of macrophage
populations in culture.
PMID- 26538330
TI - Chlorophyll a fluorescence lifetime reveals reversible UV-induced photosynthetic
activity in the green algae Tetraselmis.
AB - The fluorescence lifetime is a very useful parameter for investigating biological
materials on the molecular level as it is mostly independent of the fluorophore
concentration. The green alga Tetraselmis blooms in summer, and therefore its
response to UV irradiation is of particular interest. In vivo fluorescence
lifetimes of chlorophyll a were measured under both normal and UV-stressed
conditions of Tetraselmis. Fluorescence was induced by two-photon excitation
using a femtosecond laser and laser scanning microscope. The lifetimes were
measured in the time domain by time-correlated single-photon counting. Under
normal conditions, the fluorescence lifetime was 262 ps, while after 2 h of
exposure to UV radiation the lifetime increased to 389 ps, indicating decreased
photochemical quenching, likely caused by a damaged and down-regulated
photosynthetic apparatus. This was supported by a similar increase in the
lifetime to 425 ps when inhibiting photosynthesis chemically using DCMU.
Furthermore, the UV-stressed sample was dark-adapted overnight, resulting in a
return of the lifetime to 280 ps, revealing that the damage caused by UV
radiation is repairable on a relatively short time scale. This reversal of
photosynthetic activity was also confirmed by [Formula: see text] measurements.
PMID- 26538331
TI - Discrimination between conformational selection and induced fit protein-ligand
binding using Integrated Global Fit analysis.
AB - Molecular recognition between proteins and small molecule ligands is at the heart
of biological function in cellular systems and the basis of modern rational drug
development. Therefore, the mechanisms governing protein-ligand interaction have
been objects of research for many decades. The last 15 years has seen a revival
of a discussion whether conformational selection (CS) or induced fit (IF) is the
most relevant binding mechanism. A decreasing observed rate constant, k obs, with
increasing ligand concentration was considered to be a hallmark of CS, but
according to contemporary knowledge, a positive saturating behavior of k obs can
be explained by both CS and IF mechanisms. The only currently recognized kinetic
method to differentiate between both binding mechanisms includes the measurement
of two separate series of binding kinetics with variation of either protein or
ligand under pseudo-first-order conditions. This study avoids the disadvantage of
high protein concentrations and provides evidence that a comprehensive Integrated
Global Fit analysis of sets of binding kinetics with just varied ligand
concentration in combination with equilibrium data and optional displacement
kinetics can effectively differentiate between CS and IF binding mechanisms. The
limiting situation, when physical binding dominates over the previous (CS) or
subsequent (IF) conformational changes, is carefully analyzed. Finally, the
relevance of kinetic methods and the elucidation of more complex binding
mechanisms are discussed for advanced rational selection and optimization of drug
candidates.
PMID- 26538332
TI - Dividing organelle tracks into Brownian and motor-driven intervals by variational
maximization of the Bayesian evidence.
AB - Many organelles and vesicles in live cells move in a start-stop manner when
observed for ~10 s by optical microscopy. Changes in velocity and directional
persistence of such particles are a potentially rich source of insight into the
mechanisms leading to the start and stop states. Unbiased assessment of the most
probable number of states, the properties of each state, and the most probable
state for the particle at each moment can be accomplished by variational Bayesian
methods combined with a hidden Markov model and a Gaussian mixture model. Our
track analysis method, "vbTRACK", applied this combination of methods to particle
velocity v or changes in the direction of travel evaluated from simulated tracks
and from tracks of peroxisomes in live cells. When tested with numerical data,
vbTRACK reliably determined the number of states, the mean and variance of the
velocity or the direction of travel for each state, and the most probable state
during each frame. When applied to the tracks of peroxisomes in live cells, some
tracks separated into two states, one with high velocity and directionality, the
other approximately Brownian. Other tracks of particles in live cells separated
into several diffusive states with distinct diffusion constants.
PMID- 26538333
TI - Extractable and non-extractable polyphenols from blueberries modulate LPS-induced
expression of iNOS and COX-2 in RAW264.7 macrophages via the NF-kappaB signalling
pathway.
AB - BACKGROUND: Plant polyphenols are rich in blueberries that have a wide range of
properties beneficial to human health. There are two types, according to the
solubility of polyphenols, which were defined as extractable polyphenols (EPP)
and non-extractable polyphenols (NEPP), respectively. At present, in most of
reports, 'total polyphenol' refers only to EPP excluding NEPP. In this paper, the
effects of EPP and NEPP on lipopolysaccharides (LPS) induced production of nitric
oxide (NO) and gene expression of inducible NO synthase (iNOS) and cyclooxygenase
2 (COX-2) in RAW264.7 cells via nuclear factor-kappaB (NF-kappaB) signalling
pathway were compared. RESULTS: The results showed that EPP and NEPP from
blueberries significantly inhibited the LPS-induced production of NO and gene
expression of iNOS and COX-2 in cells. The constitutive level of p65 sub-unit of
NF-kappaB was obviously detected after the treatments with EPP or NEPP. By
contrast, the level of phosphorylated p65 (P-p65) was strongly inhibited by EPP
or NEPP. EPP had a stronger inhibition on the gene expression of iNOS and COX-2
than that of NEPP. CONCLUSION: These findings of inhibition of iNOS and COX-2
mRNA expression through the suppression of NF-kappaB suggest that EPP and ENPP
from blueberries have significant anti-inflammatory effect and may be a potential
medicine. (c) 2015 Society of Chemical Industry.
PMID- 26538335
TI - Human T-Lymphotropic Virus Type 1 and 2 Seroprevalence among first-time blood
donors in Chile, 2011-2013.
AB - Infection with human T-lymphotropic virus type 1/2 (HTLV-1/2) is a major health
problem. HTLV-1/2 infection is endemic in Chile but representative donor
prevalence data are lacking. Data on all blood donors in a large network of
Chilean blood centers were examined during 2011-2013. Screening of HTLV-1/2
antibodies were measured by enzyme immunoassay (EIA) at all blood banks. Blood
samples with anticoagulants from initially reactive blood donors were analyzed by
serological confirmation tests (immunofluorescence or recombinant immunoblot) at
the HTLV National Reference Laboratory of the Public Health Institute of Chile.
Additionally, detection of HTLV-1 and HTLV-2 provirus in peripheral blood
mononuclear cells (PBMCs) was performed in all blood donors as confirmatory test.
Prevalence rates were calculated. Among 694,016 donors, 706 were seropositive for
HTLV-1 (prevalence, 1.02 cases per 1,000; 95% confidence interval [CI], 0.94
1.09), and 97 were seropositive for HTLV-2 (prevalence, 0.14 cases per 1,000;
95%CI, 0.11-0.17). Prevalence of HTLV-1 differed considerably by region, from
0.51 to 1.69 per 1,000. Prevalence of HTLV-2 was similar across the country (0.12
0.16). HTLV-1 prevalence was associated with female sex, older age, and residence
in the north of Chile. HTVL-2 prevalence was associated with older age. The HTLV
1 prevalence among Chilean blood donors was relatively high and could be reduced
by improving donor recruitment and selection in high prevalence areas. Blood
center data may contribute to surveillance for HTLV-1 and HTLV-2 infections.
PMID- 26538334
TI - Inhibition of actin polymerization in the NAc shell inhibits morphine-induced CPP
by disrupting its reconsolidation.
AB - Drug-associated contextual cues contribute to drug craving and relapse after
abstinence, which is a major challenge to drug addiction treatment. Previous
studies showed that disrupting memory reconsolidation impairs drug reward memory.
However, the underlying mechanisms remain elusive. Although actin polymerization
is involved in memory formation, its role in the reconsolidation of drug reward
memory is unknown. In addition, the specific brain areas responsible for drug
memory have not been fully identified. In the present study, we found that
inhibiting actin polymerization in the nucleus accumbens (NAc) shell, but not the
NAc core, abolishes morphine-induced conditioned place preference (CPP) by
disrupting its reconsolidation in rats. Moreover, this effect persists for more
than 2 weeks by a single injection of the actin polymerization inhibitor, which
is not reversed by a morphine-priming injection. Furthermore, the application of
actin polymerization inhibitor outside the reconsolidation window has no effect
on morphine-associated contextual memory. Taken together, our findings first
demonstrate that inhibiting actin polymerization erases morphine-induced CPP by
disrupting its reconsolidation. Our study suggests that inhibition of actin
polymerization during drug memory reconsolidation may be a potential approach to
prevent drug relapse.
PMID- 26538336
TI - Body Morphology and Its Associations With Thoracolumbar Trauma Sustained in Motor
Vehicle Collisions.
AB - OBJECTIVE: This study investigates the relationship between body mass index (BMI)
and the patterns of thoracolumbar spinal fractures sustained by patients in motor
vehicle collisions (MVCs). DESIGN: The Crash Injury Research and Engineering
Network (CIREN) database was used to analyze prospective data on patients
involved in MVCs of moderate severity. METHODS: Thoracolumbar fractures in 631
subjects were analyzed for patient-, vehicle-, and crash-related factors. Spine
injuries were classified according to a modified Denis classification system.
Subjects were stratified into BMI subgroups that were then analyzed by injury
level, fracture pattern, associated systemic injury, and mortality. RESULTS:
Obesity (BMI >= 30.0) was found to be associated with a more cephalad level of
injury. Mean BMI was higher in 67 patients with fatal outcomes compared with 557
survivors. Patients who sustained a thoracolumbar fracture and another system
injury were more likely to be overweight and obese. Among the various fracture
patterns analyzed, BMI was highest in patients with extension injuries.
CONCLUSION: This study characterizes the relationship between body morphology and
the thoracolumbar injury patterns associated with MVC to improve understanding of
the overall morbidity and mortality of these injuries. These results corroborate
research demonstrating the unique relationships between patients who are obese
and specific patterns of injury and higher injury severity caused by MVCs and
establish a rationale for specifically including thoracolumbar spine parameters
in crash safety standards.
PMID- 26538337
TI - Change of Leadership and New Ventures.
PMID- 26538338
TI - Radiographic Stage Does Not Correlate With Symptom Severity in Thumb Basilar
Joint Osteoarthritis.
AB - BACKGROUND: We hypothesize that thumb basilar joint osteoarthritis (TBJA)
radiographic stage does not correlate with patient-reported measures of symptom
severity. METHODS: Patients with unilateral TBJA who completed the 11-item
QuickDASH (Disabilities of the Arm, Shoulder, and Hand), Short-Form 12 Health
Survey (SF-12) Mental Component and SF-12 Physical Component surveys were
prospectively enrolled in the study. The Eaton-Littler radiographic stage was
assigned for each patient. The correlation between the radiographic score and
disease stage was calculated. RESULTS: Sixty-two patients (15 men, 47 women;
average age, 62.3 years) formed the basis of this study. The average QuickDASH
score (and standard deviation) for patients with stage 1 TBJA was 31.5 (11.4);
for those with stage 2, it was 37.9 (17.4); with stage 3, it was 30.1 (13.0), and
with stage 4, it was 39.4 (12.5). Eaton-Littler stage did not correlate
significantly with QuickDASH scores (rho = -0.014, P = 0.91). Neither SF-12
Mental Component scores (MCS-12: rho = 0.019, P = 0.89) nor the SF-12 Physical
Component scores (PCS-12: rho = 0.145, P = 0.26) correlated with TBJA stage.
CONCLUSION: Radiographic severity in TBJA does not correlate with validated
patient-reported symptom scores. Metrics that link radiographic and subjective
components of TBJA may improve surgical decision making and monitoring of
treatment response. LEVEL OF EVIDENCE: Prognostic, level II.
PMID- 26538339
TI - Analysis of Adsorbate-Adsorbate and Adsorbate-Adsorbent Interactions to Decode
Isosteric Heats of Gas Adsorption.
AB - A qualitative interpretation is proposed to interpret isosteric heats of
adsorption by considering contributions from three general classes of interaction
energy: fluid-fluid heat, fluid-solid heat, and fluid-high-energy site (HES)
heat. Multiple temperature adsorption isotherms are defined for nitrogen, T=(75,
77, 79) K, argon at T=(85, 87, 89) K, and for water and methanol at T=(278, 288,
298) K on a well-characterized polymer-based, activated carbon. Nitrogen and
argon are subjected to isosteric heat analyses; their zero filling isosteric
heats of adsorption are consistent with slit-pore, adsorption energy enhancement
modelling. Water adsorbs entirely via specific interactions, offering decreasing
isosteric heat at low pore filling followed by a constant heat slightly in excess
of water condensation enthalpy, demonstrating the effects of micropores. Methanol
offers both specific adsorption via the alcohol group and non-specific
interactions via its methyl group; the isosteric heat increases at low pore
filling, indicating the predominance of non-specific interactions.
PMID- 26538340
TI - The Maintaining Factors of Social Anxiety: A Three-Group Comparison of a Clinical
Sample with Highly Socially Anxious Students and Non-Anxious Students.
AB - BACKGROUND: Clark and Wells' (1995) cognitive model of social anxiety (CWM)
explains the maintenance of social anxiety and has been used as a guide for
treatment of Social Anxiety Disorder (SAD). Few studies have examined the
components of the model together across different samples. AIMS: This study had
two distinct aims: to test the components of CWM and to examine how the variables
of CWM may differ between clinical and non-clinical samples with varying levels
of social anxiety. METHOD: Hypothesized relationships between three groups (i.e.
a clinical sample of individuals diagnosed with SAD (ClinS), n = 40; socially
anxious students (HSA), n = 40; and, non-anxious students (LSA), n = 40) were
investigated. RESULTS: Four out of five CWM variables tested were able to
distinguish between highly socially anxious and non-anxious groups after
controlling for age and depression. CONCLUSIONS: CWM variables are able to
distinguish between high and low levels of social anxiety and are uniquely
related to social anxiety over depression.
PMID- 26538342
TI - A data-driven approach to mapping cortical and subcortical intrinsic functional
connectivity along the longitudinal hippocampal axis.
AB - The hippocampus (HPC) is functionally heterogeneous along the longitudinal
anterior-posterior axis. In rodent models, gene expression maps define at least
three discrete longitudinal subregions, which also differ in function, and in
anatomical connectivity with the rest of the brain. In humans, equivalent HPC
subregions are less well defined, resulting in a lack of consensus in
neuroimaging approaches that limits translational study. This study determined
whether a data-driven analysis, namely independent component analysis (ICA),
could reproducibly define human HPC subregions, and map their respective
intrinsic functional connectivity (iFC) with the rest of the brain. Specifically,
we performed ICA of resting-state fMRI activity spatially restricted within the
HPC, to determine the configuration and reproducibility of functional HPC
components. Using dual regression, we then performed multivariate analysis of iFC
between resulting HPC components and the whole brain, including detailed
connectivity with the hypothalamus, a functionally important connection not yet
characterized in human. We found hippocampal ICA resulted in highly reproducible
longitudinally discrete components, with greater functional heterogeneity in the
anterior HPC, consistent with animal models. Anterior hippocampal components
shared iFC with the amygdala, nucleus accumbens, medial prefrontal cortex,
posterior cingulate cortex, midline thalamus, and periventricular hypothalamus,
whereas posterior hippocampal components shared iFC with the anterior cingulate
cortex, retrosplenial cortex, and mammillary bodies. We show that spatially
masked hippocampal ICA with dual regression reproducibly identifies functional
subregions in the human HPC, and maps their respective brain intrinsic
connectivity. Hum Brain Mapp 37:462-476, 2016. (c) 2015 Wiley Periodicals, Inc.
PMID- 26538341
TI - The Course of Quality of Life in Patients on Peritoneal Dialysis: A 12-month
Prospective Observational Cohort Study.
AB - BACKGROUND: Quality of life (QOL) impairments are common in patients undergoing
dialysis, and have been strongly associated with significant clinical outcomes
like mortality and morbidity. Despite this, little is known about the course of
QOL over time, especially for patients on peritoneal dialysis (PD). PURPOSE: This
prospective study was set to explore course and determinants of QOL over 12
months in PD patients. METHODS: A total of 115 PD patients completed the SF-12
and Kidney Disease Quality of Life Short Form (KDQOL-SF) at baseline and 12
months later. Intra-individual changes in physical (physical component summary,
PCS), mental (mental component summary, MCS), and Kidney Disease Component
Summary scores (KDCS) were identified based on the minimally important clinical
difference threshold. Clinical information was extracted from medical records.
RESULTS: Of the patients, 74-80 % reported physical QOL impairments, as compared
to 29-33 % who reported mental/emotional QOL impairments. PCS and MCS scores
remained stable across 12 months. Significant deterioration was noted in the
domains of patient satisfaction, staff encouragement, and social support, while
there were significant increases in the perceived effects of kidney disease.
Intra-individual trajectory analyses indicated that one in three patients
reported deteriorating QOL. No sociodemographic or clinical variables were found
to be associated with course of outcomes. CONCLUSIONS: Although PD offers the
convenience of home-based care, it is associated with persisting QOL impairments
and diminishing QOL over time, especially in domains related to quality of care
and support. This highlights the need for improving or maintaining standards of
care and support for PD patients as they become increasingly established on their
regimes.
PMID- 26538343
TI - Discussion of "Combining Health Data Uses to Ignite Health System Learning".
AB - This article is part of a For-Discussion-Section of Methods of Information in
Medicine about the paper "Combining Health Data Uses to Ignite Health System
Learning" written by John D. Ainsworth and Iain E. Buchan [1]. It is introduced
by an editorial. This article contains the combined commentaries invited to
independently comment on the paper of Ainsworth and Buchan. In subsequent issues
the discussion can continue through letters to the editor. With these comments on
the paper "Combining Health Data Uses to Ignite Health System Learning", written
by John D. Ainsworth and Iain E. Buchan [1], the journal seeks to stimulate a
broad discussion on new ways for combining data sources for the reuse of health
data in order to identify new opportunities for health system learning. An
international group of experts has been invited by the editor of Methods to
comment on this paper. Each of the invited commentaries forms one section of this
paper.
PMID- 26538345
TI - Evaluation in health promotion: thoughts from inside a human research ethics
committee.
AB - Health promotion research, quality improvement and evaluation are all activities
that raise ethical issues. In this paper, the Chair and a member of human resear
ch ethics committees provide an insiders' point of view on how to demonstrate
ethical conduct in health promotion research and quality improvement. Several
common issues raised by health promotion research and evaluation are discussed
including researcher integrity, conflicts of interest, use of information,
consent and privacy.
PMID- 26538344
TI - Testosterone enables growth and hypertrophy in fusion impaired myoblasts that
display myotube atrophy: deciphering the role of androgen and IGF-I receptors.
AB - We have previously highlighted the ability of testosterone (T) to improve
differentiation and myotube hypertrophy in fusion impaired myoblasts that display
reduced myotube hypertrophy via multiple population doublings (PD) versus their
parental controls (CON); an observation which is abrogated via PI3K/Akt
inhibition (Deane et al. 2013). However, whether the most predominant molecular
mechanism responsible for T induced hypertrophy occurs directly via androgen
receptor or indirectly via IGF-IR/PI3K/Akt pathway is currently debated. PD and
CON C2C12 muscle cells were exposed to low serum conditions in the presence or
absence of T (100 nM) +/- inhibitors of AR (flutamide/F, 40 MUm) and IGF-IR
(picropodophyllin/PPP, 150 nM) for 72 h and 7 days (early/late muscle
differentiation respectively). T increased AR and Akt abundance, myogenin gene
expression, and myotube hypertrophy, but not ERK1/2 activity in both CON and PD
cell types. Akt activity was not increased significantly in either cell type with
T. Testosterone was also unable to promote early differentiation in the presence
of IGF-IR inhibitor (PPP) yet still able to promote appropriate later increases
in myotube hypertrophy and AR abundance despite IGF-IR inhibition. The addition
of the AR inhibitor powerfully attenuated all T induced increases in
differentiation and myotube hypertrophy with corresponding reductions in AR
abundance, phosphorylated Akt, ERK1/2 and gene expression of IGF-IR, myoD and
myogenin with increases in myostatin mRNA in both cell types. Interestingly,
despite basally reduced differentiation and myotube hypertrophy, PD cells showed
larger T induced increases in AR abundance vs. CON cells, a response abrogated in
the presence of AR but not IGF-IR inhibitors. Furthermore, T induced increases in
Akt abundance were sustained despite the presence of IGF-IR inhibition in PD
cells only. Importantly, flutamide alone reduced IGF-IR mRNA in both cell types
across time points, with an observed reduction in activity of ERK and Akt,
suggesting that IGF-IR was transcriptionally regulated by AR. However, where
testosterone increased AR protein content there was no increases observed in IGF
IR gene expression. This suggested that sufficient AR was important to enable
normal IGF-IR expression and downstream signalling, yet elevated levels of AR due
to testosterone had no further effect on IGF-IR mRNA, despite testosterone
increasing Akt abundance in the presence of IGF-IR inhibitor. In conclusion,
testosterones ability to improve differentiation and myotube hypertrophy occurred
predominately via increases in AR and Akt abundance in both CON and PD cells,
with fusion impaired cells (PD) showing an increased responsiveness to T induced
AR levels. Finally, T induced increases in myotube hypertrophy (but not early
differentiation) occurred independently of upstream IGF-IR input, however it was
apparent that normal AR function in basal conditions was required for adequate
IGF-IR gene expression and downstream ERK/Akt activity.
PMID- 26538346
TI - Treatment monitoring of 0.5% 5-fluorouracil and 10% salicylic acid in clinical
and subclinical actinic keratoses with the combination of optical coherence
tomography and reflectance confocal microscopy.
AB - BACKGROUND: Reflectance confocal microscopy (RCM) and high-definition optical
coherence tomography (HD-OCT) allow the observation in vivo of dynamic changes in
response to non-surgical treatment of actinic keratosis and field of
cancerisation. OBJECTIVE: To non-invasively assess the pharmacodynamic changes
induced by treatment with low dose 5-fluorouracil and 10% salicylic acid by means
of RCM and high-definition OCT in field cancerization and actinic keratosis.
METHODS: Twenty patients aged >50 years with diagnosis of actinic keratosis on
the head and face and indication for treatment with 0.5% 5-fluorouracil and 10%
salicylic acid were enrolled. An area of 25 cm2 including visible type I and II
AK and subclinical AK was treated once daily during 6 weeks and examination was
performed with RCM and HD-OCT before treatment and 2 weeks after the end of
treatment RESULTS: High-definition optical coherence tomography results at
baseline of mean thickness of the stratum corneum and epidermis were in AK 10.4
(SD = 4.99) and 43.3 (SD = 24.01) MUm respectively and in subclinical AK 3.7 (SD
= 2.15) and 30.05 (SD = 16.85) MUm. At week 8 (2 weeks after the end of
treatment) measurements of stratum corneum and epidermis were significantly
reduced in AK and subclinical AK for stratum corneum and epidermis. In RCM at
week 8 scaling, detached corneocytes, atypical honeycomb, round nucleated cells
in the spinosum granulosum layer, round vessels (dermal papillae), inflammatory
cells and total AK score were significantly reduced in AK and subclinical AK.
CONCLUSIONS: Evaluation of AK and subclinical AK by RCM and HD-OCT showed
objective improvement after treatment with 5-fluorouracil and 10% salicylic acid.
These methods allowed the study of dynamic changes in the tissue at a subclinical
level.
PMID- 26538347
TI - Association between brachial-ankle pulse wave velocity and progression of
coronary artery calcium: a prospective cohort study.
AB - BACKGROUND: Few studies have investigated the association between coronary artery
calcium (CAC) progression and arterial stiffness measured by brachial-ankle pulse
wave velocity (baPWV). We examined the influence of the severity of baseline
baPWV on CAC progression in a large prospective cohort. METHODS: A total of 1600
subjects who voluntarily participated in a comprehensive health-screening program
between March 2010 and December 2013 and had baseline baPWV as well as CAC on
baseline and serial follow-up computed tomography performed approximately 2.7 +/-
0.5 years apart were enrolled in the study. RESULTS: A total of 1124 subjects
were included in the analysis (1067 men; mean age, 43.6 +/- 5.1 years). An
increased CAC score was found in 318 subjects (28.3%) during the follow-up
period. Baseline higher baPWV was significantly correlated with CAC progression,
especially in subjects with third- and fourth-quartile values (adjusted odds
ratio [OR] 2.04; 95% confidence interval [CI] 1.33-3.15 and OR 2.14; 95% CI 1.34
3.41, respectively) compared with the lowest-quartile values (P for trend
<0.001). A similar effect was observed in diabetic subjects. Among the 835
subjects with a baseline CAC score = 0, progression to CAC score >0 was
associated with male sex, diabetes, and higher baPWV. However, among the 289
individuals with a baseline CAC score >0, only the presence of CAC itself was
predictive of CAC progression. CONCLUSIONS: Higher arterial stiffness measured by
baPWV could be significantly associated with CAC progression.
PMID- 26538348
TI - Outcomes of Clostridium difficile infection in pediatric solid organ transplant
recipients.
AB - BACKGROUND: The incidence of Clostridium difficile infection (CDI) is increasing
in the pediatric population. Pediatric recipients of solid organ transplantation
(SOT) may be at a higher risk for CDI in part because of chemotherapy and
prolonged hospitalization. METHODS: We utilized data from the Healthcare Cost and
Utilization Project Kids' Inpatient Database to study the incidence and outcomes
related to CDI as a complicating factor in pediatric recipients of SOT. RESULTS:
Our results demonstrate that hospitalized children with SOT have increased rates
of infection, with the greatest risk for younger children with additional
comorbidities and severe illness. The type of transplanted organ affects the risk
for CDI, with the lowest incidence observed in renal transplant patients.
CONCLUSION: The occurrence of CDI in the pediatric SOT population contributes to
a greater length of stay and higher hospital charges. However, CDI is not an
independent predictor of increased in- hospital mortality in these patients.
PMID- 26538349
TI - Atypical psoriasis.
PMID- 26538350
TI - Bioaggregate of photo-fermentative bacteria for enhancing continuous hydrogen
production in a sequencing batch photobioreactor.
AB - Hydrogen recovery through solar-driven biomass conversion by photo-fermentative
bacteria (PFB) has been regarded as a promising way for sustainable energy
production. However, a considerable fraction of organic substrate was consumed
for the growth of PFB as biocatalysts, furthermore, these PFB were continuously
washed out from the photobioreactor in continuous operation because of their poor
flocculation. In this work, PFB bioaggregate induced by L-cysteine was applied in
a sequencing batch photobioreactor to enhance continuous hydrogen production and
reduce biomass washout. The effects of the hydraulic retention time (HRT),
influent concentration and light intensity on hydrogen production of the
photobioreactor were investigated. The maximum hydrogen yield (3.35 mol H2/mol
acetate) and production rate (1044 ml/l/d) were obtained at the HRT of 96 h,
influent concentration of 3.84 g COD/l, and light intensity of 200 W/m(2). With
excellent settling ability, biomass accumulated in the photobioreactor and
reached 2.15 g/l under the optimum conditions. Structural analysis of
bioaggregate showed that bacterial cells were covered and tightly linked together
by extracellular polymeric substances, and formed a stable structure. Therefore,
PFB bioaggregate induced by L-cysteine is an efficient strategy to improve
biomass retention capacity of the photobioreactor and enhance hydrogen recovery
efficiency from organic wastes.
PMID- 26538351
TI - Tau-Directed Immunotherapy: A Promising Strategy for Treating Alzheimer's Disease
and Other Tauopathies.
AB - Immunotherapy directed against tau is a promising treatment strategy for
Alzheimer's Disease (AD) and tauopathies. We review initial studies on tau
directed immunotherapy, and present data from our laboratory testing antibodies
using the rTg4510 mouse model, which deposits tau in forebrain neurons. Numerous
antibodies have been tested for their efficacy in treating both pathology and
cognitive function, in different mouse models, by different routes of
administration, and at different ages or durations. We report, here, that the
conformation-specific antibody MC-1 produces some degree of improvement to both
cognition and pathology in rTg4510. Pathological improvements as measured by
Gallyas staining for fully formed tangles and phosphorylated tau appeared 4 days
after intracranial injection into the hippocampus. We also examined markers for
microglial activation, which did not appear impacted from treatment. Behavioral
effects were noted after continuous infusion of antibodies into the lateral
ventricle for approximately 2 weeks. We examined basic motor skills, which were
not impacted by treatment, but did note cognitive improvements with both novel
object and radial arm water maze testing. Our results support earlier reports in
the initial review presented here, and collectively show promise for this
strategy of treatment. The general absence of extracellular tau deposits may
avoid the opsonization and phagocytosis mechanisms activated by antibodies
against amyloid, and make anti tau approaches a safer method of immunotherapy for
Alzheimer's disease.
PMID- 26538352
TI - 'You Wouldn't have Your Granny Using Them': Drawing Boundaries Between Acceptable
and Unacceptable Applications of Civil Drones.
AB - Some industry and policy actors are concerned about public opposition to civil
drones, in particular because of their association with military drones. However,
very little is understood about public reactions to the technology. Strategies to
'manage public acceptance' have so far relied upon several untested assumptions.
We conducted public engagement activities to explore citizens' visions of civil
drones. Several insights counteracted the prevailing assumptions. Rejecting the
notion of blanket support for or opposition to civil drones, we found that
citizens make nuanced decisions about the acceptability of civil drones depending
upon the purpose of the flight and the actors involved. The results are
positioned in support for calls to strengthen the role of citizens in civil drone
development and, in particular, to shift away from the current focus on citizens'
acceptance of civil drone development towards the development of civil drones
that are acceptable to citizens.
PMID- 26538353
TI - Just a Cog in the Machine? The Individual Responsibility of Researchers in
Nanotechnology is a Duty to Collectivize.
AB - Responsible Research and Innovation (RRI) provides a framework for judging the
ethical qualities of innovation processes, however guidance for researchers on
how to implement such practices is limited. Exploring RRI in the context of
nanotechnology, this paper examines how the dispersed and interdisciplinary
nature of the nanotechnology field somewhat hampers the abilities of individual
researchers to control the innovation process. The ad-hoc nature of the field of
nanotechnology, with its fluid boundaries and elusive membership, has thus far
failed to establish a strong collective agent, such as a professional
organization, through which researchers could collectively steer technological
development in light of social and environmental needs. In this case, individual
researchers cannot innovate responsibly purely by themselves, but there is also
no structural framework to ensure that responsible development of
nanotechnologies takes place. We argue that, in such a case, individual
researchers have a duty to collectivize. In short, researchers in situations
where it is challenging for individual agents to achieve the goals of RRI are
compelled to develop organizations to facilitate RRI. In this paper we establish
and discuss the criteria under which individual researchers have this duty to
collectivize.
PMID- 26538354
TI - A comparison of the clinical outcomes of embryos derived from intracytoplasmic
sperm injection after early fertilization check and conventional insemination
using sibling oocytes.
AB - PURPOSE: In order to identify the real contribution of early fertilization check
(EFC) for reproductive outcome, we compared the developmental potential of
embryos derived from intracytoplasmic sperm injection (ICSI) after EFS with those
from conventional insemination in sibling oocytes. METHODS: Between April 2009
and April 2012, a total of 3249 oocytes in 386 patients were recruited following
conventional insemination. Oocytes showing a second polar body (2ndPB) after an
EFC were considered to be fertilized oocytes (IVF group), but, oocytes not
showing a 2ndPB after EFC were placed into the ICSI group. The incidence of
morphologically good embryos (MGE) on day 3, the blastocyst formation (BL), and
the development of full blastocysts (full-BL) on day 5 were compared between the
two groups. The clinical pregnancy rate was compared between the cycles with only
conventional insemination or ICSI after EFC of the embryos being transferred.
RESULTS: The fertilization rates in both the IVF and the ICSI groups were 48.1
and 73.9 %, respectively. The percentage of MGE in the ICSI group (40.8 %) was
significantly lower than that in the IVF group (56.1 %, p < 0.01). The
percentages of BL and full-BL in the ICSI group were significantly lower than
those in the IVF group. The pregnancy rates were similar in both the groups.
CONCLUSIONS: Checking fertilization earlier than the usual period contributed to
an avoidance of lower fertilization. Moreover, the embryos derived from ICSI
after EFC possessed a normal developmental potential.
PMID- 26538355
TI - Breast cancer presentation and therapy in migrant versus native German patients:
contrasting and convergent data of a retrospective monocentric study.
AB - PURPOSE: The aim of this study was to identify differences between breast cancer
patients with and without migrant background in Germany, especially differences
concerning patient characteristics, tumor biology, diagnostics, therapy, and
oncological outcome. PATIENTS AND METHODS: In 99 breast cancer patients (composed
of 50 native, randomly selected Germans and 49 consecutively selected immigrants
of Anatolian origin) who were operated due to breast cancer at the Heidelberg
University Hospital between the years 2009-2012, relevant information was
retrospectively reviewed. RESULTS: Patients with migrant background were
significantly younger at the time of receiving the diagnosis of breast cancer
than native German patients with an average age difference of nine years (p <
0.001). Moreover, immigrants needed a second operation for re-excision more
frequently than native Germans (45 vs. 20 %, p = 0.01). The medication used for
hormone therapy was significantly different between the two cohorts (p = 0.049).
Although statistically not significant, a tendency towards difference was
observed in six characteristics examined: Premenopausal status, estrogen receptor
positive tumors, multifocal or bilateral tumors, BRCA-1 mutations, and an
accompanying carcinoma in situ were more common in patients with migrant
background. On the other hand, correspondence was found between both patient
groups relating to tumor staging, grading and metastasis as well as surgical,
drug, and radiologic therapies employed. Oncologic outcome data were not
different either. CONCLUSION: A difference in age between breast cancer patients
of diverse ethnic groups has already been described previously. The difference in
the frequency of surgical re-excision might be explained by several factors like
a young age at first diagnosis, premenopausal status, multifocal tumors and an
accompanying carcinoma in situ which were more common in the migrant patients of
this study and are known to increase the risk of re-excision. The medication used
for hormonal therapy was also different between migrants and native Germans,
which might be interpreted by the difference in patients' age and menopausal
status. Of note, however, in the present study, the overall breast cancer outcome
did not show any substantial disparity between the different ethnic patient
groups investigated.
PMID- 26538356
TI - Macrophage colony-stimulating factor (M-CSF) in first trimester maternal serum:
correlation with pathologic pregnancy outcome.
AB - PURPOSE: To determine correlations between macrophage colony-stimulating factor
(MCSF) levels in maternal blood during first trimester screening with respect to
normal and pathological pregnancies. METHODS: This was a prospective single
centre study. First trimester screening was performed according to FMF London
certificates. Nuchal translucency, PAPP-A and free beta-HCG were obtained as well
as M-CSF serum levels in maternal blood. Fetal karyotyping was achieved by
chorionic villi sampling. RESULTS: 125 patients were enrolled in this study. 21
pregnancies had confirmed aberrant karyotypes. Trisomy 21 cases showed
significantly elevated M-CSF levels of 270 +/- 91 pg/ml (p = 0.032), whereas
cases of trisomy 13 (183 +/- 68 pg/ml) and trisomy 18 (143 +/- 40 pg/ml) had low
M-CSF levels. Furthermore M-CSF levels tended to be low in preterm deliveries,
placental insufficiency and nicotine consumption. In cases with gestational
diabetes M-CSF tended to be elevated. Furthermore we found a positive correlation
between high free beta-human chorionic gonadotropin (hcg) and MCSF values. There
was no correlation between pregnancy associated plasma protein (PAPP-A) and M
CSF. CONCLUSIONS: M-CSF is a cytokine promoting placental growth and
differentiation. M-CSF is known to be involved in the process of implantation in
pregnancy. The role of M-CSF with respect to disturbed pregnancy outcomes such as
placental insufficiency in normal or aberrant karyotypes, for example, is yet
subject to further research.
PMID- 26538357
TI - Replacement of oxytocin bolus administration by infusion: influences on
postpartum outcome.
AB - PURPOSE: Postpartum haemorrhage (PPH) represents a leading cause of maternal
morbidity and mortality. Giving oxytocin after birth reduces the risk for PPH. It
has never been tested whether different methods of oxytocin administration affect
the maternal outcome. This study aims to compare the infusion versus the bolus
application of oxytocin after singleton vaginal delivery. METHODS: This
retrospective monocentre study compares the incidence of clinically relevant
postpartum complications in women receiving 5 IE of oxytocin as a bolus or as a
100 ml-infusion over 5 min, given immediately after birth. Included were women
delivering singletons vaginally at term. We used propensity score weighting to
compare outcomes between women receiving bolus and infusion and to minimize the
selection bias in this retrospective cohort. RESULTS: 1765 patients were
included. Patient characteristics were balanced. We found no significant
differences for the combined overall postpartum adverse outcome (the incidence of
PPH, manual removal of the placenta and/or curettage). For the single outcomes,
we observed a significantly higher frequency of manual removal of the placenta
(Odds ratio 1.47, 95 % CI 1.02-2.13) and a slightly higher but clinically not
relevant estimated blood loss (Relative effect 1.05, 95 % CI 1.01-1.10) in the
infusion group. CONCLUSION: The data show a tendency towards more complications
in the infusion group. It is related to a more frequent need for manual removal
of the placenta.
PMID- 26538358
TI - Slow chlorine releasing compounds: A viable sterilisation method for
bioabsorbable nanocomposite biomaterials.
AB - OBJECTIVE: Selection of the appropriate sterilisation method for biodegradable
materials has been a challenging task. Many conventional sterilisation methods
are not suitable for the next generation of biomaterials, mainly due to their
complex composition, based on nanomaterials, often incorporating bioactive
moieties. In this study, we investigate sterilisation efficacy of slow chlorine
releasing compound sodium dichloroisocyanurate dihydrate (SDIC) for polyhedral
oligomeric silsesquioxane (POSS)-poly(caprolactone urea-urethane) (PCL) scaffolds
in comparison with conventional sterilisation methods. METHODS: POSS-PCL
scaffolds were subjected to 70% ethanol, UV, and SDIC sterilisation methods.
Samples were immersed in tryptone soya broth (TSB) and thioglycollate medium
(THY) and after seven days visually inspected for signs of microbial growth. Bulk
and surface properties and molecular weight distribution profiles of the
scaffolds after sterilization were investigated using FTIR analysis, surface
hydrophilicity, scanning electron microscopy analysis, tensile strength testing,
and gel-permeation chromatography (GPC). Adipose-derived stem cells (ADSC) were
seeded on the scaffolds and AlamarBlue(r) viability assay was performed to
investigate cell metabolic activity. Confocal imaging of rhodamine phalloidin and
Dapi stained ADSC on scaffolds was used to demonstrate cell morphology. RESULTS:
GPC results showed that autoclaving led to a significant decrease in the
molecular weight of POSS-PCL, whereas ethanol caused visible deformation of the
polymer 3D structure and UV radiation did not effectively sterilise the
scaffolds. AlamarBlue(r) analysis showed metabolic activity close to that of
tissue culture plastic for ethanol and SDIC. CONCLUSION: SDIC sterilisation can
be safely applied to biodegradable scaffolds unsuitable for the more common
sterilisation methods.
PMID- 26538359
TI - Evaluation of Heart Function in Patients With Hemophilia.
AB - There are conflicting reports about the protective effect of hemophilia on the
occurrence of ischemic heart disease. This study focuses on evaluation of heart
function in patients with hemophilia. Cross-sectional, case-control study was
done on all patients with hemophilia A or B who came to hemophilia center, and
data were compared to controls. The data were collected from their charts, and
heart function was evaluated by 2-dimensional, Doppler and pulse tissue Doppler.
The serum troponin I level was measured in all patients as a marker of myocardial
damage. Fifty patients with hemophilia took part in this study. All of them were
male with mean age 29.1 years. Systolic blood pressure (mean = 121.52 +/- 11 vs
115.61 +/- 9.81, P = .038) and diastolic (mean = 81.94 +/- 4.51 vs 75.21 +/-
3.95, P = .042) blood pressure were higher in the patients. Five (10%) patients
had systolic hypertension and 7 (14%) patients had diastolic hypertension. The M
mode echocardiography results showed that interventricular septum in diastole in
patients with hemophilia (mean 1.143 +/- 0.29) was significantly thicker than the
control group (mean 0.828 +/- 0.22, P < .001). Tissue Doppler echocardiography
showed that late diastolic velocity of septum (Aa; P = .030), systolic velocity
(S) of lateral mitral valve ( P = .006), late diastolic velocity of lateral
mitral (Aa) annulus ( P = .038), and late velocity of (Aa) tricuspid ( P = .004)
had significant difference compared with the control group ( P < .05). Troponin
enzyme level was < 0.1 in all patients. Patients with hemophilia had higher blood
pressure and more hypertension. Echocardiographic study of patients with
hemophilia showed some increase in septal thickness and changes in diastolic
dysfunction.
PMID- 26538360
TI - Benefits of radial head excision in patients with haemophilia: mid-term
functional results.
AB - INTRODUCTION: Recurrent haemarthrosis in haemophilic patients result with
arthropathy of the radiocapitellar joint and blockage of the forearm rotation.
AIM: The aim of this study is to evaluate the mid-term results of radial head
excision with partial synovectomy in severe haemophilic patients retrospectively.
METHODS: Persistent pain and decreased forearm rotation were the main indications
for radial head excision. Between 2002 and 2013, radial head excisions were
performed for 14 elbows of 14 patients. Eleven patients were haemophilia A,
whereas two patients were haemophilia B patients and the remaining one had von
Willebrand (Type 3) disease. The mean age of the patients was 29 at the time of
the surgery. The mean follow-up was 51 (12-155) months. VAS (visual analogue
score) for pain, forearm rotation, qDASH and MEPS (Mayo Elbow Performance Score)
were used as the primary outcome parameters. RESULTS: The mean VAS decreased
significantly from 6.5 preoperatively to 2.2 at the final follow-up (P = 0.0003).
The mean forearm rotation increased from 40 degrees to 115 degrees respectively
(P = 0.0007). In two patients, efficacious rotation increase was not achieved due
to distal radioulnar joint problems. The mean qDASH score and MEPS were 18.1 and
87.5 at the latest follow-up, respectively, where four patients had excellent and
10 patients had good results. CONCLUSIONS: Radial head excision is a safe and
effective procedure for haemophiliac patients with radiocapitellar arthropathy
and decreased forearm rotation. Distal radioulnar joint should be evaluated
preoperatively which may impair the results.
PMID- 26538361
TI - The alpha-hydroxyketone LAI-1 regulates motility, Lqs-dependent phosphorylation
signalling and gene expression of Legionella pneumophila.
AB - The causative agent of Legionnaires' disease, Legionella pneumophila, employs the
autoinducer compound LAI-1 (3-hydroxypentadecane-4-one) for cell-cell
communication. LAI-1 is produced and detected by the Lqs (Legionella quorum
sensing) system, comprising the autoinducer synthase LqsA, the sensor kinases
LqsS and LqsT, as well as the response regulator LqsR. Lqs-regulated processes
include pathogen-host interactions, production of extracellular filaments and
natural competence for DNA uptake. Here we show that synthetic LAI-1 promotes the
motility of L. pneumophila by signalling through LqsS/LqsT and LqsR. Upon
addition of LAI-1, autophosphorylation of LqsS/LqsT by [gamma-(32) P]-ATP was
inhibited in a dose-dependent manner. In contrast, the Vibrio cholerae
autoinducer CAI-1 (3-hydroxytridecane-4-one) promoted the phosphorylation of LqsS
(but not LqsT). LAI-1 did neither affect the stability of phospho-LqsS or phospho
LqsT, nor the dephosphorylation by LqsR. Transcriptome analysis of L. pneumophila
treated with LAI-1 revealed that the compound positively regulates a number of
genes, including the non-coding RNAs rsmY and rsmZ, and negatively regulates the
RNA-binding global regulator crsA. Accordingly, LAI-1 controls the switch from
the replicative to the transmissive growth phase of L. pneumophila. In summary,
the findings indicate that LAI-1 regulates motility and the biphasic life style
of L. pneumophila through LqsS- and LqsT-dependent phosphorylation signalling.
PMID- 26538362
TI - Light up Live Cell Nuclear Envelope in Real-Time Using a Two-Photon Absorption
and AIE Chromophore.
AB - In this letter, aggregation from two-photon absorption (2PA) molecules in living
cells were firstly observed and the related aggregation induced emission (AIE)
properties were investigated as a cell tracer for L ((Z)-3-(4-(Bis(4
ethoxyphenyl) amino)phenyl)-2-(4-amino-phenyl)- acrylonitrile cyano-substituted )
based on triphenylamine with D-pi-A model. L was further used as a two-photon
absorption (2PA, lambdaex = 900, lambdaem = 550 nm delta = 156 GM) live-cell
marker for real-time, long-term cell growth and proliferation monitoring, with
rapidly adhering whole intracellular membrane-rich system. Remarkably, different
from existing organic AIE chromophores and other commercially available probes, L
exhibited intense intracellular-AIE property with stable nuclear envelope (NE)
staining under two-photon excited microscopy (TPEM) through detailed in cellulo
studies.
PMID- 26538363
TI - Synthesis, Characterization and Fluorescence Properties of Zn(II) and Cu(II)
Complexes: DNA Binding Study of Zn(II) Complex.
AB - Zinc(II) and copper(II) complexes containing Schiff base, 2- methoxy-6((E)
(phenylimino) methyl) phenol ligand (HL) were synthesized and characterized by
elemental analysis, IR, NMR, and single crystal X-ray diffraction technique. The
fluorescence properties and quantum yield of zinc complex were studied. Our data
showed that Zn complex could bind to DNA grooves with Kb = 10(4) M(-1). Moreover,
Zn complex could successfully be used in staining of DNA following agarose gel
electrophoresis. MTT assay showed that Zn complex was not cytotoxic in MCF-7 cell
line. Here, we introduce a newly synthesized fluorescence probe that can be used
for single and double stranded DNA detection in both solution and agarose gels.
PMID- 26538364
TI - Interleukin-6 as a potential positive modulator of human beta-cell function: an
exploratory analysis-the Verona Newly Diagnosed Type 2 Diabetes Study (VNDS) 6.
AB - AIMS: Recent studies in mouse models of T2D showed that interleukin-6 (IL-6),
released from skeletal muscle, is associated with increased glucose-dependent
insulin secretion. Few data currently exist exploring the relationship between IL
6 and beta-cell function in humans. We investigated whether IL-6 is positively
associated with beta-cell function in newly diagnosed T2D. We extended the same
analyses to IL-10, because it regulated similarly to IL-6 in skeletal muscle, and
TNF-alpha and C-reactive protein (CRP), as general biomarkers of inflammation.
METHODS: In 330 VNDS participants, we assessed (1) basal plasma concentrations of
IL-6, IL-10, TNF-alpha, and CRP; (2) beta-cell function, estimated by OGTT
minimal modeling and expressed as derivative (DC) and proportional control (PC);
(3) insulin sensitivity, by euglycemic insulin clamp. RESULTS: IL-6 was
positively associated with PC in both univariate analysis (p = 0.04) and after
adjustment for age, sex, BMI, HbA1c, and M-clamp (p = 0.01). HbA1c was the major
independent contributor to the overall variance of PC (16 %), followed by BMI and
IL-6 (~2 % each). Similar results were obtained for IL-10 (p = 0.048, univariate;
p = 0.04, fully adjusted). TNF-alpha and CRP were not significantly associated
with any component of beta-cell function. CONCLUSIONS: Our data are the first
evidence in human subjects that an endocrine loop involving IL-6 may act as
positive modulator of glucose-dependent insulin secretion. Further functional
studies are needed to corroborate IL-6 system as a potential druggable target in
diabetes. CLINICAL TRIAL REGISTRATION NUMBER: NCT01526720 (
http://www.clinicaltrial.gov ).
PMID- 26538365
TI - Mesoporous gold sponges: electric charge-assisted seed mediated synthesis and
application as surface-enhanced Raman scattering substrates.
AB - Mesoporous gold sponges were prepared using 4-dimethylaminopyridine (DMAP)
stabilized Au seeds. This is a general process, which involves a simple template
free method, room temperature reduction of HAuCl4.4H2O with hydroxylamine. The
formation process of mesoporous gold sponges could be accounted for the
electrostatic interaction (the small Au nanoparticles (~3 nm) and the positively
charged DMAP-stabilized Au seeds) and Ostwald ripening process. The mesoporous
gold sponges had appeared to undergo electrostatic adsorption initially,
sequentially linear aggregation, welding and Ostwald ripening, then, they
randomly cross link into self-supporting, three-dimensional networks with time.
The mesoporous gold sponges exhibit higher surface area than the literature. In
addition, application of the spongelike networks as an active material for
surface-enhanced Raman scattering has been investigated by employing 4
aminothiophenol (4-ATP) molecules as a probe.
PMID- 26538366
TI - Platinized Graphene/ceramics Nano-sandwiched Architectures and Electrodes with
Outstanding Performance for PEM Fuel Cells.
AB - For the first time a novel oxygen reduction catalyst with a 3D platinized
graphene/nano-ceramic sandwiched architecture is successfully prepared by an
unusual method. Herein the specific gravity of graphene nanosheets (GNS) is
tailored by platinizing graphene in advance to shorten the difference in the
specific gravity between carbon and SiC materials, and then nano-SiC is well
intercalated into GNS interlayers. This nano-architecture with highly dispersed
Pt nanoparticles exhibits a very high oxygen reduction reaction (ORR) activity
and polymer electrolyte membrane (PEM) fuel cell performance. The mass activity
of half cells is 1.6 times of that of the GNS supported Pt, and 2.4 times that of
the commercial Pt/C catalyst, respectively. Moreover, after an accelerated stress
test our catalyst shows a predominantly electrochemical stability compared with
benchmarks. Further fuel cell tests show a maximum power density as high as 747
mW/cm(2) at low Pt loading, which is more than 2 times higher than that of fuel
cells with the pristine graphene electrode.
PMID- 26538367
TI - An autochthonous case of cystic echinococcosis in Finland, 2015.
AB - We report a case of pulmonary cystic echinococcosis in a child from eastern
Finland with no history of travelling abroad. The cyst was surgically removed and
the organism molecularly identified as Echinococcus canadensis genotype G10. This
parasite is maintained in eastern Finland in a sylvatic life cycle involving
wolves and moose; in the present case, the infection was presumably transmitted
by hunting dogs.
PMID- 26538369
TI - Comment: Analysis of the influence of dabigatran on coagulation factors and
inhibitors.
PMID- 26538368
TI - Features of COPD patients by comparing CAT with mMRC: a retrospective, cross
sectional study.
AB - BACKGROUND: The group assignment of chronic obstructive pulmonary disease (COPD)
may differ depending on whether the COPD assessment test (CAT) or modified
Medical Research Council dyspnoea scale (mMRC) is used. AIMS: This study intended
to clarify how different patient characteristics influence the differences, to
determine the relationships between CAT and mMRC and to characterise COPD
patients by both CAT and mMRC. METHODS: This was a retrospective, cross-sectional
study. The data, collected by Taiwan Obstructive Lung Disease consortium, were
managed and analysed. RESULTS: Of the 757 participants, COPD group assignment was
not identical as well as no substantial agreement presented when categorised
based on the cut-point CAT score ?10 and each mMRC cut-point. In all, 38.2% of
participants had discordant group assignments together with a lower mean CAT
score, less severe airway obstruction and less severe airflow limitation compared
with those with concordant group assignments. In the discordant group, the
CAT?10/mMRC 0-1 subgroup had more wheezing than CAT<10/mMRC?2 subgroup. Only
moderate correlations existed between CAT and mMRC. More-symptom groups and
combined high-risk group had better correlations than less-symptom groups and
combined low-risk group, respectively. A modest negative correlation existed
between forced expiratory volume in 1 s percentage (FEV1%) predicted and CAT
score and between FEV1% predicted and mMRC scale in parallel with a significant
positive relationship existing between the CAT score and mMRC scale. Notably, a
significant proportion of COPD patients with each scale of mMRC had health status
impairment. CONCLUSIONS: The Global initiative for Chronic Obstructive Lung
Disease committee should redefine the applications of CAT and mMRC in the
management of COPD.
PMID- 26538370
TI - Luteolin alleviates post-infarction cardiac dysfunction by up-regulating
autophagy through Mst1 inhibition.
AB - Myocardial infarction (MI), which is characterized by chamber dilation and LV
dysfunction, is associated with substantially higher mortality. We investigated
the effects and underlying mechanisms of Luteolin on post-infarction cardiac
dysfunction. Myocardial infarction was constructed by left anterior descending
coronary artery ligation. In vitro, cultured neonatal cardiomyocytes subjected to
simulated MI were used to probe mechanism. Luteolin significantly improved
cardiac function, decreased cardiac enzyme and inflammatory cytokines release
after MI. Enhanced autophagic flux as indicated by more autophagosomes puncta,
less accumulation of aggresomes and P62 in the neonatal cardiomyocytes after
hypoxia was observed in the Luteolin pre-treatment group. Western blot analysis
also demonstrated that Luteolin up-regulated autophagy in the cardiomyocytes
subjected to simulated MI injury. Furthermore, Luteolin increased mitochondrial
membrane potential, adenosine triphosphate content, citrate synthase activity and
complexes I/II/III/IV/V activities in the cardiomyocytes subjected to simulated
MI injury. Interestingly, mammalian sterile 20-like kinase 1 (Mst1) knockout
abolished the protective effects of Luteolin administration. Luteolin enhances
cardiac function, reduces cardiac enzyme and inflammatory markers release after
MI. The protective effects of Luteolin are associated with up-regulation of
autophagy and improvement of mitochondrial biogenesis through Mst1 inhibition.
PMID- 26538371
TI - Anterolateral thigh flap for axillary reconstruction after sarcoma resection.
AB - BACKGROUND: Reconstruction of extensive axillary defects after sarcoma resection
presents a challenging problem in reconstructive microsurgery. The purpose of
this report was to investigate the feasibility of the free anterolateral thigh
(ALT) flap for oncologic axillary reconstruction. METHODS: The extensive axillary
defects in six patients with sarcoma was reconstructed using a free ALT flap. The
defect size ranged from 15 * 11 to 28 * 25 cm2 . Five patients had recurrent
cases and the ipsilateral latissimus dorsi flap had been already used in three
patients. Two patients with a full-thickness defect underwent chest wall
reconstruction with the iliotibial tract. RESULTS: All flaps survived completely
and the wounds healed without complications in all patients. CONCLUSIONS: The
free ALT flap is an ideal flap for axillary reconstruction after extensive
sarcoma resection. It can be tailored to the requirements of the individual's
defect and provides durable coverage for the axillary neurovascular bundle and
intrathoracic structures. Flap harvesting in the lateral decubitus position
enables a two-team approach. (c) 2015 Wiley Periodicals, Inc. Microsurgery 36:378
383, 2016.
PMID- 26538372
TI - Pulmonary Vein Isolation With Incomplete Antral Ablation Lines: Is More Ablation
Necessary? Results of a Randomized Trial.
AB - BACKGROUND: A full circumferential set of antral lesions is not always required
for bidirectional pulmonary vein conduction block. It is unknown whether a
partial lesion set that isolates the veins will have clinical success rates
similar to a full circumferential lesion set, and if procedural times or
procedural risk will be affected. METHODS: We performed a prospective, randomized
clinical trial to test the hypothesis that a partial lesion set that isolates the
pulmonary veins has comparable clinical success rate and shorter procedure times
compared to a strategy of completing the circumferential lesion set once the
veins are isolated. RESULTS: A total of 119 patients were enrolled, 59 randomized
to circumferential ablation, and 60 to segmental. Mean age was 58.3 +/- 10.1, 77%
male. Mean procedure time was 221.0 +/- 46.9 minutes in circumferential and 224.7
+/- 51.3 in segmental (P = 0.68). Twelve-month freedom from AF recurrence was
61.3% overall, 64.4% in circumferential, and 58.3% in segmental (P = 0.50). Among
25 segmental patients with AF recurrence, 23 underwent second ablation. Among 33
areas of conduction recovery, 23 (70%) occurred in segments ablated at first
procedure and 10 (30%) in segments not previously ablated, suggesting reversible
conduction block from edema. CONCLUSION: No difference in AF recurrence or
procedure time is detectable in a sample of 119 patients randomized to segmental
or circumferential antral ablation to achieve pulmonary vein isolation. Second
ablation procedures confirmed that some antral sites do not require ablation. A
segmental approach results in unacceptably high rates of untargeted or recovered
antral sites to make this approach feasible.
PMID- 26538373
TI - Impact of Chronic Kidney Disease on Long-Term Outcome of Coronary Artery Bypass
Grafting in Patients With Diabetes Mellitus.
AB - BACKGROUND: The aim of this study was to compare the short- and long-term
outcomes of CABG in diabetes mellitus (DM) patients according to eGFR. METHODS
AND RESULTS: A total of 573 DM patients receiving CABG between 1997 and 2012 were
stratified according to preoperative eGFR: normal or mild chronic kidney disease
(CKD), eGFR >=60 ml/min/1.73 m(2); moderate CKD, eGFR 30-60 ml/min/1.73 m(2);
severe CKD, eGFR <30 ml/min/1.73 m(2); and severe CKD requiring hemodialysis
(HD). Off-pump and bilateral internal thoracic artery (BITA) grafting rates were
83.4 and 62.3%, respectively. Mediastinitis and in-hospital mortality rates were
both 1.4%. On logistic regression analysis, preoperative congestive heart failure
and CKD severity were independent predictors of postoperative renal failure and
major complications. The mean follow-up period was 5.7 years (range, 0-15.5
years). Estimated 5-year survival (92.9+/-1.6%, 82.8+/-3.3%, and 47.3+/-7.0%,
respectively, P<0.001) significantly decreased with declining kidney function. On
Cox hazard modeling, CKD severity was an independent predictor of major
cerebrocardiovascular events (normal/mild: hazard ratio [HR], 1; moderate: HR,
1.35; severe: HR, 1.83; HD: HR, 2.0, P=0.016) and of overall survival
(normal/mild: HR, 1; moderate: HR, 1.65; severe: HR, 5.96; HD: HR, 10.93,
P<0.001). BITA grafting was a strong protective factor for overall survival (HR,
0.63; P=0.022). CONCLUSIONS: In DM patients, early- and long-term outcomes after
CABG are strongly influenced by CKD progression.
PMID- 26538374
TI - Preoperative Tissue Doppler Imaging-Derived Atrial Conduction Time Predicts
Postoperative Atrial Fibrillation in Patients Undergoing Mitral Valve Surgery for
Mitral Valve Regurgitation.
AB - BACKGROUND: Postoperative atrial fibrillation (POAF) is a common complication of
cardiac surgery and may result in stroke, heart failure and poor prognosis. This
study evaluated a novel index of total atrial conduction time derived from the P
wave onset (lead II) to the peak A' wave on tissue Doppler imaging (PA-TDI
duration) in patients undergoing mitral valve surgery (MVS) for mitral valve
regurgitation. METHODS AND RESULTS: Seventy-three patients undergoing MVS had
transthoracic echocardiography with tissue Doppler imaging preoperatively and
were monitored postoperatively with continuous electrocardiographic telemetry for
14 days. Preoperative characteristics, echocardiographic data, operative data and
postoperative findings were compared between patients with (n=44) and without
(n=29) POAF. Postoperative cardiac events were higher in patients with than
without POAF (12/44, 27% vs. 3/29, 10%; P=0.0798) and cerebral events occurred in
only 2 POAF patients. On multivariate analysis the independent predictors of POAF
were degenerative disease etiology (OR, 4.61; 95% CI: 1.41-15.0; P=0.0112) and PA
TDI duration (OR, 1.04; 95% CI: 1.01-1.07; P=0.0048). On ROC curve analysis a PA
TDI cut-off of 159.4 ms was optimal for predicting POAF. CONCLUSIONS: PA-TDI
duration was an independent predictor of POAF after MVS. Patients with PA-TDI
duration >159.4 ms should be considered high risk and treated appropriately to
improve outcome.
PMID- 26538375
TI - Ultra-flexible Piezoelectric Devices Integrated with Heart to Harvest the
Biomechanical Energy.
AB - Power supply for medical implantable devices (i.e. pacemaker) always challenges
not only the surgery but also the battery technology. Here, we report a strategy
for energy harvesting from the heart motion by using ultra-flexible piezoelectric
device based on lead zirconate titanate (PZT) ceramics that has most excellent
piezoelectricity in commercial materials, without any burden or damage to hearts.
Experimental swine are selected for in vivo test with different settings, i.e.
opened chest, close chest and awake from anesthesia, to simulate the scenario of
application in body due to their hearts similar to human. The results show the
peak-to-peak voltage can reach as high as 3 V when the ultra-flexible
piezoelectric device is fixed from left ventricular apex to right ventricle. This
demonstrates the possibility and feasibility of fully using the biomechanical
energy from heart motion in human body for sustainably driving implantable
devices.
PMID- 26538376
TI - Brain modularity across the theropod-bird transition: testing the influence of
flight on neuroanatomical variation.
AB - Living birds constitute the only vertebrate group whose brain volume relative to
body size approaches the uniquely expanded values expressed by mammals. The broad
suite of complex behaviors exhibited by crown-group birds, including sociality,
vocal learning, parental care, and flying, suggests the origins of their
encephalization was likely driven by a mosaic of selective pressures. If true,
the historical pattern of brain expansion may be more complex than either a
gradual expansion, as proposed by early studies of the avian brain, or a sudden
expansion correlating with the appearance of flight. The origins of modern avian
neuroanatomy are obscured by the more than 100 million years of evolution along
their phylogenetic stem (from the origin of the modern radiation in the Middle
Jurassic to the split from crocodile-line archosaurs). Here we use phylogenetic
comparative approaches to explore which evolutionary scenarios best explain
variation in measured volumes of digitally partitioned endocasts of modern birds
and their non-avian ancestors. Our analyses suggest that variation in the
relative volumes of the endocranium and cerebrum explain most of the structural
variation in this lineage. Generalized multi-regime Ornstein-Uhlenbeck (OU)
models suggest that powered flight does not appear to be a driver of observed
variation, reinforcing the hypothesis that the deep history of the avian brain is
complex, with nuances still to be discovered.
PMID- 26538377
TI - Lung cancer screening: a systematic review of clinical practice guidelines.
AB - BACKGROUND: Lung cancer screening using low-dose computed tomography presents an
exciting development for high-risk individuals. Several expert bodies and
governments have recently issued and updated their clinical practice guidelines
(CPGs) for lung cancer screening. We evaluate the CPGs and compare and contrast
the recommendations between them. METHODS: We searched seven databases (MEDLINE,
EMBASE, TRIP, NGC, SIGN, GIN, CMA Infobase) to find CPGs, and used the appraisal
of guidelines for research and evaluation instrument (AGREE-II) to evaluate them.
We also assessed the recommendations within each CPG. RESULTS: Of the eight CPGs
included, four guidelines were regarded as high in quality (60%) based on rigour
of development and effectively targeting 4-5 of the six domains according to the
AGREE-II criteria. Most CPGs' recommendations for the lung cancer screening of
high-risk individuals, the associated screening parameters and the benefit vs.
harm of screening were consistent. However, there is still variation among the
CPGs reviewed in this study. CONCLUSIONS: The qualities of the selected CPGs vary
and there is potential to improve the qualities among and between each.
Specifically, more evidence is needed to support the recommendations such as a
larger cohort of high-risk participants, and further analysis of the lung cancer
screening interval, the benefit vs. harm of lung cancer screening, the timing and
rigour of follow-up and availability of effective treatments.
PMID- 26538378
TI - Evaluation of low fluoride toothpaste using primary enamel and a validated pH
cycling model.
AB - AIM: To develop and validate pH-cycling model for primary enamel, which was then
used to evaluate the anti-caries potential of fluoride toothpastes. DESIGN: Human
primary enamel slabs were subjected to pH-cycling model for 10 days and
maintained for 6 h in demineralizing solution and 18 h in remineralizing solution
daily. Twice/day, the slabs were treated. To validate it, the treatments were
water or solutions containing 62.5, 125, 250, and 375 MUg F/mL. Commercial
toothpastes containing no fluoride, 500, 1100, and 1450 MUg F/g were evaluated.
Demineralization was assessed by percentage of surface hardness loss (%SHL) and
cross-sectional hardness (DeltaS). Fluoride dose-response effect was analysed by
quadratic regression and the effects of toothpastes by Tukey's test. RESULTS:
Dose-response effect was found between fluoride concentration and %SHL (R2 =
0.7047; P < 0.01) or DeltaS (R2 = 0.4465; P < 0.01). %SHL and DeltaS (mean +/-
SD) for the group treated with 500 MUg F/g toothpaste was 36.6 +/- 8.0 and 6298.5
+/- 1221.3, respectively, which were significantly higher than those treated with
1100 (25.2 +/- 8.7; 4565.7 +/- 1122) and 1450 MUg F/g (24.2 +/- 5.2; 2339.1 +/-
879.7) toothpastes. CONCLUSION: The developed pH-cycling model may be used to
evaluate and compare the anti-caries potential of toothpaste formulations with
low fluoride concentration because it presents dose-response effects on the
reduction of primary enamel demineralization.
PMID- 26538379
TI - The influence of mothers' and fathers' sensitivity in the first year of life on
children's cognitive outcomes at 18 and 36 months.
AB - BACKGROUND: There has been increasing interest in the relative effects of
mothers' and fathers' interactions with their infants on later development.
However to date there has been little work on children's cognitive outcomes.
METHODS: We examined the relative influence of fathers' and mothers' sensitivity
during interactions with their children at the end of the child's first year (10
12 months, n = 97), on child general cognitive development at 18 months and
language at 36 months. RESULTS: Both parents' sensitivity was associated with
cognitive and language outcomes in univariate analyses. Mothers' sensitivity,
however, appeared to be associated with family socio-demographic factors to a
greater extent that fathers' sensitivity. Using path modelling the effect of
paternal sensitivity on general cognitive development at 18 months and language
at 36 months was significantly greater than the effect of maternal sensitivity,
when controlling for socio-demographic background. In relation to language at 36
months, there was some evidence that sensitivity of one parent buffered the
effect of lower sensitivity of the other parent. CONCLUSIONS: These findings
suggest that parental sensitivity can play an important role in children's
cognitive and language development, and that higher sensitivity of one parent can
compensate for the lower sensitivity of the other parent. Replication of these
findings, however, is required in larger samples.
PMID- 26538380
TI - More on the use of bisphosphonates in the prevention and treatment of
osteoporosis.
PMID- 26538382
TI - Comment on 'Investigating the effect of the Transcendental Meditation Technique
on blood pressure: a systematic review and meta-analysis'.
PMID- 26538381
TI - A case of bilateral aldosterone-producing adenomas differentiated by segmental
adrenal venous sampling for bilateral adrenal sparing surgery.
AB - Primary aldosteronism due to unilateral aldosterone-producing adenoma (APA) is a
surgically curable form of hypertension. Bilateral APA can also be surgically
curable in theory but few successful cases can be found in the literature. It has
been reported that even using successful adrenal venous sampling (AVS) via
bilateral adrenal central veins, it is extremely difficult to differentiate
bilateral APA from bilateral idiopathic hyperaldosteronism (IHA) harbouring
computed tomography (CT)-detectable bilateral adrenocortical nodules. We report a
case of bilateral APA diagnosed by segmental AVS (S-AVS) and blood sampling via
intra-adrenal first-degree tributary veins to localize the sites of intra-adrenal
hormone production. A 36-year-old man with marked long-standing hypertension was
referred to us with a clinical diagnosis of bilateral APA. He had typical
clinical and laboratory profiles of marked hypertension, hypokalaemia, elevated
plasma aldosterone concentration (PAC) of 45.1 ng dl(-1) and aldosterone renin
activity ratio of 90.2 (ng dl(-1) per ng ml(-1 )h(-1)), which was still high
after 50 mg-captopril loading. CT revealed bilateral adrenocortical tumours of 10
and 12 mm in diameter on the right and left sides, respectively. S-AVS confirmed
excess aldosterone secretion from a tumour segment vein and suppressed secretion
from a non-tumour segment vein bilaterally, leading to the diagnosis of bilateral
APA. The patient underwent simultaneous bilateral sparing adrenalectomy.
Histopathological analysis of the resected adrenals together with decreased blood
pressure and PAC of 5.2 ng dl(-1) confirmed the removal of bilateral APA. S-AVS
was reliable to differentiate bilateral APA from IHA by direct evaluation of
intra-adrenal hormone production.
PMID- 26538383
TI - Elearning approaches to prevent weight gain in young adults: A randomized
controlled study.
AB - OBJECTIVE: Preventing obesity among young adults should be a preferred public
health approach given the limited efficacy of treatment interventions. This study
examined whether weight gain can be prevented by online approaches using two
different behavioral models, one overtly directed at obesity and the other
covertly. METHODS: A three-group parallel randomized controlled intervention was
conducted in 2012-2013; 20,975 young adults were allocated a priori to one
control and two "treatment" groups. Two treatment groups were offered online
courses over 19 weeks on (1) personal weight control ("Not the Ice Cream Van,"
NTICV) and, (2) political, environmental, and social issues around food ("Goddess
Demetra," "GD"). Control group received no contact. The primary outcome was
weight change over 40 weeks. RESULTS: Within-group 40-week weight changes were
different between groups (P < 0.001): Control (n = 2,134): +2.0 kg (95% CI = 1.5,
2.3 kg); NTICV (n = 1,810): -1.0 kg (95% CI = -1.3, -0.5); and GD (n = 2,057):
1.35 kg (95% CI = -1.4 to -0.7). Relative risks for weight gain vs. CONTROL:
NTICV = 0.13 kg (95% CI = 0.10, 0.15), P < 0.0001; GD = 0.07 kg (95% CI = 0.05,
0.10), P < 0.0001. CONCLUSIONS: Both interventions were associated with
prevention of the weight gain observed among control subjects. This low-cost
intervention could be widely transferable as one tool against the obesity
epidemic. Outside the randomized controlled trial setting, it could be enhanced
using supporting advertising and social media.
PMID- 26538384
TI - Aligned deposition and electrical measurements on single DNA molecules.
AB - A reliable method of deposition of aligned individual dsDNA molecules on mica,
silicon, and micro/nanofabricated circuits is presented. Complexes of
biotinylated double stranded poly(dG)-poly(dC) DNA with avidin were prepared and
deposited on mica and silicon surfaces in the absence of Mg(2+) ions. Due to its
positive charge, the avidin attached to one end of the DNA anchors the complex to
negatively charged substrates. Subsequent drying with a directional gas flow
yields DNA molecules perfectly aligned on the surface. In the avidin-DNA complex
only the avidin moiety is strongly and irreversibly bound to the surface, while
the DNA counterpart interacts with the substrates much more weakly and can be
lifted from the surface and realigned in any direction. Using this technique,
avidin-DNA complexes were deposited across platinum electrodes on a silicon
substrate. Electrical measurements on the deposited DNA molecules revealed linear
IV-characteristics and exponential dependence on relative humidity.
PMID- 26538385
TI - Lifeact and Utr230 induce distinct actin assemblies in cell nuclei.
AB - Nuclear actin assembly in somatic cells has been an enigma for a long time.
Recently, with the advancement of novel F-actin probes, researchers have started
to uncover this mystery. In this study, we investigated the actin dynamics in
somatic cell nuclei using two probes: Lifeact and Utr230. Surprisingly, we
observed that both Lifeact and Utr230 significantly interfered with actin
dynamics in cell nuclei. Moreover, these two probes induced distinct patterns of
nuclear actin assembly. While Lifeact induced filamentous actin assembly in cell
nuclei, Utr230 led to various patterns of actin aggregates, including fibers,
small puncta, and large patches. Moreover, the interference of actin dynamics by
Lifeact was limited to nuclear actin, while Utr230 induced actin aggregation in
both the nucleus and cytoplasm. Using time-lapse microscopy, we found that
Lifeact-induced actin fibers remained steady over hours of observation,
indicating a deficiency of nuclear F-actin reorganization. These results suggest
that Lifeact and Utr230 both interfere with nuclear actin dynamics but with
distinct mechanisms. This is an important finding for research on nuclear actin
assembly and highlights the potential value of these two probes for exploring the
native mechanisms underlying nuclear actin dynamics, which appear to be altered
in the presence of these probes.
PMID- 26538386
TI - Online Resources for Engaging Students in Bioethical Discussions.
PMID- 26538387
TI - Measuring Networking as an Outcome Variable in Undergraduate Research
Experiences.
AB - The aim of this paper is to propose, present, and validate a simple survey
instrument to measure student conversational networking. The tool consists of
five items that cover personal and professional social networks, and its basic
principle is the self-reporting of degrees of conversation, with a range of
specific discussion partners. The networking instrument was validated in three
studies. The basic psychometric characteristics of the scales were established by
conducting a factor analysis and evaluating internal consistency using Cronbach's
alpha. The second study used a known-groups comparison and involved comparing
outcomes for networking scales between two different undergraduate laboratory
courses (one involving a specific effort to enhance networking). The final study
looked at potential relationships between specific networking items and the
established psychosocial variable of project ownership through a series of binary
logistic regressions. Overall, the data from the three studies indicate that the
networking scales have high internal consistency (alpha = 0.88), consist of a
unitary dimension, can significantly differentiate between research experiences
with low and high networking designs, and are related to project ownership
scales. The ramifications of the networking instrument for student retention, the
enhancement of public scientific literacy, and the differentiation of laboratory
courses are discussed.
PMID- 26538388
TI - Concept Maps for Improved Science Reasoning and Writing: Complexity Isn't
Everything.
AB - A pervasive notion in the literature is that complex concept maps reflect greater
knowledge and/or more expert-like thinking than less complex concept maps. We
show that concept maps used to structure scientific writing and clarify
scientific reasoning do not adhere to this notion. In an undergraduate course for
thesis writers, students use concept maps instead of traditional outlines to
define the boundaries and scope of their research and to construct an argument
for the significance of their research. Students generate maps at the beginning
of the semester, revise after peer review, and revise once more at the end of the
semester. Although some students revised their maps to make them more complex, a
significant proportion of students simplified their maps. We found no correlation
between increased complexity and improved scientific reasoning and writing
skills, suggesting that sometimes students simplify their understanding as they
develop more expert-like thinking. These results suggest that concept maps, when
used as an intervention, can meet the varying needs of a diverse population of
student writers.
PMID- 26538389
TI - A Pharmacology-Based Enrichment Program for Undergraduates Promotes Interest in
Science.
AB - There is a strong need to increase the number of undergraduate students who
pursue careers in science to provide the "fuel" that will power a science and
technology-driven U.S. economy. Prior research suggests that both evidence-based
teaching methods and early undergraduate research experiences may help to
increase retention rates in the sciences. In this study, we examined the effect
of a program that included 1) a Summer enrichment 2-wk minicourse and 2) an
authentic Fall research course, both of which were designed specifically to
support students' science motivation. Undergraduates who participated in the
pharmacology-based enrichment program significantly improved their knowledge of
basic biology and chemistry concepts; reported high levels of science motivation;
and were likely to major in a biological, chemical, or biomedical field.
Additionally, program participants who decided to major in biology or chemistry
were significantly more likely to choose a pharmacology concentration than those
majoring in biology or chemistry who did not participate in the enrichment
program. Thus, by supporting students' science motivation, we can increase the
number of students who are interested in science and science careers.
PMID- 26538390
TI - Haemophilus influenzae Type f Hijacks Vitronectin Using Protein H To Resist Host
Innate Immunity and Adhere to Pulmonary Epithelial Cells.
AB - The incidence of invasive Haemophilus influenzae type b (Hib) disease has
significantly decreased since the introduction of an efficient vaccine against
Hib. However, in contrast to Hib, infections caused by H. influenzae serotype f
(Hif) are emerging. We recently did a whole genome sequencing of an invasive Hif
isolate, and reported that Hif interacts with factor H by expressing protein H
(PH). In this study, upon screening with various human complement regulators, we
revealed that PH is also a receptor for vitronectin (Vn), an abundant plasma
protein that regulates the terminal pathway of the human complement system in
addition to being a component of the extracellular matrix. Bacterial Vn binding
was significantly reduced when the lph gene encoding PH was deleted in an
invasive Hif isolate. The dissociation constant (KD) of the interaction between
recombinant PH and Vn was 2.2 MUM, as revealed by Biolayer interferometry. We
found that PH has different regions for simultaneous interaction with both Vn and
factor H, and that it recognized the C-terminal part of Vn (aa 352-362).
Importantly, PH-dependent Vn binding resulted in better survival of the wild-type
Hif or PH-expressing Escherichia coli when exposed to human serum. Finally, we
observed that PH mediated an increased bacterial adherence to alveolar epithelial
cells in the presence of Vn. In conclusion, our study reveals that PH most likely
plays an important role in Hif pathogenesis by increasing serum resistance and
adhesion to the airways.
PMID- 26538391
TI - Coordinated Regulation of miR-155 and miR-146a Genes during Induction of
Endotoxin Tolerance in Macrophages.
AB - Endotoxin tolerance occurs to protect the organism from hyperactivation of innate
immune responses, primarily mediated by macrophages. Regulation of endotoxin
tolerance occurs at multiple levels of cell responses and requires significant
changes in gene expression. In the process of macrophage activation, induced
expression of microRNA (miR)-155 and miR-146a contributes to the regulation of
the inflammatory response and endotoxin tolerance. In this article, we
demonstrate that expression of both miRNAs is coordinately regulated during
endotoxin tolerance by a complex mechanism that involves monoallelic
interchromosomal association, alterations in histone methyl marks, and
transcription factor binding. Upon activation of naive macrophages, Histone3 was
trimethylated at lysine4 and NFkappaBp65 was bound on both miR-155 and miR-146a
gene loci. However, at the stage of endotoxin tolerance, both miR gene loci were
occupied by C/EBPbeta, NFkappaBp50, and the repressive Histone3 marks
trimethylation of K9 of H3. DNA fluorescence in situ hybridization experiments
revealed monoallelic interchromosomal colocalization of miR-155 and miR-146a gene
loci at the stage of endotoxin tolerance, whereas RNA-DNA-fluorescence in situ
hybridization experiments showed that the colocalized alleles were silenced,
suggesting a common repression mechanism. Genetic ablation of Akt1, which is
known to abrogate endotoxin tolerance, abolished induction of loci colocalization
and C/EBPbeta binding, further supporting that this mechanism occurs specifically
in endotoxin tolerance. Overall, this study demonstrates that two miRNAs are
coordinately regulated via gene colocalization at the three-dimensional chromatin
space, same transcriptional machinery, and similar Histone3 methylation profile,
contributing to the development of endotoxin tolerance.
PMID- 26538393
TI - Foxn1 Protein Expression in the Developing, Aging, and Regenerating Thymus.
AB - The forkhead box N1 (Foxn1) protein is the key regulator of thymic epithelial
cell (TEC) development, yet how Foxn1 functions remains largely unknown. All
mature TECs arise from Foxn1-expressing progenitors/immature TECs and it is
widely assumed that TECs as a whole are defined by Foxn1 expression. However,
data on the Foxn1 protein are virtually lacking. In this study, we developed
novel tools to visualize Foxn1 protein expression at single-cell resolution. We
generated Foxn1 knock-in mice expressing a C-terminal hemagglutinin-tagged Foxn1
protein, and a cytometry-grade monoclonal anti-Foxn1 Ab. We evaluated Foxn1
expression patterns in TEC subsets and its dynamics during normal thymus
development, aging, injury, and regeneration. Upon challenges, upregulation of
Foxn1 was a common feature of thymus regeneration, but the timing of Foxn1
expression changed and the responding TEC subsets depended on the type of
treatment. Whereas dexamethasone and recombinant human fibroblast growth factor 7
promoted expansion of Foxn1(+)Ly51(+)CD80(-) TECs, castration led to expansion of
Foxn1(+)Ly51(-)CD80(+) TECs. Collectively, Foxn1 expression is highly
heterogeneous in the normal thymus, with large fractions of Foxn1(low) or Foxn1(
) TECs accumulating with age. Furthermore, Foxn1 expression is responsive to
perturbations.
PMID- 26538392
TI - MicroRNA-15b/16 Enhances the Induction of Regulatory T Cells by Regulating the
Expression of Rictor and mTOR.
AB - CD4(+) regulatory T cells (Tregs) are essential for controlling immune responses
and preventing autoimmunity. Their development requires regulation of gene
expression by microRNAs (miRNAs). To understand miRNA function in Treg
development, we searched for important miRNAs and their relevant target genes. Of
the more abundantly expressed miRNAs in Tregs, only miR-15b/16, miR-24, and miR
29a impacted the production of in vitro-induced Tregs (iTregs) in overexpression
and blocking experiments. miRNA mimics for these significantly enhanced the
induction of iTregs in Dicer(-/-) CD4(+) T cells. Furthermore, the overexpression
of miR-15b/16 in conventional CD4(+) T cells adoptively transferred into Rag2(-/
) mice increased the in vivo development of peripheral Tregs and diminished the
severity of autoimmune colitis. In searching for targets of miR-15b/16, we
observed that the mammalian target of rapamycin (mTOR) signaling pathway was
enhanced in Dicer(-/-) CD4(+) T cells, and its pharmacological inhibition
restored induction of iTregs. Suppression of mTOR signaling is essential for
induction of iTregs from naive CD4(+) T cells, and the mTORC2 component, Rictor,
contained a functional target site for miR-15b/16. Rictor was more abundantly
expressed in Dicer(-/-) T cells as was mTOR, and their expression was
downregulated by the overexpression of miR-15b/16. This led to a reduction in
mTOR signaling, as measured by phosphorylation of the downstream target,
ribosomal protein S6. Finally, knockdown of Rictor by small interfering RNAs
enhanced Treg induction in Dicer(-/-) CD4(+) T cells. Therefore, an important
mechanism of miRNA regulation of Treg development is through regulation of the
mTOR signaling pathway.
PMID- 26538394
TI - A Novel Function for P2Y2 in Myeloid Recipient-Derived Cells during Graft-versus
Host Disease.
AB - Acute graft-versus-host disease (GvHD) is a life-threatening complication of
allogeneic hematopoietic cell transplantation. During the initiation phase of
acute GvHD, endogenous danger signals such as ATP are released and inform the
innate immune system via activation of the purinergic receptor P2X7 that a
noninfectious damage has occurred. A second ATP-activated purinergic receptor
involved in inflammatory diseases is P2Y2. In this study, we used P2y2(-/-) mice
to test the role of this receptor in GvHD. P2y2(-/-) recipients experienced
reduced GvHD-related mortality, IL-6 levels, enterocyte apoptosis, and
histopathology scores. Chimeric mice with P2y2 deficiency restricted to
hematopoietic tissues survived longer after GvHD induction than did wild-type
mice. P2y2 deficiency of the recipient was connected to lower levels of
myeloperoxidase in the intestinal tract of mice developing GvHD and a reduced
myeloid cell signature. Selective deficiency of P2Y2 in inflammatory monocytes
decreased GvHD severity. Mechanistically, P2y2(-/-) inflammatory monocytes
displayed defective ERK activation and reactive oxygen species production.
Compatible with a role of P2Y2 in human GvHD, the frequency of P2Y2(+) cells in
inflamed GvHD lesions correlated with histopathological GvHD severity. Our
findings indicate a novel function for P2Y2 in ATP-activated recipient myeloid
cells during GvHD, which could be exploited when targeting danger signals to
prevent GvHD.
PMID- 26538395
TI - DDX19A Senses Viral RNA and Mediates NLRP3-Dependent Inflammasome Activation.
AB - The NLRP3 inflammasome plays a major role in innate immune responses by
activating caspase-1, resulting in secretion of IL-1beta and inflammatory
pathologic responses. Viral RNA can induce NLRP3 inflammasome activation.
However, none of the components of NLRP3 inflammasome has the ability to bind
viral RNA. Therefore, it had been proposed that there might have been some
unidentified cytosolic RNA sensors that could bind viral RNA and NLRP3 to
initiate NLRP3 inflammasome activation. In this study, DDX19A, a member of the
DEAD/H-box protein family, was identified as a novel component of NLRP3
inflammasome using arterivirus infection as a model. We found that DDX19A
interacted with viral RNA and NLRP3. Knockdown of DDX19A expression efficiently
inhibited procaspase-1 cleavage and IL-1beta secretion in porcine reproductive
and respiration syndrome virus (PRRSV)-infected or PRRSV RNA-stimulated primary
porcine alveolar macrophages. Overall, DDX19A was identified as a novel cytosolic
RNA sensor that bridged PRRSV RNA and NLRP3 to activate NLRP3 inflammasome.
PMID- 26538396
TI - IL-17A-Producing gammadelta T Cells Suppress Early Control of Parasite Growth by
Monocytes in the Liver.
AB - Intracellular infections, such as those caused by the protozoan parasite
Leishmania donovani, a causative agent of visceral leishmaniasis (VL), require a
potent host proinflammatory response for control. IL-17 has emerged as an
important proinflammatory cytokine required for limiting growth of both
extracellular and intracellular pathogens. However, there are conflicting reports
on the exact roles for IL-17 during parasitic infections and limited knowledge
about cellular sources and the immune pathways it modulates. We examined the role
of IL-17 in an experimental model of VL caused by infection of C57BL/6 mice with
L. donovani and identified an early suppressive role for IL-17 in the liver that
limited control of parasite growth. IL-17-producing gammadelta T cells recruited
to the liver in the first week of infection were the critical source of IL-17 in
this model, and CCR2(+) inflammatory monocytes were an important target for the
suppressive effects of IL-17. Improved parasite control was independent of NO
generation, but associated with maintenance of superoxide dismutase mRNA
expression in the absence of IL-17 in the liver. Thus, we have identified a novel
inhibitory function for IL-17 in parasitic infection, and our results demonstrate
important interactions among gammadelta T cells, monocytes, and infected
macrophages in the liver that can determine the outcome of parasitic infection.
PMID- 26538397
TI - FLI1 Levels Impact CXCR3 Expression and Renal Infiltration of T Cells and Renal
Glycosphingolipid Metabolism in the MRL/lpr Lupus Mouse Strain.
AB - The ETS factor Friend leukemia virus integration 1 (FLI1) is a key modulator of
lupus disease expression. Overexpressing FLI1 in healthy mice results in the
development of an autoimmune kidney disease similar to that observed in lupus.
Lowering the global levels of FLI1 in two lupus strains (Fli1(+/-)) significantly
improved kidney disease and prolonged survival. T cells from MRL/lpr Fli1(+/-)
lupus mice have reduced activation and IL-4 production, neuraminidase 1
expression, and the levels of the glycosphingolipid lactosylceramide. In this
study, we demonstrate that MRL/lpr Fli1(+/-) mice have significantly decreased
renal neuraminidase 1 and lactosylceramide levels. This corresponds with a
significant decrease in the number of total CD3(+) cells, as well as CD4(+) and
CD44(+)CD62L(-) T cell subsets in the kidney of MRL/lpr Fli1(+/-) mice compared
with the Fli1(+/+) nephritic mice. We further demonstrate that the percentage of
CXCR3(+) T cells and Cxcr3 message levels in T cells are significantly decreased
and correspond with a decrease in renal CXCR3(+) cells and in Cxcl9 and Cxcl10
expression in the MRL/lpr Fli1(+/-) compared with the Fli1(+/+) nephritic mice.
Our results suggest that reducing the levels of FLI1 in MRL/lpr mice may be
protective against development of nephritis in part through downregulation of
CXCR3, reducing renal T cell infiltration and glycosphingolipid levels.
PMID- 26538399
TI - The attitudes of medical students in Europe toward the clinical importance of
embryology.
AB - Although there have been many studies reporting the attitudes of medical students
to the clinical importance of gross anatomy, little is known about their opinions
concerning the clinical importance of embryology. Using Thurstone and Chave
methods to assess attitudes, nearly 1,600 medical students across Europe in the
early stages of their training provided responses to a survey that tested the
hypothesis that they do not regard embryology as highly clinically relevant.
Indeed, we further proposed that student attitudes to gross anatomy are much more
positive than those toward embryology. Our findings show that our hypotheses
hold, regardless of the university and country surveyed and regardless of the
teaching methods employed for embryology. Clearly, embryology has a significant
part to play in medical education in terms of understanding prenatal life, of
appreciating how the organization of the mature human body has developed, and of
providing essential information for general medical practice, obstetrics and
pediatrics, and teratology. However, while newly recruited medical students
understand the importance of gross anatomy in the development of professional
competence, understanding the importance of embryology requires teachers, medical
educationalists, and devisors of medical curricula to pay special attention to
informing students of the significant role played by embryology in attaining
clinical competence and achieving the knowledge and understanding of the
biomedical sciences that underpins becoming a learned member of a health care
profession.
PMID- 26538398
TI - Adipose-Derived Mesenchymal Stem Cells Prevent Systemic Bone Loss in Collagen
Induced Arthritis.
AB - Rheumatoid arthritis (RA) is an autoimmune disease characterized by chronic
inflammatory synovitis leading to joint destruction and systemic bone loss. The
inflammation-induced bone loss is mediated by increased osteoclast formation and
function. Current antirheumatic therapies primarily target suppression of
inflammatory cascade with limited or no success in controlling progression of
bone destruction. Mesenchymal stem cells (MSCs) by virtue of their tissue repair
and immunomodulatory properties have shown promising results in various
autoimmune and degenerative diseases. However, the role of MSCs in prevention of
bone destruction in RA is not yet understood. In this study, we investigated the
effect of adipose-derived MSCs (ASCs) on in vitro formation of bone-resorbing
osteoclasts and pathological bone loss in the mouse collagen-induced arthritis
(CIA) model of RA. We observed that ASCs significantly inhibited receptor
activator of NF-kappaB ligand (RANKL)-induced osteoclastogenesis in both a
contact-dependent and -independent manner. Additionally, ASCs inhibited RANKL
induced osteoclastogenesis in the presence of proinflammatory cytokines such as
TNF-alpha, IL-17, and IL-1beta. Furthermore, treatment with ASCs at the onset of
CIA significantly reduced clinical symptoms and joint pathology. Interestingly,
ASCs protected periarticular and systemic bone loss in CIA mice by maintaining
trabecular bone structure. We further observed that treatment with ASCs reduced
osteoclast precursors in bone marrow, resulting in decreased osteoclastogenesis.
Moreover, ASCs suppressed autoimmune T cell responses and increased the
percentages of peripheral regulatory T and B cells. Thus, we provide strong
evidence that ASCs ameliorate inflammation-induced systemic bone loss in CIA mice
by reducing osteoclast precursors and promoting immune tolerance.
PMID- 26538400
TI - Evaluation of methods for differential expression analysis on multi-group RNA-seq
count data.
AB - BACKGROUND: RNA-seq is a powerful tool for measuring transcriptomes, especially
for identifying differentially expressed genes or transcripts (DEGs) between
sample groups. A number of methods have been developed for this task, and several
evaluation studies have also been reported. However, those evaluations so far
have been restricted to two-group comparisons. Accumulations of comparative
studies for multi-group data are also desired. METHODS: We compare 12 pipelines
available in nine R packages for detecting differential expressions (DE) from
multi-group RNA-seq count data, focusing on three-group data with or without
replicates. We evaluate those pipelines on the basis of both simulation data and
real count data. RESULTS: As a result, the pipelines in the TCC package performed
comparably to or better than other pipelines under various simulation scenarios.
TCC implements a multi-step normalization strategy (called DEGES) that internally
uses functions provided by other representative packages (edgeR, DESeq2, and so
on). We found considerably different numbers of identified DEGs (18.5 ~ 45.7% of
all genes) among the pipelines for the same real dataset but similar
distributions of the classified expression patterns. We also found that DE
results can roughly be estimated by the hierarchical dendrogram of sample
clustering for the raw count data. CONCLUSION: We confirmed the DEGES-based
pipelines implemented in TCC performed well in a three-group comparison as well
as a two-group comparison. We recommend using the DEGES-based pipeline that
internally uses edgeR (here called the EEE-E pipeline) for count data with
replicates (especially for small sample sizes). For data without replicates, the
DEGES-based pipeline with DESeq2 (called SSS-S) can be recommended.
PMID- 26538401
TI - Author's Reply: Hemidystonia caused by frontal cortical infarction.
PMID- 26538402
TI - Erratum to: Mechanical endovascular thrombectomy for acute ischemic stroke: a
retrospective multicenter study in Belgium.
PMID- 26538403
TI - Solitary Langerhans cell histiocytosis of the cavernous sinus with orbital
extension in an adult.
PMID- 26538406
TI - Enhancing the Value of Free Metals in the Synthesis of Lanthanoid Formamidinates:
Is a Co-oxidant Needed?
AB - Treatment of N,N'-bis(aryl)formamidines (ArFormH), N,N'-bis(2,6
difluorophenyl)formamidine (DFFormH) or N,N'-bis(2,6
diisopropylphenyl)formamidine (DippFormH), with europium metal in CH3 CN is an
efficient synthesis of the divalent complexes: [{Eu(DFForm)2 (CH3 CN)2 }2 ] (Eu1)
or [Eu(DippForm)2 (CH3 CN)4 ] (Eu2). The synthetic method was extended to
ytterbium, but the metal required activation by addition of Hg(0) . With DFFormH
in CH3 CN, [{Yb(DFForm)2 (CH3 CN)}2 ] (Yb1) was obtained in good yield, and
[Yb(DFForm)2 (thf)3 ] (Yb3) was obtained from a synthesis in CH3 CN/THF. Thus,
this synthetic method completely circumvents the use of either salt metathesis,
or redox transmetallation/protolysis (RTP) protocols to prepare divalent rare
earth formamidinates. Heating Yb1 in PhMe/C6 D6 resulted in decomposition to
trivalent products, including one from a CH3 CN activation process. For a
synthetic comparison, divalent ytterbium DFForm and DippForm complexes were
synthesised by RTP reactions between Yb(0) , Hg(R)2 (R=Ph, C6 F5 ), and ArFormH
in THF, leading to the isolation of either [Yb(DFForm)2 (thf)3 ] (Yb3), or the
first five coordinate rare-earth formamidinate complex [Yb(DippForm)2 (thf)] (Yb4
b), and, from adjustment of the stoichiometry, trivalent [Yb(DFForm)3 (thf)]
(Yb6). Oxidation of Yb3 with benzophenone (bp), or halogenating agents (TiCl4
(thf)2 , Ph3 CCl, C2 Cl6 ) gave [Yb(DFForm)3 (bp)] or [Yb(DFForm)2 Cl(thf)2 ],
respectively. Furthermore, the structural chemistry of divalent ArForm complexes
has been substantially broadened. Not only have the highest and lowest
coordination numbers for divalent rare-earth ArForm complexes been achieved in
Eu2 and Yb4 b, respectively, but also dimeric Eu1 and Yb1 have highly unusual
ArForm bridging coordination modes, either perpendicular MU
1kappa(N:N'):2kappa(N:N') in Eu1, or the twisted MU-1kappa(N:N'):2kappa(N':F')
DFForm coordination in Yb1, both unprecedented in divalent rare-earth ArForm
chemistry and in the wider divalent rare-earth amidinate field.
PMID- 26538404
TI - Altered morphological dynamics of activated microglia after induction of status
epilepticus.
AB - BACKGROUND: Microglia cells are the resident macrophages of the central nervous
system and are considered its first line of defense. In the normal brain, their
ramified processes are highly motile, constantly scanning the surrounding brain
tissue and rapidly moving towards sites of acute injury or danger signals. These
microglial dynamics are thought to be critical for brain homeostasis. Under
pathological conditions, microglial cells undergo "activation," which modifies
many of their molecular and morphological properties. Investigations of the
effects of activation on motility are limited and have given mixed results. In
particular, little is known about how microglial motility is altered in epilepsy,
which is characterized by a strong inflammatory reaction and microglial
activation. METHODS: We used a mouse model of status epilepticus induced by
kainate injections and time-lapse two-photon microscopy to image GFP-labeled
microglia in acute hippocampal brain slices. We studied how microglial activation
affected the motility of microglial processes, including basal motility, and
their responses to local triggering stimuli. RESULTS: Our study reveals that
microglial motility was largely preserved in kainate-treated animals, despite
clear signs of microglial activation. In addition, whereas the velocities of
microglial processes during basal scanning and towards a laser lesion were
unaltered 48 h after status epilepticus, we observed an increase in the size of
the territory scanned by single microglial processes during basal motility and an
elevated directional velocity towards a pipette containing a purinergic agonist.
CONCLUSIONS: Microglial activation differentially impacted the dynamic scanning
behavior of microglia in response to specific acute noxious stimuli, which may be
an important feature of the adaptive behavior of microglia during
pathophysiological conditions.
PMID- 26538405
TI - A microbiological assay to estimate the antimicrobial activity of parenteral
tildipirosin against foodborne pathogens and commensals in the colon of beef
cattle and pigs.
AB - Tildipirosin (TIP) is a novel 16-membered-ring macrolide authorized for the
treatment of bovine and swine respiratory disease. The pH dependency of macrolide
antimicrobial activity is well known. Considering that the pH in the colon
contents of growing beef cattle and pigs is usually below pH 7.0, the minimum
inhibitory concentrations (MIC) of TIP against foodborne bacterial pathogens such
as Campylobacter (C.) coli, C. jejuni and Salmonella enterica and commensal
species including Enterococcus (E.) faecalis, E. faecium and Escherichia coli
were determined under standard (pH 7.3 +/- 1) or neutral as well as slightly
acidic conditions. A decrease in pH from 7.3 to 6.7 resulted in an increase in
MICs of TIP. Except for the MICs > 256 MUg/mL observed in the resistant
subpopulation of the C. coli and the Enterococcus species, the MIC ranges
increased from 2-8 MUg/mL to 64-> 256 MUg/mL for Salmonella enterica and E. coli,
from 8-16 MUg/mL to 32-128 MUg/mL for the two Campylobacter species, and from 4
32 MUg/mL to 128-> 256 MUg/mL for both Enterococcus species. To estimate the
antimicrobial activity of TIP in the colon contents of livestock during
recommended usage of the parenterally administered TIP (Zuprevo((r)) ), and to
compare this with the increased MICs at the slightly acidic colonic pH, we
developed and validated a microbiological assay for TIP and used this to test
incurred faecal samples collected from cattle and pigs. Microbiological activity
of luminal TIP was determined in aqueous supernatants from diluted faeces, using
standard curves produced from TIP-spiked faecal supernatants. The limit of
quantification (LOQ) for TIP was 1 MUg/mL (ppm). In a cattle study (n = 14), 3 of
28 faecal samples collected 24 and 48 h post-treatment were found to contain TIP
above the LOQ (concentrations of 1.3-1.8 ppm). In another cattle study (n = 12)
with faecal samples collected at 8, 24 and 48 h post-treatment, TIP
concentrations were above the LOQ in 4 of the 8 h samples (1.2-2.6 ppm) and one
of the 24-h samples (1.3 ppm). In a pig study (n = 12) with faecal samples
collected 24, 48 and 72 h post-treatment, only one sample contained TIP above the
LOQ (concentration 1.5 ppm). In another pig study (n = 12), with samples
collected at 8, 24 48 and 96 h post-treatment, TIP concentrations were above the
LOQ in one 8-h sample (1.1 ppm) and two 24-h samples (2.3 and 2.5 ppm). None of
the 48-h and 96-h samples from these 4 studies contained measurable TIP
concentrations. Thus, in cattle and pigs, only a small fraction of faecal samples
collected up to 24 h postdosing contained measurable microbiologically active
TIP, with its maximum limited to 2.6 MUg/mL. This is several log2 dilution steps
below the MICs of TIP against foodborne pathogens and commensals collected under
acidic conditions comparable with those in the colonic contents and may explain a
lack of intestinal dysbacteriosis with parenteral tildipirosin in livestock.
PMID- 26538407
TI - Addressing Psychometric Limitations of the Difficulties in Emotion Regulation
Scale Through Item Modification.
AB - Through its frequent use, a pattern has emerged showing psychometric limitations
of the Difficulties in Emotion Regulation Scale (DERS; Gratz & Roemer, 2004).
This 3-part study sought to (a) determine whether these limitations are due to a
method effect by rewording all reverse-coded items in a straightforward manner
and submitting them to exploratory factor analysis (EFA), and (b) examine the
tenability of an adaptation of the original measure. EFA results from Study 1 (N
= 743) supported retention of 29 modified items across 5 factors. Consistent with
the original theoretical underpinnings of the DERS, Awareness and Clarity items
loaded on the same factor. In Study 2 (N = 738), confirmatory factor analysis
(CFA) was used to examine the factor structure of the pool of items identified in
Study 1. All of the modified subscales clustered strongly with one another and
evidenced large loadings on a higher-order emotion regulation construct. These
results were replicated in Study 3 (N = 918). Results from Study 3 also provided
support for the reliability and validity of scores on the modified version of the
DERS (i.e., internal consistency, convergent and criterion-related validity).
These findings provide psychometric support for a modified version of the DERS.
PMID- 26538408
TI - A systematic review and network meta-analysis comparing the use of Foley
catheters, misoprostol, and dinoprostone for cervical ripening in the induction
of labour.
AB - BACKGROUND: Various methods are used for cervical ripening during the induction
of labour. It is still debatable which of these methods of treatment is optimal.
OBJECTIVE: To compare treatment techniques for cervical ripening in the induction
of labour. SEARCH STRATEGY: Medline, Embase, and the Cochrane Collaboration
databases were searched using the keywords 'cervical ripening', 'labour induced',
'misoprostol', 'dinoprostone', and 'Foley catheter'. SELECTION CRITERIA:
Randomised controlled trials (RCTs) of cervical ripening during the induction of
labour, evaluating rates of failure to achieve vaginal delivery within 24 hours,
incidence of uterine hyperstimulation with fetal heart rate (FHR) changes, and
rates of caesarean section. Studies including women with prelabour rupture of
membranes were excluded. DATA COLLECTION AND ANALYSIS: Outcome data were
collected and analysed through pairwise meta-analysis and network meta-analysis
within a Bayesian framework. MAIN RESULTS: A total of 96 RCTs (17,387 women) were
included in the meta-analysis. Vaginal misoprostol was the most effective
cervical ripening method to achieve vaginal delivery within 24 hours, but had the
highest incidence of uterine hyperstimulation with FHR changes. The use of a
Foley catheter to induce labour was associated with the lowest rate of uterine
hyperstimulation accompanied by FHR changes. The caesarean section rate was
lowest using oral misoprostol for the induction of labour. AUTHOR'S CONCLUSIONS:
No method of labour induction demonstrated overall superiority when considering
all three clinical outcomes. Decisions regarding the choice of induction method
will depend upon the relative preference for effecting vaginal delivery within 24
hours, minimising the incidence of uterine hyperstimulation with adverse FHR
changes and avoiding caesarean section. TWEETABLE ABSTRACT: Oral misoprostol for
the induction of labour is safer than vaginal misoprostol and has the lowest rate
of caesarean section.
PMID- 26538409
TI - Bicornuate Uterus and Situs Inversus.
PMID- 26538410
TI - Robotic Surgery in Women With Ovarian Cancer: Surgical Technique and Evidence of
Clinical Outcomes.
AB - Robotic surgery is a new technology that has been progressively implemented to
treat endometrial and cervical cancer. However, the use of robotic surgery for
ovarian cancer is limited to a few series of cases and comparative studies with
laparoscopy or laparotomy. The technical issues concerning robotic surgery, as
well as clinical evidence, are described in this review. Robotic surgery in early
stage, advanced stage, and relapsed ovarian cancer is discussed separately. In
conclusion, evidence regarding the use of robotic-assisted surgical treatment for
women with ovarian cancer is still scarce, but its use is progressively growing.
Robotic-assisted staging in selected patients with early stage disease has an
important role in referral institutions when well-trained gynecologists perform
surgeries. However, minimally invasive surgery in patients with advanced stage or
relapsed ovarian cancer requires further investigation, even in selected cases.
PMID- 26538411
TI - Pursed-lips breathing reduces dynamic hyperinflation induced by activities of
daily living test in patients with chronic obstructive pulmonary disease: A
randomized cross-over study.
AB - BACKGROUND: Dynamic hyperinflation leads to dyspnoea and consequent limitations
in functional capacity in chronic obstructive pulmonary disease. It has been
shown that the response to pursed-lips breathing in terms of dynamic
hyperinflation and lower-limb exercise capacity is variable, and its effects on
activities of daily living are unknown. This study aimed to evaluate the effect
of pursed-lips breathing on dynamic hyperinflation and functional capacity in
patients with chronic obstructive pulmonary disease in a lower-limb exercise test
and in a multiple-task activities of daily living test. DESIGN: Randomized cross
over study. PATIENTS: Twenty-five patients with chronic obstructive pulmonary
disease (16 men, mean age 64 +/- 7 years, forced expiratory volume in 1 s (FEV1)
= 41.7 +/- 14.7% predicted). METHODS: Patients randomly performed two 6-min walk
tests (6MWT) with and without pursed-lips breathing (6MWT(PLB) and 6MWT(Non-PLB))
and two Glittre-ADL tests with and without pursed-lips breathing (TGlittre(PLB)
and TGlittre(Non-PLB)). Inspiratory capacity was assessed at baseline and
immediately after the tests. RESULTS: The 6MWT(Non-PLB) and TGlittre(Non-PLB)
induced similar magnitude dynamic hyperinflation (0.22 +/- 0.24 l and 0.31 +/-
0.23 l, respectively; p > 0.05). Pursed-lips breathing did not improve dynamic
hyperinflation induced by the 6MWT (0.24 +/- 0.20 and 0.22 +/- 0.24 l,
respectively, with and without pursed-lips breathing; p > 0.05). Dynamic
hyperinflation in the TGlittre(PLB) was significantly lower than in the
TGlittre(Non-PLB) (0.19 +/- 0.20 l and 0.31 +/- 0.23 l, respectively; p = 0.02).
Pursed-lips breathing did not improve 6MWT or TGlittre performance. CONCLUSION:
Pursed-lips breathing reduced dynamic hyperinflation in the TGlittre, but not in
the 6MWT. However, pursed-lips breathing did not improve functional capacity.
PMID- 26538412
TI - Measurement of Capillary Radius and Contact Angle within Porous Media.
AB - The pore radius (i.e., capillary radius) and contact angle determine the
capillary pressure generated in a porous medium. The most common method to
determine these two parameters is through measurement of the capillary pressure
generated by a reference liquid (i.e., a liquid with near-zero contact angle) and
a test liquid. The rate of rise technique, commonly used to determine the
capillary pressure, results in significant uncertainties. In this study, we
utilize a recently developed technique for independently measuring the capillary
pressure and permeability to determine the equivalent minimum capillary radii and
contact angle of water within micropillar wick structures. In this method, the
experimentally measured dryout threshold of a wick structure at different wicking
lengths is fit to Darcy's law to extract the maximum capillary pressure generated
by the test liquid. The equivalent minimum capillary radii of different wick
geometries are determined by measuring the maximum capillary pressures generated
using n-hexane as the working fluid. It is found that the equivalent minimum
capillary radius is dependent on the diameter of pillars and the spacing between
pillars. The equivalent capillary radii of micropillar wicks determined using the
new method are found to be up to 7 times greater than the current geometry-based
first-order estimates. The contact angle subtended by water at the walls of the
micropillars is determined by measuring the capillary pressure generated by water
within the arrays and the measured capillary radii for the different geometries.
This mean contact angle of water is determined to be 54.7 degrees .
PMID- 26538414
TI - Bioavailability of lutein in corn distillers dried grains with solubles relative
to lutein in corn gluten meal based on lutein retention in egg yolk.
AB - BACKGROUND: Dietary lutein and its food sources have gained great attention due
to its health-promoting effects on humans, especially for certain eye diseases.
However, relative bioavailability (RBV) of lutein among lutein-rich feed
ingredients that lead to lutein-enriched egg production has not been determined.
Thus, the RBV of lutein in corn distillers dried grains with solubles (DDGS) as
compared to lutein in corn gluten meal (CGM) was evaluated based on lutein
retention in egg yolk. RESULTS: Increasing inclusion levels of DDGS or CGM in
diets increased (linear, P < 0.01) Roche colour score and lutein concentrations
of egg yolk without affecting laying performance. Multiple regression analysis
revealed that the bioavailability of lutein in DDGS was less (P < 0.05) than that
of lutein in CGM, with the RBV of lutein in DDGS being 61.6% when the
bioavailability of lutein in CGM was assumed to be 100% for lutein retention in
egg yolk. CONCLUSION: The results of the present experiment indicate that the
DDGS can be a potential ingredient for laying hens to improve egg yolk colour and
lutein concentrations of egg yolk although lutein in DDGS is less bioavailable
than lutein in CGM. (c) 2015 Society of Chemical Industry.
PMID- 26538416
TI - Time-Dependent Resolution of Collagen Deposition During Skin Repair in Rats: A
Correlative Morphological and Biochemical Study.
AB - Skin samples were used to compare microscopy methods used to quantify collagen
with potential applicability to resolve time-dependent collagen deposition during
skin wound healing in rats. Skin wounds by secondary intention were made in rats
and tissue fragments were collected every 7 days for 21 days. Collagen content
determined by biochemical analysis was compared with collagen measured by point
counting (PC) on histological skin sections stained by Gomori's trichrome method
(Trichrome/PC), Sirius red under polarized light (PL) microscopy (Sirius red/PL
PC), and computational color segmentation (CS) applied to sections stained with
Sirius red (Sirius red/PL-CS). All microscopy methods investigated resolved the
time-dependent dynamics of collagen deposition in scar tissue during skin wound
healing in rats. Collagen content measured by Sirius red/PL-PC and Sirius red/PL
CS was significantly lower when compared with Trichrome/PC. The Trichrome/PC
method provided overestimated values of collagen compared with biochemical
analysis. In the early stages of wound healing, which shows high production of
noncollagenous molecules, Sirius red/PL-CS and Sirius red/PL-PC methods were more
suitable for quantification of collagen fibers. Trichrome staining did not allow
clear separation between collagenous and noncollagenous elements in skin samples,
introducing a marked bias in collagen quantification.
PMID- 26538415
TI - Comprehensive analysis of transcriptome and metabolome analysis in Intrahepatic
Cholangiocarcinoma and Hepatocellular Carcinoma.
AB - Intrahepatic cholangiocarcinoma (ICC) and hepatocellular carcinoma (HCC) are
liver originated malignant tumors. Of the two, ICC has the worse prognosis
because it has no reliable diagnostic markers and its carcinogenic mechanism is
not fully understood. The aim of this study was to integrate metabolomics and
transcriptomics datasets to identify variances if any in the carcinogenic
mechanism of ICC and HCC. Ten ICC and 6 HCC who were resected surgically, were
enrolled. miRNA and mRNA expression analysis were performed by microarray on ICC
and HCC and their corresponding non-tumor tissues (ICC_NT and HCC_NT). Compound
analysis was performed using capillary electrophoresis time-of-flight mass
spectrometry (CE-TOFMS). Principle component analysis (PCA) revealed that among
the four sample groups (ICC, ICC_NT, HCC, and HCC_NT) there were 14 compounds, 62
mRNAs and 17 miRNAs with two distinct patterns: tumor and non-tumor, and ICC and
non-ICC. We accurately (84.38%) distinguished ICC by the distinct pattern of its
compounds. Pathway analysis using transcriptome and metabolome showed that
several pathways varied between tumor and non-tumor samples. Based on the results
of the PCA, we believe that ICC and HCC have different carcinogenic mechanism
therefore knowing the specific profile of genes and compounds can be useful in
diagnosing ICC.
PMID- 26538418
TI - When gain-of-function research is not "gain-of-function" research.
PMID- 26538417
TI - Myc coordinates transcription and translation to enhance transformation and
suppress invasiveness.
AB - c-Myc is one of the major human proto-oncogenes and is often associated with
tumor aggression and poor clinical outcome. Paradoxically, Myc was also reported
as a suppressor of cell motility, invasiveness, and metastasis. Among the direct
targets of Myc are many components of the protein synthesis machinery whose
induction results in an overall increase in protein synthesis that empowers tumor
cell growth. At present, it is largely unknown whether beyond the global
enhancement of protein synthesis, Myc activation results in translation
modulation of specific genes. Here, we measured Myc-induced global changes in
gene expression at the transcription, translation, and protein levels and
uncovered extensive transcript-specific regulation of protein translation.
Particularly, we detected a broad coordination between regulation of
transcription and translation upon modulation of Myc activity and showed the
connection of these responses to mTOR signaling to enhance oncogenic
transformation and to the TGFbeta pathway to modulate cell migration and
invasiveness. Our results elucidate novel facets of Myc-induced cellular
responses and provide a more comprehensive view of the consequences of its
activation in cancer cells.
PMID- 26538419
TI - Occurrence of Norovirus GIV in Environmental Water Samples from Belem City,
Amazon Region, Brazil.
AB - Noroviruses are the major cause of non-bacterial acute gastroenteritis outbreaks
in humans, with few reports about the occurrence of the norovirus GIV strain. We
investigated the presence of norovirus GIV in surface water (river, bay, and
stream) and untreated sewage, and we determined a positivity rate of 9.4% (9/96).
The strains genotyped were GIV.1. To our knowledge, this is the first report of
GIV in Brazil.
PMID- 26538420
TI - Environmental Surveillance of Polioviruses in Rio de Janeiro, Brazil, in Support
to the Activities of Global Polio Eradication Initiative.
AB - Wild polioviruses still remain endemic in three countries (Afghanistan, Pakistan,
and Nigeria) and re-emergency of wild polio has been reported in previously polio
free countries. Environmental surveillance has been used as a supplementary tool
in monitoring the circulation of wild poliovirus (PVs) and/or vaccine-derived PVs
even in the absence of acute flaccid paralysis cases. This study aimed to monitor
the presence of polioviruses in wastewater samples collected at one wastewater
treatment plant located in the municipality of Rio de Janeiro, Brazil. From
December 2011 to June 2012 and from September to December 2012, 31 samples were
collected and processed. RD and L20B cell cultures were able to isolate PVs and
non-polio enteroviruses in 27/31 samples. Polioviruses were isolated in eight
samples (type 1 Sabin = 1, type 2 Sabin = 5, and type 3 Sabin = 2). Vaccine
derived polioviruses were not detected nor evidence of recombination with other
PVs or non-polio enterovirus serotypes were observed among the isolates. The
Sabin-related serotypes 2 and 3 presented nucleotide substitutions in positions
associated with the neurovirulent phenotype at the 5'-UTR. Changes in important
Amino acid residues at VP1 were also observed in the serotypes 2 and 3.
Environmental surveillance has been used successfully in monitoring the
circulation of PVs and non-polio enteroviruses and it is of crucial importance in
the final stages of the WHO global polio eradication initiative. Our results show
the continuous circulation of Sabin-like PVs and non-polio enteroviruses in the
analyzed area during the study period.
PMID- 26538421
TI - The "silent" imprint of musical training.
AB - Playing a musical instrument at a professional level is a complex multimodal task
requiring information integration between different brain regions supporting
auditory, somatosensory, motor, and cognitive functions. These kinds of task
specific activations are known to have a profound influence on both the
functional and structural architecture of the human brain. However, until now, it
is widely unknown whether this specific imprint of musical practice can still be
detected during rest when no musical instrument is used. Therefore, we applied
high-density electroencephalography and evaluated whole-brain functional
connectivity as well as small-world topologies (i.e., node degree) during resting
state in a sample of 15 professional musicians and 15 nonmusicians. As expected,
musicians demonstrate increased intra- and interhemispheric functional
connectivity between those brain regions that are typically involved in music
perception and production, such as the auditory, the sensorimotor, and prefrontal
cortex as well as Broca's area. In addition, mean connectivity within this
specific network was positively related to musical skill and the total number of
training hours. Thus, we conclude that musical training distinctively shapes
intrinsic functional network characteristics in such a manner that its signature
can still be detected during a task-free condition. Hum Brain Mapp 37:536-546,
2016. (c) 2015 Wiley Periodicals, Inc.
PMID- 26538422
TI - Do we need to lower the cut point of the 2010 ACR/EULAR classification criteria
for diagnosing rheumatoid arthritis?
AB - OBJECTIVE: In this study we aimed to evaluate the effect of lowering the cut
point of the 2010 criteria to identify more patients with RA among early
inflammatory arthritis patients. METHODS: We included early arthritis patients
from the Rotterdam Early Arthritis Cohort with at least one joint with clinical
synovitis and symptoms for <1 year, with no other explanation for their symptoms.
The demographic and clinical characteristics of each patient were recorded at
baseline. Patients were classified as case or non-case at the 1-year follow-up by
the definition used in the development of the 2010 criteria (MTX initiation). To
assess the diagnostic performance of the 2010 criteria, the sensitivity and
specificity at each cut point were determined. RESULTS: We included 557 patients
in our analysis. At the 1-year follow-up, 253 patients (45%) were classified as
case (MTX use). In the group of patients who scored 0-5 points (n = 328), 98
patients (30%) were classified as case (MTX use). The sensitivity and specificity
of the 2010 criteria using the cut point of 6 were 61% and 76%, respectively.
With the cut point of 5, the sensitivity would increase to 76% and the
specificity would decrease to 68%. CONCLUSION: By lowering the cut point of the
2010 criteria from 6 to 5 points, we were able to identify 15% more RA patients
at the cost of 8% more false-positive patients.
PMID- 26538424
TI - Multimodal treatment for malignant pleural mesothelioma.
PMID- 26538423
TI - Neoadjuvant chemotherapy and extrapleural pneumonectomy of malignant pleural
mesothelioma with or without hemithoracic radiotherapy (SAKK 17/04): a
randomised, international, multicentre phase 2 trial.
AB - BACKGROUND: Postoperative hemithoracic radiotherapy has been used to treat
malignant pleural mesothelioma, but it has not been assessed in a randomised
trial. We assessed high-dose hemithoracic radiotherapy after neoadjuvant
chemotherapy and extrapleural pneumonectomy in patients with malignant pleural
mesothelioma. METHODS: We did this phase 2 trial in two parts at 14 hospitals in
Switzerland, Belgium, and Germany. We enrolled patients with pathologically
confirmed malignant pleural mesothelioma; resectable TNM stages T1-3 N0-2, M0;
WHO performance status 0-1; age 18-70 years. In part 1, patients were given three
cycles of neoadjuvant chemotherapy (cisplatin 75 mg/m(2) and pemetrexed 500
mg/m(2) on day 1 given every 3 weeks) and extrapleural pneumonectomy; the primary
endpoint was complete macroscopic resection (R0-1). In part 2, participants with
complete macroscopic resection were randomly assigned (1:1) to receive high-dose
radiotherapy or not. The target volume for radiotherapy encompassed the entire
hemithorax, the thoracotomy channel, and mediastinal nodal stations if affected
by the disease or violated surgically. A boost was given to areas at high risk
for locoregional relapse. The allocation was stratified by centre, histology
(sarcomatoid vs epithelioid or mixed), mediastinal lymph node involvement (N0-1
vs N2), and T stage (T1-2 vs T3). The primary endpoint of part 1 was the
proportion of patients achieving complete macroscopic resection (R0 and R1). The
primary endpoint in part 2 was locoregional relapse-free survival, analysed by
intention to treat. The trial is registered with ClinicalTrials.gov, number
NCT00334594. FINDINGS: We enrolled patients between Dec 7, 2005, and Oct 17,
2012. Overall, we analysed 151 patients receiving neoadjuvant chemotherapy, of
whom 113 (75%) had extrapleural pneumonectomy. Median follow-up was 54.2 months
(IQR 32-66). 52 (34%) of 151 patients achieved an objective response. The most
common grade 3 or 4 toxic effects were neutropenia (21 [14%] of 151 patients),
anaemia (11 [7%]), and nausea or vomiting (eight [5%]). 113 patients had
extrapleural pneumonectomy, with complete macroscopic resection achieved in 96
(64%) of 151 patients. We enrolled 54 patients in part 2; 27 in each group. The
main reasons for exclusion were patient refusal (n=20) and ineligibility (n=10).
25 of 27 patients completed radiotherapy. Median total radiotherapy dose was 55.9
Gy (IQR 46.8-56.0). Median locoregional relapse-free survival from surgery, was
7.6 months (95% CI 4.5-10.7) in the no radiotherapy group and 9.4 months (6.5
11.9) in the radiotherapy group. The most common grade 3 or higher toxic effects
related to radiotherapy were nausea or vomiting (three [11%] of 27 patients),
oesophagitis (two [7%]), and pneumonitis (two [7%]). One patient died of
pneumonitis. We recorded no toxic effects data for the control group.
INTERPRETATION: Our findings do not support the routine use of hemithoracic
radiotherapy for malignant pleural mesothelioma after neoadjuvant chemotherapy
and extrapleural pneumonectomy. FUNDING: Swiss Group for Clinical Cancer
Research, Swiss State Secretariat for Education, Research and Innovation, Eli
Lilly.
PMID- 26538425
TI - Detection of cardiovascular risk from a photoplethysmographic signal using a
matching pursuit algorithm.
AB - Cardiovascular disease is the main cause of death in Europe, and early detection
of increased cardiovascular risk (CR) is of clinical importance. Pulse wave
analysis based on pulse oximetry has proven useful for the recognition of
increased CR. The current study provides a detailed description of the pulse wave
analysis technology and its clinical application. A novel matching pursuit-based
feature extraction algorithm was applied for signal decomposition of the
overnight photoplethysmographic pulse wave signals obtained by a single-pulse
oximeter sensor. The algorithm computes nine parameters (pulse index, SpO2 index,
pulse wave amplitude index, respiratory-related pulse oscillations, pulse
propagation time, periodic and symmetric desaturations, time under 90 % SpO2,
difference between pulse and SpO2 index, and arrhythmia). The technology was
applied in 631 patients referred for a sleep study with suspected sleep apnea.
The technical failure rate was 1.4 %. Anthropometric data like age and BMI
correlated significantly with measures of vascular stiffness and pulse rate
variability (PPT and age r = -0.54, p < 0.001, PR and age r = -0.36, p < 0.01).
The composite biosignal risk score showed a dose-response relationship with the
number of CR factors (p < 0.001) and was further elevated in patients with sleep
apnea (AHI >= 15n/h; p < 0.001). The developed algorithm extracts meaningful
parameters indicative of cardiorespiratory and autonomic nervous system function
and dysfunction in patients suspected of SDB.
PMID- 26538426
TI - Parameters for novel incus replacement prostheses.
AB - Prostheses replacing the incus in its normal position and equipped with two
joints might transfer sound as effectively as the intact ossicular chain and
allow adjustment to quasi-static pressure changes. A prerequisite for prostheses
development is the access to dimensions and distances of the ossicular chain
which are necessary to conceptualize shape and size. Fifteen cadaveric human
temporal bone specimens were investigated by means of micro-CT followed by 3D
analysis. Each specimen was scanned three times: after removal of incus, after
additional removal of the malleus head, and after approaching the umbo to the
promontory. Artificial umbo medialization as a surrogate for quasi-static
pressure changes leads to relevant variations in the distance between the upper
part of the malleus and the stapes. Prostheses replacing the incus in its normal
position should be equipped with a sliding ball joint or similar construction to
allow adjustment to quasi-static pressure changes.
PMID- 26538427
TI - Comparison of two different steroid treatments with hyperbaric oxygen for
idiopathic sudden sensorineural hearing loss.
AB - The purpose of the study was to assess the efficacy of the association of
intratympanic (IT) steroid and hyperbaric oxygen (HBO) therapy in patients
presenting with idiopathic sudden sensorineural hearing loss (ISSNHL), and to
compare this protocol with another consisting of intravenous (IV) steroid
administration and HBO therapy. A total of 80 patients diagnosed with ISSNHL were
included in this prospective trial. Patients were divided into three categories:
a mild-to-moderate ISSNHL group with a pure-tone average (PTA) <=60 decibels
(dB), a severe ISSNHL group with a PTA of 60-80 dB, and a profound ISSNHL group
with a PTA >=81 dB. The first protocol consisted of 20 sessions of HBO therapy
together with IV methylprednisolone 1 mg/kg body weight and a 10 mg taper every 3
days for 10 days. The second protocol consisted of HBO therapy for 20 sessions,
together with an IT injection of dexamethasone at a dose of 4 mg/mL, 0.5-0.7 mL
once a day for 7 consecutive days, performed 3 h before the HBO therapy. In the
mild-to-moderate ISSNHL patients, the mean hearing gain and successful treatment
rate was 19 (0-27) dB and 78.9 %, respectively in the IT + HBO treatment group,
and 18 (3-44) dB and 70.5 % in the IV + HBO therapy group. In the severe ISSNHL
patients, the mean hearing gain and successful treatment rate was 33 (1-54) dB
and 81.8 %, respectively in the IT + HBO treatment group and 33.5 (7-57) dB and
58.2 % in the IV + HBO group. In the profound ISSNHL patients, the mean hearing
gain and successful treatment rate was 36 (4-69) dB and 40 %, respectively in the
IT + HBO therapy group, and 39.5 (0-92) dB and 72.7 % in the IV + HBO treatment
group. The results demonstrated that patients with severe hearing loss success
rate was superior in the group submitted to IT + HBO treatment, conversely IV +
HBO therapy may be benefit for patients with profound hearing loss. Nevertheless,
these clinical results were not statistically significant.
PMID- 26538428
TI - Genotype-guided therapy improves initial acenocoumarol dosing. Results from a
prospective randomised study.
AB - A few trials so far have evaluated the effectiveness of algorithms designed to
calculate doses in oral anticoagulant therapy, with negative or contradictory
results. We compared a genotype-guided algorithm vs physician management for the
initiation of acenocoumarol. In a two-arm, prospective, randomised study with
patients with atrial fibrillation who started therapy, the first dose was
administered to all patients according to the physician's criteria. At 72 hours,
the corresponding dose was calculated based on INR in the standard care group
(SC, N=92), whereas genetic data (VKORC1, CYP2C9 and CYP4F2) were also considered
for the genotype-guided dosing (pharmacogenetic) group (PGx, N=87) by using an
algorithm previously validated in 2,683 patients. The primary outcomes were:
patients with steady dose, the time needed to reach the same and the percentage
of therapeutic INRs. After 90 days, 25% of the SC and 39% of the PGx patients
reached the steady dose (p=0.038). Kaplan-Meier analysis showed that PGx group
needed fewer days to reach therapeutic INR (p=0.033). Additionally, PGx had a
higher percentage of therapeutic INRs than SC patients (50% and 45%,
respectively) (p=0.046). After six months the proportion of steadily
anticoagulated patients remained significantly higher in PGx (p=0.010). In
conclusion, genotype-guided dosing was associated with a higher percentage of
patients with steady dose than routine practice when starting oral
anticoagulation with acenocoumarol.
PMID- 26538429
TI - The Crossroads of Synaptic Growth Signaling, Membrane Traffic and Neurological
Disease: Insights from Drosophila.
AB - Neurons require target-derived autocrine and paracrine growth factors to maintain
proper identity, innervation, homeostasis and survival. Neuronal growth factor
signaling is highly dependent on membrane traffic, both for the packaging and
release of the growth factors themselves, and for regulation of intracellular
signaling by their transmembrane receptors. Here, we review recent findings from
the Drosophila larval neuromuscular junction (NMJ) that illustrate how specific
steps of intracellular traffic and inter-organelle interactions impinge on
signaling, particularly in the bone morphogenic protein, Wingless and c-Jun
activated kinase pathways, regulating elaboration and stability of NMJ arbors,
construction of synapses and synaptic transmission and homeostasis. These
membrane trafficking and signaling pathways have been implicated in human motor
neuron diseases including amyotrophic lateral sclerosis and hereditary spastic
paraplegia, highlighting their importance for neuronal health and survival.
PMID- 26538430
TI - How to talk to someone with an "untreatable" lifelong condition.
PMID- 26538431
TI - An Efficient and Economical Assay to Screen for Triclosan Binding to FabI.
AB - Triclosan is an effective inhibitor for enoyl acyl carrier protein reductase
(ENR) in fatty acid biosynthesis. Triclosan-resistant mutants of ENR have
emerged. Thus, it is important to detect these triclosan-resistant mutations in
ENR. Generally, enzyme activity assays on the mutants are used to determine the
effect of triclosan on ENR activity. Since the substrates are linked to acyl
carrier protein (ACP), the assays are challenging due to the need to prepare the
ACP and link it to the substrates. Non-ACP-linked (coenzyme A [CoA]-linked)
substrates can be used in some ENR, but not in all. Consequently, screening for
triclosan-resistant mutants is also challenging. We have developed a simple
thermal shift assay, which does not use ACP-linked substrates, to determine the
binding ability of triclosan to the ENR active site, and thus it can be used for
screening for triclosan-resistant mutants. Staphylococcus aureus FabI enzyme and
its mutants were used to demonstrate the binding ability of triclosan with
NADP(+) to FabI. The direct correlation between the binding ability and enzyme
activity was demonstrated with Francisella tularensis FabI. This method may also
be applied to select effective triclosan analogues that inhibit ENR activity.
PMID- 26538433
TI - Standardized quantitative sensory testing in patients with psoriasis vulgaris:
evidence for altered large and small fibre functioning.
PMID- 26538432
TI - Discovery and Characterization of a Biologically Active Non-ATP-Competitive p38
MAP Kinase Inhibitor.
AB - Mitogen-activated protein kinase (MAPK) p38 is part of a broad and ubiquitously
expressed family of MAPKs whose activity is responsible for mediating an
intracellular response to extracellular stimuli through a phosphorylation
cascade. p38 is central to this signaling node and is activated by upstream
kinases while being responsible for activating downstream kinases and
transcription factors via phosphorylation. Dysregulated p38 activity is
associated with numerous autoimmune disorders and has been implicated in the
progression of several types of cancer. A number of p38 inhibitors have been
tested in clinical trials, with none receiving regulatory approval. One
characteristic shared by all of the compounds that failed clinical trials is that
they are all adenosine triphosphate (ATP)-competitive p38 inhibitors. Seeing this
lack of mechanistic diversity as an opportunity, we screened ~32,000 substances
in search of novel p38 inhibitors. Among the inhibitors discovered is a compound
that is both non-ATP competitive and biologically active in cell-based models for
p38 activity. This is the first reported discovery of a non-ATP-competitive p38
inhibitor that is active in cells and, as such, may enable new pharmacophore
designs for both therapeutic and basic research to better understand and exploit
non-ATP-competitive inhibitors of p38 activity.
PMID- 26538434
TI - Authors' reply to Minisola and colleagues, Kausar, and Sharvill.
PMID- 26538435
TI - Bicarbonate-sensitive calcification and lifespan of klotho-deficient mice.
AB - Klotho, a protein counteracting aging, is a powerful inhibitor of 1,25
dihydroxyvitamin D3 [1,25(OH)2D3] formation and regulator of mineral metabolism.
In klotho hypomorphic (kl/kl) mice, excessive 1,25(OH)2D3 formation leads to
hypercalcemia, hyperphosphatemia and vascular calcification, severe growth
deficits, accelerated aging and early death. Kl/kl mice further suffer from
extracellular volume depletion and hypotension, leading to the stimulation of
antidiuretic hormone and aldosterone release. A vitamin D-deficient diet,
restriction of dietary phosphate, inhibition of mineralocorticoid receptors with
spironolactone, and dietary NaCl all extend the lifespan of kl/kl mice. Kl/kl
mice suffer from acidosis. The present study explored whether replacement of tap
drinking water by 150 mM NaHCO3 affects the growth, tissue calcification, and
lifespan of kl/kl mice. As a result, NaHCO3 administration to kl/kl mice did not
reverse the growth deficit but substantially decreased tissue calcification and
significantly increased the average lifespan from 78 to 127 days. NaHCO3 did not
significantly affect plasma concentrations of 1,25(OH)2D3 and Ca(2+) but
significantly decreased plasma phosphate concentration and plasma aldosterone
concentration. The present study reveals a novel effect of bicarbonate, i.e., a
favorable influence on vascular calcification and early death of klotho-deficient
mice.
PMID- 26538436
TI - Real-time monitoring of NKCC2 endocytosis by total internal reflection
fluorescence (TIRF) microscopy.
AB - The apical Na-K-2Cl cotransporter (NKCC2) mediates NaCl reabsorption by the thick
ascending limb (TAL). The amount of NKCC2 at the apical membrane of TAL cells is
determined by exocytic delivery, recycling, and endocytosis. Surface
biotinylation allows measurement of NKCC2 endocytosis, but it has low time
resolution and does not allow imaging of the dynamic process of endocytosis. We
hypothesized that total internal reflection fluorescence (TIRF) microscopy
imaging of labeled NKCC2 would allow monitoring of NKCC2 endocytosis in polarized
Madin-Darby canine kidney (MDCK) and TAL cells. Thus we generated a NKCC2
construct containing a biotin acceptor domain (BAD) sequence between the
transmembrane domains 5 and 6. Once expressed in polarized MDCK or TAL cells,
surface NKCC2 was specifically biotinylated by exogenous biotin ligase (BirA). We
also demonstrate that expression of a secretory form of BirA in TAL cells induces
metabolic biotinylation of NKCC2. Labeling biotinylated surface NKCC2 with
fluorescent streptavidin showed that most apical NKCC2 was located within small
discrete domains or clusters referred to as "puncta" on the TIRF field. NKCC2
puncta were observed to disappear from the TIRF field, indicating an endocytic
event which led to a decrease in the number of surface puncta at a rate of 1.18
+/- 0.16%/min in MDCK cells, and a rate 1.09 +/- 0.08%/min in TAL cells (n = 5).
Treating cells with a cholesterol-chelating agent (methyl-beta-cyclodextrin)
completely blocked NKCC2 endocytosis. We conclude that TIRF microscopy of labeled
NKCC2 allows the dynamic imaging of individual endocytic events at the apical
membrane of TAL cells.
PMID- 26538437
TI - Mini-review: diabetic renal complications, a potential stinky remedy.
AB - Chronic kidney disease is associated with vasculitis and is also an independent
risk factor for peripheral vascular and coronary artery disease in diabetic
patients. Despite optimal management, a significant number of patients progress
toward end-stage renal disease (ESRD), a suggestion that the disease mechanism is
far from clear. A reduction in hydrogen sulfide (H2S) has been suggested to play
a vital role in diabetic vascular complications including diabetic nephropathy
(DN). This mini-review highlights the recent findings on the role of H2S in
mitigating abnormal extracellular matrix metabolism in DN. A discussion on the
development of the newer slow-releasing H2S compounds and its therapeutic
potential is also included.
PMID- 26538439
TI - Mesenchymal stem cells and chronic renal artery stenosis.
AB - Renal artery stenosis is the main cause of renovascular hypertension and results
in ischemic nephropathy characterized by inflammation, oxidative stress,
microvascular loss, and fibrosis with consequent functional failure. Considering
the limited number of strategies that effectively control renovascular
hypertension and restore renal function, we propose that cell therapy may be a
promising option based on the regenerative and immunosuppressive properties of
stem cells. This review addresses the effects of mesenchymal stem cells (MSC) in
an experimental animal model of renovascular hypertension known as 2 kidney-1
clip (2K-1C). Significant benefits of MSC treatment have been observed on blood
pressure and renal structure of the stenotic kidney. The mechanisms involved are
discussed.
PMID- 26538438
TI - The multidrug transporter MATE1 sequesters OCs within an intracellular
compartment that has no influence on OC secretion in renal proximal tubules.
AB - Secretion of organic cations (OCs) across renal proximal tubules (RPTs) involves
basolateral OC transporter (OCT)2-mediated uptake from the blood followed by
apical multidrug and toxin extruder (MATE)1/2-mediated efflux into the tubule
filtrate. Whereas OCT2 supports electrogenic OC uniport, MATE is an OC/H(+)
exchanger. As assessed by epifluorescence microscopy, cultured Chinese hamster
ovary (CHO) cells that stably expressed human MATE1 accumulated the fluorescent
OC N,N,N-trimethyl-2-[methyl(7-nitrobenzo[c][l,2,5]oxadiazol-4
yl)amino]ethanaminium (NBD-MTMA) in the cytoplasm and in a smaller, punctate
compartment; accumulation in human OCT2-expressing cells was largely restricted
to the cytoplasm. A second intracellular compartment was also evident in the
multicompartmental kinetics of efflux of the prototypic OC [(3)H]1-methyl-4
phenylpyridinium (MPP) from MATE1-expressing CHO cells. Punctate accumulation of
NBD-MTMA was markedly reduced by coexposure of MATE1-expressing cells with 5 MUM
bafilomycin (BAF), an inhibitor of V-type H(+)-ATPase, and accumulation of
[(3)H]MPP and [(3)H]NBD-MTMA was reduced by >30% by coexposure with 5 MUM BAF.
BAF had no effect on the initial rate of MATE1-mediated uptake of NBD-MTMA,
suggesting that the influence of BAF was a secondary effect involving inhibition
of V-type H(+)-ATPase. The accumulation of [(3)H]MPP by isolated single
nonperfused rabbit RPTs was also reduced >30% by coexposure to 5 MUM BAF,
suggesting that the native expression in RPTs of MATE protein within endosomes
can increase steady-state OC accumulation. However, the rate of [(3)H]MPP
secretion by isolated single perfused rabbit RPTs was not affected by 5 MUM BAF,
suggesting that vesicles loaded with OCs(+) are not likely to recycle into the
apical plasma membrane at a rate sufficient to provide a parallel pathway for OC
secretion.
PMID- 26538440
TI - Renal denervation attenuates NADPH oxidase-mediated oxidative stress and
hypertension in rats with hydronephrosis.
AB - Hydronephrosis is associated with the development of salt-sensitive hypertension.
Studies have suggested that increased sympathetic nerve activity and oxidative
stress play important roles in hypertension and the modulation of salt
sensitivity. The present study primarily aimed to examine the role of renal
sympathetic nerve activity in the development of hypertension in rats with
hydronephrosis. In addition, we aimed to investigate if NADPH oxidase (NOX)
function could be affected by renal denervation. Partial unilateral ureteral
obstruction (PUUO) was created in 3-wk-old rats to induce hydronephrosis. Sham
surgery or renal denervation was performed at the same time. Blood pressure was
measured during normal, high-, and low-salt diets. The renal excretion pattern,
NOX activity, and expression as well as components of the renin-angiotensin
aldosterone system were characterized after treatment with the normal salt diet.
On the normal salt diet, rats in the PUUO group had elevated blood pressure
compared with control rats (115 +/- 3 vs. 87 +/- 1 mmHg, P < 0.05) and displayed
increased urine production and lower urine osmolality. The blood pressure change
in response to salt loading (salt sensitivity) was more pronounced in the PUUO
group compared with the control group (15 +/- 2 vs. 5 +/- 1 mmHg, P < 0.05).
Renal denervation in PUUO rats attenuated both hypertension (97 +/- 3 mmHg) and
salt sensitivity (5 +/- 1 mmHg, P < 0.05) and normalized the renal excretion
pattern, whereas the degree of renal fibrosis and inflammation was not changed.
NOX activity and expression as well as renin and ANG II type 1A receptor
expression were increased in the renal cortex from PUUO rats and normalized by
denervation. Plasma Na(+) and K(+) levels were elevated in PUUO rats and
normalized after renal denervation. Finally, denervation in PUUO rats was also
associated with reduced NOX expression, superoxide production, and fibrosis in
the heart. In conclusion, renal denervation attenuates hypertension and restores
the renal excretion pattern, which is associated with reduced renal NOX and
components of the renin-angiotensin-aldosterone system. This study emphasizes a
link between renal nerves, the development of hypertension, and modulation of NOX
function.
PMID- 26538442
TI - An association of losartan-hydrochlorothiazide, but not losartan-furosemide,
completely arrests progressive injury in the remnant kidney.
AB - We have previously shown that an association of losartan and hydrochlorothiazide,
initiated 1 mo after 5/6 nephrectomy (Nx), reversed hypertension and albuminuria
and promoted lasting renoprotection. In this new study, we investigated whether
equal or even better protection could be obtained by combining losartan and
furosemide. Nx was performed in 58 Munich-Wistar rats. One month later, tail-cuff
pressure and albuminuria were markedly elevated. At this time, Nx rats were
distributed among the following four groups: untreated Nx rats, Nx rats that
received losartan, Nx rats that received losartan + hydrochlorothiazide, and Nx
rats that received losartan + furosemide. Seven months later, Nx rats exhibited
high mortality, severe hypertension, albuminuria, glomerulosclerosis, and
interstitial fibrosis. Losartan treatment limited mortality and attenuated the
renal and hemodynamic abnormalities associated with Nx. As previously shown, the
losartan + hydrochlorothiazide association normalized tail-cuff pressure and
albumin, prevented renal injury, and reduced mortality to zero. The losartan +
furosemide treatment failed to reduce tail-cuff pressure or albumin to normal and
prevented renal injury less efficiently than the losartan and hydrochlorothiazide
regimen. The reasons for the differing efficacies of the losartan + furosemide
and losartan + hydrochlorothiazide schemes are unclear and may include beneficial
nondiuretic actions of thiazides, such as vasorelaxation and antiproliferative
activity. These results refute the established concept that thiazides and
thiazide-like diuretics are ineffective at advanced chronic kidney disease
stages. Rather, they suggest that, in view of their renoprotective action, these
compounds may even be preferable to loop diuretics in the management of
hypertension in advanced chronic kidney disease.
PMID- 26538441
TI - Mini-review: emerging roles of microRNAs in the pathophysiology of renal
diseases.
AB - MicroRNAs (miRNA) are endogenously produced short noncoding regulatory RNAs that
can repress gene expression by posttranscriptional mechanisms. They can therefore
influence both normal and pathological conditions in diverse biological systems.
Several miRNAs have been detected in kidneys, where they have been found to be
crucial for renal development and normal physiological functions as well as
significant contributors to the pathogenesis of renal disorders such as diabetic
nephropathy, acute kidney injury, lupus nephritis, polycystic kidney disease, and
others, due to their effects on key genes involved in these disease processes.
miRNAs have also emerged as novel biomarkers in these renal disorders. Due to
increasing evidence of their actions in various kidney segments, in this mini
review we discuss the functional significance of altered miRNA expression during
the development of renal pathologies and highlight emerging miRNA-based
therapeutics and diagnostic strategies for early detection and treatment of
kidney diseases.
PMID- 26538443
TI - The role of pendrin in blood pressure regulation.
AB - Pendrin is a Na(+)-independent Cl(-)/HCO3(-) exchanger found in the apical
regions of type B and non-A, non-B intercalated cells within the aldosterone
sensitive region of the nephron, i.e., the distal convoluted tubule (DCT), the
connecting tubule (CNT), and the cortical collecting duct (CCD). Type B
intercalated cells mediate Cl(-) absorption and HCO3(-) secretion primarily
through pendrin-mediated Cl(-)/HCO3(-) exchange. This exchanger is upregulated
with angiotensin II administration and in models of metabolic alkalosis, such as
following administration of aldosterone or NaHCO3. In the absence of pendrin
mediated HCO3(-) secretion, an enhanced alkalosis is observed following
aldosterone or NaHCO3 administration. However, probably of more significance is
the role of pendrin in the pressor response to aldosterone. Pendrin mediates Cl(
) absorption and modulates aldosterone-induced Na(+) absorption mediated by the
epithelial Na channel (ENaC). Pendrin changes ENaC activity by changing both
channel open probability (Po) and surface density (N), at least partly by
altering luminal HCO3(-) and ATP concentration. Thus aldosterone and angiotensin
II stimulate pendrin expression and function, which stimulates ENaC activity,
thereby contributing to the pressor response of these hormones. However, pendrin
may modulate blood pressure partly through its extrarenal effects. For example,
pendrin is expressed in the adrenal medulla, where it modulates catecholamine
release. The increase in catecholamine release observed with pendrin gene
ablation likely contributes to the increment in vascular contractile force
observed in the pendrin null mouse. This review summarizes the signaling
mechanisms that regulate pendrin abundance and function as well as the
contribution of pendrin to distal nephron function.
PMID- 26538444
TI - Sulfate and thiosulfate inhibit oxalate transport via a dPrestin (Slc26a6)
dependent mechanism in an insect model of calcium oxalate nephrolithiasis.
AB - Nephrolithiasis is one of the most common urinary tract disorders, with the
majority of kidney stones composed of calcium oxalate (CaOx). Given its
prevalence (US occurrence 10%), it is still poorly understood, lacking progress
in identifying new therapies because of its complex etiology. Drosophila
melanogaster (fruitfly) is a recently developed model of CaOx nephrolithiasis.
Effects of sulfate and thiosulfate on crystal formation were investigated using
the Drosophila model, as well as electrophysiological effects on both Drosophila
(Slc26a5/6; dPrestin) and mouse (mSlc26a6) oxalate transporters utilizing the
Xenopus laevis oocyte heterologous expression system. Results indicate that both
transport thiosulfate with a much higher affinity than sulfate Additionally, both
compounds were effective at decreasing CaOx crystallization when added to the
diet. However, these results were not observed when compounds were applied to
Malpighian tubules ex vivo. Neither compound affected CaOx crystallization in
dPrestin knockdown animals, indicating a role for principal cell-specific
dPrestin in luminal oxalate transport. Furthermore, thiosulfate has a higher
affinity for dPrestin and mSlc26a6 compared with oxalate These data indicate that
thiosulfate's ability to act as a competitive inhibitor of oxalate via dPrestin,
can explain the decrease in CaOx crystallization seen in the presence of
thiosulfate, but not sulfate. Overall, our findings predict that thiosulfate or
oxalate-mimics may be effective as therapeutic competitive inhibitors of CaOx
crystallization.
PMID- 26538445
TI - MRI shines (radiofrequency) light on kidney physiology.
PMID- 26538446
TI - Fullerene-free small molecule organic solar cells with a high open circuit
voltage of 1.15 V.
AB - A new small molecule named DTBTF with thiobarbituric acid as a terminal group was
designed and synthesized as an acceptor for organic photovoltaic applications.
DTBTF exhibits strong absorption in the visible region, and a relatively high
lying LUMO energy level (-3.62 eV). All-small-molecule organic solar cells based
on DR3TSBDT:DTBTF blend films show a considerable PCE of 3.84% with a high V(oc)
of 1.15 V.
PMID- 26538447
TI - Revisiting operons: an analysis of the landscape of transcriptional units in E.
coli.
AB - BACKGROUND: Bacterial operons are considerably more complex than what were
thought. At least their components are dynamically rather than statically defined
as previously assumed. Here we present a computational study of the landscape of
the transcriptional units (TUs) of E. coli K12, revealed by the available genomic
and transcriptomic data, providing new understanding about the complexity of TUs
as a whole encoded in the genome of E. coli K12. RESULTS AND CONCLUSION: Our main
findings include that (i) different TUs may overlap with each other by sharing
common genes, giving rise to clusters of overlapped TUs (TUCs) along the genomic
sequence; (ii) the intergenic regions in front of the first gene of each TU tend
to have more conserved sequence motifs than those of the other genes inside the
TU, suggesting that TUs each have their own promoters; (iii) the terminators
associated with the 3' ends of TUCs tend to be Rho-independent terminators,
substantially more often than terminators of TUs that end inside a TUC; and (iv)
the functional relatedness of adjacent gene pairs in individual TUs is higher
than those in TUCs, suggesting that individual TUs are more basic functional
units than TUCs.
PMID- 26538449
TI - Autistic-Like Traits and Cerebellar Dysfunction in Purkinje Cell PTEN Knock-Out
Mice.
AB - Autism spectrum disorders (ASDs) are neurodevelopmental disorders characterized
by impaired social interaction, isolated areas of interest, and insistence on
sameness. Mutations in Phosphatase and tensin homolog missing on chromosome 10
(PTEN) have been reported in individuals with ASDs. Recent evidence highlights a
crucial role of the cerebellum in the etiopathogenesis of ASDs. In the present
study we analyzed the specific contribution of cerebellar Purkinje cell (PC) PTEN
loss to these disorders. Using the Cre-loxP recombination system, we generated
conditional knockout mice in which PTEN inactivation was induced specifically in
PCs. We investigated PC morphology and physiology as well as sociability,
repetitive behavior, motor learning, and cognitive inflexibility of adult PC PTEN
mutant mice. Loss of PTEN in PCs results in autistic-like traits, including
impaired sociability, repetitive behavior and deficits in motor learning. Mutant
PCs appear hypertrophic and show structural abnormalities in dendrites and axons,
decreased excitability, disrupted parallel fiber and climbing fiber synapses and
late-onset cell death. Our results unveil new roles of PTEN in PC function and
provide the first evidence of a link between the loss of PTEN in PCs and the
genesis of ASD-like traits.
PMID- 26538450
TI - Change in incidence of clinic visits for all-cause and rotavirus gastroenteritis
in young children following the introduction of universal rotavirus vaccination
in Israel.
AB - Both rotavirus vaccines RotaTeq and Rotarix were efficacious against severe
rotavirus gastroenteritis in clinical trials; yet real-world data on the effect
of rotavirus vaccines on mild to moderate disease are limited. We used a large
computerised database of Maccabi Health Services Health Maintenance Organisation
(HMO), the second largest HMO in Israel covering 25% of the Israeli population,
to compare the incidence of acute gastroenteritis (AGE) clinic visits in
community settings (n=302,445) before (2005-10) and after (2011-13) the
introduction of universal rotavirus immunisation in Israel. We retrieved
laboratory results of rotavirus antigen tests (n=18,133) and using a weighted
analysis, we estimated the impact of rotavirus immunisation on the disease burden
of rotavirus AGE clinic visits. Following the introduction of universal rotavirus
immunisation, the typical winter peaks of rotavirus AGE were substantially lower
and significant reductions of 14.8% (95% confidence interval (CI): 13.5-16.1) in
all-cause AGE clinic visits and of 59.7% (95% CI: 59.8-62.6) in rotavirus AGE
clinic visits were observed. The decrease was observed in all age groups, but it
was greater in children aged 0 to 23 months than those aged 24 to 59 months.
Continued rotavirus laboratory surveillance is warranted to monitor the
sustainability of these changes.
PMID- 26538448
TI - Overexpression of Forebrain CRH During Early Life Increases Trauma Susceptibility
in Adulthood.
AB - Although early-life stress is a significant risk factor for developing anxiety
disorders, including posttraumatic stress disorder (PTSD), the underlying
mechanisms are unclear. Corticotropin releasing hormone (CRH) is disrupted in
individuals with PTSD and early-life stress and hence may mediate the effects of
early-life stress on PTSD risk. We hypothesized that CRH hyper-signaling in the
forebrain during early development is sufficient to increase response to trauma
in adulthood. To test this hypothesis, we induced transient, forebrain-specific,
CRH overexpression during early-life (pre-puberty, CRHOEdev) in double-mutant
mice (Camk2a-rtta2 * tetO-Crh) and tested their behavioral and gene expression
responses to the predator stress model of PTSD in adulthood. In one cohort of
CRHOEdev exposed and unexposed mice, avoidance and arousal behaviors were
examined 7-15 days after exposure to predator stress. In another cohort, gene
expression changes in Crhr1, Crhr2, and Fkbp51 in forebrain of CRHOEdev exposed
and unexposed mice were examined 7 days after predator stress. CRHOEdev induced
robust increases in startle reactivity and reductions in startle inhibition
independently of predator stress in both male and female mice. Avoidance
behaviors after predator stress were highly dependent on sex and CRHOEdev
exposure. Whereas stressed females exhibited robust avoidance responses that were
not altered by CRHOEdev, males developed significant avoidance only when exposed
to both CRHOEdev and stress. Quantitative real-time-PCR analysis indicated that
CRHOEdev unexposed males exhibit significant changes in Crhr2 expression in the
amygdala and bed nucleus stria terminalis in response to stress, whereas males
exposed to CRHOEdev did not. Similar to CRHOEdev males, females exhibited no
significant Crhr2 gene expression changes in response to stress. Cortical Fkbp51
expression was also significantly reduced by stress and CRHOEdev exposure in
males, but not in females. These findings indicate that forebrain CRH hyper
signaling in early-life is sufficient to increase enduring effects of adult
trauma and attenuate Crhr2 expression changes in response to stress in males.
These data support growing evidence for significant sex differences in response
to trauma, and support further study of CRHR2 as a candidate mechanism for PTSD
risk.
PMID- 26538451
TI - Emergence of Hemagglutinin Mutations During the Course of Influenza Infection.
AB - Influenza remains a significant cause of disease mortality. The ongoing threat of
influenza infection is partly attributable to the emergence of new mutations in
the influenza genome. Among the influenza viral gene products, the hemagglutinin
(HA) glycoprotein plays a critical role in influenza pathogenesis, is the target
for vaccines and accumulates new mutations that may alter the efficacy of
immunization. To study the emergence of HA mutations during the course of
infection, we employed a deep-targeted sequencing method. We used samples from 17
patients with active H1N1 or H3N2 influenza infections. These patients were not
treated with antivirals. In addition, we had samples from five patients who were
analyzed longitudinally. Thus, we determined the quantitative changes in the
fractional representation of HA mutations during the course of infection. Across
individuals in the study, a series of novel HA mutations directly altered the HA
coding sequence were identified. Serial viral sampling revealed HA mutations that
either were stable, expanded or were reduced in representation during the course
of the infection. Overall, we demonstrated the emergence of unique mutations
specific to an infected individual and temporal genetic variation during
infection.
PMID- 26538453
TI - Choosing and using non-steroidal anti-inflammatory drugs in haemophilia.
AB - The management of pain and inflammation in haemophilic arthropathy is challenging
due to the lack of anti-inflammatory analgesic agents perfectly suitable for this
population. Non-steroidal anti-inflammatory drugs (NSAIDs) are widely used in the
management of arthritis due to their analgesic and anti-inflammatory effects.
Their use in persons with haemophilia (PWH), however, is limited due to increased
risk of bleeding mainly from the upper gastrointestinal (UGI) tract.
Cyclooxygenase-2 (COX-2) selective NSAIDs which have comparable analgesic effect
to traditional NSAIDs (tNSAIDs) but with less UGI bleeding have been considered
to be a suitable option for treatment of haemophilic arthropathy. COX-2
inhibitors, however, have an increased in the risk of cardiovascular (CV)
disease. Although the atherosclerotic burden in PWH is similar to that in the
general population, the risk of CV-related deaths is lower. PWH have a higher
risk of GI bleeding and lower risk of thrombotic disease compared to general
population. Therefore, when PWH require anti-inflammatory/analgesic agents, it
seems reasonable to use lowest dose of COX-2 inhibitors for the shortest period
together with a proton pump inhibitor. Helicobacter pylori infection should be
tested for and eradicated prior to starting NSAID treatment in PWH. Furthermore,
regular blood pressure and renal function test monitoring is required during COX
2 inhibitor treatment.
PMID- 26538452
TI - Mutual and asynchronous anticipation and action in sports as globally competitive
and locally coordinative dynamics.
AB - Humans interact by changing their actions, perceiving other's actions and
executing solutions in conflicting situations. Using oscillator models, nonlinear
dynamics have been considered for describing these complex human movements as an
emergence of self-organisation. However, these frameworks cannot explain the
hierarchical structures of complex behaviours between conflicting inter-agent and
adapting intra-agent systems, especially in sport competitions wherein mutually
quick decision making and execution are required. Here we adopt a hybrid
multiscale approach to model an attack-and-defend game during which both players
predict the opponent's movement and move with a delay. From both simulated and
measured data, one synchronous outcome between two-agent (i.e. successful
defence) can be described as one attractor. In contrast, the other coordination
breaking outcome (i.e. successful attack) cannot be explained using gradient
dynamics because the asymmetric interaction cannot always assume a conserved
physical quantity. Instead, we provide the asymmetric and asynchronous
hierarchical dynamical models to discuss two-agent competition. Our framework
suggests that possessing information about an opponent and oneself in local
coordinative and global-competitive scale enables us to gain a deeper
understanding of sports competitions. We anticipate developments in the
scientific fields of complex movement adapting to such uncontrolled environments.
PMID- 26538454
TI - Hypothyroidism Induces Hypophagia Associated with Alterations in Protein
Expression of Neuropeptide Y and Proopiomelanocortin in the Arcuate Nucleus,
Independently of Hypothalamic Nuclei-Specific Changes in Leptin Signaling.
AB - BACKGROUND: Thyroid hormone and leptin are essential regulators of energy
homeostasis. Both hormones stimulate energy expenditure but have opposite effects
on appetite. The mechanisms behind food intake regulation in thyroid dysfunctions
are poorly understood. It has been shown that hypothyroid rats exhibited impaired
leptin anorexigenic effect and signaling in total hypothalamus, even though they
were hypophagic. It was hypothesized that hypothyroidism modulates the expression
of neuropeptides: orexigenic neuropeptide Y (NPY) and anorexigenic
proopiomelanocortin (POMC), independently of inducing nuclei-specific changes in
hypothalamic leptin signaling. METHODS: Adult male rats were rendered hypothyroid
by administration of 0.03% methimazole in the drinking water for 21 days. Protein
content of NPY, POMC, and leptin signaling (the signal transducer and activator
of transcription 3 [STAT3] pathway) were evaluated by Western blot, and mRNA
levels by real time reverse transcription polymerase chain reaction in arcuate
(ARC), ventromedial (VMN), and paraventricular (PVN) hypothalamic nuclei isolated
from euthyroid (eu) and hypothyroid (hypo) rats. Leptin anorexigenic effect was
tested by recording food intake for two hours after intracerebroventricular
(i.c.v.) administration of leptin. Statistical differences were considered
significant at p <= 0.05. RESULTS: Hypothyroidism was confirmed by decreased
serum triiodothyronine, thyroxine, and increased thyrotropin, in addition to
increased levels of pro-TRH mRNA in PVN and Dio2 mRNA in the ARC of hypo rats.
Hypothyroidism decreased body weight and food intake associated with decreased
protein content of NPY and increased content of POMC in the ARC. Conversely,
hypothyroidism induced central resistance to the acute anorexigenic effect of
leptin, since while euthyroid rats displayed reduced food intake after leptin
i.c.v. injection, hypothyroid rats showed no response. Hypothyroid rats exhibited
decreased leptin receptor (ObRb) protein content in ARC and VMN but not in PVN
nucleus. ObRb protein changes were concomitant with decreased phosphorylated
STAT3 in the ARC, and decreased total STAT3 in VMN and PVN. However,
hypothyroidism did not affect mRNA levels of Lepr or Stat3 in the hypothalamic
nuclei. CONCLUSIONS: Experimental hypothyroidism induced a negative energy
balance accompanied by decreased NPY and increased POMC protein content in the
ARC, resulting in predominance of anorexigenic pathways, despite central leptin
resistance and impairment of the leptin signaling cascade in a nuclei-specific
manner.
PMID- 26538455
TI - Mass immunization with inactivated polio vaccine in conflict zones--Experience
from Borno and Yobe States, North-Eastern Nigeria.
AB - The use of Inactivated Polio Vaccine (IPV) in routine immunization to replace
Oral Polio Vaccine (OPV) is crucial in eradicating polio. In June 2014, Nigeria
launched an IPV campaign in the conflict-affected states of Borno and Yobe, the
largest ever implemented in Africa. We present the initiatives and lessons
learned. The 8-day event involved two parallel campaigns. OPV target age was 0-59
months, while IPV targeted all children aged 14 weeks to 59 months. The Borno
state primary health care agency set up temporary health camps for the exercise
and treated minor ailments for all. The target population for the OPV campaign
was 685,674 children in Borno and 113,774 in Yobe. The IPV target population for
Borno was 608,964 and for Yobe 111,570. OPV coverage was 105.1 per cent for Borno
and 103.3 per cent for Yobe. IPV coverage was 102.9 per cent for Borno and 99.1
per cent for Yobe. (Where we describe coverage as greater than 100 per cent, this
reflects original underestimates of the target populations.) A successful
campaign and IPV immunization is viable in conflict areas.
PMID- 26538456
TI - Patterns of sexual behaviors among unmarried adolescents and youth in three Asian
cities.
AB - We examined patterns of sexual behaviors of unmarried adolescents and youth (UAY)
in three Asian cities (Shanghai, Taipei, and Hanoi) and identified factors
related to the timing of initial sexual experience. From analysis of a sample of
16,554 UAY aged 15-24 years recruited from Shanghai, Taipei, and Hanoi plus data
collected from face-to-face interviews complemented by computer-assisted self
interviews for intimate questions, we learned: UAY in Shanghai, Taipei, and Hanoi
have different sexual behaviors. Affluent economic status increases the
likelihood of early initial sexual experiences. Higher educational attainment may
delay initial intercourse. Compared with Shanghai UAY, study participants from
Taipei and Hanoi were 3.64 times and 0.33 times as likely to participate
intercourse. These data can provide a basis for developing effective government
policies and social interventions.
PMID- 26538458
TI - Origin of exotic ferromagnetic behavior in exfoliated layered transition metal
dichalcogenides MoS2 and WS2.
AB - Bulk layered transition metal dichalcogenides (TMDs) show diamagnetic properties.
When exfoliated, the materials' band gap increases and changes from an indirect
band gap to a direct one. During the exfoliation, the TMDs may undergo a phase
transition from 2H to 1T polymorph, which is likely electronically driven and
accompanied by a metal-insulator transition. A significantly higher efficiency of
the exfoliation was observed using sodium naphthalenide compared to butyllithium.
Moreover we demonstrate that the exfoliation has a dramatic influence on the
magnetic properties of two TMDs, MoS2 and WS2. These materials become partly
ferromagnetic upon exfoliation, which is a highly unexpected behavior. Exotic
ferromagnetism is generally observed on samples with a high degree of
exfoliation, which indicates the association of this effect with defects formed
on the edges of dichalcogenide sheets. Such an exotic ferromagnetic behavior, if
properly understood and brought under material engineering control, shall open
the door to new applications of these materials.
PMID- 26538457
TI - Reaching out: junctions between cardiac telocytes and cardiac stem cells in
culture.
AB - Telocytes (TCs) were previously shown by our group to form a tandem with
stem/progenitor cells in cardiac stem cell (CSC) niches, fulfilling various roles
in cardiac renewal. Among these, the ability to 'nurse' CSCs in situ, both
through direct physical contact (junctions) as well as at a distance, by
paracrine signalling or through extracellular vesicles containing mRNA. We
employed electron microscopy to identify junctions (such as gap or adherens
junctions) in a co-culture of cardiac TCs and CSCs. Gap junctions were observed
between TCs, which formed networks, however, not between TCs and CSCs. Instead,
we show that TCs and CSCs interact in culture forming heterocellular adherens
junctions, as well as non-classical junctions such as puncta adherentia and
stromal synapses. The stromal synapse formed between TCs and CSCs (both stromal
cells) was frequently associated with the presence of electron-dense
nanostructures (on average about 15 nm in length) connecting the two opposing
membranes. The average width of the synaptic cleft was 30 nm, whereas the average
length of the intercellular contact was 5 MUm. Recent studies have shown that
stem cells fail to adequately engraft and survive in the hostile environment of
the injured myocardium, possibly as a result of the absence of the pro
regenerative components of the secretome (paracrine factors) and/or of
neighbouring support cells. Herein, we emphasize the similarities between the
junctions described in co-culture and the junctions identified between TCs and
CSCs in situ. Reproducing a CSC niche in culture may represent a viable
alternative to mono-cellular therapies.
PMID- 26538460
TI - Fano resonance assisting plasmonic circular dichroism from nanorice heterodimers
for extrinsic chirality.
AB - In this work, the circular dichroisms (CD) of nanorice heterodimers consisting of
two parallel arranged nanorices with the same size but different materials are
investigated theoretically. Symmetry-breaking is introduced by using different
materials and oblique incidence to achieve strong CD at the vicinity of Fano
resonance peaks. We demonstrate that all Au-Ag heterodimers exhibit multipolar
Fano resonances and strong CD effect. A simple quantitative analysis shows that
the structure with larger Fano asymmetry factor has stronger CD. The intensity
and peak positions of the CD effect can be flexibly tuned in a large range by
changing particle size, shape, the inter-particle distance and surroundings.
Furthermore, CD spectra exhibit high sensitivity to ambient medium in visible and
near infrared regions. Our results here are beneficial for the design and
application of high sensitive CD sensors and other related fields.
PMID- 26538459
TI - Genetic evidence of a recent Tibetan ancestry to Sherpas in the Himalayan region.
AB - Sherpas living around the Himalayas are renowned as high-altitude mountain
climbers but when and where the Sherpa people originated from remains
contentious. In this study, we collected DNA samples from 582 Sherpas living in
Nepal and Tibet Autonomous Region of China to study the genetic diversity of both
their maternal (mitochondrial DNA) and paternal (Y chromosome) lineages. Analysis
showed that Sherpas share most of their paternal and maternal lineages with
indigenous Tibetans, representing a recently derived sub-lineage. The estimated
ages of two Sherpa-specific mtDNA sub-haplogroups (C4a3b1 and A15c1) indicate a
shallow genetic divergence between Sherpas and Tibetans less than 1,500 years
ago. These findings reject the previous theory that Sherpa and Han Chinese served
as dual ancestral populations of Tibetans, and conversely suggest that Tibetans
are the ancestral populations of the Sherpas, whose adaptive traits for high
altitude were recently inherited from their ancestors in Tibet.
PMID- 26538461
TI - Preliminary results of proton beam therapy combined with weekly cisplatin intra
arterial infusion via a superficial temporal artery for treatment of maxillary
sinus carcinoma.
AB - OBJECTIVE: This study aimed to evaluate the efficacy and toxicity of proton beam
therapy combined with cisplatin intra-arterial infusion via a superficial
temporal artery as treatment for maxillary sinus carcinoma. METHODS: Twenty-six
patients with confirmed maxillary sinus carcinoma were enrolled in this study
from May 2009 to April 2011. Patients underwent proton beam therapy and intra
arterial infusion chemotherapy with cisplatin. RESULTS: The median total dose was
70.4 GyE per 32 fractions, and the median dose of cisplatin was 300 mg/body for
six cycles of intra-arterial infusion. The 3-year overall survival rate was 58%
for all patients (n = 26), 58% for patients with stage T4 disease (n = 12), 57%
for patients with 0.05).
CONCLUSION: Decontamination by water and air spray, etching, and bonding was
effective in restoring the bond strength of silorane-based composite increments.
PMID- 26538475
TI - Ring-opening polymerization of rac-lactide mediated by tetrametallic lithium and
sodium diamino-bis(phenolate) complexes.
AB - Lithium and sodium compounds supported by tetradentate amino-bis(phenolato)
ligands, [Li2(N2O2(BuBuPip))] (1), [Na2(N2O2(BuBuPip))] (2) (where
[N2O2(BuBuPip)] = 2,2'-N,N'-homopiperazinyl-bis(2-methylene-4,6-tert
butylphenol), and [Li2(N2O2(BuMePip))] (3), [Na2(N2O2(BuMePip))] (4) (where
[N2O2(BuMePip)] = 2,2'-N,N'-homopiperazinyl-bis(2-methylene-4-methyl-6-tert
butylphenol) were synthesized and characterized by NMR spectroscopy and MALDI-TOF
mass spectrometry. Variable temperature NMR experiments were performed to
understand solution-phase dynamics. The solid-state structures of 1 and 4 were
determined by X-ray diffraction and reveal tetrametallic species. PGSE NMR
spectroscopic data suggests that 1 maintains its aggregated structure in CD2Cl2.
The complexes exhibit good activity for controlled ring-opening polymerization of
rac-lactide (LA) both solvent free and in solution to yield PLA with low
dispersities. Stoichiometric reactions suggest that the formation of PLA may
proceed by the typical coordination-insertion mechanism. For example, (7)Li NMR
experiments show growth of a new resonance when 1 is mixed with 1 equiv. LA and
(1)H NMR data suggests formation of a Li-alkoxide species upon reaction of 1 with
BnOH.
PMID- 26538476
TI - First detection of Leishmania major DNA in Sergentomyia (Sintonius) clydei
(Sinton, 1928, Psychodidae: Phlebotominae), from an outbreak area of cutaneous
leishmaniasis in Tunisia.
AB - In recent years there has been growing interest in Sergentomyia species. Their
role in the spread of mammalian leishmaniasis appears repeatedly in the
literature and the possibility of its implication in Leishmania transmission to
humans remains controversial. Sergentomyia (Sintonius) clydei is one of several
cryptic species sharing therefore common morphologic criteria with others species
of the subgenera Sintonius. Little is known about this specie in Tunisia. We
sampled and identified different specimens including four specimens of S. clydei
collected from Sidi Bouzid and Kairouan areas (center of Tunisia) using
morphological tools. Male Sergentomyia clydei and Sergentomyia christophersi are
known to share several morphological characters and can be mistaken for.
Consequently we took advantage of 5 male S. christophersi available in our
collection (Tataouin, South of Tunisia). In our study morphological tools were
completed by molecular study of cytochrome b gene to identify S. clydei. For the
detection of Leishmania spp. that might infect our specimens, Leishmania DNA was
analyzed by amplification of kinetoplast minicircle DNA using real-time PCR and
nested-PCR. Obtained result was confirmed by restriction analysis of the
amplified ribosomal internal transcribed spacer 1 (ITS1). We provide in our
study, the first molecular identification of S. clydei, in Tunisia. Our Neighbor
Joining tree based on mitochondrial cytochrome b gene shows two different
clusters. The first includes the Tunisians S. clydei and other specimens from
Africa, Middle East and the Arabic peninsula, and the second cluster containing
the specimens from Seychelle. Unexpectedly, we also demonstrate the infection of
one anthropophilic female S. clydei by Leishmania major DNA. This finding shows
that more attention should be paid when identifying parasites by molecular tools
within sandfly vector.
PMID- 26538478
TI - Sports participation after rehabilitation: Barriers and facilitators.
AB - OBJECTIVE: To analyse barriers to, and facilitators of, sports participation
among people with physical disabilities after rehabilitation and to compare
differences between inactive and active participants regarding these experienced
barriers and facilitators. METHODS: Participants were 1,223 adults (mean age 51.6
years, standard deviation 15.1 years) treated in the Rehabilitation Centre of the
University Medical Center Groningen, who completed a questionnaire. The
questionnaire consisted of a self-constructed questionnaire regarding barriers
and facilitators. RESULTS: Fifty-eight percent of the participants were active in
sports after their rehabilitation. Younger age and a higher level of education
were positively associated with sports participation, whereas using assistive
devices and experiencing environmental barriers were negatively associated.
Facilitators of sports participation were health, fun and increasing physical
strength, and advice from rehabilitation professionals. CONCLUSION:
Rehabilitation professionals should emphasize the health benefits of, and
enjoyment from, sports participation for people with physical disabilities. They
should repeatedly remind people with physical disabilities to stay/become active
after completing their rehabilitation programme. Rehabilitation professionals
should also provide information about strategies to reduce environmental barriers
to sports participation, which could help people using assistive devices to
overcome these barriers.
PMID- 26538477
TI - Short-term weight loss with diet and physical activity in young adults: The IDEA
study.
AB - OBJECTIVE: This study examined the effect of a behavioral weight loss
intervention (BWLI) on young adults (age = 18-35 years). METHODS: Participants (N
= 470) enrolled in a 6-month BWLI that included weekly group sessions, a
prescribed energy-restricted diet, and moderate to vigorous physical activity
(MVPA). Assessments included weight, body composition, fitness, lipids, glucose,
insulin, resting blood pressure and heart rate, physical activity, and dietary
intake. Data are presented as median [25th, 75th percentiles]. RESULTS: Retention
was 90% (N = 424; age: 30.9 [27.8, 33.7] years; BMI: 31.2 [28.4, 34.3] kg m(-2)
). Participants completed 87.5% [76.1%, 95.5%] of scheduled intervention
contacts. Weight and body fat decreased while fitness increased (P < 0.0001).
MVPA in bouts >=10 min increased (P < 0.0001), though total MVPA did not change
significantly. Sedentary time decreased (P = 0.03). Energy and percent fat intake
decreased, while percent carbohydrate and protein intake increased (P < 0.0001).
Systolic and diastolic blood pressure, total cholesterol, LDL cholesterol,
triglycerides, glucose, and insulin decreased (P < 0.0001). CONCLUSIONS: A 6
month BWLI produced favorable changes in dietary intake and physical activity and
elicited favorable changes in weight and other health outcomes in young adults.
MVPA performed in bouts of >=10 min was associated with greater weight loss, but
sedentary behavior was not.
PMID- 26538479
TI - White light emission and optical gains from a Si nanocrystal thin film.
AB - We report a Si nanocrystal thin film consisting of free-standing Si nanocrystals,
which can emit white light and show positive optical gains for its red, green and
blue (RGB) components under ultraviolet excitation. Si nanocrystals with phi =
2.31 +/- 0.35 nm were prepared by chemical etching of Si powder, followed by
filtering. After being mixed with SiO2 sol-gel and thermally annealed, a
broadband photoluminescence (PL) from the thin film was observed. The RGB ratio
of the PL can be tuned by changing the annealing temperature or atmosphere, which
is 1.00/3.26/4.59 for the pure white light emission. The origins of the PL
components could be due to differences in oxygen-passivation degree for Si
nanocrystals. The results may find applications in white-light Si lasing and Si
lighting.
PMID- 26538480
TI - Is it oral or vaginal; and should it be misoprostol or dinoprostone for cervical
ripening? How to interpret a network meta-analysis.
PMID- 26538481
TI - Drivers of high-involvement consumers' intention to buy PDO wines: Valpolicella
PDO case study.
AB - BACKGROUND: This study investigates whether different sensory profiles of wines
belonging to the same Protected Designation of Origin (PDO) are perceived as
different products by consumers. It identifies the drivers of consumers'
intention to buy preferred wines. Descriptive sensory analysis, consumer tests
and consumer interviews were conducted to reach research aims. To perform the
consumer tests and interviews, 443 consumers participated in the survey. The
tasted wines comprised five samples representative of Valpolicella PDO wine.
Analysis of variance tests, principal component analysis and linear and logit
regressions were employed to verify the research hypotheses. RESULTS: The results
demonstrated: (1) different sensory profiles exist within the Valpolicella PDO
wine; (2) these sensory profiles result in consumers having the perception of
diversified products; (3) the perception of differences was less marked for
consumers than for trained assessors due to the different weight attributed to
visual, aroma and the taste/mouthfeel hedonic dimensions; and (4) consumers'
liking, as well as general perceptions, attitudes, preferences, wine knowledge
and experience, contribute to consumers' intentions to buy more than the socio
demographic characteristics of consumers. CONCLUSION: The analysis of the drivers
of consumers' intention to buy certain PDO wines provides new marketing insights
into the roles of intrinsic quality, preferences and consumers' subjective
characteristics in market segmentation. (c) 2015 Society of Chemical Industry.
PMID- 26538482
TI - Insights into immune responses in oral cancer through proteomic analysis of
saliva and salivary extracellular vesicles.
AB - The development and progression of oral cavity squamous cell carcinoma (OSCC)
involves complex cellular mechanisms that contribute to the low five-year
survival rate of approximately 20% among diagnosed patients. However, the
biological processes essential to tumor progression are not completely
understood. Therefore, detecting alterations in the salivary proteome may assist
in elucidating the cellular mechanisms modulated in OSCC and improve the clinical
prognosis of the disease. The proteome of whole saliva and salivary extracellular
vesicles (EVs) from patients with OSCC and healthy individuals were analyzed by
LC-MS/MS and label-free protein quantification. Proteome data analysis was
performed using statistical, machine learning and feature selection methods with
additional functional annotation. Biological processes related to immune
responses, peptidase inhibitor activity, iron coordination and protease binding
were overrepresented in the group of differentially expressed proteins. Proteins
related to the inflammatory system, transport of metals and cellular growth and
proliferation were identified in the proteome of salivary EVs. The proteomics
data were robust and could classify OSCC with 90% accuracy. The saliva proteome
analysis revealed that immune processes are related to the presence of OSCC and
indicate that proteomics data can contribute to determining OSCC prognosis.
PMID- 26538483
TI - Cp*Co(IPr): synthesis and reactivity of an unsaturated Co(i) complex.
AB - Synthesis of coordinatively unsaturated Cp*Co(IPr) (2), is accomplished by
addition of free N-heterocyclic carbene IPr to [(Cp*Co)2-MU-(eta(4):eta(4)
toluene)] (1). Stoichiometric reactivity is consistent with a 16 electron
species, as 2 undergoes ligand addition/NHC displacement and reversible reaction
with dihydrogen. Cp*Co(IPr) represents an elusive example of a stable Cp*CoL
fragment.
PMID- 26538484
TI - Does the effect of pelleting depend on the wheat sample when fed to chickens?
AB - Experimental comparisons of the nutritional value of different wheat cultivars
commonly use feeds in meal form even though the large-scale broiler producers use
steam pelleted feeds. The aim of this experiment was to examine the effect of
steam pelleting on the performance, dietary N-corrected apparent metabolisable
energy (AMEn), total tract dry matter retention (DMR), nitrogen retention (NR)
and fat digestibility (FD) coefficients, and digestive tract development of
broilers fed four different wheat samples in complete diets. Four European wheat
samples, with different chemical composition and endosperm characteristics, were
used in a broiler experiment. The wheat samples were milled through a 5 mm screen
and four basal feeds containing 670 g/kg of each selected wheat sample were
mixed. The basal feeds were then split into two batches and one of them was steam
pelleted resulting in eight experimental diets. Each diet was fed ad libitum to
eight pens of two male Ross 308 broilers from 10 to 24 days of age. Feeding
pelleted diets improved (P0.05). Feeding different wheat types and pelleting did
not (P>0.05) change the development of the gastrointestinal tract of the birds.
The study showed that there were differences between four wheat samples when they
were fed in pelleted complete feed, but no differences were observed when fed in
mash form complete diets. Research on the interaction between pelleting and wheat
chemical and quality characteristics is warranted.
PMID- 26538486
TI - Prevalence of aggressive behaviours among inpatients with psychiatric disorders:
A case study analysis from Jordan.
AB - In this study, we investigated the correlates of aggression among consumers with
mental illness within two psychiatric hospitals in Jordan. This was a
descriptive, cross sectional study carried out by auditing consumers' medical
records in regards to incidents of aggression before and during admission.
Approval was gained from 203 next of kins to review the consumers' medical
records. Results from this case analysis, found the prevalence of aggressive
behaviours among psychiatric inpatient's in Jordan to be 23.6%, the most common
form of aggression was consumer to consumer and that the aggressive act was more
likely to be perpetrated by younger consumers. Such findings contribute to the
discourse about aggression and understanding who and what causes aggression can
go toward identify strategies for early intervention and management. After all,
mental health units should be places of safety, that is, an asylum, and everyone
who enters that environment deserves to be safe.
PMID- 26538487
TI - The effects of cavity-filling techniques on microleakage in class II resin
restorations prepared with Er:YAG laser and diamond bur: A scanning electron
microscopy study.
AB - The purpose of this study was to investigate how two cavity-filling techniques
affect microleakage in class II resin restorations prepared with Er:YAG laser and
diamond bur. Standard MO and DO cavities were prepared in 20 extracted third
molars, each randomly assigned to either Group-1 [Herculite XRV Ultra-bur
prepared cavity(bp)], Group-2 [Herculite XRV Ultra-laser-prepared cavity(lp)],
Group-3 (SonicFill-(bp)], or Group-4 [SonicFill-(lp)]. For Groups 2 and 4,
cavities were prepared by using an Er:YAG laser with a wavelength of 2.94 MUm,
output power of 200 mJ/pulse, and repetition rate of 20Hz. Teeth were restored
with a one-step, self-etch adhesive material (OptiBond All-in-One), a nanohybrid
composite (Herculite XRV Ultra), and a bulk-fill composite (SonicFill) according
to the manufacturer's instructions. Five teeth from each group were chosen for
microleakage investigation and two teeth for scanning electron microscope
evaluation. Statistical analysis was performed by using Kruskal-Wallis test. Pair
wise comparisons were performed by Mann-Whitney U test with Bonferroni correction
(p < 0.05). The statistical analysis of data revealed greater microleakage in
cervical versus occlusal regions in all groups (P < 0.05). Group-2 yielded a
higher degree of marginal leakage than Group-3 in terms of occlusal surfaces (p <
0.05). For cervical regions, a statistically significant difference was observed
between Groups 2 and 3 as well as Groups 2 and 4 (p < 0.05). Group-3 exhibited
significantly better marginal sealing than Group-1 in the cervical region (p <
0.05). The cavities prepared using an Er:YAG laser showed greater microleakage
than those conventionally prepared using burs regardless of restorative material
at both occlusal and cervical margins. SCANNING 38:389-395, 2016. (c) 2015 Wiley
Periodicals, Inc.
PMID- 26538489
TI - Interferometry as a tool for evaluating effects of antimicrobial doses on
Mycobacterium bovis growth.
AB - Interferometry was used together with the conventional microplate resazurin assay
to evaluate the antimycobacterial properties of essential oil (EO) from fruits of
Pterodon emarginatus and also of rifampicin against Mycobacterium bovis. The aim
of this work is not only to investigate the potential antimycobacterial activity
of this EO, but also to test the interferometric method in comparison with the
conventional one. The Minimum Inhibitory Concentration (MIC) values of EO (625
MUg/mL) and rifampicin (4 ng/mL) were firstly identified with the microplate
method. These values were used as parameters in Drug Susceptibility Tests (DST)
with interferometry. The interferometry confirmed the MIC value of EO identified
with microplate and revealed a bacteriostatic behavior for this concentration. At
2500 MUg/mL interferometry revealed bactericidal activity of the EO.
Mycobacterial growth was detected with interferometry at 4 ng/mL of rifampicin
and even at higher concentrations. One important difference is that the
interferometric method preserves the sample, so that after weeks of quantitative
observation, the sample can be used to evaluate the bactericidal activity of the
tested drug.
PMID- 26538488
TI - Heritability of complex white matter diffusion traits assessed in a population
isolate.
AB - INTRODUCTION: Diffusion weighted imaging (DWI) methods can noninvasively
ascertain cerebral microstructure by examining pattern and directions of water
diffusion in the brain. We calculated heritability for DWI parameters in cerebral
white (WM) and gray matter (GM) to study the genetic contribution to the
diffusion signals across tissue boundaries. METHODS: Using Old Order Amish (OOA)
population isolate with large family pedigrees and high environmental
homogeneity, we compared the heritability of measures derived from three
representative DWI methods targeting the corpus callosum WM and cingulate gyrus
GM: diffusion tensor imaging (DTI), the permeability-diffusivity (PD) model, and
the neurite orientation dispersion and density imaging (NODDI) model. These
successively more complex models represent the diffusion signal modeling using
one, two, and three diffusion compartments, respectively. RESULTS: We replicated
the high heritability of the DTI-based fractional anisotropy (h(2) = 0.67) and
radial diffusivity (h(2) = 0.72) in WM. High heritability in both WM and GM
tissues were observed for the permeability-diffusivity index from the PD model
(h(2) = 0.64 and 0.84), and the neurite density from the NODDI model (h(2) =
0.70 and 0.55). The orientation dispersion index from the NODDI model was only
significantly heritable in GM (h(2) = 0.68). CONCLUSION: DWI measures from
multicompartmental models were significantly heritable in WM and GM. DWI can
offer valuable phenotypes for genetic research; and genes thus identified may
reveal mechanisms contributing to mental and neurological disorders in which
diffusion imaging anomalies are consistently found. Hum Brain Mapp 37:525-535,
2016. (c) 2015 Wiley Periodicals, Inc.
PMID- 26538490
TI - An Efficient Ion-Pair Liquid Chromatographic Method for the Determination of Some
H2 Receptor Antagonists.
AB - A simple, efficient and reliable ion-pair chromatography (IPC) method was
developed and validated for the determination of some H2 receptor antagonists
including ranitidine (RAN), nizatidine (NIZ) and famotidine (FAM). The use of IPC
separations provided improved peak resolution with good peak shape in short
analysis time and augmented method selectivity compared with the frequently used
RP-C18 methods. A simple isocratic mode with mobile phase containing acetonitrile
and 20 mM acetate buffer (50 : 50, v/v) containing 20 mM sodium dodecyl sulfate
was used for separation. The flow rate was set at 1.0 mL min(-1), and the
effluent was monitored by UV detector at 280 nm FAM and 320 nm for NIZ and RAN.
The method was validated in accordance with International Conference on
Harmonization guidelines and shown to be suitable for intended applications. The
limits of detections and quantitations were 0.008-0.011 and 0.025-0.033 ug mL(
1), respectively. The proposed IPC method was successfully applied for the
determination of pharmaceutical dosage forms without prior need for separation.
Additionally, the developed method was applied for the determination of RAN in
rabbit plasma using NIZ as the internal standard. The method entailed direct
injection of the plasma samples after deproteination using methanol. Finally, the
proposed IPC method was applied successfully in a pharmacokinetic study for RAN
in rabbits after a single oral dose of RAN.
PMID- 26538491
TI - Volatile Profile of Herniaria fontanesii Growing Spontaneously in Tunisia.
AB - The essential oil extracted from Desfontaine's rupturewort, Herniaria fontanesii
J. Gay subsp. fontanesii growing wildly in Tunisia, was analyzed using GC and GC
MS techniques. The free radical scavenging capacity and total phenol contents of
three crude extracts having different polarities (n-hexane, ethyl acetate and
methanol) were examined. Thus, a total of 35 constituents were identified in the
Desfontaine's rupturewort essential oil representing 89.8% of the whole
constituents. The oil was dominated by hexadecanoic acid, caryophyllene oxide,
terpin-4-ol, khusimone and trans-sabinene hydrate. The total phenolic contents
ranged from 16.91 to 92.27 mg of gallic acid/g of dry weight and they were found
to be significantly higher in methanol than in polar ethyl acetate and hexane
extracts. Correlations were observed between the phenolic contents and the
antioxidant properties. Thus, the antioxidant activity of the methanol extract
was superior to that of all samples tested (IC50 = 0.21 +/- 0.04 mg/mL).
PMID- 26538492
TI - HPLC/Fluorometric Detection of Carvedilol in Real Human Plasma Samples Using
Liquid-Liquid Extraction.
AB - A simple, rapid and sensitive high-performance liquid chromatography (HPLC)
method has been developed to quantify carvedilol in human plasma using an
isocratic system with fluorescence detection. The method included a single-step
liquid-liquid extraction with diethylether and ethylacetate mixture (3 : 1, v/v).
HPLC separation was carried out by reversed-phase chromatography with a mobile
phase composed of 20 mM phosphate buffer (pH 7)-acetonitrile (65 : 35, v/v),
pumped at a flow rate of 1.0 mL/min. Fluorescence detection was performed at 240
nm (excitation) and 330 nm (emission). The calibration curve for carvedilol was
linear from 10 to 250 ng/mL. Intra- and interday precision values for carvedilol
in human plasma were <4.93%, and accuracy (relative error) was better than 4.71%.
The analytical recovery of carvedilol from human plasma averaged out to 91.8%.
The limits of detection and quantification of carvedilol were 3.0 and 10 ng/mL,
respectively. Also, the method was successfully applied to three patients with
hypertension who had been given an oral tablet of 25 mg carvedilol.
PMID- 26538493
TI - Quality improvement in documentation for patients with suspected facial
fractures: use of a structured record keeping tool.
AB - OBJECTIVE: Patients with injuries to the midface frequently sustain ophthalmic
injuries and fractures to the facial bones. Despite this, basic ophthalmic
examination and assessment of important clinical signs are often missing from the
records of patients attending the emergency department (ED). We implemented a
structured record keeping tool to improve documentation for patients presenting
to the ED with midface injuries. METHODS: At our institution, a structured record
keeping tool was introduced to document important clinical features of
maxillofacial injuries. This assessment tool included 17 key clinical diagnostic
signs and symptoms including a six-part basic ophthalmic examination. We audited
369 patients attending the ED with suspected midface bony injuries using this
tool. RESULTS: A statistically significant improvement in the documentation of
all six ophthalmic parameters was seen. The documentation rate of visual acuity
increased by 41.1% (SE 2.8; p<0.001); diplopia by 45% (2.9; p<0.001); double
vision by 51% (2.9; p<0.001); lateral subconjunctival haemorrhage with no
posterior limit by 83% (2.6; p<0.001) and enopthalmous by 86% (2.4; p<0.001).
Documenting whether pupils were equal and react to light increased by 14% (1.4;
p<0.001). In addition, 10 out of 11 non-ophthalmic parameters showed significant
improvement. The mean global record keeping score increased from 45.3% (95% CI
42.7% to 47.7%) to 99.1% (95% CI 98.2% to 100%; p<0.001). CONCLUSIONS: This work
demonstrates that a structured record keeping tool is a simple and effective
method of significantly improving clinical documentation for patients with facial
injuries presenting to the ED.
PMID- 26538494
TI - Serum levels of 25(OH)D are not associated with venous thromboembolism in the
elderly population. A case-control study.
AB - The prevalence of both vitamin D deficiency and venous thromboembolism (VTE) is
important in the elderly. Previous studies have provided evidence for a possible
association between vitamin D status and the risk of VTE. Thus, we aimed to
investigate the association between vitamin D levels and VTE in the population
aged 75 and over included in the EDITH case-control study. The association
between vitamin D status and VTE was analysed. We also analysed the monthly and
seasonal variations of VTE and vitamin D. Between May 2000 and December 2009, 340
elderly patients (mean age 81.5 years, 32% men) with unprovoked VTE and their
controls were included. The univariate and multivariate analysis found no
significant association between serum levels of vitamin D and the risk of
unprovoked VTE. In the unadjusted analysis, a higher BMI was statistically
associated with an increased risk of VTE (OR 1.09; 95% CI 1.05-1.13) whereas a
better walking capacity and living at home were associated with a decreased rate
of VTE: OR 0.57; 95% CI 0.36-0.90 and 0.40; 95% CI 0.25-0.66, respectively.
Although not significant, more VTE events occurred during winter (p=0.09). No
seasonal variations of vitamin D levels were found (p=0.11). In conclusion, in
contrast with previous reports our findings suggest that vitamin D is not
associated with VTE in the elderly population.
PMID- 26538495
TI - Nanoscale Structuring of Surfaces by Using Atomic Layer Deposition.
AB - Controlled structuring of surfaces is interesting for a wide variety of areas,
including microelectronic device fabrication, optical devices, bio(sensing),
(electro-, photo)catalysis, batteries, solar cells, fuel cells, and sorption. A
unique feature of atomic layer deposition (ALD) is the possibility to form
conformal uniform coatings on arbitrarily shaped materials with controlled atomic
scale thickness. In this Minireview, we discuss the potential of ALD for the
nanoscale structuring of surfaces, highlighting its versatile application to
structuring both planar substrates and powder materials. Recent progress in the
application of ALD to porous substrates has even made the nanoscale structuring
of high-surface-area materials now feasible, thereby enabling novel applications,
such as those in the fields of catalysis and alternative energy.
PMID- 26538496
TI - Dose-Dense Temozolomide in Patients with MGMT-Silenced Chemorefractory Colorectal
Cancer.
AB - BACKGROUND: In a phase II study, we showed that temozolomide (TMZ) was tolerable
and active in heavily pre-treated patients with advanced colorectal cancer (CRC)
and MGMT methylation. A schedule of dose-dense TMZ may have enhanced activity due
to the higher cumulative dose and induction of MGMT depletion, even in resistant
tumors. METHODS: Thirty-two patients with chemorefractory MGMT-methylated CRC
were treated with TMZ at a daily dose of 75 mg/m(2) for 21 consecutive days every
4 weeks, for up to six cycles or until the occurrence of progressive
disease/unacceptable toxicity. The primary endpoint was treatment activity in
terms of objective response rate (ORR). MGMT protein expression was tested by
immunohistochemistry (IHC) on two pooled cohorts: patients from the previous
study of standard-dose TMZ and those from the current investigation. RESULTS:
From November 2013 to December 2014, 32 patients were treated at Fondazione IRCCS
Istituto Nazionale dei Tumori. We observed only three episodes of grade 3
asthenia and no significant myelotoxicity. The ORR was 16 % (all partial
responses occurring in RAS-BRAF-mutated tumors). Median progression-free survival
(PFS) and overall survival (OS) were 2.3 and 6.7 months, respectively. Patients
with MGMT-low expression by IHC had a significantly higher ORR (p < 0.0001) and
PFS (p = 0.001) compared to those with MGMT-high expression, while no difference
was observed in OS. CONCLUSIONS: Our data confirm the encouraging activity of TMZ
in chemorefractory CRC patients selected for MGMT silencing, even in the RAS-BRAF
mutated population. The role of MGMT IHC as a biomarker for improving patient
selection warrants further prospective confirmation.
PMID- 26538497
TI - Adult-onset actinic prurigo: report of 19 patients from Taiwan.
PMID- 26538498
TI - Physician spending and subsequent risk of malpractice claims: observational
study.
AB - STUDY QUESTION: Is a higher use of resources by physicians associated with a
reduced risk of malpractice claims? METHODS: Using data on nearly all admissions
to acute care hospitals in Florida during 2000-09 linked to malpractice history
of the attending physician, this study investigated whether physicians in seven
specialties with higher average hospital charges in a year were less likely to
face an allegation of malpractice in the following year, adjusting for patient
characteristics, comorbidities, and diagnosis. To provide clinical context, the
study focused on obstetrics, where the choice of caesarean deliveries are
suggested to be influenced by defensive medicine, and whether obstetricians with
higher adjusted caesarean rates in a year had fewer alleged malpractice incidents
the following year. STUDY ANSWER AND LIMITATIONS: The data included 24,637
physicians, 154,725 physician years, and 18,352,391 hospital admissions; 4342
malpractice claims were made against physicians (2.8% per physician year). Across
specialties, greater average spending by physicians was associated with reduced
risk of incurring a malpractice claim. For example, among internists, the
probability of experiencing an alleged malpractice incident in the following year
ranged from 1.5% (95% confidence interval 1.2% to 1.7%) in the bottom spending
fifth ($19,725 (L12,800; ?17,400) per hospital admission) to 0.3% (0.2% to 0.5%)
in the top fifth ($39,379 per hospital admission). In six of the specialties, a
greater use of resources was associated with statistically significantly lower
subsequent rates of alleged malpractice incidents. A principal limitation of this
study is that information on illness severity was lacking. It is also uncertain
whether higher spending is defensively motivated. WHAT THIS STUDY ADDS: Within
specialty and after adjustment for patient characteristics, higher resource use
by physicians is associated with fewer malpractice claims. FUNDING, COMPETING
INTERESTS, DATA SHARING: This study was supported by the Office of the Director,
National Institutes of Health (grant 1DP5OD017897-01 to ABJ) and National
Institute of Aging (R37 AG036791 to JB). The authors have no competing interests
or additional data to share.
PMID- 26538499
TI - Cyclopropenone-caged Sondheimer diyne (dibenzo[a,e]cyclooctadiyne): a
photoactivatable linchpin for efficient SPAAC crosslinking.
AB - The first fully conjugated bis-cyclopropenone (photo-DIBOD), a derivative of
dibenzo[a,e][8]annulene, has been synthesized. 350-420 nm irradiation of this
robust compound results in the efficient formation of dibenzo [a,e]
cyclooctadiyne, an unstable, but useful SPAAC cross-linking reagent. Since photo
DIBO doesn't react with organic azides, this method allows for the spatiotemporal
control of the ligation of two azide-tagged substrates.
PMID- 26538500
TI - An assessment of catalytic residue 3D ensembles for the prediction of enzyme
function.
AB - BACKGROUND: The central element of each enzyme is the catalytic site, which
commonly catalyzes a single biochemical reaction with high specificity. It was
unclear to us how often sites that catalyze the same or highly similar reactions
evolved on different, i. e. non-homologous protein folds and how similar their 3D
poses are. Both similarities are key criteria for assessing the usability of pose
comparison for function prediction. RESULTS: We have analyzed the SCOP database
on the superfamily level in order to estimate the number of non-homologous
enzymes possessing the same function according to their EC number. 89% of the 873
substrate-specific functions (four digit EC number) assigned to mono-functional,
single-domain enzymes were only found in one superfamily. For a reaction-specific
grouping (three digit EC number), this value dropped to 35%, indicating that in
approximately 65% of all enzymes the same function evolved in two or more non
homologous proteins. For these isofunctional enzymes, structural similarity of
the catalytic sites may help to predict function, because neither high sequence
similarity nor identical folds are required for a comparison. To assess the
specificity of catalytic 3D poses, we compiled the redundancy-free set ENZ_SITES,
which comprises 695 sites, whose composition and function are well-defined. We
compared their poses with the help of the program Superpose3D and determined
classification performance. If the sites were from different superfamilies, the
number of true and false positive predictions was similarly high, both for a
coarse and a detailed grouping of enzyme function. Moreover, classification
performance did not improve drastically, if we additionally used homologous sites
to predict function. CONCLUSIONS: For a large number of enzymatic functions,
dissimilar sites evolved that catalyze the same reaction and it is the individual
substrate that determines the arrangement of the catalytic site and its local
environment. These substrate-specific requirements turn the comparison of
catalytic residues into a weak classifier for the prediction of enzyme function.
PMID- 26538501
TI - Antimicrobial de-escalation of treatment for healthcare-associated pneumonia
within the Veterans Healthcare Administration.
AB - OBJECTIVES: The objective of this study was to measure quantitatively
antimicrobial de-escalation utilizing electronic medication administration data
based on the spectrum of activity for antimicrobial therapy (i.e. spectrum score)
to identify variables associated with de-escalation in a nationwide healthcare
system. METHODS: A retrospective cohort study of patients hospitalized for
healthcare-associated pneumonia was conducted in Veterans Affairs Medical Centers
(n = 119). Patients hospitalized for healthcare-associated pneumonia on acute
care wards between 5 and 14 days who received antimicrobials for >= 3 days during
calendar years 2008-11 were evaluated. The spectrum score method was applied at
the patient level to measure de-escalation on day 4 of hospitalization. De
escalation was expressed in aggregate and facility-level proportions. Logistic
regression was used to assess variables associated with de-escalation. ORs with
95% CIs were reported. RESULTS: Among 9319 patients, the de-escalation proportion
was 28.3% (95% CI 27.4-29.2), which varied 6-fold across facilities [median (IQR)
facility-level de-escalation proportion 29.1% (95% CI 21.7-35.6)]. Variables
associated with de-escalation included initial broad-spectrum therapy (OR 1.5,
95% CI 1.4-1.5 for each 10% increase in spectrum), collection of respiratory
tract cultures (OR 1.1, 95% CI 1.0-1.2) and care in higher complexity facilities
(OR 1.3, 95% CI 1.1-1.6). Respiratory tract cultures were collected from 35.3%
(95% CI 32.7-37.7) of patients. CONCLUSIONS: De-escalation of antimicrobial
therapy was limited and varied substantially across facilities. De-escalation was
associated with respiratory tract culture collection and treatment in a high
complexity-level facility.
PMID- 26538502
TI - Resistance patterns in clinical isolates of pathogenic Actinomyces species.
AB - OBJECTIVES: Actinomyces spp. are commensals that may occasionally invade deep
tissue structures, causing difficult-to-treat and disfiguring lesions.
Information on antimicrobial resistance patterns is limited to observations from
two previous studies. Therefore, we examined antimicrobial resistance patterns in
clinical isolates of Actinomyces spp. METHODS: In this retrospective assessment
of antimicrobial resistance patterns, we identified 392 Actinomyces spp. at a
tertiary care centre from January 2008 to December 2014. MICs of various
antimicrobial agents, including ampicillin/sulbactam, meropenem, clindamycin,
metronidazole and vancomycin for anaerobic actinomycetes, were obtained by Etest.
For aerobic actinomycetes, imipenem, cefotaxime, amikacin, linezolid,
moxifloxacin, trimethoprim/sulfamethoxazole and clarithromycin were tested. MIC
results were interpreted based on guidelines published by the CLSI (formerly
NCCLS). RESULTS: Actinomyces meyeri was predominantly isolated and accounted for
34% of all Actinomyces spp. identified, followed by Actinomyces turicensis with
23%. Actinomyces neuii is considered to be a rare Actinomyces sp., but accounted
for 8% of isolates. Antimicrobial susceptibility testing of isolates showed that
the Actinomyces spp. were almost uniformly susceptible to beta-lactam
antimicrobials (with and without beta-lactamase inhibitors), carbapenems,
tetracyclines and vancomycin. In contrast, Actinomyces spp. isolates were almost
uniformly resistant to metronidazole. CONCLUSIONS: beta-Lactam antimicrobial
agents remain the first choice, whereas metronidazole should be avoided, in the
treatment of actinomycosis. Reasonable alternatives for treatment are
tetracyclines and carbapenems.
PMID- 26538503
TI - Population pharmacokinetics of linezolid in critically ill patients on renal
replacement therapy: comparison of equal doses in continuous venovenous
haemofiltration and continuous venovenous haemodiafiltration.
AB - OBJECTIVES: Few data are available to guide linezolid dosing during renal
replacement therapy. The objective of this study was to compare the population
pharmacokinetics of linezolid during continuous venovenous haemofiltration
(CVVHF, 30 mL/kg/h) and continuous venovenous haemodiafiltration (CVVHDF, 15
mL/kg/h + 15 mL/kg/h). METHODS: Patients requiring linezolid 600 mg iv every 12 h
and CVVHF or CVVHDF were eligible for this prospective study. Seven blood samples
were collected over one dosing interval and analysed by a validated
chromatographic method. Population pharmacokinetic analysis was undertaken using
Pmetrics. Monte Carlo simulations evaluated achievement of a pharmacodynamics
target of an AUC from 0-24 h to MIC (AUC0-24/MIC) of 80. RESULTS: Nine CVVHDF and
eight CVVHF treatments were performed in 13 patients. Regimens of CVVHDF and
CVVHF were similar. A two-compartment linear model best described the data.
CVVHDF was associated with a 20.5% higher mean linezolid clearance than CVVHF,
without statistical significance (P = 0.39). Increasing patient weight and
decreasing SOFA score were associated with increasing linezolid clearance. The
mean (SD) parameter estimates were: clearance (CL), 3.8 (2.2) L/h; volume of the
central compartment, 26.5 (10.3) L; intercompartmental clearance constants from
central to peripheral, 8.1 (12.1) L/h; and peripheral to central compartments,
3.6 (4.0) L/h. Achievement of pharmacodynamic targets was poor for an MIC of 2
mg/L with the studied dose. CONCLUSIONS: During CVVHF and CVVHDF, there is
profound pharmacokinetic variability of linezolid. Suboptimal achievement of
therapeutic targets occurs at the EUCAST breakpoint MIC of 2 mg/L using 600 mg iv
every 12 h.
PMID- 26538504
TI - Evidence for the critical role of a secondary site rpoB mutation in the
compensatory evolution and successful transmission of an MDR tuberculosis
outbreak strain.
AB - BACKGROUND: MDR Mycobacterium tuberculosis clinical strains that cause large
outbreaks, particularly among HIV-negative patients, are likely to have undergone
the most successful compensatory evolution. Hence, mutations secondary to the
acquisition of drug resistance are worthy of consideration in these highly
transmissible strains. Here, we assessed the role of a mutation within rpoB, rpoB
V615M, secondary to the rifampicin resistance-conferring mutation rpoB S531L,
which is associated with a major MDR tuberculosis outbreak strain that evolved in
an HIV-negative context in northern Tunisia. METHODS: Using BCG as a model
organism, we engineered strains harbouring either the rpoB S531L mutation alone
or the double mutation rpoB S531L, V615M. Individual and competitive in vitro
growth assays were performed in order to assess the relative fitness of each BCG
mutant. RESULTS: The rpoB V615M mutation was found to be invariably associated
with rpoB S531L. Structural analysis mapped rpoB V615M to the same bridge helix
region as rpoB compensatory mutations previously described in Salmonella.
Compared with the rpoB single-mutant BCG, the double mutant displayed improved
growth characteristics and fitness rates equivalent to WT BCG. Strikingly, the
rpoB double mutation conferred high-level resistance to rifampicin. CONCLUSIONS:
Here, we demonstrated the fitness compensatory role of a mutation within rpoB,
secondary to the rifampicin resistance mutation rpoB S531L, which is
characteristic of an MDR M. tuberculosis major outbreak strain. The finding that
this secondary mutation concomitantly increased the resistance level to
rifampicin argues for its significant contribution to the successful transmission
of the MDR-TB strain.
PMID- 26538505
TI - A real-time PCR assay for direct characterization of the Neisseria gonorrhoeae
GyrA 91 locus associated with ciprofloxacin susceptibility.
AB - OBJECTIVES: The objective of this study was to develop a real-time PCR method for
specific detection of the gonococcal GyrA amino acid 91 locus directly in
clinical samples so as to predict Neisseria gonorrhoeae ciprofloxacin
susceptibility. METHODS: The real-time PCR assay, GyrA91-PCR, was designed using
two probes, one for detection of the WT S91 sequence and the other for detection
of the S91F alteration. The performance of the assay was initially assessed using
characterized N. gonorrhoeae isolates (n = 70), a panel of commensal Neisseria
and Moraxella species (n = 55 isolates) and clinical samples providing negative
results by a commercial N. gonorrhoeae nucleic acid amplification test (NAAT)
method (n = 171). The GyrA91-PCR was then applied directly to N. gonorrhoeae NAAT
positive clinical samples (n = 210) from the year 2014 for which corresponding N.
gonorrhoeae isolates with susceptibility results were also available. RESULTS:
The GyrA91-PCR accurately characterized the GyrA 91 locus of all 70 N.
gonorrhoeae isolates (sensitivity = 100%, 95% CI = 94.9%-100%), whereas all non
gonococcal isolates and N. gonorrhoeae NAAT-negative clinical samples gave
negative results by the GyrA91-PCR (specificity = 100%, 95% CI = 98.4%-100%).
When applied to the 210 N. gonorrhoeae NAAT-positive clinical samples, the GyrA91
PCR successfully characterized 195 samples (92.9%, 95% CI = 88.5%-95.9%). When
compared with the corresponding bacterial culture results, positivity by the
GyrA91-PCR WT probe correctly predicted N. gonorrhoeae susceptibility to
ciprofloxacin in 161 of 162 (99.4%, 95% CI = 96.6%-99.9%) samples. CONCLUSIONS:
The use of a PCR assay for detection of mutation in gyrA applied directly to
clinical samples can predict ciprofloxacin susceptibility in N. gonorrhoeae.
PMID- 26538506
TI - Plasma and intracellular exposure to ganciclovir in adult renal transplant
recipients: is there an association with haematological toxicity?
AB - OBJECTIVES: Ganciclovir is the most widely used treatment for cytomegalovirus
infections. However, neutropenia is a frequent associated adverse effect leading
to a decrease in the ganciclovir dose or discontinuation of the therapy, thereby
favouring viral resistance. In the present study, the objectives were: (i) to
describe the pharmacokinetics of blood and intracellular ganciclovir and its
metabolites; and (ii) to explore the relationship between exposure to ganciclovir
and/or its metabolites and evolution of the neutrophil count under treatment.
METHODS: Pharmacokinetic profiles (pre-dose and 1, 2, 3 and 5 h after dosing) of
ganciclovir and its metabolites were measured in 22 adult renal transplant
patients and further modelled by a non-parametric approach (PMetrics((r))). The
relationship between exposure indices to ganciclovir and the slope of the
neutrophil count was investigated using multiple linear regression. RESULTS: A
four-compartment open model was able to accurately describe ganciclovir and its
intracellular forms. A significant association was found between intracellular
ganciclovir triphosphate concentrations (AUC0-5) and the decrease in neutrophil
count over the first 3 months of treatment (beta= -0.0019 +/- 5 * 10(-4); P <
0.01). CONCLUSIONS: In this population of renal transplant patients, the decrease
in neutrophil count, used as a surrogate marker of haematological toxicity, was
associated with ganciclovir triphosphate accumulation in blood cells. Further
studies are needed to test this biomarker as a predictive factor for toxicity.
PMID- 26538507
TI - Impact of the MIC of piperacillin/tazobactam on the outcome for patients with
bacteraemia due to Enterobacteriaceae: the Bacteraemia-MIC project.
AB - OBJECTIVE: Our objective was to evaluate the impact of low versus borderline MIC
of piperacillin/tazobactam on the clinical outcomes of patients with bacteraemia
caused by Enterobacteriaceae who were treated with that antimicrobial. PATIENTS
AND METHODS: A prospective observational multicentre cohort study was conducted
in 13 Spanish university hospitals. Patients >17 years old with bacteraemia due
to Enterobacteriaceae who received empirical piperacillin/tazobactam treatment
for at least 48 h were included. Outcome variables were clinical response at day
21, clinical response at end of treatment with piperacillin/tazobactam and all
cause 30 day mortality. Univariate and multivariate logistic regression analyses
were performed. RESULTS: Overall, 275 patients were included in the analysis; 248
(90.2%) in the low MIC group (<= 4 mg/L) and 27 (9.8%) in the borderline MIC
group (8-16 mg/L). The biliary tract was the most common source of infection
(48.4%) and Escherichia coli was the most frequent pathogen (63.3%). Crude 30 day
mortality rates were 10.5% and 11.1% for the low MIC group and the borderline MIC
group, respectively (relative risk = 1.06, 95% CI = 0.34-3.27, P = 1).
Multivariate analysis of failure at day 21 and at end of treatment with
piperacillin/tazobactam and 30 day mortality showed no trend towards increased
clinical failure or mortality with borderline MICs (OR = 0.96, 95% CI = 0.18
4.88, P = 0.96; OR = 0.47, 95% CI = 0.10-2.26, P = 0.35; OR = 1.48, 95% CI = 0.33
6.68, P = 0.6). CONCLUSIONS: We did not find that higher piperacillin/tazobactam
MIC within the susceptible or intermediate susceptibility range had a significant
influence on the outcome for patients with bacteraemia due to Enterobacteriaceae.
PMID- 26538508
TI - Placental transfer of the HIV integrase inhibitor dolutegravir in an ex vivo
human cotyledon perfusion model.
AB - OBJECTIVES: Data on fetal exposure to antiretroviral agents during pregnancy are
important to estimate their potential for prevention of mother-to-child
transmission (PMTCT) and possible toxicity. For the recently developed HIV
integrase inhibitor dolutegravir, clinical data on fetal disposition are not yet
available. Dual perfusion of a single placental lobule (cotyledon) provides a
useful ex vivo model to predict the in vivo maternal-to-fetal transfer of this
drug. The aim of this study was to estimate the transfer of dolutegravir across
the human term placenta, using a dual-perfusion cotyledon model. METHODS: After
cannulation of the cotyledons (n = 6), a fetal circulation of 6 mL/min and
maternal circulation of 12 mL/min were initiated. The perfusion medium consisted
of Krebs-Henseleit buffer (pH = 7.2-7.4) supplemented with 10.1 mM glucose, 30
g/L human serum albumin and 0.5 mL/L heparin 5000IE. Dolutegravir was
administered to the maternal circulation (~ 4.2 mg/L) and analysed by UPLC-MS/MS.
RESULTS: After 3 h of perfusion, the mean +/- SD fetal-to-maternal (FTM)
concentration ratio of dolutegravir was 0.6 +/- 0.2 and the mean +/- SD
concentrations in the maternal and fetal compartments were 2.3 +/- 0.4 and 1.3 +/
0.3 mg/L, respectively. CONCLUSIONS: Dolutegravir crosses the blood-placental
barrier with a mean FTM concentration ratio of 0.6. Compared with other
antiretroviral agents, placental transfer of dolutegravir is moderate to high.
These data suggest that dolutegravir holds clinical potential for pre-exposure
prophylaxis and consequently PMTCT, but also risk of fetal toxicity.
PMID- 26538509
TI - Susceptibility testing breakpoints for Mycobacterium tuberculosis categorize
isolates with resistance mutations in gyrA as susceptible to fluoroquinolones:
implications for MDR-TB treatment and the definition of XDR-TB.
AB - OBJECTIVES: Fluoroquinolones (FQs) are important in the treatment of MDR-TB and
in the definition of XDR-TB. Our objective was to investigate how discrepancies
in the phenotypic and genotypic methods for antimicrobial susceptibility testing
could affect the interpretation of antimicrobial susceptibility test results.
METHODS: We analysed MICs of ofloxacin and levofloxacin in Middlebrook 7H10 broth
(7H10) as well as sequencing of the quinolone resistance-determining region of
the gyrA gene and the MTBDRsl assay in 75 resistant isolates, including MDR and
XDR strains of Mycobacterium tuberculosis. RESULTS: Among 75 resistant isolates,
27 had mutations associated with FQ resistance. Among isolates with resistance
mutations in gyrA, 26% (seven of 27) were susceptible to levofloxacin and
ofloxacin by phenotypic testing at 1 mg/L and 2 mg/L. The most common mutation
was in codon 94 and these isolates had significantly increased MICs of
levofloxacin (2-8 mg/L) compared with isolates with mutations in codon 90 (0.25-2
mg/L, P < 0.05). The sensitivity and specificity for the MTBDRsl assay compared
with gyrA sequencing were 96% and 98%, respectively. CONCLUSION: Current critical
concentrations may classify up to 26% of isolates with gyrA mutations as
susceptible to FQs due to a close relationship between susceptible and resistant
populations. These results should be considered while improving clinical
breakpoints for M. tuberculosis and may have an impact on the definition of XDR
TB.
PMID- 26538510
TI - Return to the Taung cave paradigm.
AB - OBJECTIVES: The Taung hominin fossil was recovered in 1924 during quarry
operations in the tufa formations of the Buxton Limeworks. Reconstructions of the
depositional environment of the juvenile Australopithecus skull have concentrated
on the types of caves that form within the tufa. Hopley et al. (Am J Phys
Anthropol 151 (2013) 316-324) proposed a new model in which the pink carbonate
deposits, in which many of the Taung fossils are found, formed as open
terrestrial pedogenic deposits. The objective here is to challenge that notion.
MATERIALS AND METHODS: Observations of the depositional environments at Taung are
based upon the University of the Witwatersrand paleontological excavations at the
Buxton Limeworks from 1988 to 1993, and subsequent laboratory analysis of the
fossils and sediments. RESULTS: Hopley et al. (Am J Phys Anthropol 151 (2013) 316
324) conflate numerous distinct outcroppings of the pink carbonates as a single
"unit." The excavations revealed numerous fossiliferous deposits that differ
greatly in taphonomic origins and formation processes, and that cannot be
considered a "unit" despite the commonality of pink carbonates. There are
deposits that fit the model proposed by Hopley et al. (Am J Phys Anthropol 151
(2013) 316-324), but they are not the ones that yielded the most significant
fossils. DISCUSSION: Most of the fossiliferous deposits, including those most
likely to have yielded the Taung hominin, are best reconstructed as being of
karst origins.
PMID- 26538511
TI - First-pass myocardial perfusion imaging with whole-heart coverage using L1-SPIRiT
accelerated variable density spiral trajectories.
AB - PURPOSE: To design and evaluate two-dimensional (2D) L1-SPIRiT accelerated spiral
pulse sequences for first-pass myocardial perfusion imaging with whole heart
coverage capable of measuring eight slices at 2 mm in-plane resolution at heart
rates up to 125 beats per minute (BPM). METHODS: Combinations of five different
spiral trajectories and four k-t sampling patterns were retrospectively simulated
in 25 fully sampled datasets and reconstructed with L1-SPIRiT to determine the
best combination of parameters. Two candidate sequences were prospectively
evaluated in 34 human subjects to assess in vivo performance. RESULTS: A dual
density broad transition spiral trajectory with either angularly uniform or
golden angle in time k-t sampling pattern had the largest structural similarity
and smallest root mean square error from the retrospective simulation, and the L1
SPIRiT reconstruction had well-preserved temporal dynamics. In vivo data
demonstrated that both of the sampling patterns could produce high quality
perfusion images with whole-heart coverage. CONCLUSION: First-pass myocardial
perfusion imaging using accelerated spirals with optimized trajectory and k-t
sampling pattern can produce high quality 2D perfusion images with whole-heart
coverage at the heart rates up to 125 BPM. Magn Reson Med 76:1375-1387, 2016. (c)
2015 International Society for Magnetic Resonance in Medicine.
PMID- 26538512
TI - The role of rotational thromboelastometry in assessment of haemostasis during
pregnancy in women with factor XI deficiency.
AB - INTRODUCTION: Women with factor XI (FXI) deficiency are at an increased risk of
bleeding complications at delivery. Obstetric management is complicated by a lack
of correlation between FXI level and bleeding risk. AIM: The aims of this study
were to assess the difference in rotational thromboelastometry (ROTEM(r) ) in
parturient women with FXI deficiency compared to parturient and non-parturient
controls and to evaluate the usefulness of ROTEM(r) in assessing bleeding risk at
delivery in women with FXI deficiency. METHODS: ROTEM(r) was performed on 60
women: 27 with FXI deficiency, 20 age-matched parturient controls and 12 non
parturient controls. Pregnancy outcomes and haemostatic cover was reviewed in 57
deliveries of women with FXI deficiency. RESULTS: Women with FXI deficiency had a
longer clotting time (CT) and clot formation time (CFT) (P < 0.001), reduced
alpha angle (P < 0.001) but no difference in MCF (P = 0.054) compared to
parturient controls. Compared to non-parturient controls, they had a longer CT (P
< 0.001), but shorter CFT (P < 0.001), increased alpha angle (P < 0.001) and
increased MCF (P = 0.005). ROTEM(r) was an additional helpful parameter in
managing parturient women with FXI deficiency, reducing the need for factor
administration. CONCLUSION: ROTEM(r) demonstrated hypercoagulable changes during
pregnancy in women with FXI deficiency. However, they took longer to clot
compared to parturient controls, but had increased clot consolidation and clot
strength compared to non-parturient controls. ROTEM(r) is an additional test that
is helpful to assess bleeding risk and provision of appropriate haemostatic cover
at delivery.
PMID- 26538513
TI - Monitoring the outcomes of interventions against Taenia solium: options and
suggestions.
AB - There is an increasing interest in reducing the incidence of human
neurocysticercosis, caused by infection with the larval stage of Taenia solium.
Several intervention trials are currently assessing various options for control
of T. solium transmission. A critical aspect of these trials will be the
evaluation of whether the interventions have been successful. However, there is
no consensus about the most appropriate or valuable methods that should be used.
Here, we undertake a critical assessment of the diagnostic tests which are
currently available for human T. solium taeniasis and human and porcine
cysticercosis, as well as their suitability for evaluation of intervention trial
outcomes. Suggestions are made about which of the measures that are available for
evaluation of T. solium interventions would be most suitable, and which
methodologies are the most appropriate given currently available technologies.
Suggestions are also made in relation to the most urgent research needs in order
to address deficiencies in current diagnostic methods.
PMID- 26538515
TI - Intraductal spread of prostate cancer into the seminal vesicles.
AB - We read with interest the significance of the different types of seminal vesicle
invasion described in the recent paper by Kristiansen et al 1 The three types of
invasion of the seminal vesicle are well recognised, but there is a much rarer
method of invasion, that is by intraductal cancer spreading up into the seminal
vesicles via the ejaculatory ducts. This method has been reported in a single
case report 2 but was not seen in the series by Kristiansen 1. This article is
protected by copyright. All rights reserved.
PMID- 26538514
TI - Active lifestyle in childhood and adolescence prevents obesity development in
young adulthood.
AB - OBJECTIVE: To test the hypothesis that individuals who are active but who
decrease physical activity (PA) over time have a higher risk of becoming obese in
young adulthood, when compared to individuals who are consistently active
throughout childhood and adolescence. METHODS: Iowa Bone Development Study cohort
members (242 males and 251 females) participated in accelerometry assessments,
dual-energy X-ray absorptiometry scans, and dietary questionnaire surveys at ages
5, 8, 11, 13, 15, 17, and 19 years. Group-based trajectory analyses identified
distinct trajectory patterns of moderate- to vigorous-intensity PA (MVPA),
percentage of body fat, and energy intake. A multivariable logistic regression
model was fit to estimate the odds of "becoming obese" based on the MVPA
trajectories, adjusted for mother's education, somatic maturation, and energy
intake. RESULTS: Among males, 74.7% had a "normal" body fat pattern, 14.6% had a
"becoming obese" pattern, and 10.7% had a "consistently obese" pattern, while
among females, the percentages were 58.6%, 28.6%, and 12.8%, respectively.
Participants who were active (>=45 min MVPA) as children but decreased MVPA with
age were more likely to become obese, compared to consistently active
participants (adjusted OR = 2.77; 95% CI = 1.16, 6.58). CONCLUSIONS: An active
lifestyle throughout childhood and adolescence could prevent obesity development
in young adulthood.
PMID- 26538517
TI - Effect and mechanism of pyridoxamine on the lipid peroxidation and stability of
polyunsaturated fatty acids in beef patties.
AB - BACKGROUND: Little is known about how vitamins can affect the peroxidation and
stability of polyunsaturated fatty acids in cooked foods. Thus the effects of 15
vitamins on toxic malondialdehyde (MDA) formation in cooked beef patties were
examined with the application of solid phase extraction and thiobarbituric acid
(TBA) analysis by HPLC-DAD. The polyunsaturated fatty acid profiles in cooked
beef patties treated with some vitamins were further compared with that of
control sample (no vitamin addition) by GC-MS analysis. RESULTS: Pyridoxamine,
pyridoxine, retinoic acid, alpha-tocopherol and L-ascorbic acid exhibited
significant effects lowering the amount of MDA. It was further discovered that
retinoic acid, alpha-tocopherol and l-ascorbic acid could help preserve
polyunsaturated fatty acids, while pyridoxamine addition actually showed no
effect upon the retention of most of the tested polyunsaturated fatty acids, even
lowering the content of arachidonic acid. Further LC-MS analysis demonstrated
that pyridoxamine could directly react with MDA via an addition reaction. The
reaction involves a nucleophilic attack of pyridoxamine's free amine group on one
of the aldehyde functional groups of MDA to form a new adduct, and may accelerate
lipid peroxidation with the loss of more polyunsaturated fatty acids. CONCLUSION:
Some vitamins may directly participate in lipid peroxidation and affect food
quality. (c) 2015 Society of Chemical Industry.
PMID- 26538518
TI - NaOH-embedded three-dimensional porous boron nitride for efficient formaldehyde
removal.
AB - Volatile organic compounds, especially formaldehyde (HCHO), are considered to be
great sources of contaminants in indoor air. However, design and preparation of
safe, cost-affordable, and reusable materials for HCHO removal at ambient
conditions are still remarkably challenging. Here, we have developed a kind of
novel NaOH-embedded three-dimensional porous boron nitride (NaOH-3D BN) with high
and hierarchical porosities, which exhibit excellent removal performance for
HCHO. The as-prepared 3D BN is used as an adsorbent and catalytic support, while
the embedded NaOH is applied as a catalyst, giving rise to catalytic
transformation from high-toxic HCHO to less-toxic formate and methoxy salts at
room temperature. Furthermore, their effective reusability has been confirmed.
Given the high removal and reusability performance as well as no use of precious
materials, the NaOH-3D BN is envisaged to be valuable practically for indoor air
purification.
PMID- 26538516
TI - Characterisation of Shigella Spa33 and Thermotoga FliM/N reveals a new model for
C-ring assembly in T3SS.
AB - Flagellar type III secretion systems (T3SS) contain an essential cytoplasmic-ring
(C-ring) largely composed of two proteins FliM and FliN, whereas an analogous
substructure for the closely related non-flagellar (NF) T3SS has not been
observed in situ. We show that the spa33 gene encoding the putative NF-T3SS C
ring component in Shigella flexneri is alternatively translated to produce both
full-length (Spa33-FL) and a short variant (Spa33-C), with both required for
secretion. They associate in a 1:2 complex (Spa33-FL/C2) that further
oligomerises into elongated arrays in vitro. The structure of Spa33-C2 and
identification of an unexpected intramolecular pseudodimer in Spa33-FL reveal a
molecular model for their higher order assembly within NF-T3SS. Spa33-FL and
Spa33-C are identified as functional counterparts of a FliM-FliN fusion and free
FliN respectively. Furthermore, we show that Thermotoga maritima FliM and FliN
form a 1:3 complex structurally equivalent to Spa33-FL/C2 , allowing us to
propose a unified model for C-ring assembly by NF-T3SS and flagellar-T3SS.
PMID- 26538519
TI - How Epigallocatechin-3-gallate and Tetracycline Interact with the Josephin Domain
of Ataxin-3 and Alter Its Aggregation Mode.
AB - Epigallocatechin-3-gallate (EGCG) and tetracycline are two known inhibitors of
amyloid aggregation able to counteract the fibrillation of most of the proteins
involved in neurodegenerative diseases. We have recently investigated their
effect on ataxin-3 (AT3), the polyglutamine-containing protein responsible for
spinocerebellar ataxia type 3. We previously showed that EGCG and tetracycline
can contrast the aggregation process and toxicity of expanded AT3, although by
different mechanisms. Here, we have performed further experiments by using the
sole Josephin domain (JD) to further elucidate the mechanism of action of the two
compounds. By protein solubility assays and FTIR spectroscopy we have first
observed that EGCG and tetracycline affect the JD aggregation essentially in the
same way displayed when acting on the full-length expanded AT3. Then, by
saturation transfer difference (STD) NMR experiments, we have shown that EGCG
binds both the monomeric and the oligomeric JD form, whereas tetracycline can
only interact with the oligomeric one. Surface plasmon resonance (SPR) analysis
has confirmed the capability of the sole EGCG to bind monomeric JD, although with
a KD value suggestive for a non-specific interaction. Our investigations provide
new details on the JD interaction with EGCG and tetracycline, which could explain
the different mechanisms by which the two compounds reduce the toxicity of AT3.
PMID- 26538520
TI - The zebrafish as a gerontology model in nervous system aging, disease, and
repair.
AB - Considering the increasing number of elderly in the world's population today,
developing effective treatments for age-related pathologies is one of the biggest
challenges in modern medical research. Age-related neurodegeneration, in
particular, significantly impacts important sensory, motor, and cognitive
functions, seriously constraining life quality of many patients. Although our
understanding of the causal mechanisms of aging has greatly improved in recent
years, animal model systems still have much to tell us about this complex
process. Zebrafish (Danio rerio) have gained enormous popularity for this
research topic over the past decade, since their life span is relatively short
but, like humans, they are still subject to gradual aging. In addition, the
extensive characterization of its well-conserved molecular and cellular
physiology makes the zebrafish an excellent model to unravel the underlying
mechanisms of aging, disease, and repair. This review provides a comprehensive
overview of the progress made in zebrafish gerontology, with special emphasis on
nervous system aging. We review the evidence that classic hallmarks of aging can
also be recognized within this small vertebrate, both at the molecular and
cellular level. Moreover, we illustrate the high level of similarity with age
associated human pathologies through a survey of the functional deficits that
arise as zebrafish age.
PMID- 26538521
TI - Benefits of adjunctive moxifloxacin in generalized aggressive periodontitis: a
subgroup analyses in Aggregatibacter actinomycetemcomitans-positive/negative
patients from a clinical trial.
AB - AIM: The aim of the present study was to evaluate the influence of the baseline
detection of Aggregatibacter actinomycetemcomitans (A. actinomycetemcomitans) on
the clinical outcomes of moxifloxacin (MOX) as an adjunct to full-mouth scaling
and root planing (SRP) in generalized aggressive periodontitis (GAgP). METHODS:
Forty patients were randomly distributed to two therapy protocols: SRP + placebo
or SRP combined with MOX. A. actinomycetemcomitans was detected using culture
methods. The significance of the treatment option (MOX or SRP + placebo) on the
dependent variables (probing depth [PD] and clinical attachment level [CAL]),
considering the interaction with the baseline detection of A.
actinomycetemcomitans, was estimated. RESULTS: MOX therapy led to a higher
significant PD reduction and CAL gain in A. actinomycetemcomitans-positive
patients at baseline. In A. actinomycetemcomitans-positive patients, the
reduction of sites >=5 mm was higher in the MOX group. A. actinomycetemcomitans
was not present in sites with PD >=6 mm in the MOX group. The interactions of A.
actinomycetemcomitans and MOX were significantly associated with CAL gain and PD
reduction at 6 months. CONCLUSIONS: Adjunctive MOX trended toward better clinical
responses in A. actinomycetemcomitans-positive patients at baseline. These
results suggest that A. actinomycetemcomitans at baseline might modify the effect
of adjunctive MOX in GAgP.
PMID- 26538522
TI - The obesity epidemic: time for the Government 'heavies' to step in?
PMID- 26538523
TI - Comparison of health risk behavior, awareness, and health benefit beliefs of
health science and non-health science students: An international study.
AB - This study determines the differences in health risk behavior, knowledge, and
health benefit beliefs between health science and non-health science university
students in 17 low and middle income countries. Anonymous questionnaire data were
collected in a cross-sectional survey of 13,042 undergraduate university students
(4,981 health science and 8,061 non-health science students) from 17 universities
in 17 countries across Asia, Africa, and the Americas. Results indicate that
overall, health science students had the same mean number of health risk
behaviors as non-health science university students. Regarding addictive risk
behavior, fewer health science students used tobacco, were binge drinkers, or
gambled once a week or more. Health science students also had a greater awareness
of health behavior risks (5.5) than non-health science students (4.6). Linear
regression analysis found a strong association with poor or weak health benefit
beliefs and the health risk behavior index. There was no association between risk
awareness and health risk behavior among health science students and an inverse
association among non-health science students.
PMID- 26538524
TI - Tenofovir alafenamide for HIV: time to switch?
PMID- 26538526
TI - Long noncoding RNAs in T lymphocytes.
AB - Long noncoding RNAs are recently discovered regulatory RNA molecules that do not
code for proteins but influence a vast array of biologic processes. In
vertebrates, the number of long noncoding RNA genes is thought to greatly exceed
the number of protein-coding genes. It is also thought that long noncoding RNAs
drive the biologic complexity observed in vertebrates compared with that in
invertebrates. Evidence of this complexity has been found in the T-lymphocyte
compartment of the adaptive immune system. In the present review, we describe our
current level of understanding of the expression of specific long or large
intergenic or intervening long noncoding RNAs during T-lymphocyte development in
the thymus and differentiation in the periphery and highlight the mechanisms of
action that specific long noncoding RNAs employ to regulate T-lymphocyte
function, both in vitro and in vivo.
PMID- 26538525
TI - Switching from tenofovir disoproxil fumarate to tenofovir alafenamide in
antiretroviral regimens for virologically suppressed adults with HIV-1 infection:
a randomised, active-controlled, multicentre, open-label, phase 3, non
inferiority study.
AB - BACKGROUND: Antiretroviral regimens containing tenofovir disoproxil fumarate have
been associated with renal toxicity and reduced bone mineral density. Tenofovir
alafenamide is a novel tenofovir prodrug that reduces tenofovir plasma
concentrations by 90%, thereby decreasing off-target side-effects. We aimed to
assess whether efficacy, safety, and tolerability were non-inferior in patients
switched to a regimen containing tenofovir alafenamide versus in those remaining
on one containing tenofovir disoproxil fumarate. METHODS: In this randomised,
actively controlled, multicentre, open-label, non-inferiority trial, we recruited
HIV-1-infected adults from Gilead clinical studies at 168 sites in 19 countries.
Patients were virologically suppressed (HIV-1 RNA <50 copies per mL) with an
estimated glomerular filtration rate of 50 mL per min or greater, and were taking
one of four tenofovir disoproxil fumarate-containing regimens for at least 96
weeks before enrolment. With use of a third-party computer-generated sequence,
patients were randomly assigned (2:1) to receive a once-a-day single-tablet
containing elvitegravir 150 mg, cobicistat 150 mg, emtricitabine 200 mg, and
tenofovir alafenamide 10 mg (tenofovir alafenamide group) or to carry on taking
one of four previous tenofovir disoproxil fumarate-containing regimens (tenofovir
disoproxil fumarate group) for 96 weeks. Randomisation was stratified by previous
treatment regimen in blocks of six. Patients and treating physicians were not
masked to the assigned study regimen; outcome assessors were masked until
database lock. The primary endpoint was the proportion of patients who received
at least one dose of study drug who had undetectable viral load (HIV-1 RNA <50
copies per mL) at week 48. The non-inferiority margin was 12%. This study was
registered with ClinicalTrials.gov, number NCT01815736. FINDINGS: Between April
12, 2013 and April 3, 2014, we enrolled 1443 patients. 959 patients were randomly
assigned to the tenofovir alafenamide group and 477 to the tenofovir disoproxil
fumarate group. Viral suppression at week 48 was noted in 932 (97%) patients
assigned to the tenofovir alafenamide group and in 444 (93%) assigned to the
tenofovir disoproxil fumarate group (adjusted difference 4.1%, 95% CI 1.6-6.7),
with virological failure noted in ten and six patients, respectively. The number
of adverse events was similar between the two groups, but study drug-related
adverse events were more common in the tenofovir alafenamide group (204 patients
[21%] vs 76 [16%]). Hip and spine bone mineral density and glomerular filtration
were each significantly improved in patients in the tenofovir alafenamide group
compared with those in the tenofovir disoproxil fumarate group. INTERPRETATION:
Switching to a tenofovir alafenamide-containing regimen from one containing
tenofovir disoproxil fumarate was non-inferior for maintenance of viral
suppression and led to improved bone mineral density and renal function. Longer
term follow-up is needed to better understand the clinical impact of these
changes. FUNDING: Gilead Sciences.
PMID- 26538527
TI - Serum amyloid A inhibits osteoclast differentiation to maintain macrophage
function.
AB - Serum amyloid A is an acute phase protein that is elevated under inflammatory
conditions. Additionally, the serum levels of serum amyloid A are associated with
the progression of inflammatory arthritis; thus, serum amyloid A might be
involved in the regulation of osteoclast differentiation. In the present study,
we examined the effects of serum amyloid A on osteoclast differentiation and
function. When bone marrow-derived macrophages, as osteoclast precursors, were
stimulated with serum amyloid A in the presence of M-CSF and receptor activator
of nuclear factor-kappaB ligand, osteoclast differentiation and its bone
resorption activity were substantially inhibited. TLR2 was important in the
inhibitory effect of serum amyloid A on osteoclast differentiation, because serum
amyloid A stimulated TLR2. The inhibitory effect was absent in bone marrow
derived macrophages obtained from TLR2-deficient mice. Furthermore, serum amyloid
A inhibited the expression of c-Fos and nuclear factor of activated T cells c1,
which are crucial transcription factors for osteoclast differentiation, but
prevented downregulation of IFN regulatory factor-8, a negative regulator of
osteoclast differentiation. In contrast, serum amyloid A sustained the endocytic
capacity of bone marrow-derived macrophages and their ability to induce the
proinflammatory cytokines, IL-6, IL-1beta, and TNF-alpha. Taken together, these
results suggest that serum amyloid A, when increased by inflammatory conditions,
inhibits differentiation of macrophages to osteoclasts, likely to maintain
macrophage function for host defense.
PMID- 26538528
TI - Inflammation and preterm birth.
AB - Preterm birth is the leading cause of neonatal morbidity and mortality. Although
the underlying causes of pregnancy-associated complication are numerous, it is
well established that infection and inflammation represent a highly significant
risk factor in preterm birth. However, despite the clinical and public health
significance, infectious agents, molecular trigger(s), and immune pathways
underlying the pathogenesis of preterm birth remain underdefined and represent a
major gap in knowledge. Here, we provide an overview of recent clinical and
animal model data focused on the interplay between infection-driven inflammation
and induction of preterm birth. Furthermore, here, we highlight the critical gaps
in knowledge that warrant future investigations into the interplay between immune
responses and induction of preterm birth.
PMID- 26538531
TI - Tumor progression, metastasis, and modulators of epithelial-mesenchymal
transition in endometrioid endometrial carcinoma: an update.
AB - Endometrioid endometrial carcinoma (EEC), also known as type 1 endometrial cancer
(EC), accounts for over 70-80% of all cases that are usually associated with
estrogen stimulation and often develops in a background of atypical endometrial
hyperplasia. The increased incidence of EC is mainly confined to this type of
cancer. Most EEC patients present at an early stage and generally have a
favorable prognosis; however, up to 30% of EEC present as high risk tumors, which
have invaded deep into the myometrium at diagnosis and progressively lead to
local or extra pelvic metastasis. The poor survival of advanced EC is related to
the lack of effective therapies, which can be attributed to poor understanding of
the molecular mechanisms underlying the progression of disease toward invasion
and metastasis. Multiple lines of evidence illustrate that epithelial-mesenchymal
transition (EMT)-like events are central to tumor progression and malignant
transformation, endowing the incipient cancer cell with invasive and metastatic
properties. The aim of this review is to summarize the current knowledge on
molecular events associated with EMT in progression, invasion, and metastasis of
EEC. Further, the role of epigenetic modifications and microRNA regulation, tumor
microenvironment, and microcystic elongated and fragmented glands like invasion
pattern have been discussed. We believe this article may perhaps stimulate
further research in this field that may aid in identifying high risk patients
within this clinically challenging patient group and also lead to the recognition
of novel targets for the prevention of metastasis - the most fatal consequence of
endometrial carcinogenesis.
PMID- 26538529
TI - Role of G-CSF in monophosphoryl lipid A-mediated augmentation of neutrophil
functions after burn injury.
AB - Infection is the leading cause of death in severely burned patients that survive
the acute phase of injury. Neutrophils are the first line of defense against
infections, but hospitalized burn patients frequently cannot mount an appropriate
innate response to infection. Thus, immune therapeutic approaches aimed at
improving neutrophil functions after burn injury may be beneficial. Prophylactic
treatment with the TLR4 agonist monophosphoryl lipid A is known to augment
resistance to infection by enhancing neutrophil recruitment and facilitating
bacterial clearance. This study aimed to define mechanisms by which
monophosphoryl lipid A treatment improves bacterial clearance and survival in a
model of burn-wound sepsis. Burn-injured mice were treated with monophosphoryl
lipid A or vehicle, and neutrophil mobilization was evaluated in the presence or
absence of Pseudomonas aeruginosa infection. Monophosphoryl lipid A treatment
induced significant mobilization of neutrophils from the bone marrow into the
blood and sites of infection. Neutrophil mobilization was associated with
decreased bone marrow neutrophil CXCR4 expression and increased plasma G-CSF
concentrations. Neutralization of G-CSF before monophosphoryl lipid A
administration blocked monophosphoryl lipid A-induced expansion of bone marrow
myeloid progenitors and mobilization of neutrophils into the blood and their
recruitment to the site of infection. G-CSF neutralization ablated the enhanced
bacterial clearance and survival benefit endowed by monophosphoryl lipid A in
burn-wound-infected mice. Our findings provide convincing evidence that
monophosphoryl lipid A-induced G-CSF facilitates early expansion, mobilization,
and recruitment of neutrophils to the site of infection after burn injury,
allowing for a robust immune response to infection.
PMID- 26538532
TI - Louse-borne relapsing fever (Borrelia recurrentis) diagnosed in 15 refugees from
northeast Africa: epidemiology and preventive control measures, Bavaria, Germany,
July to October 2015.
AB - We report 15 imported louse-borne relapsing fever (LBRF) cases in refugees in
Bavaria, Germany. One patient died. Epidemiological findings confirmed that all
were young males from the Horn of Africa (12 from Somalia), who had similar
migration routes converging in Sudan continuing through Libya and Italy. The
majority likely acquired their infection during migration. Healthcare workers
should be aware of LBRF in refugees passing through north Africa to ensure
correct treatment and preventive measures.
PMID- 26538533
TI - Barriers to guideline-compliant psoriasis care: analyses and concepts.
AB - Despite the availability of effective therapeutics and evidence-based treatment
guidelines, a substantial proportion of patients with moderate-to-severe
psoriasis does not receive appropriate care. This under-provision of health care
may cause further worsening of health, remarkable limitations of the patient's
quality of life, and indirect costs for the health care system. In order to
provide guideline-compliant care for every psoriasis patient, it is important to
identify barriers obstructing optimal care. Studies have identified various
barriers on the physician's and on the patient's side; however, respective
studies approached only single barriers, and not all of them in the context of
psoriasis. Other publications that describe barriers systematically did not focus
on psoriasis either. The objective of this literature review was to identify
barriers and facilitators, based on studies analysing quality of care and single
barriers, resulting in a comprehensive model of causal factors. Our analyses
revealed three categories of barriers - patient-related, physician-related and
external factors: On the patient side, we found non-adherence to therapies to be
an important barrier, often in close association with psychiatric factors.
Barriers on the physician's side predominantly are incomplete knowledge of the
guidelines as well as the complexity of psoriasis comorbidity. In some countries,
payment for patients with complex disease status is poor and inconsistent
reimbursement regulations potentially interfere with optimal care. The current
analysis indicates that most barriers are interdependent. Thus, measures
approaching related barriers simultaneously are required. To improve care for
psoriasis patients, further studies systematically addressing all potentially
relevant barriers in conjoint are needed.
PMID- 26538534
TI - Setting the record straight for fossil flying fishes versus non-flying ones: a
comment on Xu et al. (2015).
PMID- 26538535
TI - Telomere dynamics may link stress exposure and ageing across generations.
AB - Although exposure to stressors is known to increase disease susceptibility and
accelerate ageing, evidence is accumulating that these effects can span more than
one generation. Stressors experienced by parents have been reported to negatively
influence the longevity of their offspring and even grand offspring. The
mechanisms underlying these long-term, cross-generational effects are still
poorly understood, but we argue here that telomere dynamics are likely to play an
important role. In this review, we begin by surveying the current connections
between stress and telomere dynamics. We then lay out the evidence that exposure
to stressors in the parental generation influences telomere dynamics in offspring
and potentially subsequent generations. We focus on evidence in mammalian and
avian studies and highlight several promising areas where our understanding is
incomplete and future investigations are critically needed. Understanding the
mechanisms that link stress exposure across generations requires
interdisciplinary studies and is essential to both the biomedical community
seeking to understand how early adversity impacts health span and evolutionary
ecologists interested in how changing environmental conditions are likely to
influence age-structured population dynamics.
PMID- 26538536
TI - From Potanichthys to Wushaichthys: resolving the evolutionary origin and
reproductive strategy of the Thoracopteridae: a reply to Tintori (2015).
PMID- 26538537
TI - Spectral information as an orientation cue in dung beetles.
AB - During the day, a non-uniform distribution of long and short wavelength light
generates a colour gradient across the sky. This gradient could be used as a
compass cue, particularly by animals such as dung beetles that rely primarily on
celestial cues for orientation. Here, we tested if dung beetles can use spectral
cues for orientation by presenting them with monochromatic (green and UV) light
spots in an indoor arena. Beetles kept their original bearing when presented with
a single light cue, green or UV, or when presented with both light cues set 180
degrees apart. When either the UV or the green light was turned off after the
beetles had set their bearing in the presence of both cues, they were still able
to maintain their original bearing to the remaining light. However, if the
beetles were presented with two identical green light spots set 180 degrees
apart, their ability to maintain their original bearing was impaired. In summary,
our data show that ball-rolling beetles could potentially use the celestial
chromatic gradient as a reference for orientation.
PMID- 26538538
TI - Brain regions associated with visual cues are important for bird migration.
AB - Long-distance migratory birds have relatively smaller brains than short-distance
migrants or residents. Here, we test whether reduction in brain size with
migration distance can be generalized across the different brain regions
suggested to play key roles in orientation during migration. Based on 152 bird
species, belonging to 61 avian families from six continents, we show that the
sizes of both the telencephalon and the whole brain decrease, and the relative
size of the optic lobe increases, while cerebellum size does not change with
increasing migration distance. Body mass, whole brain size, optic lobe size and
wing aspect ratio together account for a remarkable 46% of interspecific
variation in average migration distance across bird species. These results
indicate that visual acuity might be a primary neural adaptation to the
ecological challenge of migration.
PMID- 26538539
TI - Hygienic tendencies correlate with low geohelminth infection in free-ranging
macaques.
AB - Parasites are ubiquitous in nature and can be costly to animal fitness, so hosts
have evolved behavioural counter-strategies to mitigate infection risk. We
investigated feeding-related infection-avoidance strategies in Japanese macaques
via field-experimentation and observation. We first examined risk sensitivity
during foraging tasks involving faecally contaminated or debris-covered food
items, and then investigated individual tendencies to manipulate food items
during natural foraging bouts. We concurrently monitored geohelminth infection in
all subjects. We ran a principal component analysis on the
observational/experimental data to generate a hygienic index across individuals
and found that hygienic tendencies towards faeces avoidance and food manipulation
correlated negatively with geohelminth infection. Females scored higher in
hygienic tendencies than males, which might contribute to the common vertebrate
pattern of male-biased infection. The behavioural tendencies observed may reflect
a general form of hygiene, providing a mechanism of behavioural immunity against
parasites with implications for the evolution and diversification of health
maintenance strategies in humans.
PMID- 26538540
TI - Detectability matters: conspicuous nestling mouth colours make prey transfer
easier for parents in a cavity nesting bird.
AB - An often underappreciated function of signals is to notify receivers of the
presence and position of senders. The colours that ornament the mouthparts of
nestling birds, for example, have been hypothesized to evolve via selective
pressure generated by parents' inability to efficiently detect and feed nestlings
without such visually conspicuous targets. This proposed mechanism has primarily
been evaluated with comparative studies and experimental tests for parental
allocation bias, leaving untested the central assumption of this detectability
hypothesis, that provisioning offspring is a visually challenging task for avian
parents and conspicuous mouths help. To test this assumption, I manipulated the
mouths of nestling house sparrows to appear minimally and maximally conspicuous,
and quantified prey transfer difficulty as the total duration of a feeding event
and the number of transfer attempts required. Prey transfer to inconspicuous
nestlings was, as predicted, more difficult. While this suggests that
detectability constraints could shape nestling mouth colour evolution, even
minimally conspicuous nestlings were not prohibitively difficult for parents to
feed, indicating that a more nuanced explanation for interspecific diversity in
this trait is needed.
PMID- 26538541
TI - Pace of life, predators and parasites: predator-induced life-history evolution in
Trinidadian guppies predicts decrease in parasite tolerance.
AB - A common evolutionary response to predation pressure is increased investment in
reproduction, ultimately resulting in a fast life history. Theory and comparative
studies suggest that short-lived organisms invest less in defence against
parasites than those that are longer lived (the pace of life hypothesis).
Combining these tenets of evolutionary theory leads to the specific, untested
prediction that within species, populations experiencing higher predation
pressure invest less in defence against parasites. The Trinidadian guppy,
Poecilia reticulata, presents an excellent opportunity to test this prediction:
guppy populations in lower courses of rivers experience higher predation
pressure, and as a consequence have evolved faster life histories, than those in
upper courses. Data from a large-scale field survey showed that fish infected
with Gyrodactylus parasites were of a lower body condition (quantified using the
scaled mass index) than uninfected fish, but only in lower course populations.
Although the evidence we present is correlational, it suggests that upper course
guppies sustain lower fitness costs of infection, i.e. are more tolerant, than
lower course guppies. The data are therefore consistent with the pace of life
hypothesis of parasite defence allocation, and suggest that life-history traits
mediate the indirect effect of predators on the parasites of their prey.
PMID- 26538542
TI - Medication knowledge and willingness to nurse-initiate medications in an
emergency department: a mixed-methods study.
AB - AIMS: To assess the medication knowledge of emergency department nurses and
determine the factors affecting their nurse-initiated medication practices.
BACKGROUND: Nurse-initiated medications is a vital practice for all nurses in
emergency departments which improves pain assessment, provides safe pain
management and reduces time-to-analgesia and other meaningful treatments. DESIGN:
Mixed methods. Between September 2014-January 2015, data were collected by
questionnaire assessing medication knowledge and face-to-face interviews
determining factors affecting practice. RESULTS: Nurse-initiated medications
frequency of the Registered Nurses ranged from 0-36 times per week dependent on
employed hours and emergency department area worked. Medication knowledge was
consistent among nurses, but there was an overall deficit in nurses' knowledge of
mechanism of action. Four major themes were identified from the 24 interviews:
patient-centred care, caution and safety as principles of practice; continuing
support and education; improvement of practice over time. All nurses regard the
practice positively and to be extremely beneficial to patients. Although
apprehensive at the start of their nurse-initiated medications practice,
confidence improved with exposure and experience. Nurses sought additional
information from colleagues and the available evidence-based resources.
CONCLUSION: Medication knowledge is not the sole determinant of nurse-initiated
medications practice. The practice is motivated by multiple factors such as
patients' needs, safety and nurses' confidence.
PMID- 26538543
TI - LC-MS-MS Method for Stimulants in Wastewater During Football Games.
AB - A method was developed for the analysis of amphetamines and cocaine (Coc) in
wastewater samples using liquid chromatography coupled with tandem mass
spectrometry (LC-MS-MS). Seven stimulant-type drugs and metabolites were
analyzed. These drugs included amphetamine (Amp), methamphetamine (Meth),
methylenedioxyamphetamine (MDA), methylenedioxymethamphetamine (MDMA),
methylenedioxyethylamphetamine (MDEA), Coc and benzoylecgonine (BE, the major
metabolite of Coc). These drugs were chosen because of their widespread use.
Wastewater samples were collected at both the Oxford Waste Water Treatment Plant
in Oxford, Mississippi (MS) and the University Wastewater Treatment Plant in
University, MS. Samples were collected on weekends in which the Ole Miss Rebel
football team held home games (Vaught-Hemingway Stadium, University, MS 38677).
The collected samples were analyzed using a validated method and found to contain
Amp, Meth, MDMA, Coc and BE. The concentrations of Amp and BE significantly rose
in the university wastewater during football games.
PMID- 26538544
TI - Validated Method for the Quantification of Baclofen in Human Plasma Using Solid
Phase Extraction and Liquid Chromatography-Tandem Mass Spectrometry.
AB - A highly sensitive and fully validated method was developed for the
quantification of baclofen in human plasma. After adjusting the pH of the plasma
samples using a phosphate buffer solution (pH 4), baclofen was purified using
mixed mode (C8/cation exchange) solid-phase extraction (SPE) cartridges.
Endogenous water-soluble compounds and lipids were removed from the cartridges
before the samples were eluted and concentrated. The samples were analyzed using
triple-quadrupole liquid chromatography-tandem mass spectrometry (LC-MS-MS) with
triggered dynamic multiple reaction monitoring mode for simultaneous
quantification and confirmation. The assay was linear from 25 to 1,000 ng/mL
(r(2) > 0.999; n = 6). Intraday (n = 6) and interday (n = 15) imprecisions (%
relative standard deviation) were <5%, and the average recovery was 30%. The
limit of detection of the method was 5 ng/mL, and the limit of quantification was
25 ng/mL. Plasma samples from healthy male volunteers (n = 9, median age: 22)
given two single oral doses of baclofen (10 and 60 mg) on nonconsecutive days
were analyzed to demonstrate method applicability.
PMID- 26538545
TI - Global changes of phospholipids identified by MALDI imaging mass spectrometry in
a mouse model of Alzheimer's disease.
AB - Alzheimer's disease (AD) is the most common form of dementia; however, at the
present time there is no disease-modifying drug for AD. There is increasing
evidence supporting the role of lipid changes in the process of normal cognitive
aging and in the etiology of age-related neurodegenerative diseases. AD is
characterized by the presence of intraneuronal protein clusters and extracellular
aggregates of beta-amyloid (Abeta). Disrupted Abeta kinetics may activate
intracellular signaling pathways, including tau hyperphosphorylation and
proinflammatory pathways. We analyzed and visualized the lipid profiles of mouse
brains using MALDI-TOF MS. Direct tissue analysis by MALDI-TOF imaging MS (IMS)
can determine the relative abundance and spatial distribution of specific lipids
in different tissues. We used 5XFAD mice that almost exclusively generate and
rapidly accumulate massive cerebral levels of Abeta-42 (1). Our data showed
changes in lipid distribution in the mouse frontal cortex, hippocampus, and
subiculum, where Abeta plaques are first generated in AD. Our results suggest
that MALDI-IMS is a powerful tool for analyzing the distribution of various
phospholipids and that this application might provide novel insight into the
prediction of disease.
PMID- 26538546
TI - Antisense inhibition of apolipoprotein (a) to lower plasma lipoprotein (a) levels
in humans.
AB - Epidemiological, genetic association, and Mendelian randomization studies have
provided strong evidence that lipoprotein (a) [Lp(a)] is an independent causal
risk factor for CVD, including myocardial infarction, stroke, peripheral arterial
disease, and calcific aortic valve stenosis. Lp(a) levels >50 mg/dl are highly
prevalent (20% of the general population) and are overrepresented in patients
with CVD and aortic stenosis. These data support the notion that Lp(a) should be
a target of therapy for CVD event reduction and to reduce progression of aortic
stenosis. However, effective therapies to specifically reduce plasma Lp(a) levels
are lacking. Recent animal and human studies have shown that Lp(a) can be
specifically targeted with second generation antisense oligonucleotides (ASOs)
that inhibit apo(a) mRNA translation. In apo(a) transgenic mice, an apo(a) ASO
reduced plasma apo(a)/Lp(a) levels and their associated oxidized phospholipid
(OxPL) levels by 86 and 93%, respectively. In cynomolgus monkeys, a second
generation apo(a) ASO, ISIS-APO(a)Rx, significantly reduced hepatic apo(a) mRNA
expression and plasma Lp(a) levels by >80%. Finally, in a phase I study in normal
volunteers, ISIS-APO(a)Rx ASO reduced Lp(a) levels and their associated OxPL
levels up to 89 and 93%, respectively, with minimal effects on other
lipoproteins. ISIS-APO(a)Rx represents the first specific and potent drug in
clinical development to lower Lp(a) levels and may be beneficial in reducing CVD
events and progression of calcific aortic valve stenosis.
PMID- 26538547
TI - Expression of WNT5A in Idiopathic Pulmonary Fibrosis and Its Control by TGF-beta
and WNT7B in Human Lung Fibroblasts.
AB - The wingless (Wnt) family of signaling ligands contributes significantly to lung
development and is highly expressed in patients with usual interstitial pneumonia
(UIP). We sought to define the cellular distribution of Wnt5A in the lung tissue
of patients with idiopathic pulmonary fibrosis (IPF) and the signaling ligands
that control its expression in human lung fibroblasts and IPF myofibroblasts.
Tissue sections from 40 patients diagnosed with IPF or UIP were probed for the
immunolocalization of Wnt5A. Further, isolated lung fibroblasts from normal or
IPF human lungs, adenovirally transduced for the overexpression or silencing of
Wnt7B or treated with TGF-beta1 or its inhibitor, were analyzed for Wnt5A protein
expression. Wnt5A was expressed in IPF lungs by airway and alveolar epithelium,
smooth muscle cells, endothelium, and myofibroblasts of fibroblastic foci and
throughout the interstitium. Forced overexpression of Wnt7B with or without TGF
beta1 treatment significantly increased Wnt5A protein expression in normal human
smooth muscle cells and fibroblasts but not in IPF myofibroblasts where Wnt5A was
already highly expressed. The results demonstrate a wide distribution of Wnt5A
expression in cells of the IPF lung and reveal that it is significantly increased
by Wnt7B and TGF-beta1, which, in combination, could represent key signaling
pathways that modulate the pathogenesis of IPF.
PMID- 26538549
TI - Access to care in the Baltic States: did crisis have an impact?
AB - BACKGROUND: In 2009, brief but deep economic crisis profoundly affected the three
Baltic States: Estonia, Latvia and Lithuania. In response, all three countries
adopted severe austerity measures with the shared goal of containing rising
deficits, but employing different methods. AIMS: In this article, we analyze the
impact of the economic crisis and post-crisis austerity measures on health
systems and access to medical services in the three countries. METHODS: We use
the EU-SILC data to analyze trends in unmet medical need in 2005-2012, and apply
log-binomial regression to calculate the risk of unmet medical need in the pre-
and post- crisis period. RESULTS: Between 2009 and 2012 unmet need has increased
significantly in Latvia (OR: 1.24, 95% confidence interval (CI): 1.15-1.34) and
Estonia (OR: 1.98, 95% CI: 1.72-2.27), but not Lithuania (OR: 0.84. 95% CI: 0.69
1.04). The main drivers of increased unmet need were inability to afford care in
Latvia and long waiting lists in Estonia. CONCLUSION: The impact of the crisis on
access to care in the three countries varied, as did the austerity measures
affecting their health systems. Estonia and Latvia experienced worsening access
to care, largely exacerbating already existing barriers. The example of Lithuania
suggests that deterioration in access is not inevitable, once health policies
prioritise maintenance and availability of existing services, or if there is room
for reducing existing inefficiencies. Moreover, better financial preparedness of
health systems in Estonia and Lithuania achieved some protection of the
population from increasing unmet need due to the rising cost of medical care.
PMID- 26538548
TI - Semi-Automated Digital Image Analysis of Pick's Disease and TDP-43 Proteinopathy.
AB - Digital image analysis of histology sections provides reliable, high-throughput
methods for neuropathological studies but data is scant in frontotemporal lobar
degeneration (FTLD), which has an added challenge of study due to morphologically
diverse pathologies. Here, we describe a novel method of semi-automated digital
image analysis in FTLD subtypes including: Pick's disease (PiD, n=11) with tau
positive intracellular inclusions and neuropil threads, and TDP-43 pathology type
C (FTLD-TDPC, n=10), defined by TDP-43-positive aggregates predominantly in large
dystrophic neurites. To do this, we examined three FTLD-associated cortical
regions: mid-frontal gyrus (MFG), superior temporal gyrus (STG) and anterior
cingulate gyrus (ACG) by immunohistochemistry. We used a color deconvolution
process to isolate signal from the chromogen and applied both object detection
and intensity thresholding algorithms to quantify pathological burden. We found
object-detection algorithms had good agreement with gold-standard manual
quantification of tau- and TDP-43-positive inclusions. Our sampling method was
reliable across three separate investigators and we obtained similar results in a
pilot analysis using open-source software. Regional comparisons using these
algorithms finds differences in regional anatomic disease burden between PiD and
FTLD-TDP not detected using traditional ordinal scale data, suggesting digital
image analysis is a powerful tool for clinicopathological studies in
morphologically diverse FTLD syndromes.
PMID- 26538550
TI - Do different parenting patterns impact the health and physical growth of 'left
behind' preschool-aged children? A cross-sectional study in rural China.
AB - BACKGROUND: Many migrants from rural China seek work in urban areas and leave
their children in their home villages to be raised by relatives. These children
are often referred to as 'left-behind children'. Parental migration tends to have
a profound impact on a child's growth. This study sought to assess the prevalence
of illness and malnutrition among children in rural areas raised with different
parenting patterns and to explore factors affecting their health and development.
METHOD: A cross-sectional survey was conducted to examine the physical health of
children raised with different parenting patterns and to explore associated risk
factors. In total, this study examined 735 children ages 3-6 years in eight rural
villages in two counties of Shandong Province. Their primary caregivers were
interviewed with a semi-structured questionnaire. Anthropometric measurements of
the children were taken and their nutritional status was determined according to
WHO Child Growth Standards. RESULTS: This study found a relatively high
prevalence of wasting, overweight and obesity among left-behind children. After
potential confounders were controlled for, the parenting pattern, annual
household income and health literacy of the primary caregiver significantly
influenced the health and developmental indicators of children. CONCLUSIONS: This
study highlighted the impact of the characteristics of the primary caregiver on a
child's health and development and the importance of practical interventions for
preschool-aged children who are left behind and raised with different parenting
patterns.
PMID- 26538551
TI - Multiplex PCR testing for nine different sexually transmitted infections.
AB - Current sexually transmitted infection (STI) testing is not optimal due to delays
in reporting or missed diagnoses due to a lack of comprehensive testing. The
FilmArray(r) (BioFire Diagnostics, LLC, Salt Lake City, Utah) is a user-friendly,
fully automated, multiplex PCR system that is being developed for rapid point-of
care use. A research-use-only STI panel including multiple PCR primer sets for
each organism was designed to detect Chlamydia trachomatis, Neisseria
gonorrhoeae, Treponema pallidum, Trichomonas vaginalis, Mycoplasma genitalium,
Ureaplasma urealyticum, Haemophilus ducreyi, and herpes simplex virus (HSV) types
1 and 2. Standard clinical testing included Gram stain, nucleic acid
amplification, wet mount examination, herpes simplex virus culture, and syphilis
IgG. Standard clinical tests were not available for all the organisms tested by
the FilmArray STI panel. Two hundred and ninety-five clinical specimens from 190
subjects were directly compared to standard testing. Urine (n = 146),
urethral/cervical swabs (31), oral swabs (60), rectal swabs (43), and ulcer swabs
(15) were tested. Among the tested samples, FilmArray detected C. trachomatis in
39 (13%), N. gonorrhoeae in 20 (7%), T. vaginalis in nine (3%), HSV 1 in five
(2%), HSV 2 in five (2%), U. urealyticum in 36 (12%), M. genitalium in eight
(3%), and T. pallidum in 11 (4%). Concordance between the FilmArray STI panel and
standard nucleic acid amplification testing for C. trachomatis was 98% and for N.
gonorrhoeae was 97%. Multiplex PCR STI testing has the potential to improve
public health by providing rapid, sensitive, and reliable results within the
clinic or nearby laboratory.
PMID- 26538552
TI - Prevalent bacterial vaginosis infection - a risk factor for incident sexually
transmitted infections in women in Durban, South Africa.
AB - The association between bacterial vaginosis (BV) and incident sexually
transmitted infections (STIs) in a cohort of high-risk women from Durban, South
Africa was investigated in this study. We undertook a secondary analysis of the
Methods for Improving Reproductive Health in Africa trial that assessed
effectiveness of the latex diaphragm and lubricant gel on HIV prevention among
women. During study visits, urine specimens were collected for testing for
Neisseria gonorrhoeae, Chlamydia trachomatis and Trichomonas vaginalis The
presence of BV was based on vaginal pH and wet mount test assessments. The
association between BV and the risk for incident STIs was determined using the
Cox proportional hazards model. Prevalence of BV was 31% in a cohort of 435 women
tested at baseline. Among these women, BV was significantly associated with
incident Trichomonas vaginalis (14.6 per 100 PY, p = 0.03) and Chlamydia
trachomatis infections (15.8 per 100 PY, p = 0.04). BV remained a significant
predictor for Trichomonas vaginalis infections even after adjusting for potential
confounders such as age and marital status (HR: 1.60, 95% CI: 1.00, 2.57, p =
0.04). Our study showed an association between baseline BV infections and
incident Trichomonas vaginalis and Chlamydia trachomatis infections. Women with
BV infections should be counselled on the use of condoms and the risk of new
STIs.
PMID- 26538553
TI - 2015 UK national guideline for the management of infection with Chlamydia
trachomatis.
AB - This guideline offers recommendations on the diagnostic tests, treatment regimens
and health promotion principles needed for the effective management of Chlamydia
trachomatis genital infection. It covers the management of the initial
presentation, as well the prevention of transmission and future infection. The
guideline is aimed at individuals aged 16 years and older presenting to
healthcare professionals working in departments offering Level 3 care in sexually
transmitted infections management within the UK. However, the principles of the
recommendations should be adopted across all levels, using local care pathways
where appropriate.
PMID- 26538554
TI - Anal dysplasia in HIV-infected women: a commentary on the field.
AB - Anal cancer may be an emerging clinical problem in HIV-infected women
particularly in resource-limited settings. Human papillomavirus (HPV) infection
is a precursor to anal cancer and is prevalent in HIV-infected women, but the
natural history of HPV infection and anal cancer precursors is not well described
in this population. It is not known which specific dysplastic lesions in the anus
are most likely to progress, and whether treatment of high grade squamous
intraepithelial lesion reduces the incidence of anal cancer in women. Cervical
HPV infection and associated lesions may be related to the pathogenesis and
natural history of anal disease. Cervical screening is resource intensive but
some limited infrastructure exists in most areas where cervical cancer is
prevalent. Anal screening, however is not performed. It may be that the
infrastructure for cervical screening may be leveraged in developing the
appropriate research, screening and treatment tools for anal dysplasia.
PMID- 26538555
TI - The phytoestrogen prunetin affects body composition and improves fitness and
lifespan in male Drosophila melanogaster.
AB - Dietary isoflavones, a group of secondary plant compounds that exhibit
phytoestrogenic properties, are primarily found in soy. Prunetin, a
representative isoflavone, was recently found to affect cell signaling in
cultured cells; however, in vivo effects remain elusive. In this study, the model
organism Drosophila melanogaster was used to investigate the effects of prunetin
in vivo with respect to lifespan, locomotion, body composition, metabolism, and
gut health. Adult flies were chronically administered a prunetin-supplemented
diet. Prunetin improved median survival by 3 d, and climbing activity increased
by 54% in males. In comparison with the females, male flies exhibited lower
climbing activity, which was reversed by prunetin intake. Furthermore, prunetin
fed males exhibited increased expression of the longevity gene Sirtuin 1 (Sir2)
(22%), as well as elevated AMPK activation (51%) and triglyceride levels (29%),
whereas glucose levels decreased (36%). As females are long-lived compared with
their male counterparts and exhibit higher triglyceride levels, prunetin
apparently "feminizes" male flies via its estrogenicity. We conclude that the
lifespan-prolonging effects of prunetin in the male fruit fly depend on changes
in AMPK-regulated energy homeostasis via male "feminization." Collectively, we
identified prunetin as a plant bioactive compound capable of improving health
status and survival in male D. melanogaster.
PMID- 26538556
TI - Use of multiple modes of flight subsidy by a soaring terrestrial bird, the golden
eagle Aquila chrysaetos, when on migration.
AB - Large birds regularly use updrafts to subsidize flight. Although most research on
soaring bird flight has focused on use of thermal updrafts, there is evidence
suggesting that many species are likely to use multiple modes of subsidy. We
tested the degree to which a large soaring species uses multiple modes of subsidy
to provide insights into the decision-making that underlies flight behaviour. We
statistically classified more than 22 000 global positioning satellite-global
system for mobile communications telemetry points collected at 30-s intervals to
identify the type of subsidized flight used by 32 migrating golden eagles during
spring in eastern North America. Eagles used subsidized flight on 87% of their
journey. They spent 41.9% +/- 1.5 ([Formula: see text], range: 18-56%) of their
subsidized northbound migration using thermal soaring, 45.2% +/- 2.1 (12-65%) of
time gliding between thermals, and 12.9% +/- 2.2 (1-55%) of time using orographic
updrafts. Golden eagles responded to the variable local-scale meteorological
events they encountered by switching flight behaviour to take advantage of
multiple modes of subsidy. Orographic soaring occurred more frequently in morning
and evening, earlier in the migration season, and when crosswinds and tail winds
were greatest. Switching between flight modes allowed migration for relatively
longer periods each day and frequent switching behaviour has implications for a
better understanding of avian flight behaviour and of the evolution of use of
subsidy in flight.
PMID- 26538557
TI - Navigating the flow: individual and continuum models for homing in flowing
environments.
AB - Navigation for aquatic and airborne species often takes place in the face of
complicated flows, from persistent currents to highly unpredictable storms.
Hydrodynamic models are capable of simulating flow dynamics and provide the
impetus for much individual-based modelling, in which particle-sized individuals
are immersed into a flowing medium. These models yield insights on the impact of
currents on population distributions from fish eggs to large organisms, yet their
computational demands and intractability reduce their capacity to generate the
broader, less parameter-specific, insights allowed by traditional continuous
approaches. In this paper, we formulate an individual-based model for navigation
within a flowing field and apply scaling to derive its corresponding macroscopic
and continuous model. We apply it to various movement classes, from drifters that
simply go with the flow to navigators that respond to environmental orienteering
cues. The utility of the model is demonstrated via its application to 'homing'
problems and, in particular, the navigation of the marine green turtle Chelonia
mydas to Ascension Island.
PMID- 26538558
TI - Shear-induced orientational dynamics and spatial heterogeneity in suspensions of
motile phytoplankton.
AB - Fluid flow, ubiquitous in natural and man-made environments, has the potential to
profoundly impact the transport of microorganisms, including phytoplankton in
aquatic habitats and bioreactors. Yet, the effect of ambient flow on the swimming
behaviour of phytoplankton has remained poorly understood, largely owing to the
difficulty of observing cell-flow interactions at the microscale. Here, we
present microfluidic experiments where we tracked individual cells for four
species of motile phytoplankton exposed to a spatially non-uniform fluid shear
rate, characteristic of many flows in natural and artificial environments. We
observed that medium-to-high mean shear rates (1-25 s(-1)) produce heterogeneous
cell concentrations in the form of regions of accumulation and regions of
depletion. The location of these regions relative to the flow depends on the
cells' propulsion mechanism, body shape and flagellar arrangement, as captured by
an effective aspect ratio. Species having a large effective aspect ratio
accumulated in the high-shear regions, owing to shear-induced alignment of the
swimming orientation with the fluid streamlines. Species having an effective
aspect ratio close to unity exhibited little preferential accumulation at low-to
moderate flow rates, but strongly accumulated in the low-shear regions under high
flow conditions, potentially owing to an active, behavioural response of cells to
shear. These observations demonstrate that ambient fluid flow can strongly affect
the motility and spatial distribution of phytoplankton and highlight the rich
dynamics emerging from the interaction between motility, morphology and flow.
PMID- 26538559
TI - A rhythm landscape approach to the developmental dynamics of birdsong.
AB - Unlike simple biological rhythms, the rhythm of the oscine bird song is a learned
time series of diverse sounds that change dynamically during vocal ontogeny. How
to quantify rhythm development is one of the most important challenges in
behavioural biology. Here, we propose a simple method, called 'rhythm landscape',
to visualize and quantify how rhythm structure, which is measured as durational
patterns of sounds and silences, emerges and changes over development. Applying
this method to the development of Bengalese finch songs, we show that the rhythm
structure begins with a broadband rhythm that develops into diverse rhythms
largely through branching from precursors. Furthermore, an information-theoretic
measure, the Jensen-Shannon divergence, was used to characterize the
crystallization process of birdsong rhythm, which started with a high rate of
rhythm change and progressed to a stage of slow refinement. This simple method
provides a useful description of rhythm development, thereby helping to reveal
key temporal constraints on complex biological rhythms.
PMID- 26538560
TI - Modelling stripe formation in zebrafish: an agent-based approach.
AB - Zebrafish have distinctive black stripes and yellow interstripes that form owing
to the interaction of different pigment cells. We present a two-population agent
based model for the development and regeneration of these stripes and
interstripes informed by recent experimental results. Our model describes stripe
pattern formation, laser ablation and mutations. We find that fish growth
shortens the necessary scale for long-range interactions and that iridophores, a
third type of pigment cell, help align stripes and interstripes.
PMID- 26538561
TI - Mammalian Sterile 20-like Kinase 1 (Mst1) Enhances the Stability of Forkhead Box
P3 (Foxp3) and the Function of Regulatory T Cells by Modulating Foxp3
Acetylation.
AB - Regulatory T cells (Tregs) play crucial roles in maintaining immune tolerance.
The transcription factor Foxp3 is a critical regulator of Treg development and
function, and its expression is regulated at both transcriptional and post
translational levels. Acetylation by lysine acetyl transferases/lysine
deacetylases is one of the main post-translational modifications of Foxp3, which
regulate Foxp3's stability and transcriptional activity. However, the
mechanism(s) by which the activities of these lysine acetyl transferases/lysine
deacetylases are regulated to preserve proper Foxp3 acetylation during Treg
development and maintenance of Treg function remains to be determined. Here we
report that Mst1 can enhance Foxp3 stability, its transcriptional activity, and
Treg function by modulating the Foxp3 protein at the post-translational level. We
discovered that Mst1 could increase the acetylation of Foxp3 by inhibiting Sirt1
activity, which requires the Mst1 kinase activity. We also found that Mst1 could
attenuate Sirt1-mediated deacetylation of Foxp3 through directly interacting with
Foxp3 to prevent or interfere the interaction between Sirt1 and Foxp3. Therefore,
Mst1 can regulate Foxp3 stability in kinase-dependent and kinase-independent
manners. Finally, we showed that treatment of Mst1(-/-) Tregs with Ex-527, a
Sirt1-specific inhibitor, partially restored the suppressive function of Mst1(-/
) Tregs. Our studies reveal a novel mechanism by which Mst1 enhances Foxp3
expression and Treg function at the post-translational level.
PMID- 26538562
TI - Validation and Characterization of a Novel Peptide That Binds Monomeric and
Aggregated beta-Amyloid and Inhibits the Formation of Neurotoxic Oligomers.
AB - Although the formation of beta-amyloid (Abeta) deposits in the brain is a
hallmark of Alzheimer disease (AD), the soluble oligomers rather than the mature
amyloid fibrils most likely contribute to Abeta toxicity and neurodegeneration.
Thus, the discovery of agents targeting soluble Abeta oligomers is highly
desirable for early diagnosis prior to the manifestation of a clinical AD
phenotype and also more effective therapies. We have previously reported that a
novel 15-amino acid peptide (15-mer), isolated via phage display screening,
targeted Abeta and attenuated its neurotoxicity (Taddei, K., Laws, S. M.,
Verdile, G., Munns, S., D'Costa, K., Harvey, A. R., Martins, I. J., Hill, F.,
Levy, E., Shaw, J. E., and Martins, R. N. (2010) Neurobiol. Aging 31, 203-214).
The aim of the current study was to generate and biochemically characterize
analogues of this peptide with improved stability and therapeutic potential. We
demonstrated that a stable analogue of the 15-amino acid peptide (15M S.A.)
retained the activity and potency of the parent peptide and demonstrated improved
proteolytic resistance in vitro (stable to t = 300 min, c.f. t = 30 min for the
parent peptide). This candidate reduced the formation of soluble Abeta42
oligomers, with the concurrent generation of non-toxic, insoluble aggregates
measuring up to 25-30 nm diameter as determined by atomic force microscopy. The
15M S.A. candidate directly interacted with oligomeric Abeta42, as shown by
coimmunoprecipitation and surface plasmon resonance/Biacore analysis, with an
affinity in the low micromolar range. Furthermore, this peptide bound fibrillar
Abeta42 and also stained plaques ex vivo in brain tissue from AD model mice.
Given its multifaceted ability to target monomeric and aggregated Abeta42
species, this candidate holds promise for novel preclinical AD imaging and
therapeutic strategies.
PMID- 26538563
TI - Structural and Functional Characterization of the PaaI Thioesterase from
Streptococcus pneumoniae Reveals a Dual Specificity for Phenylacetyl-CoA and
Medium-chain Fatty Acyl-CoAs and a Novel CoA-induced Fit Mechanism.
AB - PaaI thioesterases are members of the TE13 thioesterase family that catalyze the
hydrolysis of thioester bonds between coenzyme A and phenylacetyl-CoA. In this
study we characterize the PaaI thioesterase from Streptococcus pneumoniae
(SpPaaI), including structural analysis based on crystal diffraction data to 1.8
A resolution, to reveal two double hotdog domains arranged in a back to back
configuration. Consistent with the crystallography data, both size exclusion
chromatography and small angle x-ray scattering data support a tetrameric
arrangement of thioesterase domains in solution. Assessment of SpPaaI activity
against a range of acyl-CoA substrates showed activity for both phenylacetyl-CoA
and medium-chain fatty-acyl CoA substrates. Mutagenesis of putative active site
residues reveals Asn(37), Asp(52), and Thr(68) are important for catalysis, and
size exclusion chromatography analysis and x-ray crystallography confirm that
these mutants retain the same tertiary and quaternary structures, establishing
that the reduced activity is not a result of structural perturbations.
Interestingly, the structure of SpPaaI in the presence of CoA provides a
structural basis for the observed substrate specificity, accommodating a 10
carbon fatty acid chain, and a large conformational change of up to 38 A in the N
terminus, and a loop region involving Tyr(38)-Tyr(39). This is the first time
PaaI thioesterases have displayed a dual specificity for medium-chain acyl-CoAs
substrates and phenylacetyl-CoA substrates, and we provide a structural basis for
this specificity, highlighting a novel induced fit mechanism that is likely to be
conserved within members of this enzyme family.
PMID- 26538564
TI - BAG2 Gene-mediated Regulation of PINK1 Protein Is Critical for Mitochondrial
Translocation of PARKIN and Neuronal Survival.
AB - Emerging evidence has demonstrated a growing genetic component in Parkinson
disease (PD). For instance, loss-of-function mutations in PINK1 or PARKIN can
cause autosomal recessive PD. Recently, PINK1 and PARKIN have been implicated in
the same signaling pathway to regulate mitochondrial clearance through
recruitment of PARKIN by stabilization of PINK1 on the outer membrane of
depolarized mitochondria. The precise mechanisms that govern this process remain
enigmatic. In this study, we identify Bcl2-associated athanogene 2 (BAG2) as a
factor that promotes mitophagy. BAG2 inhibits PINK1 degradation by blocking the
ubiquitination pathway. Stabilization of PINK1 by BAG2 triggers PARKIN-mediated
mitophagy and protects neurons against 1-methyl-4-phenylpyridinium-induced
oxidative stress in an in vitro cell model of PD. Collectively, our findings
support the notion that BAG2 is an upstream regulator of the PINK1/PARKIN
signaling pathway.
PMID- 26538565
TI - Rhodococcus erythropolis cells adapt their fatty acid composition during biofilm
formation on metallic and non-metallic surfaces.
AB - Several parameters are involved in bacterial adhesion and biofilm formation
including surface type, medium composition and cellular surface hydrophobicty.
When the cells are placed inside tubes, parameters such as oxygen availability
should also influence cell adhesion. To understand which cellular lipids are
involved in the molecular events of biofilm formation in Rhodococcus
erythropolis, cell adhesion was promoted on different metallic and non-metallic
surfaces immersed in culture media. These cells were able to modulate the fatty
acid composition of the cell membrane in response to both the surface to which
they adhered and the growth medium used. To assess the response of the cells to
both surfaces and operational conditions, biofilms were also promoted inside a
reactor built with five different types of tubes and with medium recirculation.
The biofilm biomass could be directly related not to the hydrophobicity of the
tubes used but to the oxygen permeability of the tubes. Besides this, cell age
influenced the adhesion of the R. erythropolis cells to the tubes. Principal
component analysis showed that the lipid composition of the cells could separate
cells attached to metallic from those on non-metallic surfaces in the plane
formed by PC1 and PC2, and influence biofilm biomass.
PMID- 26538568
TI - The self-management experience of patients with type 2 diabetes and chronic
kidney disease: A qualitative study.
AB - BACKGROUND: The purpose of this study was to explore views related to the self
management of type 2 diabetes and chronic kidney disease. METHODS: We conducted
three semi-structured focus groups in participants with type 2 diabetes and
chronic kidney disease. Interviews were transcribed, coded, and analyzed using
thematic analysis. Credibility was supported through triangulation of data
sources and the use of multiple investigators from different disciplines.
RESULTS: Twenty-three adults participated. Three major themes were identified:
emotional reactions to health state, the impact of family dynamics on self
management, and the burden of self-management regimens. Family dynamics were
found to be a barrier and support to self-management, while complicated self
management regimens were found to be a barrier. Additionally, participants
expressed several emotional reactions related to their CKD status, including
regret related to having developed CKD and distress related both to their
treatment regimens and the future possibility of dialysis. CONCLUSIONS: This
exploratory study of patients with type 2 diabetes and chronic kidney disease
describes barriers and supports to self-management and emotional reactions to
chronic kidney disease status. Future research should confirm these findings in a
larger population and should include family members and/or health care providers
to help further define problems with self-management in patients with type 2
diabetes and chronic kidney disease.
PMID- 26538567
TI - Evolution of lineage-specific functions in ancient cis-regulatory modules.
AB - Morphological evolution is driven both by coding sequence variation and by
changes in regulatory sequences. However, how cis-regulatory modules (CRMs)
evolve to generate entirely novel expression domains is largely unknown. Here, we
reconstruct the evolutionary history of a lens enhancer located within a CRM that
not only predates the lens, a vertebrate innovation, but bilaterian animals in
general. Alignments of orthologous sequences from different deuterostomes sub
divide the CRM into a deeply conserved core and a more divergent flanking region.
We demonstrate that all deuterostome flanking regions, including invertebrate
sequences, activate gene expression in the zebrafish lens through the same
ancient cluster of activator sites. However, levels of gene expression vary
between species due to the presence of repressor motifs in flanking region and
core. These repressor motifs are responsible for the relatively weak enhancer
activity of tetrapod flanking regions. Ray-finned fish, however, have gained two
additional lineage-specific activator motifs which in combination with the
ancient cluster of activators and the core constitute a potent lens enhancer. The
exploitation and modification of existing regulatory potential in flanking
regions but not in the highly conserved core might represent a more general model
for the emergence of novel regulatory functions in complex CRMs.
PMID- 26538569
TI - Function and Therapeutic Potential of Noncoding RNAs in Cardiac Fibrosis.
AB - Cardiac fibrosis as a result of excessive extracellular matrix deposition leads
to stiffening of the heart, which can eventually lead to heart failure. An
important event in cardiac fibrosis is the transformation of fibroblasts into
myofibroblasts, which secrete large amounts of extracellular matrix proteins.
Although the function of protein-coding genes in myofibroblast activation and
fibrosis have been a topic of investigation for a long time, it has become clear
that noncoding RNAs also play key roles in cardiac fibrosis. This review
discusses the involvement of microRNAs and long noncoding RNAs in cardiac
fibrosis and summarizes the issues related to translating these findings into
real-life therapies.
PMID- 26538566
TI - Effect of Smoking on Blood Pressure and Resting Heart Rate: A Mendelian
Randomization Meta-Analysis in the CARTA Consortium.
AB - BACKGROUND: Smoking is an important cardiovascular disease risk factor, but the
mechanisms linking smoking to blood pressure are poorly understood. METHODS AND
RESULTS: Data on 141 317 participants (62 666 never, 40 669 former, 37 982
current smokers) from 23 population-based studies were included in observational
and Mendelian randomization meta-analyses of the associations of smoking status
and smoking heaviness with systolic and diastolic blood pressure, hypertension,
and resting heart rate. For the Mendelian randomization analyses, a genetic
variant rs16969968/rs1051730 was used as a proxy for smoking heaviness in current
smokers. In observational analyses, current as compared with never smoking was
associated with lower systolic blood pressure and diastolic blood pressure and
lower hypertension risk, but with higher resting heart rate. In observational
analyses among current smokers, 1 cigarette/day higher level of smoking heaviness
was associated with higher (0.21 bpm; 95% confidence interval 0.19; 0.24) resting
heart rate and slightly higher diastolic blood pressure (0.05 mm Hg; 95%
confidence interval 0.02; 0.08) and systolic blood pressure (0.08 mm Hg; 95%
confidence interval 0.03; 0.13). However, in Mendelian randomization analyses
among current smokers, although each smoking increasing allele of
rs16969968/rs1051730 was associated with higher resting heart rate (0.36
bpm/allele; 95% confidence interval 0.18; 0.54), there was no strong association
with diastolic blood pressure, systolic blood pressure, or hypertension. This
would suggest a 7 bpm higher heart rate in those who smoke 20 cigarettes/day.
CONCLUSIONS: This Mendelian randomization meta-analysis supports a causal
association of smoking heaviness with higher level of resting heart rate, but not
with blood pressure. These findings suggest that part of the cardiovascular risk
of smoking may operate through increasing resting heart rate.
PMID- 26538570
TI - Evolutionary well-conserved region in the signal peptide of parathyroid hormone
related protein is critical for its dual localization through the regulation of
ER translocation.
AB - Parathyroid hormone-related protein (PTHrP) has two different targeting signals:
an N-terminal signal peptide for the endoplasmic reticulum (ER) targeting and an
internal nuclear localization signal. The protein not only functions as a
secretory protein, but is also found in the nucleus and/or nucleolus under
certain conditions. PTHrP signal peptide is less hydrophobic than most signal
peptides mainly due to its evolutionarily well-conserved region (QQWS). The
substitution of four tandem leucine residues for this conserved region resulted
in a significant inhibition of the signal peptide cleavage. At the same time,
proportion of nuclear and/or nucleolar localization decreased, probably due to
tethering of the protein to the ER membrane by the uncleaved mutant signal
peptide. Almost complete cleavage of the signal peptide accompanied by a lack of
nuclear/nucleolar localization was achieved by combining the hydrophobic h-region
and an optimized sequence of the cleavage site. In addition, mutational
modifications of the distribution of charged residues in and around the signal
peptide affect its cleavage and/or nuclear/nucleolar localization of the protein.
These results indicate that the well-conserved region in the signal peptide plays
an essential role in the dual localization of PTHrP through ER targeting and/or
the membrane translocation.
PMID- 26538573
TI - Moving Liquids with Sound: The Physics of Acoustic Droplet Ejection for Robust
Laboratory Automation in Life Sciences.
AB - Liquid handling instruments for life science applications based on droplet
formation with focused acoustic energy or acoustic droplet ejection (ADE) were
introduced commercially more than a decade ago. While the idea of "moving liquids
with sound" was known in the 20th century, the development of precise methods for
acoustic dispensing to aliquot life science materials in the laboratory began in
earnest in the 21st century with the adaptation of the controlled "drop on
demand" acoustic transfer of droplets from high-density microplates for high
throughput screening (HTS) applications. Robust ADE implementations for life
science applications achieve excellent accuracy and precision by using acoustics
first to sense the liquid characteristics relevant for its transfer, and then to
actuate transfer of the liquid with customized application of sound energy to the
given well and well fluid in the microplate. This article provides an overview of
the physics behind ADE and its central role in both acoustical and rheological
aspects of robust implementation of ADE in the life science laboratory and its
broad range of ejectable materials.
PMID- 26538574
TI - Axon-to-Glia Interaction Regulates GABAA Receptor Expression in Oligodendrocytes.
AB - Myelination requires oligodendrocyte-neuron communication, and both
neurotransmitters and contact interactions are essential for this process.
Oligodendrocytes are endowed with neurotransmitter receptors whose expression
levels and properties may change during myelination. However, only scant
information is available about the extent and timing of these changes or how they
are regulated by oligodendrocyte-neuron interactions. Here, we used
electrophysiology to study the expression of ionotropic GABA, glutamate, and ATP
receptors in oligodendrocytes derived from the optic nerve and forebrain cultured
either alone or in the presence of dorsal root ganglion neurons. We observed that
oligodendrocytes from both regions responded to these transmitters at 1 day in
culture. After the first day in culture, however, GABA sensitivity diminished
drastically to less than 10%, while that of glutamate and ATP remained constant.
In contrast, the GABA response amplitude was sustained and remained stable in
oligodendrocytes cocultured with dorsal root ganglion neurons. Immunochemistry
and pharmacological properties of the responses indicated that they were mediated
by distinctive GABAA receptors and that in coculture with neurons, the
oligodendrocytes bearing the receptors were those in direct contact with axons.
These results reveal that GABAA receptor regulation in oligodendrocytes is driven
by axonal cues and that GABA signaling may play a role in myelination and/or
during axon-glia recognition.
PMID- 26538575
TI - Characterization of extracellular nucleotide metabolism in Candida albicans.
AB - Candida albicans is the most frequent agent of human disseminated fungal
infection. Ectophosphatase and ectonucleotidase activities are known to influence
the infectious potential of several microbes, including other non-albicans
species of Candida. With the present work we aim to characterize these ecto
enzymatic activities in C. albicans. We found that C. albicans does not have a
classical ecto-5'-nucleotidase enzyme and 5'AMP is cleaved by a phosphatase
instead of exclusively by a nucleotidase that also can use 3'AMP as a substrate.
Moreover, these enzymatic activities are not dependent on secreted soluble
enzymes and change when the yeast cells are under infection conditions, including
low pH, and higher temperature and CO2 content.
PMID- 26538571
TI - The role of osteoclast differentiation and function in skeletal homeostasis.
AB - Osteoclasts are giant multinucleated cells that differentiate from hematopoietic
cells in the bone marrow and carry out important physiological functions in the
regulation of skeletal homeostasis as well as hematopoiesis. Osteoclast biology
shares many features and components with cells of the immune system, including
cytokine-receptor interactions (RANKL-RANK), intracellular signalling molecules
(TRAF6) and transcription factors (NFATc1). Although the roles of these molecules
in osteoclast differentiation are well known, fundamental questions remain
unsolved, including the exact location of the RANKL-RANK interaction and the in
vivo temporal and spatial information on the transformation of hematopoietic
cells into bone-resorbing osteoclasts. This review focuses on the importance of
cell-cell contact and metabolic adaptation for differentiation, relatively
overlooked aspects of osteoclast biology and biochemistry.
PMID- 26538576
TI - Spontaneous release of fluoride during the dioxygenolytic cleavage of 5
fluorosalicylate by the salicylate 1,2-dioxygenase from Pseudaminobacter
salicylatoxidans BN12.
AB - The alpha-Proteobacterium Pseudaminobacter salicylatoxidans BN12 forms a peculiar
gentisate 1,2-dioxygenase (SDO) that oxidatively cleaves gentisate (2,5
dihydroxybenzoate) and additionally 1-hydroxy-2-naphthoate, salicylate and
various amino-, chloro-, fluoro-, hydroxy- and methylsalicylates. In the present
study, the conversion of 5-fluorosalicylate by this enzyme was analysed using
various analytical techniques. Spectrophotometric assays showed that the
conversion of 5-fluorosalicylate by the purified enzyme resulted in the formation
of a new unstable intermediate showing an absorbance maximum at lambdamax = 292
nm. The analysis of the enzymatic reaction by HPLC showed that two main products
with absorbance maxima at lambdamax = 292-296 nm were formed from 5
fluorosalicylate. The same two products (although in different relative
proportions) were also formed when the SDO transformed 5-chlorosalicylate or when
a purified 5-nitrosalicylate 1,2-dioxygenase from Bradyrhizobium sp. JS329
oxidized 5-nitrosalicylate. A whole cell system with recombinant Escherichia coli
cells overexpressing the SDO activity was established in order to produce larger
amounts of the reaction products. The reaction products were subsequently
identified by (1)H-NMR and mass spectrometry as stereoisomers of 2-oxo-3-(5
oxofuran-2-ylidine)propanoic acid. The release of fluoride in the course of the
dioxygenolytic cleavage reaction was confirmed by ion-chromatography and (19)F
NMR.
PMID- 26538577
TI - Anaerobic growth of Bacillus subtilis alters the spectrum of spontaneous
mutations in the rpoB gene leading to rifampicin resistance.
AB - Spontaneous rifampicin-resistant (RFM(R)) mutants were isolated from Bacillus
subtilis 168 cultivated in the presence or absence of oxygen. By DNA sequencing,
the mutations were located within Cluster I of the rpoB gene encoding the beta
subunit of RNA polymerase. The spectrum of RFM(R) rpoB mutations isolated from B.
subtilis cells grown anaerobically differed from aerobically grown cells, not
only with respect to the location of mutations within Cluster I but also in the
class of mutation observed (transition versus transversion). In the absence of
RFM, RFM(R) mutants exhibited poorer growth under anaerobic conditions than did
the wild-type strain, indicating their lower fitness in the absence of antibiotic
selection.
PMID- 26538578
TI - Constitutive expression of the DUR1,2 gene in an industrial yeast strain to
minimize ethyl carbamate production during Chinese rice wine fermentation.
AB - Urea and ethanol are the main precursors of ethyl carbamate (EC) in Chinese rice
wine. During fermentation, urea is generated from arginine by arginase in
Saccharomyces cerevisiae, and subsequently cleaved by urea amidolyase or directly
transported out of the cell into the fermentation liquor, where it reacts with
ethanol to form EC. To reduce the amount of EC in Chinese rice wine, we
metabolically engineered two yeast strains, N85(DUR1,2) and N85(DUR1,2)-c, from
the wild-type Chinese rice wine yeast strain N85. Both new strains were capable
of constitutively expressing DUR1,2 (encodes urea amidolyase) and thus enhancing
urea degradation. The use of N85(DUR1,2) and N85(DUR1,2)-c reduced the
concentration of EC in Chinese rice wine fermented on a small-scale by 49.1% and
55.3%, respectively, relative to fermentation with the parental strain. All of
the engineered strains showed good genetic stability and minimized the production
of urea during fermentation, with no exogenous genes introduced during genetic
manipulation, and were therefore suitable for commercialization to increase the
safety of Chinese rice wine.
PMID- 26538579
TI - Systematic discovery of linear binding motifs targeting an ancient protein
interaction surface on MAP kinases.
AB - Mitogen-activated protein kinases (MAPK) are broadly used regulators of cellular
signaling. However, how these enzymes can be involved in such a broad spectrum of
physiological functions is not understood. Systematic discovery of MAPK networks
both experimentally and in silico has been hindered because MAPKs bind to other
proteins with low affinity and mostly in less-characterized disordered regions.
We used a structurally consistent model on kinase-docking motif interactions to
facilitate the discovery of short functional sites in the structurally flexible
and functionally under-explored part of the human proteome and applied
experimental tools specifically tailored to detect low-affinity protein-protein
interactions for their validation in vitro and in cell-based assays. The combined
computational and experimental approach enabled the identification of many novel
MAPK-docking motifs that were elusive for other large-scale protein-protein
interaction screens. The analysis produced an extensive list of independently
evolved linear binding motifs from a functionally diverse set of proteins. These
all target, with characteristic binding specificity, an ancient protein
interaction surface on evolutionarily related but physiologically clearly
distinct three MAPKs (JNK, ERK, and p38). This inventory of human protein kinase
binding sites was compared with that of other organisms to examine how kinase
mediated partnerships evolved over time. The analysis suggests that most human
MAPK-binding motifs are surprisingly new evolutionarily inventions and newly
found links highlight (previously hidden) roles of MAPKs. We propose that short
MAPK-binding stretches are created in disordered protein segments through a
variety of ways and they represent a major resource for ancient signaling enzymes
to acquire new regulatory roles.
PMID- 26538581
TI - Exposure to Low-Dose Ionizing Radiation From Cardiac Procedures in Patients With
Congenital Heart Disease: 15-Year Data From a Population-Based Longitudinal
Cohort.
AB - BACKGROUND: The burden of low-dose ionizing radiation (LDIR) exposure from
medical procedures among individuals with congenital heart disease (CHD) is
unknown. In this longitudinal population-based study, we sought to determine
exposure to LDIR-related cardiac imaging and therapeutic procedures in children
and adults with CHD. METHODS AND RESULTS: In an analysis of the Quebec CHD
database, exposure to the following LDIR-related cardiac procedures was recorded:
catheter-based diagnostic procedures, structural heart interventions, coronary
interventions, computed tomography scans of the chest, nuclear procedures, and
pacemaker/implantable cardioverter-defibrillator insertion and repair. From 1990
to 2005, there were 16 253 LDIR-exposed patients with CHD with 317 988 patient
years of available follow-up. The total number of LDIR-related procedures
increased from 18.5 to 51.9 per 1000 CHD patients per year (P<0.0001). This
increase was attributable to increases in rates per 1000 CHD patients in
diagnostic cardiac catheterizations (11.7 to 13.7 per 1000), structural heart
interventions (1.0 to 5.2 per 1000), coronary interventions (1.0 to 2.4 per
1000), pacemaker/implantable cardioverter-defibrillator insertions (1.6 to 4.4
per 1000), nuclear procedures (4.2 to 13.8 per 1000), and computed tomography
scans of the chest (2.5 to 12.3 per 1000). Over time, among children with CHD,
the median age at first LDIR procedure decreased from 5.0 years to 9.6 months.
Severity of CHD significantly predicted extent of exposure. CONCLUSIONS: From
1990 to 2005, patients with CHD were exposed to increasing numbers of LDIR
emitting cardiac procedures. This exposure occurred at progressively younger
ages. These findings provide an important perspective on longitudinal LDIR
exposure in this at-risk population.
PMID- 26538580
TI - Study of Cardiovascular Health Outcomes in the Era of Claims Data: The
Cardiovascular Health Study.
AB - BACKGROUND: Increasingly, the diagnostic codes from administrative claims data
are being used as clinical outcomes. METHODS AND RESULTS: Data from the
Cardiovascular Health Study (CHS) were used to compare event rates and risk
factor associations between adjudicated hospitalized cardiovascular events and
claims-based methods of defining events. The outcomes of myocardial infarction
(MI), stroke, and heart failure were defined in 3 ways: the CHS adjudicated event
(CHS[adj]), selected International Classification of Diseases, Ninth Edition
diagnostic codes only in the primary position for Medicare claims data from the
Center for Medicare & Medicaid Services (CMS[1st]), and the same selected
diagnostic codes in any position (CMS[any]). Conventional claims-based methods of
defining events had high positive predictive values but low sensitivities. For
instance, the positive predictive value of International Classification of
Diseases, Ninth Edition code 410.x1 for a new acute MI in the first position was
90.6%, but this code identified only 53.8% of incident MIs. The observed event
rates for CMS[1st] were low. For MI, the incidence was 14.9 events per 1000
person-years for CHS[adj] MI, 8.6 for CMS[1st] MI, and 12.2 for CMS[any] MI. In
general, cardiovascular disease risk factor associations were similar across the
3 methods of defining events. Indeed, traditional cardiovascular disease risk
factors were also associated with all first hospitalizations not resulting from
an MI. CONCLUSIONS: The use of diagnostic codes from claims data as clinical
events, especially when restricted to primary diagnoses, leads to an
underestimation of event rates. Additionally, claims-based events data represent
a composite end point that includes the outcome of interest and selected
(misclassified) nonevent hospitalizations.
PMID- 26538582
TI - Physical Activity and Risk of Coronary Heart Disease and Stroke in Older Adults:
The Cardiovascular Health Study.
AB - BACKGROUND: Although guidelines suggest that older adults engage in regular
physical activity (PA) to reduce cardiovascular disease (CVD), surprisingly few
studies have evaluated this relationship, especially in those >75 years. In
addition, with advancing age the ability to perform some types of PA might
decrease, making light-moderate exercise such as walking especially important to
meet recommendations. METHODS AND RESULTS: Prospective cohort analysis among 4207
US men and women of a mean age of 73 years (standard deviation=6) who were free
of CVD at baseline in the Cardiovascular Health Study were followed from 1989 to
1999. PA was assessed and cumulatively updated over time to minimize
misclassification and assess the long-term effects of habitual activity. Walking
(pace, blocks, combined walking score) was updated annually from baseline through
1999. Leisure-time activity and exercise intensity were updated at baseline,
1992, and 1996. Incident CVD (fatal or nonfatal myocardial infarction, coronary
death, or stroke) was adjudicated using medical records. During 41,995 person
years of follow-up, 1182 CVD events occurred. After multivariable adjustment,
greater PA was inversely associated with coronary heart disease, stroke
(especially ischemic stroke), and total CVD, even in those >=75 years. Walking
pace, distance, and overall walking score, leisure-time activity, and exercise
intensity were each associated with lower risk. For example, in comparison with a
walking pace <2 mph, those that habitually walked at a pace >3 mph had a lower
risk of coronary heart disease (0.50; confidence interval, 0.38-0.67), stroke
(0.47; confidence interval, 033-0.66), and CVD (0.50; confidence interval, 0.40
0.62). CONCLUSIONS: These data provide empirical evidence supporting PA
recommendations, in particular, walking, to reduce the incidence of CVD among
older adults.
PMID- 26538584
TI - Reevaluation and Classification of Duodenal Lesions in B6C3F1 Mice and F344 Rats
from 4 Studies of Hexavalent Chromium in Drinking Water.
AB - Thirteen-week and 2-year drinking water studies conducted by the National
Toxicology Program (NTP) reported that hexavalent chromium (Cr(VI)) induced
diffuse epithelial hyperplasia in the duodenum of B6C3F1 mice but not F344 rats.
In the 2-year study, Cr(VI) exposure was additionally associated with duodenal
adenomas and carcinomas in mice only. Subsequent 13-week Cr(VI) studies conducted
by another group demonstrated non-neoplastic duodenal lesions in B6C3F1 mice
similar to those of the NTP study as well as mild duodenal hyperplasia in F344
rats. Because intestinal lesions in mice are the basis for proposed safety
standards for Cr(VI), and the histopathology data are relevant to the mode of
action, consistency (an important Hill criterion for causality) was assessed
across the aforementioned studies. Two veterinary pathologists applied uniform
diagnostic criteria to the duodenal lesions in rats and mice from the 4 repeated
dose studies. Comparable non-neoplastic intestinal lesions were evident in mice
and rats from all 4 studies; however, the incidence and severity of intestinal
lesions were greater in mice than rats. These findings demonstrate consistency
across studies and species and highlight the importance of standardized
nomenclature for intestinal pathology. The differences in the severity of non
neoplastic lesions also likely contribute to the differential tumor response.
PMID- 26538585
TI - A Rare Case of Esophageal Papilloma Due to Human Papillomavirus With Uncommon
Presentation of Dysphagia in a 2-Year-Old Child.
PMID- 26538586
TI - Missed Opportunities for Transcranial Doppler Screening Among Children With
Sickle Cell Disease.
AB - Transcranial Doppler (TCD) screening rates remain low among children with sickle
cell disease (SCD). We assessed TCD screening rates and missed opportunities for
TCD screening. Children 2 to 16 years old with SCD enrolled in Michigan Medicaid
for >=1 year (2007-2011) were identified through newborn screening. Receipt of
TCD screening and presence of a missed opportunity (>=1 SCD-related outpatient
visit, no TCD screening) were identified through administrative claims. Potential
correlates of missed opportunities included SCD-related health services,
comorbidities, and demographics. Logistic regression with generalized estimating
equations modeled associations between a missed opportunity and correlates.
Overall, 353 children contributed 1066 person-years. TCD screening was low yearly
(10%-32%); missed opportunities occurred in 73% of the person-years. Increasing
age (odds ratio [OR] = 1.11; confidence interval CI = 1.07, 1.15), previous TCD
screening (OR = 0.26; CI = 0.16, 0.41), and 4 to 5 (OR = 0.48; CI = 0.26, 0.87)
or >=6 outpatient visits (OR = 0.26; CI = 0.14, 0.49) were associated with a
missed opportunity. Reduction of missed opportunities is a potential strategy to
increase TCD screening rates.
PMID- 26538583
TI - Endothelial beta-Catenin Signaling Is Required for Maintaining Adult Blood-Brain
Barrier Integrity and Central Nervous System Homeostasis.
AB - BACKGROUND: The blood-brain barrier (BBB) formed by brain endothelial cells
interconnected by tight junctions is essential for the homeostasis of the central
nervous system. Although studies have shown the importance of various signaling
molecules in BBB formation during development, little is known about the
molecular basis regulating the integrity of the adult BBB. METHODS AND RESULTS:
Using a mouse model with tamoxifen-inducible endothelial cell-restricted
disruption of ctnnb1 (iCKO), we show here that endothelial beta-catenin signaling
is essential for maintaining BBB integrity and central nervous system homeostasis
in adult mice. The iCKO mice developed severe seizures accompanied by neuronal
injury, multiple brain petechial hemorrhages, and central nervous system
inflammation, and all had postictal death. Disruption of endothelial beta-catenin
induced BBB breakdown and downregulation of the specific tight junction proteins
claudin-1 and -3 in adult brain endothelial cells. The clinical relevance of the
data is indicated by the observation of decreased expression of claudin-1 and
nuclear beta-catenin in brain endothelial cells of hemorrhagic lesions of
hemorrhagic stroke patients. CONCLUSIONS: These results demonstrate the
prerequisite role of endothelial beta-catenin in maintaining the integrity of
adult BBB. The results suggest that BBB dysfunction secondary to defective beta
catenin transcription activity is a key pathogenic factor in hemorrhagic stroke,
seizure activity, and central nervous system inflammation.
PMID- 26538587
TI - A Child With a Painless Lump on the Anterior Chest Wall.
PMID- 26538588
TI - Low Back and Leg Pain With Refusal to Ambulate in a 6-Year-Old Male.
PMID- 26538589
TI - Factors Affecting Booster Seat Use.
AB - Objective To identify general awareness of booster seats as well as reasons for
use and nonuse in an urban pediatric emergency room. Methods A total of 100
questionnaires were completed consisting of 24 questions each. Questions included
knowledge of booster seat guidelines, source of knowledge, awareness of risks,
and confidence in booster seats. Afterward, participants were provided an
educational handout. Results Majority of parents reported currently using or
having used a booster seat. The most popular reason was to protect from injury
(78%), and reason for nonuse was size (44%). Majority of parents agreed that
motor vehicle crashes were the leading cause of death in children. However, 56%
of parents prematurely transitioned child out of a booster seat. Only 20%
reported learning about booster seats from their pediatrician. Conclusion Parents
continue to transition their children prematurely from booster seats. Current
state laws need revision as well as further education using simplified
illustrated guidelines.
PMID- 26538590
TI - Bullying on Television: 1960-2010.
AB - Bullying is a serious issue for adolescents, with health consequences both at the
time of victimization and later on in adulthood. Aggression in the media is an
area that has been explored as a contributing factor to bullying behavior. This
study aims to determine if the incidence of aggression in popular television
shows over the past 50 years has changed. A total of 198 episodes of the most
popular television shows between the years 1960 and 2010 were coded for incidents
of aggression and analyzed using simple linear regression. The mean number of
events per episode was 8.8. No statistically significant correlation was found
between number of bullying events and the years in which they occurred. Whereas
it is possible that aggression on television may have an impact on bullying
behaviors, there is no evidence that the incidence of bullying on television has
changed significantly in the past 5 decades.
PMID- 26538591
TI - Social context-dependent modification of courtship behaviour in Drosophila
prolongata.
AB - Induction of alternative mating tactics by surrounding conditions, such as the
presence of conspecific males, is observed in many animal species. Satellite
behaviour is a remarkable example in which parasitic males exploit the
reproductive investment by other males. Despite the abundance of parasitic mating
tactics, however, few examples are known in which males alter courtship behaviour
as a counter tactic against parasitic rivals. The fruit fly Drosophila prolongata
shows prominent sexual dimorphism in the forelegs. When courting females, males
of D. prolongata perform 'leg vibration', in which a male vibrates the female's
body with his enlarged forelegs. In this study, we found that leg vibration
increased female receptivity, but it also raised a risk of interception of the
female by rival males. Consequently, in the presence of rivals, males of D.
prolongata shifted their courtship behaviour from leg vibration to 'rubbing',
which was less vulnerable to interference by rival males. These results
demonstrated that the males of D. prolongata adjust their courtship behaviour to
circumvent the social context-dependent risk of leg vibration.
PMID- 26538592
TI - Economic inequality caused by feedbacks between poverty and the dynamics of a
rare tropical disease: the case of Buruli ulcer in sub-Saharan Africa.
AB - Neglected tropical diseases (NTDs) have received increasing attention in recent
years by the global heath community, as they cumulatively constitute substantial
burdens of disease as well as barriers for economic development. A number of
common tropical diseases such as malaria, hookworm or schistosomiasis have well
documented economic impacts. However, much less is known about the population
level impacts of diseases that are rare but associated with high disability
burden, which represent a great number of tropical diseases. Using an individual
based model of Buruli ulcer (BU), we demonstrate that, through feedbacks between
health and economic status, such NTDs can have a significant impact on the
economic structure of human populations even at low incidence levels. While
average wealth is only marginally affected by BU, the economic conditions of
certain subpopulations are impacted sufficiently to create changes in measurable
population-level inequality. A reduction of the disability burden caused by BU
can thus maximize the economic growth of the poorest subpopulations and reduce
significantly the economic inequalities introduced by the disease in endemic
regions.
PMID- 26538593
TI - Ediacaran skeletal metazoan interpreted as a lophophorate.
AB - While many skeletal biomineralized genera are described from Ediacaran (635-541
million years ago, Ma) strata, none have been suggested to have an affinity above
the Porifera-Cnidaria metazoan grade. Here, we reinterpret the widespread
terminal Ediacaran (approx. 550-541 Ma) sessile goblet-shaped Namacalathus as a
triploblastic eumetazoan. Namacalathus has a stalked cup with radially
symmetrical cross section, multiple lateral lumens and a central opening. We show
that the skeleton of Namacalathus is composed of a calcareous foliated
ultrastructure displaying regular concordant columnar inflections, with a
possible inner organic-rich layer. These features point to an accretionary growth
style of the skeleton and an affinity with the Lophotrochozoa, more specifically
within the Lophophorata (Brachiopoda and Bryozoa). Additionally, we present
evidence for asexual reproduction as expressed by regular budding in a bilateral
pattern. The interpretation of Namacalathus as an Ediacaran total group
lophophorate is consistent with an early radiation of the Lophophorata, as known
early Cambrian representatives were sessile, mostly stalked forms, and in
addition, the oldest known calcareous Brachiopoda (early Cambrian Obolellida) and
Bryozoa (Ordovician Stenolaemata) possessed foliated ultrastructures.
PMID- 26538594
TI - Milkweed butterfly resistance to plant toxins is linked to sequestration, not
coping with a toxic diet.
AB - Insect resistance to plant toxins is widely assumed to have evolved in response
to using defended plants as a dietary resource. We tested this hypothesis in the
milkweed butterflies (Danaini) which have progressively evolved higher levels of
resistance to cardenolide toxins based on amino acid substitutions of their
cellular sodium-potassium pump (Na(+)/K(+)-ATPase). Using chemical, physiological
and caterpillar growth assays on diverse milkweeds (Asclepias spp.) and isolated
cardenolides, we show that resistant Na(+)/K(+)-ATPases are not necessary to cope
with dietary cardenolides. By contrast, sequestration of cardenolides in the body
(as a defence against predators) is associated with the three levels of
Na(+)/K(+)-ATPase resistance. To estimate the potential physiological burden of
cardenolide sequestration without Na(+)/K(+)-ATPase adaptations, we applied
haemolymph of sequestering species on isolated Na(+)/K(+)-ATPase of sequestering
and non-sequestering species. Haemolymph cardenolides dramatically impair non
adapted Na(+)/K(+)-ATPase, but had systematically reduced effects on Na(+)/K(+)
ATPase of sequestering species. Our data indicate that major adaptations to plant
toxins may be evolutionarily linked to sequestration, and may not necessarily be
a means to eat toxic plants. Na(+)/K(+)-ATPase adaptations thus were a potential
mechanism through which predators spurred the coevolutionary arms race between
plants and insects.
PMID- 26538595
TI - Reproductive outcome and survival of common bottlenose dolphins sampled in
Barataria Bay, Louisiana, USA, following the Deepwater Horizon oil spill.
AB - Common bottlenose dolphins (Tursiops truncatus) inhabit bays, sounds and
estuaries across the Gulf of Mexico. Following the Deepwater Horizon oil spill,
studies were initiated to assess potential effects on these ecologically
important apex predators. A previous study reported disease conditions, including
lung disease and impaired stress response, for 32 dolphins that were temporarily
captured and given health assessments in Barataria Bay, Louisiana, USA. Ten of
the sampled dolphins were determined to be pregnant, with expected due dates the
following spring or summer. Here, we report findings after 47 months of follow-up
monitoring of those sampled dolphins. Only 20% (95% CI: 2.50-55.6%) of the
pregnant dolphins produced viable calves, as compared with a previously reported
pregnancy success rate of 83% in a reference population. Fifty-seven per cent of
pregnant females that did not successfully produce a calf had been previously
diagnosed with moderate-severe lung disease. In addition, the estimated annual
survival rate of the sampled cohort was low (86.8%, 95% CI: 80.0-92.7%) as
compared with survival rates of 95.1% and 96.2% from two other previously studied
bottlenose dolphin populations. Our findings confirm low reproductive success and
high mortality in dolphins from a heavily oiled estuary when compared with other
populations. Follow-up studies are needed to better understand the potential
recovery of dolphins in Barataria Bay and, by extension, other Gulf coastal
regions impacted by the spill.
PMID- 26538596
TI - Evolution of early male-killing in horizontally transmitted parasites.
AB - Early male-killing (MK) bacteria are vertically transmitted reproductive
parasites which kill male offspring that inherit them. Whereas their incidence is
well documented, characteristics allowing originally non-MK bacteria to gradually
evolve MK ability remain unclear. We show that horizontal transmission is a
mechanism enabling vertically transmitted bacteria to evolve fully efficient MK
under a wide range of host and parasite characteristics, especially when the
efficacy of vertical transmission is high. We also show that an almost 100%
vertically transmitted and 100% effective male-killer may evolve from a purely
horizontally transmitted non-MK ancestor, and that a 100% efficient male-killer
can form a stable coexistence only with a non-MK bacterial strain. Our findings
are in line with the empirical evidence on current MK bacteria, explain their
high efficacy in killing infected male embryos and their variability within and
across insect taxa, and suggest that they may have evolved independently in
phylogenetically distinct species.
PMID- 26538597
TI - Cry-wolf signals emerging from coevolutionary feedbacks in a tritrophic system.
AB - For a communication system to be stable, senders should convey honest
information. Providing dishonest information, however, can be advantageous to
senders, which imposes a constraint on the evolution of communication systems.
Beyond single populations and bitrophic systems, one may ask whether stable
communication systems can evolve in multitrophic systems. Consider cross-species
signalling where herbivore-induced plant volatiles (HIPVs) attract predators to
reduce the damage from arthropod herbivores. Such plant signals may be honest and
help predators to identify profitable prey/plant types via HIPV composition and
to assess prey density via the amount of HIPVs. There could be selection for
dishonest signals that attract predators for protection from possible future
herbivory. Recently, we described a case in which plants release a fixed, high
amount of HIPVs independent of herbivore load, adopting what we labelled a 'cry
wolf' strategy. To understand when such signals evolve, we model coevolutionary
interactions between plants, herbivores and predators, and show that both
'honest' and 'cry-wolf' types can emerge, depending on the assumed plant
herbivore encounter rates and herbivore population density. It is suggested that
the 'cry-wolf' strategy may have evolved to reduce the risk of heavy damage in
the future. Our model suggests that eco-evolutionary feedback loops involving a
third species may have important consequences for the stability of this outcome.
PMID- 26538598
TI - Phage selection for bacterial cheats leads to population decline.
AB - While predators and parasites are known for their effects on bacterial population
biology, their impact on the dynamics of bacterial social evolution remains
largely unclear. Siderophores are iron-chelating molecules that are key to the
survival of certain bacterial species in iron-limited environments, but their
production can be subject to cheating by non-producing genotypes. In a selection
experiment conducted over approximately 20 bacterial generations and involving
140 populations of the pathogenic bacterium Pseudomonas aeruginosa PAO1, we
assessed the impact of a lytic phage on competition between siderophore producers
and non-producers. We show that the presence of lytic phages favours the non
producing genotype in competition, regardless of whether iron use relies on
siderophores. Interestingly, phage pressure resulted in higher siderophore
production, which constitutes a cost to the producers and may explain why they
were outcompeted by non-producers. By the end of the experiment, however,
cheating load reduced the fitness of mixed populations relative to producer
monocultures, and only monocultures of producers managed to grow in the presence
of phage in situations where siderophores were necessary to access iron. These
results suggest that public goods production may be modulated in the presence of
natural enemies with consequences for the evolution of social strategies.
PMID- 26538600
TI - Thermodynamic properties of water molecules in the presence of cosolute depend on
DNA structure: a study using grid inhomogeneous solvation theory.
AB - In conditions that mimic those of the living cell, where various biomolecules and
other components are present, DNA strands can adopt many structures in addition
to the canonical B-form duplex. Previous studies in the presence of cosolutes
that induce molecular crowding showed that thermal stabilities of DNA structures
are associated with the properties of the water molecules around the DNAs. To
understand how cosolutes, such as ethylene glycol, affect the thermal stability
of DNA structures, we investigated the thermodynamic properties of water
molecules around a hairpin duplex and a G-quadruplex using grid inhomogeneous
solvation theory (GIST) with or without cosolutes. Our analysis indicated that
(i) cosolutes increased the free energy of water molecules around DNA by
disrupting water-water interactions, (ii) ethylene glycol more effectively
disrupted water-water interactions around Watson-Crick base pairs than those
around G-quartets or non-paired bases, (iii) due to the negative electrostatic
potential there was a thicker hydration shell around G-quartets than around
Watson-Crick-paired bases. Our findings suggest that the thermal stability of the
hydration shell around DNAs is one factor that affects the thermal stabilities of
DNA structures under the crowding conditions.
PMID- 26538601
TI - Re-evaluating the kinetics of ATP hydrolysis during initiation of DNA sliding by
Type III restriction enzymes.
AB - DNA cleavage by the Type III restriction enzymes requires long-range protein
communication between recognition sites facilitated by thermally-driven 1D
diffusion. This 'DNA sliding' is initiated by hydrolysis of multiple ATPs
catalysed by a helicase-like domain. Two distinct ATPase phases were observed
using short oligoduplex substrates; the rapid consumption of ~10 ATPs coupled to
a protein conformation switch followed by a slower phase, the duration of which
was dictated by the rate of dissociation from the recognition site. Here, we show
that the second ATPase phase is both variable and only observable when DNA ends
are proximal to the recognition site. On DNA with sites more distant from the
ends, a single ATPase phase coupled to the conformation switch was observed and
subsequent site dissociation required little or no further ATP hydrolysis. The
overall DNA dissociation kinetics (encompassing site release, DNA sliding and
escape via a DNA end) were not influenced by the second phase. Although the data
simplifies the ATP hydrolysis scheme for Type III restriction enzymes, questions
remain as to why multiple ATPs are hydrolysed to prepare for DNA sliding.
PMID- 26538599
TI - Tools and data services registry: a community effort to document bioinformatics
resources.
AB - Life sciences are yielding huge data sets that underpin scientific discoveries
fundamental to improvement in human health, agriculture and the environment. In
support of these discoveries, a plethora of databases and tools are deployed, in
technically complex and diverse implementations, across a spectrum of scientific
disciplines. The corpus of documentation of these resources is fragmented across
the Web, with much redundancy, and has lacked a common standard of information.
The outcome is that scientists must often struggle to find, understand, compare
and use the best resources for the task at hand.Here we present a community
driven curation effort, supported by ELIXIR-the European infrastructure for
biological information-that aspires to a comprehensive and consistent registry of
information about bioinformatics resources. The sustainable upkeep of this Tools
and Data Services Registry is assured by a curation effort driven by and tailored
to local needs, and shared amongst a network of engaged partners.As of November
2015, the registry includes 1785 resources, with depositions from 126 individual
registrations including 52 institutional providers and 74 individuals. With
community support, the registry can become a standard for dissemination of
information about bioinformatics resources: we welcome everyone to join us in
this common endeavour. The registry is freely available at https://bio.tools.
PMID- 26538602
TI - HSP70 binding protein 1 (HspBP1) suppresses HIV-1 replication by inhibiting NF
kappaB mediated activation of viral gene expression.
AB - HIV-1 efficiently hijacks host cellular machinery and exploits a plethora of host
viral interactions for its successful survival. Identifying host factors that
affect susceptibility or resistance to HIV-1 may offer a promising therapeutic
strategy against HIV-1. Previously, we have reported that heat shock proteins,
HSP40 and HSP70 reciprocally regulate HIV-1 gene-expression and replication. In
the present study, we have identified HSP70 binding protein 1 (HspBP1) as a host
intrinsic inhibitor of HIV-1. HspBP1 level was found to be significantly down
modulated during HIV-1 infection and virus production inversely co-related with
HspBP1 expression. Our results further demonstrate that HspBP1 inhibits HIV-1
long terminal repeat (LTR) promoter activity. Gel shift and chromatin
immunoprecipitation assays revealed that HspBP1 was recruited on HIV-1 LTR at NF
kappaB enhancer region (kappaB sites). The binding of HspBP1 to kappaB sites
obliterates the binding of NF-kappaB hetero-dimer (p50/p65) to the same region,
leading to repression in NF-kappaB mediated activation of LTR-driven gene
expression. HspBP1 also plays an inhibitory role in the reactivation of latently
infected cells, corroborating its repressive effect on NF-kappaB pathway. Thus,
our results clearly show that HspBP1 acts as an endogenous negative regulator of
HIV-1 gene-expression and replication by suppressing NF-kappaB-mediated
activation of viral transcription.
PMID- 26538603
TI - Effects of scratching and other counterstimuli on responses of trigeminothalamic
tract neurons to itch-inducing stimuli in rats.
AB - Counterstimuli such as scratching, pinching, noxious heat and cold, and innocuous
cooling and warming have been shown to inhibit itch in humans. In the present
study, the effects of each of these counterstimuli were determined on baseline
firing rates and on sustained pruriceptive responses of rat trigeminothalamic
tract neurons. We found that scratching had little, if any, effect on baseline
firing levels but greatly reduced mean pruriceptive firing following scratching
for nearly 1 min. None of the other noxious or innocuous counterstimuli
significantly inhibited pruriceptive responses. Our results indicate that
scratching, but not other counterstimuli, significantly reduces itch-induced
responses of trigeminothalamic tract neurons.
PMID- 26538604
TI - Changes in cortical activity measured with EEG during a high-intensity cycling
exercise.
AB - This study investigated the effects of a high-intensity cycling exercise on
changes in spectral and temporal aspects of electroencephalography (EEG) measured
from 10 experienced cyclists. Cyclists performed a maximum aerobic power test on
the first testing day followed by a time-to-exhaustion trial at 85% of their
maximum power output on 2 subsequent days that were separated by ~48 h. EEG was
recorded using a 64-channel system at 500 Hz. Independent component (IC) analysis
parsed the EEG scalp data into maximal ICs. An equivalent current dipole model
was calculated for each IC, and results were clustered across subjects. A time
frequency analysis of the identified electrocortical clusters was performed to
investigate the magnitude and timing of event-related spectral perturbations.
Significant changes (P < 0.05) in electrocortical activity were found in frontal,
supplementary motor and parietal areas of the cortex. Overall, there was a
significant increase in EEG power as fatigue developed throughout the exercise.
The strongest increase was found in the frontal area of the cortex. The timing of
event-related desynchronization within the supplementary motor area corresponds
with the onset of force production and the transition from flexion to extension
in the pedaling cycle. The results indicate an involvement of the cerebral cortex
during the pedaling task that most likely involves executive control function, as
well as motor planning and execution.
PMID- 26538605
TI - Characterizing the physiological and behavioral roles of proctolin in Drosophila
melanogaster.
AB - The neuropeptide proctolin (RYLPT) plays important roles as both a neurohormone
and a cotransmitter in arthropod neuromuscular systems. We used third-instar
Drosophila larvae as a model system to differentiate synaptic effects of this
peptide from its direct effects on muscle contractility and to determine whether
proctolin can work in a cell-selective manner on muscle fibers. Proctolin did not
appear to alter the amplitude of excitatory junctional potentials but did induce
sustained muscle contractions in preparations where the CNS had been removed and
no stimuli were applied to the remaining nerves. Proctolin-induced contractions
were dose-dependent, were reduced by knocking down expression of the Drosophila
proctolin receptor in muscle tissue, and were larger in some muscle cells than
others (i.e., larger in fibers 4, 12, and 13 than in 6 and 7). Proctolin also
increased the amplitude of nerve-evoked contractions in a dose-dependent manner,
and the magnitude of this effect was also larger in some muscle cells than others
(again, larger in fibers 4, 12, and 13 than in 6 and 7). Increasing the
intraburst impulse frequency and number of impulses per burst increased the
magnitude of proctolin's enhancement of nerve-evoked contractions and decreased
the threshold and EC50 concentrations for proctolin to enhance nerve-evoked
contractions. Reducing proctolin receptor expression decreased the velocity of
larval crawling at higher temperatures, and thermal preference in these larvae.
Our results suggest that proctolin acts directly on body-wall muscles to elicit
slow, sustained contractions and to enhance nerve-evoked contractions, and that
proctolin affects muscle fibers in a cell-selective manner.
PMID- 26538606
TI - Voluntary reaction time and long-latency reflex modulation.
AB - Stretching a muscle of the upper limb elicits short (M1) and long-latency (M2)
reflexes. When the participant is instructed to actively compensate for a
perturbation, M1 is usually unaffected and M2 increases in size and is followed
by the voluntary response. It remains unclear if the observed increase in M2 is
due to instruction-dependent gain modulation of the contributing reflex
mechanism(s) or results from voluntary response superposition. The difficulty in
delineating between these alternatives is due to the overlap between the
voluntary response and the end of M2. The present study manipulated response
accuracy and complexity to delay onset of the voluntary response and observed the
corresponding influence on electromyographic activity during the M2 period. In
all active conditions, M2 was larger compared with a passive condition where
participants did not respond to the perturbation; moreover, these changes in M2
began early in the appearance of the response (~ 50 ms), too early to be
accounted for by voluntary overlap. Voluntary response latency influenced the
latter portion of M2, with the largest activity seen when accuracy of limb
position was not specified. However, when participants aimed for targets of
different sizes or performed movements of various complexities, reaction time
differences did not influence M2 period activity, suggesting voluntary activity
was sufficiently delayed. Collectively, our results show that while a
perturbation applied to the upper limbs can trigger a voluntary response at short
latency (<100 ms), instruction-dependent reflex gain modulation remains an
important contributor to EMG changes during the M2 period.
PMID- 26538608
TI - Functional morphometry demonstrates extraocular muscle compartmental contraction
during vertical gaze changes.
AB - Anatomical studies demonstrate selective compartmental innervation of most human
extraocular muscles (EOMs), suggesting the potential for differential
compartmental control. This was supported by magnetic resonance imaging (MRI)
demonstrating differential lateral rectus (LR) compartmental contraction during
ocular counterrolling, differential medial rectus (MR) compartmental contraction
during asymmetric convergence, and differential LR, inferior rectus (IR), and
superior oblique (SO) compartmental contraction during vertical vergence. To
ascertain possible differential compartmental EOM contraction during vertical
ductions, surface coil MRI was performed over a range of target-controlled
vertical gaze positions in 25 orbits of 13 normal volunteers. Cross-sectional
areas and partial volumes of EOMs were analyzed in contiguous, quasi-coronal 2-mm
image planes spanning origins to globe equator to determine morphometric features
correlating best with contractility. Confirming and extending prior findings for
horizontal EOMs during horizontal ductions, the percent change in posterior
partial volume (PPV) of vertical EOMs from 8 to 14 mm posterior to the globe
correlated best with vertical duction. EOMs were then divided into equal
transverse compartments to evaluate the effect of vertical gaze on changes in
PPV. Differential contractile changes were detected in the two compartments of
the same EOM during infraduction for the IR medial vs. lateral (+4.4%, P = 0.03),
LR inferior vs. superior (+4.0%, P = 0.0002), MR superior vs. inferior (-6.0%, P
= 0.001), and SO lateral vs. medial (+9.7%, P = 0.007) compartments, with no
differential contractile changes in the superior rectus. These findings suggest
that differential compartmental activity occurs during normal vertical ductions.
Thus all EOMs may contribute to cyclovertical actions.
PMID- 26538607
TI - Association between resting-state brain functional connectivity and muscle
sympathetic burst incidence.
AB - The insula (IC) and cingulate are key components of the central autonomic network
and central nodes of the salience network (SN), a set of spatially distinct but
temporally correlated brain regions identified with resting-state (task free)
functional MRI (rsMRI). To examine the SN's involvement in sympathetic outflow,
we tested the hypothesis that individual differences in intrinsic connectivity of
the SN correlate positively with resting postganglionic muscle sympathetic nerve
activity (MSNA) burst incidence (BI) in subjects without and with obstructive
sleep apnea (OSA). Overnight polysomnography, 5-min rsMRI, and fibular MSNA
recording were performed in 36 subjects (mean age 57 yr; 10 women, 26 men).
Independent component analysis (ICA) of the entire cohort identified the SN as
including bilateral IC, pregenual anterior cingulate cortex (pgACC), midcingulate
cortex (MCC), and the temporoparietal junction (TPJ). There was a positive
correlation between BI and the apnea-hypopnea index (AHI) (P < 0.001), but dual
regression analysis identified no differences in SN functional connectivity
between subjects with no or mild OSA (n = 17) and moderate or severe (n = 19)
OSA. Correlation analysis relating BI to the strength of connectivity within the
SN revealed large (i.e., spatial extent) and strong correlations for the left IC
(P < 0.001), right pgACC/MCC (P < 0.006), left TPJ (P < 0.004), thalamus (P <
0.035), and cerebellum (P < 0.013). Indexes of sleep apnea were unrelated to BI
and the strength of SN connectivity. There were no relationships between BI and
default or sensorimotor network connectivity. This study links connectivity
within the SN to MSNA, demonstrating several of its nodes to be key
sympathoexcitatory regions.
PMID- 26538609
TI - Anatomical localization of Cav3.1 calcium channels and electrophysiological
effects of T-type calcium channel blockade in the motor thalamus of MPTP-treated
monkeys.
AB - Conventional anti-Parkinsonian dopamine replacement therapy is often complicated
by side effects that limit the use of these medications. There is a continuing
need to develop nondopaminergic approaches to treat Parkinsonism. One such
approach is to use medications that normalize dopamine depletion-related firing
abnormalities in the basal ganglia-thalamocortical circuitry. In this study, we
assessed the potential of a specific T-type calcium channel blocker (ML218) to
eliminate pathologic burst patterns of firing in the basal ganglia-receiving
territory of the motor thalamus in Parkinsonian monkeys. We also carried out an
anatomical study, demonstrating that the immunoreactivity for T-type calcium
channels is strongly expressed in the motor thalamus in normal and 1-methyl-4
phenyl-1,2,3,6-tetrahydropyridine (MPTP)-treated monkeys. At the electron
microscopic level, dendrites accounted for >90% of all tissue elements that were
immunoreactive for voltage-gated calcium channel, type 3.2-containing T-type
calcium channels in normal and Parkinsonian monkeys. Subsequent in vivo
electrophysiologic studies in awake MPTP-treated Parkinsonian monkeys
demonstrated that intrathalamic microinjections of ML218 (0.5 MUl of a 2.5-mM
solution, injected at 0.1-0.2 MUl/min) partially normalized the thalamic activity
by reducing the proportion of rebound bursts and increasing the proportion of
spikes in non-rebound bursts. The drug also attenuated oscillatory activity in
the 3-13-Hz frequency range and increased gamma frequency oscillations. However,
ML218 did not normalize Parkinsonism-related changes in firing rates and
oscillatory activity in the beta frequency range. Whereas the described changes
are promising, a more complete assessment of the cellular and behavioral effects
of ML218 (or similar drugs) is needed for a full appraisal of their anti
Parkinsonian potential.
PMID- 26538611
TI - How to discriminate conclusively among different models of decision making?
AB - A popular and successful class of decision-making models (the "evidence
accumulator" models) has been recently challenged by a new hypothesis called the
urgency-gating model. Hawkins et al. (J Neurophysiol 114: 40-47, 2015) used a
sophisticated curve-fitting procedure to show that these models are discriminable
and thus testable in constant evidence tasks. In this Neuro Forum article I raise
possible limitations of such an approach, discuss some of its implications, and
propose alternative solutions.
PMID- 26538610
TI - Interhemispheric connectivity during bimanual isometric force generation.
AB - Interhemispheric interactions through the corpus callosum play an important role
in the control of bimanual forces. However, the extent to which physiological
connections between primary motor cortices are modulated during increasing levels
of bimanual force generation in intact humans remains poorly understood. Here we
studied coherence between electroencephalographic (EEG) signals and the
ipsilateral cortical silent period (iSP), two well-known measures of
interhemispheric connectivity between motor cortices, during unilateral and
bilateral 10%, 40%, and 70% of maximal isometric voluntary contraction (MVC) into
index finger abduction. We found that EEG-EEG coherence in the alpha frequency
band decreased while the iSP area increased during bilateral compared with
unilateral 40% and 70% but not 10% of MVC. Decreases in coherence in the alpha
frequency band correlated with increases in the iSP area, and subjects who showed
this inverse relation were able to maintain more steady bilateral muscle
contractions. To further examine the relationship between the iSP and coherence
we electrically stimulated the ulnar nerve at the wrist at the alpha frequency.
Electrical stimulation increased coherence in the alpha frequency band and
decreased the iSP area during bilateral 70% of MVC. Altogether, our findings
demonstrate an inverse relation between alpha oscillations and the iSP during
strong levels of bimanual force generation. We suggest that interactions between
neural pathways mediating alpha oscillatory activity and transcallosal inhibition
between motor cortices might contribute to the steadiness of strong bilateral
isometric muscle contractions in intact humans.
PMID- 26538613
TI - Properties of an intermediate-duration inactivation process of the voltage-gated
sodium conductance in rat hippocampal CA1 neurons.
AB - Rapid transmembrane flow of sodium ions produces the depolarizing phase of action
potentials (APs) in most excitable tissue through voltage-gated sodium channels
(NaV). Macroscopic currents display rapid activation followed by fast
inactivation (IF) within milliseconds. Slow inactivation (IS) has been
subsequently observed in several preparations including neuronal tissues. IS
serves important physiological functions, but the kinetic properties are
incompletely characterized, especially the operative timescales. Here we present
evidence for an "intermediate inactivation" (II) process in rat hippocampal CA1
neurons with time constants of the order of 100 ms. The half-inactivation
potentials (V0.5) of steady-state inactivation curves were hyperpolarized by
increasing conditioning pulse duration from 50 to 500 ms and could be described
by a sum of Boltzmann relations. II state transitions were observed after opening
as well as subthreshold potentials. Entry into II after opening was relatively
insensitive to membrane potential, and recovery of II became more rapid at
hyperpolarized potentials. Removal of fast inactivation with cytoplasmic papaine
revealed time constants of INa decay corresponding to II and IS with long
depolarizations. Dynamic clamp revealed attenuation of trains of APs over the
10(2)-ms timescale, suggesting a functional role of II in repetitive firing
accommodation. These experimental findings could be reproduced with a five-state
Markov model. It is likely that II affects important aspects of hippocampal
neuron response and may provide a drug target for sodium channel modulation.
PMID- 26538612
TI - Two distinct representations of social vocalizations in the basolateral amygdala.
AB - Acoustic communication signals carry information related to the types of social
interactions by means of their "acoustic context," the sequencing and temporal
emission pattern of vocalizations. Here we describe responses to natural vocal
sequences in adult big brown bats (Eptesicus fuscus). We first assessed how vocal
sequences modify the internal affective state of a listener (via heart rate). The
heart rate of listening bats was differentially modulated by vocal sequences,
showing significantly greater elevation in response to moderately aggressive
sequences than appeasement or neutral sequences. Next, we characterized single
neuron responses in the basolateral amygdala (BLA) of awake, restrained bats to
isolated syllables and vocal sequences. Two populations of neurons distinguished
by background firing rates also differed in acoustic stimulus selectivity. Low
background neurons (<1 spike/s) were highly selective, responding on average to
one tested stimulus. These may participate in a sparse code of vocal stimuli, in
which each neuron responds to one or a few stimuli and the population responds to
the range of vocalizations across behavioral contexts. Neurons with higher
background rates (>=1 spike/s) responded broadly to tested stimuli and better
represented the timing of syllables within sequences. We found that spike timing
information improved the ability of these neurons to discriminate among vocal
sequences and among the behavioral contexts associated with sequences compared
with a rate code alone. These findings demonstrate that the BLA contains multiple
robust representations of vocal stimuli that can provide the basis for
emotional/physiological responses to these stimuli.
PMID- 26538614
TI - Educational inequality in cardiovascular disease depends on diagnosis: A
nationwide register based study from Denmark.
AB - BACKGROUND: Social inequality is present in the morbidity as well as the
mortality of cardiovascular diseases. This paper aims to quantify and compare the
level of educational inequality across different cardiovascular diagnoses.
DESIGN: Register based study. METHODS: Comparison of the extent of inequality
across different cardiovascular diagnoses requires a measure of inequality which
is comparable across subgroups with different educational distributions. The
slope index of inequality and the relative index of inequality were applied for
measuring inequalities in incidence of six cardiovascular diagnoses: ischaemic
heart disease, acute myocardial infarction, valvular heart disease, congestive
heart failure, atrial fibrillation and stroke in the period 2005-2009. All
individuals in the general Danish population aged 35-84 years were followed in
national registers regarding hospitalisation, death and education from 1985 to
2009 (annual average of 2.9 million people) to define incident cases. RESULTS:
Marked educational inequality was found in the incidence of ischaemic heart
disease, acute myocardial infarction, heart failure and stroke (relative index of
inequality: 0.37 (95% confidence interval 0.34; 0.40) to 0.60 (0.57; 0.63),
absolute index of inequality: -241 (-254.4; -227.4) to -37 (-42.7; -31.1)) while
inequality in atrial fibrillation and, in particular, in valvular heart disease
was small and insignificant (relative index of inequality: 0.57 (0.49; 0.65) to
0.97 (0.88; 1.08), absolute index of inequality: -29 (-35.1; -21.9) to -1 (-4.8;
3.8)). CONCLUSION: The degree of educational inequality in cardiovascular
diseases depends on the diagnosis, with the highest inequality in ischaemic heart
disease, acute myocardial infarction, heart failure and stroke. Small differences
were found between men and women.
PMID- 26538615
TI - Clinical evolution of post-transplant diabetes mellitus.
AB - BACKGROUND: The long-term clinical evolution of prediabetes and post-transplant
diabetes mellitus (PTDM) is unknown. METHODS: We analysed, in this cohort study,
the reversibility, stability and progression of PTDM and prediabetes in 672
patients using repeated oral glucose tolerance tests (OGTTs) for <=5 years.
RESULTS: Most patients were on tacrolimus, steroids and mycophenolate. About half
developed either PTDM or prediabetes. The incidence of PTDM was 32% and bimodal:
early PTDM (<=3 months) and late PTDM. Early PTDM reverted in 31%; late PTDM
developed in patients with post-transplant prediabetes. The use of OGTTs was
necessary to detect around half of PTDM. Pretransplant obesity was a major risk
factor for early PTDM, for its persistence and for late PTDM {odds ratio [OR]
1.18 [95% confidence interval (CI) 1.09-1.28]}. At 3 months, higher HbA1c
promoted [OR 2.37 (95% CI 1.38-4.06)], while insulin sensitivity protected
against [OR 0.64 (95% CI 0.48-0.86)] late PTDM. At 3 months, 28% had prediabetes;
of these, 36% remained stable, 43% normalized and 21% developed late PTDM.
Pretransplant obesity [OR 1.20 (95% CI 1.04-1.39)] and higher HbA1c [OR 3.80 (95%
CI 1.45-9.94)] at 3 months promoted while insulin sensitivity protected against
[OR 0.57 (95% CI 0.34-0.95)] evolution from prediabetes to late PTDM.
Immunosuppressive levels or acute rejection did not influence PTDM. Most (84%) of
the patients with normal tests at 3 months remained stable without evolving into
PTDM; 14% developed prediabetes. CONCLUSIONS: PTDM and prediabetes are very
common in renal transplantation. Classic metabolic factors like obesity,
prediabetes and insulin resistance promote the evolution of PTDM and prediabetes.
Patients with normal glucose metabolism rarely develop PTDM. OGTT is necessary to
detect PTDM and prediabetes and thus should be included in clinical practice.
PMID- 26538616
TI - PLASTIC SCINTILLATOR FOR RADIATION DOSIMETRY.
AB - Inorganic scintillators, composed of high-atomic-number materials such as the
CsI(Tl) scintillator, are commonly used in commercially available a silicon diode
and a scintillator embedded indirect-type electronic personal dosimeters because
the light yield of the inorganic scintillator is higher than that of an organic
scintillator. However, when it comes to tissue-equivalent dose measurements, a
plastic scintillator such as polyvinyl toluene (PVT) is a more appropriate
material than an inorganic scintillator because of the mass energy absorption
coefficient. To verify the difference in the absorbed doses for each
scintillator, absorbed doses from the energy spectrum and the calculated absorbed
dose were compared. From the results, the absorbed dose of the plastic
scintillator was almost the same as that of the tissue for the overall photon
energy. However, in the case of CsI, it was similar to that of the tissue only
for a photon energy from 500 to 4000 keV. Thus, the values and tendency of the
mass energy absorption coefficient of the PVT are much more similar to those of
human tissue than those of the CsI.
PMID- 26538617
TI - CHARACTERISING THE EOS SLOT-SCANNING SYSTEM WITH THE EFFECTIVE DETECTIVE QUANTUM
EFFICIENCY.
AB - As opposed to the standard detective quantum efficiency (DQE), effective DQE
(eDQE) is a figure of merit that allows comparing the performances of imaging
systems in the presence of scatter rejection devices. The geometry of the EOSTM
slot-scanning system is such that the detector is self-collimated and rejects
scattered radiation. In this study, the EOS system was characterised using the
eDQE in imaging conditions similar to those used in clinical practice: with
phantoms of different widths placed in the X-ray beam, for various incident air
kerma and tube voltages corresponding to the phantom thickness. Scatter fractions
in EOS images were extremely low, around 2 % for all configurations. Maximum eDQE
values spanned 9-14.8 % for a large range of air kerma at the detector plane from
0.01 to 1.34 uGy. These figures were obtained with non-optimised EOS setting but
still over-performed most of the maximum eDQEs recently assessed for various
computed radiology and digital radiology systems with antiscatter grids.
PMID- 26538618
TI - Large-volume low apparent diffusion coefficient lesions predict poor survival in
bevacizumab-treated glioblastoma patients.
AB - BACKGROUND: Glioblastomas treated with bevacizumab may develop low-signal
apparent diffusion coefficient (low-ADC) lesions, which may reflect increased
tumor cellularity or atypical necrosis. The purpose of this study was to examine
the relationship between low-ADC lesions and overall survival (OS). We
hypothesized that growing low-ADC lesions would be associated with shorter OS.
METHODS: We retrospectively identified 52 patients treated with bevacizumab for
the first (n = 42, 81%) or later recurrence of primary glioblastoma, who had low
ADC lesions and 2 post-bevacizumab scans <=90 days apart. Low-ADC lesion volumes
were measured, and normalized 5th percentile histogram low-ADC values were
recorded. Using OS as the primary endpoint, semiparametric Cox models were fitted
to ascertain univariate and multivariate hazard ratios (HRs) with significance at
P = .05. RESULTS: Median OS was 9.1 months (95% CI = 7.2-14.3). At the second
post-bevacizumab scan, the volume of the low-ADC lesion (median: 12.94 cm(3)) was
inversely associated with OS, with larger volumes predicting shorter OS (HR =
1.014 [95% CI = 1.003-1.025], P = .009). The percent change in low-ADC volume
(median: 6.8%) trended toward increased risk of death with growing volumes (P =
.08). Normalized 5th percentile low-ADC value and its percent change were not
associated with OS (P > .51). Also correlated with shorter OS were the pre
bevacizumab nonenhancing volume (P = .025), the first post-bevacizumab enhancing
volume (P = .040), and the second post-bevacizumab enhancing volume (P = .004).
CONCLUSIONS: The volume of low-ADC lesions at the second post-bevacizumab scan
predicted shorter OS. This suggests that low-ADC lesions may be considered
important imaging markers and included in treatment decision algorithms.
PMID- 26538620
TI - Solitaire salvage: a stent retriever-assisted catheter reduction technical
report.
AB - The endovascular management of giant aneurysms often proves difficult with
standard techniques. Obtaining distal access to allow catheter reduction is often
key to approaching these aneurysms, but several anatomic challenges make this
task unsafe and not feasible. Obtaining distal anchor points and performing
catheter reduction maneuvers using adjunctive devices is not a novel concept,
however using the Solitaire in order to do so may have some distinct advantages
compared with previously described methods. Here we describe our novel Solitaire
salvage technique, which allowed successful reduction of a looped catheter within
an aneurysm in three cases. While this technique is expensive and therefore best
performed after standard maneuvers have failed, in our experience it was
effective, safe, and more efficient than other methods.
PMID- 26538619
TI - Colony stimulating factor 1 receptor inhibition delays recurrence of glioblastoma
after radiation by altering myeloid cell recruitment and polarization.
AB - BACKGROUND: Glioblastoma (GBM) may initially respond to treatment with ionizing
radiation (IR), but the prognosis remains extremely poor because the tumors
invariably recur. Using animal models, we previously showed that inhibiting
stromal cell-derived factor 1 signaling can prevent or delay GBM recurrence by
blocking IR-induced recruitment of myeloid cells, specifically monocytes that
give rise to tumor-associated macrophages. The present study was aimed at
determining if inhibiting colony stimulating factor 1 (CSF-1) signaling could be
used as an alternative strategy to target pro-tumorigenic myeloid cells recruited
to irradiated GBM. METHODS: To inhibit CSF-1 signaling in myeloid cells, we used
PLX3397, a small molecule that potently inhibits the tyrosine kinase activity of
the CSF-1 receptor (CSF-1R). Combined IR and PLX3397 therapy was compared with IR
alone using 2 different human GBM intracranial xenograft models. RESULTS: GBM
xenografts treated with IR upregulated CSF-1R ligand expression and increased the
number of CD11b+ myeloid-derived cells in the tumors. Treatment with PLX3397 both
depleted CD11b+ cells and potentiated the response of the intracranial tumors to
IR. Median survival was significantly longer for mice receiving combined therapy
versus IR alone. Analysis of myeloid cell differentiation markers indicated that
CSF-1R inhibition prevented IR-recruited monocyte cells from differentiating into
immunosuppressive, pro-angiogenic tumor-associated macrophages. CONCLUSION: CSF
1R inhibition may be a promising strategy to improve GBM response to
radiotherapy.
PMID- 26538621
TI - Scientists Journey Into Genomes Via CRISPR-Cas9.
PMID- 26538622
TI - Targeted Therapy Makes Inroads in Medulloblastoma.
PMID- 26538624
TI - Studying Pets' Cancers May Yield Health Benefits For Humans.
PMID- 26538625
TI - American Society of Clinical Oncology Developing First Clinical Trial.
PMID- 26538627
TI - Use of Radioactive Iodine for Thyroid Cancer and Risk of Second Primary
Malignancy: A Nationwide Population-Based Study.
AB - BACKGROUND: Radioactive iodine (RAI) is widely used for the treatment of thyroid
cancers. However, information on associations between RAI dose and second primary
malignancy (SPM) is lacking. METHODS: Patients without antecedent cancer age 20
years or older and newly diagnosed with thyroid cancer were recruited from the
Taiwan National Health Insurance database between 1997 and 2010. Standardized
incidence ratios (SIRs) for the cancers were calculated to compare the incidence
of thyroid cancer with the general population. The association between RAI dosage
and cancer development was estimated using time-dependent Cox regression
analysis. All statistical tests were two-sided. RESULTS: A total of 692 cases of
SPM were identified among 20 235 patients with thyroid cancer. Regarding the
latter, 79.7% of the patients were women, the median age was 46 years, and the
follow-up period included 134 178 person-years. The SIR for any SPM was 1.41 (95%
confidence interval [CI] = 1.31 to 1.52). A statistically significantly higher
SIR was observed in leukemia (2.74), non-Hodgkin's lymphoma (2.38), prostate
(2.30), lung and mediastinum (1.93), pancreas (1.83), kidney (1.81), breast
(1.48), and colon-rectum (1.31) cancers. Cumulative RAI dose (per 30 mCi
increase) conferred a strong risk for SPM (adjusted hazard ratio [aHR] = 1.01,
95% CI = 1.01 to 1.02, P < .001) and leukemia (aHR = 1.03, 95% CI = 1.02 to 1.04,
P < .001) occurrences. A cumulative RAI dose greater than 150 mCi possessed a
statistically significant risk for all cancer combined (aHR = 1.30) and leukemia
(aHR = 6.03). CONCLUSIONS: An increased risk of SPM was observed for thyroid
cancer patients, especially with cumulative RAI doses over 150 mCi.
PMID- 26538628
TI - Cancer Models and Real-world Data: Better Together.
AB - Decision-analytic models are increasingly used to inform health policy decisions.
These models synthesize available data on disease burden and intervention
effectiveness to project estimates of the long-term consequences of care, which
are often absent when clinical or policy decisions must be made. While models
have been influential in informing US cancer screening guidelines under ideal
conditions, incorporating detailed data on real-world screening practice has been
limited given the complexity of screening processes and behaviors throughout
diverse health delivery systems in the United States. We describe the synergies
that exist between decision-analytic models and health care utilization data that
are increasingly accessible through research networks that assemble data from the
growing number of electronic medical record systems. In particular, we present
opportunities to enrich cancer screening models by grounding analyses in real
world data with the goals of projecting the harms and benefits of current
screening practices, evaluating the value of existing and new technologies, and
identifying the weakest links in the cancer screening process where efforts for
improvement may be most productively focused. We highlight the example of the
National Cancer Institute-funded consortium Population-based Research Optimizing
Screening through Personalized Regimens (PROSPR), a collaboration to harmonize
and analyze screening process and outcomes data on breast, colorectal, and
cervical cancers across seven research centers. The pairing of models with such
data can create more robust models to not only better inform policy but also
inform health care systems about best approaches to improve the provision of
cancer screening in the United States.
PMID- 26538629
TI - Slowing Polycystic Kidney Disease by Fasting.
PMID- 26538630
TI - Models of Human AKI: Resemblance, Reproducibility, and Return on Investment.
PMID- 26538631
TI - Urine Injury Biomarkers and Risk of Adverse Outcomes in Recipients of Prevalent
Kidney Transplants: The Folic Acid for Vascular Outcome Reduction in
Transplantation Trial.
AB - Recipients of kidney transplants (KTR) are at increased risk for cardiovascular
events, graft failure, and death. It is unknown whether urine kidney injury
biomarkers are associated with poor outcomes among KTRs. We conducted a post hoc
analysis of the Folic Acid for Vascular Outcome Reduction in Transplantation
(FAVORIT) Trial using a case-cohort study design, selecting participants with
adjudicated cardiovascular events, graft failure, or death. Urine neutrophil
gelatinase-associated lipocalin (NGAL), kidney injury molecule-1 (KIM-1), IL-18,
and liver-type fatty acid binding protein (L-FABP) were measured in spot urine
samples and standardized to urine creatinine concentration. We adjusted for
demographics, cardiovascular risk factors, eGFR, and urine albumin-to-creatinine
ratio. Patients had 291 cardiovascular events, 257 graft failure events, and 359
deaths. Each log increase in urine NGAL/creatinine independently associated with
a 24% greater risk of cardiovascular events (adjusted hazard ratio [aHR], 1.24;
95% confidence interval [95% CI], 1.06 to 1.45), a 40% greater risk of graft
failure (aHR, 1.40; 95% CI, 1.16 to 1.68), and a 44% greater risk of death (aHR,
1.44; 95% CI, 1.26 to 1.65). Urine KIM-1/creatinine and IL-18/creatinine
independently associated with greater risk of death (aHR, 1.29; 95% CI, 1.03 to
1.61 and aHR, 1.25; 95% CI, 1.04 to 1.49 per log increase, respectively) but not
with risk of cardiovascular events or graft failure. Urine L-FABP did not
associate with any study outcomes. In conclusion, among prevalent KTRs, higher
urine NGAL, KIM-1, and IL-18 levels independently and differentially associated
with greater risk of adverse outcomes.
PMID- 26538632
TI - Mammalian Target of Rapamycin Mediates Kidney Injury Molecule 1-Dependent Tubule
Injury in a Surrogate Model.
AB - Kidney injury molecule 1 (KIM-1), an epithelial phagocytic receptor, is markedly
upregulated in the proximal tubule in various forms of acute and chronic kidney
injury in humans and many other species. Whereas acute expression of KIM-1 has
adaptive anti-inflammatory effects, chronic expression may be maladaptive in
mice. Here, we characterized the zebrafish Kim family, consisting of Kim-1, Kim
3, and Kim-4. Kim-1 was markedly upregulated in kidney after gentamicin-induced
injury and had conserved phagocytic activity in zebrafish. Both constitutive and
tamoxifen-induced expression of Kim-1 in zebrafish kidney tubules resulted in
loss of the tubule brush border, reduced GFR, pericardial edema, and increased
mortality. Kim-1-induced kidney injury was associated with reduction of growth of
adult fish. Kim-1 expression led to activation of the mammalian target of
rapamycin (mTOR) pathway, and inhibition of this pathway with rapamycin increased
survival. mTOR pathway inhibition in KIM-1-overexpressing transgenic mice also
significantly ameliorated serum creatinine level, proteinuria, tubular injury,
and kidney inflammation. In conclusion, persistent Kim-1 expression results in
chronic kidney damage in zebrafish through a mechanism involving mTOR. This
observation predicted the role of the mTOR pathway and the therapeutic efficacy
of mTOR-targeted agents in KIM-1-mediated kidney injury and fibrosis in mice,
demonstrating the utility of the Kim-1 renal tubule zebrafish models.
PMID- 26538633
TI - Food Restriction Ameliorates the Development of Polycystic Kidney Disease.
AB - Autosomal dominant polycystic kidney disease (ADPKD) is a genetic disorder
characterized by the accumulation of kidney cysts that ultimately leads to loss
of renal function and kidney failure. At present, the treatment for ADPKD is
largely supportive. Multiple studies have focused on pharmacologic approaches to
slow the development of the cystic disease; however, little is known about the
role of nutrition and dietary manipulation in PKD. Here, we show that food
restriction (FR) effectively slows the course of the disease in mouse models of
ADPKD. Mild to moderate (10%-40%) FR reduced cyst area, renal fibrosis,
inflammation, and injury in a dose-dependent manner. Molecular and biochemical
studies in these mice indicate that FR ameliorates ADPKD through a mechanism
involving suppression of the mammalian target of the rapamycin pathway and
activation of the liver kinase B1/AMP-activated protein kinase pathway. Our data
suggest that dietary interventions such as FR, or treatment that mimics the
effects of such interventions, may be potential and novel preventive and
therapeutic options for patients with ADPKD.
PMID- 26538635
TI - The nature of alarm communication in Constrictotermes cyphergaster (Blattodea:
Termitoidea: Termitidae): the integration of chemical and vibroacoustic signals.
AB - Alarm signalling is of paramount importance to communication in all social
insects. In termites, vibroacoustic and chemical alarm signalling are bound to
operate synergistically but have never been studied simultaneously in a single
species. Here, we inspected the functional significance of both communication
channels in Constrictotermes cyphergaster (Termitidae: Nasutitermitinae),
confirming the hypothesis that these are not exclusive, but rather complementary
processes. In natural situations, the alarm predominantly attracts soldiers,
which actively search for the source of a disturbance. Laboratory testing
revealed that the frontal gland of soldiers produces a rich mixture of terpenoid
compounds including an alarm pheromone. Extensive testing led to identification
of the alarm pheromone being composed of abundant monoterpene hydrocarbons (1S)
alpha-pinene and myrcene, along with a minor component, (E)-beta-ocimene. The
vibratory alarm signalling consists of vibratory movements evidenced as bursts; a
series of beats produced predominantly by soldiers. Exposing termite groups to
various mixtures containing the alarm pheromone (crushed soldier heads, frontal
gland extracts, mixture of all monoterpenes, and the alarm pheromone mixture made
of standards) resulted in significantly higher activity in the tested groups and
also increased intensity of the vibratory alarm communication, with the responses
clearly dose-dependent. Lower doses of the pheromone provoked higher numbers of
vibratory signals compared to higher doses. Higher doses induced long-term
running of all termites without stops necessary to perform vibratory behaviour.
Surprisingly, even crushed worker heads led to low (but significant) increases in
the alarm responses, suggesting that other unknown compound in the worker's head
is perceived and answered by termites. Our results demonstrate the existence of
different alarm levels in termites, with lower levels being communicated through
vibratory signals, and higher levels causing general alarm or retreat being
communicated through the alarm pheromone.
PMID- 26538634
TI - Bridging Translation by Improving Preclinical Study Design in AKI.
AB - Despite extensive research, no therapeutic interventions have been shown to
prevent AKI, accelerate recovery of AKI, or reduce progression of AKI to CKD in
patients. This failure in translation has led investigators to speculate that the
animal models being used do not predict therapeutic responses in humans. Although
this issue continues to be debated, an important concern that has not been
addressed is whether improvements in preclinical study design can be identified
that might also increase the likelihood of translating basic AKI research into
clinical practice using the current models. In this review, we have taken an
evidence-based approach to identify common weaknesses in study design and
reporting in preclinical AKI research that may contribute to the poor
translatability of the findings. We focused on use of N-acetylcysteine or sodium
bicarbonate for the prevention of contrast-induced AKI and use of erythropoietin
for the prevention of AKI, two therapeutic approaches that have been extensively
studied in clinical trials. On the basis of our findings, we identified five
areas for improvement in preclinical study design and reporting. These suggested
and preliminary guidelines may help improve the quality of preclinical research
for AKI drug development.
PMID- 26538636
TI - Fine-tuning the onset of myogenesis by homeobox proteins that interact with the
Myf5 limb enhancer.
AB - Skeletal myogenesis in vertebrates is initiated at different sites of skeletal
muscle formation during development, by activation of specific control elements
of the myogenic regulatory genes. In the mouse embryo, Myf5 is the first myogenic
determination gene to be expressed and its spatiotemporal regulation requires
multiple enhancer sequences, extending over 120 kb upstream of the Mrf4-Myf5
locus. An enhancer, located at -57/-58 kb from Myf5, is responsible for its
activation in myogenic cells derived from the hypaxial domain of the somite, that
will form limb muscles. Pax3 and Six1/4 transcription factors are essential
activators of this enhancer, acting on a 145-bp core element. Myogenic progenitor
cells that will form the future muscle masses of the limbs express the factors
necessary for Myf5 activation when they delaminate from the hypaxial dermomyotome
and migrate into the forelimb bud, however they do not activate Myf5 and the
myogenic programme until they have populated the prospective muscle masses. We
show that Msx1 and Meox2 homeodomain-containing transcription factors bind in
vitro and in vivo to specific sites in the 145-bp element, and are implicated in
fine-tuning activation of Myf5 in the forelimb. Msx1, when bound between Pax and
Six sites, prevents the binding of these key activators, thus inhibiting
transcription of Myf5 and consequent premature myogenic differentiation. Meox2 is
required for Myf5 activation at the onset of myogenesis via direct binding to
other homeodomain sites in this sequence. Thus, these homeodomain factors, acting
in addition to Pax3 and Six1/4, fine-tune the entry of progenitor cells into
myogenesis at early stages of forelimb development.
PMID- 26538637
TI - Muscle activation during maximal effort tasks: evidence of the selective forces
that shaped the musculoskeletal system of humans.
AB - The selective forces that played a role in the evolution of the musculoskeletal
system of the genus Homo have long been debated and remain poorly understood. In
this investigation, we introduce a new approach for testing alternative
hypotheses. Our analysis is based on the premise that natural selection can be
expected to have resulted in muscles that are large enough to achieve necessary
levels of maximum performance in essential behaviors, but not larger. We used
surface electromyography in male subjects to identify maximum activation levels
in 13 muscles of the back and leg during eight behaviors that have been suggested
to have been important to foraging, hunting and fighting performance in early
humans. We asked two questions: (1) what behaviors produce maximum activation in
each of the investigated muscles and (2) are there specific behaviors that elicit
maximum recruitment from all or most of the muscles? We found that in eight of
the 13 muscles, the highest activity occurred during maximal effort vertical
jumping (i.e. whole-body acceleration). Punching produced the highest median
activity in the other five muscles. Together, jumping and punching accounted for
73% of the incidences of maximum activity among all of the muscles and from all
of the subjects. Thus, the size of the muscles of the back and leg appear to be
more related to the demands of explosive behaviors rather than those of high
speed sprinting or sustained endurance running. These results are consistent with
the hypothesis that selection on aggressive behavior played an important role in
the evolution of the genus Homo.
PMID- 26538638
TI - Tarantulas (Araneae: Theraphosidae) use different adhesive pads complementarily
during climbing on smooth surfaces: experimental approach in eight arboreal and
burrower species.
AB - Tarantulas are large spiders with adhesive setae on their legs, which enable them
to climb on smooth vertical surfaces. The mechanism proposed to explain adhesion
in tarantulas is anisotropic friction, where friction is higher when the leg
pushes than when it pulls. However, previous studies and measurements of adhesion
in theraphosids were performed using dead specimens. To test their ability to
climb, we studied static friction of live theraphosid spiders on different
surfaces and at different inclines. We compared burrower with arboreal species to
test the hypothesis of higher friction in arboreal tarantulas. We found a
complementary participation of claw tufts and scopula of anterior and posterior
legs when the tarantula climbs. The mechanics of climbing in association with the
biological characteristics of the species are discussed.
PMID- 26538639
TI - Epithelial topography for repetitive tooth formation.
AB - During the formation of repetitive ectodermally derived organs such as mammary
glands, lateral line and teeth, the tissue primordium iteratively initiates new
structures. In the case of successional molar development, new teeth appear
sequentially in the posterior region of the jaw from Sox2(+) cells in association
with the posterior aspect of a pre-existing tooth. The sequence of molar
development is well known, however, the epithelial topography involved in the
formation of a new tooth is unclear. Here, we have examined the morphology of the
molar dental epithelium and its development at different stages in the mouse in
vivo and in molar explants. Using regional lineage tracing we show that within
the posterior tail of the first molar the primordium for the second and third
molar are organized in a row, with the tail remaining in connection with the
surface, where a furrow is observed. The morphology and Sox2 expression of the
tail retains characteristics reminiscent of the earlier stages of tooth
development, such that position along the A-P axes of the tail correlates with
different temporal stages. Sox9, a stem/progenitor cell marker in other organs,
is expressed mainly in the suprabasal epithelium complementary with Sox2
expression. This Sox2 and Sox9 expressing molar tail contains actively
proliferating cells with mitosis following an apico-basal direction. Snail2, a
transcription factor implicated in cell migration, is expressed at high levels in
the tip of the molar tail while E-cadherin and laminin are decreased. In
conclusion, our studies propose a model in which the epithelium of the molar tail
can grow by posterior movement of epithelial cells followed by infolding and
stratification involving a population of Sox2(+)/Sox9(+) cells.
PMID- 26538640
TI - Predictive Coding: How Many Faces?
PMID- 26538641
TI - Irregular Speech Rate Dissociates Auditory Cortical Entrainment, Evoked
Responses, and Frontal Alpha.
AB - The entrainment of slow rhythmic auditory cortical activity to the temporal
regularities in speech is considered to be a central mechanism underlying
auditory perception. Previous work has shown that entrainment is reduced when the
quality of the acoustic input is degraded, but has also linked rhythmic activity
at similar time scales to the encoding of temporal expectations. To understand
these bottom-up and top-down contributions to rhythmic entrainment, we
manipulated the temporal predictive structure of speech by parametrically
altering the distribution of pauses between syllables or words, thereby rendering
the local speech rate irregular while preserving intelligibility and the envelope
fluctuations of the acoustic signal. Recording EEG activity in human
participants, we found that this manipulation did not alter neural processes
reflecting the encoding of individual sound transients, such as evoked
potentials. However, the manipulation significantly reduced the fidelity of
auditory delta (but not theta) band entrainment to the speech envelope. It also
reduced left frontal alpha power and this alpha reduction was predictive of the
reduced delta entrainment across participants. Our results show that rhythmic
auditory entrainment in delta and theta bands reflect functionally distinct
processes. Furthermore, they reveal that delta entrainment is under top-down
control and likely reflects prefrontal processes that are sensitive to acoustical
regularities rather than the bottom-up encoding of acoustic features.
SIGNIFICANCE STATEMENT: The entrainment of rhythmic auditory cortical activity to
the speech envelope is considered to be critical for hearing. Previous work has
proposed divergent views in which entrainment reflects either early evoked
responses related to sound encoding or high-level processes related to
expectation or cognitive selection. Using a manipulation of speech rate, we
dissociated auditory entrainment at different time scales. Specifically, our
results suggest that delta entrainment is controlled by frontal alpha mechanisms
and thus support the notion that rhythmic auditory cortical entrainment is shaped
by top-down mechanisms.
PMID- 26538642
TI - Dopamine D1 Binding Potential Predicts Fusiform BOLD Activity during Face
Recognition Performance.
AB - The importance of face memory in humans and primates is well established, but
little is known about the neurotransmitter systems involved in face recognition.
We tested the hypothesis that face recognition is linked to dopamine (DA)
activity in fusiform gyrus (FFG). DA availability was assessed by measuring D1
binding potential (BP) during rest using PET. We further assessed blood-oxygen
level-dependent (BOLD) signal change while subjects performed a face-recognition
task during fMRI scanning. There was a strong association between D1 BP and BOLD
activity in FFG, whereas D1 BP in striatal and other extrastriatal regions were
unrelated to neural activity in FFG. These results suggest that D1 BP locally
modulates FFG function during face recognition. Observed relationships among D1
BP, BOLD activity, and face-recognition performance further suggest that D1
receptors place constraints on the responsiveness of FFG neurons. SIGNIFICANCE
STATEMENT: The importance of face memory in humans and primates is well
established, but little is known about the neurotransmitter systems involved in
face recognition. Our work shows a role for a specific neurotransmitter system in
face memory.
PMID- 26538643
TI - Brain Connectivity Associated with Muscle Synergies in Humans.
AB - The human brain is believed to simplify the control of the large number of
muscles in the body by flexibly combining muscle coordination patterns, termed
muscle synergies. However, the neural connectivity allowing the human brain to
access and coordinate muscle synergies to accomplish functional tasks remains
unknown. Here, we use a surprising pair of synergists in humans, the flexor
hallucis longus (FHL, a toe flexor) and the anal sphincter, as a model that we
show to be well suited in elucidating the neural connectivity underlying muscle
synergy control. First, using electromyographic recordings, we demonstrate that
voluntary FHL contraction is associated with synergistic anal sphincter
contraction, but voluntary anal sphincter contraction occurs without FHL
contraction. Second, using fMRI, we show that two important medial wall motor
cortical regions emerge in relation to these tasks: one located more posteriorly
that preferentially activates during voluntary FHL contraction and one located
more anteriorly that activates during both voluntary FHL contraction as well as
voluntary anal sphincter contraction. Third, using transcranial magnetic
stimulation, we demonstrate that the anterior region is more likely to generate
anal sphincter contraction than FHL contraction. Finally, using a repository
resting-state fMRI dataset, we demonstrate that the anterior and posterior motor
cortical regions have significantly different functional connectivity with
distinct and distant brain regions. We conclude that specific motor cortical
regions in humans provide access to different muscle synergies, which may allow
distinct brain networks to coordinate muscle synergies during functional tasks.
SIGNIFICANCE STATEMENT: How the human nervous system coordinates activity in a
large number of muscles is a fundamental question. The brain and spinal cord are
believed to simplify the control of muscles by grouping them into functional
units called muscle synergies. Motor cortex is involved in activating muscle
synergies; however, the motor cortical connections that regulate muscle synergy
activation are unknown. Here, we studied pelvic floor muscle synergies to
elucidate these connections in humans. Our experiments confirmed that distinct
motor cortical regions activate different muscle synergies. These regions have
different connectivity to distinct brain networks. Our results are an important
step forward in understanding the cortical control of human muscles synergies,
and may also have important clinical implications for understanding movement
dysfunction.
PMID- 26538646
TI - Is the Cortical Deficit in Amblyopia Due to Reduced Cortical Magnification, Loss
of Neural Resolution, or Neural Disorganization?
AB - The neural basis of amblyopia is a matter of debate. The following possibilities
have been suggested: loss of foveal cells, reduced cortical magnification, loss
of spatial resolution of foveal cells, and topographical disarray in the cellular
map. To resolve this we undertook a population receptive field (pRF) functional
magnetic resonance imaging analysis in the central field in humans with moderate
to-severe amblyopia. We measured the relationship between averaged pRF size and
retinal eccentricity in retinotopic visual areas. Results showed that cortical
magnification is normal in the foveal field of strabismic amblyopes. However, the
pRF sizes are enlarged for the amblyopic eye. We speculate that the pRF
enlargement reflects loss of cellular resolution or an increased cellular
positional disarray within the representation of the amblyopic eye. SIGNIFICANCE
STATEMENT: The neural basis of amblyopia, a visual deficit affecting 3% of the
human population, remains a matter of debate. We undertook the first population
receptive field functional magnetic resonance imaging analysis in participants
with amblyopia and compared the projections from the amblyopic and fellow normal
eye in the visual cortex. The projection from the amblyopic eye was found to have
a normal cortical magnification factor, enlarged population receptive field
sizes, and topographic disorganization in all early visual areas. This is
consistent with an explanation of amblyopia as an immature system with a normal
complement of cells whose spatial resolution is reduced and whose topographical
map is disordered. This bears upon a number of competing theories for the
psychophysical defect and affects future treatment therapies.
PMID- 26538644
TI - microRNA-33 Regulates ApoE Lipidation and Amyloid-beta Metabolism in the Brain.
AB - Dysregulation of amyloid-beta (Abeta) metabolism is critical for Alzheimer's
disease (AD) pathogenesis. Mounting evidence suggests that apolipoprotein E
(ApoE) is involved in Abeta metabolism. ATP-binding cassette transporter A1
(ABCA1) is a key regulator of ApoE lipidation, which affects Abeta levels.
Therefore, identifying regulatory mechanisms of ABCA1 expression in the brain may
provide new therapeutic targets for AD. Here, we demonstrate that microRNA-33
(miR-33) regulates ABCA1 and Abeta levels in the brain. Overexpression of miR-33
impaired cellular cholesterol efflux and dramatically increased extracellular
Abeta levels by promoting Abeta secretion and impairing Abeta clearance in neural
cells. In contrast, genetic deletion of mir-33 in mice dramatically increased
ABCA1 levels and ApoE lipidation, but it decreased endogenous Abeta levels in
cortex. Most importantly, pharmacological inhibition of miR-33 via antisense
oligonucleotide specifically in the brain markedly decreased Abeta levels in
cortex of APP/PS1 mice, representing a potential therapeutic strategy for AD.
SIGNIFICANCE STATEMENT: Brain lipid metabolism, in particular Apolipoprotein E
(ApoE) lipidation, is critical to Abeta metabolism and Alzheimer's disease (AD).
Brain lipid metabolism is largely separated from the periphery due to blood-brain
barrier and different repertoire of lipoproteins. Therefore, identifying the
novel regulatory mechanism of brain lipid metabolism may provide a new
therapeutic strategy for AD. Although there have been studies on brain lipid
metabolism, its regulation, in particular by microRNAs, is relatively unknown.
Here, we demonstrate that inhibition of microRNA-33 increases lipidation of brain
ApoE and reduces Abeta levels by inducing ABCA1. We provide a unique approach for
AD therapeutics to increase ApoE lipidation and reduce Abeta levels via
pharmacological inhibition of microRNA in vivo.
PMID- 26538645
TI - Cell-Permeable Peptide Targeting the Nrf2-Keap1 Interaction: A Potential Novel
Therapy for Global Cerebral Ischemia.
AB - The current study examined efficacy of a small Tat (trans-activator of
transcription)-conjugated peptide activator of the Nrf2 (nuclear factor-E2
related factor-2) antioxidant/cell-defense pathway as a potential injury
specific, novel neuroprotectant against global cerebral ischemia (GCI). A
competitive peptide, DEETGE-CAL-Tat, was designed to facilitate Nrf2 activation
by disrupting interaction of Nrf2 with Keap1 (kelch-like ECH-associated protein
1), a protein that sequesters Nrf2 in the cytoplasm and thereby inactivates it.
The DEETGE-CAL-Tat peptide contained the critical sequence DEETGE for the Nrf2
Keap1 interaction, the cell transduction domain of the HIV-Tat protein, and the
cleavage sequence of calpain, which is sensitive to Ca(2+) increase and allows
injury-specific activation of Nrf2. Using an animal model of GCI, we demonstrated
that pretreatment with the DEETGE-CAL-Tat peptide markedly decreased Nrf2
interaction with Keap1 in the rat hippocampal CA1 region after GCI, and enhanced
Nrf2 nuclear translocation and DNA binding. The DEETGE-CAL-Tat peptide also
induced Nrf2 antioxidant/cytoprotective target genes, reduced oxidative stress,
and induced strong neuroprotection and marked preservation of hippocampal
dependent cognitive function after GCI. These effects were specific as control
peptides lacked neuroprotective ability. Intriguingly, the DEETGE-CAL-Tat peptide
effects were also injury specific, as it had no effect upon neuronal survival or
cognitive performance in sham nonischemic animals. Of significant interest,
peripheral, postischemia administration of the DEETGE-CAL-Tat peptide from days 1
9 after GCI also induced robust neuroprotection and strongly preserved
hippocampal-dependent cognitive function. Based on its robust neuroprotective and
cognitive-preserving effects, and its unique injury-specific activation
properties, the DEETGE-CAL-Tat peptide represents a novel, and potentially
promising new therapeutic modality for the treatment of GCI. SIGNIFICANCE
STATEMENT: The current study demonstrates that DEETGE-CAL-Tat, a novel peptide
activator of a key antioxidant gene transcription pathway in the hippocampus
after global cerebral ischemia, can exert robust neuroprotection and preservation
of cognitive function. A unique feature of the peptide is that its beneficial
effects are injury specific. This feature is attractive as it targets drug
activation specifically in the site of injury, and likely would lead to a
reduction of undesirable side effects if translatable to the clinic. Due to its
injury-specific activation, robust neuroprotection, and cognitive-preserving
effects, this novel peptide may represent a much-needed therapeutic advance that
could have efficacy in the treatment of global cerebral ischemia.
PMID- 26538647
TI - An Endocytic Scaffolding Protein together with Synapsin Regulates Synaptic
Vesicle Clustering in the Drosophila Neuromuscular Junction.
AB - Many endocytic proteins accumulate in the reserve pool of synaptic vesicles (SVs)
in synapses and relocalize to the endocytic periactive zone during
neurotransmitter release. Currently little is known about their functions outside
the periactive zone. Here we show that in the Drosophila neuromuscular junction
(NMJ), the endocytic scaffolding protein Dap160 colocalizes during the SV cycle
and forms a functional complex with the SV-associated phosphoprotein synapsin,
previously implicated in SV clustering. This direct interaction is strongly
enhanced under phosphorylation-promoting conditions and is essential for proper
localization of synapsin at NMJs. In a dap160 rescue mutant lacking the
interaction between Dap160 and synapsin, perturbed reclustering of SVs during
synaptic activity is observed. Our data indicate that in addition to the function
in endocytosis, Dap160 is a component of a network of protein-protein
interactions that serves for clustering of SVs in conjunction with synapsin.
During the SV cycle, Dap160 interacts with synapsin dispersed from SVs and helps
direct synapsin back to vesicles. The proteins function in synergy to achieve
efficient clustering of SVs in the reserve pool. SIGNIFICANCE STATEMENT: We
provide the first evidence for the function of the SH3 domain interaction in
synaptic vesicle (SV) organization at the synaptic active zone. Using Drosophila
neuromuscular junction as a model synapse, we describe the molecular mechanism
that enables the protein implicated in SV clustering, synapsin, to return to the
pool of vesicles during neurotransmitter release. We also identify the endocytic
scaffolding complex that includes Dap160 as a regulator of the events linking
exocytosis and endocytosis in synapses.
PMID- 26538648
TI - Sharp Wave Ripples during Visual Exploration in the Primate Hippocampus.
AB - Hippocampal sharp-wave ripples (SWRs) are highly synchronous oscillatory field
potentials that are thought to facilitate memory consolidation. SWRs typically
occur during quiescent states, when neural activity reflecting recent experience
is replayed. In rodents, SWRs also occur during brief locomotor pauses in maze
exploration, where they appear to support learning during experience. In this
study, we detected SWRs that occurred during quiescent states, but also during
goal-directed visual exploration in nonhuman primates (Macaca mulatta). The
exploratory SWRs showed peak frequency bands similar to those of quiescent SWRs,
and both types were inhibited at the onset of their respective behavioral epochs.
In apparent contrast to rodent SWRs, these exploratory SWRs occurred during
active periods of exploration, e.g., while animals searched for a target object
in a scene. SWRs were associated with smaller saccades and longer fixations.
Also, when they coincided with target-object fixations during search, detection
was more likely than when these events were decoupled. Although we observed high
gamma-band field potentials of similar frequency to SWRs, only the SWRs
accompanied greater spiking synchrony in neural populations. These results reveal
that SWRs are not limited to off-line states as conventionally defined; rather,
they occur during active and informative performance windows. The exploratory SWR
in primates is an infrequent occurrence associated with active, attentive
performance, which may indicate a new, extended role of SWRs during exploration
in primates. SIGNIFICANCE STATEMENT: Sharp-wave ripples (SWRs) are high-frequency
oscillations that generate highly synchronized activity in neural populations.
Their prevalence in sleep and quiet wakefulness, and the memory deficits that
result from their interruption, suggest that SWRs contribute to memory
consolidation during rest. Here, we report that SWRs from the monkey hippocampus
occur not only during behavioral inactivity but also during successful visual
exploration. SWRs were associated with attentive, focal search and appeared to
enhance perception of locations viewed around the time of their occurrence. SWRs
occurring in rest are noteworthy for their relation to heightened neural
population activity, temporally precise and widespread synchronization, and
memory consolidation; therefore, the SWRs reported here may have a similar effect
on neural populations, even as experiences unfold.
PMID- 26538649
TI - Endothelial CD36 Contributes to Postischemic Brain Injury by Promoting Neutrophil
Activation via CSF3.
AB - The scavenger receptor CD36 is a critical factor initiating ischemic brain
injury, but the cell type(s) expressing CD36 and responsible for its harmful
effects remain unknown. Using bone marrow (BM) chimeras subjected to transient
middle cerebral artery occlusion, we found that CD36(-/-) mice transplanted with
wild-type (WT) BM (WT->CD36(-/-)) have smaller infarcts (-67%), comparable with
those of mice lacking CD36 both in brain and hematogenous cells (CD36(-/-)
>CD36(-/-); - 72%). Conversely, WT mice receiving CD36(-/-) BM (CD36(-/-) ->WT)
have infarcts similar to WT->WT mice, suggesting that CD36 in the host brain
(i.e., in microglia and endothelial cells), and not in hematogenous cells is
involved in the damage. As anticipated, postischemic neutrophil infiltration in
CD36(-/-) ->CD36(-/-) mice was attenuated. Surprisingly, however, in WT->CD36(-/
) mice, in which infarcts were small, neutrophil infiltration was large and
similar to that of CD36(-/-) ->WT mice, in which infarcts were not reduced.
Postischemic neutrophil free radical production was attenuated in WT->CD36(-/-)
mice compared with CD36(-/-) ->WT mice, whereas expression of the neutrophil
activator colony-stimulating factor 3 (CSF3) was suppressed in CD36(-/-) cerebral
endothelial cells, but not microglia. In CD36(-/-) cerebral endothelial cultures
exposed to extracts from stroke brains, the upregulation of CSF3, but not
neutrophil attractant chemokines, was suppressed. Intracerebroventricular
administration of CSF3, 24 h after stroke, reconstituted neutrophil radical
production and increased infarct volume in WT->CD36(-/-) mice. The findings
identify endothelial cells as a key player in the deleterious effects of CD36 in
stroke, and unveil a novel role of endothelial CD36 in enabling neutrophil
neurotoxicity through CSF3. SIGNIFICANCE STATEMENT: Ischemic stroke is a leading
cause of death and disability worldwide with limited therapeutic options. The
inflammatory response initiated by cerebral ischemia-reperfusion contributes to
ischemic brain injury and is a potential therapeutic target. Here we report that
CD36, an innate immunity receptor involved in the initiation of postischemic
inflammation, is a previously unrecognized regulator of neutrophil cytotoxicity.
The effect is mediated by endothelial CD36 via upregulation of the neutrophil
activator CSF3 in cerebral endothelial cells. Therefore, approaches to modulate
cerebral endothelial CD36 signaling or to neutralize CSF3 may provide novel
therapeutic opportunities to ameliorate postischemic inflammatory injury.
PMID- 26538651
TI - A Variable Oscillator Underlies the Measurement of Time Intervals in the Rostral
Medial Prefrontal Cortex during Classical Eyeblink Conditioning in Rabbits.
AB - We were interested in determining whether rostral medial prefrontal cortex
(rmPFC) neurons participate in the measurement of conditioned stimulus
unconditioned stimulus (CS-US) time intervals during classical eyeblink
conditioning. Rabbits were conditioned with a delay paradigm consisting of a tone
as CS. The CS started 50, 250, 500, 1000, or 2000 ms before and coterminated with
an air puff (100 ms) directed at the cornea as the US. Eyelid movements were
recorded with the magnetic search coil technique and the EMG activity of the
orbicularis oculi muscle. Firing activities of rmPFC neurons were recorded across
conditioning sessions. Reflex and conditioned eyelid responses presented a
dominant oscillatory frequency of ~12 Hz. The firing rate of each recorded neuron
presented a single peak of activity with a frequency dependent on the CS-US
interval (i.e., ~12 Hz for 250 ms, ~6 Hz for 500 ms, and~3 Hz for 1000 ms).
Interestingly, rmPFC neurons presented their dominant firing peaks at three
precise times evenly distributed with respect to CS start and also depending on
the duration of the CS-US interval (only for intervals of 250, 500, and 1000 ms).
No significant neural responses were recorded at very short (50 ms) or long (2000
ms) CS-US intervals. rmPFC neurons seem not to encode the oscillatory properties
characterizing conditioned eyelid responses in rabbits, but are probably involved
in the determination of CS-US intervals of an intermediate range (250-1000 ms).
We propose that a variable oscillator underlies the generation of working
memories in rabbits. SIGNIFICANCE STATEMENT: The way in which brains generate
working memories (those used for the transient processing and storage of newly
acquired information) is still an intriguing question. Here, we report that the
firing activities of neurons located in the rostromedial prefrontal cortex
recorded in alert behaving rabbits are controlled by a dynamic oscillator. This
oscillator generated firing frequencies in a variable band of 3-12 Hz depending
on the conditioned stimulus-unconditioned stimulus intervals (1 s, 500 ms, 250
ms) selected for classical eyeblink conditioning of behaving rabbits. Shorter (50
ms) and longer (2 s) intervals failed to activate the oscillator and prevented
the acquisition of conditioned eyelid responses. This is an unexpected mechanism
to generate sustained firing activities in neural circuits generating working
memories.
PMID- 26538650
TI - A Serotonin Circuit Acts as an Environmental Sensor to Mediate Midline Axon
Crossing through EphrinB2.
AB - Modulation of connectivity formation in the developing brain in response to
external stimuli is poorly understood. Here, we show that the raphe nucleus and
its serotonergic projections regulate pathfinding of commissural axons in
zebrafish. We found that the raphe neurons extend projections toward midline
crossing axons and that when serotonergic signaling is blocked by pharmacological
inhibition or by raphe neuron ablation, commissural pathfinding is disrupted. We
demonstrate that the serotonin receptor htr2a is expressed on these commissural
axons and that genetic knock-down of htr2a disrupts crossing. We further show
that knock-down of htr2a or ablation of the raphe neurons increases ephrinB2a
protein levels in commissural axons. An ephrinB2a mutant can rescue midline
crossing when serotonergic signaling is blocked. Furthermore, we found that
regulation of serotonin expression in the raphe neurons is modulated in response
to the developmental environment. Hypoxia causes the raphe to decrease serotonin
levels, leading to a reduction in midline crossing. Increasing serotonin in the
setting of hypoxia restored midline crossing. Our findings demonstrate an
instructive role for serotonin in axon guidance acting through ephrinB2a and
reveal a novel mechanism for developmental interpretation of the environmental
milieu in the generation of mature neural circuitry. SIGNIFICANCE STATEMENT: We
show here that serotonin has a novel role in regulating connectivity in response
to the developmental environment. We demonstrate that serotonergic projections
from raphe neurons regulate pathfinding of crossing axons. The neurons modulate
their serotonin levels, and thus alter crossing, in response to the developmental
environment including hypoxia. The findings suggest that modification of the
serotonergic system by early exposures may contribute to permanent CNS
connectivity alterations. This has important ramifications because of the
association between premature birth and accompanying hypoxia, and increased risk
of autism and evidence associating in utero exposure to some antidepressants and
neurodevelopmental disorders. Finally, this work demonstrates that the vertebrate
CNS can modulate its connectivity in response to the external environment.
PMID- 26538652
TI - Human Auditory Cortex Neurochemistry Reflects the Presence and Severity of
Tinnitus.
AB - It is not known why tinnitus occurs in some cases of hearing damage but not
others. Abnormalities of excitation-inhibition balance could influence whether
tinnitus develops and its severity if it does. Animal models of hearing damage,
which also produce tinnitus based on behavioral evidence, have identified
abnormalities of GABAergic inhibition, both cortically and subcortically.
However, the precise relationships of GABA inhibitory changes to tinnitus itself,
as opposed to other consequences of hearing damage, remain uncertain. Here, we
used magnetic resonance spectroscopy to non-invasively quantify GABA in the left
(LAC) and right (RAC) auditory cortices of a group of 14 patients with
lateralized tinnitus (eight left ear) and 14 controls matched for age, sex, and
hearing. We also explored the potential relationships with other brain
metabolites (i.e., choline, N-acetylaspartate, and creatine). The presence of
tinnitus was associated with a reduction in auditory cortex GABA concentration.
Regardless of tinnitus laterality, post hoc testing indicated reductions that
were significant in RAC and nonsignificant in LAC. Tinnitus severity and hearing
loss were correlated positively with RAC choline but not GABA. We discuss the
results in the context of current models of tinnitus and methodological
constraints. SIGNIFICANCE STATEMENT: Permanently affecting one in seven adults,
tinnitus lacks both widely effective treatments and adequate understanding of its
brain mechanisms. Existing animal models represent tinnitus that may not be
distinguishable from homeostatic responses to the auditory insults used to induce
it. Human studies can be well controlled in this regard but are usually not (with
few even matching control subjects for hearing loss) and are limited in scope as
a result of relying solely on non-invasive recording techniques. Here, we exploit
recent advances in non-invasive spectroscopic techniques to establish, in a human
study tightly controlled for hearing loss and hyperacusis, that tinnitus is
associated with a significant reduction in auditory cortex GABA concentration,
which has implications for understanding and treatment of the condition.
PMID- 26538653
TI - A Convolutional Subunit Model for Neuronal Responses in Macaque V1.
AB - The response properties of neurons in the early stages of the visual system can
be described using the rectified responses of a set of self-similar, spatially
shifted linear filters. In macaque primary visual cortex (V1), simple cell
responses can be captured with a single filter, whereas complex cells combine a
set of filters, creating position invariance. These filters cannot be estimated
using standard methods, such as spike-triggered averaging. Subspace methods like
spike-triggered covariance can recover multiple filters but require substantial
amounts of data, and recover an orthogonal basis for the subspace in which the
filters reside, rather than the filters themselves. Here, we assume a linear
nonlinear-linear-nonlinear (LN-LN) cascade model in which the first LN stage
consists of shifted ("convolutional") copies of a single filter, followed by a
common instantaneous nonlinearity. We refer to these initial LN elements as the
"subunits" of the receptive field, and we allow two independent sets of subunits,
each with its own filter and nonlinearity. The second linear stage computes a
weighted sum of the subunit responses and passes the result through a final
instantaneous nonlinearity. We develop a procedure to directly fit this model to
electrophysiological data. When fit to data from macaque V1, the subunit model
significantly outperforms three alternatives in terms of cross-validated accuracy
and efficiency, and provides a robust, biologically plausible account of
receptive field structure for all cell types encountered in V1. SIGNIFICANCE
STATEMENT: We present a new subunit model for neurons in primary visual cortex
that significantly outperforms three alternative models in terms of cross
validated accuracy and efficiency, and provides a robust and biologically
plausible account of the receptive field structure in these neurons across the
full spectrum of response properties.
PMID- 26538654
TI - Sustained Arginase 1 Expression Modulates Pathological Tau Deposits in a Mouse
Model of Tauopathy.
AB - Tau accumulation remains one of the closest correlates of neuronal loss in
Alzheimer's disease. In addition, tau associates with several other
neurodegenerative diseases, collectively known as tauopathies, in which clinical
phenotypes manifest as cognitive impairment, behavioral disturbances, and motor
impairment. Polyamines act as bivalent regulators of cellular function and are
involved in numerous biological processes. The regulation of the polyamines
system can become dysfunctional during disease states. Arginase 1 (Arg1) and
nitric oxide synthases compete for l-arginine to produce either polyamines or
nitric oxide, respectively. Herein, we show that overexpression of Arg1 using
adeno-associated virus (AAV) in the CNS of rTg4510 tau transgenic mice
significantly reduced phospho-tau species and tangle pathology. Sustained Arg1
overexpression decreased several kinases capable of phosphorylating tau,
decreased inflammation, and modulated changes in the mammalian target of
rapamycin and related proteins, suggesting activation of autophagy. Arg1
overexpression also mitigated hippocampal atrophy in tau transgenic mice.
Conversely, conditional deletion of Arg1 in myeloid cells resulted in increased
tau accumulation relative to Arg1-sufficient mice after transduction with a
recombinant AAV-tau construct. These data suggest that Arg1 and the polyamine
pathway may offer novel therapeutic targets for tauopathies.
PMID- 26538655
TI - Fbxw7 Limits Myelination by Inhibiting mTOR Signaling.
AB - An important characteristic of vertebrate CNS development is the formation of
specific amounts of insulating myelin membrane on axons. CNS myelin is produced
by oligodendrocytes, glial cells that extend multiple membrane processes to wrap
multiple axons. Recent data have shown that signaling mediated by the mechanistic
target of rapamycin (mTOR) serine/threonine kinase promotes myelination, but
factors that regulate mTOR activity for myelination remain poorly defined.
Through a forward genetic screen in zebrafish, we discovered that mutation of
fbxw7, which encodes the substrate recognition subunit of a SCF ubiquitin ligase
that targets proteins for degradation, causes hypermyelination. Among known Fbxw7
targets is mTOR. Here, we provide evidence that mTOR signaling activity is
elevated in oligodendrocyte lineage cells of fbxw7 mutant zebrafish larvae. Both
genetic and pharmacological inhibition of mTOR function suppressed the excess
myelin gene expression resulting from loss of Fbxw7 function, indicating that
mTOR is a functionally relevant target of Fbxw7 in oligodendrocytes. fbxw7 mutant
larvae wrapped axons with more myelin membrane than wild-type larvae and
oligodendrocyte-specific expression of dominant-negative Fbxw7 produced longer
myelin sheaths. Our data indicate that Fbxw7 limits the myelin-promoting activity
of mTOR, thereby serving as an important brake on developmental myelination.
SIGNIFICANCE STATEMENT: Myelin, a specialized, proteolipid-rich membrane that
ensheaths and insulates nerve fibers, facilitates the rapid conduction of
electrical impulses over long distances. Abnormalities in myelin formation or
maintenance result in intellectual and motor disabilities, raising a need for
therapeutic strategies designed to promote myelination. The mTOR kinase is a
powerful driver of myelination, but the mechanisms that regulate mTOR function in
myelination are not well understood. Our studies reveal that Fbxw7, a subunit of
a ubiquitin ligase that targets other proteins for degradation, acts as a brake
on myelination by limiting mTOR function. These findings suggest that Fbxw7 helps
tune the amount of myelin produced during development and raise the possibility
that Fbxw7 could be a target of myelin-promoting therapies.
PMID- 26538656
TI - REST Regulates Non-Cell-Autonomous Neuronal Differentiation and Maturation of
Neural Progenitor Cells via Secretogranin II.
AB - RE-1 silencing transcription factor (REST), a master negative regulator of
neuronal differentiation, controls neurogenesis by preventing the differentiation
of neural stem cells. Here we focused on the role of REST in the early steps of
differentiation and maturation of adult hippocampal progenitors (AHPs). REST
knockdown promoted differentiation and affected the maturation of rat AHPs.
Surprisingly, REST knockdown cells enhanced the differentiation of neighboring
wild-type AHPs, suggesting that REST may play a non-cell-autonomous role. Gene
expression analysis identified Secretogranin II (Scg2) as the major secreted REST
target responsible for the non-cell-autonomous phenotype. Loss-of-function of
Scg2 inhibited differentiation in vitro, and exogenous SCG2 partially rescued
this phenotype. Knockdown of REST in neural progenitors in mice led to precocious
maturation into neurons at the expense of mushroom spines in vivo. In summary, we
found that, in addition to its cell-autonomous function, REST regulates
differentiation and maturation of AHPs non-cell-autonomously via SCG2.
SIGNIFICANCE STATEMENT: Our results reveal that REST regulates differentiation
and maturation of neural progenitor cells in vitro by orchestrating both cell
intrinsic and non-cell-autonomous factors and that Scg2 is a major secretory
target of REST with a differentiation-enhancing activity in a paracrine manner.
In vivo, REST depletion causes accelerated differentiation of newborn neurons at
the expense of spine defects, suggesting a potential role for REST in the timing
of the maturation of granule neurons.
PMID- 26538657
TI - Memory Meets Control in Hippocampal and Striatal Binding of Stimuli, Responses,
and Attentional Control States.
AB - The human brain encodes experience in an integrative fashion by binding together
the various features of an event (i.e., stimuli and responses) into memory "event
files." A subsequent reoccurrence of an event feature can then cue the retrieval
of the memory file to "prime" cognition and action. Intriguingly, recent
behavioral studies indicate that, in addition to linking concrete stimulus and
response features, event coding may also incorporate more abstract, "internal"
event features such as attentional control states. In the present study, we used
fMRI in healthy human volunteers to determine the neural mechanisms supporting
this type of holistic event binding. Specifically, we combined fMRI with a task
protocol that dissociated the expression of event feature-binding effects
pertaining to concrete stimulus and response features, stimulus categories, and
attentional control demands. Using multivariate neural pattern classification, we
show that the hippocampus and putamen integrate event attributes across all of
these levels in conjunction with other regions representing concrete-feature
selective (primarily visual cortex), category-selective (posterior frontal
cortex), and control demand-selective (insula, caudate, anterior cingulate, and
parietal cortex) event information. Together, these results suggest that the
hippocampus and putamen are involved in binding together holistic event memories
that link physical stimulus and response characteristics with internal
representations of stimulus categories and attentional control states. These
bindings then presumably afford shortcuts to adaptive information processing and
response selection in the face of recurring events. SIGNIFICANCE STATEMENT:
Memory binds together the different features of our experience, such as an
observed stimulus and concurrent motor responses, into so-called event files.
Recent behavioral studies suggest that the observer's internal attentional state
might also become integrated into the event memory. Here, we used fMRI to
determine the brain areas responsible for binding together event information
pertaining to concrete stimulus and response features, stimulus categories, and
internal attentional control states. We found that neural signals in the
hippocampus and putamen contained information about all of these event attributes
and could predict behavioral priming effects stemming from these features.
Therefore, medial temporal lobe and dorsal striatum structures appear to be
involved in binding internal control states to event memories.
PMID- 26538658
TI - Outside Looking In: Landmark Generalization in the Human Navigational System.
AB - The use of landmarks is central to many navigational strategies. Here we use
multivoxel pattern analysis of fMRI data to understand how landmarks are coded in
the human brain. Subjects were scanned while viewing the interiors and exteriors
of campus buildings. Despite their visual dissimilarity, interiors and exteriors
corresponding to the same building elicited similar activity patterns in the
parahippocampal place area (PPA), retrosplenial complex (RSC), and occipital
place area (OPA), three regions known to respond strongly to scenes and
buildings. Generalization across stimuli depended on knowing the correspondences
among them in the PPA but not in the other two regions, suggesting that the PPA
is the key region involved in learning the different perceptual instantiations of
a landmark. In contrast, generalization depended on the ability to freely
retrieve information from memory in RSC, and it did not depend on familiarity or
cognitive task in OPA. Together, these results suggest a tripartite division of
labor, whereby PPA codes landmark identity, RSC retrieves spatial or conceptual
information associated with landmarks, and OPA processes visual features that are
important for landmark recognition. SIGNIFICANCE STATEMENT: A central element of
spatial navigation is the ability to recognize the landmarks that mark different
places in the world. However, little is known about how the brain performs this
function. Here we show that the parahippocampal place area (PPA), a region in
human occipitotemporal cortex, exhibits key features of a landmark recognition
mechanism. Specifically, the PPA treats different perceptual instantiations of
the same landmark as representationally similar, but only when subjects have
enough experience to know the correspondences among the stimuli. We also identify
two other brain regions that exhibit landmark generalization, but with less
sensitivity to familiarity. These results elucidate the brain networks involved
in the learning and recognition of navigational landmarks.
PMID- 26538659
TI - Neural Substrates of Auditory Emotion Recognition Deficits in Schizophrenia.
AB - Deficits in auditory emotion recognition (AER) are a core feature of
schizophrenia and a key component of social cognitive impairment. AER deficits
are tied behaviorally to impaired ability to interpret tonal ("prosodic")
features of speech that normally convey emotion, such as modulations in base
pitch (F0M) and pitch variability (F0SD). These modulations can be recreated
using synthetic frequency modulated (FM) tones that mimic the prosodic contours
of specific emotional stimuli. The present study investigates neural mechanisms
underlying impaired AER using a combined event-related potential/resting-state
functional connectivity (rsfMRI) approach in 84 schizophrenia/schizoaffective
disorder patients and 66 healthy comparison subjects. Mismatch negativity (MMN)
to FM tones was assessed in 43 patients/36 controls. rsfMRI between auditory
cortex and medial temporal (insula) regions was assessed in 55 patients/51
controls. The relationship between AER, MMN to FM tones, and rsfMRI was assessed
in the subset who performed all assessments (14 patients, 21 controls). As
predicted, patients showed robust reductions in MMN across FM stimulus type (p =
0.005), particularly to modulations in F0M, along with impairments in AER and FM
tone discrimination. MMN source analysis indicated dipoles in both auditory
cortex and anterior insula, whereas rsfMRI analyses showed reduced auditory
insula connectivity. MMN to FM tones and functional connectivity together
accounted for ~50% of the variance in AER performance across individuals. These
findings demonstrate that impaired preattentive processing of tonal information
and reduced auditory-insula connectivity are critical determinants of social
cognitive dysfunction in schizophrenia, and thus represent key targets for future
research and clinical intervention. SIGNIFICANCE STATEMENT: Schizophrenia
patients show deficits in the ability to infer emotion based upon tone of voice
[auditory emotion recognition (AER)] that drive impairments in social cognition
and global functional outcome. This study evaluated neural substrates of impaired
AER in schizophrenia using a combined event-related potential/resting-state fMRI
approach. Patients showed impaired mismatch negativity response to emotionally
relevant frequency modulated tones along with impaired functional connectivity
between auditory and medial temporal (anterior insula) cortex. These deficits
contributed in parallel to impaired AER and accounted for ~50% of variance in AER
performance. Overall, these findings demonstrate the importance of both auditory
level dysfunction and impaired auditory/insula connectivity in the
pathophysiology of social cognitive dysfunction in schizophrenia.
PMID- 26538661
TI - VPS26A-SNX27 Interaction-Dependent mGluR5 Recycling in Dorsal Horn Neurons
Mediates Neuropathic Pain in Rats.
AB - Retromer, which crucially contributes to endosomal sorting machinery through the
retrieval and recycling of signaling receptors away from degradation, has been
identified as a critical element for glutamatergic-receptor-dependent neural
plasticity at excitatory synapses. We observed it accompanied by behavioral
allodynia; neuropathic injury time-dependently enhanced VPS26A and SNX27
expression; VPS26A-SNX27 coprecipitation; and VPS26A-positive, SNX27-positive,
and VPS26A-SNX27 double-labeled immunoreactivity in the dorsal horn of Sprague
Dawley rats that were all sufficiently ameliorated through the focal knock-down
of spinal VPS26A expression. Although the knock-down of spinal SNX27 expression
exhibited similar effects, spinal nerve ligation (SNL)-enhanced VPS26A expression
remained unaffected. Moreover, SNL also increased membrane-bound and total mGluR5
abundance, VPS26A-bound SNX27 and mGluR5 and mGluR5-bound VPS26A and SNX27
coprecipitation, and mGluR5-positive and VPS26A/SNX27/mGluR5 triple-labeled
immunoreactivity in the dorsal horn, and these effects were all attenuated
through the focal knock-down of spinal VPS26A and SNX27 expression. Although
administration with MPEP adequately ameliorated SNL-associated allodynia, mGluR5
expression, and membrane insertion, SNL-enhanced VPS26A and SNX27 expression were
unaffected. Together, these results suggested a role of spinal VPS26A-SNX27
dependent mGluR5 recycling in the development of neuropathic pain. This is the
first study that links retromer-associated sorting machinery with the spinal
plasticity underlying pain hypersensitivity and proposes the possible
pathophysiological relevance of endocytic recycling in pain pathophysiology
through the modification of glutamatergic mGluR5 recycling. SIGNIFICANCE
STATEMENT: VPS26A-SNX27-dependent mGluR5 recycling plays a role in the
development of neuropathic pain. The regulation of the VPS26A-SNX27 interaction
that modifies mGluR5 trafficking and expression in the dorsal horn provides a
novel therapeutic strategy for pain relief.
PMID- 26538662
TI - Hypothesis testing for band size detection of high-dimensional banded precision
matrices.
AB - Many statistical analysis procedures require a good estimator for a high
dimensional covariance matrix or its inverse, the precision matrix. When the
precision matrix is banded, the Cholesky-based method often yields a good
estimator of the precision matrix. One important aspect of this method is
determination of the band size of the precision matrix. In practice,
crossvalidation is commonly used; however, we show that crossvalidation not only
is computationally intensive but can be very unstable. In this paper, we propose
a new hypothesis testing procedure to determine the band size in high dimensions.
Our proposed test statistic is shown to be asymptotically normal under the null
hypothesis, and its theoretical power is studied. Numerical examples demonstrate
the effectiveness of our testing procedure.
PMID- 26538660
TI - Distinct Cell- and Layer-Specific Expression Patterns and Independent Regulation
of Kv2 Channel Subtypes in Cortical Pyramidal Neurons.
AB - The Kv2 family of voltage-gated potassium channel alpha subunits, comprising
Kv2.1 and Kv2.2, mediate the bulk of the neuronal delayed rectifier K(+) current
in many mammalian central neurons. Kv2.1 exhibits robust expression across many
neuron types and is unique in its conditional role in modulating intrinsic
excitability through changes in its phosphorylation state, which affect Kv2.1
expression, localization, and function. Much less is known of the highly related
Kv2.2 subunit, especially in forebrain neurons. Here, through combined use of
cortical layer markers and transgenic mouse lines, we show that Kv2.1 and Kv2.2
are localized to functionally distinct cortical cell types. Kv2.1 expression is
consistently high throughout all cortical layers, especially in layer (L) 5b
pyramidal neurons, whereas Kv2.2 expression is primarily limited to neurons in L2
and L5a. In addition, L4 of primary somatosensory cortex is strikingly devoid of
Kv2.2 immunolabeling. The restricted pattern of Kv2.2 expression persists in
Kv2.1-KO mice, suggesting distinct cell- and layer-specific functions for these
two highly related Kv2 subunits. Analyses of endogenous Kv2.2 in cortical neurons
in situ and recombinant Kv2.2 expressed in heterologous cells reveal that Kv2.2
is largely refractory to stimuli that trigger robust, phosphorylation-dependent
changes in Kv2.1 clustering and function. Immunocytochemistry and voltage-clamp
recordings from outside-out macropatches reveal distinct cellular expression
patterns for Kv2.1 and Kv2.2 in intratelencephalic and pyramidal tract neurons of
L5, indicating circuit-specific requirements for these Kv2 paralogs. Together,
these results support distinct roles for these two Kv2 channel family members in
mammalian cortex. SIGNIFICANCE STATEMENT: Neurons within the neocortex are
arranged in a laminar architecture and contribute to the input, processing,
and/or output of sensory and motor signals in a cell- and layer-specific manner.
Neurons of different cortical layers express diverse populations of ion channels
and possess distinct intrinsic membrane properties. Here, we show that the Kv2
family members Kv2.1 and Kv2.2 are expressed in distinct cortical layers and
pyramidal cell types associated with specific corticostriatal pathways. We find
that Kv2.1 and Kv2.2 exhibit distinct responses to acute phosphorylation
dependent regulation in brain neurons in situ and in heterologous cells in vitro.
These results identify a molecular mechanism that contributes to heterogeneity in
cortical neuron ion channel function and regulation.
PMID- 26538663
TI - Multicategory angle-based large-margin classification.
AB - Large-margin classifiers are popular methods for classification. Among existing
simultaneous multicategory large-margin classifiers, a common approach is to
learn k different functions for a k-class problem with a sum-to-zero constraint.
Such a formulation can be inefficient. We propose a new multicategory angle-based
large-margin classification framework. The proposed angle-based classifiers
consider a simplex-based prediction rule without the sum-to-zero constraint, and
enjoy more efficient computation. Many binary large-margin classifiers can be
naturally generalized for multicategory problems through the angle-based
framework. Theoretical and numerical studies demonstrate the usefulness of the
angle-based methods.
PMID- 26538664
TI - Back to basics.
PMID- 26538665
TI - An ethicist's commentary on productivity's mark of animal welfare.
PMID- 26538666
TI - The lesions of toe tip necrosis in southern Alberta feedlot cattle provide
insight into the pathogenesis of the disease.
AB - Gross and histologic postmortem studies were performed on the hind feet of
feedlot cattle that had, or were free from, lesions of toe tip necrosis. The hind
feet of feedlot cattle were collected by 3 veterinary feedlot practices in
southern Alberta, Canada. Three studies of these feet were conducted: i)
prediction of disease based on the presence or absence of apical white line
separation, ii) gross assessment of the distribution and severity of lesions
within affected claws, and iii) microscopic evaluation of the distal phalanx and
surrounding soft tissues of affected claws. Prediction of toe tip necrosis based
on the presence of apical white line separation was statistically significant (P
< 0.0001). This, combined with a pattern of lesions indicative of an ascending
infection of the distal phalanx and the absence of other lesions, suggests that
the pathogenesis involves bacterial infection originating from the most distal
aspect of the toe, at the apical white line.
PMID- 26538667
TI - Retrospective comparison of costs between medical and surgical treatment of
canine pyothorax.
AB - This study compared costs of treating dogs with pyothorax medically versus
surgically. Medical records from the University of Wisconsin School of Veterinary
Medicine were searched for cases of pyothorax that underwent either medical or
surgical treatment. Patients undergoing surgery were subdivided into early (ES; <
48 h) and late (LS; > 48 h) surgery groups. Costs and length of stay were
compared between treatment groups. Treatment costs were adjusted for inflation.
Nineteen dogs were included in analysis; 7 in the medical group (MG), 5 in the ES
group, and 7 in the LS group. Total costs were significantly lower in the MG than
in the LS group. Total costs were less for the MG than the ES group, and for ES
than LS, but the differences did not achieve significance. Preoperative costs
were higher in the LS than the ES group. We conclude that surgery for canine
pyothorax is less costly if pursued earlier than later.
PMID- 26538668
TI - Oral transmucosal administration of dexmedetomidine for sedation in 4 dogs.
AB - Injectable dexmedetomidine (DM) is widely used for sedation, restraint,
anxiolysis, and analgesia in veterinary medicine. Oral transmucosal
dexmedetomidine (OTM DM) has been evaluated in horses, cats, and humans, but not
in dogs. In this case series, OTM DM (mean dose of 32.6 MUg/kg body weight) was
given in the buccal pouch to 4 aggressive dogs in a hospital setting. Two of the
dogs were subsequently euthanized, and in the other 2, sedation was reversed with
atipamezole. Satisfactory sedation was achieved in all cases.
PMID- 26538669
TI - Computed tomography diagnosis of a thoracic and abdominal penetrating foreign
body in a dog.
AB - A 1.5-year-old, spayed female, mixed-breed dog was presented for hemoabdomen
associated with an abdominal mass. Upon presentation bicavitary effusion was
diagnosed. A penetrating intra-abdominal wooden foreign body was identified using
computed tomography. This case describes a thoracic penetrating wooden foreign
body causing bicavitary effusion following migration into the retroperitoneal
space.
PMID- 26538670
TI - Primary portal vein hypoplasia and SLC2A9 mutation associated with urate
urolithiasis in a Spanish water dog.
AB - This report describes a Spanish water dog with an ammonium urate urethrolith
which was diagnosed with primary portal vein hypoplasia and was found to be
homozygous for the mutated SLC2A9 gene. This is the first Spanish water dog
described with the SLC2A9 mutation and the first case of concurrent portal
vascular abnormalities and SLC2A9 mutation.
PMID- 26538671
TI - Successful treatment of a cat with primary hypoadrenocorticism and severe
hyponatremia with desoxycorticosterone pivalate (DOCP).
AB - A 6-year-old, castrated male Siamese cat was diagnosed with primary
hypoadrenocorticism, confirmed by an adrenocorticotopic hormone (ACTH)
stimulation test documenting both hypocortisolism and hypoaldosteronism. The cat
was successfully treated using a combination of prednisolone and
desoxycorticosterone pivalate (DOCP). This case demonstrates that DOCP can be
used successfully as mineralocorticoid supplementation in cats with
hypoadrenocorticism and may have a longer therapeutic duration than that in dogs.
PMID- 26538672
TI - Use of gentamicin sulfate-impregnated sponges as adjuvant therapy for the
treatment of chronic foreign body associated sternal osteomyelitis in a dog.
AB - A 2-year-old Labrador retriever dog was referred for evaluation of parasternal
chronic draining sinus tracts associated with sternal osteomyelitis secondary to
the presence of a residual wooden foreign body. The use of gentamicin-impregnated
collagen sponges as adjunctive therapy to osteomyelitis treatment is reported
herein.
PMID- 26538673
TI - Acquired multiple acyl-CoA dehydrogenase deficiency and marked selenium
deficiency causing severe rhabdomyolysis in a horse.
AB - This report describes a case of severe rhabdomyolysis in a pregnant mare
associated with histopathologic and biochemical features of both selenium
deficiency and acquired multiple acyl-CoA dehydrogenase deficiency (MADD) due to
seasonal pasture myopathy (SPM). This case highlights the importance of assessing
plasma selenium levels in horses with clinical signs of pasture myopathy as this
deficiency may be a contributing or exacerbating factor.
PMID- 26538674
TI - Concurrent cranial mediastinal Blastomyces granuloma and carcinoma with cranial
vena caval syndrome in a dog.
AB - This report describes an unusual progression of blastomycosis in a dog with
concurrent mediastinal carcinoma. The dog was evaluated for respiratory distress.
Diagnostic results revealed chylothorax and a cranial vena caval thrombus.
Histopathology of the cranial mediastinal mass diagnosed mediastinal carcinoma
and fungal granuloma. Intercurrent disease may complicate the clinical
presentation and clinical course of blastomycosis.
PMID- 26538675
TI - Chronic active interstitial pancreatitis as a cause of transverse colonic
obstruction and colic in a horse.
AB - A mature Quarter horse was euthanized following colic of 3 days duration.
Postmortem, the large intestine, except the descending colon, was diffusely
distended and associated with adhesion of the transverse colon to the pancreas,
which had changes consistent with chronic active interstitial pancreatitis. Other
lesions included hepatic fibrosis, erosive gastritis, and bilateral adrenal
cortical hyperplasia.
PMID- 26538676
TI - Canine nail bed keratoacanthoma diagnosed by immunohistochemical analysis.
AB - A 10-year-old, Shih Tzu dog was presented with an enlarged, curled 2nd nail in
the left forelimb. Digital amputation was performed and the mass was diagnosed as
a nail bed keratoacanthoma (infundibular keratinizing acanthoma)
histopathologically. There was no recurrence postoperatively. This is the first
case report of a canine nail bed keratoacanthoma diagnosed by histologic and
immunohistochemical examination including Ki-67 and p53 expression.
PMID- 26538677
TI - Multiple tarsal luxations in 2 Holstein heifers.
AB - Two Holstein heifers were referred for non-weight bearing lameness. The physical
examination and radiographic findings were diagnostic of tarsal luxation.
Treatment and outcome are reported. A closed reduction was successfully performed
in 1 heifer. The second animal was euthanized after attempts to reduce and
stabilize the joint.
PMID- 26538678
TI - The economics of veterinarians in government, industry, and academe.
PMID- 26538679
TI - Diagnostic Ophthalmology. Corneal lesion in a cat.
PMID- 26538680
TI - Intimate Partner Violence in Young Adulthood: Narratives of Persistence and
Desistance.
AB - Prior research on patterns of intimate partner violence (IPV) has documented
changes over time, but few studies have focused directly on IPV desistance
processes. This analysis identifies unique features of IPV, providing a rationale
for the focus on this form of behavior cessation. We develop a life-course
perspective on social learning as a conceptual framework and draw on qualitative
interviews (n = 89) elicited from a sample of young adults who participated in a
larger longitudinal study (Toledo Adolescent Relationships Study). The
respondents' backgrounds reflected a range of persistence and desistance from IPV
perpetration. Our analyses revealed that relationship-based motivations and
changes were central features of the narratives of successful desisters, whether
articulated as a stand-alone theme or in tandem with other potential "hooks" for
change. The analysis provides a counterpoint to individualistic views of
desistance processes, highlighting ways in which social experiences foster
attitude shifts and associated behavioral changes that respondents tied to this
type of behavior change. The analyses of persisters and those for whom change
seemed to be a work in progress provide points of contrast and highlight barriers
that limit a respondent's desistance potential. We describe implications for
theories of desistance as well as for IPV prevention and intervention efforts.
PMID- 26538681
TI - Electrochemical transformation of thichloroethylene in groundwater by Ni
containing cathodes.
AB - In this study, we evaluate the use of different stainless steel (SS) materials as
cost-effective cathode materials for electrochemical transformation of
trichloroethylene (TCE) in contaminated groundwater. Ni, which is present in
certain SS, has low hydrogen overpotential that promotes fast formation of atomic
hydrogen and, therefore, its content can enhance hydrodechlorination (HDC). We a
flow-through electrochemical reactor with a SS cathode followed by an anode. The
performance of Ni containing foam cathodes (Fe/Ni and Ni foam) was also evaluated
for electrochemical transformation of TCE in groundwater. SS type 316 (12% Ni)
removed 61.7% of TCE compared to 52.6% removed by SS 304 (9.25% Ni) and 37.5%
removed by SS 430 (0.75% Ni). Ni foam cathode produced the highest TCE removal
rate (68.4%) compared with other cathodes. The slightly lower performance of SS
type 316 mesh is balanced by the reduction in treatment costs for larger-scale
systems. The results prove that Ni content in SS highly influences TCE removal
rate.
PMID- 26538683
TI - Silicon Detector System for High Rate EXAFS Applications.
AB - A multichannel silicon pad detector for EXAFS (Extended X-ray Absorption Fine
Structure) applications has been designed and built. The X-ray spectroscopic
measurements demonstrate that an adequate energy resolution of 230 eV FWHM
(corresponding to 27 rms electrons in silicon) can be achieved reliably at -35
degrees C. A resolution of 190 eV FWHM (corresponding to 22 rms electrons) has
been obtained from individual pads at -35 degrees C. At room temperature (25
degrees C) an average energy resolution of 380 eV FWHM is achieved and a
resolution of 350 eV FWHM (41 rms electrons) is the best performance. A simple
cooling system constituted of Peltier cells is sufficient to reduce the reverse
currents of the pads and their related shot noise contribution, in order to
achieve resolutions better than 300 eV FWHM which is adequate for the EXAFS
applications.
PMID- 26538682
TI - Bioinspired Polarization Imaging Sensors: From Circuits and Optics to Signal
Processing Algorithms and Biomedical Applications: Analysis at the focal plane
emulates nature's method in sensors to image and diagnose with polarized light.
AB - In this paper, we present recent work on bioinspired polarization imaging sensors
and their applications in biomedicine. In particular, we focus on three different
aspects of these sensors. First, we describe the electro-optical challenges in
realizing a bioinspired polarization imager, and in particular, we provide a
detailed description of a recent low-power complementary metal-oxide
semiconductor (CMOS) polarization imager. Second, we focus on signal processing
algorithms tailored for this new class of bioinspired polarization imaging
sensors, such as calibration and interpolation. Third, the emergence of these
sensors has enabled rapid progress in characterizing polarization signals and
environmental parameters in nature, as well as several biomedical areas, such as
label-free optical neural recording, dynamic tissue strength analysis, and early
diagnosis of flat cancerous lesions in a murine colorectal tumor model. We
highlight results obtained from these three areas and discuss future applications
for these sensors.
PMID- 26538684
TI - Compact CT/SPECT Small-Animal Imaging System.
AB - We have developed a dual-modality CT/SPECT imaging system for small-animal
imaging applications. The X-ray system comprises a commercially available micro
focus X-ray tube and a CCD-based X-ray camera. X-ray transmission measurements
are performed based on cone-beam geometry. Individual projections are acquired by
rotating the animal about a vertical axis in front of the CCD detector. A high
resolution CT image is obtained after reconstruction using an ordered subsets
expectation maximization (OS-EM) reconstruction algorithm. The SPECT system
utilizes a compact semiconductor camera module previously developed in our group.
The module is mounted perpendicular to the X-ray tube/CCD combination. It
consists of a 64*64 pixellated CdZnTe detector and a parallel-hole tungsten
collimator. The field of view is 1 square inch. Planar projections for SPECT
reconstruction are obtained by rotating the animal in front of the detector.
Gamma-ray and X-ray images are presented of phantoms and mice. Procedures for
merging the anatomical and functional images are discussed.
PMID- 26538685
TI - Arrays of Segmented, Tapered Light Guides for Use with Large, Planar
Scintillation Detectors.
AB - Metabolic imaging techniques can potentially improve detection and diagnosis of
cancer in women with radiodense and/or fibrocystic breasts. Our group has
previously developed a high-resolution positron emission tomography imaging and
biopsy device (PEM-PET) to detect and guide the biopsy of suspicious breast
lesions. Initial testing revealed that the imaging field-of-view (FOV) of the
scanner was smaller than the physical size of the detector's active area, which
could hinder sampling of breast areas close to the chest wall. The purpose of
this work was to utilize segmented, tapered light guides for optically coupling
the scintillator arrays to arrays of position-sensitive photomultipliers to
increase both the active FOV and identification of individual scintillator
elements. Testing of the new system revealed that the optics of these structures
made it possible to discern detector elements from the complete active area of
the detector face. In the previous system the top and bottom rows and left and
right columns were not identifiable. Additionally, use of the new light guides
increased the contrast of individual detector elements by up to 129%. Improved
element identification led to a spatial resolution increase by approximately 12%.
Due to attenuation of light in the light guides the detector energy resolution
decreased from 18.5% to 19.1%. Overall, these improvements should increase the
field-of-view and spatial resolution of the dedicated breast-PET system.
PMID- 26538686
TI - Role of Oxidative and Nitrosative Stress in Pathophysiology of Toxic Epidermal
Necrolysis and Stevens Johnson Syndrome-A Pilot Study.
AB - BACKGROUND: Oxidative and nitrosative stress caused by drug metabolism may be a
trigger for keratinocyte apoptosis in the epidermis seen in toxic epidermal
necrolysis (TEN) and Stevens Johnson syndrome (SJS). AIMS: To estimate oxidative
damage in the serum and to examine the role of nitric oxide in mediating
epidermal damage in patients with TEN and SJS. MATERIALS AND METHODS: A
prospective study was conducted among TEN and SJS patients and controls in a
tertiary care center between January 2006 and February 2010. Patients with a
maculopapular drug rash without detachment of skin constituted the control group
1 (drug exposed). Patients without a drug rash constituted the control group 2
(drug unexposed). The serum values of protein carbonyls, malondialdehyde,
conjugated diene and nitrates were measured. Two-group comparison with the non
parametric Mann-Whitney U test was used. Significance of differences if any was
established using Pearson's Chi-square test. RESULTS: Ten patients in the SJS-TEN
group (study group), 8 patients in control group 1 and 7 patients in control
group 2 were included. More than one drug was implicated in 4/10 patients in
group 1 and 3/8 patients in group 2. SCORTEN of 0, 1 and 3 at admission were seen
in 2, 6 and 2 patients, respectively. The serum values of protein carbonyls,
malondialdehyde, conjugated diene and nitrates were not significantly increased
in the study group when compared to the controls. CONCLUSIONS: There was no
elevation of oxidative stress markers in patients with TEN and SJS as compared to
the control population.
PMID- 26538687
TI - Interleukin-1 Gene Polymorphisms and their Relation with NFkappaB Expression and
Histopathological Features in Psoriasis.
AB - BACKGROUND: Psoriasis is a chronic inflammatory disease driven by exaggerated
production of pro-inflammatory cytokines and interleukins. Various genetic
polymorphisms including IL-1 are implicated in pathogenesis of psoriasis. The
exact role of IL-1 gene polymorphisms and their interaction with NFkappaB is not
yet determined. We aimed to study various genetic polymorphisms of IL-1 in
psoriasis and their influence on NFkappaB and histopathological features.
MATERIALS AND METHODS: 112 newly diagnosed cases of psoriasis vulgaris were
included in this prospective study. Histology was done on sections and genotyping
was done for the IL-1beta and IL-1 receptor antagonist (IL-1RA) genetic
polymorphisms. In addition, NFkappaB immunostaining was performed on 89 sections
and the intensity of staining was evaluated in the epidermis, basal cells, and
the lymphocytes. RESULTS: A strong association of IL-1beta 511 C/T polymorphism
was found with both genotypes and alleles in psoriasis. A strong correlation was
also detected between the IL-1beta genotype and the grade of NFkappaB
immunostaining in the epidermis (P = 0.012). The grade of NFkappaB lymphocyte
staining showed a strong correlation with the IL-1RA genotype (P = 0.025) but not
with the IL-1beta genotype (P = 0.226). The genetic polymorphisms did not show
any correlation with the histological features. CONCLUSIONS: IL-1 genetic
polymorphisms may not play a very direct role in pathogenesis of psoriasis.
However, their interaction with NFkappaB appears to be a significant factor in
this direction as NFkappaB is activated by pro-inflammatory genetic polymorphisms
and therefore may influence the severity of psoriasis.
PMID- 26538688
TI - Alterations in Lipid Metabolism and Antioxidant Status in Lichen Planus.
AB - BACKGROUND: Lichen planus (LP), a T-cell-mediated inflammatory disorder, wherein
inflammation produces lipid metabolism disturbances, is linked to increase in
cardiovascular (CV) risk with dyslipidemia. Increased reactive oxygen species and
lipid peroxides have also been implicated in its pathogenesis. AIM AND OBJECTIVE:
The aim of the study was to evaluate the status on lipid disturbances, oxidative
stress, and inflammation in LP patients. MATERIALS AND METHODS: The study was
initiated after obtaining Institutional Ethics Committee permission and written
informed consent from participants. The study included 125 patients (74 LP
patients and 51 age and sex-matched controls) visiting the outpatient clinic in
the dermatology department of our hospital. Variables analyzed included lipid
profile, C-reactive protein (CRP), malondialdehyde (MDA), and catalase (CAT)
activity. RESULTS: Analysis of lipid parameters revealed significantly higher
levels of total cholesterol (TC), triglycerides, and low-density lipoprotein
cholesterol (LDL-C) along with decreased levels of high-density lipoprotein
cholesterol (HDL-C) in LP patients as compared to their respective controls. LP
patients also presented with a significantly higher atherogenic index that is,
(TC/HDL-C) and LDL-C/HDL-C ratios than the controls. A significant increase in
CRP levels was observed among the LP patients. There was a statistically
significant increase in the serum levels of the lipid peroxidation product, MDA
and a statistically significant decrease in CAT activity in LP patients as
compared to their respective controls. A statistically significant positive
correlation (r = 0.96) was observed between serum MDA levels and duration of LP
whereas a significantly negative correlation (r = -0.76) was seen between CAT
activity and LP duration. CONCLUSION: Chronic inflammation in patients with LP
may explain the association with dyslipidemia and CV risk. Our findings also
suggest that an increase in oxidative stress and imbalance in the antioxidant
defense mechanisms in LP may play a role in the pathogenesis of LP.
PMID- 26538689
TI - Role of Depression, Anxiety and Stress in Patients with Oral Lichen Planus: A
Pilot Study.
AB - CONTEXT: Lichen planus is a psychosomatic disease. Higher frequency of
psychiatric symptoms, poor quality of life, higher level of anxiety and
neuroendocrine and immune dysregulations, all these factors, will enhance the
exacerbation of the disease. AIMS: The present study was to assess depression,
anxiety and stress levels in patients with oral lichen planus. MATERIALS AND
METHODS: The psychometric evaluation using the Depression Anxiety Stress Scale
(DASS)-42 questionnaire was carried out, by the same investigator on all members
of group 1 (Oral Lichen Planus) and group 2 (Control). DASS-42 questionnaire
consists of 42 symptoms divided into three subscales of 14 items: Depression
scale, anxiety scale, and stress scale. STATISTICAL ANALYSIS USED: The Student t
test was used to determine statistical difference for both the groups and to
evaluate for significant relationships among variables. RESULTS: Psychological
assessment using DASS-42 reveals lichen planus patients showed higher frequency
of psychiatric co morbidities like depression, anxiety and stress compared to
control group. CONCLUSIONS: This study has provided evidence that the DASS-42
questionnaire is internally consistent and valid measures of depression, anxiety,
and stress. Psychiatric evaluation can be considered for patients with oral
lichen planus with routine treatment protocols are recommended. DASS-42
Questionnaire can also be used to determine the level of anxiety, stress and
depression in diseases of the oral mucosa like recurrent apthous stomatitis,
burning mouth syndrome and TMD disorders.
PMID- 26538690
TI - Comparing The Efficacy of Hematoxylin and Eosin, Periodic Acid Schiff and
Fluorescent Periodic Acid Schiff-Acriflavine Techniques for Demonstration of
Basement Membrane in Oral Lichen Planus: A Histochemical Study.
AB - BACKGROUND: Basement membrane (BM) is a thick sheet of extracellular matrix
molecules, upon which epithelial cells attach. Various immunohistochemical
studies in the past have been carried out but these advanced staining techniques
are expensive and not feasible in routine laboratories. Although hematoxylin and
eosin (H-E) is very popular among pathologists for looking at biopsies, the
method has some limitations. This is where special stains come handy. AIMS AND
OBJECTIVES: The aim of the present study was to demonstrate and compare the
efficacy of H-E, periodic acid Schiff (PAS) and fluorescent periodic acid
acriflavine staining techniques for the basement membrane and to establish a
histochemical stain which could be cost effective, less time consuming, and
unambiguous for observation of the basement membrane zone. MATERIALS AND METHODS:
A total number of 40 paraffin-embedded tissue sections of known basement membrane
containing tissues including 10 - Normal oral mucosa (NOM) and 30 - oral lichen
planus (OLP) were considered in the study. Four-micron-thick sections of each
block were cut and stained with H-E stain, PAS and fluorescent periodic acid
acriflavine stain. Sections were evaluated by three oral pathologists
independently for continuity, contrast and pattern. RESULTS: Though all the three
stains showed favorable features at different levels, acriflavine stain was
better than the other stains in demonstrating BM continuity, contrast and also
the pattern followed by PAS stain. Acriflavine stain was the better in
demonstrating a fibrillar pattern of a BM. Acriflavine stains a BM distinctly and
is less time consuming and easy to carry out using readily available dyes as
compared to other stains. CONCLUSION: The continuity and contrast along with the
homogenous pattern and the afibrillar pattern of the BM was better demonstrated
by acriflavine followed by the PAS stain.
PMID- 26538691
TI - Concurrent Presentation of Erythrodermic Lichen Planus and Squamous Cell
Carcinoma: Coincidence or Malignant Transformation?
AB - Lichen planus is a common papulosquamous disorder affecting about 1-2% of the
population, neoplastic transformation of cutaneous lichen planus lesions occurs
very rarely. A 40 year old female patient presented with a 1 year history of
developing multiple, itchy, pigmented lesions over both lower legs which
gradually spread to involve the whole body. A few tense bullae were seen on the
extremities. An erythematous fleshy lesion was seen on the upper aspect of the
left buttock. Skin biopsy from a plaque on the right forearm showed features
suggestive of lichen planus. Skin biopsy of a bullae showed a sub epidermal bulla
filled with a mixed inflammatory infiltrate. Direct immunofluorescence revealed
no immunoreactants along the basement membrane zone. A diagnosis of erythrodermic
lichen planus with bullous lichen planus was made. Biopsy of fleshy lesion of
left buttock revealed a moderately differentiated squamous cell carcinoma.
Erythrodermic lichen planus with bullous lesions and secondary squamous cell
carcinoma; these occurences in a single patient is extremely rare and has not
been previously reported to the best of our knowledge.
PMID- 26538692
TI - Decrease in "Hamilton Rating Scale for Depression" Following Isotretinoin Therapy
in Acne: An Open-Label Prospective Study.
AB - BACKGROUND: Acne is a common disorder among adolescents and young adults causing
a considerable psychological impact including anxiety and depression.
Isotretinoin, a synthetic oral retinoid is very effective in the treatment of
moderate to severe acne. But there have been many reports linking isotretinoin to
depression and suicide though no clear proof of association has been established
so far. OBJECTIVE: To determine whether oral isotretinoin increases the risk of
depression in patients with moderate to severe acne. MATERIALS AND METHODS: One
hundred and fifty patients with moderate to severe acne were treated with oral
isotretinoin 0.5 mg/kg/day for a period of 3 months. Their acne and depression
scoring was done at baseline and then every month for the first 3 months and then
at 6 months. RESULTS: We found that the acne scoring reduced from 3.11 +/- 0.49
to 0.65 +/- 0.62 (P = < 0.001) at the end of 3 months. Also, the depression
scoring decreased significantly from 3.89 +/- 4.9 at the beginning of study to
0.45 +/- 1.12 (P < 0.001) at the end of 3 months. Both the acne and depression
scores continued to remain low at the end of 6 months at 0.5 +/- 0.52 (P = <
0.001) and 0.18 +/- 0.51 (P = < 0.001), respectively. CONCLUSIONS: Our study
proves that oral isotretinoin causes significant clearance of acne lesions. It
causes significant reduction in depression scores and is not associated with an
increased incidence of depression or suicidal tendencies.
PMID- 26538693
TI - Prevalence of Skin Changes in Diabetes Mellitus and its Correlation with Internal
Diseases: A Single Center Observational Study.
AB - BACKGROUND AND AIM: This single-center observational cross-sectional study has
been done in an attempt to find out the prevalence of various skin manifestations
in diabetes patients (DM) and their correlation with diabetes control and
complications. MATERIALS AND METHODS: Skin manifestations present over 12 months
among those attend diabetes clinic were included in the study. Apart from
demographic data and type, patients were also screened for micro vascular
complications and control of diabetes over last 3 months. RESULTS AND DISCUSSION:
Sixty (n = 60) diabetes patisents (Type 1 DM, 9 patients and Type 2 DM 51
patients) have been found to have various skin lesions. Thirty-one (51.67%)
patients presented with infectious conditions, vascular complications were
present in 21 (35%) and dermatomes belonging to the miscellaneous group were
present in 50 (83.33%) patients. Pyoderma, diabetic dermopathy, and pruritus
without skin lesions were found to be most common manifestations in infective,
vascular and miscellaneous group, respectively. Higher level of HB1AC was found
in patient with diabetic bulla (10.5 +/- 0), scleredema (9.75 +/- 0.77), lichen
planus (9.3 +/- 1.6), and acanthosis nigricans (9.15 +/- 0.89). Patients with
psoriasis and vitiligo had statistically significant lower level of glycosylated
hemoglobin (P =< 0.001 and 0.03, respectively). However, no association of any
kind of skin manifestation with DM with other microangiopathic complications was
found in this study.
PMID- 26538694
TI - Successful Repigmentation of Vitiligo after Allogeneic Bone Marrow
Transplantation for Hodgkin's Lymphoma by Autologous Noncultured Melanocyte
keratinocyte Transplantation.
AB - The treatment of vitiligo is derisory since the pathogenesis of vitiligo is not
clear at present. Most conservative treatments are difficult to approach
satisfactory therapy. So transplantation is the only way left when the disease
becomes insensitive to those conservative treatments. Here we describe an 18-year
old patient who developed vitiligo, which was triggered by graft-versus-host
disease after a allogeneic bone marrow transplantation for the treatment of
Hodgkin's lymphoma from his sister. In the following treatment to vitiligo, the
patient successfully performed the transplantation of autologous uncultured
melanocyte on the premise of poor reaction to other conservative methods. We
infer that transplantation can be a treatment of the vitiligo after allogeneic
bone marrow transplantation.
PMID- 26538695
TI - Efficacy of Punch Elevation Combined with Fractional Carbon Dioxide Laser
Resurfacing in Facial Atrophic Acne Scarring: A Randomized Split-face Clinical
Study.
AB - BACKGROUND: A number of treatments for reducing the appearance of acne scars are
available, but general guidelines for optimizing acne scar treatment do not
exist. The aim of this study was to compare the clinical effectiveness and side
effects of fractional carbon dioxide (CO2) laser resurfacing combined with punch
elevation with fractional CO2 laser resurfacing alone in the treatment of
atrophic acne scars. MATERIALS AND METHODS: Forty-two Iranian subjects (age range
18-55) with Fitzpatrick skin types III to IV and moderate to severe atrophic acne
scars on both cheeks received randomized split-face treatments: One side received
fractional CO2 laser treatment and the other received one session of punch
elevation combined with two sessions of laser fractional CO2 laser treatment,
separated by an interval of 1 month. Two dermatologists independently evaluated
improvement in acne scars 4 and 16 weeks after the last treatment. Side effects
were also recorded after each treatment. RESULTS: The mean +/- SD age of patients
was 23.4 +/- 2.6 years. Clinical improvement of facial acne scarring was assessed
by two dermatologists blinded to treatment conditions. No significant difference
in evaluation was observed 1 month after treatment (P = 0.56). Their evaluation
found that fractional CO2 laser treatment combined with punch elevation had
greater efficacy than that with fractional CO2 laser treatment alone, assessed 4
months after treatment (P = 0.02). Among all side effects, coagulated crust
formation and pruritus at day 3 after fractional CO2 laser treatment was
significant on both treatment sides (P < 0.05). CONCLUSION: Concurrent use of
fractional laser skin resurfacing with punch elevation offers a safe and
effective approach for the treatment of acne scarring.
PMID- 26538696
TI - A Clinico-Bacteriological Study of Pyodermas at a Tertiary Health Center in
Southwest Rajasthan.
AB - BACKGROUND: The spectrum of pyoderma changes constantly, and so does the
antibiotic susceptibility pattern. AIMS: This study was done to assess the
magnitude and clinical patterns of pyodermas, their causative micro-organisms,
and the antibiotic susceptibility patterns. MATERIALS AND METHODS: Five hundred
consecutive, clinically diagnosed and untreated cases of pyoderma, attending the
Dermatology OPD of RNT Medical College and MB Government Hospital, Udaipur, from
October 2010 to September 2011 were the subjects of this study. A detailed
clinical examination, and relevant investigations including bacterial culture and
sensitivity, were carried out and recorded. STATISTICAL ANALYSIS: For statistical
analysis of data, the software 'EPI-INFO Version 6' was used, and Chi-square
(chi(2)) test was applied. RESULTS: Of 19576 cases attending skin OPD during the
study period, pyoderma was seen in 500 patients; the incidence being 2.55%. Males
outnumbered females. The highest number of cases (109; 21.8%) was observed in 1st
decade. Lower extremities were the commonest site of predilection. Primary
pyodermas outnumbered secondary pyodermas. Furuncle (136; 27.2%) and infectious
eczematoid dermatitis (62; 12.4%) were the commonest entities among primary and
secondary pyoderma respectively. Staphylococcus aureus was the commonest
causative agent in both primary and secondary pyoderma. It showed high
susceptibility to amoxycillin + sulbactam, aminoglycosides and cefoperazone,
moderate susceptibility to linezolid, while low susceptibility to
fluoroquinolones and cephalexin. CONCLUSION: Such studies help to assess the
changing trend of bacterial infections, their causative organisms and antibiotic
susceptibility pattern.
PMID- 26538697
TI - A Rare Case of Plantar Epithelioma Cuniculatum Arising from a Wart.
AB - A 68-year-old man, a known case of hypertension, coronary artery disease and old
cardiovascular accident with right-sided hemiplegia, came with the chief
complaints of a large cauliflower like growth with pus discharge on the left heel
since 15 years. The patient had sustained a penetrating injury by a thorn on the
left heel region few days before the lesion appeared. Dermatological examination
revealed a single verrucous lesion measuring 7 * 7 cm on the left heel region
associated with discharge of foul smelling cheesy material. There was also a
enlarged right inguinal lymph node which was non-tender, firm, measuring 2 cm in
diameter with normal overlying skin. X-ray left ankle was done which showed some
soft tissue swelling. A skin biopsy showed hyperkeratosis, acanthosis and
parakeratosis. Elongated rete ridges with keratinocyte hyperplasia, forming a
large mass pressing on the underlying dermis were seen. There was formation of
multiple large keratin filled invaginations and crypts. No atypical cells were
seen. Based on history, clinical examination and investigations, a diagnosis of
epithelium cuniculatum type of verrucous squamous cell carcinoma was made. A wide
excision with a flap cover was performed in consultation with the oncosurgeon and
the excision sample was sent for histopathological re-examination, which
confirmed the diagnosis of epithelioma cuniculatum.
PMID- 26538698
TI - Jellyfish Envenomation Presenting with Delayed Identical Cutaneous Lesions in a
Mother and Child.
AB - Jellyfish envenomation can present with local cutaneous lesions both immediate
and delayed. While the immediate reaction is toxin mediated, an immune mechanism
is responsible for the delayed eruptions. This is a report of a mother and child
who developed identical papular lesions in a bizarre, linear distribution after
coming in contact with jellyfish almost simultaneously while on holiday.
Histology showed focal basal cell degeneration along with peri-vascular and peri
appendageal lympho-mononuclear infiltrate. Both patients responded well to
topical tacrolimus.
PMID- 26538699
TI - X-linked Ichthyosis Presenting as Erythroderma: A Rare Case.
AB - X-linked ichthyosis is a rare form of dermatological disease and when it presents
as erythroderma it is even rarer. History of consanguineous marriage and
prolonged labor during birth of patient, generalized scaling which gets better in
summer months, flexural involvement, cryptorchidism made a diagnosis of X-linked
ichthyosis. We report this case because of its rarity as erythroderma.
PMID- 26538700
TI - Acne in Klinefelter Syndrome-46XY/47XXY Mosaicism?
AB - Klinefelter syndrome (KFS) is the most common non-heritable sex chromosome
anomaly caused by nondisjunction during cell division and contains two or more X
chromosomes. More than two third of all cases are homogenous (47XXY) and the
remaining are mosaic (46XY/47XXY). Lower limb ulcers are frequently observed and
attributed to impaired fibrinolysis. A case of KFS with post acne scars and leg
ulcers is presented. The rarity of acne in this syndrome is explained by the
phenomenon of mosaicism.
PMID- 26538701
TI - Solitary Neurofibroma at the Base of the Tongue: A Rare Presentation.
AB - A 75-year-old man presented with a slowly growing mass at the right side of the
base of the tongue for 4 months. The mass was painless initially but had become
very painful during preceding 4 weeks. On examination a 3 cm diameter, oval
swelling was observed at the right side of the base of the tongue. It was firm in
consistency, slightly tender, non-ulcerative, and with irregular surface. A deep
incisional biopsy was taken from mass under general anesthesia. Histopathology
report identified the mass consistent with neurofibroma. It featured typical
pallisading arrangement of fascicles of spindle-shaped cells and there was no
evidence of malignancy. An absence of Verocay body and thick hyalinized vessels
ruled out Schwannoma. No similar lesions were found in any other part of the
patient's body. He exhibited no skin pigmentation, no hearing deficit, and no
evidence suggestive of any systemic disorders that might have been attributable
to the tongue base neurofibroma. His family history was also negative. Thus, a
diagnosis of isolated neurofibroma of the tongue was established. The patient was
advised excision of the mass but he refused and lost in follow up.
PMID- 26538702
TI - Subcutaneous Zygomycosis: A Report of One Case Responding Excellently to
Potassium Iodide.
AB - Subcutaneous Zygomycosis is a rare opportunistic fungal infection caused by
Basidiobolus ranarum. Though this entity is endemic in South India, limited
numbers of cases have been reported from this part of the country. We report a
case of subcutaneous zygomycosis in a 25 year old lady who presented with a
nontender, firm to hard swelling over the upper-left arm. Finger was easily
inserted below the indurated edge. Histopathology revealed suppurative granuloma
with aseptate hyphae. Patient responded excellently to saturated solution of
potassium iodide in subsequent visits.
PMID- 26538703
TI - Colocalization of Lichen Planus Hypertrophicus and Epidermal Inclusion Cyst: An
Incident Unreported Hitherto.
AB - Colocalization of diseases in dermatology has always remained elusive and a
puzzle, difficult to unscramble. Co-localization of two rare and disparate
dermatoses has been reported on several occasions. Lichen planus (LP) has been
described to colocalize with several dermatoses. We report here a case of LP
hypertrophicus co-localizing with epidermoid cysts on the scrotum of a 35-year
old man for the unusual site and association.
PMID- 26538704
TI - Nodulo-ulcerative Tuberculosis of the Glans Penis-A Case Report and a Discussion
on Nomenclature of Genital Tuberculosis.
AB - Lupus vulgaris is a chronic paucibacillary form of cutaneous tuberculosis
occurring in a person with a moderate to high degree of immunity. It commonly
occurs over the buttocks and trunk in India. Involvement of the genitalia is
uncommon, and lesions involving the penis, extremely rare with few cases reported
worldwide. There also exists a confusion regarding nosology of tuberculosis of
the genitalia. A brief discussion and review of literature are being discussed
along with the report of a case of genital tuberculosis involving the glans
penis.
PMID- 26538705
TI - Spindle Cell Hemangioendothelioma: Rare Clinical Entity.
AB - Spindle-cell hemangioendothelioma (SCHE) comprise a rare subset of vascular
tumors, and here, we describe such a case and review the clinical presentation,
patho-physiology, differential diagnosis of these tumors to promote early
identification and discussion guidance. A 25-years-old male patient presented
with multiple painful elevated swellings of both left upper and lower extremities
for last 15 years without any systemic involvement. After excluding close
differential diagnosis by relevant investigations an excisional biopsy was
performed. Based on clinical, radiological and histopathological findings,
diagnosis of SCHE was made and full thickness excision and skin grafting were
performed. The case is reported due to its rarity and adds our knowledge to the
existing literature.
PMID- 26538706
TI - Comment on: Quality of Life and Psychological Morbidity in Vitiligo Patients: A
Study in a Teaching Hospital from North-East India.
PMID- 26538707
TI - Authors' Reply.
PMID- 26538708
TI - Comment on: Quality of Life and Psychological Morbidity in Vitiligo Patients: A
Study in a Teaching Hospital from North-East India.
PMID- 26538709
TI - Authors' Reply.
PMID- 26538710
TI - Comment on: "Epidemiological Study of Insect Bite Reactions from Central India".
PMID- 26538711
TI - Authors' Reply.
PMID- 26538712
TI - Asymptomatic Papular Eruption in a 60 Year Old Man.
PMID- 26538713
TI - Erythromycin as a Safe and Effective Treatment Option for Erythema Annulare
Centrifugum.
AB - BACKGROUND: Erythema annulare centrifugum (EAC) is an inflammatory dermatosis
with unknown etiology. It is usually self-limited, but chronic disease may be
difficult to treat. We observed incidentally the therapeutic effect of
erythromycin for EAC among patients taking erythromycin for other diseases. AIM:
To evaluate the treatment response of erythromycin for EAC. MATERIALS AND
METHODS: During the study period, from July 2007 to February 2011, all patients
with EAC were assigned to erythromycin stearate tablet 1000 mg per day for two
weeks. EAC was diagnosed by a constellation of clinical and pathological
findings. The efficacy (before and after the treatment) was assessed clinically
by one dermatologist and photographically by two blinded dermatologists.
Secondary outcomes included adverse drug effects and recurrence. RESULTS: Eight
patients were enrolled in this study. Most patients had chronic relapsing disease
with poor response to previous treatment. All the patients showed rapid response
with profound reduction in the size of lesion and erythema two weeks after
initiation of erythromycin treatment. The response was so obvious and complete
that a coincidental response was less likely. Three patients had recurrence of
disease and they tended to have more extensive lesions. Readministration of
erythromycin was effective. All patients tolerated the treatment well.
CONCLUSION: Our study documented erythromycin as a safe and cost-effective
treatment for EAC.
PMID- 26538714
TI - Clinical Characteristics and Quality of Life of Seborrheic Dermatitis Patients in
a Tropical Country.
AB - BACKGROUND: Seborrheic dermatitis is a common chronic inflammatory skin condition
that can have a negative impact on a patient's quality of life. Few studies have
been conducted to assess the clinical characteristics of the disease and quality
of life of the patients, especially in tropical countries. AIMS AND OBJECTIVES:
The aim of this study was to demonstrate the clinical characteristics and quality
of life of patients with seborrheic dermatitis in Thailand. MATERIALS AND
METHODS: A cross-sectional study was performed at a university-based hospital and
tertiary referral center in Bangkok, Thailand. The validated Thai version of the
dermatology life quality index (DLQI) was used to evaluate patients' quality of
life. RESULTS: A total of 166 participants were included. One hundred and forty
seven patients (88.6%) experienced multiple episodes of the eruption. The mean of
outbreaks was 7.8 times per years, ranging from once every 4 years to weekly
eruption. The most common factor reported to aggravate seborrheic dermatitis was
seasonality (34.9%), especially hot climate. The mean (SD) of the total DLQI
score was 8.1 (6.0) with a range of 0 to 27. There was no statistically
significant difference between the two DLQI categories regarding duration of
disease, extent of involvement, symptoms or course of the disease. CONCLUSION:
Although mild and asymptomatic, seborrheic dermatitis can have a great impact on
the quality of life. Youth, female gender, and scalp lesions were significantly
associated with higher DLQI scores.
PMID- 26538715
TI - Acral Vitiligo and Lichen Sclerosus - Association or a Distinct Pattern?: A
Clinical and Histopathological Review of 15 Cases.
AB - BACKGROUND: Acral or acrofacial vitiligo (AFV) with bilateral lesions over the
extremities and face is considered as a transitional form that may progress to
generalized vitiligo. Oral and genital mucosal lesions are often integral to this
pattern. Lichen sclerosus (LS) in a milder expression, results in oral and
genital vitiligoid depigmentation without textural changes and thus needs to be
differentiated from AFV. MATERIALS AND METHODS: We reviewed 217 cases of AFV
recorded over a period of 12 years. RESULTS: One hundred and sixteen cases had
associated oral/genital lesions. Among these, 15 patients demonstrated typical
clinical as well as histological features of LS. DISCUSSION: Coexistence of
typical LS essentially among oral and genital lesions of acral vitiligo suggests
that acral vitiligo might be a distinct sub-group of NSV. Since both the diseases
have an autoimmune basis, the co-existence may be explained by epitope spreading,
as a result of interface dermatitis seen in vitiligo. In addition, the
possibility of a common genetic predisposition needs to be explored.
PMID- 26538716
TI - Atopic Dermatitis: A Cross-Sectional (Descriptive) Study of 100 Cases.
AB - BACKGROUND: Atopic dermatitis is a distinct age-related clinical entity. Its
etiopathogenesis is largely insubstantial. Nevertheless, it seems to be an
outcome of interplay of maternal and inheritance, pregnancy/intrauterine and
environmental factors. Besides, immune dysregulation, and nutritional supplements
also play essential roles. Its diagnosis has been perpetuated by three or more
major/minor criteria. OBJECTIVES: An endeavor to study its demographic and
clinical pattern in contemporary prospective. MATERIALS AND METHODS: 100 fresh
patients of atopic dermatitis, diagnosed on the basis of an established three or
more major and minor criteria, salient presentations of which were recorded in a
preset proforma, which also recorded age, duration, age of onset, and sex. Serum
immunoglobulin E (IgE) levels were determined by conventional technique. The data
thus obtained was analysed to study its clinical pattern and to correlate its
severity to IgE levels. RESULTS: Its overall (new and old) prevalence was 0.98%,
while that of new patients was 0.24%. 83 (83%) were in the age group of 2-12
years, of which 54 (83.1%) were males and 29 (82.9%) were female, of which 70
(70%) had urban, while 30 (30%) had rural background. Its duration varied from 8
to 192 weeks, with a mean of 76 weeks, and a standard deviation of 21.42 weeks
[76 +/- 21.42]. CONCLUSION: Atopic dermatitis is a discrete, overt, age and IgE
related entity frequently displaying varying demographic and clinical
connotation.
PMID- 26538717
TI - Clinico-epidemiological Study and Quality of Life Assessment in Melasma.
AB - BACKGROUND: Melasma is one of the most common and distressing pigmentary
disorders presenting to dermatology clinics. The precise cause of melasma remains
unknown. It is notably difficult to treat and has a tendency to relapse. Its
population prevalence varies according to ethnic composition, skin phototype, and
intensity of sun exposure. Due to its frequent facial involvement, the disease
has an impact on the quality of life of patients. AIMS: To study the clinico
epidemiological pattern, dermascopy, wood's lamp findings and the quality of life
in patients with melasma. SETTINGS AND DESIGN: Observational/descriptive study.
MATERIALS AND METHODS: Patients with melasma were screened. History, clinical
examination, Wood's lamp examination (WLE) and dermoscopy were done. Severity of
melasma was assessed by the calculating melasma area severity index (MASI) score.
Quality of Life (QOL) was assessed using MELASQOL scale with a standard
structured questionnaire. STATISTICAL ANALYSIS: Descriptive, Chi-square test and
contingency coefficient analysis. RESULTS: In 140 cases of melasma, 95 (67.9%)
were females and 45 (32%) were males. Common age group affected was 31-40 years
(65%). Majority were unskilled workers with average sun exposure of more than 4
hours (44%). Family history was observed in 18% cases. Malar type (68%) was the
most common pattern observed. Mean MASI score was 5.7. WLE showed dermal type in
69% cases. Common findings on dermoscopy were reticular pigment network with
perifollicular sparing and color varying from light to dark brown. Mean MELASQOL
score was 28.28, with most patients reporting embarrassment and frustration.
CONCLUSIONS: This study showed that melasma has a significant negative effect on
QOL because though asymptomatic it is disfiguring affecting self-esteem.
Dermoscopic examination did not help in differentiating the type of melasma.
PMID- 26538718
TI - Safety and Efficacy of Growth Factor Concentrate in the Treatment of Nasolabial
Fold Correction: Split Face Pilot Study.
AB - BACKGROUND: Growth factors have long been known as an effective treatment for
facial wrinkles. We developed growth factor concentrate (GFC) from the platelets
and evaluated their clinical outcome in nasolabial folds. AIMS AND OBJECTIVES: We
evaluated safety and efficacy of autologous GFC on patients with nasolabial
folds. MATERIALS AND METHODS: Study was conducted on 80 patients for nasolabial
folds in two groups. Group I (20) received bilateral single injection of GFC and
group II (60) received single injection of GFC on the right side of the face and
platelet-rich plasma (PRP) on the left side of the face. Severity of nasolabial
folds was determined at the baseline and 3 months of follow-up visits based on
wrinkle severity rating scale (WSRS), Global aesthetic improvement scale (GAIS)
and atlas photographic grading at rest and at full smile. Objective clinical
assessment and subjective satisfaction scale was determined for overall
improvement at the end of the study. RESULTS: In group I, 2 subjects showed
improvement after GFC treatment with the score of 3.1-4 (76-100%), 3 subjects
with the score of 2.1-3 (51-75%), 14 with the score of 1.1-2 (26-50%) and 1
subject with the score of 0-1 (<25%) at the end of study. In group II, 51
subjects were evaluated at the end of study where, 34 (66%) showed superior
improvements after GFC, 6 (11%) patients showed similar improvement on both side
of the face, 10 (19.6%) patients showed no noticeable improvement on the either
side of the face and only 1 patient (1.96%) showed superior improvement for PRP
at the end of the study. Overall improvement score analysis showed that GFC was
significantly superior to PRP (P < 0.001). CONCLUSION: Present study is a strong
evidence to support the use of GFC for nasolabial folds. The results showed that
the single application of GFC is highly effective and safe.
PMID- 26538719
TI - Oral Tranexamic Acid with Fluocinolone-Based Triple Combination Cream Versus
Fluocinolone-Based Triple Combination Cream Alone in Melasma: An Open Labeled
Randomized Comparative Trial.
AB - BACKGROUND: Melasma is a common acquired cause of facial hyperpigmentation with
no definitive therapy. Tranexamic acid, a plasmin inhibitor, has demonstrated
depigmenting properties and combining this oral drug with other modalities of
treatment has shown promising results. OBJECTIVES: To compare the efficacy of a
combination of oral tranexamic acid and fluocinolone-based triple combination
cream with that of fluocinolone-based triple combination cream alone in melasma
among Indian patients. MATERIALS AND METHODS: 40 patients of melasma of either
sex attending to dermatology OPD were enrolled in this study. Participants were
randomly divided into two groups with 20 patients in each group. Group A patients
were asked to apply the cream only and Group B patients received oral tranexamic
acid 250 mg twice daily and applied a triple combination cream containing
fluocinolone acetonide 0.01%, tretinoin 0.05%, and hydroquinone 2% once daily for
8 weeks. Response was evaluated using melasma area severity index (MASI) at
baseline, 4 weeks, and 8 weeks. RESULTS: 40 patients completed the study. The
MASI scores at baseline, 4 weeks and 8 weeks in group A were 15.425 + 1.09,
11.075 + 9.167 and 6.995 + 6.056 respectively and in group B 18.243 + 1.05, 6.135
+ 4.94 and 2.19 + 3.38. Intergroup comparison showed a faster reduction in
pigmentation in Group B as compared to Group A and the results were statistically
significant at 4 weeks (P value 0.014) and 8 weeks (P value 0.000). The efficacy
was maintained throughout the 6-month follow-up period. CONCLUSION: Addition of
oral tranexamic acid to fluocinolone-based triple combination cream results in a
faster and sustained improvement in the treatment of melasma.
PMID- 26538720
TI - Pattern of Childhood Onset Vitiligo at a Tertiary Care Centre in South- West
Rajasthan.
AB - CONTEXT: Onset of vitiligo during childhood is not uncommon but the data is
limited on this subject. AIMS: This study was planned to assess the magnitude of
childhood onset vitiligo (COV) and adulthood onset vitiligo (AOV), and compare
their clinical pattern. SETTINGS AND DESIGN: A cross sectional hospital based
clinical study. MATERIALS AND METHODS: Consecutive patients with vitiligo
attending the Dermatology OPD of RNT Medical College and MB Government Hospital,
Udaipur, from April 2012 to September 2012 were the subjects of this study. A
detailed history taking followed by general, systemic and cutaneous examination,
and relevant investigations were carried out. The findings were recorded in a
proforma for analysis and interpretation of data. STATISTICAL ANALYSIS USED:
Statistical analysis of data was done using chi- square and Z test. RESULTS: Of
the 295 patients seen during the study period, 109 (36.95%) were patients with
COV while 186 (63.05%) had AOV; the COV: AOV ratio being 1: 1.71. Amongst COV
patients, females (65/109; 59.63%) outnumbered males (44/109; 40.37%). Maximum
(51; 46.79%) patients of COV had onset of their disease on head and neck, out of
which eyelid was the initial site of lesion in 29 (26.61%) patients. None of COV
patients had universal and isolated mucosal vitiligo. CONCLUSIONS: Female
predominance, affection of eyelids as initial site, and less frequent mucosal
involvement in COV were the clinical features different from AOV.
PMID- 26538721
TI - Alitretinoin in Dermatology-An Update.
AB - Alitretinoin is a pan retinoic acid agonist. It was initially used as 0.1% gel in
the management of localized Kaposi's sarcoma. At present, the use of systemic
alitretinoin has proved extremely efficacious in the management of recalcitrant
chronic hand eczema. Furthermore, there have been other retinoid responsive
dermatosis that have demonstrated remission post usage of systemic alitretinoin.
With a better toxicity profile, compared to the other systemic retinoids,
alitretinoin could be considered a valuable treatment option in the near future
for the treatment of these dermatologic disorders.
PMID- 26538722
TI - Argyria after Silver Nitrate Intake: Case Report and Brief Review of Literature.
AB - Argyria is a condition characterized by pigmentary changes secondary to exposure
to silver salts and its accumulation in skin, mucous membranes and annexes, which
typically produces blue or gray-blue spots. A case of a male patient 62 years
old, previously healthy, who has a blue-gray hyperpigmentation on the face, trunk
and upper extremity, affecting sun-exposed areas is presented. He admitted having
ingested silver nitrate for 5 years at a rate of 1 bottle per week, with the
intent to kill microorganisms in his body.
PMID- 26538723
TI - Violaceous Maculopapular Rash in a Newborn: Congenital Rubella Syndrome.
AB - Congenital rubella syndrome involves a configuration of systemic and cutaneous
manifestations in a neonate due to in utero infection caused by the rubella
virus. The case of a preterm neonate with blueberry muffin lesions and classical
as well as rare systemic features of congenital rubella syndrome is reported.
PMID- 26538724
TI - Giant Angioleiomyoma of Knee Presenting as Painless Ulcer: The First Case Report.
AB - Angioleiomyomas are benign tumors originating in the vascular smooth muscle. The
tumor typically presents as painful, solitary, small (<2 cm), slow growing,
subcutaneous nodule. Angioleiomyoma of the knee is rare, and only few cases have
been reported so far. We have described herein a giant angioleiomyoma of the knee
presenting as a painless ulcer in a 22-year-old man. There was no intra-articular
extension of the tumor, and total excision was curative. This is the first case
report of giant angioleiomyoma of the knee as well as the first case report of
angioleiomyoma presenting as a painless ulcerative lesion.
PMID- 26538725
TI - Eosinophilic Pustular Folliculitis Post Chemotherapy in a Patient of Non-Hogkins
Lymphoma: A Case Report.
AB - Eosinophilic pustular folliculitis (EPF) was originally described by Ofuji in
Japanese patients without any systemic disease. Later it was widely associated
with HIV. Lately a large number of hematological malignancies have been
associated with EPF. We hereby report an association of non-Hogkins lymphoma with
EPF, probably the first in Indian context.
PMID- 26538726
TI - Autoantibodies Against Multiple Epitopes in Bp180 and Laminin Gamma-1 in
Subepidermal Blistering Skin Disease Associated with Psoriatic Erythroderma.
AB - We report a 79-year-old Japanese man who developed subepidermal blistering skin
disease after an 8-year history of psoriasis. Histology of a bullous lesion
revealed a subepidermal blister with a mixed inflammatory cell infiltrate and
fibrin nets. Indirect immunofluorescence using normal human skin sections
revealed IgG and IgA autoantibodies in the patient serum, which bound to the
epidermal side of 1M NaCl-split skin sections. Immunoblot analysis revealed that
both IgA and IgG antibodies reacted with the BP180 NC16a domain and the 120-kDa
LAD-1 and that IgG antibodies also reacted with the BP180 C-terminal domain and
laminin gamma-1. These findings indicated that autoantibodies to laminin gamma-1
and multiple epitopes in BP180 ectodomain played a role in the pathogenesis of
this unique autoimmune subepidermal blistering skin disease associated with
psoriasis.
PMID- 26538727
TI - The Diagnostic Dilemma of Cutis Laxa: A Report of Two Cases with Genotypic
Dissimilarity.
AB - Cutis laxa is a heterogeneous group of diseases, with loose, wrinkled skin folds
and hyperelasticity of the skin. There are overlapping of clinical features of
the group of syndrome associated with cutis laxa, including congenital cutis
laxa, wrinkly skin syndrome and gerodermia osteodysplastica. All these conditions
present a challenge to the clinician. Thus, molecular diagnosis is the only way
to resolve these phenotypically similar conditions. We hereby describe two Indian
patients with wrinkled skin and mild craniofacial dysmorphic features who had
molecular confirmation of autosomal recessive cutis laxa.
PMID- 26538728
TI - Asymptomatic Papulo-nodules Localized to One Finger.
AB - Subcutaneous or deep granuloma annulare is a benign asymptomatic condition
characterized by firm asymptomatic nodules in deep subcutaneous tissues that may
be associated with intradermal lesions. A 53-year-old female presented with
asymptomatic skin-colored, firm nodules over the right ring finger.
Histopathology revealed a palisading granuloma with central degenerated collagen
and mucin deposition in the dermis suggestive of granuloma annulare. Isolated and
unilateral involvement of a single digit with clusters of nodules of subcutaneous
granuloma annulare (GA) in an adult is rare and differentiation from its
simulator rheumatoid nodule is essential.
PMID- 26538729
TI - Cutaneous Larva Migrans in Early Infancy.
AB - Cutaneous larva migrans or creeping eruptions is a cutaneous dermatosis caused by
hookworm larvae, Ancylostoma braziliense. A 2-month-old female child presented
with a progressive rash over the left buttock of 4 days duration. Cutaneous
examination showed an urticarial papule progressing to erythematous, tortuous,
thread-like tract extending a few centimeters from papule over the left gluteal
region. A clinical diagnosis of cutaneous larva migrans was considered. Treatment
with albendazole led to complete resolution, confirming the diagnosis. This is to
the best of our knowledge, the youngest age at which this condition is being
reported.
PMID- 26538730
TI - Phenytoin Induced Cutaneous B Cell Pseudolymphoma.
AB - Cutaneous pseudolymphomas are benign lymphoproliferative processes mimicking
lymphomas clinically and histologically. One of the precipitating factors for
pseudolymphoma is drugs like anticonvulsants, antidepressants and angiotensin
converting enzyme inhibitors. According to existing literature phenytoin-induced
cutaneous pseudolymphomas are usually T-cell predominant. Most often withdrawal
of the drug with or without short-course systemic steroids can attain a cure.
Rarely malignant transformation has been reported years later despite withdrawal
of the offending drug, which necessitates a long-term follow up of the affected.
We report an 80-year-old male patient who was receiving phenytoin sodium and who
presented with diffuse erythema and infiltrated skin lesions which histologically
resembled cutaneous B-cell lymphoma. Substituting phenytoin with levetiracetam
achieved resolution of symptoms. Further evaluation was suggestive of a reactive
process. A detailed drug history is of paramount importance in differentiating
drug-induced pseudolymphoma from lymphoma. Searching literature we could not find
any previous reports of phenytoin-induced cutaneous B-cell pseudolymphoma.
PMID- 26538731
TI - A Sporadic Case of Ichthyosis Curth Macklin: Rare Presentation of a Rare Disease.
AB - Ichthyosis hystrix is a rare autosomal dominant genodermatosis, characterized by
persistent spiny hyperkeratotic scales which cover a significant part of the skin
surface. Based on the pattern of distribution, five clinical variants namely
Brocq type, Lambert type, Curth-Macklin type, Rheydt type and Bdeltafverstedt
type have been described. We report the case of an 11-year-old male child with
spiny, hyperkeratotic scales all over the body since birth with sparing of scalp
and central part of the face. Palmoplantar keratoderma was also present. These
clinical features are suggestive of Ichthyosis Curth Macklin, which has been
typically described in families. However, family history was negative in our
patient. The case is being reported on account of rarity of the disease, that too
with a very rare sporadic presentation.
PMID- 26538732
TI - Zosteriform Lesions in an Elderly Man-Look Beyond Herpes Zoster.
AB - Cutaneous metastasis in a zosteriform pattern is a very rare entity being
reported only in 63 patients worldwide. Cutaneous metastases usually presents
late in the course of the disease or sometimes after the treatment of the primary
when it indicates recurrence of a treated malignancy. We report a case of
zosteriform cutaneous metastases masquerading as lymphangioma without prior
presentation of the primary malignancy.
PMID- 26538733
TI - Bilateral Symmetrical Congenital Giant Becker's Nevus: A Rare Presentation.
AB - Becker's nevus is a focal epidermal hypermelanotic disorder. It morphologically
presents as unilateral, hyperpigmented, hypertrichotic patch on upper trunk,
proximal upper extremities and arms. However, Becker's nevus presenting as
bilateral, symmetrical patches is rare. Herein, we report a rare case of giant
Becker's nevus with bilateral symmetrical presentation in an adult male.
PMID- 26538734
TI - Ram's Horn Nail - Giant Onychomatricoma Treated by Complete Surgical Excision- A
Rare Case Report.
AB - Giant onychomatricoma is a rare fibroepithelial nail matrix tumor with only two
previous reports in literature, from Mexico. An 80-year-old female patient
presented with a progressively painless mass in the left great toe nail,
following trauma, of 2 years duration. On examination there was a single, hard,
immobile mass of size 4 * 3 * 2 cm with proximal hyperpigmentation of the left
great toe nail. The mass was totally excised and a punch biopsy of nail matrix
was taken. Histopathology revealed hyperkeratosis, acanthosis, multiple channels
lined by flattened epithelium and fibrocollagenous tissue in horizontal
orientation in deeper layers. The patient had no recurrence after 1 month. We
report this case for its rare and distinct clinical presentation, characteristic
histopathology and easy surgical treatment.
PMID- 26538735
TI - Chronic Myeloid Leukemia Arising in a Patient of Neurofibromatosis Type 1.
AB - Neurofibromatosis type 1 (NF1) represents a major risk factor for development of
malignancies, particularly malignant peripheral nerve sheath tumors (MPNST),
optic gliomas, other gliomas, and leukemia. We report an unusual case of chronic
myeloid leukemia (CML), developed in a patient of NF1. A 40-year-old Indian male,
clinically manifesting NF1 since his childhood, presented with huge splenomegaly.
Patient also had a large tumor mass arising in a cafe-au-lait spot on lower back
with rapid growth in last 6 months. Excision of this tumor was done, and it
turned out to be a diffuse neurofibroma histologically. Peripheral smear was also
done in view of splenomegaly, which showed features of chronic myeloid leukemia.
CML rarely co-exists with NF1, and there are a very few reports of such cases. It
is important to be aware of the possibility that not only the malignant change in
benign PNST is more common in these patients, but also other malignancies like
CNS tumors and hematolymphoid neoplasm do occur with increased frequency.
PMID- 26538736
TI - Bacillary Angiomatosis in Immunocompetent Patient with Atypical Manifestations.
AB - Bacillary angiomatosis is an infectious disease caused by two Gram-negative
bacilli; this disease usually affects immunosuppressed hosts with a history of
cat scratch. We report a rare case of bacillary angiomatosis in an
immunocompetent 26-year-old woman with no history of exposure to cats, and with
atypical clinical features (very pruritic vascular papules and nodules with
ulceration and hemorrhage on the right arm and fingers). She was successfully
treated with clarithromycin for 3 months. Bacillary angiomatosis must be kept in
mind in the differential diagnosis of any papules and nodules in cases of unknown
etiology and also in immunocompetent patients and HIV-negative individual.
PMID- 26538737
TI - Lichen Planus-like Keratosis: Another Differential Diagnosis for Kaposi Sarcoma.
AB - Epidemic Kaposi sarcoma is a common finding among HIV/AIDS patients that are not
under antiretroviral treatment, and sometimes it is the first sign of the
disease. However, it can be seen even in patients with undetectable viral load
and high CD 4 cell count. Under these circumstances, the clinical presentation
can be atypical in location or number. For this reason, the number of
differential diagnosis is increased and biopsy of the suspicious lesions is
essential for an accurate diagnosis and further apropiate treatment.
PMID- 26538738
TI - An Unusual Clinical Presentation of Eccrine Poroma Occurring on the Auricle.
AB - Eccrine poromas are benign, slow-growing, solitary tumors originating from the
intraepidermal portion of eccrine sweat ducts. Approximately 65% of these tumors
occur on the soles of the feet, while 10% occur on the hands where a high
concentration of eccrine sweat glands exists. Less frequently it occurs in other
sites such as neck, chest, forehead, nose, and scalp with sporadic occurrences. A
43-year-old Korean female presented with a mass on her right auricle, which had
been present for 5 years. The mass increased gradually in size with pain, oozing,
and bleeding. A biopsy of the mass revealed monomorphic basaloid cells, which may
extend into the underlying dermis, in a richly vascularized stroma, with a
variable number of cystic or ductal structures. The patient was diagnosed as
having eccrine poroma. In this case, the eccrine poroma showed unusual clinical
presentation.
PMID- 26538739
TI - Imatinib-induced Extensive Hyperpigmentation in a Case of Chronic Myeloid
Leukemia.
AB - Imatinib, a tyrosine kinase inhibitor, is well known to cause hypopigmentation
because of its inhibitory effect on melanocytes. Herewith we report a case of
chronic myeloid leukemia who developed extensive hyperpigmentation following
imatinib therapy.
PMID- 26538740
TI - Mal de Meleda with Congenital Cataract: A Novel Case Report.
AB - Mal de meleda (MdM), a rare autosomal recessive genodermatosis is characterized
by erythema and hyperkeratosis of the palms and soles with a sharp demarcation
and that progress with age (progrediens) and extend to the dorsal aspects of the
hands and feet (transgrediens). It has been associated with various conditions
albeit rarely with congenial cataract. Ocular lens and the skin have the same
embryological origins. We hereby present this novel case report of Mal de meleda
in association with congenital posterior subcapsular cataract which to the best
of our knowledge has not been reported from India before.
PMID- 26538741
TI - Livedoid Vasculopathy with Hyperhomocysteinemia Responding to Hyperbaric Oxygen
Therapy.
AB - A 30-year-old male presented to the dermatology department with complaints of
multiple ulcers over both legs of 6 years duration. The ulcers had a waxing and
waning course with present exacerbation of lesions since 1 month. Dermatological
examination revealed multiple ulcers distributed in a reticular pattern over
medial and lateral aspects of both lower legs, extensor aspect of both ankles and
dorsum of both feet. Multiple interspersed atrophic porcelain white scars were
also present. Investigations revealed raised serum homocysteine levels. A skin
biopsy from the ulcers showed features of livedoid vasculopathy. Following
recurrence of lesions after oral corticosteroid therapy, the patient was given a
course of hyperbaric oxygen therapy for the ulcers to which he responded very
well. This case is being presented for the novel option of hyperbaric oxygen
therapy in livedoid vasculopathy, which by itself is rarely reported in this part
of the world.
PMID- 26538742
TI - Woolly Hair with Systematized Epidermal Nevus.
AB - Woolly hair is a hair shaft disorder characterized by fine and tightly curled
hair. Woolly hair could be syndromic (associated with systemic disease) or non
syndromic (not associated with any systemic disease). Woolly hair is described in
association with many skin, dental, ophthalmic and cardiac anomalies but
association of woolly hair with bilateral systematized epidermal nevus described
in our case is first of its kind.
PMID- 26538743
TI - Chediak-Higashi Syndrome: A Case Series from Karnataka, India.
AB - Chediak-Higashi syndrome (CHS) is a rare autosomal recessive disease,
characterized by partial oculocutaneous albinism, frequent pyogenic infections,
and the presence of abnormal large granules in leukocytes and other
granulecontaining cells. The abnormal granules are readily seen in blood and
marrow granulocytes. Other clinical features include silvery hair, photophobia,
nystagmus and hepatosplenomegaly. However, the presence of abnormal giant
intracytoplasmic granules in neutrophils and their precursors are diagnostic of
CHS. Here, we present a series of five cases, out of which four presented in the
accelerated phase. In all the five cases, the giant granules were noted
predominantly in the cytoplasm of lymphocytes, which is a rare occurrence
compared to those present in the granulocytes.
PMID- 26538744
TI - Jadassohn Lewandowsky Syndrome: A Rare Entity.
AB - Pachyonychia congenita (PC) is a rare autosomal dominant genodermatosis
characterized by hyperkeratosis affecting the nails and palmoplantar areas, oral
leucokeratosis, and cystic lesions. It is classically subdivided into two major
variants, PC-1 (Jadassohn-Lewandowski syndrome) and PC-2 (Jackson-Lawler
syndrome), according to the localization of the mutations in the KRT6A/KRT16 or
KRT6B/KRT17 genes, respectively. We report a 9-year-old male patient with a
history of thickened, discolored nails, raised spiny skin lesions all over the
body since birth with focal plantar keratoderma and absence of natal teeth.
PMID- 26538745
TI - Coexistence of Solid (Nodular) and Differentiated (Adenoid) Basal Cell Carcinoma
at the Same Anatomical Site.
AB - Coexistence of two different histopathological types of basal cell carcinomas
(BCCs) in the same anatomical site is rare and interesting. Herein, we report a
case of coexistence of nodular and adenoid BCC in a 78-year-old peasant who
presented with a plaque and a globular swelling on left paranasal region of few
years duration. Histopathology of skin biopsy with immunohistochemistry study
using antibodies to S100, epithelial membrane antigen (EMA) and cytokeratin 7 (CK
7) from the margin of the ulcer and globular swelling confirmed the diagnosis
that revealed features of nodular and adenoid basal cell carcinoma, respectively.
Investigative work up did not reveal evidence of metastasis.
PMID- 26538746
TI - De Novo Histoid Leprosy.
AB - Histoid leprosy is an uncommon entity with specific clinical, histopathological
and bacteriological features. Histoid lepromas are sudden eruption of dome shaped
lesions usually associated with dapsone resistance, as a variant of lepromatous
leprosy or rarely arising de novo. We report a case who presented for the first
time with histoid features with no history of taking dapsone/antileprosy
treatment earlier in an elderly male with small to large lesions over normal skin
in the post-leprosy elimination era.
PMID- 26538747
TI - Lichenoid Variant of Chronic Cutaneous Graft Versus Host Reaction Post Blood
Transfusion: A Rare Event Post Blood Transfusion.
AB - Chronic graft versus host disease (GVHD) is a less frequently seen disease that
occurs post solid organ or bone marrow transplantation. Chronic GVHD occurring
post blood transfusion is an even more uncommon disease. It can present either as
a lichenoid disease or as a sclerodermatous disease involving multiple systems.
In this article, we report a case of chronic graft versus host reaction occurring
in skin secondary to blood transfusion.
PMID- 26538748
TI - Vulval Swelling: A Diagnostic Dilemma.
AB - Vulval swellings have always caused dilemmas in diagnosis and more so when they
are huge in size. Sebaceous cysts are known to occur as a result of blocked pilo
sebaceous gland and duct or as a result of any injury to the skin. Face, neck,
chest, back, scalp, and ears are known sites, however, they also occur over
private parts. They are mostly asymptomatic but cause intense pain and discomfort
if infected. Symptomatic cysts warrant removal.
PMID- 26538749
TI - Biopsychosocial Factors Associated with Prurigo Nodularis in Endogenous Eczema.
AB - BACKGROUND: Prurigo nodularis is a dermatological manifestation secondary to
chronic scratching or picking on focal areas of the skin. Its pathogenesis
remains poorly understood, and limited data has indicated its association with
psychological factors. AIM: To determine the biological, psychological and social
factors associated with the occurrence of prurigo nodularis in patients with
underlying endogenous eczema. METHODS: A prospective case-control questionnaire
based study on patients with endogenous eczema, with and without prurigo nodules,
was performed. The Impact of Skin Disease on Daily Life questionnaire was used to
assess dimensions of physical functioning, including extent and severity of skin
disease, itch, pain, fatigue and scratching, as well as dimensions of
psychological and social functioning, including mood, illness cognition, disease
related impact, stigmatization and social support. RESULTS: Thirty-six cases and
47 controls were recruited. Patients with endogenous eczema and prurigo nodules
indicated a higher itch score on the visual analog scale over the previous 4
weeks compared to those without prurigo nodules (p=0.0292). There were no
significant differences between the 2 groups in the scores reflecting the other
parameters of physical, psychological and social functioning. CONCLUSION: In
patients with endogenous eczema, those with prurigo nodules experience a greater
itch intensity compared to those without prurigo nodules. There were no other
physical, psychological and social factors that were found to be associated with
the occurrence of prurigo nodules in endogenous eczema.
PMID- 26538750
TI - Erythema Dyschromicum Perstans: Response to Topical Tacrolimus.
AB - BACKGROUND: Erythema dyschromicum perstans, a rare dermatosis of obscure
etiopathogenesis and significant cosmetic morbidity, have no satisfactory
treatment. OBSERVATIONS: Two patients with having characteristic asymptomatic and
slowly progressive, slate-grey macular lesions with distinct red borders
involving the face, neck, upper trunk and limbs were diagnosed
clinicopathologically as erythema dyschromicum perstans. Both were treated
successfully with topical tacrolimus 0.1% ointment. CONCLUSIONS: Overall,
response to several therapeutic modalities including clofazimine and dapsone
therapy is said to vary from complete failure to variable or inconsistent.
Topical tarolimus provides an effective and safe alternative therapeutic option
in erythema dyschromicum perstans.
PMID- 26538751
TI - Usefulness of MRI in Delineation of Dermal and Subcutaneous Verrucous Hemangioma.
AB - Magnetic resonance imaging (MRI) has established itself as diagnostic modality of
choice of soft tissue and musculoskeletal lesions but dermatological lesions have
been diagnosed mainly by clinical examination. We present MRI features of dermal
and subcutaneous verrucous hemangioma involving the dorsum of foot in a 20-year
old male and its usefulness in differentiating it from angiokeratoma with similar
clinical features.
PMID- 26538752
TI - What is Masquerading as a cyst.
PMID- 26538753
TI - Proximal tibiofibular joint: Rendezvous with a forgotten articulation.
AB - The proximal tibiofibular joint (PTFJ) is a plane type synovial joint. The
primary function of the PTFJ is dissipation of torsional stresses applied at the
ankle and the lateral tibial bending moments besides a very significant tensile,
rather than compressive weight bearing. Though rare, early diagnosis and
treatment of the PTFJ dislocation are essential to prevent chronic joint
instability and extensive surgical intervention to restore normal PTFJ
biomechanics, ankle and knee function, especially in athletes prone to such
injuries. PTFJ dislocations often remain undiagnosed in polytrauma scenario with
ipsilateral tibial fracture due to the absence of specific signs and symptoms of
PTFJ injury. Standard orthopedic textbooks generally describe no specific tests
or radiological signs for assessment of the integrity of this joint. The aim of
this paper was to review the relevant clinical anatomy, biomechanics and
traumatic pathology of PTFJ with its effect on the knee emphasizing the
importance of early diagnosis through a high index of suspicion. Dislocation of
the joint may have serious implications for the knee joint stability since
fibular collateral ligament and posterolateral ligament complex is attached to
the upper end of the fibula. Any high energy knee injury with peroneal nerve
palsy should immediately raise the suspicion of PTFJ dislocation especially if
the mechanism of injury involved knee twisting in flexion beyond 80 degrees and
in such cases a comparative radiograph of the contralateral side should be
performed. Wider clinical awareness can avoid both embarrassingly extensive
surgeries due to diagnostic delays or unnecessary overtreatment due to
misinformation on the part of the treating surgeon.
PMID- 26538754
TI - Surgical hip dislocation for treatment of cam femoroacetabular impingement.
AB - BACKGROUND: Cam femoroacetabular impingement is caused by a misshapen femoral
head with a reduced head neck offset, commonly in the anterolateral quadrant.
Friction in flexion, adduction and internal rotation causes limitation of the hip
movements and pain progressively leading to labral and chondral damage and
osteoarthritis. Surgical hip dislocation described by Ganz permits full exposure
of the hip without damaging its blood supply. An osteochondroplasty removes the
bump at the femoral head neck junction to recreate the offset for impingement
free movement. MATERIALS AND METHODS: Sixteen patients underwent surgery with
surgical hip dislocation for the treatment of cam femoroacetabular impingement by
open osteochondroplasty over last 6 years. Eight patients suffered from sequelae
of avascular necrosis (AVN). Three had a painful dysplastic hip. Two had sequelae
of Perthes disease. Three had combined cam and pincer impingement caused by
retroversion of acetabulum. All patients were operated by the trochanteric flip
osteotomy with attachments of gluteus medius and vastus lateralis, dissection was
between the piriformis and gluteus minimus preserving the external rotators. Z
shaped capsular incision and dislocation of the hip was done in external
rotation. Three cases also had subtrochanteric osteotomy. Two cases of AVN also
had an intraarticular femoral head reshaping osteotomy. RESULTS: Goals of
treatment were achieved in all patients. No AVN was detected after a 6 month
followup. There were no trochanteric nonunions. Hip range of motion improved in
all and Harris hip score improved significantly in 15 of 16 cases. Mean alpha
angle reduced from 86.13 degrees (range 66 degrees -108 degrees ) to 46.35
degrees (range 39 degrees -58 degrees ). CONCLUSION: Cam femoroacetabular
Impingement causing pain and limitation of hip movements was treated by open
osteochondroplasty after surgical hip dislocation. This reduced pain, improved
hip motion and gave good to excellent results in the short term.
PMID- 26538755
TI - Proximal tibial fractures with impending compartment syndrome managed by
fasciotomy and internal fixation: A retrospective analysis of 15 cases.
AB - BACKGROUND: Proximal tibia fractures with compartment syndrome present a
challenge for orthopedic surgeons. More often than not these patients are
subjected to multiple surgeries and are complicated by infection osteomyelitis
and poor rehabilitation. There is no consensus in the management of these
fractures. Most common mode is to do early fasciotomy with external fixation,
followed by second stage definitive fixation. We performed a retrospective study
of proximal tibia fractures with impending compartment syndrome treated by single
stage fasciotomy and internal fixation. Results in terms of early fracture union,
minimum complications and early patient mobilization were very good. MATERIALS
AND METHODS: Fifteen patients who were operated between July 2011 and June 2012
were selected for the study. All documents from their admission until the last
followup in December 2013 were reviewed, data regarding complications collected
and results were evaluated using Oxford Knee scoring system. RESULTS: At the
final outcome, there was anatomical or near anatomical alignment with no
postoperative problems with range of motion of near complete flexion (>120) in
all patients within 3 months. 13 patients started full weight bearing walking at
3 months. Delayed union in two patients and skin necrosis in one patient was
observed. CONCLUSIONS: Since the results are encouraging and the rehabilitation
time is much less when compared to conventional approaches, it is recommended
using this protocol to perform early fasciotomy with the definitive internal
fixation as single stage surgery to obtain excellent followup results and to
reduce rehabilitation time, secondary trauma, expense of treatment and infection
rate.
PMID- 26538756
TI - Arthroscopic repair of the meniscal injury using meniscal repair device.
AB - BACKGROUND: Total meniscus resection after meniscus tear usually leads to faster
degeneration and osteoarthritis of the knee joint. Preservation and repair of the
injured menisci are therefore of great clinical importance. The aim of this study
was to evaluate the clinical effects of arthroscopic repair of meniscal injuries
using the Fast-Fix device. MATERIALS AND METHODS: 96 patients (58 males, 38
females) with mean age of 24.3 years (range 12-46 years)) with a meniscus injury
were treated with the Fast-Fix device under arthroscopy between July 2007 and
June 2009. The right and left knees were involved in 46 and 50 patients
respectively. In 12, 46 and 38 patients, the injury was located in the anterior
horn, body and posterior horn respectively. In 38, 45 and 13 patients, it was in
the red, red-white, and white regions, respectively. All-inside and outside-in
techniques were used for these meniscal injuries. Criteria for successful surgery
were no locking pain or swelling and a negative McMurray test. RESULTS: The mean
followup period was 3.7 years (range 2-5 years). The surgical success rate was
91.7% (n = 88). The mean Lysholm score increased from 47.8 +/- 10.4
preoperatively to 85.7 +/- 12.8 postoperatively. The mean Tegner activity score
was 7.4 +/- 1.6 (range 5-9) preinjury, 2.1 +/- 0.9 (range 0-4) preoperatively and
7.2 +/- 2.2 (range 4-10) postoperatively (P < 0.001). A total of 92 patients
(95.8%) returned to full-time work. The International Knee Documentation
Committee score increased from 32.7 +/- 10.7 (range 10.3-51.7) preoperatively to
82.5 +/- 5.1 (range 65.1-91.2) postoperatively (P < 0.001). CONCLUSIONS: The Fast
Fix system is an efficient, safe and effective suture technique for meniscal
repair.
PMID- 26538757
TI - Reconstruction by bone transport after resection of benign tumors of tibia: A
retrospective study of 38 patients.
AB - BACKGROUND: The commonly used reconstructive options after post resection defects
in bone tumors like megaprosthesis, autograft, allograft, bone graft substitutes
and recycled bone have their own demerits on a long term. Bone transport that
regenerates patient's own bone is a less explored option of reconstruction after
resection of benign bone tumors and reports on this are limited. This technique
is very much relevant in tibia where Ilizarov fixator is surgeon and patient
friendly. We report our experience. MATERIALS AND METHODS: This is a
retrospective series of resection and bone transport in 38 patients with benign
tumor of tibia. There were 14 males and 24 females with mean age of 23.40 years
(range 9-40 years). Lesion was located in proximal third tibia in 27, middle
third in two and distal third in nine patients. The diagnosis was giant cell
tumor in 32, chondroblastoma in three, chondromyxoid fibroma, enchondroma and
desmoplasic fibroma in one patient each. The resection was intercalary in 28 and
transarticular in 10 patients. Osteosynthesis was monofocal in three, bifocal in
31 and polyfocal in four cases. RESULTS: Mean followup was 7.22 years (range 1.5
15 years). Mean resection length was 10.21 cm (range 3-22 cm). The mean duration
of external fixator was 308.03 days (range 89-677 days) and mean external fixator
index was 36.14 days/cm (range 16.84-97.43 days/cm). Twelve patients had
difficulties in the form of 11 problems and five obstacles that were successfully
managed. None of the patients had local recurrence of tumor or any long term
complication. Mean Musculo-skeletal Tumour Society score at final followup was
27.18 (90.60%). CONCLUSIONS: Bone transport is an excellent option after
resection of benign tumors of tibia with good local control and functional
outcome, despite minor difficulties that need timely management.
PMID- 26538758
TI - Condylar orientation plating in comminuted intraarticular fractures of adult
distal humerus.
AB - BACKGROUND: The condyles of the distal humerus have characteristic orientation in
reference to the diaphysis. Anatomical reduction of the articular surface in
intraarticular fractures of adult distal humerus does not always restore
preinjury functional status. The purpose of this study was to determine the
outcome of treating these fractures with technique of condylar orientation
precontoured plating. The principle of the technique is to primarily restore the
anatomical orientation of the reconstructed distal humeral condyle with the
diaphysis of the humerus apart from anatomical reduction of fracture. MATERIALS
AND METHODS: Seventy one consecutive patients with comminuted intraarticular
adult distal humerus fractures were treated with the condylar orientation plates,
which were specifically designed between 1999 and 2009. 43 fractures were
Association for osteosynthesis (AO) type C3, 24 were C2 and 4 were C1. Six were
open cases and two were of nonunion distal end humerus. On medial and
posterolateral side of the distal humerus, precontoured Sherman plates were
applied. Patients were followed up for a mean of 3 years. They were assessed
clinically (using mayo elbow performance score [MEPS]) and radio-graphically.
RESULTS: Sixty (84.5%) patients regained MEPS of 90 or more that is an excellent
result (range of movement and functional status). One patient had nonunion with
implant failure, and two patients developed heterotopic ossification. The mean
MEPS was 95. Average extension and flexion was 15 degrees and 133 degrees . The
result was graded as excellent in 60, good in 7, fair in 3 and poor in 1. At the
time of most recent followup, 63 elbows were painless, and eight had mild pain.
CONCLUSION: Excellent pain free range of motion with a high rate of union can be
achieved in comminuted intraarticular distal humerus fractures in adults with the
use of condylar orientation precontoured plating technique. Condylar orientation
is very important with perfect articular congruity in elbow motion.
PMID- 26538759
TI - Early results of displaced supracondylar fractures of humerus in children treated
by closed reduction and percutaneous pinning.
AB - BACKGROUND: Displaced supracondylar fractures are notorious for difficulty in
reduction, maintenance of reduction and frequent involvement of neurovascular
structures. No general agreement on the treatment is evident with controversy
prevailing regarding the ideal timing of surgery, method of maintenance of
reduction and configuration of the pin fixation. A crossed pin configuration,
though believed by some to be mechanically more stable than the lateral pins
alone, has the risk of ulnar nerve injury due to the medial pin. Lateral pins
alone impart less rotational stability to the fracture although it has been
attributed mainly to technical errors of pin placement. The aim of this study was
to assess the efficacy of treatment of this fracture using one lateral and one
trans-olecranon K-wires or lateral entry K-wires alone. MATERIALS AND METHODS:
Ninety cases of displaced supracondylar humerus fractures were included in the
study. The mean age of the patients was 6.7 years (range 3-12 years). The
male/female ratio was 5:1 and left side was involved in 70% whereas 30% had right
sided injuries. The most common mode of trauma was fall from height with elbow in
extension. All the 90 consecutively admitted patients had extension type injury
with 73.3% fractures being Gartland type III and 26.7% were type II.
Posteromedial displacement was noted in 70% whereas 30% fractures were
posterolaterally displaced. In 60 cases, lateral entry wires alone were used
whereas, in 30 cases, one lateral and another transolecranon transarticular K
wire was used. K-wires were removed at 3 weeks postoperatively and followup was
done at 6 weeks and 12 weeks when they were evaluated according to the criteria
described by Flynn. Chi-square test was used as a statistical test of
significance to compare results among different variables. RESULTS: Results were
graded according to Flynn's criteria. Excellent results were achieved in 12
(13.3%), good in 54 (60%), fair in 15 (16.7%) while in nine patients (10%) poor
results were obtained. CONCLUSIONS: Both lateral entry K-wires and lateral-trans
olecranon wire techniques provide stable fixation when observing the guidelines
for wire placement and consistently satisfactory results can be obtained, both
cosmetically and functionally with both the techniques.
PMID- 26538760
TI - Two peg spade plate for distal radius fractures: A novel technique.
AB - BACKGROUND: The management of distal radius fractures raises considerable debate
among orthopedic surgeons. The amount of axial shortening of the radius
correlates with the functional disability after the fracture. Furthermore,
articular incongruity has been correlated with the development of arthritis at
the radiocarpal joint. We used two peg volar spade plate to provide a fixed angle
subchondral support in comminuted distal radius fractures with early mobilization
of the joint. MATERIALS AND METHODS: Forty patients (26 males and 14 females)
from a period between January 2009 and December 2011 were treated with two peg
volar spade plate fixation for distal radius fracture after obtaining reduction
using a mini external fixator. Patients were evaluated using the demerit point
system of Gartland and Werley and Sarmiento modification of Lindstrom criteria at
final followup of 24 months. RESULTS: The average age was 43.55 years (range 23
57 years). Excellent to good results were seen in 85% (n = 34) and in all
patients when rated according to the demerit point system of Gartland and Werley
and Sarmiento modification of Lindstrom criteria, respectively. Complications
observed were wrist stiffness in 5% (n = 2) and reflex sympathetic dystrophy in
2.5% (n = 1). CONCLUSIONS: The two peg volar spade plate provides a stable
subchondral support in comminuted intraarticular fractures and maintains
reduction in osteoporotic fractures of the distal radius. Early mobilization with
this implant helps in restoring wrist motion and to prevent development of wrist
stiffness.
PMID- 26538761
TI - Outcome of low level lasers versus ultrasonic therapy in de Quervain's
tenosynovitis.
AB - BACKGROUND: de Quervain's tenosynovitis is an inflammation of abductor pollicis
longus (APL) and extensor pollicis brevis (EPB) muscle tendon sheaths at the
level of radial styloid process. Its conservative management includes
nonsteroidal anti-inflammatory drugs, wrist and thumb immobilization, ultrasonic
therapy (US Th.) and low level laser therapy (LLLT). Literature is scanty on
comparative efficacy of US Th. and LLLT for its management. This prospective
study evaluates outcome of US Th. versus LLLT in de Quervain's disease. MATERIALS
AND METHODS: Thirty patients clinically diagnosed de Quervains tenosynovitis were
included in the study and randomly assigned to two groups. The average age was 36
years (range: 21-45 years). One group was given LLLT and the other US Th. for a
total of 7 exposures on alternate days. The clinical criteria used were
Finkelstein's test, tenderness over radial styloid (Ritchie's tenderness scale),
grip strength, pain (visual analog scale [VAS]) and radiological criteria was
ultrasonographic assessment of change in thickness of APL and EPB tendon sheath.
They were measured before commencement and at the end of seven sessions of
therapy, as per standard procedure. RESULTS: Significant improvement was seen
within both groups in the following outcome measures assessed: Ritchie's
tenderness scale, grip strength and VAS. Finkelstein's test was not significantly
improved in either groups. Ultrasonographic measurement of tendon sheath
diameters, the mediolateral (ML), and anteroposterior (AP) diameters was not
found to be significantly different in the US Th. group and the laser therapy
group after treatment. On comparing both the groups, no statistically significant
difference was found. However, looking at the mean values, the grip strength and
VAS showed better improvement in the US Th. group as compared to the laser
therapy group.
PMID- 26538762
TI - Anatomical variation of abductor pollicis longus in Indian population: A
cadaveric study.
AB - BACKGROUND: Many authors have reported the anatomical variation of abductor
pollicis longus (APL) around the wrist and its association with de Quervain
tenosynovitis (DQT), first carpo-metacarpal arthritis, and trapezio-metacarpal
subluxation. From Indian subcontinent, there is only one original article and a
few case reports on the variability of APL tendon insertion. MATERIALS AND
METHODS: Fifty formaldehyde preserved cadaveric wrists were dissected to look for
the anatomical variation of APL in the Indian population. RESULTS: The APL was
found with single tendon in 2, double in 31, triple in 8, and quadruple in 8
extremities. A maximum of 6 tendon-slips were found in one cadaveric wrist. In
all hands, the APL had at least one attachment to first metacarpal bone and in 46
hands (92%), there was second insertion to the trapezium bone. Of all tendon
slips of APL (n = 126), 44% of tendons (68 tendons) were inserted into the base
of the first metacarpal bone. This was followed by the insertion into the
trapezium in 42% tendons (52 tendons). CONCLUSION: Bi-tendinous APL is commonly
observed on the dorsal compartment of the wrist in Indian population and these
tendon-slips are commonly attached to the first metacarpal base and trapezium.
This variation must be understood by the Indian Orthopedic surgeons as the
response to treatment of DQT and reason for first carpo-metacarpal arthritis can
be dependent on this anatomical variation.
PMID- 26538763
TI - Use of tubulization (nerve conduits) in repairing nerve defects in children.
AB - BACKGROUND: Direct neurorrhaphy, nerve grafting interposition and neurotization
are the options for nerve repair in children, whereas few reports about using
nerve conduits (tubulization) are referred to pediatrics in the literature. The
authors present their experience about nerve repairing by means of nerve tubes
during the developmental age when the harvesting of nerve grafts and also vein
grafts of adequate caliber for bridging nerve defects is difficult. A critical
review of their case series offers indications for using nerve conduits in
pediatrics. MATERIALS AND METHODS: Fifteen patients were treated using the nerve
tubulization; nine patients were affected by obstetrical brachial plexus palsy
(OBPP) while six were suffering from peripheral nerve injuries (PNIs). RESULTS:
In patients suffering from OBPP, we observed 1 good, 3 fair and 5 bad results. In
the PNI group, we observed 4 patients who had good results while only 2 had a bad
outcome. No fair results were observed. CONCLUSIONS: In peripheral nerve
repairing in children by using nerve conduits, the outcome has been widely
effective even when dealing with mixed and motor nerve, thus nerve tubulization
might be considered as an alternative to nerve grafting. Conversely, considering
the uncertain result obtained in brachial plexus repairing, the conduits cannot
be considered as a first choice of treatment in brachial plexus reconstruction.
PMID- 26538765
TI - Total knee arthroplasty in vascular malformation.
AB - In Klippel-Trenaunay syndrome, vascular malformations are not only in skin and
superficial soft tissues but also in deep tissues like muscles bones and joints.
It is well documemted that these recurrent intraarticular bleeds can cause early
arthritis and joint pain. Performing arthroplasty in such patients is difficult
and fraught with complications. We describe such a case where navigated total
knee arthroplasty was performed with success to avoid the problems of intra
medullary alignment used in the presence of intra medullary vascular
malformations. We also suggest certain measures when knee arthroplasty is
considered in such patients.
PMID- 26538766
TI - Chronic exertional compartment syndrome of the superficial posterior compartment:
Soleus syndrome.
AB - Chronic exertional compartment syndrome (CECS) represents the second most-common
cause of exertional leg pain with incidence of 27-33%. CECS of the superficial
posterior compartment, or soleus syndrome, is rare and has only been discussed
briefly in the literature. We discuss the management of two patients with
bilateral soleus syndrome or CECS of the superficial posterior compartment.
PMID- 26538764
TI - Evaluation of the ability of natural and synthetic scaffolds in providing an
appropriate environment for growth and chondrogenic differentiation of adipose
derived mesenchymal stem cells.
AB - BACKGROUND: Although progenitor cells have been observed in articular cartilage,
this part has a limited ability to repair due to a lack of blood supply.
Formerly, tissue engineering was mainly based on collecting chondrocytes from the
joint surface, culturing them on resorbable scaffolds such as poly D, L-lactic
glycolic acid (PLGA) and then autologous transplantation. In recent times, due to
difficulties in collecting chondrocytes, most of the researchers are focused on
stem cells for producing these cells. Among the important factors in this
approach, is using appropriate scaffolds with good mechanical and biological
properties to provide optimal environment for growth and development of stem
cells. In this study, we evaluated the potential of fibrin glue, PLGA and
alginate scaffolds in providing a suitable environment for growth and
chondrogenic differentiation of mesenchymal stem cells (MSCs) in the presence of
transforming growth factor-beta3. MATERIALS AND METHODS: Fibrin glue, PLGA and
alginate scaffolds were prepared and MSCs were isolated from human adipose
tissue. Cells were cultured separately on the scaffolds and 2 weeks after
differentiation, chondrogenic genes, cell proliferation ability and morphology in
each scaffold were evaluated using real time-polymerase chain reaction, MTT
chondrogenic assay and histological examination, respectively. RESULTS:
Proliferation of differentiated adipose tissue derived mesenchymal stem cells (AD
MSCs) to chondrogenic cells in Fibrin glue were significantly higher than in
other scaffolds. Also, Fibrin glue caused the highest expression of chondrogenic
genes compared to the other scaffolds. Histological examination revealed that the
pores of the Fibrin glue scaffolds were filled with cells uniformly distributed.
CONCLUSION: According to the results of the study, it can be concluded that
natural scaffolds such as fibrin can be used as an appropriate environment for
cartilage differentiation.
PMID- 26538767
TI - Teacher (Mis)Perceptions of Preschoolers' Academic Skills: Predictors and
Associations With Longitudinal Outcomes.
AB - Preschool teachers have important impacts on children's academic outcomes, and
teachers' misperceptions of children's academic skills could have negative
consequences, particularly for low-income preschoolers. This study utilized data
gathered from 123 preschool teachers and their 760 preschoolers from 70 low
income, racially diverse centers. Hierarchical linear modeling was utilized to
account for the nested data structure. Even after controlling for children's
actual academic skill, older children, children with stronger social skills, and
children with fewer inattentive symptoms were perceived to have stronger academic
abilities. Contrary to hypotheses, preschoolers with more behavior problems were
perceived by teachers to have significantly better pre-academic abilities than
they actually had. Teachers' perceptions were not associated with child gender or
child race/ethnicity. Although considerable variability was due to teacher-level
characteristics, child characteristics explained 42% of the variability in
teachers' perceptions about children's language and pre-literacy ability and 41%
of the variability in teachers' perceptions about mathability. Notably, these
perceptions appear to have important impacts over time. Controlling for child
baseline academic skill and child characteristics, teacher perceptions early in
the preschool year were significantly associated with child academic outcomes
during the spring for both language and pre-literacy and math. Study implications
with regard to the achievement gap are discussed.
PMID- 26538768
TI - Supramolecular Magnetic Brushes: The Impact of Dipolar Interactions on the
Equilibrium Structure.
AB - The equilibrium structure of supramolecular magnetic filament brushes is analyzed
at two different scales. First, we study the density and height distributions for
brushes with various grafting densities and chain lengths. We use Langevin
dynamics simulations with a bead-spring model that takes into account the cross
links between the surface of the ferromagnetic particles, whose magnetization is
characterized by a point dipole. Magnetic filament brushes are shown to be more
compact near the substrate than nonmagnetic ones, with a bimodal height
distribution for large grafting densities. This latter feature makes them also
different from brushes with electric dipoles. Next, in order to explain the
observed behavior at the filament scale, we introduce a graph theory analysis to
elucidate for the first time the structure of the brush at the scale of
individual beads. It turns out that, in contrast to nonmagnetic brushes, in which
the internal structure is determined by random density fluctuations, magnetic
forces introduce a certain order in the system. Because of their highly
directional nature, magnetic dipolar interactions prevent some of the random
connections to be formed. On the other hand, they favor a higher connectivity of
the chains' free and grafted ends. We show that this complex dipolar brush
microstructure has a strong impact on the magnetic response of the brush, as any
weak applied field has to compete with the dipole-dipole interactions within the
crowded environment.
PMID- 26538769
TI - Modeling Short- and Long-Term Characteristics of Follicle Stimulating Hormone as
Predictors of Severe Hot Flashes in Penn Ovarian Aging Study.
AB - The Penn Ovarian Aging Study tracked a population-based sample of 436 women aged
35-47 years to determine associations between reproductive hormone levels and
menopausal symptoms. We develop a joint modeling method that uses the individual
level longitudinal measurements of follicle stimulating hormone (FSH) to predict
the risk of severe hot flashes in a manner that distinguishes long-term trends of
the mean trajectory, cumulative changes captured by the derivative of mean
trajectory, and short-term residual variability. Our method allows the potential
effects of longitudinal trajectories on the health risks to vary and accumulate
over time. We further utilize the proposed methods to narrow the critical time
windows of increased health risks. We find that high residual variation of FSH is
a strong predictor of hot flash risk, and that the high cumulative changes of the
FSH mean trajectories in the 52.5-55 year age range also provides evidence of
increased risk above and beyond that of short-term FSH residual variation by
itself.
PMID- 26538770
TI - Mothers' Partnership Instability and Coparenting among Fragile Families.
AB - OBJECTIVES: The rise in nonmarital childbearing has raised concerns about
coparenting among unmarried parents with increasingly complicated relationship
trajectories. We address this issue by examining associations between mothers'
partnership transitions and coparenting and the moderating role of maternal
race/ethnicity and child gender. METHODS: Data from the Fragile Families Study
and ordinary least squares regression techniques are used to examine whether
mothers' partnership transitions are related to coparenting. Lagged and fixed
effects models are employed to test the robustness of the findings to selection.
RESULTS: Coresidential and nonresidential, dating transitions are negatively
associated with coparenting, but the association is stronger for coresidential
transitions than for dating transitions. Coresidential transitions are stronger
predictors of coparenting for White parents than for Black parents and for
parents of sons than for parents of daughters. CONCLUSIONS: Policies aimed at
strengthening families should emphasize relationship stability, regardless of the
type of union, to promote high quality coparenting among at-risk populations.
PMID- 26538771
TI - A Two-Piece Microkeratome-Assisted Mushroom Keratoplasty Improves the Outcomes
and Survival of Grafts Performed in Eyes with Diseased Stroma and Healthy
Endothelium (An American Ophthalmological Society Thesis).
AB - PURPOSE: To test the hypothesis that a new microkeratome-assisted penetrating
keratoplasty (PK) technique employing transplantation of a two-piece mushroom
shaped graft may result in better visual outcomes and graft survival rates than
those of conventional PK. METHODS: Retrospective chart review of 96 eyes at low
risk and 76 eyes at high risk for immunologic rejection (all with full-thickness
central corneal opacity and otherwise healthy endothelium) undergoing mushroom PK
between 2004 and 2012 at our Institution. Outcome measures were best-corrected
visual acuity (BCVA), refraction, corneal topography, endothelial cell density,
graft rejection, and survival probability. RESULTS: Five years postoperatively,
BCVA of 20/40 and 20/20 was recorded in 100% and over 50% of eyes, respectively.
Mean spherical equivalent of refractive error did not vary significantly over a 5
year period; astigmatism averaged always below 4 diopters, with no statistically
significant change over time, and was of the regular type in over 90% of eyes.
Endothelial cell density decreased to about 40% of the eye bank count 2 years
after mushroom PK and did not change significantly thereafter. Five years
postoperatively, probabilities of graft immunologic rejection and graft survival
were below 5% and above 95%, respectively. There was no statistically significant
difference in endothelial cell loss, graft rejection, and survival probability
between low-risk and high-risk subgroups. CONCLUSIONS: Refractive and visual
outcomes of mushroom PK compare favorably with those of conventional full
thickness keratoplasty. In eyes at high risk for immunologic rejection, mushroom
PK provides a considerably higher probability of graft survival than conventional
PK.
PMID- 26538772
TI - The Global Education Network for Retinopathy of Prematurity (Gen-Rop):
Development, Implementation, and Evaluation of A Novel Tele-Education System (An
American Ophthalmological Society Thesis).
AB - PURPOSE: To describe the design, implementation, and evaluation of a tele
education system developed to improve diagnostic competency in retinopathy of
prematurity (ROP) by ophthalmology residents. METHODS: A secure Web-based tele
education system was developed utilizing a repository of over 2,500 unique image
sets of ROP. For each image set used in the system, a reference standard ROP
diagnosis was established. Performance by ophthalmology residents (postgraduate
years 2 to 4) from the United States and Canada in taking the ROP tele-education
program was prospectively evaluated. Residents were presented with image-based
clinical cases of ROP during a pretest, posttest, and training chapters. Accuracy
and reliability of ROP diagnosis (eg, plus disease, zone, stage, category) were
determined using sensitivity, specificity, and the kappa statistic calculations
of the results from the pretest and posttest. RESULTS: Fifty-five ophthalmology
residents were provided access to the ROP tele-education program. Thirty-one
ophthalmology residents completed the program. When all training levels were
analyzed together, a statistically significant increase was observed in
sensitivity for the diagnosis of plus disease, zone, stage, category, and
aggressive posterior ROP (P<.05). Statistically significant changes in
specificity for identification of stage 2 or worse (P=.027) and pre-plus (P=.028)
were observed. CONCLUSIONS: A tele-education system for ROP education is
effective in improving diagnostic accuracy of ROP by ophthalmology residents.
This system may have utility in the setting of both healthcare and medical
education reform by creating a validated method to certify telemedicine providers
and educate the next generation of ophthalmologists.
PMID- 26538773
TI - Donor Corneal Transplantation vs Boston Type 1 Keratoprosthesis in Patients with
Previous Graft Failures: A Retrospective Single Center Study (An American
Ophthalmological Society Thesis).
AB - PURPOSE: To compare short-term outcomes of repeat penetrating keratoplasty (PK)
to those of Boston type 1 keratoprosthesis (KPro). Our hypothesis was that visual
outcomes were superior for KPro compared to PK. METHODS: This is a retrospective,
nonrandomized, intermediate-term case series. Consecutive adults with one or more
failed PKs who underwent either PK or KPro between January 2008 and December 2010
were included. Demographics, indication for the initial PK, comorbidities,
concomitant procedures, and complications were considered. Only one procedure in
each eye was included. All KPro procedures were retained in the analyses.
RESULTS: Fifty-three patients underwent PK and 27 received KPro. Mean follow-up
was 19.5 months in the PK group and 16.5 months in the KPro group. KPro eyes had
worse mean preoperative vision (hand motions vs counting fingers, P=.01) and more
comorbidities. In the postoperative period, 35% of PK eyes and 45% of KPro eyes
attained best-ever visual acuity of 20/70. Forty-seven percent of PK eyes vs 40%
of KPro eyes were able to retain this visual acuity. Two-year rate of failure to
retain visual acuity better than the baseline was higher for PK eyes, though not
at a statistically significant level (hazard ratio [HR]=1.67; 95% CI, 0.78-3.60;
P=.19). Two-year cumulative rate of graft failure (loss of clarity for PK and
removal/replacement for KPro) was higher for PK eyes (HR=3.23; 95% CI, 1.12-9.28;
P=.03). Retinal detachment, endophthalmitis, and glaucoma rates were similar
(P=.6 for all). CONCLUSIONS: These results demonstrate less frequent graft
failure, greater visual improvement, and greater likelihood of maintaining the
visual improvement in KPro eyes vs PK.
PMID- 26538775
TI - Evaluation of the Efficacy of Topical Ethyl Vanillate in Enhancing the Effect of
Narrow Band Ultraviolet B against Vitiligo: A Double Blind Randomized, Placebo
Controlled Clinical Trial.
AB - BACKGROUND: Vitiligo is an acquired disease of skin that presents with
depigmented patches due to lack of melanocytes in the epidermis. Accumulation of
toxic free radicals like hydrogen peroxide in the epidermis may be responsible
for melanocytes death. Since ethyl vanillate (vanillic acid ethyl ester) is a
strong hydrogen peroxide scavenger, it may be effective against vitiligo. This
study was carried out to evaluate the effect of ethyl vanillate cream on vitiligo
patients receiving phototherapy. METHODS: A double-blind placebo-controlled
clinical trial using ethyl vanillate cream 20% was performed on 30 cases of
generalized stable vitiligo (randomly selected) who were receiving phototherapy
in the outpatient clinic of Faghihi Hospital (Shiraz, Iran). The patients
randomly applied ethyl vanillate on an assigned lesion (left or right side of the
body) and placebo on the opposite side lesion (almost the same size and location)
twice a day for 3 months, while receiving a narrow band ultraviolet B (NB-UVB) 2
3 times weekly. Photos were taken at the beginning of the trial and at the end of
4(th), 8(th), and 12(th) weeks. Then, images were compared with the photos from
the beginning of the trial based on VASI score. RESULTS: There was a significant
change in pigmentation after applying ethyl vanillate compared with baseline in
medication side (P=0.002), but no significant change in placebo side (P=0.066).
Additionally, there was a significant difference between medication and placebo
sides in pigmentation (P=0.005). CONCLUSION: Ethyl vanillate may serve as an
adjunct therapy for the treatment of vitiligo, although changes in pigmentation
are mild clinically.
PMID- 26538774
TI - Lipoprotein(A) with An Intact Lysine Binding Site Protects the Retina From an Age
Related Macular Degeneration Phenotype in Mice (An American Ophthalmological
Society Thesis).
AB - PURPOSE: To test the hypothesis that the accumulation of oxidized phospholipids
(OxPL) in the macula is toxic to the retina unless neutralized by a variety of
mechanisms, including binding by lipoprotein(a) [Lp(a)], which is composed of
apolipoprotein(a) [apo(a)] and apolipoprotein B-100 (apoB). METHODS: Human
maculas and eyes from two Lp(a) transgenic murine models were subjected to
morphologic, ultrastructural, and immunohistochemical analysis. "Wild-type Lp(a)"
mice, which express human apoB-100 and apo(a) that contains oxidized
phospholipid, and "mutant LBS(-) Lp(a)" mice with a defective apo(a) lysine
binding site (LBS) for oxidized phospholipid binding, were fed a chow or high-fat
diet for 2 to 12 months. Oxidized phospholipid-containing lipoproteins were
detected by immunoreactivity to E06, a murine monoclonal antibody binding to the
phosphocholine headgroup of oxidized, but not native, phospholipids. RESULTS:
Oxidized phospholipids, apo(a), and apoB accumulate in maculas, including drusen,
of age-related macular degeneration (AMD) samples and age-matched controls. Lp(a)
mice fed a high-fat diet developed age-related changes. However, mutant LBS(-)
Lp(a) mice fed a high-fat diet developed retinal pigment epithelial cell
degeneration and drusen. These changes were associated with increased OxPL,
decreased antioxidant defenses, increased complement, and decreased complement
regulators. CONCLUSIONS: Human maculas accumulate Lp(a) and OxPL. Mutant LBS(-)
Lp(a) mice, lacking the ability to bind E06-detectable oxidized phospholipid,
develop AMD-like changes. The ability of Lp(a) to bind E06-detectable OxPL may
play a protective role in AMD.
PMID- 26538777
TI - No Definite Association between Human Parvovirus B19 Infection and Behcet
Disease.
AB - BACKGROUND: The etiology of the Behcet disease (BD) has remained obscured. There
have been studies to show the association of BD to infections like herpes
simplex, hepatitis, and parvovirus B19 however, the findings are rather
controversial. MATERIALS AND METHODS: We selected 55 patients with the best
matched symptoms of BD and measured the loads of B19 DNA in their plasma by
quantitative real time PCR and verified their seropositivity by ELISA. All
findings were compared to the results from 42 healthy persons. RESULTS: Patients
showed a wide spectrum of BD symptoms. Serologic studies showed high prevalence
of B19 IgG among the tested patients which was not statistically different with
the healthy population (72.7% vs. 85.7%, respectively). Similarly, the prevalence
of B19 IgM between patients and controls was not different (18% vs. 11.9%,
respectively). No correlation was found between the presence of anti-B19
antibodies and the clinical observations. Only one person from the patient and
control groups had detectable levels of B19 DNA without any difference or
correlation with the disease symptoms. CONCLUSION: Our data could not establish
an association between B19 parvovirus infection and Behcet disease, although
there have been reports of such correlation. Nevertheless, there might be
indirect relation in genetically susceptible individuals after viral infections.
More studies on designed animal models and surveys on patients should be done to
resolve this controversy.
PMID- 26538776
TI - Early Acute Kidney Injury based on Serum Creatinine or Cystatin C in Intensive
Care Unit after Major Trauma.
AB - BACKGROUND: Acute kidney injury (AKI) is a common problem in critically ill
patients and is independently associated with increased morbidity and mortality.
Recently, serum cystatin C has been shown to be superior to creatinine in early
detection of renal function impairment. We compared estimated GFR based on serum
cystatin C with estimated GFR based on serum creatinine for early detection of
renal dysfunction according to the RIFLE criteria. METHODS: During 9 months,
three hundred post trauma patients that were referred to the intensive care unit
of a referral trauma hospital were recruited. Serum creatinine and serum cystatin
C were measured and the estimated GFR within 24 hours of ICU admission was
calculated. The primary outcome was the incidence of AKI according to the RIFLE
criteria within 2(nd) to 7(th) day of admission. RESULTS: During the first week
of ICU admission, 21% of patients experienced AKI. After adjusting for major
confounders, only the patients with first day's serum cystatin level higher than
0.78 mg/l were at higher risk of first week AKI (OR=6.14, 95% CI: 2.5-14.7,
P<0.001). First day's serum cystatin C and injury severity score were the major
risk factors for ICU mortality (OR=3.54, 95% CI: 1.7-7.4, P=0.001) and (OR=4.6,
95% CI: 1.5-14, P=0.007), respectively. CONCLUSION: Within 24 hours after
admission in ICU due to multiple trauma, high serum cystatin C level may have
prognostic value in predicting early AKI and mortality during ICU admission.
However, such correlation was not seen neither with creatinine nor cystatin C
based GFR.
PMID- 26538778
TI - Inhibitory Effects of Silver Nanoparticles on Growth and Aflatoxin B1 Production
by Aspergillus Parasiticus.
AB - BACKGROUND: Aflatoxins (AFs) are secondary hazardous fungal metabolites that are
produced by strains of some Aspergillus species on food and feedstuffs. Aflatoxin
B1 (AFB1) is one of the most important AF with high toxicity. Prevention of AF
production and their elimination from food products is a matter of importance for
many researchers in the last decades. Nanomaterials applications in medical
science have been widely studied in the recent years. Most of existing researches
seek the effect of nanoparticles on bacteria, fungi, and viruses. The aim of this
study was to determine the effects of silver nanoparticles (AgNPs) on growth and
AFB1 production of AF-producing Aspergillus parasiticus. METHODS: A parasiticus
was inoculated (10(6) conidia per ml of medium) to potato dextrose broth (PDB)
medium and then AgNPs was added and incubated with shaking at 130 rpm and 28
degrees C for 7 days. AF was assayed by high performance liquid chromatography
(HPLC). Microbiological assay (MBA) on microplates contained potato dextrose
broth (PDB) medium (4 days at 28 degrees C) at different concentrations of AgNPs
(60, 80, 100, 120, 140, 160, 180 and 200 MUg/ml) was measured. RESULTS: The
results demonstrated that a minimum inhibition concentration (MIC) equal to 180
MUg/ml was determined for AgNPs against A. parasiticus. The AgNPs effectively
inhibited AFB1 production at a concentration of 90 MUg/ml. CONCLUSION: The
results obtained in this study show AgNPs at concentrations lower than the MIC
drastically inhibited production of AFB1 by A. parasiticus in culture medium. The
AgNPs may be useful to control AF contamination of susceptible crops in the
field.
PMID- 26538779
TI - Progesterone Enhanced Remyelination in the Mouse Corpus Callosum after Cuprizone
Induced Demyelination.
AB - BACKGROUND: Progesterone as a sex steroid hormone is thought to affect and
prevent demyelination, but its role in promoting myelin repair is far less
investigated. In this study, remyelinating potential of progesterone in corpus
callosum was evaluated on an experimental model of MS. METHODS: In this
experimental study, adult male C57BL/6 mice were fed with 0.2% (w/w) cuprizone in
ground breeder chow ad libitum for 6 weeks. At day zero, after cuprizone removal,
mice were divided randomly into two groups: (a) placebo group, which received
saline pellet implant, (b) progesterone group, which received progesterone pellet
implant. Some mice of the same age were fed with their normal diet to serve as
the healthy control group. Two weeks after progesterone administration, Myelin
content was assessed by Luxol-fast blue staining. The myelin basic protein (MBP)
and proteolipid protein (PLP) expression were assessed using Western blot
analysis and the changes in the number of oligodendrocytes and oligodendroglial
progenitor cells were assessed by immunohistochemistry (IHC) and flow cytometry.
RESULTS: Luxol-fast blue staining revealed enhanced remyelination in the
progesterone group when compared with the placebo group. Densitometry
measurements of immunoblots demonstrated that MBP and PLP proteins contents were
significantly increased in the progesterone group compared with the placebo
group. Flow cytometry and IHC analysis showed increases in Olig2 and O4 cells in
the progesterone group compared with the placebo group. CONCLUSION: Overall, our
results indicate that progesterone treatment can stimulate myelin production and
that it may provide a feasible and practical way for remyelination in diseases
such as multiple sclerosis.
PMID- 26538780
TI - Prevalence of qnr and aac(6')-Ib-cr Genes in Clinical Isolates of Klebsiella
Pneumoniae from Imam Hussein Hospital in Tehran.
AB - BACKGROUND: Plasmid mediated quinolone resistance (PMQR) has been shown to play
an important role in resistance not only to quinolones, but also beta-lactams and
aminoglycosides. In fact, qnr genes are frequently carried along with beta
lactamase determinants on the same plasmids. We studied the prevalence of qnrA,
qnrB, qnrS and aac(6')-Ib-cr genes among quinolone and cephalosporin resistant
clinical isolates of Klebsiella pneumoniae (K. pneumoniae), as well as the
association between PMQR genes with resistance to quinolones, cephalosporins and
aminoglycosides. METHODS: The study was conducted on 79 K. pneumoniae clinical
isolates collected from Imam Hussein hospital in Tehran between July 2010 and
January 2011, based on their resistance to quinilones and cephalosporins.
Antibacterial susceptibility was determined to 15 antibiotics by disc diffusion.
Presence of qnrA, qnrB, qnrS and aac(6')-Ib-cr genes were investigated using
specific primers and PCR. RESULTS: Of the 79 K. pneumoniae isolates, 47 (59.5%)
carried the PMQR determinants. Among these, 42 (89.4%) carried aac(6')-Ib-cr of
which, 21 (50%) also harbored qnrB. Three isolates carried qnrB alone, two (4.2%)
harbored qnrS and none had qnrA. Resistance to aminoglycosides and cephalosporins
was significantly higher in the isolates carrying both qnrB and aac(6')-Ib-cr
genes compared to aac(6')-Ib-cr alone. CONCLUSION: This study showed a high
prevalence of aac(6')-Ib-cr and qnrB genes among the Iranian K. pneumoniae
clinical isolates as well as co-carriage of the two genes. There was a
significant association between qnrB gene carriage and resistance to quinolones,
cephalosporins, and aminoglycosides.
PMID- 26538781
TI - The Prevalence of Metabolic Syndrome According to Different Criteria and its
Associated Factors in Type 2 Diabetic Patients in Kerman, Iran.
AB - Metabolic syndrome is highly prevalent in type 2 diabetics and is a strong risk
factor for cardiovascular diseases in such patients. The aim of this study was to
determine the prevalence of metabolic syndrome according to the three criteria of
ATPIII, IDF and the new criteria for metabolic syndrome diagnosis in Kerman,
Iran. This cross-sectional study was performed on 950 diabetic type 2 patients.
Data was analyzed by independent t-test, chi-square and logistic regression using
the SPSS (revision 20) software. The prevalence of metabolic syndrome in Kerman
was 73.4, 64.9, and 70.4%, according to the above criteria. Fasting blood sugar,
gender, triglyceride, HDL, waist circumference, and systolic blood pressure were
related to the prevalence of metabolic syndrome according to the above-mentioned
criteria.The prevalence of metabolic syndrome is high in type 2 diabetic patients
and the above-mentioned factors exacerbate the situation.
PMID- 26538782
TI - Isolation of Methicillin-Resistant Staphylococcus aureus (MRSA) from HIV Patients
Referring to HIV Referral Center, Shiraz, Iran, 2011-2012.
AB - Extension of drug resistant Staphylococcus aureus strains is one of the problems
of modern society. Presence of methicillin-resistant Staphylococcus aureus (MRSA)
in HIV-infected individuals is an important cause of severe infections.
Therefore, the main goal of this study was to determine the prevalence rate of
MRSA carriage rate among HIV patients referring to the Shiraz HIV referral center
(Shiraz, Iran) during 2011-2012. Nasal swabs were obtained from HIV positive
patients and were cultured on differential and selective media to isolate
Staphylococcus aureus, which was confirmed by standard biochemical tests. For
isolation of MRSA isolates, bacterial suspensions were cultured on Muller-Hinton
Agar containing NaCl and Oxacillin. Finally, data were analyzed by the SPSS
software. Of 180 HIV patients, MRSA was isolated from nasal cavity of 23 (12.8%)
patients. Most of the isolates were recovered from male subjects who were under
40 years old. No variables such as skin disease, history of hospitalization or
infectious disease had significant association with the MRSA colonization rate.
The presence of MRSA isolates in the nasal cavity of HIV patients in such a rate
warns us about the potential spreading of MRSA among HIV patients in our society
and emphasizes on establishing better prevention strategies.
PMID- 26538783
TI - Yazd Breast Cancer Project Profile; A Community Based Trial for the Evaluation of
Self-Examination and Physical Examination of the Breast Cancer Disease.
AB - There is some evidence to suggest that a benefit might be derived from a program
that incorporated both annual physical examination of the breast (BPx) and the
teaching of breast self-examination (BSE). Current investigation presents the
profile of a multicenter community based intervention for evaluating the effect
of BSE+BPx on the reduction of morbidity and mortality due to breast cancer
amongst women residing in urban areas of Yazd (Iran) from 2008 to 2018. There
were three distinctive phases in this trial with 10 years duration: pilot phase
with the duration of 1 year, active intervention phase with 4 rounds of annual
screening of BPx+BSE and follow up phase with 5 years duration. Tools of enquiry
included a pre-tested questionnaire, repeated annual physical examination of the
breast and more importantly mammography, sonography, and fine needle aspiration
(FNA). Data were analyzed using descriptive statistics such as frequencies,
percent, mean (SD), tests of chi-square and student t-test with 95% confidence
level. Comparison of socio-demographic and socio-economic factors such as age,
age at marriage, family size, number of live births, occupation, education level,
total family income and marital status showed that no significant difference was
seen between the groups (P>0.05). A response rate of 84.5% was seen by
participants of the experiment group visiting the health centers for the first
BPx. Our results showed that except for the education and marital status, the
difference in other main demographic and socio-economic factors between the
groups were not significant, and the response rate of individuals in the
experiment group was at an acceptable level.
PMID- 26538784
TI - Spontaneous Unruptured Bilateral Tubal Pregnancy: A Case Report.
AB - Bilateral spontaneous tubal ectopic pregnancy is the rarest form of extra uterine
pregnancy. The diagnosis is usually made intraoperatively and levels of serum
BHCG and ultrasound has not been useful in the diagnosis of bilateral tubal
ectopic pregnancy. A 33-year-old woman with 8 weeks amenorrhea and sever lower
abdominal pain was admitted. A transvaginal pelvic ultrasound revealed left
adnexal mass and massive fluid collection in the pelvis and abdomen. The serum
BHCG was 5,700 mIU/ml and in laparotomy bilateral unruptured tubal pregnancy was
noted. Left salpingectomy and right salpingostomy were performed. The diagnosis
of bilateral spontaneous tubal ectopic pregnancy is usually made
intraoperatively. Both tubes at the time of surgery should be closely examined in
order to prevent maternal morbidity and mortality.
PMID- 26538785
TI - Primary Epidural Varicosis as a Rare Cause of Sciatica: A Case Report.
AB - Non-discogenic sciatica can be caused by any lesion along the course of the
lumbosacral nerve roots and sciatic nerve. We aim to present a rare case of
refractory sciatica in an otherwise healthy 25-year-old man. He complained of
left leg pain without significant back pain. Extensor hallucis longus muscle was
weak on the left side with limited straight leg rising. On magnetic resonance
imaging, a space-occupying lesion resembling a sequestrated disc was noted that
after surgical decompression, epidural varicosis was demonstrated.
PMID- 26538786
TI - Bilateral Abducent Palsy in Leptospirosis- An Eye Opener to a Rare Neuro Ocular
Manifestation: A Case Report.
AB - Leptospirosis, a disease of great significance in tropical countries, presents
commonly as a biphasic illness with acute febrile episode in the first phase
followed by a brief afebrile period and then by the second phase of fever with or
without jaundice and renal failure. However, it has varied manifestations and
unusual clinical features ascribed to immunological phenomena can occur due to
the additional involvement of pulmonary, cardiovascular, and neurological
systems. Among the various neurological features, aseptic meningitis is the most
common myeloradiculopathy, myelopathy, cerebellar dysfunction, transverse
myelitis, Guillain-Barre syndrome, optic neuritis, peripheral neuropathy hare
also described. Cranial neuropathy involving facial nerve is a rare, but known
neurological manifestation. Sixth nerve palsy in neuroleptospirosis has so far
not been reported. We hereby present the occurrence of bilateral abducent nerve
palsy in a patient with leptospirosis.
PMID- 26538787
TI - Efficacy and Safety of Aripiprazole for Treatment of Irritability in Children
with Autistic Disorder: An Open-Label Study.
PMID- 26538788
TI - Bicornuate Zenker's Diverticulum.
PMID- 26538789
TI - Evolutionary patterns of adaptive acrobatics and physical performance predict
expression profiles of androgen receptor - but not oestrogen receptor - in the
forelimb musculature.
AB - 1. Superior physical competence is vital to the adaptive behavioral routines of
many animals, particularly those that engage in elaborate socio-sexual displays.
How such traits evolve across species remains unclear. 2. Recent work suggests
that activation of sex steroid receptors in neuromuscular systems is necessary
for the fine motor skills needed to execute physically elaborate displays. Thus,
using passerine birds as models, we test whether interspecific variation in
display complexity predicts species differences in the abundance of androgen and
estrogen receptors (AR and ERalpha) expressed in the forelimb musculature and
spinal cord. 3. We find that small-scale evolutionary patterns in physical
display complexity positively predict expression of the AR in the main muscles
that lift and retract the wings. No such relationship is detected in the spinal
cord, and we do not find a correlation between display behavior and neuromuscular
expression of ERalpha. Also, we find that AR expression levels in different
androgen targets throughout the body - namely the wing muscles, spinal cord, and
testes - are not necessarily correlated, providing evidence that evolutionary
forces may drive AR expression in a tissue-specific manner. 4. These results
suggest co-evolution between the physical prowess necessary for display
performance and levels of AR expression in avian forelimb muscles. Moreover, this
relationship appears to be specific to muscle and AR-mediated, but not ERalpha
mediated, signaling. 5. Given that prior work suggests that activation of
muscular AR is a necessary component of physical display performance, our current
data support the hypothesis that sexual selection shapes levels of AR expressed
in the forelimb skeletal muscles to help drive the evolution of adaptive motor
abilities.
PMID- 26538790
TI - The Reductive Activation of CO2 Across a Ti=Ti Double Bond: Synthetic,
Structural, and Mechanistic Studies.
AB - The reactivity of the bis(pentalene)dititanium double-sandwich compound Ti2Pn?2
(1) (Pn? = 1,4-{SiiPr3}2C8H4) with CO2 is investigated in detail using
spectroscopic, X-ray crystallographic, and computational studies. When the CO2
reaction is performed at -78 degrees C, the 1:1 adduct 4 is formed, and low
temperature spectroscopic measurements are consistent with a CO2 molecule bound
symmetrically to the two Ti centers in a MU:eta2,eta2 binding mode, a structure
also indicated by theory. Upon warming to room temperature the coordinated CO2 is
quantitatively reduced over a period of minutes to give the bis(oxo)-bridged
dimer 2 and the dicarbonyl complex 3. In situ NMR studies indicated that this
decomposition proceeds in a stepwise process via monooxo (5) and monocarbonyl (7)
double-sandwich complexes, which have been independently synthesized and
structurally characterized. 5 is thermally unstable with respect to a MU-O dimer
in which the Ti-Ti bond has been cleaved and one pentalene ligand binds in an
eta8 fashion to each of the formally TiIII centers. The molecular structure of 7
shows a "side-on" bound carbonyl ligand. Bonding of the double-sandwich species
Ti2Pn2 (Pn = C8H6) to other fragments has been investigated by density functional
theory calculations and fragment analysis, providing insight into the CO2
reaction pathway consistent with the experimentally observed intermediates. A key
step in the proposed mechanism is disproportionation of a mono(oxo) di-TiIII
species to yield di-TiII and di-TiIV products. 1 forms a structurally
characterized, thermally stable CS2 adduct 8 that shows symmetrical binding to
the Ti2 unit and supports the formulation of 4. The reaction of 1 with COS forms
a thermally unstable complex 9 that undergoes scission to give mono(MU-S)
mono(CO) species 10. Ph3PS is an effective sulfur transfer agent for 1, enabling
the synthesis of mono(MU-S) complex 11 with a double-sandwich structure and
bis(MU-S) dimer 12 in which the Ti-Ti bond has been cleaved.
PMID- 26538791
TI - Bonding in Complexes of Bis(pentalene)dititanium, Ti2(C8H6)2.
AB - Bonding in the bis(pentalene)dititanium "double-sandwich" species Ti2Pn2 (Pn =
C8H6) and its interaction with other fragments have been investigated by density
functional calculations and fragment analysis. Ti2Pn2 with C2v symmetry has two
metal-metal bonds and a low-lying metal-based empty orbital, all three frontier
orbitals having a1 symmetry. The latter may be regarded as being derived by
symmetric combinations of the classic three frontier orbitals of two bent
bis(cyclopentadienyl) metal fragments. Electrochemical studies on Ti2Pn?2 (Pn? =
1,4-{SiiPr3}2C8H4) revealed a one-electron oxidation, and the formally mixed
valence Ti(II)-Ti(III) cationic complex [Ti2Pn?2][B(C6F5)4] has been structurally
characterized. Theory indicates an S = 1/2 ground-state electronic configuration
for the latter, which was confirmed by EPR spectroscopy and SQUID magnetometry.
Carbon dioxide binds symmetrically to Ti2Pn2, preserving the C2v symmetry, as
does carbon disulfide. The dominant interaction in Ti2Pn2CO2 is sigma donation
into the LUMO of bent CO2, and donation from the O atoms to Ti2Pn2 is minimal,
whereas in Ti2Pn2CS2 there is significant interaction with the S atoms. The
bridging O atom in the mono(oxo) species Ti2Pn2O, however, employs all three O 2p
orbitals in binding and competes strongly with Pn, leading to weaker binding of
the carbocyclic ligand, and the sulfur analogue Ti2Pn2S behaves similarly. Ti2Pn2
is also capable of binding one, two, or three molecules of carbon monoxide. The
bonding demands of a single CO molecule are incompatible with symmetric binding,
and an asymmetric structure is found. The dicarbonyl adduct Ti2Pn2(CO)2 has Cs
symmetry with the Ti2Pn2 unit acting as two MCp2 fragments. Synthetic studies
showed that in the presence of excess CO the tricarbonyl complex Ti2Pn?2(CO)3 is
formed, which optimizes to an asymmetric structure with one semibridging and two
terminal CO ligands. Low-temperature 13C NMR spectroscopy revealed a rapid
dynamic exchange between the two bound CO sites and free CO.
PMID- 26538793
TI - Food and nutrition labelling in Thailand: a long march from subsistence producers
to international traders.
AB - This paper reviews the evolution of Thai food and nutrition label policies and
Thailand's international role relating to food product safety and standards. The
historical record has been interpreted to identify future trends and challenges
related to food labelling. These challenges are arising in Thailand and many
similar emerging economies. Thailand has a good reputation in world food markets
and is now becoming a global leader in food production and export. It has become
deeply involved with regulations and standards applied by World Trade
Organization and Codex Alimentarius while serving its own population with a safe
and secure food supply. For consumers considering Thai food products, food labels
can provide useful nutrition information and help build trust. Thais began a
century ago with policies and laws to enhance food safety and to protect Thai
consumers. During the lengthy journey from national to global standards Thai food
labels have evolved and now contribute to international food labelling policies.
This contribution comes from the perspective of a leading middle income south
east Asian food producer now trading with high income countries around the world.
The story of that journey - a case study for many other countries in a similar
situation - has not previously been told. This article provides information for
policy makers dealing with food labelling, embedding trends and tensions for one
middle income food exporter in a long history. Information captured here should
be helpful for other middle income countries, especially those with limited
records. This strategic knowledge will enable better decisions for future
policies.
PMID- 26538792
TI - Breath Hydrogen as a Biomarker for Glucose Malabsorption after Roux-en-Y Gastric
Bypass Surgery.
AB - OBJECTIVE: Abdominal symptoms are common after bariatric surgery, and these
individuals commonly have upper gut bacterial overgrowth, a known cause of
malabsorption. Breath hydrogen determination after oral glucose is a safe and
inexpensive test for malabsorption. This study is designed to investigate breath
hydrogen levels after oral glucose in symptomatic individuals who had undergone
Roux-en-Y gastric bypass surgery. METHODS: This is a retrospective study of
individuals (n = 63; 60 females; 3 males; mean age 49 years) who had gastric
bypass surgery and then glucose breath testing to evaluate abdominal symptoms.
RESULTS: Among 63 postoperative individuals, 51 (81%) had a late rise (>=45
minutes) in breath hydrogen or methane, supporting glucose malabsorption; 46
(90%) of these 51 subjects also had an early rise (<=30 minutes) in breath
hydrogen or methane supporting upper gut bacterial overgrowth. Glucose
malabsorption was more frequent in subjects with upper gut bacterial overgrowth
compared to subjects with no evidence for bacterial overgrowth (P < 0.001).
CONCLUSION: These data support the presence of intestinal glucose malabsorption
associated with upper gut bacterial overgrowth in individuals with abdominal
symptoms after gastric bypass surgery. Breath hydrogen testing after oral glucose
should be considered to evaluate potential malabsorption in symptomatic,
postoperative individuals.
PMID- 26538794
TI - State Regulation, Family Breakdown, and Lone Motherhood: The Hidden Costs of
World War I in Scotland.
AB - Using a range of parish records, records from the Registrar General of Scotland,
charity organizations, and media reports, this article contributes to the
historiography which evaluates the effects of World War I in Britain as well as
the history of lone mothers and their children. It highlights how during the war,
women, especially lone mothers, made significant gains through the welfare
system, changing approaches to illegitimacy and the plentiful nature of women's
work but also how in doing so this brought them under greater surveillance by the
state, local parishes, and charity organizations. Moreover, as this article will
demonstrate, many of the gains made by women were short-lived and in fact the war
contributed to high levels of family breakdown and gendered and intergenerational
poverty endured by lone mothers and their children.
PMID- 26538795
TI - Current Status of Robot-Assisted Radical Cystectomy: What is the Real Benefit?
AB - In recent years, robot-assisted radical cystectomy has received attention
worldwide as a useful procedure that helps to overcome the limitations of open
radical cystectomy. We compared the surgical technique, perioperative and
oncological outcomes, and learning curve of robot-assisted radical cystectomy
with those of open radical cystectomy. The indications for robot-assisted radical
cystectomy are identical to those of open radical cystectomy. Relative
contraindications are due to patient positioning in the Trendelenburg position
for long periods. Urinary diversion is performed either extracorporeally with a
small skin incision or intracorporeally with a totally robotic-assisted maneuver.
Accordingly, robot-assisted radical cystectomy can be performed safely with an
acceptable operative time, little blood loss, and low transfusion rates. The
lymph node yield and positive surgical margin rate were not significantly
different between robot-assisted radical cystectomy and open radical cystectomy.
The survival rates after robot-assisted radical cystectomy are estimated to be
similar to that after open radical cystectomy. However, the recurrence pattern is
different between robot-assisted radical cystectomy and open radical cystectomy,
i.e., extrapelvic lymph node recurrence and peritoneal carcinomatosis were more
frequently found in patients who underwent robot-assisted radical cystectomy than
in those who underwent open radical cystectomy. Further validation is necessary
to prove the feasibility of oncological control. A steep learning curve is one of
the benefits of the new technique. The experience of only 50 robot-assisted
radical prostatectomies is a minimum requirement for performing feasible robot
assisted radical cystectomy, and surgeons who have performed only 30 surgeries
can reach an acceptable level of quality for robot-assisted radical cystectomy.
PMID- 26538796
TI - Opinions and Satisfaction Regarding Continuous Subcutaneous Insulin Infusion
Therapy in Adult Patients with Type 1 Diabetes.
AB - BACKGROUND: This study examined the treatment satisfaction of type 1 diabetic
patients undergoing continuous subcutaneous insulin infusion (CSII) therapy, and
patients' thoughts regarding CSII. METHODS: We provided a self-administered
questionnaire survey over the internet. Participants were 106 individuals with
type-one diabetes aged 20 years or older, undergoing CSII. The survey examined
patients' treatment satisfaction, and their thoughts regarding CSII. Descriptive
statistics were calculated. We compared relationships between treatment
satisfaction and other variables using the Kruskal-Wallis rank sum test, and
performed content analysis on participants' thoughts regarding CSII. RESULTS:
Regarding treatment satisfaction, the response, "neither of them" was the most
frequent. Comparing relationships between treatment satisfaction and other
variables, significant differences were found for the variables "age," "presence
of dissatisfaction regarding doctors' response," and "presence of a significant
medical expense burden." Participants' thoughts regarding CSII were classified
into 10 categories. CONCLUSION: Participants expressed positive evaluations, such
as that their blood sugar control had improved due to CSII, and that they
perceived improvement in their health. Participants also expressed negative
evaluations, however, such as that medical expenses resulting from CSII were
high, and that these expenses may cause distress and future economic insecurity.
In future, patients may benefit from nursing support that allows patients to
confidently continue with CSII.
PMID- 26538797
TI - Water Quality Evaluation of PET Bottled Water by Mineral Balance in the Northeast
Asian Region: A Case Study of South Korea.
AB - BACKGROUND: The past few years have seen a demand for drinking water in
contemporary society with a focus on safety and taste. Mineral water is now
marketed as a popular commercial product and, partly due to health concerns, the
production. METHODS: For the study, a comparison was carried out of water samples
from 9 types of polyethylene terephthalate (PET) bottled water sold in South
Korea as well as from tap water in the cities of Seoul and Chuncheon. These were
compared with samples of Japanese PET bottled water in order to determine shared
commonalities and identify individual characteristics. To evaluate water quality
objectively, we quantified the elements contained in the water samples. Samples
were assessed not with the usual sensory evaluation but with the evaluation
approach advocated by Hashimoto et al. which employs the Water Index of Taste and
the Water Index of Health. The levels of water quality obtained were compared
with the "Prerequisites for Tasty Water" and the "Standards for Tasty Water"
devised for city water. RESULTS: The PET Bottled water varieties analyzed in this
study-Seoksu, Icis, Bong Pyong, Soon Soo 100, Dong Won Saem Mul, GI JANG SOO and
DIAMOND-showed the Water Index of Taste >= 2.0 and the Water Index of Health >=
5.2, which we classified as tasty/healthy water. SamDaSoo and NamiNeral can be
classified as tasty water due to their values of the Water Index of Taste >= 2.0
and the Water Index of Health < 5.2. CONCLUSION: The South Korean PET bottled
water studied here fulfills the "Water Index of Taste," "Water Index of Health,"
"Standard for Tasty Water" and "Prerequisites for Tasty Water" that Japanese
people value for city water. We can conclude that bottled water which meets water
quality requirements will be considered good-tasting by a majority of people.
PMID- 26538798
TI - Type 1 Diabetes Patients Using Continuous Subcutaneous Insulin Infusion Therapy:
Feeling Burdened Correlated with Factors.
AB - BACKGROUND: The purpose of this study was to investigate factors related to
feelings of being burdened in type 1 diabetes patients using continuous
subcutaneous insulin infusion (CSII) therapy. METHODS: Participants were 106
subscribers to the Diabetes Network's e-mail newsletter. An online survey was
used. Eligible participants were aged at least 20 years, had type 1 diabetes, and
were using CSII. Survey questions concerned whether participants found CSII
burdensome, and seven potential reasons for feelings of burden. Analysis
calculated correlations among participants' demographic and treatment-related
factors, and among participants' reasons for feeling CSII to be burdensome.
RESULTS: Regarding demographic and treatment-related factors, gender was found to
be weakly negatively correlated with the following variables: employment status,
and whether participants had discussed their concerns with a doctor. Employment
status was found to be weakly correlated with diabetes duration; employment
status and diabetes duration were found to be weakly correlated with age.
Regarding reasons for finding CSII therapy burdensome, "It takes too much time"
was found to be strongly positively correlated with "It interferes with work
responsibilities"; 16 weak positive correlations were also found. CONCLUSION: To
explain our results, we suggest that medical expenses, glycemic control,
scheduling outpatient visits around home and work responsibilities, and
interacting with medical staff may have caused participants to find CSII therapy
burdensome. Most participants had never discussed their treatment concerns with a
doctor. This suggests that nurses may be able to mitigate feeling burdened in
participants using CSII therapy.
PMID- 26538799
TI - The Effect of Bamboo Leaf Extract Solution and Sodium Copper Chlorophyllin
Solution on Growth and Volatile Sulfur Compounds Production of Oral Malodor
Associated Some Anaerobic Periodontal Bacteria.
AB - BACKGROUND: Bamboo leaf extract solution (BLES) and sodium copper chlorophyllin
solution (SCCS) are known for their anti-oxidant activities. Oral malodor is
often related with periodontal pathogens. The present study was undertaken to
investigate the anti-bacterial effect of both BLES and SCCS on anaerobic
periodontal bacteria producing oral malodorous volatile sulfur compounds (VSC).
METHODS: Porphyromonas gingivalis W83 (PG), Prevotella intermidai TDC19B (PI),
Fusobacterium nucleatum ATCC25586 (FN) and Prevotella nigrescence ATCC33563 (PN)
were investigated as oral isolated bacteria. VSC production ability of the oral
strains was investigated by gas chromatography. With serial dilution of BLES or
SCCS, the strains PG, PI, FN or PN were cultured anaerobically with AnaeroPack at
37 C for 3 days. For the determination of anti-bacterial action of BLES or SCCS,
the inoculum was cultured with original concentrations of BLES 0.16% (w/v) or
SCCS 0.25% (w/v). RESULTS: Gas chromatography exhibited that all strains, PG, PI,
FN and PN were responsible for producing a high range of H2S and a moderate range
of CH3SH. Anti-bacterial effect of BLES or SCCS on the strains was observed.
Inhibition of BLES or SCCS on the strains was revealed as concentration
dependent. BLES or SCCS inhibited bacterial proliferation at higher
concentrations (PG; 0.04% BLES or 0.03% SCCS, PI; 0.002% BLES or 0.03% SCCS, FN;
0.005% BLES or 0.01% SCCS, PN; 0.01% BLES or 0.015% SCCS). No viable bacterial
colony observed at original concentration of BLES 0.16% or SCCS 0.25%. Strain
growth was eliminated from inhibition at lower concentrations (PG; 0.02% BLES or
0.015% SCCS, PI; 0.001% BLES or 0.015% SCCS, FN; 0.002% BLES or 0.007% SCCS, PN;
0.005% BLES or 0.007% SCCS). CONCLUSION: High concentrations of both BLES (0.16%)
and SCCS (0.25%) show superior inhibiting capability on all four oral malodor
associated periodontal anaerobes during testing, suggesting that these compounds
might have a beneficial effect on oral health care.
PMID- 26538800
TI - TSLP Expression and High Serum TSLP Level Indicate a Poor Prognosis in Gastric
Cancer Patients.
AB - BACKGROUND: Thymic stromal lymphopoietin (TSLP) plays an important role in
promoting tumor survival, by manipulating the immune response and angiogenesis.
However, the clinical significance of TSLP in gastric cancer is unclear. METHODS:
Immunohistochemistry was used to investigate TSLP expression in non-cancerous
gastric mucosa and gastric cancer tissue from patients with gastric cancer. Serum
TSLP levels were measured using an enzyme-linked immunosorbent assay. RESULTS:
Tumors with TSLP expression were significantly larger than those without TSLP
expression. TSLP expression was observed more frequently in advanced (T2/T3/T4)
than in early (T1) gastric cancer and in stage 3/4 than in stage 1/2. Lymph node
metastasis, liver metastasis, positive peritoneal lavage cytology, lymphatic
invasion, and vascular invasion occurred significantly more often in TSLP
expressing than in non-expressing tumors. The prognosis of patients with TSLP
positive tumors was significantly worse than that of patients with TSLP-negative
tumors. Patients with high serum TSLP concentrations also had a significantly
worse prognosis than those with low concentrations. Multivariate analysis
identified serum TSLP level as an independent prognostic indicator. CONCLUSION:
TSLP is closely related to the progression of gastric cancer and may predict
survival in these patients.
PMID- 26538801
TI - Multiple Skin Cancers in a Renal Transplant Recipient: A Patient Report with
Analyses of Human Papillomavirus and Human Polyomavirus Infection.
AB - Skin cancer is an important complication in renal transplant recipients.
Associations of transplant-related skin tumor with ultraviolet radiation, age at
transplantation, type of immunosuppressant drug administered, and viral infection
have been reported; however, the details remain unclear. We report a 61-year-old
man who had underwent renal transplantation at 38 years of age and developed
multiple skin tumors or squamous cell carcinomas (SCCs). Polymerase chain
reaction (PCR) analyses of the patient's 12 tumors for viral DNAs of cutaneous or
mucosal human papillomavirus (HPV) and 6 human polyomaviruses (MCPyV,
trichodysplasia spinulosa-associated, BK, JC, KI and WU polyomaviruses) only
detected cutaneous HPV-DNA in only 5 of the tumors; no other viruses were
detected. Real-time PCR showed high loads of cutaneous HPV in 3 SCCs and very low
loads of MCPyV in 9. Immunohistochemistry revealed no tumor cell expression for
MCPyV-large T-antigen or mucosal HPV. Our report not only reconfirmed the
association of cutaneous HPV5 with skin cancer in renal transplant recipients in
previous studies but also showed no relevant association of 6 human
polyomaviruses and mucosal HPV with skin tumors.
PMID- 26538803
TI - Rational general solutions of planar rational systems of autonomous ODEs.
AB - In this paper, we provide an algorithm to compute explicit rational solutions of
a rational system of autonomous ordinary differential equations (ODEs) from its
rational invariant algebraic curves. The method is based on the proper rational
parametrization of these curves and the fact that by linear reparametrizations,
we can find the rational solutions of the given system of ODEs. Moreover, if the
system has a rational first integral, we can decide whether it has a rational
general solution and compute it in the affirmative case.
PMID- 26538802
TI - Race, Gender, and Conceptualizations of Fear.
AB - This study used qualitative methods and quantitative statistical analyses to
examine whether race and gender are associated with reasons for which adults
perceive a situation or object as fearful. The sample consists of 197 African
American and White adults (ages 18-85) recruited through a convenience sample and
community sources in the Midwest. A cognitive interviewing instrument was
utilized to examine respondents understanding of words and phrases from a mental
health instrument. Using qualitative methods, free-response answers were content
coded using 5 "fear-codes" (i.e., harm/danger, external locus of control, self
perception, and past experience), developed by the researchers. Results from
logistic regression analyses indicate that race significantly predicts usage of
specific fear codes (p<.05). In addition, a race by gender interaction was found.
PMID- 26538804
TI - Trading order for degree in creative telescoping.
AB - We analyze the differential equations produced by the method of creative
telescoping applied to a hyperexponential term in two variables. We show that
equations of low order have high degree, and that higher order equations have
lower degree. More precisely, we derive degree bounding formulas which allow to
estimate the degree of the output equations from creative telescoping as a
function of the order. As an application, we show how the knowledge of these
formulas can be used to improve, at least in principle, the performance of
creative telescoping implementations, and we deduce bounds on the asymptotic
complexity of creative telescoping for hyperexponential terms.
PMID- 26538805
TI - Design and Evaluation of a Robust PID Controller for a Fully Implantable
Artificial Pancreas.
AB - Treatment of type 1 diabetes mellitus could be greatly improved by applying a
closed-loop control strategy to insulin delivery, also known as an artificial
pancreas (AP). In this work, we outline the design of a fully implantable AP
using intraperitoneal (IP) insulin delivery and glucose sensing. The design
process utilizes the rapid glucose sensing and insulin action offered by the IP
space to tune a PID controller with insulin feedback to provide safe and
effective insulin delivery. The controller was tuned to meet robust performance
and stability specifications. An anti-reset windup strategy was introduced to
prevent dangerous undershoot toward hypoglycemia after a large meal disturbance.
The final controller design achieved 78% of time within the tight glycemic range
of 80-140 mg/dL, with no time spent in hypoglycemia. The next step is to test
this controller design in an animal model to evaluate the in vivo performance.
PMID- 26538806
TI - National Human Trafficking Initiatives: Dimensions of Policy Diffusion.
AB - The implementation of criminal law involves formal law enforcement, education and
public outreach aimed at preventing criminal activity, and providing services for
victims. Historically, quantitative research on global trends has tended to focus
on a single policy dimension, potentially masking the unique factors that affect
the diffusion of each policy dimension independently. Using an ordered-probit
model to analyze new human trafficking policy data on national prosecution,
prevention, and victim-protection efforts, we find that global ties and domestic
interest groups matter more in areas where international law is less defined.
While prosecution, officially mandated by the Trafficking Protocol, was
relatively impervious to global ties and domestic interest groups, both
trafficking prevention and victim protection were associated with these factors.
Our findings also suggest that fear of repercussions is not a major driver of
state actions to combat trafficking-neither ratification of the Trafficking
Protocol nor levels of United States aid were associated with greater
implementation of anti-trafficking measures.
PMID- 26538807
TI - Characteristics of structures and lesions of the eye in laboratory animals used
in toxicity studies.
AB - Histopathology of the eye is an essential part of ocular toxicity evaluation.
There are structural variations of the eye among several laboratory animals
commonly used in toxicity studies, and many cases of ocular lesions in these
animals are related to anatomical and physiological characteristics of the eye.
Since albino rats have no melanin in the eye, findings of the fundus can be
observed clearly by ophthalmoscopy. Retinal atrophy is observed as a hyper
reflective lesion in the fundus and is usually observed as degeneration of the
retina in histopathology. Albino rats are sensitive to light, and light-induced
retinal degeneration is commonly observed because there is no melanin in the eye.
Therefore, it is important to differentiate the causes of retinal degeneration
because the lesion occurs spontaneously and is induced by several drugs or by
lighting. In dogs, the tapetum lucidum, a multilayered reflective tissue of the
choroid, is one of unique structures of the eye. Since tapetal cells contain
reflecting crystals in which a high level of zinc has been demonstrated
chemically, drug-induced tapetum degeneration is possibly related to zinc
chelation. The eye of the monkey has a macula similar to that of humans. The
macula consists only of cones with a high density, and light falls directly on
the macula that plays an important role in visual acuity. Macular degeneration
occurring in monkeys resembles histopathologically that of humans. Hence, the eye
of the monkey is a suitable model to investigate macular degeneration and to
assess drug-induced macular lesions.
PMID- 26538808
TI - Promotion of liver and kidney carcinogenesis by ethyl tertiary-butyl ether (ETBE)
in male Wistar rats.
AB - Tumor-promoting effects of ethyl tertiary-butyl ether (ETBE) were investigated in
a 2-stage carcinogenesis bioassay with regard to hepatic and renal carcinogenesis
in rats. Male 6-week-old Wistar rats were given drinking water containing N-ethyl
N-(2-hydroxyethyl)nitrosamine (EHEN), as an initiator, at a dose of 500 ppm for 2
weeks. Starting one week thereafter, the animals were administered ETBE at dose
levels of 0 (control), 100, 300, 500 or 1,000 mg/kg/day by gavage for 19 weeks
from week 4 to 22. Necropsy of all rats was performed at week 23, and livers and
kidneys were examined histopathologically. Incidences of hepatocellular adenomas,
and those of combined hepatocellular adenomas and carcinomas were significantly
elevated in rats given 1,000 mg/kg/day ETBE, but not 100-500 mg/kg/day ETBE, and
there was a significant increase in the average numbers of lesions. No
significant differences in incidences and average numbers of renal tubule
neoplasms were found in rats administered 100-1,000 mg/kg/day ETBE. However, the
average numbers of atypical tubule hyperplasias, considered to be preneoplastic
lesions, were significantly increased in rats given ETBE at 1,000 mg/kg/day, but
not in rats given 500 mg/kg/day or lower doses. Thus, these results imply that
ETBE has hepatic and renal tumor-promoting activities that affect EHEN-induced
carcinogenesis in male rats, and the no-observed-effect level is 500 mg/kg/day
under the present experimental conditions.
PMID- 26538809
TI - Dietary curcumin supplementation attenuates 1-methyl-4-phenyl-1,2,3,6
tetrahydropyridine (MPTP) neurotoxicity in C57BL mice.
AB - Studies in vivo and in vitro suggest that curcumin is a neuroprotective agent.
Experiments were conducted to determine whether dietary supplementation with
curcumin has neuroprotective effects in a mouse model of Parkinson's disease
(PD). Treatment with 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP)
significantly induced the loss of dopaminergic cells in the substantia nigra and
deletion of dopamine in the striatum, which was attenuated by long-term (7 weeks)
dietary supplementation with curcumin at a concentration of 0.5% or 2.0% (w/w).
Although curcumin did not prevent the MPTP-induced apoptosis of neuroblasts in
the subventricular zone (SVZ), it promoted the regeneration of neuroblasts in the
anterior part of the SVZ (SVZa) at 3 days after MPTP treatment. Furthermore,
curcumin enhanced the MPTP-induced activation of microglia and astrocytes in the
striatum and increased the expression of glial cell line-derived neurotrophic
factor (GDNF) and transforming growth factor-beta1 (TGFbeta1) in the striatum and
SVZ. GDNF and TGFbeta1 are thought to play an important role in protecting
neurons from injury in the central and peripheral nervous systems. These results
suggest that long-term administration of curcumin blocks the neurotoxicity of
MPTP in the nigrostriatal dopaminergic system of the mouse and that the
neuroprotective effect might be correlated with the increased expression of GDNF
and TGFbeta1. Curcumin may be effective in preventing or slowing the progression
of PD.
PMID- 26538810
TI - Predictive modes of action of pesticides in uterine adenocarcinoma development in
rats.
AB - Endometrial adenocarcinoma in the uterine corpus is a malignant cancer that
occurs in menopausal women and aged rodents. Because of the similarities in
pathogenesis and morphology of endometrial adenocarcinoma in rodents and humans,
prediction of the modes of action (MOA) in uterine carcinogenesis is important
for extrapolation of rodent data to humans. Three MOAs have been accepted as
major pathways for uterine carcinogenesis in rodents: 1) estrogenic activity, 2)
increased serum 17beta-estradiiol (E2) to progesterone (P4) ratio and 3)
modulation of estrogen metabolism to produce 4-hydroxyestradiol via P450
induction. Inhibition of estrogen excretion and increased aromatase in situ in
the tumor are also a potential pathway. Here, chemicals showing uterine
carcinogenicity were chosen from approximately 300 pesticides evaluated in Japan
within the past decade, and their mechanisms were predicted using parameters from
mechanistic and toxicity studies. Seven pesticides increased uterine tumor
formation in rats, and the pathways of 4 pesticides could be predicted based on
various mechanistic studies. The MOAs of cyenopyrafen and benthiavalicarb
isopropyl were predicted to be modulation of estrogen metabolism, while those of
pyriminobac-methyl and spirodiclofen were predicted to be increased E2 to P4
ratio. The driven pathways of metazosulfuron and isopyrazam could not be
predicted using several mechanistic studies. No mechanistic studies have been
reported for sedaxane, which has a chemical structure and toxicological profile
similar to isopyrazam. Our results indicated that appropriate mechanistic studies
are useful for mechanism prediction in risk assessment. From this analysis, a
flowchart showing a decision tree for predictive MOAs in uterine carcinogenesis
was proposed.
PMID- 26538811
TI - Application of a compact magnetic resonance imaging system for toxicologic
pathology: evaluation of lithium-pilocarpine-induced rat brain lesions.
AB - Magnetic resonance imaging (MRI) is a useful noninvasive tool used to detect
lesions in clinical and veterinary medicine. The present study evaluated the
suitability of a new easy-to-use compact MRI platform (M2 permanent magnet
system, Aspect Imaging, Shoham, Israel) for assisting with preclinical
toxicologic pathology examination of lesions in the rat brain. In order to induce
brain lesions, male Sprague-Dawley rats were treated once with lithium chloride
(127 mg/kg, intraperitoneal [i.p.]) followed by pilocarpine (30 mg/kg, i.p.). One
week after dosing, the perfused, fixed brains were collected, analyzed by the MRI
system and examined histopathologically. MRI of the brain of treated rats
revealed areas of high T1 and middle to low T2 signals, when compared with the
controls, in the piriform cortex, lateral thalamic nucleus, posterior
paraventricular thalamic nucleus and posterior hypothalamic nucleus of the
cerebrum. The altered MRI signal areas were consistent with well-circumscribed
foci of neuronal cell degeneration/necrosis accompanied by glial cell
proliferation. The present data demonstrated that quick analysis of fixed organs
by the MRI system can detect the presence and location of toxicologic lesions and
provide useful temporal information for selection of appropriate sections for
histopathologic examination before routine slide preparation, especially in
complex and functionally heterogeneous organs such as the brain.
PMID- 26538812
TI - Vitelline cyst in the rat ileum.
AB - Congenital vitelline duct anomalies other than Meckel's diverticulum are rare in
animals. A cyst of approximately 8 mm in diameter was observed on the
antimesenteric surface of the ileal serosa in a 10-week-old female Crl:CD(SD)
rat. Microscopically, the cyst closely resembled the ileum, but it did not
communicate with the ileal lumen. We diagnosed this case as a vitelline cyst
derived from the vitelline duct based on the location where it developed and its
histological behavior. In rats, only Meckel's diverticulum has been reported with
a congenital anomaly of the vitelline duct, and no other spontaneous anomalies
including a vitelline cyst have been reported. This case may be the first report
concerning a vitelline cyst in the rat ileum.
PMID- 26538813
TI - Lipomatosis of the canine parotid gland: case report with a literature review.
AB - In this report, we describe a case of lipomatosis in the left parotid gland of an
eight-year-old female Shetland sheepdog and review the relevant literature.
Preoperative diagnosis of lipomatosis with Tru-Cut biopsy presented difficulties
in this case. The postoperative diagnosis was based on the gross appearance of a
non-infiltrative, circumscribed swollen mass in the parotid gland and the
histological appearance of normal adipocytes that infiltrated into the parotid
gland without involving surrounding structures. Additionally, flotation of the
whole parotid gland in formalin solution together with well-maintained residual
lobulation and a well-maintained configuration on the cut surface were identified
as subsidiary characteristics of lipomatosis of the parotid gland. Lipomatosis of
the parotid gland has been rarely reported in dogs and is a poorly understood
condition.
PMID- 26538814
TI - A case of spontaneous myocardial necrosis and cerebral ischemic lesions in a
laboratory beagle dog.
AB - A beagle dog treated with saline as a control animal in a preclinical study was
euthanized due to sudden systemic deterioration. On histopathological
examination, contraction band necrosis of myocardial cells was observed widely in
the left ventricular wall, including the papillary muscle and apex, and observed
slightly in the ventricular septum and left atrium. In the brain, necrosis was
observed in neurons and glia of the cerebral cortex, hippocampal pyramidal cells,
glial cells of the rostral commissure and Purkinje cells of the cerebellar
vermis. It is highly probable that the marked systemic deterioration was caused
by cardiac dysfunction due to the spontaneous contraction band necrosis of the
myocardial cells, although the pathogenesis of the myocardial lesions remains
unclear. Given the distribution of neuronal necrosis in the brain, it is likely
that these lesions resulted from the ischemia responsible for acute cardiac
failure.
PMID- 26538815
TI - Spontaneous early-onset glomerulonephritis in a 8-week-old male Crj:CD1 (ICR)
mouse.
AB - Glomerular lesions including membranoproliferative glomerulonephritis occur
spontaneously in aged mice, but they are rare in young animals. In our
laboratory, spontaneous glomerulonephritis was observed in an 8-week-old male
Crj:CD1 (ICR) mouse. Macroscopically, the bilateral kidneys were discolored, but
no edema or ascites was observed. Glomerular lesions were characterized by a
thickening of capillary walls, a double-contoured basement membrane and mesangial
expansion due to increased amounts of matrix. Ultrastructurally, mesangial
interposition in the capillary wall and subendothelial deposition of basement
membrane-like material were observed. No evidence of immune complex deposition or
amyloid was found. On the basis of the observed clinical pathology and
histopathology, a secondary form of glomerular lesion was excluded. The
glomerular lesion was compatible with glomerulonephritis in a young Crj:CD1 (ICR)
mouse.
PMID- 26538816
TI - Histopathological evaluation of the ocular-irritation potential of shampoos, make
up removers and cleansing foams in the bovine corneal opacity and permeability
assay.
AB - The bovine corneal opacity and permeability (BCOP) assay is an alternative method
to the in vivo Draize eye test in rabbits for evaluating eye irritation in vitro.
Here, we compared the numerical results of the BCOP assay with the corresponding
histopathology for three different corneas for each test substance, including
commercially available shampoos, make-up removers and cleansing foams that
contained surfactants and other ingredients. The histopathological score was
defined based on the severity of lesions in the corneal epithelium. The
histopathological findings and scores of the three sections for each test
substance were comparable. The in vitro irritancy score (IVIS) generally
corresponds to the corneal irritant potential of the test substances assigned on
the basis of the histopathological findings in this study. In the present study,
we characterized the histopathology of the corneal epithelium and stroma and
especially showed that the corneal epithelial injury caused by test substances
might be important in assessment of test substances that are mild eye irritants
(category 2B) as classified by the United Nations (UN) Globally Harmonized System
of Classification and Labelling of Chemicals (GHS), as corneal lesions suggestive
of classification into category 2B were localized on the border between the
corneal epithelium and stroma, which contained cell elements related to
assessment of prognosis of an in vivo eye injury. Histopathological assessment
might be useful in predicting in vivo ocular irritation, particularly for test
substances with an IVIS >3.1 but <=25 that are classified as mild irritants
(category 2B) according to the UN GHS.
PMID- 26538817
TI - Sex Differences in Neuropsychiatric Symptoms of Alzheimer's Disease: The
Modifying Effect of Apolipoprotein E epsilon4 Status.
AB - Sex differences in neuropsychiatric symptoms of Alzheimer's disease (AD) have
been demonstrated in previous studies, and apolipoprotein E (ApoE) epsilon4
status influences psychiatric manifestations of AD. However, whether ApoE
epsilon4 status modifies the sex differences in neuropsychiatric symptoms of AD
is still unclear. In this study, sex differences in neuropsychiatric
abnormalities were stratified and analyzed by ApoE epsilon4 status in mild AD and
moderate to severe AD separately. The Clinical Dementia Rating (CDR) scale and
the Neuropsychiatric Inventory (NPI) were used to assess dementia severity and
neuropsychiatric symptoms. No sex differences were found in mild AD. In moderate
to severe AD, among epsilon4 positive individuals, disinhibition was
significantly more prevalent (8.0% in men versus 43.2% in women, p = 0.003) and
severer (p = 0.003) in female patients. The frequency (16.0% in men versus 51.4%
in women, p = 0.005) and score (p = 0.004) of irritability were of borderline
significance after strict Bonferroni correction. In conclusion, this study
supported the modifying effect of ApoE epsilon4 status on sex differences in
neuropsychiatric symptoms of AD, and this modifying effect was pronounced in
moderate to severe stage of AD. The interaction between gender and ApoE epsilon4
status should be considered in studies on neuropsychiatric symptoms of AD.
PMID- 26538818
TI - A Disproportionate Burden of Care: Gender Differences in Mental Health, Health
Related Quality of Life, and Social Support in Mexican Multiple Sclerosis
Caregivers.
AB - BACKGROUND: Multiple sclerosis (MS) rates in Latin America are increasing, and
caregivers there experience reduced mental and physical health. Based on rigid
gender roles in Latin America, women more often assume caregiving duties, yet the
differential impact on women of these duties is unknown. METHODS: This study
examined gender differences in mental health (Patient Health Questionnaire-9,
Satisfaction with Life Scale, Rosenberg Self-Esteem Scale, State-Trait Anxiety
Inventory, and Zarit Burden Inventory), health-related quality of life (HRQOL;
Short Form-36), and social support (Interpersonal Support Evaluation List-12) in
81 (66.7% women) Mexican MS caregivers. RESULTS: As compared to men caregivers,
women had lower mental health (p = 0.006), HRQOL (p < 0.001), and social support
(p < 0.001). This was partially explained by women caregivers providing care for
nearly twice as many hours/week as men (79.28 versus 48.48, p = 0.018) and for
nearly three times as many months (66.31 versus 24.30, p = 0.002). CONCLUSIONS:
Because gender roles in Latin America influence women to assume more substantial
caregiving duties, MS caregiver interventions in Latin America-particularly for
women caregivers-should address the influence of gender-role conformity on care
and psychosocial functioning.
PMID- 26538819
TI - Relationship between Postmenopausal Estrogen Deficiency and Aneurysmal
Subarachnoid Hemorrhage.
AB - Aneurysmal subarachnoid hemorrhage (SAH) is one of the most severe forms of
stroke, which results from the rupture of a cerebral aneurysm. SAH is the only
type of stroke with a female predominance, suggesting that reproductive factors
may play a significant role in the etiology. Estrogen has important effects on
vascular physiology and pathophysiology of cerebral aneurysm and SAH and, thus,
potential therapeutic implications. There have been growing bodies of
epidemiological and experimental studies which support the hypothesis of a
significant relationship between estrogen deficiency and cerebral aneurysm
formation with subsequent SAH. This hypothesis is the focus of this review as
well as possible pathology-based therapeutics with regard to aspects of molecular
pathophysiology, especially related to women's health.
PMID- 26538820
TI - Pathogenesis of Myeloproliferative Neoplasms: Role and Mechanisms of Chronic
Inflammation.
AB - Myeloproliferative neoplasms (MPNs) are a heterogeneous group of clonal diseases
characterized by the excessive and chronic production of mature cells from one or
several of the myeloid lineages. Recent advances in the biology of MPNs have
greatly facilitated their molecular diagnosis since most patients present with
mutation(s) in the JAK2, MPL, or CALR genes. Yet the roles played by these
mutations in the pathogenesis and main complications of the different subtypes of
MPNs are not fully elucidated. Importantly, chronic inflammation has long been
associated with MPN disease and some of the symptoms and complications can be
linked to inflammation. Moreover, the JAK inhibitor clinical trials showed that
the reduction of symptoms linked to inflammation was beneficial to patients even
in the absence of significant decrease in the JAK2-V617F mutant load. These
observations suggested that part of the inflammation observed in patients with
JAK2-mutated MPNs may not be the consequence of JAK2 mutation. The aim of this
paper is to review the different aspects of inflammation in MPNs, the molecular
mechanisms involved, the role of specific genetic defects, and the evidence that
increased production of certain cytokines depends or not on MPN-associated
mutations, and to discuss possible nongenetic causes of inflammation.
PMID- 26538823
TI - Impact of Inflammation on Myeloproliferative Neoplasm Symptom Development.
AB - Myeloproliferative neoplasms (essential thrombocythemia, ET; polycythemia vera,
PV; myelofibrosis, MF) are monoclonal malignancies associated with genomic
instability, dysregulated signaling pathways, and subsequent overproduction of
inflammatory markers. Acknowledged for their debilitating symptom profiles,
recent investigations have aimed to determine the identity of these markers, the
upstream sources stimulating their development, their prevalence within the MPN
population, and the role they play in symptom development. Creation of dedicated
Patient Reported Outcome (PRO) tools, in combination with expanded access to
cytokine analysis technology, has resulted in a surge of investigations
evaluating the potential associations between symptoms and inflammation. Emerging
data demonstrates clear relationships between individual MPN symptoms (fatigue,
abdominal complaints, microvascular symptoms, and constitutional symptoms) and
cytokines, particularly IL-1, IL-6, IL-8, and TNF-alpha. Information is also
compiling on the role symptoms paradoxically play in the development of
cytokines, as in the case of fatigue-driven sedentary lifestyles. In this paper,
we explore the symptoms inherent to the MPN disorders and the potential role
inflammation plays in their development.
PMID- 26538821
TI - Dental Pulp Defence and Repair Mechanisms in Dental Caries.
AB - Dental caries is a chronic infectious disease resulting from the penetration of
oral bacteria into the enamel and dentin. Microorganisms subsequently trigger
inflammatory responses in the dental pulp. These events can lead to pulp healing
if the infection is not too severe following the removal of diseased enamel and
dentin tissues and clinical restoration of the tooth. However, chronic
inflammation often persists in the pulp despite treatment, inducing permanent
loss of normal tissue and reducing innate repair capacities. For complete tooth
healing the formation of a reactionary/reparative dentin barrier to distance and
protect the pulp from infectious agents and restorative materials is required.
Clinical and in vitro experimental data clearly indicate that dentin barrier
formation only occurs when pulp inflammation and infection are minimised, thus
enabling reestablishment of tissue homeostasis and health. Therefore, promoting
the resolution of pulp inflammation may provide a valuable therapeutic
opportunity to ensure the sustainability of dental treatments. This paper
focusses on key cellular and molecular mechanisms involved in pulp responses to
bacteria and in the pulpal transition between caries-induced inflammation and
dentinogenic-based repair. We report, using selected examples, different
strategies potentially used by odontoblasts and specialized immune cells to
combat dentin-invading bacteria in vivo.
PMID- 26538822
TI - Marine Diterpenoids as Potential Anti-Inflammatory Agents.
AB - The inflammatory response is a highly regulated process, and its dysregulation
can lead to the establishment of chronic inflammation and, in some cases, to
death. Inflammation is the cause of several diseases, including rheumatoid
arthritis, inflammatory bowel diseases, multiple sclerosis, and asthma. The
search for agents inhibiting inflammation is a great challenge as the
inflammatory response plays an important role in the defense of the host to
infections. Marine invertebrates are exceptional sources of new natural products,
and among those diterpenoids secondary metabolites exhibit notable anti
inflammatory properties. Novel anti-inflammatory diterpenoids, exclusively
produced by marine organisms, have been identified and synthetic molecules based
on those structures have been obtained. The anti-inflammatory activity of marine
diterpenoids has been attributed to the inhibition of Nuclear Factor-kappaB
activation and to the modulation of arachidonic acid metabolism. However, more
research is necessary to describe the mechanisms of action of these secondary
metabolites. This review is a compilation of marine diterpenoids, mainly isolated
from corals, which have been described as potential anti-inflammatory molecules.
PMID- 26538824
TI - Relationship among Short and Long Term of Hypoinsulinemia-Hyperglycemia,
Dermatophytosis, and Immunobiology of Mononuclear Phagocytes.
AB - Dermatophytes are fungi responsible for causing superficial infections. In
patients with diabetes mellitus (DM), dermatophytosis is usually more severe and
recurrent. In the present study, we aimed to investigate the influence of short
and long term hypoinsulinemia-hyperglycemia (HH) during experimental infection by
Trichophyton mentagrophytes as well as alterations in the mononuclear phagocytes.
Our results showed two distinct profiles of fungal outcome and immune response.
Short term HH induced a discrete impaired proinflammatory response by peritoneal
adherent cells (PAC) and a delayed fungal clearance. Moreover, long term HH mice
showed low and persistent fungal load and a marked reduction in the production of
TNF-alpha by PAC. Furthermore, while the inoculation of TM in non-HH mice
triggered high influx of Gr1(+) monocytes into the peripheral blood, long term HH
mice showed low percentage of these cells. Thus, our results demonstrate that the
time of exposure of HH interferes with the TM infection outcome as well as the
immunobiology of mononuclear phagocytes, including fresh monocyte recruitment
from bone marrow and PAC activity.
PMID- 26538825
TI - Is Pulp Inflammation a Prerequisite for Pulp Healing and Regeneration?
AB - The importance of inflammation has been underestimated in pulpal healing, and in
the past, it has been considered only as an undesirable effect. Associated with
moderate inflammation, necrosis includes pyroptosis, apoptosis, and nemosis.
There are now evidences that inflammation is a prerequisite for pulp healing,
with series of events ahead of regeneration. Immunocompetent cells are recruited
in the apical part. They slide along the root and migrate toward the crown. Due
to the high alkalinity of the capping agent, pulp cells display mild
inflammation, proliferate, and increase in number and size and initiate
mineralization. Pulp fibroblasts become odontoblast-like cells producing type I
collagen, alkaline phosphatase, and SPARC/osteonectin. Molecules of the SIBLING
family, matrix metalloproteinases, and vascular and nerve mediators are also
implicated in the formation of a reparative dentinal bridge, osteo/orthodentin
closing the pulp exposure. Beneath a calciotraumatic line, a thin layer
identified as reactionary dentin underlines the periphery of the pulp chamber.
Inflammatory and/or noninflammatory processes contribute to produce a reparative
dentinal bridge closing the pulp exposure, with minute canaliculi and large
tunnel defects. Depending on the form and severity of the inflammatory and
noninflammatory processes, and according to the capping agent, pulp reactions are
induced specifically.
PMID- 26538826
TI - Anti-Inflammatory Effect of 1,3,5,7-Tetrahydroxy-8-isoprenylxanthone Isolated
from Twigs of Garcinia esculenta on Stimulated Macrophage.
AB - Garcinia Linn. plants having rich natural xanthones and benzophenones with anti
inflammatory activity attracted a great deal of attention to discover and develop
them as potential drug candidates. Through screening targeting nitric oxide
accumulation in stimulated macrophage, we found that 1,3,5,7-tetrahydroxy-8
isoprenylxanthone (TIE) had potential anti-inflammatory effect. To understand how
TIE elicits its anti-inflammatory activity, we uncovered that it significantly
inhibits the production of nitric oxide (NO) and prostaglandin E2 (PGE2) in
LPS/IFNgamma-stimulated RAW264.7 cells. In further study, we showed that TIE
reduced the expression of inducible nitric oxide synthase (iNOS) and
cyclooxygenase-2 (COX-2), two key molecules responsible for the production of NO
and PGE2 during inflammation progress. Additionally, TIE also suppressed the
expression of inflammatory cytokines IL-6, IL-12, and TNF-alpha. TIE-led
suppression in iNOS, COX-2, and cytokines production were probably the
consequence of TIE's capability to block ERK and p38MAPK signaling pathway.
Moreover, TIE blocked activation of nuclear factor-kappa B (NF-kappaB) as well as
NF-kappaB regulation of miR155 expression. Our study suggests that TIE may
represent as a potential therapeutic agent for the treatment of inflammatory
diseases.
PMID- 26538827
TI - Downregulation of mPGES-1 Expression via EGR1 Plays an Important Role in
Inhibition of Caffeine on PGE2 Synthesis of HBx(+) Hepatocytes.
AB - We investigated the mechanism of caffeine in influencing HBx(+) hepatocytes to
synthesize PGE2. The inhibitory effect of caffeine on hepatocyte proliferation
increased with increasing caffeine concentrations (200-800 MUM) and treatment
times (1-7 days), which was first observed at the second test time point
(caffeine treatment for 4 days). The inhibition of caffeine on the growth of
HL7702-HBx and HepG2-HBx cells was most obvious at 800 MUM caffeine and at
caffeine treatment for 7 days. The PGE2 secretion and the expression of mPGES-1
and EGR1 were downregulated, whereas PPARgamma expression was upregulated. The
mPGES-1 promoter activity of HBx(+) hepatocytes decreased more significantly than
that of HBx(-) hepatocytes. Moreover, the expression of EGR1 and PPARgamma
changed more significantly in HBx(+) hepatocytes cultured for 12 to 24 hours in
the presence of 5 mM caffeine. This limited success may be attributed to caffeine
releasing the binding of HBx and PPARgamma and furthermore affecting the mPGES-1
expression by EGR1 in HBx(+) hepatocytes. The results indicate that caffeine
could effectively reduce PGE2 synthesis in HBx(+) hepatocytes by specifically
blocking the PPARgamma-EGR1-mPGES-1 pathway, thereby providing a new evidence of
molecular biology for the hypothesis that drinking coffee is beneficial to HBV
infected patients.
PMID- 26538829
TI - Urinary Malondialdehyde Is Associated with Visceral Abdominal Obesity in Middle
Aged Men.
AB - The purpose of the present study was to investigate multiple anthropometric
parameters used to evaluate obesity, particularly visceral abdominal fat area,
and various metabolic parameters including malondialdehyde (MDA) as an oxidative
stress marker. We evaluated various measures of obesity, including body mass
index (BMI), waist circumference (WC), sagittal abdominal diameter, fat
percentages using dual-energy X-ray absorptiometry, visceral fat area (VFA),
subcutaneous fat area, multiple biomarkers related to metabolic disease, and
urinary MDA, in 73 asymptomatic middle-aged men who were not severely obese. We
examined relationships between multiple measures of obesity, metabolic markers,
and urinary MDA levels and evaluated associations between VFA and urinary MDA. In
the visceral obesity group, gamma-glutamyl transferase (GGT), uric acid, and
urinary MDA levels were significantly higher than in the nonvisceral obesity
group (P = 0.008, P = 0.002, and P = 0.018). Urinary MDA (r = 0.357, P = 0.002)
and uric acid (r = 0.263, P = 0.027) levels were only significantly positively
correlated with VFA among measures of obesity. Urinary MDA, serum GGT, and serum
CRP were significantly positively associated with VFA (P = 0.001, P = 0.046, and
P = 0.023, resp.), even after adjusting for BMI and WC.
PMID- 26538830
TI - Inflammation as a Driver of Clonal Evolution in Myeloproliferative Neoplasm.
AB - Our understanding of inflammation's role in the pathogenesis of
myeloproliferative neoplasm (MPN) is evolving. The impact of chronic
inflammation, a characteristic feature of MPN, likely goes far beyond its role as
a driver of constitutional symptoms. An inflammatory response to the neoplastic
clone may be responsible for some pathologic aspects of MPN. Moreover, JAK2V617F
mutated hematopoietic stem and progenitor cells are resistant to inflammation,
and this gives the neoplastic clone a selective advantage allowing for its clonal
expansion. Because inflammation plays a central role in MPN inflammation is a
logical therapeutic target in MPN.
PMID- 26538831
TI - Influence of Malondialdehyde and Matrix Metalloproteinase-9 on Progression of
Carotid Atherosclerosis in Chronic Renal Disease with Cardiometabolic Syndrome.
AB - Objective was to assess whether the concentration of malondialdehyde (MDA) as a
marker of lipid peroxidation and serum concentration of matrix metalloproteinase
9 (MMP-9) are involved in the process of atherosclerosis in chronic kidney
disease (CKD) patients nondialysis-dependent and those on peritoneal dialysis
(PD), both with signs of cardiometabolic syndrome (CMS). Thirty CKD and 22 PD
patients were included in a study. All observed patients were divided into three
subgroups depending on the degree of atherosclerotic changes in the carotid
arteries (CA). Severity of atherosclerotic changes in the CA was evaluated by
ultrasonography. We confirmed significantly lower level of serum MDA throughout
all the stages of atherosclerosis in PD patients compared with observed CKD
patients (P < 0.05) and increased serum concentration of MDA and MMP-9 with the
progression of severity atherosclerotic changes in both groups of patients. The
multiple regression analysis revealed that MDA and MMP-9 are significant
predictors of changes in IMT-CA CKD patients (P < 0.05) and plaque score on CA in
these patients (P < 0.05). The results suggest that MDA and MMP-9 could be
mediators of CKD-related vascular remodeling in CMS.
PMID- 26538828
TI - Phenotypes, Risk Factors, and Mechanisms of Adult-Onset Asthma.
AB - Asthma is a heterogeneous disease with many phenotypes, and age at disease onset
is an important factor in separating the phenotypes. Genetic factors, atopy, and
early respiratory tract infections are well-recognized factors predisposing to
childhood-onset asthma. Adult-onset asthma is more often associated with obesity,
smoking, depression, or other life-style or environmental factors, even though
genetic factors and respiratory tract infections may also play a role in adult
onset disease. Adult-onset asthma is characterized by absence of atopy and is
often severe requiring treatment with high dose of inhaled and/or oral steroids.
Variety of risk factors and nonatopic nature of adult-onset disease suggest that
variety of mechanisms is involved in the disease pathogenesis and that these
mechanisms differ from the pathobiology of childhood-onset asthma with prevailing
Th2 airway inflammation. Recognition of the mechanisms and mediators that drive
the adult-onset disease helps to develop novel strategies for the treatment. The
aim of this review was to summarize the current knowledge on the pathogenesis of
adult-onset asthma and to concentrate on the mechanisms and mediators involved in
establishing adult-onset asthma in response to specific risk factors. We also
discuss the involvement of these mechanisms in the currently recognized
phenotypes of adult-onset asthma.
PMID- 26538834
TI - Therapeutic Effect of Chenodeoxycholic Acid in an Experimental Rabbit Model of
Osteoarthritis.
AB - Osteoarthritis (OA) is a slowly progressive joint disease typically seen in
middle-age to elderly people. At present, there is no ideal agent to treat OA.
Chenodeoxycholic acid (CDCA) was a principal active constituent from animal bile.
However, the therapeutic effect of CDCA on OA severity was largely unknown. The
purpose of this study was to evaluate the therapeutic effect of intra-articular
injection of CDCA in a rabbit OA model. OA was induced in experimental rabbits by
anterior cruciate ligament transection (ACLT) and then rabbits were intra
articularly injected with CDCA (10 mg/kg or 50 mg/kg) once per week for 5 weeks.
The results showed that CDCA significantly decreased cartilage degradation on the
surface of femoral condyles, reducing the pathological changes of articular
cartilage and synovial membrane by macroscopic and histological analysis. CDCA
also significantly decreased bone destruction and erosion of joint evaluated by
micro-CT. Furthermore, CDCA could markedly reduce the release of matrix
metalloproteinase-1 (MMP-1), matrix metalloproteinase-3 (MMP-3), interleukin
1beta (IL-1beta), and prostaglandin E2 (PGE2) in synovial fluid. These
observations highlight CDCA might be a potential therapeutic agent for OA.
PMID- 26538835
TI - Erythropoietin Exacerbates Inflammation and Increases the Mortality of
Histoplasma capsulatum-Infected Mice.
AB - Erythropoietin (EPO) is a key hormone involved in red blood cell formation, but
its effects on nonerythroid cells, such as macrophages, have not been described.
Macrophages are key cells in controlling histoplasmosis, a fungal infection
caused by Histoplasma capsulatum (Hc). Considering that little is known about
EPO's role during fungal infections and its capacity to activate macrophages, in
this study we investigated the impact of EPO pretreatment on the alveolar immune
response during Hc infection. The consequence of EPO pretreatment on fungal
infection was determined by evaluating animal survival, fungal burden, activation
of bronchoalveolar macrophages, inflammatory mediator release, and lung
inflammation. Pretreatment with EPO diminished mononuclear cell numbers,
increased the recruitment of F4/80(+)/CD80(+) and F4/80(+)/CD86(+) cells to the
bronchoalveolar space, induced higher production of IFN-gamma, IL-6, MIP-1alpha,
MCP-1, and LTB4, reduced PGE2 concentration, and did not affect fungal burden. As
a consequence, we observed an increase in lung inflammation with extensive tissue
damage that might account for augmented mouse mortality after infection. Our
results demonstrate for the first time that EPO treatment has a deleterious
impact on lung immune responses during fungal infection.
PMID- 26538833
TI - The Role of Reactive Oxygen Species in Myelofibrosis and Related Neoplasms.
AB - Reactive oxygen species (ROS) have been implicated in a wide variety of disorders
ranging between traumatic, infectious, inflammatory, and malignant diseases. ROS
are involved in inflammation-induced oxidative damage to cellular components
including regulatory proteins and DNA. Furthermore, ROS have a major role in
carcinogenesis and disease progression in the myeloproliferative neoplasms
(MPNs), where the malignant clone itself produces excess of ROS thereby creating
a vicious self-perpetuating circle in which ROS activate proinflammatory pathways
(NF-kappaB) which in turn create more ROS. Targeting ROS may be a therapeutic
option, which could possibly prevent genomic instability and ultimately
myelofibrotic and leukemic transformation. In regard to the potent efficacy of
the ROS-scavenger N-acetyl-cysteine (NAC) in decreasing ROS levels, it is
intriguing to consider if NAC treatment might benefit patients with MPN. The
encouraging results from studies in cystic fibrosis, systemic lupus
erythematosus, and chronic obstructive pulmonary disease warrant such studies. In
addition, the antioxidative potential of the widely used agents, interferon
alpha2, statins, and JAK inhibitors, should be investigated as well. A
combinatorial approach using old agents with anticancer properties together with
novel JAK1/2 inhibitors may open a new era for patients with MPNs, the outlook
not only being "minimal residual disease" and potential cure but also a marked
improvement in inflammation-mediated comorbidities.
PMID- 26538836
TI - Protein Phosphatase 2A Mediates Oxidative Stress Induced Apoptosis in
Osteoblasts.
AB - Osteoporosis is one of the most common bone diseases, which is characterized by a
systemic impairment of bone mass and fragility fractures. Age-related oxidative
stress is highly associated with impaired osteoblastic dysfunctions and
subsequent osteoporosis. In osteoblasts (bone formation cells), reactive oxygen
species (ROS) are continuously generated and further cause lipid peroxidation,
protein damage, and DNA lesions, leading to osteoblastic dysfunctions,
dysdifferentiations, and apoptosis. Although much progress has been made, the
mechanism responsible for oxidative stress induced cellular alternations and
osteoblastic toxicity is still not fully elucidated. Here, we demonstrate that
protein phosphatase 2A (PP2A), a major protein phosphatase in mammalian cells,
mediates oxidative stress induced apoptosis in osteoblasts. Our results showed
that lipid peroxidation products (4-HNE) may induce dramatic oxidative stress,
inflammatory reactions, and apoptosis in osteoblasts. These oxidative stress
responses may ectopically activate PP2A phosphatase activity, which may be
mediated by inactivation of AKT/mTOR pathway. Moreover, inhibition of PP2A
activity by okadaic acid might partly prevent osteoblastic apoptosis under
oxidative conditions. These findings may reveal a novel mechanism to clarify the
role of oxidative stress for osteoblastic apoptosis and provide new possibilities
for the treatment of related bone diseases, such as osteoporosis.
PMID- 26538832
TI - Friends or Foes: Matrix Metalloproteinases and Their Multifaceted Roles in
Neurodegenerative Diseases.
AB - Neurodegeneration is a chronic progressive loss of neuronal cells leading to
deterioration of central nervous system (CNS) functionality. It has been shown
that neuroinflammation precedes neurodegeneration in various neurodegenerative
diseases. Matrix metalloproteinases (MMPs), a protein family of zinc-containing
endopeptidases, are essential in (neuro)inflammation and might be involved in
neurodegeneration. Although MMPs are indispensable for physiological development
and functioning of the organism, they are often referred to as double-edged
swords due to their ability to also inflict substantial damage in various
pathological conditions. MMP activity is strictly controlled, and its
dysregulation leads to a variety of pathologies. Investigation of their potential
use as therapeutic targets requires a better understanding of their contributions
to the development of neurodegenerative diseases. Here, we review MMPs and their
roles in neurodegenerative diseases: Alzheimer's disease (AD), Parkinson's
disease (PD), amyotrophic lateral sclerosis (ALS), Huntington's disease (HD), and
multiple sclerosis (MS). We also discuss MMP inhibition as a possible therapeutic
strategy to treat neurodegenerative diseases.
PMID- 26538837
TI - Natural Products: Insights into Leishmaniasis Inflammatory Response.
AB - Leishmaniasis is a vector-borne disease that affects several populations
worldwide, against which there are no vaccines available and the chemotherapy is
highly toxic. Depending on the species causing the infection, the disease is
characterized by commitment of tissues, including the skin, mucous membranes, and
internal organs. Despite the relevance of host inflammatory mediators on parasite
burden control, Leishmania and host immune cells interaction may generate an
exacerbated proinflammatory response that plays an important role in the
development of leishmaniasis clinical manifestations. Plant-derived natural
products have been recognized as bioactive agents with several properties,
including anti-protozoal and anti-inflammatory activities. The present review
focuses on the antileishmanial activity of plant-derived natural products that
are able to modulate the inflammatory response in vitro and in vivo. The
capability of crude extracts and some isolated substances in promoting an anti
inflammatory response during Leishmania infection may be used as part of an
effective strategy to fight the disease.
PMID- 26538838
TI - Sensory Neuropeptides and Endogenous Opioids Expression in Human Dental Pulp with
Asymptomatic Inflammation: In Vivo Study.
AB - PURPOSE: This study quantified the expression of substance P (SP), calcitonin
gene-related peptide (CGRP), beta-endorphins (beta-End), and methionine
enkephalin (Met-Enk) in human dental pulp following orthodontic intrusion.
METHODS: Eight patients were selected according to preestablished inclusion
criteria. From each patient, two premolars (indicated for extraction due to
orthodontic reasons) were randomly assigned to two different groups: the
asymptomatic inflammation group (EXPg), which would undergo controlled intrusive
force for seven days, and the control group (CTRg), which was used to determine
the basal levels of each substance. Once extracted, dental pulp tissue was
prepared to determine the expression levels of both neuropeptides and endogenous
opioids by radioimmunoassay (RIA). RESULTS: All samples from the CTRg exhibited
basal levels of both neuropeptides and endogenous opioids. By day seven, all
patients were asymptomatic, even when all orthodontic-intrusive devices were
still active. In the EXPg, the SP and CGRP exhibited statistically significant
different levels. Although none of the endogenous opioids showed statistically
significant differences, they all expressed increasing trends in the EXPg.
CONCLUSIONS: SP and CGRP were identified in dental pulp after seven days of
controlled orthodontic intrusion movement, even in the absence of pain.
PMID- 26538841
TI - Multiples sclerosis in India.
PMID- 26538839
TI - Treatment of Cancer Pain by Targeting Cytokines.
AB - Inflammation is one of the most important causes of the majority of cancer
symptoms, including pain, fatigue, cachexia, and anorexia. Cancer pain affects 17
million people worldwide and can be caused by different mediators which act in
primary efferent neurons directly or indirectly. Cytokines can be aberrantly
produced by cancer and immune system cells and are of particular relevance in
pain. Currently, there are very few strategies to control the release of
cytokines that seems to be related to cancer pain. Nevertheless, in some cases,
targeted drugs are available and in use for other diseases. In this paper, we aim
to review the importance of cytokines in cancer pain and targeted strategies that
can have an impact on controlling this symptom.
PMID- 26538842
TI - Neuromyelitis optica spectrum disorders: An update.
AB - Recent advances in the understanding of neuromyelitis optica spectrum of
disorders (NMOSD) have expanded. Diagnostic criteria have changed over the years.
The clinical spectrum of disease manifestations are now understood to include
sites outside the spinal cord and optic nerve. A variety of autoimmune diseases
may coexist with this disorder. Non neurological manifestations have been
recently reported. Novel biomarkers other than aquoporin 4 Immunoglobulin G (anti
AQP4-IgG) have been discovered which may have clinical relevance. In particul
myelin associated oligoglycoprotein antibody (MOG-Ab) associated NMOSD may be
relatively benign. This update describes some of these new findings highlighting
the clinical manifestations, biomarkers associated with the disease and magnetic
resonance imaging characteristics of brain and spinal cord.
PMID- 26538840
TI - Haemodynamic response associated with both ictal and interictal epileptiform
activity using simultaneous video electroencephalography/near infrared
spectroscopy in a within-subject study.
AB - This paper reports the findings from a pilot study of four patients with
medically refractory epilepsy undergoing pre-surgical evaluation with ages
ranging from 5 to 17 years. Video electroencephalography recordings and data from
a near infrared spectroscopy cerebral/somatic oximeter were gathered and related
to electrographic seizure onset and offset as determined by a paediatric
epileptologist. All four patients showed haemodynamic changes associated with
epileptiform activities. The increased blood flow clearly coincided with
epileptiform activity and continued to increase as the epileptiform activity
built up. Regional cerebral oxygen saturation increased in the epileptogenic
focus, perhaps due to loss of cerebrovascular autoregulation. These findings
reinforce that near infrared spectroscopy can potentially be used in a wide
spectrum of patients with epilepsy regardless of the underlying brain pathology.
PMID- 26538843
TI - Therapy of NMO spectrum disorders.
AB - Neuromyelitis optica (NMO) is an autoimmune demyelinating condition of the
central nervous system often associated with aquaporin-4 (AQP4) autoantibodies
manifesting as severe optic neuritis and long segment myelitis with tendency to
relapse. Seronegative patients and who do not meet the NMO criteria are
classified as having NMO Spectrum Disorder (NMOSD), but are treated identically
to clinically definite NMO. Acute relapse is treated with intravenous
methylprednisolone for 5 days with or without subsequent treatment with plasma
exchange (PE). This must be followed by oral steroid to prevent rebound worsening
and further relapse. For relapse prevention, immunosuppressive agents that have
been found to be effective are azathioprine, rituximab, mycophenolate mofetil,
methotrexate, and mitoxantrone; although none of which have been validated in
randomized, controlled trial. Some patients do relapse with monotherapy, and
switching to more effective agent or use of combination therapy is beneficial in
such situation. There is no consensus about the duration of preventive therapy,
but generally 2-3 years of relapse-free period is considered the minimum, taking
into account the risks of long-term toxicity of these agents.
PMID- 26538844
TI - Multiple sclerosis in India: An overview.
AB - Multiple sclerosis (MS) is being increasingly diagnosed in India mainly due to
increase in the number of practicing neurologists and easy and affordable
availability of magnetic resonance imaging (MRI). The clinical features and
course are largely similar to those seen in the West. The term optico-spinal MS
(Asian MS) was coined in the pre-MRI days. Many such patients turn out to be
cases of neuromyelitis optica - a distinct disorder and not a variant of MS.
Others have shown the classical features of MS on MRI scan. Several of the
disease-modifying agents, not all, are now available in India. Their use,
however, has been limited in view of the high cost.
PMID- 26538845
TI - Systematic imaging review: Multiple Sclerosis.
AB - Multiple sclerosis (MS) is a chronic, inflammatory disease of the central nervous
system characterised by immune-mediated demyelination, and is a leading cause of
neurological disability worldwide. It has a wide spectrum of clinical
presentations which overlap with other neurological conditions many times.
Further, the radiological array of findings in MS can also be confused for
multiple other conditions, leading to the need to look for the more typical
findings, and interpret these in close conjunction with the clinical picture
including temporal evolution. This review aims to revisit the MRI findings in MS,
including recent innovations in imaging, and to help distinguish MS from its
mimics.
PMID- 26538846
TI - Newer therapies for multiple sclerosis.
AB - The newer immunotherapies for multiple sclerosis (fingolimod, natalizumab,
dimethyl fumarate, teriflunomide, alemtuzumab) offer advantages of efficacy or
tolerability over the injectable therapies of the 1990s. But they also have
greater risks. As further treatments emerge (daclizumab and ocrelizumab are
likely to be licensed in the next two years), the physician needs to be able to
place them within a complex landscape of drugs and a specific treatment strategy,
which may be an "escalation" or "induction" approach. Whilst on treatment,
neurologist and patient need to be vigilant to signs of disease breakthrough or
adverse effects.
PMID- 26538848
TI - Rehabilitation of multiple sclerosis patients in India.
AB - Multiple sclerosis (MS) is a chronic progressive disease which is one of the
leading causes of handicap in young subjects. The large range of symptoms
associated with MS lead to continuing decline in neurologic status and quality of
life. The coexistence of physical and cognitive impairments, together with the
imprevisible evolution of the disease makes MS rehabilitation very challenging.
The main objective of rehabilitation is, therefore, to ease the burden of
symptoms by improving self-performance and independence. Inpatient, outpatient
and Home rehabilitation with multidisciplinary team has been shown to be
beneficial in improving disability. Individualized programs elaborated by a
multidisciplinary team of experts are the key to success of rehabilitation.
Family plays a big role and Family Based Rehabilitation will be important in long
term rehab program in MS.
PMID- 26538847
TI - Symptomatic management in multiple sclerosis.
AB - Multiple sclerosis (MS) is the commonest cause of disability in young adults.
While there is increasing choice and better treatments available for delaying
disease progression, there are still, very few, effective symptomatic treatments.
For many patients such as those with primary progressive MS (PPMS) and those that
inevitably become secondary progressive, symptom management is the only treatment
available. MS related symptoms are complex, interrelated, and can be
interdependent. It requires good understanding of the condition, a holistic
multidisciplinary approach, and above all, patient education and empowerment.
PMID- 26538849
TI - Acquired demyelinating disorders of central nervous system: A pediatric cohort.
AB - OBJECTIVE: This is a retrospective chart review of consecutive children with
acquired demyelinating disorders presenting to a north Indian tertiary care
hospital over 4 years. The aim of this review is to describe all the patients
(with single event as well as those with recurrences) with detailed description
of those who recurred. MATERIALS AND METHODS: Overall 35 cases were reviewed and
their clinical presentations, diagnosis, management, and follow-up are being
presented. RESULTS: Out of 35 cases, 24 did not show any recurrences (seven acute
disseminated encephalomyelitis (ADEM) and 17 clinically isolated syndromes).
Amongst the 11 patients with recurrent demyelination, majority were multiple
sclerosis (8/11, 72.7%) followed by neuromyelitis optica (NMO; 2/11), and
multiphasic ADEM (1/11). The median disease duration and follow-up since onset
for those with recurrent episodes is 4 years (2.5-4.5 years). Steroids caused
significant improvement in acute episodes of demyelination. However, recurrent
demyelinating disorders like multiple sclerosis and NMO required long-term
immunomodulation. Azathioprine currently is the most favored long-term
immunomodulator used in NMO. Interferon-beta and glatiramer acetate are currently
recommended for multiple sclerosis. However, azathioprine may be a suitable
alternative in a resource-limited setting. CONCLUSION: The consensus definitions
for these groups of disorders need further validation in the pediatric age group.
Studies with larger population size are required to characterize features that
predict future recurrences.
PMID- 26538850
TI - Multisystem involvement in neuromyelitis optica.
AB - We describe a case of pediatric neuromyelitis optica (NMO) with muscle and lung
involvement in addition to central nervous system disease. Our patient initially
presented with features of area postrema syndrome, then subsequently with optic
neuritis. The patient also had recurrent hyperCKemia that responded to
corticosteroids. Finally, axillary and hilar adenopathy with pulmonary
consolidation were noted as well and responded to immunomodulation. Our case
highlights multisystem involvement in NMO including non-infectious pulmonary
findings which have not been described in the pediatric population previously.
PMID- 26538852
TI - From the Editor's desk.
PMID- 26538851
TI - Epidemiology and genetic aspects of multiple sclerosis in India.
AB - Multiple sclerosis (MS) is a chronic inflammatory demyelinating disease of the
central nervous system with a complex pathophysiology. Considered a rare disease
in India in the past, studies over time suggest an increase in subjects with MS
in India, although the observations are limited by the lack of formally conducted
epidemiological studies and the absence of a nationwide registry. The current
World Health Organization (WHO) Multiple Sclerosis International Federation
(MSIF) "Atlas of MS" 2013 estimates a prevalence rate of 5-20 per 100,000, which
also seems an underestimate. Although there have been reports of phenotypic
differences between MS in Indians and the Western counterparts, recent studies
report a reasonable similarity in disease types and characteristics. A few
studies on the genetics of MS have been reported, including human leukocyte
antigen (HLA) associations and non-major histopathology complex (MHC) disease
loci. The current review discusses the pivotal studies of the past, newer
observations on MS from India, and the need for a national registry.
PMID- 26538853
TI - Endometrial receptivity array: Clinical application.
AB - Human implantation is a complex process requiring synchrony between a healthy
embryo and a functionally competent or receptive endometrium. Diagnosis of
endometrial receptivity (ER) has posed a challenge and so far most available
tests have been subjective and lack accuracy and a predictive value. Microarray
technology has allowed identification of the transcriptomic signature of the
window of receptivity window of implantation (WOI). This technology has led to
the development of a molecular diagnostic tool, the ER array (ERA) for diagnosis
of ER. Use of this test in patients with recurrent implantation failure (RIF) has
shown that the WOI is displaced in a quarter of these patients and use of a
personalized embryo transfer (pET) on the day designated by ERA improves
reproductive performance. Our results in the Indian population revealed an
endometrial factor in 27.5% RIF patients, which was significantly greater than
the non-RIF group 15% (P = 0.04). After pET, the overall ongoing pregnancy rate
was 42.4% and implantation rate was 33%, which was at par with our in-vitro
fertilization results over 1-year. We also performed ERA in patients with
persistently thin endometrium, and it was reassuring to find that the endometrium
in 75% of these patients was receptive despite being 6 mm or less. A pregnancy
rate of 66.7% was achieved in this group. Though larger studies are required to
validate these results ERA has become a useful tool in our diagnostic
armamentarium for ER.
PMID- 26538854
TI - Dhat syndrome: Evolution of concept, current understanding, and need of an
integrated approach.
AB - Dhat syndrome has often been construed as a culture-bound sexual neurosis of the
Indian subcontinent. Symptoms similar to that of Dhat syndrome has been described
in other cultures across different time periods. The present paper looks at the
evolution of the concept of Dhat syndrome in India. The review also takes an
overview of the current understanding of this syndrome in terms of nosological
status as a distinct entity and its "culture-bound" status. The narrative finally
attempts to discuss the integrated approach for the treatment of this disorder.
PMID- 26538855
TI - Dehydroepiandrosterone as an adjunct to gonadotropins in infertile Indian women
with premature ovarian aging: A pilot study.
AB - BACKGROUND: Dehydroepiandrosterone (DHEA) supplementation is a relatively recent
development that augments ovarian responsiveness in patients with poor ovarian
reserve and premature ovarian aging (POA). AIMS: To evaluate the efficacy of DHEA
supplementation prior to gonadotropins for ovulation induction in women with POA.
DESIGN: Prospective randomized controlled study. METHODS: Fifty infertile women
with POA were randomized into two groups of 25 each. Group 1 received tablet DHEA
25 mg while group 2 received placebo thrice daily for 6 months. After 3 months,
gonadotropin induction with intrauterine insemination was done. STATISTICAL
ANALYSIS: Groups were compared using t-test and Mann-Whitney U-test as
appropriate. Pre- and post-parameters were compared using t-test -paired and
Wilcoxon signed-rank tests as appropriate. RESULTS: Of 50 patients, 62% (31/50)
presented with primary and 38% (19/50) with secondary infertility. The mean age
was 32.1 +/- 4.7 years. Serum antimullerian hormone levels (1.5 +/- 0.6-1.9 +/-
0.4 ng/ml vs. 1.4 +/- 0.5-1.5 +/- 0.6 ng/ml) and antral follicle count (3.2 +/-
1.0-9.3 +/- 3.1 vs. 3.3 +/- 1.1-3.4 +/- 1.4) improved significantly in DHEA group
after 3 months. Serum follicular stimulating hormone and estradiol levels though
showed significant intra-group improvement (16.9 +/- 5.5 mIU/ml to 14.7 +/- 6.2
mIU/ml and 86.6 +/- 57.5 pg/ml to 105.6 +/- 54.3 pg/ml, respectively) with DHEA,
the inter group difference was not significant. Ovulation increased from 48% to
86.3% in DHEA group versus 44-66% in placebo group. Six women (24%) conceived
after DHEA in comparison to none in the placebo group. CONCLUSIONS: DHEA
supplementation may have a beneficial role as an adjunct to gonadotropins in the
treatment of infertility with POA, but further evidence is required.
PMID- 26538856
TI - Clomiphene based ovarian stimulation in a commercial donor program.
AB - OBJECTIVE: This study was conducted to compare an extended clomiphene-based
ovarian stimulation regimen with the conventional antagonist protocol in donor
recipient cycles. MATERIALS AND METHODS: A total of 170 (N) donors were
stimulated between January 2013 and December 2013. Conventional antagonist
protocol (group I) was employed in (n1 = 31) cycles, and clomiphene was used in
(n2 = 139) donor cycles (group II). 50 mg clomiphene was given simultaneously
with gonadotropins from day 2 of the cycle until the day of trigger. The analysis
was performed retrospectively for oocytes retrieved, fertilization rates, cycle
cancelation, blastocyst formation, and pregnancy rates. The dosages, cost, and
terminal E2 (estradiol) were also compared between the two groups. RESULTS: The
donor age groups were comparable in both the groups. There were no unsuccessful
egg retrievals with clomiphene. The pregnancy rate (positive beta human chorionic
gonadotropin) was significantly higher in the clomiphene group (odds ratio:
2.453; P = 0.02). Similarly, fertilization rate was significantly higher in the
clomiphene group (59.5/50.5, P = 0.04). Eggs retrieved were similar in both
groups, but the terminal E2 was significantly higher in the clomiphene group (P =
0.001). Average gonadotropin used was also significantly lower in clomiphene
group (P < 0.001). CONCLUSION: Clomiphene can effectively prevent luteinizing
hormone surge and limit the dose of gonadotropins thus bringing down the costs
and its negative impact on the endometrium and oocyte quality.
PMID- 26538857
TI - Pregnancy outcome of assisted reproductive technology cycle in patients with
hypogonadotropic hypogonadism.
AB - CONTEXT: Ovulation induction in patients with hypogonadotropic hypogonadism (HH)
is a challenge to the treating physician. The threshold for ovarian response in
HH may differ substantially from that of normal patients. To reach that threshold
levels of follicle stimulating hormone, in a step-up protocol longer duration of
stimulation is required in some cases so as to prevent multiple pregnancy and to
eliminate the risk of ovarian hyperstimulation syndrome. AIM: To evaluate the
duration of stimulation, quality of oocytes, and embryo, and the pregnancy
outcome in the assisted reproductive technology (ART) cycles in patients with HH.
MATERIALS AND METHODS: Over the period of 4 years, we had 14 patients with HH in
whom 21 cycles of ovulation induction were done. Of these 7 patients underwent
oocyte retrieval and intracytoplasmic sperm injection (ICSI). We present a
retrospective study of these 7 patients who underwent ART to evaluate the
duration of stimulation, quality of oocytes and embryo, and the pregnancy
outcome. RESULTS: In the study group on ovulation induction with gonadotropins,
only one patient had the duration of stimulation of the standard 12 days, the
remaining 6 patients took >=12 days to respond to stimulation (maxium being 54
days). Mean ET in these patients was 8.9 mm. Six patients had >70% good quality
MII oocytes. One patient responded poorly and had only 2 good quality MII oocytes
(50%). After ICSI procedure, resultant embryos were of grade 1 and 2 in all the
patients irrespective of the duration of stimulation. Fertilization rate in these
patients was 85% (except in one 50% fertilization rate), and the cumulative
pregnancy rate was 68.6%. CONCLUSION: In the patients with HH the quality of
oocytes and embryos, and the pregnancy rate is not affected even if the duration
of stimulation is prolonged.
PMID- 26538858
TI - Effect of endometrial biopsy on intrauterine insemination outcome in controlled
ovarian stimulation cycle.
AB - OBJECTIVE: The objective was to evaluate the effect of endometrial biopsy (EB) on
intrauterine insemination (IUI) outcome in controlled ovarian stimulation (COS)
cycle. DESIGN: Prospective randomized control study. SETTING: Tertiary care
center. MATERIALS AND METHODS: A total of 251 subjects were enrolled in the
study. Subjects undergoing COS with IUI were randomly allocated into three
groups. Group A: EB was taken between D19 and 24 of the spontaneous menstrual
cycles that precedes the fertility treatment and IUI, which was done in next
cycle (n = 86). Group B: EB was taken before D6 of the menstrual cycle, and
fertility treatment and IUI was done in the same cycle (n = 90). Group C:
(control group) no EB in previous 3 cycle (n = 75). MAIN OUTCOME MEASURE:
Clinical pregnancy rate (CPR). RESULTS: Clinical pregnancy rate was 19.77%,
31.11%, and 9.3% for Group A, Group B, and Group C, respectively. The results
show a highly significant value for the paired t-test of intervention Group B and
control Group C of the cases (P = 0.000957). CPR was maximum after first cycle of
ovulation induction and IUI following EB scratch in both Groups A and in Group B
(P < 0.001). CONCLUSIONS: Endometrial biopsy done in early follicular phase in
the same cycle of stimulation with IUI gives better CPR as compared with EB done
in the luteal phase of the previous cycle.
PMID- 26538859
TI - Microdeletion of Y chromosome as a cause of recurrent pregnancy loss.
AB - CONTEXT: In majority of couples experiencing recurrent pregnancy loss (RPL),
etiology is still unknown. Two genetic factors have been suggested to underlie
miscarriage in a subset of patients, namely skewed X chromosome inactivation in
females and Y chromosome microdeletions in their partners. In males,
microdeletions of the Y chromosome are known to cause spermatogenetic failure and
male infertility. AIMS: The aim of the study was to find out the role of Y
chromosome microdeletion in male partners of couples experiencing RPL. SETTINGS
AND DESIGN: University hospital and genetic laboratory. Prospective case-control
study. SUBJECTS AND METHODS: 59 couples with a history of RPL and 20 fertile
controls (FC) with no miscarriage were included in the study. The study subjects
were divided into male partners of RPL couples with abnormal semen parameters
(AS) (n = 8), and couples with normal semen parameters (NS) (n = 51). Fertile
controls with normal semen parameters were (FC) (n = 20). Y chromosome
microdeletion was performed on 40 male partners of RPL and 20 FC. STATISTICAL
ANALYSIS USED: Chi-square test. P <0.05 were considered statistically
significant. RESULTS: 13 of the 40 RPL cases showed deletion in three azoospermia
factor loci on the long arm of Y chromosome. The P value was significant with Y
chromosome microdeletion in RPL cases as compared to 20 FC where no Y chromosome
microdeletion was present. CONCLUSIONS: Y chromosome microdeletion may be an
important hidden cause of recurrent pregnancy miscarriage and can be offered to
couples with the undiagnosed cause of miscarriage.
PMID- 26538860
TI - Relevance of semen polymerase chain reaction positive for tuberculosis in
asymptomatic men undergoing infertility evaluation.
AB - OBJECTIVE: Male partners of infertile women with genital tuberculosis (TB) are
often screened for genital TB. We aimed to evaluate the clinical significance of
a positive screening semen polymerase chain reaction (PCR) for Mycobacterium
tuberculosis test (TB-PCR) in asymptomatic men undergoing infertility evaluation
and determine the need for a detailed investigation and treatment for TB.
MATERIALS AND METHODS: Between March 2012 and January 2013, male partners of 15
infertile women with a diagnosis of genitourinary TB (GUTB) as the cause of
infertility, tested positive either on semen PCR for TB (13 cases), or
Mycobacterium Growth Indicator Tube-960 test (2 cases). These asymptomatic men
underwent infertility evaluation along with evaluation for GUTB. Diagnosis of
GUTB was based on standard clinical criteria, which included a high index of
suspicion along with clinical, laboratory, and/or radiological evidence of GUTB.
Men who had no clinical evidence of GUTB were followed up with clinical
evaluation, semen analysis, and repeat semen PCR for TB after 6 months. RESULTS:
Fourteen subjects consented for inclusion in the study. One had a history of
pulmonary TB 20 years earlier. Another patient was found to have mediastinal
lymphadenopathy (tubercular). All except one had a normal semen analysis. None of
the patients met the standard clinical criteria for GUTB diagnosis. 8 patients
followed up at 6 months with repeat semen analysis, which was similar to the
baseline values and no clinical evidence of TB. INTERPRETATION AND CONCLUSIONS:
Asymptomatic men with positive screening semen PCR for TB do not have clinical
evidence of TB. Male partners of women with infertility and GUTB should not be
screened if they have no symptoms.
PMID- 26538861
TI - Empty follicle syndrome: Successful pregnancy following dual trigger.
AB - Empty follicle syndrome (EFS) is an uncommon, but the frustrating complication of
assisted reproductive technology with failure to obtain oocytes after an adequate
ovarian response to stimulation. Most of the reported cases of EFS are drug
related problems which are actually avoidable and do not represent any potential
pathology and that the risk of genuine EFS (GEFS) is much smaller than was once
thought. Our case is the first report of a pregnancy obtained after management of
GEFS with dual trigger in a gonadotropin-releasing hormone (GnRH) antagonist
cycle. In this report, we present a patient who underwent two oocyte retrievals,
in which no oocytes were obtained. In the third in-vitro fertilization cycle, a
dual trigger with the combination of GnRH agonist and human chorionic
gonadotropin yielded 11 oocytes, which led to the transfer of 2 blastocysts
resulting in a live birth. Changing the treatment protocol with dual trigger
brought about a successful outcome.
PMID- 26538862
TI - Successful fertilization and embryo development after spermatid injection: A hope
for nonobstructive azoospermic patients.
AB - Spermatids are the earliest male germ cells with haploid set of chromosomes.
Spermatid injection was introduced in human assisted reproduction for the
treatment of men with non-obstructive azoospermia. Spermatozoa can be recovered
in half of patients with nonobstructive azoospermia. The use of spermatids for
intracytoplasmic injection (ICSI) has been proposed for cases in which no
spermatozoa can be retrieved. However, there are low pregnancy rates following
ICSI using round spermatids from men with no elongated spermatids or spermatozoa
in their testes. The in vitro culture of immature germ cells has been proposed as
a means to improve this poor outcome. Oocyte activation rarely occurs when
injected with a spermatid. Therefore, spermatid injection requires use of calcium
ionophores for oocyte activation which is otherwise carried out by PLC zeta from
mature sperms. This is the only option available for the nonobstructive
azoospermic patients to have their own biological child.
PMID- 26538863
TI - Pregnancy outcome with coexisting mole after intracytoplasmic sperm injection: A
case series.
AB - Partial/complete hydatidiform mole with coexisting fetus is a rare condition.
Optimal management is a challenge that remains a dilemma since these pregnancies
are associated with maternal as well as fetal complications including hemorrhage,
preeclampsia, thromboembolic disease, intra uterine demise and increased risk of
persistent trophoblastic disease. Here we report 2 cases of partial mole with
live fetus after ICSI and a case of complete mole with coexisting fetus after
ICSI in a turner mosaic that resulted in a live birth.
PMID- 26538864
TI - Deep venous thrombosis in a patient undergoing In-vitro fertilization with oocyte
donation.
AB - Deep venous thrombosis (DVT) has been reported extensively following ovarian
hyperstimulation syndrome during in-vitro fertilization (IVF). Pregnancy per se
increases the risk of DVT due to a hypercoagulable state. The long-term use of
hormone replacement therapy (HRT) is another critical factor associated with DVT
in women. However, an association between the short-term use of HRT in oocyte
donation (OD) cycles and DVT has not yet been reported. We present a case of 43
year-old woman who developed DVT after IVF-OD. DVT was diagnosed at 7 weeks of
pregnancy and was managed with low-molecular-weight heparin. We suggest that even
a short-term use of HRT should be considered a risk factor for DVT especially in
the presence of additional risk factors such as obesity. The patient had an
uneventful recovery and delivered three healthy though preterm babies.
PMID- 26538865
TI - Sample size estimation and power analysis for clinical research studies:
Retraction.
AB - [This retracts the article on p. 7 in vol. 5, PMID: 22870008.].
PMID- 26538866
TI - Understanding implantation window, a crucial phenomenon: Retraction.
AB - [This retracts the article on p. 2 in vol. 5, PMID: 22870007.].
PMID- 26538867
TI - Pulmonary Proteome and Protein Networks in Response to the Herbicide Paraquat in
Rats.
AB - Paraquat (PQ) has been one of the most widely used herbicides in the world. PQ,
when ingested, is toxic to humans and may cause acute respiratory distress
syndrome. To investigate molecular perturbation in lung tissues caused by PQ,
Sprague Dawley male rats were fed with PQ at a dose of 25 mg/kg body weight for
20 times in four weeks. The effects of PQ on cellular processes and biological
pathways were investigated by analyzing proteome in the lung tissues in
comparison with the control. Among the detected proteins, 321 and 254 proteins
were over-represented and under-represented, respectively, in the PQ-exposed rat
lung tissues in comparison with the no PQ control. All over- and under
represented proteins were subjected to Ingenuity Pathway Analysis to create 25
biological networks and 38 pathways of interacting protein clusters. Over
represented proteins were involved in the C-jun-amino-terminal kinase pathway,
caveolae-mediated endocytosis signaling, cardiovascular-cancer-respiratory
pathway, regulation of clathrin-mediated endocytosis, non-small cell lung cancer
signaling, pulmonary hypertension, glutamate receptor, immune response and
angiogenesis. Under-represented proteins occurred in the p53 signaling pathway,
mitogen-activated protein kinase signaling pathway, cartilage development and
angiogenesis inhibition in the PQ-treated lungs. The results suggest that PQ may
generate reactive oxygen species, impair the MAPK/p53 signaling pathway, activate
angiogenesis and depress apoptosis in the lungs.
PMID- 26538869
TI - Indian Academy of Dental Specialists releases it's annual dental science
literature as print dental suppliment in association with jpbsonline.org from
India, August 2015.
PMID- 26538868
TI - Oral antibiotics in trans-rectal prostate biopsy and its efficacy to reduce
infectious complications: Systematic review.
AB - For the diagnosis of prostate cancer trans-rectal prostate biopsy (TRPB) is used
commonly, the procedure is associated with infective complications. There is
evidence that antibiotics (ABx) decrease infective events after TRPB, but
different regimens are used. To systematically review different regimens of
prophylactic oral ABx in TRPB. MEDLINE, EMBASE, clinical trials site, and
Cochrane library were searched, experts were consulted for relevant studies.
Randomized clinical trials conducted in the last 20 years, which investigated the
different oral antibiotic regimens in TRPB, and compared their efficacy to reduce
infectious complications were analyzed. Primary outcomes were bacteriuria,
urinary tract infection (UTI), fever, bacteremia, and sepsis. Secondary outcomes
were the hospitalization rate and the prevalence of ABx-resistant bacteria. Nine
trials were eligible with 3012 patients. ABx prevented bacteriuria (3.5% vs.
9.88%), UTI (4.46% vs. 9.75%), and hospitalization (0.21% vs. 2.13%)
significantly in comparison with placebo or no treatment. No significant
difference was found in all the outcomes of the review between the single dose
regimen and the 3 days. The single dose regimen was as effective as the multiple
doses except in bacteriuria (6.75% vs. 3.25%), and the prevalence of ABx
resistant bacteria (1.57% vs. 0.27%). Quinolones reduced only UTI significantly
in comparison with other ABx (chloramphenicol, trimethoprim-sulfamethoxazol). It
is essential to prescribe prophylactic ABx in TRPB. No conclusive evidence could
be claimed about the superiority of the multiple or the 3 days regimens to the
single dose regimen. Unexpectedly, ABx-resistant bacteria were identified more
often in the single dose cohorts.
PMID- 26538870
TI - Caries management by risk assessment: A review on current strategies for caries
prevention and management.
AB - The current trend in treating dental caries is using nondestructive risk-based
caries management strategies rather than focusing on the restorative treatment
alone. Currently, there have been many changes in understanding of the
multifaceted nature of caries process and its management. Caries Management by
Risk Assessment (CAMBRA) which is an evidence-based approach focuses on
determining many factors causing the expression of disease and take corrective
action. The clinicians can ascertain what behaviors are increasing a patient's
risk for disease and disease progression by evaluating the current caries risk of
a patient. With this modern CAMBRA protocol, a novel treatment plan can be
designed to arrest dental caries thereby decreasing the chance of cavitation.
After the recognition of the multi factorial nature of caries involving the
biofilm, the contemporary approaches focused mainly on the various options to
cope with the locally out-of-balance oral biofilm and stop the progression of the
disease. The initial caries lesions can be diagnosed with modern diagnostic aids
and with the help of CAMBRA, reestablishment of the integrity of the tooth
surface early on in the caries process will bring great rewards for patients.
This review focuses on the repair of hard tooth tissues using noninvasive
strategies.
PMID- 26538872
TI - Enzymatic antioxidants and its role in oral diseases.
AB - Antioxidants are substances that when present at very low concentration inhibits
the oxidation of a molecule. It has the capacity to nullify the ill effects of
oxidation caused by free radicals in the living organisms. The unpaired electrons
of these free radicals are highly reactive and neutralize the harmful reactions
of human metabolism. Protection of the body against free radicals is provided by
some enzymes which come under a distinctive group, concerned solely with the
detoxification of these radicals. Superoxide dismutase (SOD), glutathione
peroxidase (GPX) and catalase are the key enzymatic antioxidants of this defense
system by which the free radicals that are produced during metabolic reactions
are removed. This review highlights the mechanism of action of enzymatic
antioxidants SOD, GPX and catalase and its role in oral disease.
PMID- 26538871
TI - Biomarkers in orthodontic tooth movement.
AB - Tooth movement by orthodontic treatment is characterized by remodeling changes in
the periodontal ligament, alveolar bone, and gingiva. A reflection of these
phenomenons can be found in the gingival crevicular fluid (GCF) of moving teeth,
with significant elevations in the concentrations of its components like,
cytokines, neurotransmitters, growth Factors, and a arachidonic acid metabolites.
GCF arises at the gingival margin and can be described as a transudate or an
exudate. Several studies have focused on the composition of GCF and the changes
that occur during orthodontic tooth movement (OTM). GCF component analysis is a
non-invasive method for studying the cellular response of the underlying
periodontium. Clinically, GCF can be easily collected using platinum loops,
filter paper strips, gingival washings, and micropipettes. A number of GCF
biomarkers involve in bone remodeling during OTM. The data suggest that knowledge
of all the biomarkers present in the GCF that can be used to mark the changes in
tooth that is undergoing orthodontic treatment may be of clinical usefulness
leading to proper choice of mechanical stress to improve and to shorten treatment
time and avoid side effects.
PMID- 26538873
TI - Friction in orthodontics.
AB - Conventional wisdom suggests that resistance to sliding (RS) generated at the
wire-bracket interface has a bearing on the force transmitted to the teeth. The
relative importance of static and kinetic friction and also the effect of
friction on anchorage has been a topic of debate. Lot of research work has been
done to evaluate the various factors that affect friction and thus purportedly
retards the rate of tooth movement. However, relevancy of these studies is
questionable as the methodology used hardly simulates the oral conditions. Lately
studies have concluded that more emphasis should be laid on binding and notching
of archwires as these are considered to be the primary factors involved in
retarding the tooth movement. This article reviews the various components
involved in RS and the factors affecting friction. Further, research work should
be carried out to provide cost effective alternatives aimed at reducing friction.
PMID- 26538874
TI - Halitosis - An overview: Part-I - Classification, etiology, and pathophysiology
of halitosis.
AB - Halitosis is a condition where the breath is altered in an unpleasant manner for
the affected individuals and impairs them socially as well as psychologically.
Halitosis can be clinically classified as real halitosis, pseudohalitosis, and
halitophobia. Real halitosis has oral and extra-oral etiologies and the
pathophysiology involves interaction of anaerobic microbes (mainly) with the
proteins present in the oral cavity fluids and contents, resulting in production
of volatile sulfur compounds (VSCs). These VSCs, beyond responsible for
halitosis, can also initiate and accelerate periodontal disease progression.
Thus, this review is about the pathophysiology and various etiologies of
halitosis, the knowledge of which can help in the betterment of treatment
options.
PMID- 26538875
TI - Role of proteomics in physiologic and pathologic conditions of dentistry:
Overview.
AB - Proteomics is the study of structure and function of proteins in a large scale.
For any living organism, preteins are considered to be the vital part because of
its role in metabolic pathways of cells. These proteins not only play a role in
physiological condition of the cell but also in altered manner during pathologic
conditions. These altered proteins in diseased conditions are called as
biomarkers. Several such biomarkers were identified in oral diseaes. This review
is a brief note on proteins involved in odontogenesis and list of altered
proteins proteins identified in various dental and oral diseases. The knowledge
about the role of proteomics in dentistry and the importance of proteomic studies
in early diagnosis and prognostic part of oral diseases helps in appliction of
precised and sucessful treatment.
PMID- 26538876
TI - Denture bar-coding: An innovative technique in forensic dentistry.
AB - Denture markers play an important role in forensic odontology and also in
identifying a person. A number of methods are there for identifying dentures from
a less expensive technique to a more expensive technique. Out of different
denture markers, the bar-coding system is a way of collecting data from the
mobile. Even a huge amount of data can be stored in that. It can be easily
incorporated during acrylization of the denture and thus could be helpful in
identification. This article reviews the strengths of bar-coding and how easily
it can be used in the routine procedure.
PMID- 26538877
TI - Liaison between micro-organisms and oral cancer.
AB - Oral cancer which is a subtype of head and neck, cancer is any neoplastic tissue
growth in the oral cavity. It comprises an abnormal mass of cells that foists
genetic mutation and impedes the normal cell cycle, resulting in its unrestrained
growth. Various studies on the plausible link between oral microbial flora and
cancer notwithstanding, our understanding of their link remains obscure and
inadequate. The multitude of mechanisms by which the microflora initiate or spur
Carcinogenesis are still under study and scrutiny. As is widely known, the oral
cavity is an abode to a wide assortment of microbes, each present in contrasting
amounts. It is observed that increased growth of the microflora is concomitant
with known clinical risk factors for oral cancer. Manifold bacterial species have
been found to interfere directly with eukaryotic cellular signaling, adopting a
style typical of tumor promoters. Bacteria are also known to impede apoptosis
thereby potentially promoting carcinogenesis. The viral role in carcinogenesis
(by annulling of p53 tumor suppressor gene and other cellular proteins with
subsequent alteration in host genome function) is well documented. Furthermore,
the changes occurring in the commensal microflora in accompaniment with cancer
development could possibly be used as a diagnostic indicator for early cancer
detection. The intention of this review is to obtain a better understanding of
the "role" that micro-organisms play in oral cancer etiology.
PMID- 26538879
TI - Eagle's syndrome - Masquerading as ear pain: Review of literature.
AB - The name styloid process (SP) was derived from the Greek word "stylos" meaning a
pillar. It is a bony, cylindrical, needle-shaped projection, which originates
from the posterior-inferior side of the petrous bone, immediately in front of the
stylomastoid foramen, and goes obliquely down and forward. When elongated leads
to pain and discomfort called Eagle's syndrome. Elongated SP accounts
approximately to 4-7% of the population, 4% only are symptomatic.
PMID- 26538878
TI - Stem cells: An insight into the therapeutic aspects from medical and dental
perspectives.
AB - The recent advancements in the field of stem cell (SC) biology have increased the
hope of achieving the definitive treatments for the diseases which are now
considered incurable such as diabetes, Parkinson's disease and other chronic long
standing conditions. To achieve this possibility, it is necessary to understand
the basic concepts of SC biology to utilize in various advanced techniques of
regenerative medicine including tissue engineering and gene therapy. This article
highlights the types of SCs available and their therapeutic capacity in
regenerative medical and dental fields.
PMID- 26538880
TI - Autofluorescence based diagnostic techniques for oral cancer.
AB - Oral cancer is one of the most common cancers worldwide. Despite of various
advancements in the treatment modalities, oral cancer mortalities are more,
particularly in developing countries like India. This is mainly due to the delay
in diagnosis of oral cancer. Delay in diagnosis greatly reduces prognosis of the
treatment and also cause increased morbidity and mortality rates. Early diagnosis
plays a key role in effective management of oral cancer. A rapid diagnostic
technique can greatly aid in the early diagnosis of oral cancer. Now a day's many
adjunctive oral cancer screening techniques are available for the early diagnosis
of cancer. Among these, autofluorescence based diagnostic techniques are rapidly
emerging as a powerful tool. These techniques are broadly discussed in this
review.
PMID- 26538881
TI - Squamous cell carcinoma and dental implants: A systematic review of case reports.
AB - Cancer is one of the leading causes of death world-wide. Apart from the most
common etiopathological factors related to cancer, at times very rare causes such
as irritant or foreign body induced carcinogenesis is not to be overlooked. To
systematically review case reports concerned with the association between dental
implants and oral squamous cell carcinoma. A Medline (PubMed), Cochrane database,
and Google Scholar search was conducted of dental article published in English
related to case reports concerned with oral squamous cell carcinoma occurring
around dental implants from 2000 to 2014. Twenty articles were retrieved, which
included 20 rare case reports which were systematically reviewed and the results
were obtained pertaining to age, clinical symptoms, habits, previous history of
cancer, potentially malignant disorders, systemic illness, and local factors. It
is imperative to identify promptly persisting inflammation associated with
implants. Since malignancy may disguise as periimplantitis, especially in
patients who are at risk with contributing prominent predisposing factors.
PMID- 26538882
TI - Robotics and medicine: A scientific rainbow in hospital.
AB - The journey of robotics is a real wonder and astonishingly can be considered as a
scientific rainbow showering surprising priceless power in the era of future
technologies. The astonishing seven technologies discussed in this paper are da
Vinci Robotic surgical system and sperm sorters for infertility, Veebot for blood
investigation, Hanako the robotic dental patient for simulating the dental
patient and helping a trainee dentist, RP-7 robot who is around-the-clock
physician connecting the physician and patient, Robot for Interactive Body
Assistance (RIBA) who is a RIBA serving as a nurse, Bushbot serving as a
brilliant surgeon, and Virtibot helping in virtual autopsy. Thus, robotics in
medicine is a budding field contributing a great lot to human life from before
birth to afterlife in seven forms thus gracefully portraying a scientific rainbow
in hospital environment.
PMID- 26538883
TI - Harvesting dental stem cells - Overview.
AB - Dental stem cells have recently become one of the widely researched areas in
dentistry. Ever since the identification of stem cells from various dental
tissues like deciduous teeth, dental papilla, periodontal ligament and third
molars, storing them for future use for various clinical applications was being
explored. Dental stem cells were harvested and isolated using various techniques
by different investigators and laboratories. This article explains the technical
aspects of preparing the patient, atraumatic and aseptic removal of the tooth and
its safe transportation and preservation for future expansion.
PMID- 26538884
TI - The extended tentacles of laser - From diagnosis to treatment in orthodontics: An
overview.
AB - Since the introduction of lasers in dentistry in the mid-1990's, research in
laser supported dental therapies is progressing at a rapid pace. Orthodontics is
no exception. In orthodontics, lasers have many diagnostic, therapeutic, and
biomodulating applications. To update the various applications of lasers in
orthodontics. Lasers work by delivering energy in the form of light. Laser,
striking the biological tissues can either get reflected, absorbed or scattered
depending on several factors. Depending on the fate of the emitted laser, it can
be applied for different diagnostic, therapeutic and surgical procedures. The
knowledge and understanding of different types of lasers and its specific
applications is a prerequisite before it can be applied beneficially. In
Orthodontics, the versatility of laser has expanded into bonding, curing,
debonding, imaging, growth modification, pain reduction, etc. Definitely laser
has extended its tentacles from diagnosis to treatment in orthodontics.
PMID- 26538885
TI - Posturedontics: How does dentistry fit you?
AB - Dentists are at high risk for musculoskeletal disorders (MSD's) due to their
work. MSD's is an umbrella term for number of injuries affecting different parts
of the body, including joints, muscles, tendons, nerves that can arise from
sudden exertion or making the same motions repeatedly. These injuries can develop
over time and can lead to long-term disability. Dental professional often develop
musculoskeletal problems due to bad working habits, uncomfortable physical
posture causing unnecessary musculoskeletal loading, discomfort and fatigue.
Ergonomic principles when it is applied, it will help to reduce stress and
eliminate many potential injuries and disorders associated with the overuse of
muscles, bad posture, and repeated tasks. This can be accomplished by using a
proper dental chair, lighting and the selection of ergonomically-friendly
equipment to fit the dental professionals physical capabilities and limitations.
This review addresses about the basics of ergonomics, positioning, viewing,
handling, and prevention of MSD's.
PMID- 26538886
TI - Sex determination in forensic odontology: A review.
AB - Forensic odontology is the application of dental principles to legal issues. Sex
determination is a subdivision of forensic odontology and it is very important
especially when information relating to the deceased is unavailable. Sex
determination becomes the first priority in the process of identification of a
person by a forensic investigator in the case of mishaps, chemical and nuclear
bomb explosions, natural disasters crime investigations, and ethnic studies. This
article reviews upon the various methods used in sex determination.
PMID- 26538887
TI - Oral pigmentation: A review.
AB - Pigmentations are commonly found in the mouth. They represent in various clinical
patterns that can range from just physiologic changes to oral manifestations of
systemic diseases and malignancies. Color changes in the oral mucosa can be
attributed to the deposition of either endogenous or exogenous pigments as a
result of various mucosal diseases. The various pigmentations can be in the form
of blue/purple vascular lesions, brown melanotic lesions, brown heme-associated
lesions, gray/black pigmentations.
PMID- 26538888
TI - Role of micronucleus in oral exfoliative cytology.
AB - In the last few years, the interest for oral cytology as a diagnostic and
prognostic methodology, for monitoring patients in oral potentially malignant
disorders and oral cancer has re-emerged substantially. In 1983, buccal mucosal
micronuclei assay was first proposed to evaluate genetic instability. There are
biomarkers that predict if a potentially malignant disorder is likely to develop
into an aggressive tumor. These genotoxic and carcinogenic chemicals have been
reported to be potent clastogenic and mutagenic agents which are thought to be
responsible for the induction of chromatid/chromosomal aberrations resulting in
the production of micronuclei. Various studies have concluded that the gradual
increase in micronucleus (MN) counts from normal oral mucosa to potentially
malignant disorders to oral carcinoma suggested a link of this biomarker with
neoplastic progression. MN scoring can be used as a biomarker to identify
different preneoplastic conditions much earlier than the manifestations of
clinical features and might specifically be exploited in the screening of high
risk population for a specific cancer. Hence, it can be used as a screening
prognostic and educational tool in community centers of oral cancer.
PMID- 26538889
TI - Tools for evaluating oral health and quality of life.
AB - The seven dimensions of quality of life are required for a healthy living. Any
impairment or disability affects any one or more of these dimensions resulting in
functional impairment or handicap, which indicates the presence of disease. The
success of any oral treatment depends on how far the individual is relieved of
his disease process. Relief of symptoms provides patient comfort and enable
functional activities. This well-being is considered as a measure of oral health
and reflects patient satisfaction. This article presents various instruments or
tools available in the form of a questionnaire that estimates patient
satisfaction and thereby oral health.
PMID- 26538890
TI - Traumatic ulcerative granuloma with stromal eosinophilia - Mystery of
pathogenesis revisited.
AB - Oral ulcers are a common symptom in clinical practice. Among various causative
factors, different types of ulcers in oral cavity exist. Among this, traumatic
ulcerative granuloma with stromal eosinophilia (TUGSE) appears to be quite
neglected by the clinicians due to the limited knowledge and awareness. On
reviewing with a detailed approach to titles and abstracts of articles
eliminating duplicates, 40 relevant articles were considered. Randomized studies,
review articles, case reports and abstracts were included while conference papers
and posters were excluded. Of importance, TUGSE cases been reported only to a
minimal extent in the literature. Lack of its awareness tends to lead clinicians
to a misconception of cancer. Thus, this particular lesion needs to be
differentiated from other malignant lesions to provide a proper mode of
treatment. The present article reviews various aspects of the TUGSE with emphasis
on the clinical manifestation, pathogenesis, histological, and
immunohistochemical study. This study provides the clinician contemporaries, a
humble expansion to their knowledge of the disease, based on the searched
literature, enabling a more comprehensive management of this rare occurrence.
PMID- 26538891
TI - Natural head position: An overview.
AB - Cephalometrics has given us a different perspective of interpreting various
skeletal problems in the dentofacial complex. Natural head position (NHP) is a
reproducible, physiologically determined aspect of function. To determine NHP, a
horizontal or vertical reference line outside the crania was used, but preference
was given generally to the horizontal. Various intra and extracranial
cephalometric horizontal reference planes have been used to formulate diagnosis
and plan individualized treatment for an integrated correction of the
malocclusion cephalometrics is constantly undergoing refinements in its
techniques and analyses to improve the clinical applications. Even though various
methods for establishing NHP have been proposed, still it remains a challenge to
the clinicians to implement the concept of NHP thoroughly in all the stages of
treatment because of practical difficulties in the clinical scenario.
PMID- 26538892
TI - A review of clinical and radiological features of cleidocranial dysplasia with a
report of two cases and a dental treatment protocol.
AB - Cleidocranial dysplasia (CCD) is a rare autosomal dominant condition with
generalized dysplasia of bone characterized by delayed closure of cranial
sutures, hypoplastic or aplastic clavicles, short stature, dental abnormalities
and a variety of other skeletal abnormalities. We report two cases presenting
with classical features of CCD because of its rarity.
PMID- 26538893
TI - Three-dimensional assessment of facial asymmetry: A systematic review.
AB - For patients with facial asymmetry, complete and precise diagnosis, and surgical
treatments to correct the underlying cause of the asymmetry are significant.
Conventional diagnostic radiographs (submento-vertex projections, posteroanterior
radiography) have limitations in asymmetry diagnosis due to two-dimensional
assessments of three-dimensional (3D) images. The advent of 3D images has greatly
reduced the magnification and projection errors that are common in conventional
radiographs making it as a precise diagnostic aid for assessment of facial
asymmetry. Thus, this article attempts to review the newly introduced 3D tools in
the diagnosis of more complex facial asymmetries.
PMID- 26538894
TI - India's baby boomers: In driving need for dental care.
AB - The present paper aims to review the literature on increasing health care
challenges and needs of a growing Indian geriatric population. It also focuses on
the need to overcome the shortfalls in its current oral health status in elderly.
This review is based on a PubMed database search engine published in the period
from 1990 to 2010 in various dental journals. Different strategies are designed
to provide better facilities and easy access of these facilities not only to
elderly living in the city but to the one's in rural areas. It is emphasized that
geriatric dentistry should be included in the educational systems to help resolve
problems of oral health care for the elderly in India.
PMID- 26538895
TI - Construction of a three-dimensional finite element model of maxillary first molar
and it's supporting structures.
AB - The finite element method (FEM) is a powerful computational tool for solving
stress-strain problems; its ability to handle material inhomogeneity and complex
shapes makes the FEM, the most suitable method for the analysis of internal
stress levels in the tooth, periodontium, and alveolar bone. This article intends
to explain the steps involved in the generation of a three-dimensional finite
element model of tooth, periodontal ligament (PDL) and alveolar bone, as the
procedure of modeling is most important because the result is based on the nature
of the modeling systems. Finite element analysis offers a means of determining
strain-stress levels in the tooth, ligament, and bone structures for a broad
range of orthodontic loading scenarios without producing tissue damage.
PMID- 26538896
TI - A case-control study to detect the extent of DNA damage in oral lichen planus and
oral lichenoid reactions using comet assay.
AB - AIM: This study aims to quantify the extent of DNA damage in lymphocytes of
patients with oral lichen planus (OLP) and oral lichenoid reactions (OLRs) using
comet assay. METHODOLOGY: Lymphocytes from peripheral blood were subjected to
alkaline comet assay. Comet length (CL), head diameter (HD), percentage of DNA in
head, tail length (TL), percentage of DNA in tail, tail intensity, tail mean and
tail moment were compared between study group (OLP and OLR) and control group
using Student's t-test. Pearson's correlation coefficient was used to examine the
linear association between the variables. RESULTS: Significantly higher levels of
DNA damage was present in study group as reflected by CL, HD and TL, tail
intensity and tail moment with P = 0.0001; percentage of DNA in head and tail
with P = 0.02 and tail mean with P = 0.012. CONCLUSION: This study brings out the
fact that DNA damage measured by comet assay was greater in the study group when
compared to the control group. As a reflection of uniqueness, this study crowns
the scenario with respect to early detection and prevention of potentially
malignant disorders and the process of malignant transformation.
PMID- 26538897
TI - Estimation and comparison of serum cortisol levels in periodontally diseased
patients and periodontally healthy individuals: A clinical-biochemical study.
AB - INTRODUCTION: Psychological conditions, particularly psychosocial stress have
been implicated as risk indicators of periodontal disease. Stress increases
cortisol production from the adrenal cortex by stimulating an increase in the
release of ACTH from the pituitary gland. Increased cortisol production
suppresses the immune response and increases the potential of periodontal tissue
destruction. AIM: The aim of the present study was to estimate and compare the
serum cortisol levels in periodontally diseased patients and periodontally
healthy individuals. MATERIALS AND METHODS: Total of 45 subjects were recruited
for this study and were categorized into three groups. Group I - Comprised of
aggressive periodontitis patients (n = 15), Group II - Chronic periodontitis
patients (n = 15), and Group III - Healthy controls (n = 15). Serum samples were
collected from each of the groups and cortisol levels were determined using
cortisol immunoassay kit. Clinical examination covered probing depth, gingival
index (GI), gingival recession, plaque index, and clinical attachment level. The
statistical analysis was done using nonparameteric t-test and Spearman's rank
correlation coefficient. RESULTS: With respect to cortisol, the levels were
higher in Group-I compared to the other groups. On comparison of mean cortisol
levels among the groups, the values were statistically significant between Group
I and Group-III. Group-I showed a significant negative correlation between
cortisol levels and GI. CONCLUSION: Within the limits of the study serum cortisol
levels was higher in the chronic periodontitis group compared to the other
groups. Positive correlation was found between the cortisol levels and other
clinical parameters except for the GI.
PMID- 26538898
TI - Comparison of flexural strength in three types of denture base resins: An in
vitro study.
AB - AIM: The aim of this study was to evaluate whether the flexural strength of a
commercially available, heat polymerized acrylic denture base material could be
improved using reinforcements. MATERIALS AND METHODS: A total of 30 specimens (65
mm * 10 mm * 3 mm) were fabricated; the specimens were divided into three groups
with 10 specimens each. They were Group 1 - conventional denture base resins,
Group 2 - high impact denture base resins, and Group 3 - glass reinforced denture
base resins. The specimens were loaded until failure on a three-point bending
test machine. An one-way analysis of variance was used to determine statistical
differences among the flexural strength of three groups. Data were analyzed by
SPSS software version 21.0(c) (IBM Corporation, Armonk, NY, USA) and the results
were obtained. RESULTS: The flexural strength values showed statistically
significant differences among experimental groups (P < 0.005). CONCLUSION: Within
the limitations of the study polymethyl methacrylate (PMMA) reinforced with glass
fibers showed the highest flexural strength values this was followed by PMMA
reinforced with butadiene styrene, and the least strength was observed in the
conventional denture base resins.
PMID- 26538899
TI - Digital model as an alternative to plaster model in assessment of space analysis.
AB - INTRODUCTION: Digital three-dimensional models are widely used for orthodontic
diagnosis. The purpose of this study was to appraise the accuracy of digital
models obtained from computer-aided design/computer-aided manufacturing (CAD/CAM)
and cone-beam computed tomography (CBCT) for tooth-width measurements and the
Bolton analysis. MATERIALS AND METHODS: Digital models (CAD/CAM, CBCT) and
plaster model were made for each of 50 subjects. Tooth-width measurements on the
digital models (CAD/CAM, CBCT) were compared with those on the corresponding
plaster models. The anterior and overall Bolton ratios were calculated for each
participant and for each method. The paired t-test was applied to determine the
validity. RESULTS: Tooth-width measurements, anterior, and overall Bolton ratio
of digital models of CAD/CAM and CBCT did not differ significantly from those on
the plaster models. CONCLUSION: Hence, both CBCT and CAD/CAM are trustable and
promising technique that can replace plaster models due to its overwhelming
advantages.
PMID- 26538900
TI - Comparative analysis of gingival crevicular fluid a disintegrin and
metalloproteinase 8 levels in health and periodontal disease: A clinic
biochemical study.
AB - AIM AND BACKGROUND: A disintegrin and metalloproteinase 8 (ADAM8) is a marker
belonging to the class of ADAM family of metalloproteinase which is found to be
involved in inflammation and bone resorption in periodontal disease by acting as
osteoclast stimulating factor. In several systemic inflammatory diseases,
elevated levels of ADAM8 are detected in human serum and other body fluids.
Recently, ADAM8 was even detected in gingival crevicular fluid (GCF) of patients
with periodontal diseases. Hence, the aim of the study was to estimate the levels
of ADAM8 in GCF of healthy and chronic periodontitis subjects. MATERIALS AND
METHODS: Periodontal examination and collection of GCF by the extracrevicular
method was performed in 30 subjects selected randomly and categorized into two
groups. Group I (healthy, n = 15) and Group II (chronic periodontitis, n = 15).
ADAM8 levels in GCF were estimated by enzyme-linked immunosorbent assay. RESULTS:
ADAM8 was detected in both Group I and II. Highest mean ADAM8 concentration was
obtained for Group II, whereas the lowest concentration was seen in Group I. This
suggests that ADAM8 levels increase proportionally with the progression of
periodontal disease. There was a significant correlation between ADAM8 levels and
clinical parameters in the study group. CONCLUSION: The results of our study
indicate that the ADAM8 levels in GCF are positively associated with periodontal
disease, which may provide a useful tool in monitoring its progression.
Nevertheless, further longitudinal studies are required with larger sample sizes
in which ADAM8 levels are progressively estimated and compared to baseline
values.
PMID- 26538901
TI - Erosive potential of commonly used beverages, medicated syrup, and their effects
on dental enamel with and without restoration: An in vitro study.
AB - AIM: This study evaluates erosive potential of commonly used beverages, medicated
syrup, and their effects on dental enamel with and without restoration in vitro.
MATERIALS AND METHODS: Test medias used in this study included carbonated
beverage, noncarbonated beverage, high-energy sports drink medicated cough syrup,
distilled water as the control. A total of 110 previously extracted human
premolar teeth were selected for the study. Teeth were randomly divided into two
groups. Test specimens were randomly distributed to five beverages groups and
comprised 12 specimens per group. Surface roughness (profilometer) readings were
performed at baseline and again, following immersion for 14 days (24 h/day).
Microleakage was evaluated. The results obtained were analyzed for statistical
significance using SPSS-PC package using the multiple factor ANOVA at a
significance level of P < 0.05. Paired t-test, Friedman test ranks, and Wilcoxon
signed ranks test. RESULTS: For surface roughness high-energy sports drink and
noncarbonated beverage showed the highly significant difference with P values of
0.000 and 0.000, respectively compared to other test media. For microleakage high
energy sports drink had significant difference in comparison to noncarbonated
beverage (P = 0.002), medicated syrup (P = 0.000), and distilled water (P =
0.000). CONCLUSION: High-energy sports drink showed highest surface roughness
value and microleakage score among all test media and thus greater erosive
potential to enamel while medicated syrup showed least surface roughness value
and microleakage among all test media.
PMID- 26538902
TI - Evaluation of Health on the Net seal label and DISCERN as content quality
indicators for patients seeking information about thumb sucking habit.
AB - AIMS: The aim of this study was to evaluate the reliability of websites on the
thumb sucking habit using DISCERN instrument and Health on the Net (HON) seal
code at a single moment in time. SUBJECTS AND METHODS: An Internet search engine
(www.google.com) was used to identify websites comprising information on "thumb
sucking habit." Of over 204,000 links for thumb sucking habit, the first 100 were
analyzed in detail. After excluding discussion groups, news and video feeds, and
removing carbon copy sites, only 36 relevant websites remained, which were then
assessed using the DISCERN instrument and HON seal code. Using the 16 questions
of DISCERN for assessing the reliability and quality of the consumer information
which were scored from 1 to 5, an appropriate index of the quality of the
information was generated. All the assessed websites were also checked for
presence or absence of HON seal code. RESULTS: The maximum score attainable for
an outstanding website is 80. Of the 36 websites that were scored the highest
score obtained by one of the websites according to the DISCERN tool was 55 of 80,
and the lowest score achieved was 16 of 80. The websites achieving the maximum
and minimum score were children.webmd.com and thebehaviorsolution.com,
respectively. The HON seal was displayed only in three websites, which were
medicinenet.com, righthealth.com, and children.webmd.com. CONCLUSIONS: By
directing patients to validated websites on the thumb sucking habit, clinicians
can ensure patients find appropriate information.
PMID- 26538903
TI - Gender identification and morphologic classification of tooth, arch and palatal
forms in Saudi population.
AB - AIMS: To determine various tooth form, arch form, and palatal form with gender
identification between males and females of the Saudi population. MATERIALS AND
METHODS: Irreversible hydrocolloid impressions were made of the maxillary teeth
of 100 dentate male and female subjects to obtain study casts. A standardized
procedure was adopted to photograph the maxillary dental arches and the maxillary
central incisors on the study casts taken from each subject. The outline form of
tooth, arch, and palatal form were determined using a standardized method. The
average of six prosthodontist's evaluation was considered who classified the
outline tracings visually. The statistical analysis was performed using Chi
Square and results tabulated. RESULTS: The predominant tooth is combination form
in males and ovoid form in females, the predominant arch is ovoid form in males
and square form in females and the predominant palatal form are both U and V
shaped in males and U-shaped in females. CONCLUSION: Except for the tooth form
there was a significant difference with arch and palatal form among males and
females of the population group studied. The determined tooth, arch and palatal
forms are useful in selection and arrangement of artificial teeth among Saudi
edentulous population group. Generalizing from the study is questionable as the
sample size is small. Further studies should be conducted in a larger sample to
confirm the study results.
PMID- 26538904
TI - Prevalence of oral squamous cell carcinoma of tongue in and around Davangere,
Karnataka, India: A retrospective study over 13 years.
AB - AIM: The aim was to determine the frequency and distribution of oral squamous
cell carcinoma (OSCC) involving tongue among patients by studying biopsy
specimens obtained from the archives of the Department of Oral and Maxillofacial
Pathology, College of Dental Sciences, Davangere, Karnataka, India, during the
past 13 years. METHODOLOGY: Data for the study were retrieved from the case
records of patients. Analyzed clinical variables included age, sex, anatomical
site, and histological diagnosis. RESULTS: Of the 369 squamous cell carcinoma
involving head and neck region, we found 52 biopsies reported exclusively
involving tongue. Lateral border of the tongue was most commonly involved (43
cases, 82.7%), followed by base of tongue and posterior part of tongue. The
patient were affected over a wide range of 27-80 years with mean age of 55.75
years and peak incidence was seen in the fourth and fifth decades of life, with
the male: female ratio of 1.7:1. CONCLUSION: The prevalence rate of OSCC
involving tongue showed a definite geographic variation when compared with a
study done in other parts of the world.
PMID- 26538905
TI - A clinical study on oral lichen planus with special emphasis on
hyperpigmentation.
AB - BACKGROUND: Oral lichen planus (OLP) is a unique disorder affecting generally the
older age group. Numerous studies have been done on various aspects of OLP such
as pathogenesis, rate of malignant transformation, etc. However, very few studies
are available with respect to clinical features especially association of
hyperpigmentation and OLP. This study aims at studying the clinical aspects of
OLP and study the association between hyperpigmentation and OLP in a south Indian
population. MATERIALS AND METHODS: A total of 58 patients with OLP who attended
the outpatient department of our institution were included in the study and a
complete history, followed by thorough intraoral examination was done. All the
data were recorded and assessed for statistical analysis using SPSS software.
RESULTS: We found that the male to female ratio affected with OLP was 1:1 and the
most common form of OLP that was seen was the reticular subtype. Also, buccal
mucosa was the most common affected site and more than 60% patients had
hyperpigmentation associated with the site affected by OLP. We found a
statistically significant relation between the reticular type of OLP and the
older age group (51-70 years) with hyperpigmentation. CONCLUSION: Although
further studies are required to say anything conclusively, post-inflammatory
changes occurring the mucosa due to OLP could be a cause for hyperpigmentation in
the sites affected.
PMID- 26538906
TI - Clinical evaluation of nonsyndromic dental anomalies in Dravidian population: A
cluster sample analysis.
AB - AIM: To record the prevalence rate of dental anomalies in Dravidian population
and analyze the percentage of individual anomalies in the population.
METHODOLOGY: A cluster sample analysis was done, where 244 subjects studying in a
dental institution were all included and analyzed for occurrence of dental
anomalies by clinical examination, excluding third molars from analysis. RESULTS:
31.55% of the study subjects had dental anomalies and shape anomalies were more
prevalent (22.1%), followed by size (8.6%), number (3.2%) and position anomalies
(0.4%). Retained deciduous was seen in 1.63%. Among the individual anomalies,
Talon's cusp (TC) was seen predominantly (14.34%), followed by microdontia (6.6%)
and supernumerary cusps (5.73%). CONCLUSION: Prevalence rate of dental anomalies
in the Dravidian population is 31.55% in the present study, exclusive of third
molars. Shape anomalies are more common, and TC is the most commonly noted
anomaly. Varying prevalence rate is reported in different geographical regions of
the world.
PMID- 26538907
TI - Prediction of age and gender using digital radiographic method: A retrospective
study.
AB - AIM AND OBJECTIVE: To investigate age, sex based on gonial angle, width and
breadth of the ramus of the mandible by digital orthopantomograph. MATERIALS AND
METHODS: A total of 200 panoramic radiographic images were selected. The age of
the individuals ranged between 4 and 75 years of both the gender - males (113)
and females (87) and selected radiographic images were measured using KLONK image
measurement software tool with linear, angular measurement. The investigated
radiographs were collected from the records of SRM Dental College, Department of
Oral Medicine and Radiology. Radiographs with any pathology, facial deformities,
if no observation of mental foramen, congenital deformities, magnification, and
distortion were excluded. RESULTS: Mean, median, standard deviation, derived to
check the first and third quartile, linear regression is used to check age and
gender correlation with angle of mandible, height and width of the ramus of
mandible. CONCLUSION: The radiographic method is a simpler and cost-effective
method of age identification compared with histological and biochemical methods.
Mandible is strongest facial bone after the skull, pelvic bone. It is validatory
to predict age and gender by many previous studies. Radiographic and tomographic
images have become an essential aid for human identification in forensic
dentistry forensic dentists can choose the most appropriate one since the
validity of age and gender estimation crucially depends on the method used and
its proper application.
PMID- 26538908
TI - Coalition of attitude and practice behaviors among dental practitioners regarding
pregnant patient's oral health and pregnant patient's perception toward oral
health in and around Pondicherry.
AB - BACKGROUND: This study aims to evaluate the knowledge, attitude, practice
behaviors among general dental practitioners and assess the perception toward
oral health by pregnant patients in and around Puducherry. METHODOLOGY: A self
designed and structured questionnaire was used to obtain information from the
dental practitioner and the pregnant patients. RESULTS: The majority of the
dental practitioners had a lack of knowledge, attitude, practice behaviors
regarding pregnant patient's oral health and similarly majority of pregnant
patient's perception toward oral health was poor. CONCLUSIONS: Drowning and
dilemmatic attitude and practice behavior of dentists to be streamlined to render
right care to the pregnant women at the right time. Perplexing perception toward
oral health care by pregnant women to be overcome to orient them to understand
the impact of oral health on their general systemic health.
PMID- 26538909
TI - An in vitro evaluation of the demineralization inhibitory effect of F(-) varnish
and casein phosphopeptide-amorphous calcium phosphate on enamel in young
permanent teeth.
AB - AIMS AND OBJECTIVES: To determine the demineralization inhibitory potential of
fluoride varnish and casein phosphopeptide-amorphous calcium phosphate (CPP-ACP)
and to compare and evaluate the additive effect of fluoride varnish + CPP-ACP.
MATERIALS AND METHODS: Ten healthy premolar teeth that were extracted for
orthodontic purposes were collected, and each tooth was longitudinally sectioned
buccolingually and mesiodistally into four sections. The teeth were then assigned
to four different treatment groups namely fluoride varnish, CPP-ACP, F(-) varnish
followed by CPP-ACP and control. The prepared enamel samples were suspended in an
artificial caries challenge for 10 days. The demineralizing inhibitory effects of
the groups were recorded using polarized light microscopy. STATISTICAL ANALYSIS
USED: Statistical analysis was carried out using analysis of variance and
Duncan's multiple range tests. RESULTS: The mean lesion depths of all the groups
were Group 1 (fluoride varnish): 104.71, Group 2 (CPP-ACP): 127.09, Group 3: (F(
) varnish + CPP-ACP): 82.34, Group 4 (control): 146.93. CONCLUSION:
Demineralization inhibitory potential on the additive use of F(-) varnish and
casein phosphopeptide was superior to fluoride varnish or CPP-ACP applied alone
on the enamel of young permanent teeth.
PMID- 26538910
TI - Evaluation of sealing ability two self-etching adhesive systems and a glass
ionomer lining LC under composite restoration in primary tooth: An in vitro
study.
AB - AIMS AND OBJECTIVES: To evaluate the sealing ability of two self-etching adhesive
systems and glass ionomer cement (GIC) lining Light cure (LC) under composite
restorations in primary teeth. MATERIALS AND METHODS: Class V cavities are
prepared on the cervical third of the facial and lingual surfaces of primary
molars. The specimens are then assigned into four experimental groups. The
restored primary molars are stored in distilled water and subjected to
thermocycling. Each section was examined using a stereomicroscope to assess dye
penetration at the margin of the restoration and evaluated via pictures.
STATISTICAL ANALYSIS USED: The degree of microleakage was analyzed using Kruskal
Wallis test and the intergroup significance by multiple comparison analysis.
RESULTS: The mean rank of the groups are Group I (Adper PromptTM + Z-100) 19.44,
Group II (UniFil BOND + Solare) 5.38, Group III (GIC lining LC + Z-100) 20.06,
and Group IV (GIC lining LC + Solare) 21.13 with the P < 0.001. CONCLUSION:
Composite resin restorations bonded with two-step self-etching adhesive system
(UniFil Bond) exhibited lesser microleakage than one-step self-etching adhesive
system (AdperpromptTM) in primary teeth.
PMID- 26538911
TI - Sprue design alterations and its effect on the properties of base metal alloy
castings: An in vitro study.
AB - INTRODUCTION: To study the effect of various sprue designs on the properties of
base metal alloy castings. The base metal alloys are extensively used for their
excellent properties such as an increase in hardness, high melting range, high
elastic modulus, its compatibility with ceramic material and low cost. However,
to improve the properties of the base metal alloys is an ongoing research leading
to various modifications in their fabrication procedure - which include sprue
designs and their mode of attachment to the wax pattern. AIMS AND OBJECTIVES:
Study compared the effect of three sprue designs viz. conical sprue, cylindrical
sprue and bottleneck sprue on the properties of mass and microhardness of base
metal alloy castings. MATERIALS AND METHODS: A prefabricated wax mesh pattern was
selected for the fabrication of the alloy test samples in the study. The
cylindrical sprue design was connected to the mesh pattern with a straight
attachment. The bottleneck sprue design was connected to the mesh pattern with a
constricted attachment. The conical sprue design was connected to the mesh
pattern with a flared attachment. In this manner, ten samples for each of the
three different sprue designs were prepared. RESULTS: The obtained value for mass
and microhardness were subjected to statistical analysis. ANOVA test was
performed to determine the difference between the sprue designs. CONCLUSION: The
bottleneck sprue, conical sprue, and cylindrical sprue designs did not exert any
apparent influence on the mass and microhardness.
PMID- 26538912
TI - Root coverage using subepithelial connective tissue graft with platelet-rich
plasma in the treatment of gingival recession: A clinical study.
AB - INTRODUCTION: The presence of gingival recession associated with an insufficient
amount of keratinized tissue may indicate gingival augmentation procedure. It is
a multifaceted problem for which several treatment options are available. The
most predictable technique used for gingival augmentation is the subepithelial
connective tissue graft (SCTG). Platelet-rich plasma (PRP) is an enhanced source
of growth factors and helps in accelerated periodontal repair and regeneration.
AIMS: The aim of this study was to evaluate the efficacy of SCTG along with PRP
in the treatment of Miller's class I and II gingival recessions. MATERIALS AND
METHODS: Eleven subjects with Miller's class I and II gingival recessions were
treated using SCTG with PRP. Clinical variables, including plaque index, gingival
index, recession depth (RD), Recession width (RW), width of the keratinized
gingiva, probing pocket depth (PD) and clinical attachment level (CAL) were
recorded. Patients were recalled at baseline, 3 months, 6 months and 1-year after
surgery and clinical recordings were taken. Root coverage percentage (%) was
measured at the end of 1-year. RESULTS: The clinical parameters were analyzed
during the follow-up period by repeated measures ANOVA test. Twelve months follow
up results showed significant improvements in all the clinical parameters.
Reduction of recession resulted in a significant decrease in CAL, PD, RW and RD
at the end of 12 months. A statistically significant gain in width of keratinized
gingiva and a mean root coverage of 84.72 +/- 19.10 was obtained at the end of 12
months. CONCLUSION: From the results of this study, it may be concluded that SCTG
with PRP is an effective and predictable method to treat miller's class I and II
gingival recession.
PMID- 26538913
TI - Upper and lower pharyngeal airway space in West-Tamil Nadu population.
AB - AIM: To compare the upper and lower pharyngeal airway (LPA) width in Class II
malocclusion patients with low, average, and high vertical growth patterns. STUDY
DESIGN: Cross-sectional analytical study. MATERIALS AND METHODS: Pretreatment
lateral cephalometric films of 90 Class II subjects were used to measure the
upper and LPAs. The inclusion criteria were subjects of West-Tamil Nadu, aged
between 14 and 25 years, only skeletal Class II subjects of either gender and no
pharyngeal pathology at initial visit. The sample comprised a total of 90 Class
II subjects divided into three groups according to the vertical facial pattern:
Normodivergent (n = 30), hypodivergent (n = 30), and hyperdivergent (n = 30). The
assessment of upper and LPAs was done according to McNamara's airway analysis.
STATISTICAL ANALYSIS: The intergroup comparison of the upper and LPAs was
performed with one-way analysis of variance and the Tukey test was used to
compare among the various vertical patterns. RESULTS: Skeletal Class II subjects
with hyperdivergent facial pattern showed statistically significant narrow upper
pharyngeal width when compared to normodivergent and hypodivergent facial
patterns. No statistically significant difference was found in the lower
pharyngeal width in all three vertical facial growth patterns. CONCLUSION:
Subjects with Class II malocclusions and hyperdivergent growth pattern have
significantly narrow upper pharyngeal airway space when compared to other two
vertical patterns. Narrow pharyngeal airway space is one of the predisposing
factors for mouth breathing and obstructive sleep apnea.
PMID- 26538914
TI - Association between chronic periodontitis and hypertension in South Indian
population: A cross-sectional study.
AB - AIM: The aim of this work was investigating if there is any association between
chronic periodontitis and hypertension, to assess any individual relationship
with Basal metabolic index (BMI) and any association with white blood cell count
(WBC count) in South Indian population. SETTINGS AND DESIGN: This study is a
cross-sectional study. The study sample consisted of 77 patients between the age
group of 30-50 years, who were included after excluding risk factors for
hypertension. SUBJECTS AND METHODS: This cross-sectional study was conducted in
Sree Balaji Dental College in the Outpatient Department of Periodontology. Blood
pressure (BP) was recorded in the sitting, standing, and lying positions. The
three positions were examined to assess the mean value of BP. Other data
collected include sociodemographic details, diet, education status, height,
weight, BMI, and WBC. The periodontal status of the subjects was assessed by the
bleeding index (Ainamo and Bay) and community periodontal index by community
periodontal index and treatment needs. STATISTICAL DATA: The statistical data
were assessed by SPSS software version 17. RESULTS: There were 77 subjects
participated in this study. However, there was an association between BMI sitting
systolic BP is negative the P = 0.044, which is significant. Mean of generalized
chronic periodontitis in sitting systolic BP is 118.0833 (95% confidence
intervals 112.17 +/- 123.99). CONCLUSIONS: We did not find any statistical
significance between these two variables. However, this study is a cross
sectional study further; longitudinal studies need to be done to establish the
true phenomena.
PMID- 26538915
TI - Comparative evaluation of the effect of denture cleansers on the surface
topography of denture base materials: An in-vitro study.
AB - AIMS: The aim was to evaluate and compare the effects of three chemically
different commercially available denture cleansing agents on the surface
topography of two different denture base materials. MATERIALS AND METHODS: Three
chemically different denture cleansers (sodium perborate, 1% sodium hypochlorite,
0.2% chlorhexidine gluconate) were used on two denture base materials (acrylic
resin and chrome cobalt alloy) and the changes were evaluated at 3 times
intervals (56 h, 120 h, 240 h). Changes from baseline for surface roughness were
recorded using a surface profilometer and standard error of the mean (SEM) both
quantitatively and qualitatively, respectively. Qualitative surface analyses for
all groups were done by SEM. STATISTICAL ANALYSIS USED: The values obtained were
analyzed statistically using one-way ANOVA and paired t-test. RESULTS: All three
denture cleanser solutions showed no statistically significant surface changes on
the acrylic resin portions at 56 h, 120 h, and 240 h of immersion. However, on
the alloy portion changes were significant at the end of 120 h and 240 h.
CONCLUSION: Of the three denture cleansers used in the study, none produced
significant changes on the two denture base materials for the short duration of
immersion, whereas changes were seen as the immersion periods were increased.
PMID- 26538916
TI - Efficacy of curcumin as an adjunct to scaling and root planning in chronic
periodontitis patients: A clinical and microbiological study.
AB - BACKGROUND AND OBJECTIVES: Curcumin is a naturally occurring anti-inflammatory
agent with various biologic and medicinal properties. Its therapeutic
applications have been studied in a variety of conditions, but only few studies
have evaluated the efficacy of curcumin as local drug delivery agent and in the
treatment of periodontitis. The present study was to evaluate the efficacy of the
adjunctive use of curcumin with scaling/root planing as compared with
scaling/root planing alone in the treatment of the chronic periodontitis.
MATERIALS AND METHODS: Thirty patients with two sites in the contralateral
quadrants having probing pocket depths (PPDs) of >=5 mm were selected. Full mouth
scaling and root planing (SRP) was performed followed by application of curcumin
gel on a single side. Assessment of plaque index (PI), gingival index (GI), PPD,
and clinical attachment levels (CALs) were done at baseline and at 4(th) week.
Microbiologic assessment with polymerase chain reaction was done for
Porphyromonas gingivalis, Tanerella forsythia, and Treponema denticola by
collection of plaque samples. RESULTS: The results revealed that there was a
reduction in PI, GI, probing depth, CAL, and microbiologic parameters in test
sites following SRP and curcumin gel application, when compared with SRP alone in
control group. CONCLUSION: The local application of curcumin in conjunction with
scaling and root planing have showed improvement in periodontal parameters and
has a beneficial effect in patients with chronic periodontitis.
PMID- 26538917
TI - Clinical evaluation of direct composite restoration done for midline diastema
closure - long-term study.
AB - PURPOSE OF THE STUDY: The aim of this study was to evaluate clinically the
performance of composite resin used to restore midline diastema between the
maxillary and mandibular central incisors. METHODOLOGY: Direct composite
restorations were done for 45 patients with midline diastema between the
maxillary and mandibular central incisors. Standard protocols were followed for
the placement of composite resin for the diastema closure, and recall visits were
made for every 6 months for a period of 60 months for evaluation of the success
of these restorations made. Qualified dental personnel examined the restorations
made. RESULTS: Clinical evaluations were done after the restorations had been in
place for an average of 6 months. Results indicate that none of the restorations
were totally lost, and resulting in a 91% overall retention rate for the period
of 60 months. About 62% of the restorations made had no noticeable color
difference with that of the adjacent tooth, and gingival health indicated 73% of
the sample was without any signs of inflammation. CONCLUSIONS: Composites
restored for diastemas exhibit satisfactory survival rates placed with
recommended placement protocols and without occlusal loading.
PMID- 26538918
TI - The efficiency of 2.5% sodium hypochlorite in preventing inoculation of
periapical tissues with contaminated patency files: An ex vivo evaluation.
AB - The purpose of this in vitro study was to analyze the effectiveness of 2.5%
sodium hypochlorite (NaOCl) in preventing inoculation of periapical tissue with
contaminated patency files. Fifty single-rooted teeth with single canals were
used in the study. They were randomly divided into five groups of which two were
experimental groups, two positive controls, and one negative control group. After
root canal preparation, teeth in Group I (experimental) were filled with 2.5
NaOCl and #15 stainless steel K-files contaminated with Streptococcus sanguis
(ATCC# 10556) were allowed to pass through the root canal into the culture medium
and cultured. Teeth in Group II (experimental) were also filled with NaOCl, but
contaminated files used in this group were immersed in 2.5% NaOCl for 10 s prior
to being placed in the canal. The negative control used sterile files pass
through 2.5% NaOCl into the culture medium. The first positive control used
contaminated patency files in teeth with saline. The second positive control
group placed contaminated files into broth next to teeth filled with NaOCl (to
evaluate potential chlorine leakage). The results were as follows. Both the
experimental groups and the negative control group showed no growth. Both the
positive control groups 100% growth for S. sanguis. This indicates that the NaOCl
present in the canal after irrigation was sufficient to kill the test organism.
PMID- 26538919
TI - Evaluation of dental age in protein energy malnutrition children.
AB - BACKGROUND: Knowledge of dental age is very essential for a dental practitioner
in planning treatment and it is a supplementary source of information for
Pediatrician, Orthopedician and Endocrinologist. There are few studies in the
literature about the comparison of dental with chronological age in protein
energy malnutrition children (PEM). Accordingly, the aim of this study was to
evaluate and compare dental age and chronological age in PEM children. AIMS AND
OBJECTIVE: To determine and compare dental age and chronological age in PEM
children. METHODS: A total of 100 PEM children within the age range of 6-12 years
were selected. Chronological age was recorded using date of birth. Dental age was
assessed by Demirjian's method using orthopantomogram. Comparison of two ages was
done using the unpaired Student's t-test and Pearson's correlation coefficient.
RESULTS: Dental age was retarded compared to chronological age, and the
difference was statistically significant (P < 0.001). The correlations between
two ages were positive in both sexes. CONCLUSION: Dental age was delayed in our
sample of 100 PEM children. Dental age can be considered as variable for
diagnosing growth retardation in PEM children. Further studies are required to
support our findings.
PMID- 26538920
TI - Evaluation of caries in deciduous second molar and adjacent permanent molar in
mixed dentition.
AB - AIM: This study was done to evaluate association of caries in deciduous second
molar and adjacent permanent first molar, tooth surface more involved in
permanent first molar and to assess the parental awareness regarding the eruption
of permanent first molar. SUBJECTS AND METHODS: Three hundred and ten children
(160 boys, 150 girls) of mixed dentition aged between 6 and 11 years were
included. Presence or absence of paired association of caries in primary second
molar and adjacent permanent first molar, of both the jaws, were noted. Parental
awareness regarding the child's dentition was asked by pointing the maxillary and
mandibular permanent first molar. RESULTS: Pearson Chi-square test was used.
Significance was noted in both sexes between paired nonassociation of caries in
mandibular primary second molar and mandibular permanent molar (P =0.01) and
paired nonassociation of caries in primary maxillary second molar and maxillary
permanent first molar in girls only (P =0.04). Parental awareness that permanent
first molar has erupted was 24.83% and not to be exfoliated and replaced was
22.9% for both the sexes. In permanent teeth of both the sexes, the occlusal
surface was more involved with caries in maxillary teeth; the buccal surface was
more involved in mandibular teeth. About 10% of the sample did not have caries in
both maxillary and mandibular permanent first molar. CONCLUSIONS: Paired
association of caries of primary second molar and permanent first molar though
found in large number of cases was not significant. It is clear that parental
awareness is less regarding the child's oral health. Thus, community-based
awareness program has to be conducted to emphasize on pediatric oral health
status and care.
PMID- 26538921
TI - Comparative evaluation of effect of different irrigation solutions against
Enterococcus faecalis: A polymerase chain reaction-based study.
AB - Enterococcus faecalis is the most isolated or detected species from oral
infections including marginal periodontitis, infected root canals, periradicular
abscesses and also detected in cases of failed endodontic therapy. To prevent
endodontic treatment failure irrigation is mandatory for the effective removal of
smear layer, pulp tissue, and microorganisms. Cultivation and other traditional
identification methods have been demonstrated to have several limitations when it
comes to microbiological identification. Polymerase chain reaction was selected
because it has an added advantage over traditional microbiological methods.
MATERIALS AND METHODS: Twenty single rooted premolars were taken were taken
stored in 0.1% thymol solution at 4 degrees C decoronated to obtain 12 mm length,
teeth were autoclaved at 121 degrees C, canals were instrumented up to 35k file
(International Organization for Standardization). The samples were randomly
divided into three groups Group I - 5.25% sodium hypochlorite (NaOCL) and 17%
ethylenediaminetetraacetic acid (EDTA), Group - II 5.25% NaOCL and 2%
chlorhexidine (CHX), Group III - 5.25% NaOCL and 17% EDTA and 2% CHX. RESULTS:
The results showed that Group III which is 5% NaOCl followed by 17% EDTA and
followed by 2% CHX showed maximum antimicrobial activity in all the three
different time intervals.
PMID- 26538922
TI - Correlation of lip prints and lip competence in children.
AB - INTRODUCTION: Individual identification is a significant and difficult task in
forensic search; it was based on logical values. A lip print is different in
every living individual and does not change with time so it can be used as a tool
in forensic investigations. The present work aimed to find out the correlation
between lip prints and lip competence in the pediatric population of Davangere
city. MATERIALS AND METHODS: The study sample comprised of 103 children that is,
49 males and 54 females of aged between 4 and 14 years. The lip impression was
made on a strip of cellophane tape on adhesive portion; it was then placed to a
white bond paper. This serves as permanent record. In this study, we are followed
the classification of patterns of the lines on the lips proposed by Tsuchihashi.
For recording lip competence, we considered Ballard criteria. RESULTS: Chi-square
test was used to find out the degree of association between variables and to
check out whether statistically significant differences exist. CONCLUSION: Lip
prints are unique for every person and show differences according to the race and
the ethnic origins of a person. The present study confirmed the distinctiveness
of cheiloscopy but disproves any statistical correlation of lip print with lip
competency.
PMID- 26538923
TI - Evaluation of remineralization capacity of casein phosphopeptide-amorphous
calcium phosphate on the carbamide peroxide treated enamel.
AB - OBJECTIVE: The aim of this study was to evaluate the potential of casein
phosphopeptide-amorphous calcium phosphate (CPP-ACP) in remineralizing the
bleached enamel surface using micro-hardness. MATERIALS AND METHODS: Thirty human
enamel slabs were randomly divided into three groups (n = 10). Groups A and B
were exposed to 20% carbamide peroxide and 35% carbamide peroxide gel,
respectively. After the exposure to the bleaching agent, the slabs were kept in
artificial saliva for 1-week. Group C (control group) were kept in artificial
saliva for 1-week. Vickers micro-hardness test was performed by Leica VMHT-Mot
micro-hardness tester. CPP-ACP (Gc Tooth Mousse, Melbourne, Australia) was then
applied to specimens of Groups A and B for 3 min for 2 weeks. Micro-hardness
values of postbleach Group A (Ar) and Group B (Br) were recorded and
statistically analyzed by paired t-test and one-way analysis of variance at the
significance level of alpha =0.05. RESULTS: There was a significant decrease in
micro-hardness of enamel in carbamide peroxide bleached groups. However, there
was a significant increase in micro-hardness after the remineralization by CPP
ACP and the extent of remineralization is more for the Group B. CONCLUSIONS: That
bleaching agents reduced enamel micro-hardness and the use of CPP-ACP after
bleaching can significantly enhance the micro-hardness of bleached enamel.
PMID- 26538924
TI - Comparative evaluation of nickel discharge from brackets in artificial saliva at
different time intervals.
AB - OBJECTIVES: To determine and compare the potential difference of nickel release
from three different orthodontic brackets, in different artificial pH, in
different time intervals. MATERIALS AND METHODS: Twenty-seven samples of three
different orthodontic brackets were selected and grouped as 1, 2, and 3. Each
group was divided into three subgroups depending on the type of orthodontic
brackets, salivary pH and the time interval. The Nickel release from each
subgroup were analyzed by using inductively coupled plasma-Atomic Emission
Spectrophotometer (Perkin Elmer, Optima 2100 DV, USA) model. Quantitative
analysis of nickel was performed three times, and the mean value was used as
result. ANOVA (F-test) was used to test the significant difference among the
groups at 0.05 level of significance (P < 0.05). The descriptive method of
statistics was used to calculate the mean, standard deviation, minimum and
maximum. SPSS 18 software ((SPSS.Ltd, Quarry bay, Hong Kong, PASW-statistics 18)
was used to analyze the study. RESULT: The analysis shows a significant
difference between three groups. The study shows that the nickel releases from
the recycled stainless steel brackets have the highest at all 4.2 pH except in
120 h. CONCLUSION: The study result shows that the nickel release from the
recycled stainless steel brackets is highest. Metal slot ceramic bracket release
significantly less nickel. So, recycled stainless steel brackets should not be
used for nickel allergic patients. Metal slot ceramic brackets are advisable.
PMID- 26538925
TI - The prevalence of mandibular incisive nerve canal and to evaluate its average
location and dimension in Indian population.
AB - AIM: The aim of this study was to find the prevalence of the mandibular incisive
canal, evaluate its location and dimensions using cone beam computer tomography
(CBCT) in Indian population. MATERIALS AND METHODS: CBCT scan images of 120
subjects were analyzed for the presence of the mandibular incisive canal, its
location, size, and its length. The distance between the incisive canal and the
buccal and lingual plate of the alveolar bone, and the distance from the canal to
the inferior border of the mandible were also measured to position the canal in
the mandible. RESULTS: About 71.66% of the CBCT scans of Indian subjects examined
showed the presence of the Incisive canal, of which 48.33% exhibited canals
bilaterally and 23.33% showed unilateral canals. 28.33% of the subjects CBCT
scans did not exhibit the presence of incisive nerve canal. The average length of
the incisive canal was 10.173 mm. The average diameter of the Incisive canal in
the CBCT scans was 2.578 mm. The distance from the Inferior border of the
mandible to (a) the origin of the Incisive canal was 9.425 mm and (b) to the apex
of the Incisive canal was 9.095 mm. The distance from the buccal cortex of the
mandible to (a) the origin of the incisive canal was 1.48 mm and (b) to the apex
of the incisive canal was 4.476 mm. The distance from the lingual cortex of the
mandible to (a) the origin of the incisive canal was 4.464 mm and (b) to the apex
of the incisive canal was 5.561 mm. CONCLUSION: The presence, location, and
dimensions of the mandibular incisive canal are an additional required data that
needs to be elicited before planning an inter-foraminal placement of implants.
PMID- 26538926
TI - Antibacterial efficacy and effect of Morinda citrifolia L. mixed with
irreversible hydrocolloid for dental impressions: A randomized controlled trial.
AB - AIM: This study aimed to evaluate whether the extract of Morinda citrifolia L.
mixed with irreversible hydrocolloid powder decreases microbial contamination
during impression making without affecting the resulting casts. MATERIALS AND
METHODS: Twenty volunteers were randomly divided into two groups (n = 10). Group
A 30 ml extract of M. citrifolia L diluted in 30 ml of water was mixed to make
the impression with irreversible hydrocolloid material. Group B 30 ml deionized
water was mixed with irreversible hydrocolloid material to make the impressions
following which the surface roughness and dimensional stability of casts were
evaluated. RESULTS: Extract of M. citrifolia L. mixed with irreversible
hydrocolloid decreased the percentage of microorganisms when compared with water
(P < 0.001) but did not affect the surface quality or dimensional stability of
the casts. CONCLUSION: Mixing the extract of M. citrifolia L. with irreversible
hydrocolloid powder is an alternative method to prevent contamination without
sacrificing impression quality.
PMID- 26538927
TI - Efficacy of 2% mepivacaine and 2% lignocaine in the surgical extraction of
mesioangular angulated bilaterally impacted third molars: A double-blind,
randomized, clinical trial.
AB - OBJECTIVE: The purpose of this prospective, randomized, double-blind study was to
compare the anesthetic efficacy of 2% mepivacaine and 2% lidocaine (both with
1:80,000 epinephrine) for inferior alveolar nerve block in mesioangular
bilaterally impacted third molar extraction. STUDY DESIGN: Forty patients with
mesioangular bilaterally impacted third molars were taken for the study; either
2% mepivacaine or 2% lidocaine is given in a double-blind manner. Surgery started
5 min after solution deposition. Success was defined as no or mild discomfort
(visual analog scale [VAS] recordings) during the surgical procedure. RESULTS:
The mean time for onset period 4.2 min and 4.6 min (P = 0.018). The mean duration
anesthesia 177.17 min 166.71 min (P = 0.085). No significant difference between
the scores of pain reported by the patients by VAS and venovenous bypass treated
with mepivacaine and lidocaine (P = 0.000). Slight increased postoperative
analgesics required for mepivacaine group (4.000 tablets) and lidocaine group
(4.170 tablets) (P = 0.335). The sharp increase of pulse rate with respect to
both the solutions at 5 min after postinjection of local anesthetics. However,
there was no statically significant difference in systolic and diastolic blood (P
= 0.681) and (P = 0.270). CONCLUSION: Lidocaine and mepivacaine with the same
vasoconstrictor have similar action and both solutions are effective in surgical
procedures. There were also no significant differences between them in relation
to the intensity of postoperative pain.
PMID- 26538928
TI - An assessment of coronal leakage of permanent filling materials in endodontically
treated teeth: An in vitro study.
AB - INTRODUCTION: The present in vitro study was undertaken to evaluate and compare
the sealing ability of hybrid composite, glass ionomer cement type II, silver
amalgam and Ketac molar as permanent filling material in root canal treated
teeth. METHODOLOGY: Hundred maxillary central incisors were selected for the
study. After cleaning all the teeth, root canal treatment was carried out on all
of them. The crown portion was cut-off at the cervical level. Three millimeter of
coronal Gutta-percha was replaced by four different restorative materials. Then
after thermocycling, samples were immersed in dye for 2 weeks. The amount of dye
penetration was measured using stereomicroscope. Data were collected and analyzed
statistically with ANOVA test and Student-Newman-Keuls test. RESULTS: Coronal
leakage was seen in all groups. Composite hybrid showed least amount of
microleakage as compared to the other three experimental groups, and Ketac molar
showed more leakage compared to other experimental groups. CONCLUSION: This study
showed that hybrid composites offer better sealing ability compared to other
materials tested in this study.
PMID- 26538929
TI - Evaluation of shear bond strength between zirconia core and ceramic veneers
fabricated by pressing and layering techniques: In vitro study.
AB - STATEMENT OF PROBLEM: Although ceramic veneered on to zirconia core have been in
use for quite some time, information regarding the comparative evaluation of the
Shear bond strength of Pressable & Layered ceramic veneered on to zirconia core
is limited. PURPOSE OF STUDY: To evaluate the shear bond strength of zirconia
core and ceramic veneer fabricated by two different techniques, Layering
(Noritake CZR) and Pressing (Noritake, CZR Press). MATERIALS AND METHOD: 20
samples of zirconia blocks were fabricated and the samples were divided into
group A & B. Group A - Ceramic Veneered over zirconia core by pressing using
Noritake CZR Press. Group B - Ceramic Veneered over zirconia core by layering
using Noritake CZR. The veneered specimens were mounted on to the center of a PVC
tube using self-cure acrylic resin leaving 3 mm of the veneered surface exposed
as cantilever. Using a Universal testing machine the blocks were loaded up to
failure. RESULT: The results were tabulated by using independent samples t-test.
The mean shear bond strength for Pressed specimens was 12.458 +/- 1.63(S.D) MPa
and for layered specimens was 8.458 +/- 0.845(S.D) MPa. CONCLUSION: Pressed
specimens performed significantly better than the layered specimen with a P value
0.001. Clinicians and dental laboratory technicians should consider the use of
pressed ceramics as an alternative to traditional layering procedures to reduce
the chances of chipping or de-lamination of ceramics.
PMID- 26538930
TI - Influence of erbium, chromium-doped: Yttrium scandium-gallium-garnet laser
etching and traditional etching systems on depth of resin penetration in enamel:
A confocal laser scanning electron microscope study.
AB - OBJECTIVE: This study was performed to assess the resin tag length penetration in
enamel surface after bonding of brackets to identify which system was most
efficient. METHODOLOGY: Our study was based on a more robust confocal microscopy
for visualizing the resin tags in enamel. Totally, 100 extracted human first and
second premolars have been selected for this study and were randomly divided into
ten groups of 10 teeth each. In Group 1, the buccal enamel surface was etched
with 37% phosphoric acid (3M ESPE), Group 2 with 37% phosphoric (Ultradent). In
Groups 5, 6, and 7, erbium, chromium-doped: Yttrium scandium-gallium-garnet (Er,
Cr: YSGG) laser (Biolase) was used for etching the using following
specifications: Group 5 (1.5 W/20 Hz, 15 s), Group 6 (2 W/10 Hz, 15 s), and Group
7 (2 W/20 Hz, 15 s). In Groups 8, 9, and 10, Er, Cr: YSGG laser (Biolase) using
same specifications and additional to this step, conventional etching on the
buccal enamel surface was etched with 37% (3M ESPE) after laser etching. In
Groups 1, 5, 6, 7, 8, 9, and 10 3M Unitek Transbond XT primer was mixed with
Rhodamine B dye (Sigma-Aldrich, Germany) to etched surface and then cured for 20
s. In Group 2, Ultradents bonding agent was mixed with Rhodamine B. In Group 3,
3M Unitek Transbond PLUS, Monrovia, USA, which was mixed with Rhodamine B dye
(Sigma-Aldrich, Germany). Group 4, with self-etching primer (Ultradent-Peak SE,
USA) was mixed with Rhodamine B dye (Sigma-Aldrich, Germany). Later (3M Unitek,
Transbond XT, Monrovia USA) [Figure 1] was used to bond the modified Begg
brackets (T. P. Orthodontics) in Groups 1, 3, 5, 6, 7, 8, 9, and 10. In Groups 2,
4 Ultradent-Peak LC Bond was used to bond the modified brackets. After curing
brackets were debonded, and enamel depth penetration was assessed using confocal
laser scanning microscope. RESULTS: Group J had a mean maximum depth of
penetration of 100.876 MUm, and Group D was the least having a maximum value of
44.254 MUm. CONCLUSIONS: Laser alone groups had comparable depths of penetration
to that of self-etching groups but much lower than conventional acid etched
groups.
PMID- 26538931
TI - Evaluation of clinical parameters to select high prevalence populations for
periodontal disease: A cross-sectional study.
AB - Epidemiological studies have shown strong evidence that periodontal disease does
not affect all subjects in the same manner. OBJECTIVE: There are subjects and
sites with higher risk for disease progression. This study tested parameters to
select "a priori" sites and subjects potentially at risk. MATERIALS AND METHODS:
The data from periodontal clinical examinations of 2273 subjects was used. The
clinical loss of attachment was measured in 6 sites per tooth. Using computer
software, the patients were distributed into 14 age groups, with intervals of 5
years, from 11 years to greater than 75 years of age. The measure of each site
was compared with the average and the median values of the subject age group,
with the results indicating site comparative severity (SCS). Three global
parameters were calculated: parameter 1 (PI) - percentage of sites with clinical
attachment loss > 4 mm; parameter 2 (P2) - percentage of sites with clinical
attachment loss j> 7 mm; parameter 3 (P3) - percentage of sites with clinical
attachment loss surpassing the median value for the age group by 100% or more.
RESULTS: There were 1466 (65%) females and 807 (35%) males. Most subjects had PI,
P2 and P3 values less than 30%. Parameter 3 allowed a division of the sample
similar to that of Parameters 1 and 2, with the advantage of analyzing the
subject in relation to his/her age group. It was suggested that the methodology
of SCS is useful for selecting a population with a high disease prevalence, and
that cut-off lines between 10% and 20% would be appropriate for using parameter.
PMID- 26538932
TI - Antimicrobial effect of herbal dentifrices: An in vitro study.
AB - AIM: This study was taken up to compare the antimicrobial effect of few herbal
dentifrices against cariogenic organism such as Streptococcus mutans and
Lactobacillus acidophilus. MATERIALS AND METHODS: This study was an in vitro
model using the well method of microbial culture. Colgate total was used as the
positive control and distilled water as the negative control. Dentifrices were
prepared in 1:1 dilution using sterile distilled water. The standard strains were
inoculated and incubated for 4 h. They were then lawn cultured. Wells were made
using a standard template, and the dentifrices were placed in these wells Kruskal
Wallis test and Mann-Whitney test were used for statistical analysis. RESULTS: In
case of S. mutans, the maximum antimicrobial effect among the six dentifrices was
shown by Babool followed by Colgate Herbal. For L. acidophilus, the antimicrobial
zone exhibited by all the six dentifrices were similar to the positive control.
CONCLUSIONS: Babool and Colgate Herbal have more inhibitory effect against S.
mutans than the other dentifrices of the group. Dabur Red, Colgate Herbal, and
Himalaya are efficient against L. acidophilus.
PMID- 26538933
TI - Assessment of perceptibility and acceptability of color variations between
matched teeth among trainee dentist and lay person.
AB - AIM: The aim of this study was to find the difference in perceptibility and
acceptability of changes done to various color coordinates of matched teeth,
between trainee dental surgeons, and lay person. MATERIALS AND METHODS: A
photograph with a set of matched central incisor teeth was selected. In one of
the central incisors, the color coordinates (hue, value, and chroma) were altered
to a preset value. These pictures were presented to trainee dental surgeons and
lay person and their level of perception of color change and acceptance of color
change was registered and compared. RESULTS: It was found that trainee dental
surgeons fared better in perceiving the color change and accepted less of the
color changed specimens. The dimension of color that was more discerned both by
lay person and trainee dental surgeons was value, hue, and last chroma.
CONCLUSION: When compared to a lay person, dental surgeons are more acute in
perceiving color changes and do not accept the color difference between teeth to
a higher degree.
PMID- 26538934
TI - LASER curettage as adjunct to SRP, compared to SRP alone, in patients with
periodontitis and controlled type 2 diabetes mellitus: A comparative clinical
study.
AB - AIM: To compare the effect of scaling and root planning (SRP) alone, and laser
curettage as an adjunct to SRP, on the clinical parameters of patients with
periodontitis and controlled type 2 diabetes mellitus. MATERIALS AND METHODS: Ten
patients were divided into two equal groups in a split-mouth design - Group I:
SRP alone, Group II: SRP + laser curettage. The following clinical parameters
were recorded: (i) Gingival index (ii) plaque index (iii) sulcular bleeding index
(iv) probing depth (PD) and (v) clinical attachment level (CAL). SRP was done in
one quadrant using Gracey curettes and in another quadrant SRP plus laser
curettage was done. Three weeks after the therapy, the clinical parameters were
recorded and the results were analyzed and the percentage of improvement were
evaluated. RESULTS: The results of this study indicated that both SRP and SRP +
laser curettage were efficient for reducing gingival inflammation and PD. Group
II showed more reduction in PD and more gain in CAL than Group I. Mean reduction
in PD was 20.22% in Group I and 26.76% in Group II. Mean CAL gain is 32.5% in
Group II and 22.34% in Group I. CONCLUSION: In both the groups, gingival
inflammation was reduced. When laser curettage was used as adjunct to SRP more
reduction in PD and CAL was seen.
PMID- 26538935
TI - Prevalence of partial edentulousness among the patients reporting to the
Department of Prosthodontics Sri Ramachandra University Chennai, India: An
epidemiological study.
AB - AIMS AND OBJECTIVES: To determine the occurrence of various missing teeth pattern
among the partial edentulous patients residing in Chennai who are undergoing
treatment for the replacement of missing teeth in the Department of
Prosthodontics, Sri Ramachandra University Chennai, India. SETTINGS AND DESIGN:
Study was undertaken from January 2014 to October 2014, and the design was a
descriptive cross-sectional study. MATERIALS AND METHODS: Five hundred and sixty
one persons aged between 13 and 87 years (267 males and 294 females) were
selected, intraoral examination was done visually and results were recorded on
specially designed clinical examination forms. STATISTICAL ANALYSIS: Data were
analyzed using statistics SPSS 19.0 version (IBM India Private Limited Bangalore)
to investigate the relationship between quantitative variables. RESULTS: The
results showed the patients with Kennedy's Class III were found to be the most
prevalent among all the groups (55%). The most common modification in all the
groups was Class III modification I (26%). It was also found that Kennedy's Class
III was founded more in the age group of 31-40 with 54.4% in the maxillary arch
and 47.2% in the mandibular arch. CONCLUSION: The findings of this study show
that the Kennedy's Class III was the most commonly occurring and were found to be
more predominant in the younger group of population.
PMID- 26538936
TI - The effect of cigarette smoking on the severity of periodontal diseases among
adults of Kothamangalam Town, Kerala.
AB - AIMS AND OBJECTIVES: Smoking is one of the major risk factors for periodontal
disease. This study aims at examining the difference in the periodontal status of
current smokers, former smokers, and nonsmokers among the adults of
Kothamangalam, Kerala. It investigates the association between the level of
cigarette consumption and periodontal attachment loss taking into account the
effect of age, gender, and oral hygiene. METHODOLOGY: The study population
consisted of 30 subjects and divided into three groups as current, former, and
nonsmokers with periodontal disease. All clinical parameters were recorded.
Smoking assessment was done using a self-reported questionnaire, and statistical
analysis was carried out. RESULTS: Current smokers had a higher percentage of
sites with mean probing depth, and greater mean clinical attachment level than
former smokers and nonsmoker. A significant difference (P < 0.05) was found in
clinical attachment loss (CAL) between Group I (current smokers) and III
(nonsmokers), that shows the increased risk of current smokers for future
periodontal destruction. The CAL for current smokers was 5.20 +/- 2.440 and for
the nonsmokers was 1.50 +/- 1.265. A significant difference (P < 0.05) was found
in CAL between Group I and III. SUMMARY AND CONCLUSION: The study revealed a
marked association between cigarette smoking and the risk of periodontitis. The
increased destruction among current smokers showed a dose-dependent relationship
with the amount of cigarette consumption. For former smokers, the duration since
quitting smoking was associated with a lower risk for severe periodontitis.
PMID- 26538937
TI - Association of matrix metalloproteinase 1 gene promoter mutation and residual
ridge resorption in edentulous patients of South Indian origin.
AB - BACKGROUND: Matrix metalloproteinase (MMP) are involved in bone transformation at
the extraction site postdental extraction. We examined the genetic association
between single nucleotide polymorphisms of MMP-1 and continuous atrophy of
edentulous mandible. METHODS: Buccal cells from 33 edentulous patients were
collected using sterile wooden spatula and were suspended in 15 ml falcon tubes
containing 1.5 ml of cell lysis buffer, without proteinase K. The cells were
transported to the laboratory on ice and were stored at -20 degrees C until being
processed. RESULTS: Of the samples analyzed, 26 edentulous patients (78.8%)
carried 2G allele, while 7 of them (21.2%) carried 1G allele. CONCLUSION: The
patients with the alveolar bone resorption exhibited more of 2G allele while only
21.2% of them showed 1G allele, associated with excessive atrophy of edentulous
mandible. This study may provide genetic background to identify susceptible
individuals prone to develop jawbone atrophy after dental extraction.
PMID- 26538938
TI - Periodontal risk calculator versus periodontal risk assessment.
AB - INTRODUCTION: The purpose of study was twofold: To determine the extent of inter
valuator and inter group variation in risk scores assigned to study subjects by
PRC and PRA. To explore the relationship between risk scores assigned by PRC and
using the PRA. MATERIALS AND METHODS: 57 patients (33 male patients and 24 Female
patients between 20 and 65 years age group) were assessed with PRC and PRA tools
during their first visit. RESULTS AND CONCLUSION: We entered the resulting
information in to the PRC and PRA to obtained a riskscore for each subject at
first visit. The chi-square test significance between PRC and PRA is < 0.05
indicatesthe accuracy of the both tools.
PMID- 26538940
TI - Knowledge and attitude toward human immunodeficiency virus/acquired immuno
deficiency syndrome among dental and medical undergraduate students.
AB - BACKGROUND AND OBJECTIVES: Human immunodeficiency virus (HIV) is a major public
health challenge. Unjustified calls for the isolation of patients with HIV
infection might further constrain the potential for expansion of clinical
services to deal with a greater number of such patients. This infectious illness
can evoke irrational emotions and fears in health care providers. Keeping this in
view, a study was conducted to assess the knowledge and attitudes related to
HIV/acquired immune deficiency syndrome (AIDS) among dental and medical students.
METHODOLOGY: Descriptive cross-sectional survey of the entire dental and medical
undergraduate students from two colleges was carried out using a pretested, self
administered questionnaire. Descriptive statistics such as percentage was used to
present the data. RESULTS: Ninety-eight percentage medical and dental
undergraduate graduate students knew about HIV transmission in the hospital.
Journals and internet were the leading source of information among both medical
and dental undergraduates. The majority of respondents discussed HIV-related
issues with their classmates. Surprisingly, 38% medical and 52% dental
undergraduates think that HIV patient should be quarantined (isolation) to
prevent the spread of infection. 68% medical and 60% dental undergraduates are
willing to rendering dental/medical care to HIV-infected patients. Relatively
large proportion (98%) of participants was willing to participate for HIV
prevention program. CONCLUSION: The knowledge of medical and dental students is
adequate, but the attitude needs improvement. Dental and medical students
constitute a useful public health education resource. Comprehensive training,
continuing education, and motivation will improve their knowledge and attitude,
which enable them to provide better care to HIV patients.
PMID- 26538939
TI - Comparative analysis of gingival crevicular fluid beta-glucuronidase levels in
health, chronic gingivitis and chronic periodontitis.
AB - BACKGROUND AND OBJECTIVES: Current methods available for periodontal disease
diagnosis are seriously deficient in terms of accuracy, in the ability to predict
ongoing or future disease activity and indeed in determining whether previously
diseased sites are in an arrested phase or still active. One area that is
receiving a great deal of attention is the biochemical investigation of gingival
crevicular fluid (GCF). beta-glucuronidase (betaG) is one of the enzymes found in
GCF that is involved in degradation of the ground substance and fibrillar
components of host connective tissue. GCF betaG activity might be a good
indicator or predictor of periodontal disease activity. This study was conducted
to estimate and compare the GCF betaG levels in patients with healthy
periodontium, chronic gingivitis, and chronic periodontitis. METHODOLOGY:
Subjects were classified into three groups of 20 patients each; healthy
individuals, chronic gingivitis, and chronic periodontitis. After recording the
plaque index, gingival index and probing pocket depth, 1 MUL GCF was collected by
placing a calibrated microcapillary pipette extracrevicularly and transferred to
sterile plastic vials containing 350 MUL of normal saline with 1% bovine serum
albumin. Analysis of betaG was done by spectrophotometry. RESULTS: betaG levels
in GCF were significantly higher in chronic periodontitis group (mean value -
2.04743), followed by chronic gingivitis group (mean - 1.11510) and healthy group
(0.53643). CONCLUSION: Increased betaG levels were observed in patients with
increased periodontal destruction, hence GCF betaG levels can be used as
biochemical marker for periodontal disease activity.
PMID- 26538941
TI - Evaluation of transcription factor that regulates T helper 17 and regulatory T
cells function in periodontal health and disease.
AB - BACKGROUND: The differentiation of naomicronve T helper (Th) cells towards Th17
and regulatory T cells (Treg) is regulated by the transcription factors retinoic
acid related orphan receptor gamma transcription (RORYt) and Forkhead box p3
(Foxp3), respectively. An imbalance in the activity of these transcription
factors could result in the dysregulation of Th17/Treg response. MATERIALS AND
METHODS: Total RNA was isolated from gingival tissue obtained from 10 patients,
each from periodontally healthy and diseased groups. The gene expression of RORYt
and Foxp3 was measured by real-time reverse transcription polymerization chain
reaction using total RNA isolates from gingival tissues group when compared to
the healthy group, while Foxp3 demonstrated a 6.68 +/- 0.03 fold decrease of
expression in diseased group when compared to healthy group. CONCLUSION: Our
results indicate a functional imbalance in the Th17/Treg response in periodontal
disease group when compared to the periodontally healthy group.
PMID- 26538942
TI - Acupuncture - An effective tool in the management of gag reflex.
AB - Gagging is of great concern to the dentist as it is a serious impediment during
the execution of various dental procedures. The etiology of gagging is
multifactorial, and several suggestions have been offered to arrest this reflex,
some of which are nonsustainable and does not show the immediate result.
Acupuncture has been successfully employed as an adjunct to local anesthesia in
dental extractions, pain management and also in the symptomatic management of
temporomandibular joint disorders. The author highlights the application of
acupuncture in the management of patients with gag reflex during dental
procedures and its benefits are reported.
PMID- 26538943
TI - A study to evaluate cephalometric hard tissue profile of Tamil population for
orthognathic surgery.
AB - The primary aim of this study is to compare, the cephalometric hard tissue
profile values and analysis between Tamil and Caucasian population. The study
also aims to create a better understanding in the facial proportions of Tamil
Nadu population and to have better diagnosis and treatment planning for
orthognathic surgery for Tamil population in Tamil Nadu.
PMID- 26538944
TI - Management of severe sleep apnea secondary to juvenile arthritis with
temporomandibular joint replacement and mandibular advancement.
AB - Variations affecting the growth centers can severely affect the normal formation
and subsequent function of vital musculoskeletal structures. We report a case of
bilateral condylar atrophy with a history of juvenile arthritis (JA) resulting in
progressive obstructive sleep apnea (OSA) in adulthood. In addition to this, the
case report emphasizes the role of temporomandibular joint replacement and
advancement of the mandible to correct progressive OSA secondary to idiopathic
JA. Computed tomography revealed micrognathia, condylar hypoplasia, and decreased
pharyngeal airway space. The resultant increase in the retrolingual-pharyngeal
airway space following the surgery, helped to completely resolve the presenting
symptoms. It is hoped that the described technique could be used in similar cases
with a predictable outcome.
PMID- 26538945
TI - Cleft rhinoplasty.
AB - It is universally accepted that correction of cleft lip nose deformity remains a
formidable challenge for any cleft surgeon. The nose is a prominent part of the
face, and hence a masterly executed cleft lip repair directs the beholders' eyes
from the deformed lip to the deformed nose. A deformed nose that results from
unilateral cleft of the lip and palate is likened to a tent whose one side is
depressed. Many investigators believe that the deformity of the nose is produced
by the malpositioning of essentially normal structures, on the other hand some
cleft surgeons contend that it is the intrinsic defects in nasal structures that
result in cleft nasal deformity. Depressed and hypoplastic bony scaffolding is
the most important aspect of cleft nose deformity and addressing this aspect of
cleft nose deformity is the secret of success of a perfect secondary rhinoplasty.
Controversy still exists on timing of cleft nasal deformity. Proponents of
delayed nasal repair suggest that altering the cartilages in early nasal repair
at the time of lip repair would complicate future corrective nasal surgeries if
the primary repair would prove unsatisfactory. The correction of nasal deformity
could be performed with closed or open technique. This paper highlights one such
challenging unilateral cleft lip nasal deformity in a adult patient treated by
secondary rhinoplasty by open technique.
PMID- 26538946
TI - A comparison of efficiency of biopolymer and allograft matrix with autogenous
gingival graft used in root coverage procedure.
AB - Severe surgical techniques have been introduced to augment gingival tissue
dimensions like the free gingival graft, free connective grafts, etc., However,
both the techniques are associated with significant patient morbidity due to the
secondary surgical site. In order to overcome these postsurgical complications,
acellular dermal allografts have been used as a substitute for the palatal donor
tissue yielding clinically comparable results. However, the cost and origin of
the material raises concern regarding the frequent use of the material. As an
improved alternative to above-mentioned graft material, the use of platelet-rich
fibrin (PRF) and collagen matrices has been promoted in the recent past. The
objective of this illustrative case report is to test the efficacy of collagen
matrix, PRF to augment attached gingiva and to assess the esthetic outcome when
compared to the standard treatment with free autogenous graft.
PMID- 26538947
TI - Cracked tooth syndrome: A report of three cases.
AB - Cracked tooth syndrome (CTS), the term was coined by Cameron in 1964, which
refers to an incomplete fracture of a vital posterior tooth extending to the
dentin and occasionally into the pulp. CTS has always been a nightmare to the
patient because of its unpredictable symptoms and a diagnostic dilemma for the
dental practitioner due to its variable, bizarre clinical presentation. The
treatment planning and management of CTS has also given problems and challenges
the dentist as there is no specific treatment option. The management of CTS
varies from one case to another or from one tooth to another in the same
individual based on the severity of the symptoms and depth of tooth structure
involved. After all, the prognosis of such tooth is still questionable and
requires continuous evaluation. This article aims at presenting a series three
cases of CTS with an overview on the clinical presentation, diagnosis and the
different treatment options that varies from one case to another.
PMID- 26538948
TI - Dental rehabilitation of a child with early childhood caries using Groper's
appliance.
AB - The mainstay of pediatric dental practice is the successful esthetic
rehabilitation of a preschooler with advanced carious lesions. Loss of
masticatory efficiency, compromised esthesis, mispronunciation of labiodentals
sounds, and development of abnormal oral habits are compromises arising due to
the loss of primary anterior teeth at an early age either due to trauma or due to
caries. Parental desire is the most decisive factor for the placement of an
anterior esthetic appliance. This unique case report highlights the fabrication
of simple, Groper's appliance in a 5-year-old child with early childhood caries.
PMID- 26538949
TI - Platysma myocutaneous flap for reconstruction of intraoral defects following
excision of oral sub mucous fibrosis: A report of 10 cases.
AB - Various surgical procedures are available for treating oral submucous fibrosis,
but all of them have their inherent drawbacks. The superiorly based platysma
myocutaneous flap is a common reconstruction option for intraoral defects
followed after excision of fibrous bands in oral submucous fibrosis. The
superiorly based flap has an excellent blood supply, but less efficient venous
drainage when compared with posteriorly based flap. We present our results of
using a superiorly based flap in the treatment of oral submucous fibrosis. Of 10
patients eight had no postoperative complications, one patient developed partial
skin loss and other developed venous congestion which was managed conservatively.
PMID- 26538950
TI - Management of invasive cervical resorption in a maxillary central incisor.
AB - Invasive cervical resorption is often not diagnosed properly, leading to improper
treatment or unnecessary loss of the tooth structure. Early diagnosis and
appropriate treatment are the keys to a successful outcome of therapy. Invasive
cervical resorption is often seen in the cervical area of the tooth, but because
it is initiated apical to the epithelial attachment, it can present anywhere in
the root. In the early stages, it may be symmetrical, but larger lesions have the
tendency to be asymmetrical. It can expand apically or coronally.
PMID- 26538951
TI - Surgical management of a large cleft palate in a Pierre Robin sequence: A case
report and review of literature.
AB - Pierre Robin syndrome or Pierre Robin sequence (PRS) is a congenital
etiologically heterogeneous condition presenting with various malformations. Here
we are reporting the surgical management of an 18-month-old female baby who was
referred from Department of Pediatrics with a complaint of a large cleft palate.
She was taken up for palatoplasty with consent for elective tracheostomy. After
genetic evaluation, the authors conclude that the presented case was a PRS in
isolation with mild cardiac anomalies and an inferiorly placed hypoplastic
epiglottis. Patient should be followed up and growth modifications of the jaws
should be done.
PMID- 26538952
TI - Biological post.
AB - Anterior tooth fracture as a result of traumatic injuries, is frequently
encountered in endodontic practice. Proper reconstruction of extensively damaged
teeth can be achieved through the fragment reattachment procedure known as
"biological restoration." This case report refers to the esthetics and functional
recovery of extensively damaged maxillary central incisor through the preparation
and adhesive cementation of "biological post" in a young patient. Biological post
obtained through extracted teeth from another individual-represent a low-cost
option and alternative technique for the morphofunctional recovery of extensively
damaged anterior teeth.
PMID- 26538953
TI - Osseous choristoma of the labial mucosa: A rare case report.
AB - Osseous choristoma is a normal bone tissue in an ectopic position. These are slow
growing lesions that are usually completely asymptomatic and only present when
there is a disruption in the function of the organ due to its large size as it
grows. Definitive diagnosis is obtained only after the histopathological
examination. The etiology remains still questionable. The treatment of choice is
surgical excision. Here we report a case of choristoma in the lower labial mucosa
in a 47-year-old female.
PMID- 26538954
TI - Management of horizontally impacted dilacerated lateral incisor.
AB - Impaction of maxillary lateral incisor with odontome and retained deciduous tooth
is not often seen in regular dental practice. Impaction of anterior teeth cause
generalized spacing which affects the esthetics of the face. Here we report a
case of an 18-year-old patient with horizontally impacted dilacerated lateral
incisor, which was bought into occlusion with the help of orthodontic tooth
movement within a span of 18 months.
PMID- 26538955
TI - Oral mucocele: Review of literature and a case report.
AB - Mucocele is the most common lesion of the oral mucosa, which results from the
accumulation of mucous secretion due to trauma and lip biting habits or
alteration of minor salivary glands. Mostly they are two types based on
histological features which as follows: Extravasation and retention. Mucoceles
can appear at anywhere in the oral mucosa such as lip, cheeks and the floor of
the mouth, but mainly appear in the lip. Diagnosis is mostly based on clinical
findings. The most common location of the extravasation mucocele is the lower
lip. Mucoceles most probably affect young patients but can affect all the age
groups. They may have a soft consistency, bluish, and transparent cystic
swelling, history of bursting and collapsing due to which resolves themselves
then refilling which may be repeated. The treatment of choice is surgical removal
of the mucocele.
PMID- 26538956
TI - Treatment of gingival recession using free gingival graft with fibrin fibronectin
sealing system: A novel approach.
AB - Periodontal plastic surgery is the branch of periodontology that is focused
mainly on the correction or elimination of mucogingival problems associated with
lack of attached gingiva, a shallow vestibule and aberrant frenum. Various
mucogingival surgical procedures are used to halt the progression of the gingival
recession and to correct poor esthetic appearance. Free gingival autograft is one
of the most common techniques used for a gingival recession in areas of
inadequate attached gingiva in the mandibular anterior region. Fibrin sealants
are human plasma derivatives that mimic the final stages of blood coagulation,
forming a fibrin clot. Fibrin Sealants enhances the overall outcome of surgical
intervention because of their hemostatic, adhesive, and healing properties. These
properties of fibrin sealants may reduce operating time, prevent complications,
and enhance the overall outcome of many surgical interventions. Hence, this case
report aims to investigate the clinical effectiveness of free gingival graft
along with the commercially available fibrin-fibronectin sealing system
(Tissucol((r))) in the treatment of Miller's class II gingival recession.
PMID- 26538957
TI - Prosthetic management of malpositioned implant using custom cast abutment.
AB - Two cases are reported with malpositioned implants. Both the implants were placed
6-7 months back. They had osseointegrated well with the surrounding bone.
However, they presented severe facial inclination. Case I was restored with
custom cast abutment with an auto polymerizing acrylic gingival veneer. Case II
was restored with custom cast UCLA type plastic implant abutment. Ceramic was
directly fired on the custom cast abutments. The dual treatment strategy resulted
in functional and esthetic restorations despite facial malposition of the
implants.
PMID- 26538958
TI - Root canal treatment of a maxillary first premolar with three roots.
AB - Successful root canal treatment needs a thorough knowledge of both internal and
external anatomy of a tooth. Variations in root canal anatomy constitute an
impressive challenge to the successful completion of endodontic treatment.
Undetected extra roots and canals are a major reason for failed root canal
treatment. Three separate roots in a maxillary first premolar have a very low
incidence of 0.5-6%. Three rooted premolars are anatomically similar to molars
and are sometimes called "small molars or radiculous molars." This article
explains the diagnosis and endodontic management of a three rooted maxillary
premolar with separate canals in each root highlighting that statistics may
indicate a low incidence of abnormal variations in root canal morphology of a
tooth, but aberrant anatomy is a possibility in any tooth. Hence, modern
diagnostics like cone beam computed tomography, and endodontic operating
microscope may have to be used more for predictable endodontic treatment.
PMID- 26538959
TI - The orthodontic management of ectopic canine.
AB - The canines being the cornerstone of the arch and smile is one of the teeth,
which has the longest eruption passage that gets influenced by local and general
etiological factors easily. The initial calcification of the crowns starts at 4-5
months of age and proceeds toward eruption about 11-13 years of age with
mesiobuccal crown angulation that gets corrected toward occlusion. It gets
displaced buccally or palatally or may sometimes get impacted. Early intervention
is the best suited to manage canine eruption patterns. Once erupted ectopically,
they possess a great challenge in repositioning them back into their correct
position. This case report discusses an orthodontic treatment planning and
execution to correct a buccally placed canine with an anterior crossbite in an
adult.
PMID- 26538960
TI - Cyst or tumor in the buccomaxillary region: Review of literature and a case
report.
AB - Odontogenic tumors (OTs) include entities of a hamartomatous nature, such as
odontoma, benign neoplasms like an adenomatoid odontogenic tumor (AOT), some
benign neoplasms are aggressive as in the case of ameloblastoma. The AOT is a
rare odontogenic tumor constituting only 3% of all the OT and very often
misdiagnosed as an odontogenic cyst. We report a case of an intra-osseous type of
AOT occurred in a young 16-year-old female located in the anterior maxilla along
with the clinical, radiological, histological features, and literature review
related to the tumor affecting the patient.
PMID- 26538961
TI - Stevens-Johnson syndrome induced by a combination of lamotrigine and valproic
acid.
AB - Lamotrigine and valproic acid are well-tolerated anticonvulsants, but frequently
associated with severe cutaneous reactions, such as the Stevens-Johnson syndrome
(SJS) and toxic epidermal necrolysis, when used in combination. We report a case
of SJS likely induced by the use of a lamotrigine and valproic acid regimen and
as a dental surgeon it is important to identify such lesion and report to
pharmacovigilance.
PMID- 26538962
TI - Odontogenic myxoma of maxilla: A rare presentation in an elderly female.
AB - Odontogenic myxomas are rare benign neoplasm of mesenchymal origin, comprising 3
6% of all odontogenic tumors. They are slow growing, non-metastasizing, often
asymptomatic with local aggressiveness due to its infiltrative nature and hence
high recurrence rate, with a high incidence of occurrence in the mandible. Most
frequently occurs in second to third decade of life, seldom occurs beyond these
age groups. Hereby, we present a case of odontogenic myxoma occurring in the
maxilla in a 65-year-old female managed by partial maxillectomy.
PMID- 26538963
TI - Multiple myeloma involving mandible: In an elderly female.
AB - Multiple myeloma (MM) is a malignancy of plasma cell origin. It often has a
multicentric origin within the bone. It makes about 1% of all malignancies and
15% of all hematologic malignancies. There is a monoclonal proliferation of
abnormal plasma cells in this disease that arise from a single malignant
precursor that has undergone uncontrolled mitotic division. These cells in turn
produce one type of immunoglobulin light chain, either kappa or lambda. Unifocal,
monoclonal proliferation of plasma cells is called plasmacytoma. Hereby, we
present a case of a 65-year-old female patient who presented with a swelling of
the mandible. The uniform sheets of plasma cells in the histopathology punched
out radiolucencies in skull radiograph and the blood picture of anemia and
hypercalcemia, confirmed the case as MM.
PMID- 26538964
TI - Bleaching of fluorosis stains using sodium hypochlorite.
AB - Fluorosis staining is commonly considered an esthetic problem because of the
psychological impact of unesthetic maxillary anterior teeth. Numerous treatment
approaches have been proposed, ranging from bleaching to enamel reduction to
restorative techniques. Bleaching of hypomineralized enamel lesions, using 5%
sodium hypochlorite, has been useful clinically. The technique described, in this
case, appears to have advantages over other methods for improving the appearance
of fluorotic lesions. It is simple, low cost, noninvasive, so the enamel keeps
its structure, relatively rapid, and safe; it requires no special materials, and
it can be used with safety on young permanent teeth.
PMID- 26538965
TI - Crown lengthening procedure in the management of amelogenesis imperfecta.
AB - Full mouth rehabilitation includes a promising treatment planning and execution
thus fulfilling esthetic, occlusal, and functional parameters maintaining the
harmony of the stomatognathic system. Crown lengthening procedures have become an
integral component of the esthetic armamentarium and are utilized with increasing
frequency to enhance the appearance of restorations placed in the esthetic zone.
Crown lengthening plays a role to create healthy relationship of the gingiva and
bone levels so as to gain access to more of the tooth which can be restored, if
it is badly worn, decayed or fractured, below the gum line. This paper highlights
the full mouth crown lengthening procedure performed on a patient with
amelogenesis imperfecta.
PMID- 26538966
TI - A rare case of mumps orchitis.
AB - Mumps is a relatively mild short-term viral infection of the salivary glands that
usually occurs during childhood. Meningitis/encephalitis is a well-known
complication of mumps, but involvement and infection of the testis in adolescent
boys and adult men are rare. We report a case of an 18-year-old male patient with
mumps associated epididymo-orchitis on the left side. The diagnosis was confirmed
clinically and serologically by IgG and IgM titers. The symptoms were resolved
after the administration of anti-inflammatory and pain medications with bed rest
and ice packs applied to the area.
PMID- 26538967
TI - Rehabilitation of Bell's palsy patient with complete dentures.
AB - Facial nerve disorders may be of sudden onset and more often of unknown etiology.
Edema of the facial nerve within the fallopian canal results in Bell's palsy.
This causes compression of the nerve and affects the microcirculation. Many
authors have suggested treatment for facial nerve paralysis ranging from simple
physiotherapy to complicated microvascular decompression. It more often results
in symptoms like synkinesis and muscle spasm after the decompression surgery of
the nerve because of the inability to arrange the nerve fibers within the canal.
The treatment choice also depends on patient's age, extent of the nerve damage,
and patient's needs and desires. Many patients who cannot be rehabilitated
functionally can be treated for esthetics of the involved muscles. This case
report elaborates about a patient who was rehabilitated for esthetics and to some
extent for function.
PMID- 26538968
TI - Myoepithelioma.
AB - Myoepithelioma is a benign salivary gland tumor in the head and neck region,
accounting for 1-1.5% of all glandular tumors. The diagnosis is rendered
histopathologically, and it includes the proliferation of myoepithelial cells,
without chondroid or myxochondroid stroma and ductal components (up to 5% of
ductal component is acceptable). In our case report, this lesion has occurred in
a 46-year-old female patient, and presented as well defined, nodular growth on
the right posterior palatal region. Bony erosion and invasion were observed
radiographically, and the lesion was excised surgically, with 1-2 cm of clear
margin. The microscopic features included proliferating tumor sheets, composed of
bland looking spindle and plasmacytoid shaped myoepithelial cells, and few cells
showed clear cytoplasm, which were confirmed immunohistochemically as
myoepithelial cells. Thus, the final diagnosis of benign myoepithelioma was
rendered and no recurrence had been reported so far in the regular follow-up.
PMID- 26538969
TI - A simple method of enhancing retention in interim hollow bulb obturator in a case
of an acquired palatal defect.
AB - Maxillary defects occur either as a result of surgical resection of malignant
tumors of the nasal cavity and paranasal sinuses or of the congenital causes.
Rehabilitation of the patients with maxillectomy defects presents a challenge in
restoring the lost form, function and speech. Maxillary interim obturators in
prosthetic reconstruction of the defects are often complicated with lack of
adequate retention, stability, and support. This case report presents the
simplified approach, to rehabilitate a case of sub-total maxillectomy due to
squamous cell carcinoma of maxillary sinus, using a closed hollow bulb obturator
prosthesis fabricated with a "U" loop and a modified buccal flange for enhanced
retention of the prosthesis.
PMID- 26538970
TI - Comparative evaluation of depigmentation techniques in split-mouth design with
electrocautery and laser.
AB - Excessive gingival pigmentation is a major esthetic concern for many people.
Melanin pigmentation is known to be caused by melanin granules within the
gingival epithelium. Smile is determined not only by the shape, the position, and
the color of the teeth, but also by the gingival tissues. Gingival health and
appearance are essential components of an attractive smile. It is not a medical
problem, but it feels unesthetic for the patient and particularly in patients
having a very high lip/smile line. Depigmentation is not a clinical indication
but a treatment of choice where esthetics is a concern and is desired by the
patient. For depigmentation of gingival, different treatment modalities have been
reported such as bur abrasion, scraping, partial thickness flap, cryotherapy,
electrosurgery, and laser. The present case series describes two simple and
effective surgical depigmentation techniques treated with diode laser and
electrosurgery.
PMID- 26538971
TI - Disto-angular transmigrated impacted mandibular molar with enostosis: A rare
intraoral lesion.
AB - A 29-year-old male patient reported for replacement of missing teeth. The patient
gave history of unerupted right lower posterior teeth and the orthopantomogram
revealed transmigrated mandibular second molar to the inferior border of mandible
just below the root apices of second premolar associated with enostosis distally
toward the ramus of mandible with size of about 21 mm Chi 20 mm. This rare
interosseous defect plays a vital role in deciding prosthetic treatment options
for missing teeth and utmost care should be taken to preserve the health of the
patient during such procedures.
PMID- 26538972
TI - Lip repositioning surgery for correction of excessive gingival display.
AB - Esthetic demands have considerably increased over the years in routine clinical
practice. A pleasant smile can give supreme confidence to an individuals
personality. However, a perfect smile is dictated by a perfect balance of the
white (teeth) and pink (gingival) display. This balance can be managed different
treatment modalities, which is based on proper diagnosis. This case report
demonstrates a successful management of gummy smile with a lip-repositioning
procedure in a patient with an incompetent upper lip. This was accomplished by
removing a partial thickness strip of mucosa from the maxillary buccal vestibule
and suturing the lip mucosa to the mucogingival line. This resulted in a narrower
vestibule and restricted muscle pull, thereby resulting incompetent lips and
reduced gingival display during smiling.
PMID- 26538973
TI - A case report of granular cell ameloblastoma associated with aneurysmal bone cyst
like features.
AB - Granular cell ameloblastoma is a rare variant of ameloblastoma, which is
histopathologically characterized by the presence of large eosinophilic granular
cells within the ameloblastic follicle. Its accurate preoperative diagnosis is
based upon clinical, radiological, and incisional biopsy findings. This article
reports a case of granular cell ameloblastoma in a 65-year-old female, which on
incisional biopsy showed the features suggestive of aneurysmal bone cyst.
Furthermore, the influence of macroscopic presentation of the current lesion on
its accurate preoperative incisional biopsy diagnosis is discussed in detail.
PMID- 26538974
TI - Ghost teeth: Regional odontodysplasia of maxillary first molar associated with
eruption disorders in a 10-year-old girl.
AB - Regional odontodysplasia (RO) is an uncommon, developmental anomaly of the dental
hard tissues that affects ectodermal and mesodermal dental components with
characteristic clinical and radiographic findings. Clinically, RO affects a
particular segment in either or both dentitions in the maxilla or mandible or
both jaws. Radiographic features have consistently demonstrated thin and
defective layers of enamel and dentine, resulting in a faint, fuzzy outline,
creating a ghost-like appearance. The RO etiology is uncertain; numerous factors
have been suggested and considered as local trauma, irradiation,
hypophosphatasia, hypocalcemia, hyperpyrexia. A case of RO in a 10-year-old girl
whose chief complaint were forwardly placed upper front teeth and the absence of
eruption of permanent teeth. Clinical and radiographic features are described.
PMID- 26538975
TI - A telescopic retainer prosthesis in full mouth rehabilitation.
AB - The use of questionable abutments has been made possible by modifying the design
of the prosthesis. Telescopic retainers help to retain a prosthesis on a tilted
and malaligned abutments.
PMID- 26538976
TI - A noble method of using intravenous infusion set as a stent in localized lower
posterior vestibuloplasty: A technical note.
AB - Vestibuloplasty is the procedure for shallow vestibule, prior to the prosthesis.
Usually, vestibuloplasty is carried out in patients with completely edentulous
arches. There are multiple techniques of vestibuloplasty described in the review
of literature. However, it has not been emphasized on isolated shallow vestibule.
This article describes our experience in the isolated or localized
vestibuloplasty for a partially edentulous individual with a shallow vestibule
pertaining to a single missing tooth.
PMID- 26538977
TI - Complicated canal morphology of mandibular first premolar.
AB - The aim of this article was to report an unusual anatomic variation of mandibular
first premolar, with one root and three distinct canals, which leave pulp chamber
and merge short of apex to exit as two separate apical foramina. The incidence of
three canals existing as two apical foramina has only been documented in the
literature by a few case reports. To achieve successful endodontic treatment, the
clinician has to identify the different canal configurations and treat them
properly.
PMID- 26538978
TI - Human immunodeficiency virus induced oral candidiasis.
AB - Human immunodeficiency virus (HIV) infection is a worldwide health problem, which
affects in both developing and developed countries. The oral lesions caused due
to this disease can drastically change the life of the patient, in terms of
quality. We can also know the progression of the disease and also the important
immune status of the patient. Lots of information on HIV is known in the
developed countries and very less reports are available in the developing
countries. The morbidity of HIV disease is due to its association with
opportunistic fungal infection and the most common among them is oral
candidiasis. Here, we present a case report on an apparently healthy male patient
of 39 years, who had oral candidiasis and was one of the indicators for HIV
infection.
PMID- 26538979
TI - Interdental papilla regeneration around implants: A novel window technique (2
years follow-up).
AB - Reconstructing predictable and esthetic papilla is the most complex and
challenging aspect of implant dentistry. To obtain an esthetic and predictable
gingival architecture and implant restoration, interdental papilla plays an
important role. The main objective of the surgeon during the second stage of
implant treatment should be the creation of interdental papilla prior to
prosthetic restoration. The aim of this case report was to demonstrate a novel
window technique for developing predictable and esthetic papilla around dental
implants, which was followed for 2 years with excellent esthetic results.
PMID- 26538980
TI - Peri-implant soft tissue management: A case report (2 years follow-up) (Patrick
Palacci technique revisited).
AB - Peri-implant plastic surgery aims at improving the esthetic aspects of smile and
masticatory function. Over the years, several techniques such as tissue punch
technique, full thickness flaps, and scalloping adjustment of flaps around
implants have been employed; it was very difficult to achieve a papilla like
formation around implants. These added time and expense of the final results and
led to undesirable complications. In order to overcome these difficulties, this
case report describes a surgical technique where in papilla like formation and
increase in width of attached gingiva around implants can be achieved with a
single surgical procedure.
PMID- 26538981
TI - A rare presentation of a simple bone cyst.
AB - Simple bone cyst is an oft-described entity, which goes by many sobriquets and
has been presented in the literature as early as 1926. It is a lesion of unclear
etiology with many proposed hypothesis for its pathogenesis and nonspecific
histopathological presentation. We present a case of a solitary bone cyst, which
clinically presented as a solitary lesion, but radiological examination revealed
multiple lesions peppering the maxilla and the mandible, thereby highlighting the
importance of atypical presentation and "surprise" lesions that may show up on
radiological examination.
PMID- 26538982
TI - Drug-induced thrombocytopenic purpura.
AB - Drug-induced thrombocytopenic purpura is a skin condition result from a low
platelet count due to drug-induced anti-platelet antibodies caused by drugs. Drug
induced thrombocytopenic purpura should be suspected when a patient, child or
adult, has sudden, severe thrombocytopenia. Drug-induced thrombocytopenic purpura
is even more strongly suspected when a patient has repeated episodes of sudden,
severe thrombocytopenia.
PMID- 26538983
TI - Sealing ability of lateral condensation, thermoplasticized gutta-percha and
flowable gutta-percha obturation techniques: A comparative in vitro study:
Retraction.
AB - [This retracts the article on p. S131 in vol. 4, PMID: 23066233.].
PMID- 26538984
TI - Fertility at midlife.
PMID- 26538985
TI - Menopausal hormone therapy and ovarian cancer.
PMID- 26538986
TI - Overview of research studies on osteoporosis in menopausal women since the last
decade.
AB - PURPOSE/INTRODUCTION: Osteoporosis is a multifactorial and slowly emerging global
health problem. The lifetime risk of dying from hip fracture is same as that from
breast cancer. One out of three women between age group of 50-60 years in India
suffers from osteoporosis. Indian women have an early age of onset of
osteoporosis as compared to western counterparts. There is need for early
diagnosis, identification of high-risk groups and prevention and treatment of
osteoporosis in the Indian context. The aim of this study was to review the
literature published in last decade and compare the research in India with that
in rest of the world. MATERIALS AND METHODS: Research articles with key words
menopausal, osteoporosis and bone mineral density were searched in Pubmed from
January 2004 to December 2013. Articles were categorized according to year, place
and objective of the studies. RESULTS: In India more articles were published in
year 2010-11 (53.3%), while outside the country a uniform distribution of studies
was observed throughout the last decade. Objective of research was screening and
diagnosis (36.7%) and risk factor identification (40%) in most of the Indian
studies as compared to rest of the world. Research publications on prevention and
treatment of osteoporosis are less in India (20%). CONCLUSION: Research focusing
on diagnosis, prognosis, prevention and treatment are needed in India.
PMID- 26538987
TI - Low vitamin D, and bone mineral density with depressive symptoms burden in
menopausal and postmenopausal women.
AB - BACKGROUND: The reported association between vitamin D level and loss of Bone
mineral densitometry measurements (BMD) has been controversial. OBJECTIVE: The
objective of the current study was to determine whether low vitamin D level and
BMD are associated with depresive symptoms as burden in Arab women during the
menopausal and postmenopausal period. DESIGN AND SETTING: A cross-sectional
descriptive study design was used at the Primary Health Care (PHC) Centers in
Qatar. SUBJECTS: A multi-stage sampling design was used and a representative
sample of 1436 women aged 45-65 years were included during July 2012 and November
2013 and 1106 women agreed to participate (77.2%) and responded to the study.
MATERIALS AND METHODS: BMD (g/m(2)) was assessed at the BMD unit using a Lunar
Prodigy DXA system (Lunar Corp., Madison, WI). The antero-posterior lumbar spine
(L2-L4) and the mean of the proximal right and left femur were be measured by two
technician and then reviewed by one radiologist. Data on body mass index (BMI),
clinical biochemistry variables including serum 25-hydroxyvitamin D were
collected. The Beck Depression Inventory (BDI) was administered for depression
purposes. RESULTS: Of the 1436 women living in urban and rural areas, 1106 women
agreed to participate (77.0%) and responded to the study. The mean age and
standard deviation of the subjects was 53.8 +/- 3.2. The median age of natural
menopausal in the present study was 49 years (mean and standard deviation 49.5 +/
3.1 and postmenopausal was 58.1 +/- 3.3). There were statistically significant
differences between menopausal stages with regards to ethnicity, education level,
systolic and dialostic blood pressure, parity, sheesha smoking and depressive
symptoms. Overall 30.4% of women were affected with osteopenia/osteoporosis in
premenopausal and postmenopausal (24.4% vs 35.7%; P = 0.0442). Osteopenia in
premenopausal and postmenopausal (18.7% vs 29.3%; P = 0.030) and Osteoporosis
(9.9% vs 15.9%; P = 0.049) were significantly higher in post-menopausal women
than in premenopausal women (P = 0.046). Similarly, vitamin D deficiency was more
prevalent among postmenopausal women than menopausal women. Overall, only 15.1%
of women had optimum vitamin D level and 15.5% had severe, 33.2% had moderate
vitamin D insufficiency and 36.3% had mild vitamin D insufficiency in menopausal
and post menopausal women (P = 0.021). The study revealed that vitamin D level,
hemoglobin level, serum iron fasting plasma glucose, calcium, triglycerides, high
density lipid (HDL) cholesterol, low density lipid (LDL) Cholesterol, alkaline
phosphate and magnesium were considerably lower in postmenopausal compared to
menopausal women (P < 0.001). CONCLUSION: The current study revealed that there
was a strong association between vitamin D level and BMD in Arab women during the
menopausal and post-menopausal period.
PMID- 26538988
TI - A retrospective analysis of dermatoses in the perimenopausal population attending
a tertiary care centre in South India.
AB - INTRODUCTION: Menopausal is a normal physiologic aging process in women
characterized by decreasing estrogen levels. The skin is an organ dependant on
hormones, estrogen being the most important in case of females, thereby
influencing both the biology of skin and composition. Studies show that the
systemic effects of estrogen deprivation occur years after attaining menopausal,
however cutaneous features have been noticed earlier. The purpose of this study
is to evaluate the common disorders occurring in perimenopausal women of Indian
ethnicity. MATERIALS AND METHODS: A retrospective observational study of
outpatient records from Dermatology clinic between 2005 and 2012. All female
patients between 45-55 years of age from an outpatient register that outlines the
final diagnosis made by a qualified dermatologist after investigations. The data
was entered according to the pattern of dermatoses and their seasonal variation
and analyzed were included. RESULTS: A total of 8,156 cases were found. After
analysis of the many variables, the most common dermatoses in the perimenopausal
population were eczematous disorders (23.6%), followed by urticaria (12.4%) and
papulosquamous disorders (10.7%). Of the eczematous disorders, allergic and
photosensitive disorders were found to be more frequent. CONCLUSION: The leading
dermatoses being eczema and urticaria in the perimenopausal population probably
accounts for a tendency of exaggerated response to external factors. The
population studied in the current study might be of significance due to complete
lack of treatment in the form of hormone replacement therapy (HRT), while routine
sun exposure and cultural practices predominate. However, evaluation with respect
to individual factors is beyond the scope of the current study and may be
necessary to define a causal relationship.
PMID- 26538989
TI - Endometriosis presenting as carcinoma colon in a perimenopausal woman.
AB - Endometriosis is a common benign disease of reproductive age women, and can
involve the intestinal tract. Inconsistent clinical presentation, similar
features on radiological imaging and colonoscopy with other inflammatory and
malignant lesions of the bowel makes the preoperative diagnosis of bowel
endometriosis difficult. We present a case of a 42-year-old perimenopausal female
clinically presented, investigated and managed in the lines of carcinoma of
sigmoid colon. She underwent terminal ileac resection with end to end
anastomoses, Hartmann's procedure and total hysterectomy with bilateral
salpingoophorectomy. The histopathological report revealed endometriosis of small
intestine, large intestine, mesentery, right ovary and adenomyoma of uterus.
Thus, bowel endometriosis should also be considered as differential diagnosis in
reproductive age women with gastrointestinal symptoms or intestinal mass of
uncertain diagnosis.
PMID- 26538990
TI - A rare case of occult abdominal tuberculosis with Poncet's disease mimicking
Adult onset Still's disease.
AB - A 50-year-old female presented with fever, symmetrical arthralgias, rash, painful
oral ulcerations and alopecia since 8 weeks. Examination showed mild
hepatospleenomegaly. Investigations revealed leucocytosis, neutrophilia, elevated
sedimentation rate and raised ferritin levels (3850 ng/ml). Computerized
tomography (CT) abdomen showed hepatospleenomegaly, mild ascitis and mild
bilateral pleural-effusion. After ruling out occult infections, tuberculosis,
malignancies and autoimmune diseases by appropriate investigations, and due to
raised ferritin levels, adult onset stills disease (AOSD) was diagnosed. Patient
responded to oral steroids initially, but after 7 days developed severe abdominal
pain. Repeat CT showed multiple enlarged, necrotic and matted retroperitoneal
lymph nodes with caseating granuloma on histopathology suggesting tuberculosis.
Patient was given four-drug anti-tubercular treatment and she improved. Thus our
patient of occult abdominal tuberculosis with reactive arthritis (Poncet's
disease) presented with hyperferritinemia mimicking AOSD. We postulate that
extreme hyperferritinemia can be seen in tuberculosis and tuberculosis must be
conclusively ruled out before diagnosing AOSD in tropics.
PMID- 26538991
TI - Upper gastrointestinal bleed in a post menopausal woman due to combination of
high first dose aspirin and clopidogrel prescribed for acute coronary syndrome.
AB - Combination of aspirin, clopidogrel and enoxaparin remains the standard treatment
for acute coronary syndrome (ACS) but is known to increase the incidence of upper
gastrointestinal bleed (UGIB). We hereby report an unusual case of
gastrointestinal bleed (GIB) as it resulted inspite of proton pump inhibitor
(PPI) prophylaxis within the second day of treatment in a post-menopausal woman
(PMW) with high first dose of aspirin clopidogrel dual combination in a patient
of ACS.
PMID- 26538992
TI - Elderly female with Autoimmune hemolytic anemia.
AB - Autoimmune hemolytic anemia (AIHA) is a rare disease with an estimated prevalence
of around 17/100,000. It is often difficult to diagnose and treat AIHA,
especially in elderly. A 60-year-old female was admitted with the complaints of
low grade fever, on-off for 6 months, progressive fatigue and dyspnea on
exertion. She was transfused with three units of blood within these 6 months.
Examination revealed pallor, edema, hemic murmur, and palpable liver. Hb was 2.9
gm%, T Bil 5.2 mg/dl, ESR 160 mm, and reticulocyte count 44.05%. Direct Coombs
test was positive, anti-nuclear antibody (ANA) and Anti ds DNA were positive. A
diagnosis of systemic lupus erythematosus (SLE) with AIHA was considered and
patient was transfused with two units of packed red cells and put on steroid
(prednisolone) at 1 mg/kg body weight daily. After 3 weeks, her Hb had increased
to 10.4 gm% with gross clinical improvement.
PMID- 26538993
TI - Isolated renal hydatid cyst in a diabetic postmenopausal female.
AB - Isolated renal hydatid disease is very uncommon and is usually an unexpected
disclosure during radiological imaging. Since it affects the kidney, renal mass,
or colic, hematuria, pyuria, and dysuria are the predominant symptoms. We present
a case of a 48-year-old diabetic postmenopausal female incidentally diagnosed
with an isolated giant renal hydatid cyst while evaluating the cause of recurrent
flank pain.
PMID- 26538994
TI - Common mistakes done by authors in conduct and reporting risk factor
(observational) studies.
PMID- 26538995
TI - Critical Care In Korea: Present and Future.
AB - Critical (or intensive) care medicine (CCM) is a branch of medicine concerned
with the care of patients with potentially reversible life-threatening
conditions. Numerous studies have demonstrated that adequate staffing is of
crucial importance for patient outcome. Adequate staffing also showed favorable
cost-effectiveness in terms of ICU stay, decreased use of resources, and lower re
admission rates. The current status of CCM of our country is not comparable to
that of advanced countries. The global pandemic episodes in the past decade
showed that our society is not well prepared for severe illnesses or mass
casualty. To improve CCM in Korea, reimbursement of the government must be
amended such that referral hospitals can hire sufficient number of qualified
intensivists and nurses. For the government to address these urgent issues,
public awareness of the role of CCM is also required.
PMID- 26538996
TI - Preserving the Integrity of Citations and References by All Stakeholders of
Science Communication.
AB - Citations to scholarly items are building bricks for multidisciplinary science
communication. Citation analyses are currently influencing individual career
advancement and ranking of academic and research institutions worldwide. This
article overviews the involvement of scientific authors, reviewers, editors,
publishers, indexers, and learned associations in the citing and referencing to
preserve the integrity of science communication. Authors are responsible for
thorough bibliographic searches to select relevant references for their articles,
comprehend main points, and cite them in an ethical way. Reviewers and editors
may perform additional searches and recommend missing essential references.
Publishers, in turn, are in a position to instruct their authors over the
citations and references, provide tools for validation of references, and open
access to bibliographies. Publicly available reference lists bear important
information about the novelty and relatedness of the scholarly items with the
published literature. Few editorial associations have dealt with the issue of
citations and properly managed references. As a prime example, the International
Committee of Medical Journal Editors (ICMJE) issued in December 2014 an updated
set of recommendations on the need for citing primary literature and avoiding
unethical references, which are applicable to the global scientific community.
With the exponential growth of literature and related references, it is
critically important to define functions of all stakeholders of science
communication in curbing the issue of irrational and unethical citations and
thereby improve the quality and indexability of scholarly journals.
PMID- 26538997
TI - Development of Quality Management Systems for Clinical Practice Guidelines in
Korea.
AB - This study introduces the Clinical practice guidelines (CPGs) appraisal system by
the Korean Academy of Medical Sciences (KAMS). Quality management policies for
CPGs vary among different countries, which have their own cultures and health
care systems. However, supporting developers in guideline development and
appraisals using standardized tools are common practices. KAMS, an organization
representing the various medical societies of Korea, has been striving to
establish a quality management system for CPGs, and has established a CPGs
quality management system that reflects the characteristics of the Korean
healthcare environment and the needs of its users. KAMS created a foundation for
the development of CPGs, set up an independent appraisal organization, enacted
regulations related to the appraisals, and trained appraisers. These efforts
could enhance the ability of each individual medical society to develop CPGs, to
increase the quality of the CPGs, and to ultimately improve the quality of the
information available to decision-makers.
PMID- 26538998
TI - The Association between Body Weight Misperception and Psychosocial Factors in
Korean Adult Women Less than 65 Years Old with Normal Weight.
AB - With society's increasing interest in weight control and body weight, we
investigated the association between psychological factors and body image
misperception in different age groups of adult Korean women with a normal weight.
On a total of 4,600 women from the Korea National Health and Nutrition
Examination Survey 2007-2009, a self-report questionnaire was used to assess body
weight perception and 3 psychological factors: self-rated health status, stress
recognition, and depressed mood. Through logistic regression analysis, a poor
self-rated health status (P = 0.001) and a higher recognition of stress (P =
0.001) were significantly associated with body image misperception and this
significance remained after controlling for several sociodemographic (Model 1:
adjusted odds ratio [aOR], 1.62; 95% confidence interval [CI], 1.31-2.00), health
behavior and psychological factors (Model 2: aOR, 1.59; 95% CI, 1.29-1.96; Model
3: aOR, 1.36; 95% CI, 1.01-1.84). Especially, highly stressed middle-aged (50-64
yr) women were more likely to have body image misperception (Model 2: aOR, 2.85;
95% CI, 1.30-6.26). However, the correlation between depressed mood and self
reported body weight was inconsistent between different age groups. In
conclusion, self-rated health status and a high recognition rate of severe stress
were related to body weight misperception which could suggest tailored
intervention to adult women especially women in younger age or low self-rated
health status or a high recognition rate of severe stress.
PMID- 26538999
TI - Correlation between Drug Market Withdrawals and Socioeconomic, Health, and
Welfare Indicators Worldwide.
AB - The relationship between the number of withdrawn/restricted drugs and
socioeconomic, health, and welfare indicators were investigated in a
comprehensive review of drug regulation information in the United Nations (UN)
countries. A total of of 362 drugs were withdrawn and 248 were restricted during
1950-2010, corresponding to rates of 12.02 +/- 13.07 and 5.77 +/- 8.69 (mean +/-
SD), respectively, among 94 UN countries. A socioeconomic, health, and welfare
analysis was performed for 33 OECD countries for which data were available
regarding withdrawn/restricted drugs. The gross domestic product (GDP) per
capita, GDP per hour worked, health expenditure per GDP, and elderly population
rate were positively correlated with the numbers of withdrawn and restricted
drugs (P < 0.05), while the out-of-pocket health expenditure payment rate was
negatively correlated. The number of restricted drugs was also correlated with
the rate of drug-related deaths (P < 0.05). The World Bank data cross-validated
the findings of 33 OECD countries. The lists of withdrawn/restricted drugs showed
markedly poor international agreement between them (Fleiss's kappa = -0.114).
Twenty-seven drugs that had been withdrawn internationally by manufacturers are
still available in some countries. The wide variation in the numbers of drug
withdrawals and restrictions among countries indicates the need to improve drug
surveillance systems and regulatory communication networks.
PMID- 26539000
TI - Response-Guided Therapy for Hepatitis C Virus Recurrence Based on Early Protocol
Biopsy after Liver Transplantation.
AB - Hepatitis C virus (HCV) recurrence after liver transplantation (LT) is universal
and progressive. Here, we report recent results of response-guided therapy for
HCV recurrence based on early protocol biopsy after LT. We reviewed patients who
underwent LT for HCV related liver disease between 2010 and 2012. Protocol
biopsies were performed at 3, 6, and 12 months after LT in HCV recurrence
(positive HCV-RNA). For any degree of fibrosis, >= moderate inflammation on
histology or HCV hepatitis accompanying with abnormal liver function, we treated
with pegylated interferon and ribavirin. We adjusted treatment period according
to individual response to treatment. Among 41 HCV related recipients, 25 (61.0%)
who underwent protocol biopsies more than once were enrolled in this study. The
mean follow-up time was 43.1 (range, 23-55) months after LT. Genotype 1 and 2
showed in 56.0% and 36.0% patients, respectively. Of the 25 patients, 20 (80.0%)
started HCV treatment after LT. Rapid or early virological response was observed
in 20 (100%) patients. Fifteen (75.0%) patients finished the treatment with end
of-treatment response. Sustained virological response (SVR) was in 11 (55.0%)
patients, including 5 (41.7%) of 12 genotype 1 and 6 (75.0%) of 8 non-genotype 1
(P = 0.197). Only rapid or complete early virological response was a significant
predictor for HCV treatment response after LT (100% in SVR group vs. 55.6% in non
SVR group, P = 0.026). Overall 3-yr survival rate was 100%. In conclusion,
response-guided therapy for HCV recurrence based on early protocol biopsy after
LT shows encouraging results.
PMID- 26539001
TI - Fighting Hepatitis B in North Korea: Feasibility of a Bi-modal Prevention
Strategy.
AB - In North Korea, the prevalence of hepatitis B is high due to natural factors,
gaps in vaccination, and the lack of antiviral treatment. Aid projects are
urgently needed, however impeded by North Korea's political and economical
situation and isolation. The feasibility of a joint North Korean and German
humanitarian hepatitis B prevention program was assessed. Part 1: Hepatitis B
vaccination catch-up campaign. Part 2: Implementation of endoscopic ligation of
esophageal varices (EVL) by trainings in Germany and North Korea. By vaccinating
7 million children between 2010 and 2012, the hepatitis B vaccination gap was
closed. Coverage of 99.23% was reached. A total of 11 hepatitis B-induced liver
cirrhosis patients (mean age 41.1 yr) with severe esophageal varices and previous
bleedings were successfully treated by EVL without major complications. A
clinical standard operating procedure, a feedback system and a follow-up plan
were developed. The bi-modal preventive strategy was implemented successfully.
Parts of the project can serve as an example for other low-income countries,
however its general transferability is limited due to the special circumstances
in North Korea.
PMID- 26539002
TI - Effects of Methotrexate on Carotid Intima-media Thickness in Patients with
Rheumatoid Arthritis.
AB - The purpose of this study was to evaluate the effects of rheumatoid arthritis
(RA) and antirheumatic drugs on atherosclerosis by comparing carotid intima-media
thickness (CIMT) as an indicator for cardiovascular diseases (CVD). This study
included 44 female RA patients who met the 2010 ACR/EULAR criteria and age
matched 22 healthy females. CIMT was measured on both carotid arteries using a B
mode ultrasound scan. The mean value of both sides was taken as the CIMT of the
subject. The CIMT was evaluated according to the use of drugs, disease activity
and CVD risk factors in RA patients as a case-control study. Higher CIMT was
observed in RA patients as compared with healthy subjects (0.705 +/- 0.198 mm,
0.611 +/- 0.093 mm, respectively, P < 0.05). With adjustment for the CVD risk
factors, disease activity and the use of anti-rheumatic drugs, methotrexate (MTX)
only showed a favorable effect on CIMT in RA. A significantly lower CIMT was
observed in RA with MTX as compared with RA without MTX (0.644 +/- 0.136 mm,
0.767 +/- 0.233 mm, respectively, P < 0.05). The effects were correlated with MTX
dosage (beta = -0.029, P < 0.01). The use of MTX should be considered in high
priority not only to control arthritis but also to reduce the RA-related CVD risk
to mortality.
PMID- 26539003
TI - Validation of the Effectiveness and Safety of Temozolomide during and after
Radiotherapy for Newly Diagnosed Glioblastomas: 10-year Experience of a Single
Institution.
AB - This study was performed to validate the effectiveness and safety of concurrent
chemoradiotherapy and adjuvant therapy with temozolomide for newly diagnosed
glioblastoma multiforme as a standard treatment protocol. Between 2004 and 2011,
patients newly diagnosed with glioblastoma who were treated with temozolomide
during concurrent chemoradiotherapy and adjuvant chemotherapy were included from
a single institution and analyzed retrospectively. The primary endpoint was
overall survival, and the secondary endpoints were progression-free survival,
response, and safety. A total of 71 patients were enrolled in this study. The
response rate was 41% (29/71), and the tumor control rate was 80% (57/71). In the
67 patients who completed the concurrent chemoradiotherapy with temozolomide, the
median overall survival was 19 months and the 1- and 2-yr overall survival rates
were 78.3% and 41.7%, respectively. The median progression free survival was 9
months, and the 1- and 2-yr progression free survival rates were 33.8% and 14.3%,
respectively. The mean duration of survival after progression of disease in
salvage treatment group was 11.9 (1.3-53.2) months. Concurrent chemoradiotherapy
with temozolomide resulted in grade 3 or 4 hematologic toxic effects in 2.8% of
the patients. The current protocol of temozolomide during and after radiation
therapy is both effective and safe and is still appropriate as the standard
protocol for treatment of glioblastoma. An active salvage treatment might be
required for a better prognosis.
PMID- 26539004
TI - Assessment of Breast Cancer Patients' Knowledge and Decisional Conflict Regarding
Tamoxifen Use.
AB - Breast cancer is the most common type of female cancer. Tamoxifen, a selective
estrogen receptor modulator, is widely used to decrease breast cancer recurrence
and mortality among patients. However, it also increases the risk of endometrial
cancer. This study aimed to assess knowledge and decisional conflict regarding
tamoxifen use. Between June and October 2014, breast cancer patients using
tamoxifen were consecutively screened and requested to complete a survey
including the EQ-5D, Satisfaction with Decision Scale (SWD), Decisional Conflict
Scale (DCS), and a self-developed, 15-item questionnaire measuring tamoxifen
related knowledge. The study sample comprised 299 patients. The mean total
knowledge score was 63.4 of a possible 100.0 (range, 13.3-93.3). While 73.9% of
the participants knew that tamoxifen reduces the risk of breast cancer
recurrence, only 57.9% knew that the drug increases endometrial cancer risk. A
higher education level (>= college) was associated with a higher, total knowledge
score (beta = 4.291; P = 0.017). A higher knowledge score was associated with a
decreased DCS score (beta = -0.366; P < 0.001). A higher SWD score was also
associated with decreased decisional conflict (beta = -0.178; P < 0.001). In
conclusion, the breast cancer patients with higher levels of tamoxifen-related
knowledge showed lower levels of decisional conflict regarding tamoxifen use.
Clinicians should provide the exact information about tamoxifen treatment to
patients, based on knowledge assessment results, so as to aid patients' decision
making with minimal conflict.
PMID- 26539005
TI - Application of New Cholesterol Guidelines to the Korean Adult Diabetic Patients.
AB - The American College of Cardiology and the American Heart Association (ACC/AHA)
2013 joint guidelines for the treatment of hypercholesterolemia expand the
indications for statin therapy. This study was performed to estimate the numbers
of diabetic patients indicated for statin therapy according to the Third Adult
Treatment Panel (ATP-III) of the National Cholesterol Education Program
guidelines and the new ACC/AHA guidelines in Korea. We analyzed the data from the
Korea National Health and Nutrition Examination Survey (KNHANES) 2010-2012.
Patients with diabetes over 30 yr of age were analyzed by the two guidelines. Of
the total 1,975 diabetic patients, only 377 (19.1%) were receiving drugs for
dyslipidemia. Among 1,598 patients who had not taken any medications for
dyslipidemia, 65.6% would be indicated for statin therapy according to the ATP
III guidelines. When we apply the new guidelines, 94.3% would be eligible for
statin therapy. Among the total diabetic patients, the new guidelines, compared
with the ATP-III guidelines, increase the number eligible for statin therapy from
53.1% to 76.2%. The new guidelines would increase the indication for statin
therapy for most diabetic patients. At present, many diabetic patients do not
receive appropriate statin therapy. Therefore efforts should be made to develop
the Korean guidelines and to ensure that more diabetic patients receive
appropriate statin therapy.
PMID- 26539006
TI - Hepatocellular Carcinoma Risk of Compensated Cirrhosis Patients with Elevated HBV
DNA Levels according to Serum Aminotransferase Levels.
AB - Sometimes, hepatitis B virus (HBV)-related cirrhotic patients with normal
aminotransferase levels are closely followed-up for the elevation of
aminotransferase levels instead of prompt antiviral therapy (AVT). We analyzed
the long-term hepatocellular carcinoma (HCC) risk according to the
aminotransferase levels in a retrospective cohort of 1,468 treatment-naive, HBV
related, compensated cirrhosis patients with elevated HBV DNA levels (>= 2,000
IU/mL). Based on aminotransferase levels, patients were categorized into normal
(< 40 U/L, n = 364) and elevated group (>= 40 U/L, n = 1,104). During a median of
5.3 yr of follow-up (range: 1.0-8.2 yr), HCC developed in 296 (20%) patients. The
5-yr cumulative HCC incidence rate was higher in patients with elevated
aminotransferase level, but was not low in normal aminotransferase level (17% vs.
14%, P = 0.004). During the follow-up, 270/364 (74%) patients with normal
aminotransferase levels experienced elevation of aminotransferase levels, and AVT
was initiated in 1,258 (86%) patients. Less patients with normal aminotransferase
levels received AVT (70% vs. 91%, P < 0.001) and median time to start AVT was
longer (17.9 vs. 2.4 months, P < 0.001). AVT duration was an independent factor
associated with HCC, and median duration of AVT was shorter (4.0 vs. 2.6 yr, P <
0.001) in patients with normal aminotransferase levels. The HCC risk of
compensated cirrhosis patients with normal aminotransferase level is not low, and
AVT duration is associated with lowered HCC risk, indicating that prompt AVT
should be strongly considered even for those with normal aminotransferase levels.
PMID- 26539007
TI - Epidemiology and Regional Distribution of Pediatric Unintentional Emergency
Injury in Korea from 2010 to 2011.
AB - Injury is a leading cause of death and disability in children and adolescents
worldwide. The purpose of the current study was to investigate the epidemiologic
characteristics of the pediatric unintentional injuries presenting to the Korean
emergency department (ED). We included unintentional injuries in patients aged <
20 yr. Data collected from January 2010 to December 2011 was extracted from the
National Emergency Department Information System (NEDIS) of Korea. The NEDIS data
included information on patient's age and gender, geographic location of the ED
visits, mechanism of injuries; and clinical outcomes. Most (94.1%) injuries were
unintentional while 5.9% were intentional. The rate of ED visit for pediatric
unintentional injury was 6,097 per 100,000 and critical injury was 59.8 per
100,000 (< 20 yr habitants). The mortality rate was 5.4 per 100,000. The
mortality rate of pediatric unintentional injuries was 0.1% including the
prehospital death and ED death. Unintentional pediatric injuries occurred most
commonly in those age 0-4 boys and girls and were predominantly caused by
collisions. Male motorcyclists aged 15-19 yr formed a critical injury high-risk
group. The rates of critical injury and mortality were highest in Jeju, Gangwon,
Gwangju, and Jeonbuk than those in other regions. High-risk groups by age,
gender, mechanism and region should be targeted to prevent pediatric injuries in
Korea.
PMID- 26539008
TI - Is Radical Perineal Prostatectomy a Viable Therapeutic Option for Intermediate-
and High-risk Prostate Cancer?
AB - The aim of this study was to investigate a single-institution experience with
radical perineal prostatectomy (RPP), radical retropubic prostatectomy (RRP) and
minimally invasive radical prostatectomy (MIRP) with respect to onco-surgical
outcomes in patients with intermediate-risk (IR; PSA 10-20 ng/mL, biopsy Gleason
score bGS 7 or cT2b-2c) and high-risk (HR; PSA > 20 ng/mL, bGS >= 8, or >= cT3)
prostate cancer (PCa). We retrospectively reviewed data from 2,581 men who
underwent radical prostatectomy for IR and HR PCa (RPP, n = 689; RRP, n = 402;
MIRP, n = 1,490 [laparoscopic, n = 206; robot-assisted laparoscopic, n = 1,284]).
The proportion of HR PCa was 40.3%, 46.8%, and 49.5% in RPP, RRP, and MIRP (P <
0.001), respectively. The positive surgical margin rate was 23.8%, 26.1%, and
18.7% (P = 0.002) overall, 17.5%, 17.8%, and 8.8% (P < 0.001) for pT2 disease and
41.9%, 44.4%, and 40.0% (P = 0.55) for pT3 disease in men undergoing RPP, RRP,
and MIRP, respectively. Biochemical recurrence-free survival rates among RPP,
RRP, and MIRP were 73.0%, 70.1%, and 76.8%, respectively, at 5 yr (RPP vs. RPP, P
= 0.02; RPP vs. MIRP, P = 0.23). Furthermore, comparable 5-yr metastases-free
survival rates were demonstrated for specific surgical approaches (RPP vs. RPP, P
= 0.26; RPP vs. MIRP, P = 0.06). RPP achieved acceptable oncological control for
IR and HR PCa.
PMID- 26539009
TI - Geographic Distribution of Urologists in Korea, 2007 to 2012.
AB - The adequacy of the urologist work force in Korea has never been investigated.
This study investigated the geographic distribution of urologists in Korea.
County level data from the National Health Insurance Service and National
Statistical Office was analyzed in this ecological study. Urologist density was
defined by the number of urologists per 100,000 individuals. National patterns of
urologist density were mapped graphically at the county level using GIS software.
To control the time sequence, regression analysis with fitted line plot was
conducted. The difference of distribution of urologist density was analyzed by
ANCOVA. Urologists density showed an uneven distribution according to county
characteristics (metropolitan cities vs. nonmetropolitan cities vs. rural areas;
mean square=102.329, P<0.001) and also according to year (mean square=9.747,
P=0.048). Regression analysis between metropolitan and non-metropolitan cities
showed significant difference in the change of urologists per year (P=0.019).
Metropolitan cities vs. rural areas and non-metropolitan cities vs. rural areas
showed no differences. Among the factors, the presence of training hospitals was
the affecting factor for the uneven distribution of urologist density (P<0.001).
Uneven distribution of urologists in Korea likely originated from the relatively
low urologist density in rural areas. However, considering the time sequencing
data from 2007 to 2012, there was a difference between the increase of urologist
density in metropolitan and non-metropolitan cities.
PMID- 26539010
TI - Depression and Its Severity Are Strongly Associated with Both Storage and Voiding
Lower Urinary Tract Symptoms Independently of Prostate Volume.
AB - Depression is related to various functional medical conditions. Its association
with lower urinary tract symptoms (LUTS) is also expected. We evaluated whether
depression and its severity are associated with LUTS when LUTS risk factors
including prostate volume (PV) are taken into account in a large population of
Korean men. Study subjects included 10,275 men who underwent routine health check
ups at the Healthcare System Gangnam Center of Seoul National University
Hospital. Depression was assessed using Beck Depression Inventory-II and LUTS
using international prostate symptom score. PV was measured using transrectal
ultrasonography by a radiologist. Effect sizes of depression severity on total,
storage, and voiding symptoms were assessed. In multivariate logistic regression
analysis, mild, moderate and severe depression were associated with total
(adjusted odds ratio: aOR = 2.99, 3.86 and 8.99; all P < 0.001), voiding (aOR =
3.04, 3.28 and 5.58; all P < 0.001) and storage symptoms (aOR = 2.43, 3.43 and
2.89; all P < 0.05) showing dose response relationships (all P trend < 0.001). In
a subgroup analysis for participants with PV data (n = 1,925), mild and moderate
severe depression were also associated with LUTS (aOR = 3.29, 2.84; P < 0.001 and
0.018, respectively). In conclusion, depression and its severity are strongly
associated with total, voiding, and storage symptoms independently of PV state.
PMID- 26539011
TI - Gray and White Matter Degenerations in Subjective Memory Impairment: Comparisons
with Normal Controls and Mild Cognitive Impairment.
AB - Subjective memory impairment (SMI) is now increasingly recognized as a risk
factor of progression to dementia. This study investigated gray and white matter
changes in the brains of SMI patients compared with normal controls and mild
cognitive impairment (MCI) patients. We recruited 28 normal controls, 28 subjects
with SMI, and 29 patients with MCI aged 60 or older. We analyzed gray and white
matter changes using a voxel-based morphometry (VBM), hippocampal volumetry and
regions of interest in diffusion tensor imaging (DTI). DTI parameters of corpus
callosum and cingulum in SMI showed more white matter changes compared with those
in normal controls, they were similar to those in MCI except in the hippocampus,
which showed more degenerations in MCI. In VBM, SMI showed atrophy in the
frontal, temporal, and parietal lobes compared with normal controls although it
was not as extensive as that in MCI. Patients with SMI showed gray and white
matter degenerations, the changes were distinct in white matter structures. SMI
might be the first presenting symptom within the Alzheimer's disease continuum
when combined with additional risk factors and neurodegenerative changes.
PMID- 26539012
TI - Gender Differences in Depressive Symptom Profile: Results from Nationwide General
Population Surveys in Korea.
AB - This study investigated gender differences in symptom profiles of major
depressive disorder (MDD) in the Korean general population. Data were pooled from
the series of nationwide Korean Epidemiologic Catchment Area surveys conducted in
2001, 2006 and 2011, respectively. Of the 18,807 participants, 507 (397 women and
110 men) were diagnosed with MDD within the prior 12 months. In agreement with
previous studies, women with MDD appeared to be more vulnerable to experiencing
atypical depressive episodes defined as depression with two or more symptoms of
fatigue, increased appetite and hypersomnia (P < 0.001). In terms of individual
symptoms, female gender was significantly related with higher prevalence of
fatigue (P = 0.008), hypersomnia (P = 0.001), noticeable psychomotor retardation
(P = 0.029) and suicidal attempts (P = 0.016) with adjustment for birth cohort
effect, partner status, and employment status. In the same analysis, men with MDD
appeared more vulnerable to decreased libido than women (P = 0.009). This is the
first report to demonstrate gender differences in symptomatology of MDD in the
general Korean population, and the results are comparable to previous
investigations from western societies. Assumingly, the intercultural similarity
in female preponderance to atypical depression might reflect the common
biological construct underlying the gender difference in mechanism of MDD. In
clinical settings, gender differences of MDD should be carefully considered,
because these features could be related with treatment response and drug side
effects.
PMID- 26539013
TI - The Protective Role of Resilience in Attenuating Emotional Distress and
Aggression Associated with Early-life Stress in Young Enlisted Military Service
Candidates.
AB - Early life stress (ELS) may induce long-lasting psychological complications in
adulthood. The protective role of resilience against the development of
psychopathology is also important. The purpose of this study was to investigate
the relationships among ELS, resilience, depression, anxiety, and aggression in
young adults. Four hundred sixty-one army inductees gave written informed consent
and participated in this study. We assessed psychopathology using the Korea
Military Personality Test, ELS using the Childhood Abuse Experience Scale, and
resilience with the resilience scale. Analyses of variance, correlation analyses,
and hierarchical multiple linear regression analyses were conducted for
statistical analyses. The regression model explained 35.8%, 41.0%, and 23.3% of
the total variance in the depression, anxiety, and aggression indices,
respectively. We can find that even though ELS experience is positively
associated with depression, anxiety, and aggression, resilience may have
significant attenuating effect against the ELS effect on severity of these
psychopathologies. Emotion regulation showed the most beneficial effect among
resilience factors on reducing severity of psychopathologies. To improve mental
health for young adults, ELS assessment and resilience enhancement program should
be considered.
PMID- 26539014
TI - Steep Decrease of Gender Difference in DSM-IV Alcohol Use Disorder: A Comparison
of Two Nation-wide Surveys Conducted 10 Years Apart in Korea.
AB - While decreasing trend in gender differences in alcohol use disorders was
reported in Western countries, the change in Asian countries is unknown. This
study aims to explore the shifts in gender difference in alcohol abuse (AA) and
dependence (AD) in Korea. We compared the data from two nation-wide community
surveys to evaluate gender differences in lifetime AA and AD by Diagnostic and
Statistical Manual of Mental Disorders, Fourth Edition (DSM-IV). Face-to-face
interviews using the Composite International Diagnostic Interview (CIDI) were
applied to all subjects in 2001 (n=6,220) and 2011 (n=6,022). Male-to-female
ratio of odds was decreased from 6.41 (95% CI, 4.81-8.54) to 4.37 (95% CI, 3.35
5.71) for AA and from 3.75 (95% CI, 2.96-4.75) to 2.40 (95% CI, 1.80-3.19) for
AD. Among those aged 18-29, gender gap even became statistically insignificant
for AA (OR, 1.59; 95% CI, 0.97-2.63) and AD (OR, 1.18; 95% CI, 0.80-2.41) in
2011. Men generally showed decreased odds for AD (0.55; 95% CI, 0.45-0.67) and
women aged 30-39 showed increased odds for AA (2.13; 95% CI 1.18-3.84) in 2011
compared to 2001. Decreased AD in men and increased AA in women seem to
contribute to the decrease of gender gap. Increased risk for AA in young women
suggests needs for interventions.
PMID- 26539015
TI - Implication of Sarcopenia and Sarcopenic Obesity on Lung Function in Healthy
Elderly: Using Korean National Health and Nutrition Examination Survey.
AB - Previous studies have demonstrated a positive association between obesity and
decreased lung function. However, the effect of muscle and fat has not been fully
assessed, especially in a healthy elderly population. In this study, we evaluated
the impact of low muscle mass (LMM) and LMM with obesity on pulmonary impairment
in healthy elderly subjects. Our study used data from the Korea National Health
and Nutrition Examination Survey from 2008 to 2011. Men and women aged 65 yr or
older were included. Muscle mass was measured by dual-energy X-ray
absorptiometry. LMM was defined as two standard deviations below the sex-specific
mean for young healthy adults. Obesity was defined as body mass index >= 25
kg/m(2). The prevalence of LMM in individuals aged over 65 was 11.9%. LMM and
pulmonary function (forced vital capacity and forced expiratory volume in 1
second) were independently associated after adjusting for age, sex, body mass
index, smoking status, alcohol consumption, and frequency of exercise. LMM with
obesity was also related to a decrease in pulmonary function. This study revealed
that LMM is an independent risk factor of decreased pulmonary function in healthy
Korean men and women over 65 yr of age.
PMID- 26539016
TI - Reproducibility of Apparent Diffusion Coefficient Measurements in Malignant
Breast Masses.
AB - This study aimed to evaluate the reproducibility of apparent diffusion
coefficient (ADC) measurements in malignant breast masses, and to determine the
influence of mammographic parenchymal density on this reproducibility. Sixty-six
patients with magnetic resonance findings of the mass were included. Two breast
radiologists measured the ADC of the malignant breast mass and the same area on
the contralateral normal breast in each patient twice. The effects of
mammographic parenchymal density, histology, and lesion size on reproducibility
were also assessed. There was no significant difference in the mean ADC between
repeated measurements in malignant breast masses and normal breast tissue. The
overall reproducibility of ADC measurements was good in both. The 95% limits of
agreement for repeated ADCs were approximately 30.2%-33.4% of the mean. ADC
measurements in malignant breast masses were highly reproducible irrespective of
mass size, histologic subtype, or coexistence of microcalcifications; however,
the measurements tended to be less reproducible in malignant breast masses with
extremely dense parenchymal backgrounds. ADC measurements in malignant breast
masses are highly reproducible; however, mammographic parenchymal density can
potentially influence this reproducibility.
PMID- 26539017
TI - Acute Cholecystitis in Patients with Scrub Typhus.
AB - Acute cholecystitis is a rare complication of scrub typhus. Although a few such
cases have been reported in patients with scrub typhus, the clinical course is
not well described. Of 12 patients, acute cholecystitis developed in 66.7% (8/12)
of patients older than 60 yr. The scrub typhus group with acute cholecystitis had
marginal significant longer hospital stay and higher cost than the group without
cholecystitis according to propensity score matching. Scrub typhus should be kept
in mind as a rare etiology of acute cholecystitis in endemic areas because the
typical signs of scrub typhus such as skin rash and eschar can present after the
abdominal pain.
PMID- 26539020
TI - Uncertainty and Its Consequences in Clinical Practice.
PMID- 26539018
TI - Middle East Respiratory Syndrome Coronavirus Superspreading Event Involving 81
Persons, Korea 2015.
AB - Since the first imported case of Middle East respiratory syndrome coronavirus
(MERS-CoV) infection was reported on May 20, 2015 in Korea, there have been 186
laboratory-confirmed cases of MERS-CoV infection with 36 fatalities. Ninety-seven
percent (181/186) of the cases had exposure to the health care facilities. We are
reporting a superspreading event that transmitted MERS-CoV to 81 persons at a
hospital emergency room (ER) during the Korean outbreak in 2015. The index case
was a 35-yr-old man who had vigorous coughing while staying at the ER for 58 hr.
As in severe acute respiratory syndrome outbreaks, superspreading events can
cause a large outbreak of MERS in healthcare facilities with severe consequences.
All healthcare facilities should establish and implement infection prevention and
control measure as well as triage policies and procedures for early detection and
isolation of suspected MERS-CoV cases.
PMID- 26539019
TI - Two-stage Surgery for an Aortoesophageal Fistula Caused by Tuberculous
Esophagitis.
AB - An aortoesophageal fistula (AEF) is an extremely rare, potentially fatal
condition, and aortic surgery is usually performed together with extracorporeal
circulation. However, this surgical method has a high rate of surgical
complications and mortality. This report describes an AEF caused by tuberculous
esophagitis that was treated successfully using a two-stage operation. A 52-yr
old man was admitted to the hospital with severe hematemesis and syncope. Based
on the computed tomography and diagnostic endoscopic findings, he was diagnosed
with an AEF and initially underwent thoracic endovascular aortic repair.
Esophageal reconstruction was performed after controlling the mediastinal
inflammation. The patient suffered postoperative anastomotic leakage, which was
treated by an endoscopic procedure, and the patient was discharged without any
further problems. The patient received 9 months of anti-tuberculosis treatment
after he was diagnosed with histologically confirmed tuberculous esophagitis;
subsequently, he was followed as an outpatient and has had no recurrence of the
tuberculosis or any further issues.
PMID- 26539021
TI - Clothes Do Not Make the Man: Well-favored Figures are Game-changers in the
Biomedical Publication.
PMID- 26539022
TI - An Exploration of Family and Juvenile Justice Systems to Reduce Youth HIV/STI
Risk.
AB - Using in-depth interviews with 20 probation youth (60% female; 35% white; 30%
Hispanic; mean age 15years, range=13-17), their caregivers (100% female; mean age
44years, range=34-71) and 12 female probation officers (100% white; mean age
46years, range=34-57), we explored how family and probation systems exacerbate or
mitigate sexual risk. We conducted thematic analyses of interviews, comparing
narratives of families of sexually risky (n=9) versus non-sexually risky (n=11)
youth. Family functioning differed by youth sexual risk behavior around quality
of relationships, communication, and limit-setting and monitoring. The
involvement of families of sexually risky youth in probation positively
influenced family functioning. Data suggest these families are amenable to
intervention and may benefit from family-based HIV/STI interventions delivered in
tandem with probation.
PMID- 26539023
TI - copCAR: A Flexible Regression Model for Areal Data.
AB - Non-Gaussian spatial data are common in many fields. When fitting regressions for
such data, one needs to account for spatial dependence to ensure reliable
inference for the regression coefficients. The two most commonly used regression
models for spatially aggregated data are the automodel and the areal generalized
linear mixed model (GLMM). These models induce spatial dependence in different
ways but share the smoothing approach, which is intuitive but problematic. This
article develops a new regression model for areal data. The new model is called
copCAR because it is copula-based and employs the areal GLMM's conditional
autoregression (CAR). copCAR overcomes many of the drawbacks of the automodel and
the areal GLMM. Specifically, copCAR (1) is flexible and intuitive, (2) permits
positive spatial dependence for all types of data, (3) permits efficient
computation, and (4) provides reliable spatial regression inference and
information about dependence strength. An implementation is provided by R package
copCAR, which is available from the Comprehensive R Archive Network, and
supplementary materials are available online.
PMID- 26539024
TI - Predictors of Childhood Depressed Mood: A Two-Generational Study.
AB - This study tests a model of intergenerational influences on childhood depressed
mood that proposes (1) indirect and direct paths from maternal drug use to
offspring depressed mood; and (2) pathways from maternal maladaptive personality
attributes to offspring depressed mood via adverse child-rearing practices. A
cross-sectional two-generational design is employed. Data was obtained utilizing
structured questionnaires administered by trained interviewers in the homes of
the participants. The sample was comprised of African American and Puerto Rican
children (N=210) and their mothers living in New York City. Using structural
equation modeling, the analysis showed that maladaptive personality attributes
are associated with adverse maternal child-rearing practices, which, in turn, are
related to depressed mood in the offspring. Maternal drug use had a direct effect
on offspring depressed mood. Maternal drug use also had an indirect path to
offspring depressed mood via maladaptive personality attributes and adverse
maternal child-rearing practices. The total effects analysis indicated that
adverse maternal child-rearing practices was the strongest predictor of childhood
depressed mood. This finding was consistent with the proximal position of the
latent construct within the model. Maternal personality attributes and drug use
were of lesser importance, but still statistically significant. The results
suggest that maternal drug use and maladaptive personality attributes pose risks
for the future depressive mood of children. The relative strength of maternal
involvement with offspring should be the focus of preventive and therapeutic
intervention efforts.
PMID- 26539025
TI - Practicability confirmation by meta-analysis of intravitreal ranibizumab compared
to photodynamic therapy to treat polypoidal choroidal vasculopathy.
AB - PURPOSE: The literatures show that photodynamic therapy (PDT) and intravitreal
ranibizumab (IVR) have their own specific advantages in treating polypoidal
choroidal vasculopathy (PCV). Using a meta-analysis, we want to provide some
suggestions for the clinical application of the two treatments to PCV patients
through a comparison of the functional outcomes in a follow-up period after
administration. METHODS: A comprehensive literature search was performed using
several databases to assemble the controlled trials of IVR and PDT. The program
of RevMan version 5.0 was used to analyze the data. The effects of two treatments
on PCV were evaluated by comparing weighted mean differences (WMDs) in the change
of LogMar visual acuity, central retinal thickness (CRT), and the deterioration
ratio for the proportions of patients with visual reductions from the baseline.
Data with homogeneity among studies were analyzed using a fixed-effect meta
analysis model; otherwise, a random-effect model was applied to data with
heterogeneity. RESULTS: Five studies are included covering 260 cases in total in
this study. The outcomes of IVR treatment compared to PDT appear to significantly
improve vision, decrease the central retinal thickness (CRT), and reduce the
invalidation rate. The LogMar visual acuity shifts from 0.6 to 0.3 in the
following 24 months and the improvement rate of visual acuity ranges from 60-70%
in IVR treated patients. However, the visual acuity improvement is moderate in
the PDT group. These analyses indicate that IVR is an applicable treatment in PCV
patients, although PDT is able to yield about a 35% visual acuity improvement in
a short-term follow-up. Our 3-D mesh modal also confirms that IVR is able to
yield better effects to treat PCV than PDT. CONCLUSIONS: The analysis in this
study suggests that IVR has a significant effect on the improvement of visual
acuity when treating patients with PCV. Our findings clearly document that IVR
can be used as a more effective therapy for long-term administration in PCV.
PMID- 26539026
TI - KCC2 expression supersedes NKCC1 in mature fiber cells in mouse and rabbit
lenses.
AB - PURPOSE: Na-K-Cl cotransporter 1 (NKCC1) and K-Cl cotransporter 2 (KCC2) have
fundamental roles in neuron differentiation that are integrated with gamma
aminobutyric acid (GABA) and glutamate receptors, GABA synthesized by GAD25/65/67
encoded by GAD1/GAD2 genes, and GABA transporters (GATs). Cells in the eye lens
express at least 13 GABA receptor subunits, alpha-amino-3-hydroxy-5-methyl-4
isoxazolepropionic acid (AMPA) and N-methyl D-aspartate (NMDA) glutamate
receptors, GAD1/GAD2, GAT1-4 and vGAT, and NKCC1. NKCC1:KCC2 ratios determine the
switch in GABA actions from trophic/growth promoting early in development to
their classic inhibitory roles in adult neurons. Lens epithelial cells cover the
anterior surface and differentiate to elongated fiber cells in the lens interior
with comparable morphology and sub-cellular structures as neurons. NKCC1 is
expressed before KCC2 in neuron development and increases cell chloride, which
stimulates differentiation and process formation. Subsequently, KCC2 increases
and extrudes cell chloride linked with maturation. KCC2 has an additional
structural moonlighting role interacting with F-actin scaffolding in dendritic
spine morphogenesis. We examined KCC2 versus NKCC1 spatial expression in relation
to fiber cell developmental status within the lens. METHODS: Immunofluorescence
and immunoblots were used to detect expression in mouse and rabbit lenses.
RESULTS: NKCC1 was restricted to peripheral elongating lens fiber cells in young
adult mouse and rabbit lenses. Lens KCC2 expression included the major KCC2b
neuronal isoform and was detected in interior fiber cells with decreased NKCC1
expression and localized at the membranes. Lens expression of RE-1 silencing
transcription factor (REST) regulated KCC2 is consistent with GAD1 and GAD2,
several GABA and glutamate receptor subunits, miR-124, and other REST-regulated
genes expressed in lenses. CONCLUSIONS: NKCC1 in peripheral elongating fiber
cells is superseded by KCC2 expression in interior mature fiber cells that also
express >20 additional integral GABA biology genes, AMPA/NMDA glutamate
receptors, and an array of accessory proteins that together underlie
morphogenesis in neurons. The present findings provide further evidence that this
fundamental neuronal regulation is extensively conserved in lens and identify
additional parallels in the morphogenetic programs that underlie lens fiber cell
and neuronal differentiation and contribute to the development of visual acuity.
PMID- 26539027
TI - Human tear analysis with miniaturized multiplex cytokine assay on "wall-less" 96
well plate.
AB - PURPOSE: Tears are a particularly limited body fluid and commonly used in the
diagnosis of patients who have ocular diseases. A popular method for analysis of
ocular inflammation in tears uses Luminex(r) bead multiplex technology to
generate valuable multiple cytokine profile outputs with 25-50 ul tear sample
volume. We propose a method for measuring tear cytokines with 5 MUl tear sample
volume and 80% reduced Luminex reagents compared to previous protocols. METHODS:
Using human tears pooled from 1,000 participants, the DA-Bead-based method
running at 5-20 ul volume, using manual pipetting, in conjunction with a magnetic
Luminex cytokine (four-plex) panel assay in a 96-well format was performed and
validated for tumor necrosis factor (TNF)-alpha, interferon (IFN)-gamma,
interleukin (IL)-1beta, and IL-6. RESULTS: Upon use of the DA-Bead method at the
5 MUl volume with cytokine standards, the concentrations of each of the four
cytokines were found to be linear over a range of 3.5-4 log pg/ml with an intra
assay coefficient of variation (CV) <=5%, inter-assay %CV <=10%, and accuracy
within the 70-130% range. Upon use of a 5 ul healthy pooled tear sample, cytokine
concentrations were detected with a precision intra-assay %CV ? 20% for IL-6, IFN
gamma, or TNF-alpha or 30.37% with IL-1beta. The inter-assay %CV with tears was
<=20.84% for all cytokines. Tear volumes run at 5 MUl on DA-Bead produced a
similar cytokine expression profile at a 1-month interval and were highly
correlated with the larger 10 MUl-based tear sample volume cytokine profile with
R(2) = 0.98. CONCLUSIONS: DA-Bead assay is highly sensitive and reproducible and
has a performance profile that is potentially suitable for use in standard
clinical scenarios. Considering the use of as little as 5 ul of assay beads and 5
ul sample, this is also likely to reduce the assay cost significantly and ease
diagnosis of patients with ocular diseases.
PMID- 26539028
TI - TIMP1, TIMP2, and TIMP4 are increased in aqueous humor from primary open angle
glaucoma patients.
AB - PURPOSE: Elevated intraocular pressure (IOP) is the only known modifiable risk
factor for primary open angle glaucoma (POAG), and it can be caused by reduced
aqueous humor outflow from the anterior chamber. Outflow is predominantly
regulated by the trabecular meshwork, consisting of specialized cells within a
complex extracellular matrix (ECM). An imbalance between ECM-degrading matrix
metalloproteinases (MMPs) and the tissue inhibitors of MMPs (TIMPs) within the
trabecular meshwork is thought to contribute to POAG. This study aimed to
quantify levels of TIMPs and MMPs in aqueous humor samples from glaucomatous and
non-glaucomatous eyes, analyze MMP/TIMP ratios, and correlate results with age,
IOP, and Humphrey's visual field pattern standard deviation (PSD). METHODS:
Aqueous humor samples were collected from 26 non-glaucomatous control subjects
before cataract surgery and 23 POAG patients undergoing trabeculectomy or
cataract surgery. Analyte concentrations were measured using multiplexed
immunoassays. Statistical significance was assessed with Mann-Whitney U tests,
and Spearman's method was used to assess correlations with age, IOP, and PSD.
RESULTS: Concentrations of TIMP1 (p = 0.0008), TIMP2 (p = 0.002), TIMP4 (p =
0.002), and MMP2 (p = 0.020) were significantly increased in aqueous humor
samples from POAG versus cataract samples. For the majority of MMP/TIMP molar
ratios calculated for the cataract group, TIMPs outweighed MMPs. In POAG, molar
ratios of MMP2/TIMP1 (p = 0.007) and MMP9/TIMP1 (p = 0.005) showed a significant
decrease, corresponding to an elevated excess of TIMPs over MMPs in POAG compared
to cataract samples. Conversely, MMP2/TIMP3 (p = 0.045) and MMP3/TIMP3 (p =
0.032) molar ratios increased. Several MMP/TIMP molar ratios correlated with IOP
(r = 0.476-0.609, p = 0.007-0.034) and PSD (r = -0.482 to -0.655, p = 0.005
0.046) in POAG samples and with age in cataract control samples. CONCLUSIONS: An
imbalance among MMPs and TIMPs was found in glaucomatous aqueous humor samples,
with a shift toward raised TIMP levels. This may result in the inhibition of MMP
activity, leading to an altered ECM composition in the TM and thereby
contributing to increased outflow resistance.
PMID- 26539029
TI - Down-regulation of microRNA-155 attenuates retinal neovascularization via the
PI3K/Akt pathway.
AB - PURPOSE: We aimed to investigate the anti-angiogenic properties of miR-155 via in
vitro and in vivo studies. METHODS: miR-155 was knocked down using lentivirus
mediated RNA interference. The proliferation, migration, and tube formation of
human retinal microvascular endothelial cells (HRMECs) were measured using BrdU,
Transwell, and Matrigel assays, respectively. An oxygen-induced retinopathy (OIR)
model was induced using neonatal C57BL/6J pups. Anti-miR-155 was intravitreally
injected on postnatal day 12, and the retinal non-perfused areas and extent of
neovascularization were measured on postnatal day 18 using transcardiovascular
fluorescein isothiocyanate (FITC)-dextran perfusion and retina sections. A laser
induced choroidal neovascularization (CNV) model was induced in adult C57BL/6J
mice. To evaluate the leakage areas, fundus fluorescein angiography was performed
on day 14 after anti-miR-155 intravitreal injection. The neovascularization area
of the CNV model was also examined in confocal and retina section studies. The
expression levels of SHIP1 and p-Akt (Thr308, Ser473, and Thr450) were evaluated
both in vitro and in vivo. RESULTS: The expression of miR-155 was elevated in
HRMECs after treatment with vascular endothelial growth factor (VEGF) and in
neovascularized mouse model retinas. Anti-miR-155 lentivirus reduced the VEGF
induced proliferation, migration, and tube formation abilities of HRMECs. Anti
miR-155 attenuated retinal neovascularization in in vivo CNV and OIR models. In
VEGF-treated HRMECs and retina neovascularization models, p-Akt (Ser473) was
significantly upregulated, while SHIP1 was downregulated. Conversely, the
inhibition of miR-155 restored the expression of SHIP1 and reduced the
phosphorylation of effectors in the Akt (Ser473) signaling pathway. CONCLUSIONS:
The results revealed that the downregulation of miR-155 attenuated retinal
neovascularization via the phosphatidylinositol 3-kinase (PI3K)/Akt pathway.
PMID- 26539030
TI - Spectrum of germ-line RB1 gene mutations in Malaysian patients with
retinoblastoma.
AB - PURPOSE: The availability of molecular genetic testing for retinoblastoma (RB) in
Malaysia has enabled patients with a heritable predisposition to the disease to
be identified, which thus improves the clinical management of these patients and
their families. In this paper, we presented our strategy for performing molecular
genetic testing of the RB1 gene and the findings from our first 2 years of
starting this service. METHODS: The peripheral blood of 19 RB probands, including
seven bilateral and 12 unilateral cases, was obtained, and genomic DNA was
extracted. Analysis of the RB1 exons and the promoter region was conducted first
using PCR and direct sequencing. Next, multiplex ligation-dependent probe
amplification (MLPA) analysis was performed for patients whom the first results
were negative. For patients whom either the first or second method results were
positive, parental samples were analyzed to determine the origin of the mutation.
RESULTS: Ten RB1 mutations were identified in ten (52.6%) of the 19 probands
(seven bilateral and three unilateral cases), of which 30.0% (3/10) was
identified with MLPA. The detection rates in the bilateral and unilateral cases
were 100.0% (7/7) and 25.0% (3/12), respectively. Three new RB1 mutations were
discovered, two in patients with bilateral RB and one in patient with unilateral
RB. Interestingly, all mutations detected with the PCR-sequencing method were
predicted to create a premature stop codon. Eight mutations were proven to be de
novo while one mutation was inherited from the mother in a family with a positive
history of RB. CONCLUSIONS: Our results confirmed the heterogeneous nature of RB1
mutations and the predominantly de novo origin. The high prevalence of pathogenic
truncating mutations was evident among local patients with RB. The combination of
PCR sequencing and MLPA is recommended for sensitive identification of heritable
RB cases.
PMID- 26539031
TI - Effects of ranibizumab on TGF-beta1 and TGF-beta2 production by human Tenon's
fibroblasts: An in vitro study.
AB - PURPOSE: Inhibiting exaggerated wound healing responses, which are primarily
mediated by human Tenon's fibroblast (HTF) migration and proliferation, has
become the major determining factor for a successful trabeculectomy. Antivascular
endothelial growth factor (anti-VEGF) has showed promising results as a potential
antifibrotic candidate for use concurrently in trabeculectomy. Preliminary cohort
studies have revealed improved bleb morphology following trabeculectomy augmented
with ranibizumab. However, the effects on HTFs remain unclear. This study was
conducted to understand the effects of ranibizumab on transforming growth factor
(TGF)-beta1 and transforming growth factor (TGF)-beta2 expression by HTFs.
METHODS: The effect of ranibizumab on HTF proliferation and cell viability was
determined using 3-(4,5-dimethylthiazone-2-yl)-2,5-diphenyl tetrazolium (MTT)
assay. Ranibizumab at concentrations ranging from 0.01 to 0.5 mg/ml were
administered for 24, 48, and 72 h in serum and serum-free conditions.
Supernatants and cell lysates from samples were assessed for TGF-beta1 and TGF
beta2 mRNA and protein levels using quantitative real-time polymerase chain
reaction (qRT-PCR) and enzyme-linked immunosorbent assay (ELISA). RESULTS: At 48
h, 0.5 mg/ml of ranibizumab significantly induced cell death under serum-free
culture conditions (p<0.05). Ranibizumab caused a significant reduction in TGF
beta1 mRNA, but not for TGF-beta2. However, the total protein production of TGF
beta1 and TGF-beta2 was unaffected by this anti-VEGF treatment. CONCLUSIONS:
Exposure of HTFs to an intravitreal dose of ranibizumab significantly suppresses
cell viability in vitro; however, the application seemed unable to affect the
ultimate production of TGF-beta. Therefore, we highlighted ranibizumab as a
potential antiscarring agent that acts via a different mechanism when used
synergistically with another antifibrotic agent. Understanding the mechanism of
actions of ranibizumab offers an additional view of a possible new rational
therapeutic strategy.
PMID- 26539033
TI - A Comprehensive Review of Tropical Milky White Mushroom (Calocybe indica P&C).
AB - A compressive description of tropical milky white mushroom (Calocybe indica P&C
var. APK2) is provided in this review. This mushroom variety was first identified
in the eastern Indian state of West Bengal and can be cultivated on a wide
variety of substrates, at a high temperature range (30~38C). However, no
commercial cultivation was made until 1998. Krishnamoorthy 1997 rediscovered the
fungus from Tamil Nadu, India and standardized the commercial production
techniques for the first time in the world. This edible mushroom has a long shelf
life (5~7 days) compared to other commercially available counterparts. A
comprehensive and critical review on physiological and nutritional requirements
viz., pH, temperature, carbon to nitrogen ratio, best carbon source, best
nitrogen source, growth period, growth promoters for mycelia biomass production;
substrate preparation; spawn inoculation; different supplementation and casing
requirements to increase the yield of mushrooms has been outlined. Innovative and
inexpensive methods developed to commercially cultivate milky white mushrooms on
different lignocellulosic biomass is also described in this review. The
composition profiles of milky white mushroom, its mineral contents and non
enzymatic antioxidants are provided in comparison with button mushroom (Agaricus
bisporus) and oyster mushroom (Pleurotus ostreatus). Antioxidant assay results
using methanol extract of milky white mushroom has been provided along with the
information about the compounds that are responsible for flavor profile both in
fresh and dry mushrooms. Milky white mushroom extracts are known to have anti
hyperglycemic effect and anti-lipid peroxidation effect. The advantage of growing
at elevated temperature creates newer avenues to explore milky white mushroom
cultivation economically around the world, especially, in humid tropical and sub
tropical zones. Because of its incomparable productivity and shelf life to any
other cultivated mushrooms in the world, milky white mushroom could play an
important role in satisfying the growing market demands for edible mushrooms in
the near future.
PMID- 26539032
TI - The Zinc Transport Systems and Their Regulation in Pathogenic Fungi.
AB - Zinc is an essential micronutrient required for many enzymes that play essential
roles in a cell. It was estimated that approximately 3% of the total cellular
proteins are required for zinc for their functions. Zinc has long been considered
as one of the key players in host-pathogen interactions. The host sequesters
intracellular zinc by utilizing multiple cellular zinc importers and exporters as
a means of nutritional immunity. To overcome extreme zinc limitation within the
host environment, pathogenic microbes have successfully evolved a number of
mechanisms to secure sufficient concentrations of zinc for their survival and
pathogenesis. In this review, we briefly discuss the zinc uptake systems and
their regulation in the model fungus Saccharomyces cerevisiae and in major human
pathogenic fungi such as Aspergillus fumigatus, Candida albicans, and
Cryptococcus gattii.
PMID- 26539034
TI - Three New Monotypic Genera of the Caloplacoid Lichens (Teloschistaceae, Lichen
Forming Ascomycetes).
AB - Three monophyletic branches are strongly supported in a phylogenetic analysis of
the Teloschistaceae based on combined data sets of internal transcribed spacer
and large subunit nrDNA and 12S small subunit mtDNA sequences. These are
described as new monotypic genera: Jasonhuria S. Y. Kondr., L. Lokos et S. -O.
Oh, Loekoesia S. Y. Kondr., S. -O. Oh et J. -S. Hur and Olegblumia S. Y. Kondr.,
L. Lokos et J. -S. Hur. Three new combinations for the type species of these
genera are proposed.
PMID- 26539035
TI - Three New Records of Mortierella Species Isolated from Crop Field Soil in Korea.
AB - Three new fungal species of the genus Mortierella, Mortierella zychae,
Mortierella ambigua, and Mortierella indohii, have been reported in Korea. The
fungi were encountered during a study on the fungal community of soil samples
collected from different locations in Korea. The species were identified based on
molecular and morphological analyses. This study presents detailed descriptions
of the morphological observations and molecular phylogenetic analysis of these
three fungi. All three species were found to be sensitive to triphenyltetrazolium
chloride staining. M. zychae demonstrated the highest intensity of mycelial
staining, indicating that this species has the highest potential to produce
arachidonic acid of the three species. The staining results indicated that the
newly recorded species could potentially be useful for arachidonic acid
production.
PMID- 26539036
TI - Phylogenetic Status of an Unrecorded Species of Curvularia, C. spicifera, Based
on Current Classification System of Curvularia and Bipolaris Group Using Multi
Loci.
AB - A seed-borne fungus, Curvularia sp. EML-KWD01, was isolated from an indigenous
wheat seed by standard blotter method. This fungus was characterized based on the
morphological characteristics and molecular phylogenetic analysis. Phylogenetic
status of the fungus was determined using sequences of three loci: rDNA internal
transcribed spacer, large ribosomal subunit, and glyceraldehyde 3-phosphate
dehydrogenase gene. Multi loci sequencing analysis revealed that this fungus was
Curvularia spicifera within Curvularia group 2 of family Pleosporaceae.
PMID- 26539037
TI - Aspergillus Associated with Meju, a Fermented Soybean Starting Material for
Traditional Soy Sauce and Soybean Paste in Korea.
AB - Aspergillus is an important fungal genus used for the fermentation of Asian
foods; this genus is referred to as koji mold in Japan and China. A. oryzae, A.
sojae, and A. tamari are used in the production of miso and shoyu in Japan, but a
comprehensive taxonomic study of Aspergillus isolated from Meju, a fermented
soybean starting material for traditional soy sauce and soybean paste in Korea,
has not been conducted. In this study, various Aspergillus species were isolated
during a study of the mycobiota of Meju, and the aspergilli were identified based
on phenotypic characteristics and sequencing of the beta-tubulin gene. Most
strains of Aspergillus were found to belong to the following sections:
Aspergillus (n = 220), Flavi (n = 213), and Nigri (n = 54). The most commonly
identified species were A. oryzae (n = 183), A. pseudoglaucus (Eurotium repens)
(n = 81), A. chevalieri (E. chevalieri) (n = 62), A. montevidensis (E.
amstelodami) (n = 34), A. niger (n = 21), A. tamari (n = 15), A. ruber (E.
rubrum) (n = 15), A. proliferans (n = 14), and A. luchuensis (n = 14); 25 species
were identified from 533 Aspergillus strains. Aspergillus strains were mainly
found during the high temperature fermentation period in the later steps of Meju
fermentation.
PMID- 26539038
TI - Taxonomic Study of the Genus Abundisporus in Korea.
AB - The polypore genus Abundisporus Ryvarden is characterized by resupinate to
pileate fruitbodies with a purplish brown hymenophore, slightly thick-walled,
pale yellowish and non-dextrinoid basidiospores, and causing white rot. A purple
color hymenophore, an easily observable and striking character, was considered
the main distinctive feature at the generic level within polypores. However, due
to highly similar basidiocarp features, species identification within these
purple polypores is particularly difficult. Three species of purple colored
polypores have been reported in Korea (Abundisporus fuscopurpureus, A.
pubertatis, and Fomitopsis rosea). Based on morphological re-examination,
ecological information, and sequence analysis of the internal transcribed spacer,
we showed that previous classification was incorrect and there is only one
species (A. pubertatis) in Korea. We provide a detailed description of A.
pubertatis in Korea, as well as a taxonomic key to distinguish wood rot fungi
with a purple hymenophore.
PMID- 26539039
TI - Diversity of Endophytic Fungi Associated with the Roots of Four Aquatic Plants
Inhabiting Two Wetlands in Korea.
AB - A total of 4 aquatic plants, Eleocharis kuroguwai Ohwi, Hydrocharis dubia Backer,
Salvinia natans All., and Zizania latifolia Turcz., were sampled from
representative two wetlands of South Korea. A total of 38 endophytic fungal
strains were isolated from aquatic plants native to the Daepyeong wetland, and 27
strains were isolated from the Jilnal wetland. The internal transcribed spacer
regions of fungal isolates were sequenced and a phylogenetic analysis was
performed. In addition, endophytic fungal diversity from each wetland and host
plant species was deduced. A total of 25 fungal genera were purely isolated, and
16 fungal genera were isolated from each of the two wetlands. Commonly isolated
genera from both wetlands were Aspergillus, Cladosporium, Clonostachys, Fusarium,
Leptosphaeria, Penicillium, and Talaromyces. This study revealed that fungal
diversity varied with environmental conditions and by host plant in
representative two wetlands.
PMID- 26539040
TI - Mushroom Flora of Ulleung-gun and a Newly Recorded Bovista Species in the
Republic of Korea.
AB - We conducted five times surveys, in June, September and October in 2012; June and
September 2013, to catalog the mushroom flora in Ulleung-gun, Republic of Korea.
More than 400 specimens were collected, and 317 of the specimens were
successfully sequenced using the ribosomal DNA internal transcribed spacer
barcode marker. We also surveyed the morphological characteristics of the
sequenced specimens. The specimens were classified into 2 phyla, 7 classes, 21
orders, 59 families, 122 genera, and 221 species, and were deposited in the
herbarium of Korea National Arboretum. Among the collected species, 72% were
saprophytic, 25% were symbiotic, and 3% were parasitic. The most common order was
Agaricales (189 specimens, 132 species), followed by Polyporales (47 specimens,
27 species), Russulales (31 specimens, 22 species), Boletales (10 specimens, 7
species), and so on. Herein, we also reported the first Bovista species in Korea,
which was collected from Dokdo, the far-eastern island of Korea.
PMID- 26539041
TI - The Mycobiota of Air Inside and Outside the Meju Fermentation Room and the Origin
of Meju Fungi.
AB - The fungi on Meju are known to play an important role as degrader of
macromolecule of soybeans. In order to elucidate the origin of fungi on
traditional Meju, mycobiota of the air both inside and outside traditional Meju
fermentation rooms was examined. From 11 samples of air collected from inside and
outside of 7 Meju fermentation rooms, 37 genera and 90 species of fungi were
identified. In outside air of the fermentation room, Cladosporium sp. and
Cladosporium cladosporioides were the dominant species, followed by Cladosporium
tenuissimum, Eurotium sp., Phoma sp., Sistotrema brinkmannii, Alternaria sp.,
Aspergillus fumigatus, Schizophyllum commune, and Penicillium glabrum. In inside
air of the fermentation room, Cladosporium sp., Aspergillus oryzae, Penicillium
chrysogenum, Asp. nidulans, Aspergillus sp., Cla. cladosporioides, Eurotium sp.,
Penicillium sp., Cla. tenuissimum, Asp. niger, Eur. herbariorum, Asp. sydowii,
and Eur. repens were collected with high frequency. The concentrations of the
genera Aspergillus, Eurotium, and Penicillium were significantly higher in inside
air than outside air. From this result and those of previous reports, the origin
of fungi present on Meju was inferred. Of the dominant fungal species present on
Meju, Lichtheimia ramosa, Mucor circinelloides, Mucor racemosus, and
Scopulariopsis brevicaulis are thought to be originated from outside air, because
these species are not or are rarely isolated from rice straw and soybean;
however, they were detected outside air of fermentation room and are species
commonly found in indoor environments. However, Asp. oryzae, Pen. polonicum, Eur.
repens, Pen. solitum, and Eur. chevalieri, which are frequently found on Meju,
are common in rice straw and could be transferred from rice straw to Meju. The
fungi grow and produce abundant spores during Meju fermentation, and after the
spores accumulate in the air of fermentation room, they could influence mycobiota
of Meju fermentation in the following year. This could explain why concentrations
of the genera Aspergillus, Eurotium, and Penicillium are much higher inside than
outside of the fermentation rooms.
PMID- 26539042
TI - Isolation and Identification of Yeasts from Wild Flowers Collected around
Jangseong Lake in Jeollanam-do, Republic of Korea, and Characterization of the
Unrecorded Yeast Bullera coprosmaensis.
AB - Several types of yeasts were isolated from wild flowers around Jangseong Lake in
Jeollanam-do, Republic of Korea and identified by comparing the nucleotide
sequences of the PCR amplicons for the D1/D2 variable domain of the 26S ribosomal
DNA using Basic Local Alignment Search Tool (BLAST) analysis. In total, 60
strains from 18 species were isolated, and Pseudozyma spp. (27 strains), which
included Pseudozyma rugulosa (7 strains) and Pseudozyma aphidis (6 strains), was
dominant species. Among the 60 strains, Bullera coprosmaensis JS00600 represented
a newly recorded yeast strain in Korea, and its microbiological characteristics
were investigated. The yeast cell has an oval-shaped morphology measuring 1.4 *
1.7 um in size. Bullera coprosmaensis JS00600 is an asporous yeast that exhibits
no pseudomycelium formation. It grew well in vitamin-free medium as well as in
yeast extract-malt extract broth and yeast extract-peptone-dextrose (YPD) broth,
and it is halotolerant growing in 10% NaCl-containing YPD broth.
PMID- 26539043
TI - Screening Molecular Chaperones Similar to Small Heat Shock Proteins in
Schizosaccharomyces pombe.
AB - To screen molecular chaperones similar to small heat shock proteins (sHsps), but
without alpha-crystalline domain, heat-stable proteins from Schizosaccharomyces
pombe were analyzed by 2-dimensional electrophoresis and matrix assisted laser
desorption/ionization time-of-flight mass spectrometry. Sixteen proteins were
identified, and four recombinant proteins, including cofilin, NTF2, pyridoxin
biosynthesis protein (Snz1) and Wos2 that has an alpha-crystalline domain, were
purified. Among these proteins, only Snz1 showed the anti-aggregation activity
against thermal denaturation of citrate synthase. However, pre-heating of NTF2
and Wos2 at 70C for 30 min, efficiently prevented thermal aggregation of citrate
synthase. These results indicate that Snz1 and NTF2 possess molecular chaperone
activity similar to sHsps, even though there is no alpha-crystalline domain in
their sequences.
PMID- 26539044
TI - Differential Expression of Laccase Genes in Pleurotus ostreatus and Biochemical
Characterization of Laccase Isozymes Produced in Pichia pastoris.
AB - In this study, transcriptome analysis of twelve laccase genes in Pleurotus
ostreatus revealed that their expression was differentially regulated at
different developmental stages. Lacc5 and Lacc12 were specifically expressed in
fruiting bodies and primordia, respectively, whereas Lacc6 was expressed at all
developmental stages. Lacc1 and Lacc3 were specific to the mycelial stage in
solid medium. In order to investigate their biochemical characteristics, these
laccases were heterologously expressed in Pichia pastoris using the pPICHOLI-2
expression vector. Expression of the laccases was facilitated by intermittent
addition of methanol as an inducer and sole carbon source, in order to reduce the
toxic effects associated with high methanol concentration. The highest expression
was observed when the recombinant yeast cells were grown for 5 days at 15C with
intermittent addition of 1% methanol at a 12-hr interval. Investigation of enzyme
kinetics using 2,2-azino-bis(3-ethylbenzothiazoline-6-sulfonic acid (ABTS) as a
substrate revealed that the primordium-specific laccase Lacc12 was 5.4-fold less
active than Lacc6 at low substrate concentration with respect to ABTS oxidation
activity. The optimal pH and temperature of Lacc12 were 0.5 pH units and 5C
higher than those of Lacc6. Lacc12 showed maximal activity at pH 3.5 and 50C,
which may reflect the physiological conditions at the primordiation stage.
PMID- 26539045
TI - Efficacy of Chaetomium Species as Biological Control Agents against Phytophthora
nicotianae Root Rot in Citrus.
AB - Thailand is one of the largest citrus producers in Southeast Asia. Pathogenic
infection by Phytophthora, however, has become one of major impediments to
production. This study identified a pathogenic oomycete isolated from rotted
roots of pomelo (Citrus maxima) in Thailand as Phytophthora nicotianae by the
internal transcribed spacer ribosomal DNA sequence analysis. Then, we examined
the in vitro and in vivo effects of Chaetomium globosum, Chaetomium lucknowense,
Chaetomium cupreum and their crude extracts as biological control agents in
controlling this P. nicotianae strain. Represent as antagonists in biculture
test, the tested Chaetomium species inhibited mycelial growth by 50~56% and
parasitized the hyphae, resulting in degradation of P. nicotianae mycelia after
30 days. The crude extracts of these Chaetomium species exhibited antifungal
activities against mycelial growth of P. nicotianae, with effective doses of
2.6~101.4 ug/mL. Under greenhouse conditions, application of spores and methanol
extracts of these Chaetomium species to pomelo seedlings inoculated with P.
nicotianae reduced root rot by 66~71% and increased plant weight by 72~85%
compared to that in the control. The method of application of antagonistic spores
to control the disease was simple and economical, and it may thus be applicable
for large-scale, highly effective biological control of this pathogen.
PMID- 26539046
TI - Biotransformation of (-)-alpha-Pinene by Whole Cells of White Rot Fungi,
Ceriporia sp. ZLY-2010 and Stereum hirsutum.
AB - Two white rot fungi, Ceriporia sp. ZLY-2010 (CER) and Stereum hirsutum (STH) were
used as biocatalysts for the biotransformation of (-)-alpha-pinene. After 96 hr,
CER converted the bicyclic monoterpene hydrocarbon (-)-alpha-pinene into alpha
terpineol (yield, 0.05 g/L), a monocyclic monoterpene alcohol, in addition to,
other minor products. Using STH, verbenone was identified as the major
biotransformed product, and minor products were myrtenol, camphor, and
isopinocarveol. We did not observe any inhibitory effects of substrate or
transformed products on mycelial growth of the fungi. The activities of fungal
manganese-dependent peroxidase and laccase were monitored for 15 days to
determine the enzymatic pathways related to the biotransformation of (-)-alpha
pinene. We concluded that a complex of enzymes, including intra- and
extracellular enzymes, were involved in terpenoid biotransformation by white rot
fungi.
PMID- 26539047
TI - Bioprospecting Endophytic Fungi and Their Metabolites from Medicinal Tree Aegle
marmelos in Western Ghats, India.
AB - The increasing emergence of lead drugs for the resistance produced by the
pathogenic strains and arrival of new diseases have initiated the need for
searching novel metabolites with best anticancer and antimicrobial properties
than the existing one. With this view, the investigation was conducted for the
isolation, identification, and biological evaluation of potential endophytic
fungi of Aegle marmelos, a medicinal tree used for more than three decades, for
curing various disorders. A total of 169 endophytic fungal strains obtained from
sampling and among those 67 were pigmented strains. Upon antagonistic screening,
five endophytic fungal strains exhibited antagonistic potentiality by inhibiting
the pathogens. These five potent strains were characterized at molecular level by
sequencing the amplified internal transcribed spacer (ITS) 1 and ITS 4 regions of
rDNA and they were grouped under order Pleosporales, Eurotiales, and Capnodiales.
The metabolites from the respective strains were produced in fungal culturing
media and extracted using polar solvents. Further, the extracts of five
endophytes manifested antimicrobial activity against tested clinical pathogens
and Alternaria alternata (FC39BY), Al. citrimacularis (FC8ABr), and Curvularia
australiensis (FC2AP) exhibited significant antimicrobial profile against 9 of 12
tested pathogens, showing broad spectrum activity. The antioxidant levels of all
the five endophytes revealed the highest activity at least concentrations, and
major activity was unveiled by the members of order Pleosporales FC2AP and
FC8ABr. This research explains the value of endophytic fungal extracts and its
significance of antimicrobial and antioxidant properties.
PMID- 26539048
TI - Water Extract from Spent Mushroom Substrate of Hericium erinaceus Suppresses
Bacterial Wilt Disease of Tomato.
AB - Culture filtrates of six different edible mushroom species were screened for
antimicrobial activity against tomato wilt bacteria Ralstonia solanacearum B3.
Hericium erinaceus, Lentinula edodes (Sanjo 701), Grifola frondosa, and
Hypsizygus marmoreus showed antibacterial activity against the bacteria. Water, n
butanol, and ethyl acetate extracts of spent mushroom substrate (SMS) of H.
erinaceus exhibited high antibacterial activity against different phytopathogenic
bacteria: Pectobacterium carotovorum subsp. carotovorum, Agrobacterium
tumefaciens, R. solanacearum, Xanthomonas oryzae pv. oryzae, X. campestris pv.
campestris, X. axonopodis pv. vesicatoria, X. axonopodis pv. citiri, and X.
axonopodis pv. glycine. Quantitative real-time PCR revealed that water extracts
of SMS (WESMS) of H. erinaceus induced expressions of plant defense genes
encoding beta-1,3-glucanase (GluA) and pathogenesis-related protein-1a (PR-1a),
associated with systemic acquired resistance. Furthermore, WESMS also suppressed
tomato wilt disease caused by R. solanacearum by 85% in seedlings and promoted
growth (height, leaf number, and fresh weight of the root and shoot) of tomato
plants. These findings suggest the WESMS of H. erinaceus has the potential to
suppress bacterial wilt disease of tomato through multiple effects including
antibacterial activity, plant growth promotion, and defense gene induction.
PMID- 26539049
TI - Methyl 9-Oxo-(10E,12E)-octadecadienoate Isolated from Fomes fomentarius
Attenuates Lipopolysaccharide-Induced Inflammatory Response by Blocking
Phosphorylation of STAT3 in Murine Macrophages.
AB - Fomes fomentarius is a fungus of the Polyporaceae family and is used in
traditional oriental therapies. Although the anti-inflammatory activities of this
species have been previously reported, the identity of the bioactive compounds
responsible for this activity remains unknown. Here, we investigated whether
methyl 9-oxo-(10E,12E)-octadecadienoate (FF-8) purified from F. fomentarius
exerts anti-inflammatory activity in murine macrophages stimulated with
lipopolysaccharide (LPS). FF-8 suppressed secretion of nitric oxide (NO) and
prostaglandin E2 through downregulation of inducible NO synthase and
cyclooxygenase-2 expression induced by LPS. In addition, pretreatment of cells
with FF-8 led to a reduction in levels of secreted inflammatory cytokines such as
tumor necrosis factor-alpha and interleukin-6 in macrophages stimulated with LPS.
Conversely, FF-8 did not affect nuclear factor kappaB, p38, c-Jun NH2-terminal
kinase, and extracellular signal-regulated kinase pathways. Instead, FF-8
specifically interfered with signal transducer and activator of transcription 3
(STAT3) phosphorylation induced by LPS. Collectively, this study demonstrated
that FF-8 purified from F. fomentarius suppresses inflammatory responses in
macrophages stimulated with LPS by inhibiting STAT3 activation. Further studies
will be required to elucidate the anti-inflammatory effect of FF-8 in vivo.
PMID- 26539050
TI - Cloning and Expression Analysis of Phenylalanine Ammonia-Lyase Gene in the
Mycelium and Fruit Body of the Edible Mushroom Flammulina velutipes.
AB - Phenylalanine ammonia-lyase (PAL) gene is known to be expressed in plants, and is
involved in the differentiation, growth and synthesis of secondary metabolites.
However, its expression in fungi remains to be explored. To understand its
expression in mushroom fungi, the PAL gene of the edible mushroom Flammulina
velutipes (Fvpal) was cloned and characterized. The cloned Fvpal consists of
2,175 bp, coding for a polypeptide containing 724 amino acids and having 11
introns. The translated amino acid sequence of Fvpal shares a high identity (66%)
with that of ectomycorrhizal fungus Tricholoma matsutake. Distinctively, the
Fvpal expression in the mycelium was higher in minimal medium supplemented with L
tyrosine than with other aromatic amino acids. During cultivation of the mushroom
on sawdust medium, Fvpal expression in the fruit body correspondingly increased
as the mushroom grew. In the fruiting body, Fvpal was expressed more in the stipe
than in the pileus. These results suggest that F. velutipes PAL activity differs
in the different organs of the mushroom. Overall, this is first report to show
that the PAL gene expression is associated with mushroom growth in fungi.
PMID- 26539051
TI - Antifungal Substances from Streptomyces sp. A3265 Antagonistic to Plant
Pathogenic Fungi.
AB - In a previous study, we identified a Streptomyces sp., A3265, as exhibiting
potent antifungal activity against various plant pathogenic fungi, including
Botrytis cinerea, Colletotrichum gloeosporioides, and Rhizoctonia solani. This
strain also exhibited a biocontrolling effect against ginseng root rot and
damping-off disease, common diseases of ginseng and other crops. In this study,
we isolated two antifungal substances responsible for this biocontrolling effect
via Diaion HP-20 and Sephadex LH-20 column chromatography, medium pressure liquid
chromatography, and high-performance liquid chromatography. These compounds were
identified as guanidylfungin A and methyl guanidylfungin A by spectroscopic
methods. These compounds exhibited potent antimicrobial activity against various
plant pathogenic fungi as well as against bacteria.
PMID- 26539052
TI - Antagonistic Effect of Streptomyces sp. BS062 against Botrytis Diseases.
AB - The use of microorganisms and their secreted molecules to prevent plant diseases
is considered an attractive alternative and way to supplement synthetic
fungicides for the management of plant diseases. Strain BS062 was selected based
on its ability to inhibit the mycelial growth of Botrytis cinerea, a major causal
fungus of postharvest root rot of ginseng and strawberry gray mold disease.
Strain BS062 was found to be closely related to Streptomyces hygroscopicus (99%
similarity) on the basis of 16S ribosomal DNA sequence analysis. Postharvest root
rot of ginseng and strawberry gray mold disease caused by B. cinerea were
controlled up to 73.9% and 58%, respectively, upon treatment with culture broth
of Streptomyces sp. BS062. These results suggest that strain BS062 may be a
potential agent for controlling ginseng postharvest root rot and strawberry gray
mold disease.
PMID- 26539053
TI - First Report of Fusarium subglutinans Causing Leaf Spot Disease on Cymbidium
Orchids in Korea.
AB - In 2006~2010, leaf spot symptoms, that is, small, yellow spots that turned into
dark brown-to-black lesions surrounded by a yellow halo, were observed on
Cymbidium spp. in Gongju, Taean, and Gapyeong in Korea. A Fusarium species was
continuously isolated from symptomatic leaves; in pathogenicity testing, isolates
caused leaf spot symptoms consisting of sunken, dark brown lesions similar to the
original ones. The causal pathogen was identified as Fusarium subglutinans based
on morphological and translation elongation factor 1-alpha sequence analyses.
This is the first report of F. subglutinans as the cause of leaf spot disease in
Cymbidium spp. in Korea.
PMID- 26539054
TI - Sooty Mould Disease Caused by Leptoxyphium kurandae on Kenaf.
AB - In September 2013, we discovered sooty mould growing on kenaf with the
extrafloral nectaries in Iksan, Korea and identified the causative fungus as
Leptoxyphium kurandae based on morphological characteristics and phylogenetic
analyses. This is the first report of sooty mould caused by L. kurandae on kenaf
in Korea and globally.
PMID- 26539055
TI - First Report of Leaf Rust Caused by Puccinia caricis in Farfugium japonicum in
Korea.
AB - Farfugium japonicum is used in traditional medicine and as an edible herb in
China and Korea. In July 2013, leaf spots were observed in F. japonicum seedlings
at Ulleung Island, Gyeongsangbuk Province, Korea. Early symptoms on the leaf
adaxial surface included roughly circular yellow spots that later developed
brown, necrotic centers. The aecia were hypophyllous, cupulate, yellowish,
180~430 um in diameter, clustered, and erumpent with a peridium with a recurved
margin. The aeciospores were globoid, 14~17 * 13~16 um, light yellow or
colorless, and densely verrucose. The 28S rDNA sequence of the isolate was
identical to each other and shared 99% identity with Puccinia caricis. This is
the first report of rust caused by P. caricis in F. japonicum in Korea or
elsewhere in the world.
PMID- 26539056
TI - Cladosporium cladosporioides and C. tenuissimum Cause Blossom Blight in
Strawberry in Korea.
AB - Blossom blight in strawberry was first observed in a green house in Nonsan,
Damyang, and Geochang areas of Korea, between early January to April of 2012.
Disease symptoms started as a grey fungus formed on the stigma, which led to the
blossom blight and eventually to black rot and necrosis of the entire flower. We
isolated the fungi purely from the infected pistils and maintained them on potato
dextrose agar (PDA) slants. To test Koch's postulates, we inoculated the fungi
and found that all of the isolates caused disease symptoms in the flower of
strawberry cultivars (Seolhyang, Maehyang, and Kumhyang). The isolates on PDA had
a velvet-like appearance, and their color ranged between olivaceous-brown and
smoky-grey to olive and almost black. The intercalary conidia of the isolates
were elliptical to limoniform, with sizes ranging from 5.0~10.5 * 2.5~3.0 um to
4.0~7.5 * 2.0~3.0 um, respectively. The secondary ramoconidia of these isolates
were 0- or 1-septate, with sizes ranging betweem 10.0~15.0 * 2.5~3.7 um and
8.7~11.2 * 2.5~3.2 um, respectively. A combined sequence analysis of the internal
transcribed spacer regions, partial actin (ACT), and translation elongation
factor 1-alpha (TEF) genes revealed that the strawberry isolates belonged to two
groups of authentic strains, Cladosporium cladosporioides and C. tenuissimum.
Based on these results, we identified the pathogens causing blossom blight in
strawberries in Korea as being C. cladosporioides and C. tenuissimum.
PMID- 26539057
TI - Genotypes of Clinical and Environmental Isolates of Cryptococcus neoformans and
Cryptococcus gattii in Korea.
AB - Multilocus sequence typing analysis was applied to determine the genotypes of 147
(137 clinical and 10 environmental) Cryptococcus neoformans and three clinical
Cryptococcus gattii isolates from 1993 to 2014 in Korea. Among the 137 clinical
isolates of C. neoformans, the most prevalent genotype was ST5 (n = 131),
followed by ST31 (n = 5) and ST127 (n = 1). Three C. gattii strains were
identified as ST57, ST7, and ST113. All environmental isolates were identified as
C. neoformans with two genotypes, ST5 (n = 7) and ST31 (n = 3). Our results show
that C. neoformans isolates in Korea are genetically homogeneous, and represent a
close genetic relationship between clinical and environmental isolates.
PMID- 26539058
TI - First Report and Characterization of Pestalotiopsis ellipsospora Causing Canker
on Acanthopanax divaricatus.
AB - Acanthopanax divaricatus, a member of the Araliaceae family, has been used as an
invigorant in traditional Korean medicine. During disease monitoring, a stem with
small, irregular, brown lesions was sampled at a farm in Cheonan in 2011. The
symptoms seen were sunken cankers and reddish-brown needles on the infected twig.
The isolated fungal colonies were whitish, having crenated edges and aerial
mycelium on the surface, and with black gregarious fruiting bodies. The reverse
plate was creamy white. Conidia were 17~22 * 3.5~4.2 um, fusiform, 4-septate, and
straight to slightly curved. The nucleotide sequence of the partial translation
elongation factor 1 alpha gene of the fungal isolate, shares 99% sequence
identity with that of known Pestalotiopsis ellipsospora. Based on the results of
the morphological and molecular analyses, the fungal isolate was identified as P.
ellipsospora. In Korea, this is the first report of canker on A. divaricatus.
PMID- 26539059
TI - Erratum: Alternaria in Food: Ecophysiology, Mycotoxin Production and Toxicology.
AB - [This corrects the article on p. 93 in vol. 43, PMID: 26190916.].
PMID- 26539060
TI - Improving the installation of renewable heating technology in UK social housing
properties through user centred design.
AB - Social housing organisations are increasingly installing renewable energy
technologies, particularly for the provision of heating and hot water. To meet
carbon reduction targets, uptake and installation must allow occupants to use the
technology effectively. This paper describes research which investigated the
service of installing heat pumps into UK social housing properties, from both
landlords' and tenants' experiences. Adopting a user centred design approach, the
research was in three phases: an exploration study to investigate landlords' and
tenants' experiences of heat pump installation and use; refinement and
development of the requirements for improved service delivery, primarily
technology introduction and control; and the development and initial evaluation
of an information leaflet as a key touchpoint in the service delivery.
Recommendations for improved service delivery, to enable heat pumps to be
accepted and used more effectively, are presented, as well as reflection on the
process of applying user centred design in this context. In a relatively immature
area of industry, installations to date have been heavily focused on technical
aspects. This paper provides an insight into the human aspects of the service
delivery of heat pumps in social housing, providing designers and social housing
landlords with insight about how to improve the service.
PMID- 26539063
TI - Detection of genetic variation using dual-labeled peptide nucleic acid (PNA)
probe-based melting point analysis.
AB - BACKGROUND: Thermal denaturation of probe-target hybrid is highly reproducible,
and which makes probe melting point analysis reliable in the detection of
mutations, polymorphisms and epigenetic differences in DNA. To improve resolution
of these detections, we used dual-labeled (quencher and fluorescence), full base
of peptide nucleic acid (PNA) probe for fluorescence probe based melting point
analysis. Because of their uncharged nature and peptide bond-linked backbone, PNA
probes have more favorable hybridization properties, which make a large
difference in the melting temperature between specific hybridization and partial
hybridization. RESULTS: Here, we have shown that full base dual-labeled PNA is
apt material for fluorescence probe-based melting point analysis with large
difference in the melting temperature between full specific hybridization and
that of partial hybridization, including insertion and deletion. In case of
narrowly distributed mutations, PNA probe effectively detects three mutations in
a single reaction tube with three probes. Moreover, we successfully diagnose
virus analogues with amplification and melting temperature signal. Lastly,
Melting temperature of PNA oligomer can be easily adjusted just by adding gamma
modified PNA probe. CONCLUSIONS: The PNA probes offer advantage of improved
flexibility in probe design, which could be used in various applications in
mutation detection among a wide range of spectrums.
PMID- 26539062
TI - A Novel Highly Thermostable Multifunctional Beta-Glycosidase from Crenarchaeon
Acidilobus saccharovorans.
AB - We expressed a putative beta-galactosidase Asac_1390 from hyperthermophilic
crenarchaeon Acidilobus saccharovorans in Escherichia coli and purified the
recombinant enzyme. Asac_1390 is composed of 490 amino acid residues and showed
high sequence similarity to family 1 glycoside hydrolases from various
thermophilic Crenarchaeota. The maximum activity was observed at pH 6.0 and 93
degrees C. The half-life of the enzyme at 90 degrees C was about 7 hours.
Asac_1390 displayed high tolerance to glucose and exhibits hydrolytic activity
towards cellobiose and various aryl glucosides. The hydrolytic activity with p
nitrophenyl (pNP) substrates followed the order pNP-beta-D-galactopyranoside (328
U mg(-1)), pNP-beta-D-glucopyranoside (246 U mg(-1)), pNP-beta-D-xylopyranoside
(72 U mg(-1)), and pNP-beta-D-mannopyranoside (28 U mg(-1)). Thus the enzyme was
actually a multifunctional beta-glycosidase. Therefore, the utilization of
Asac_1390 may contribute to facilitating the efficient degradation of
lignocellulosic biomass and help enhance bioconversion processes.
PMID- 26539064
TI - Generating a human neonatal brain atlas for superior normalization accuracy.
PMID- 26539061
TI - Archaeal MCM Proteins as an Analog for the Eukaryotic Mcm2-7 Helicase to Reveal
Essential Features of Structure and Function.
AB - In eukaryotes, the replicative helicase is the large multisubunit CMG complex
consisting of the Mcm2-7 hexameric ring, Cdc45, and the tetrameric GINS complex.
The Mcm2-7 ring assembles from six different, related proteins and forms the core
of this complex. In archaea, a homologous MCM hexameric ring functions as the
replicative helicase at the replication fork. Archaeal MCM proteins form
thermostable homohexamers, facilitating their use as models of the eukaryotic
Mcm2-7 helicase. Here we review archaeal MCM helicase structure and function and
how the archaeal findings relate to the eukaryotic Mcm2-7 ring.
PMID- 26539065
TI - Model-Based Bayesian Reinforcement Learning in Large Structured Domains.
AB - Model-based Bayesian reinforcement learning has generated significant interest in
the AI community as it provides an elegant solution to the optimal exploration
exploitation tradeoff in classical reinforcement learning. Unfortunately, the
applicability of this type of approach has been limited to small domains due to
the high complexity of reasoning about the joint posterior over model parameters.
In this paper, we consider the use of factored representations combined with
online planning techniques, to improve scalability of these methods. The main
contribution of this paper is a Bayesian framework for learning the structure and
parameters of a dynamical system, while also simultaneously planning a (near
)optimal sequence of actions.
PMID- 26539066
TI - Safe for Generations to Come.
PMID- 26539067
TI - Aging, Spirituality, and Time: A Qualitative Study.
AB - We examined the concepts of aging, time, spirituality, and future care needs in
four randomly selected informants from a group of 54 never-married childless
older women. Using data from the Generativity and Lifestyles of Older Women
(GLOW) study, we questioned how women's perceptions of these concepts came
together in current older age. We employed cultural theory, (our theoretical
framework), ethnography, (our methodological framework), and phenomenology, (our
philosophical foundation) to produce a portrait of each woman interviewed.
Through a three-session interview process, we elicited the women's life stories,
reasons for childlessness, and topics that emerged as significant to the women,
including aging, a sense of time remaining, and spirituality. A key finding was
that the context of each woman's life, both biographical and historical,
transpired as a foundation for these concepts. That is, a woman's "place in time"
shaped their experiences of aging, as well as her reasons for childlessness and
perceptions of finitude.
PMID- 26539068
TI - Prevalence and association of smokeless tobacco use with the development of
periodontal pocket among adult males in Dawan Valley, Yemen: a cross-sectional
study.
AB - BACKGROUND: The traditional type of smokeless tobacco used in the Arabian
Peninsula, particularly common in Yemen, is called shammah. This study aims to
determine the prevalence of shammah use and its association with the development
of periodontal pockets. Other associated factors with the development of
periodontal pocket were also determined. METHODS: This cross-sectional study
included 346 adult males aged 18 years old to 68 years old. Socio-demographic
characteristics, oral hygiene practices, and shammah use history were surveyed by
using a structured interview questionnaire. The clinical assessment for the
presence or absence of periodontal pockets was assessed on the basis of community
periodontal index. The chi-square test was used to assess significant differences
in study groups in terms of the presence of periodontal pockets. Multivariable
logistic regression was selected to assess potential associated factors with the
development of periodontal pockets. RESULTS: Among the 346 adult males, 248 (71.7
%), 30 (8.6 %), and 68 (19.7 %) males never used shammah, were former shammah
users, and were current shammah users, respectively. The significant associated
factors with the development of periodontal pocket were age group (30 years old
and above) (Adjusted Odds Ratio (AOR) = 2.03, 95 % CI: 1.13, 3.65; P = 0.018),
low family income category (AOR = 2.35, 95 % CI: 1.39, 3.99; P = 0.001), former
shammah user (AOR = 2.66, 95 %: CI: 1.15, 6.15; P = 0.022), and current shammah
user (AOR = 6.62, 95 %: CI: 3.59, 12.21; P = 0.001). CONCLUSIONS: The results
revealed that periodontal pockets were significantly associated with age group
(30 years old and above), low family income category, former shammah use, and
current shammah use. The findings of the current study highlighted the need to
develop comprehensive shammah prevention programs and reduce periodontal disease
and other shammah-associated diseases.
PMID- 26539069
TI - Twitter users' reaction to a chain pharmacy's decision to end tobacco sales.
AB - BACKGROUND: Reducing the number of tobacco outlets may help reduce smoking uptake
and use; public support for such action is essential. We explored how Twitter
users responded to the announcement by US pharmacy chain CVS that it was
voluntarily ending tobacco sales. METHODS: We used Twitter's application
programming interface to retrieve tweets and retweets posted over an 8-day period
in February 2014 that contained two trending CVS-related hashtags (#cvs and
#cvsquits). We manually coded 6,257 tweets as positive, negative, or neutral.
RESULTS: The majority of tweets were positive (56.0 %) or neutral (39.4 %).
CONCLUSIONS: There was little disapproval of CVS's decision to end tobacco sales
among Twitter users, possibly due to the voluntary nature of the decision. The
level of support suggests that CVS's image and bottom line will not suffer as a
result. Further voluntary actions to end tobacco sales - which may lay the
groundwork for legislation -- should be incentivized and supported.
PMID- 26539071
TI - Statistical image analysis of longitudinal RAVENS images.
AB - Regional analysis of volumes examined in normalized space (RAVENS) are
transformation images used in the study of brain morphometry. In this paper,
RAVENS images are analyzed using a longitudinal variant of voxel-based
morphometry (VBM) and longitudinal functional principal component analysis
(LFPCA) for high-dimensional images. We demonstrate that the latter overcomes the
limitations of standard longitudinal VBM analyses, which does not separate
registration errors from other longitudinal changes and baseline patterns. This
is especially important in contexts where longitudinal changes are only a small
fraction of the overall observed variability, which is typical in normal aging
and many chronic diseases. Our simulation study shows that LFPCA effectively
separates registration error from baseline and longitudinal signals of interest
by decomposing RAVENS images measured at multiple visits into three components: a
subject-specific imaging random intercept that quantifies the cross-sectional
variability, a subject-specific imaging slope that quantifies the irreversible
changes over multiple visits, and a subject-visit specific imaging deviation. We
describe strategies to identify baseline/longitudinal variation and registration
errors combined with covariates of interest. Our analysis suggests that specific
regional brain atrophy and ventricular enlargement are associated with multiple
sclerosis (MS) disease progression.
PMID- 26539070
TI - A novel biomarker of amnestic MCI based on dynamic cross-frequency coupling
patterns during cognitive brain responses.
AB - The detection of mild cognitive impairment (MCI), the transitional stage between
normal cognitive changes of aging and the cognitive decline caused by AD, is of
paramount clinical importance, since MCI patients are at increased risk of
progressing into AD. Electroencephalographic (EEG) alterations in the spectral
content of brainwaves and connectivity at resting state have been associated with
early-stage AD. Recently, cognitive event-related potentials (ERPs) have entered
into the picture as an easy to perform screening test. Motivated by the recent
findings about the role of cross-frequency coupling (CFC) in cognition, we
introduce a relevant methodological approach for detecting MCI based on cognitive
responses from a standard auditory oddball paradigm. By using the single trial
signals recorded at Pz sensor and comparing the responses to target and non
target stimuli, we first demonstrate that increased CFC is associated with the
cognitive task. Then, considering the dynamic character of CFC, we identify
instances during which the coupling between particular pairs of brainwave
frequencies carries sufficient information for discriminating between normal
subjects and patients with MCI. In this way, we form a multiparametric signature
of impaired cognition. The new composite biomarker was tested using data from a
cohort that consists of 25 amnestic MCI patients and 15 age-matched controls.
Standard machine-learning algorithms were employed so as to implement the binary
classification task. Based on leave-one-out cross-validation, the measured
classification rate was found reaching very high levels (95%). Our approach
compares favorably with the traditional alternative of using the morphology of
averaged ERP response to make the diagnosis and the usage of features from
spectro-temporal analysis of single-trial responses. This further indicates that
task-related CFC measurements can provide invaluable analytics in AD diagnosis
and prognosis.
PMID- 26539072
TI - A physical action potential generator: design, implementation and evaluation.
AB - The objective was to develop a physical action potential generator (Paxon) with
the ability to generate a stable, repeatable, programmable, and physiological
like action potential. The Paxon has an equivalent of 40 nodes of Ranvier that
were mimicked using resin embedded gold wires (O = 20 MUm). These nodes were
software controlled and the action potentials were initiated by a start trigger.
Clinically used Ag-AgCl electrodes were coupled to the Paxon for functional
testing. The Paxon's action potential parameters were tunable using a second
order mathematical equation to generate physiologically relevant output, which
was accomplished by varying the number of nodes involved (1-40 in incremental
steps of 1) and the node drive potential (0-2.8 V in 0.7 mV steps), while keeping
a fixed inter-nodal timing and test electrode configuration. A system noise floor
of 0.07 +/- 0.01 MUV was calculated over 50 runs. A differential test electrode
recorded a peak positive amplitude of 1.5 +/- 0.05 mV (gain of 40x) at time 196.4
+/- 0.06 ms, including a post trigger delay. The Paxon's programmable action
potential like signal has the possibility to be used as a validation test
platform for medical surface electrodes and their attached systems.
PMID- 26539073
TI - The role of inflammation in schizophrenia.
AB - High levels of pro-inflammatory substances such as cytokines have been described
in the blood and cerebrospinal fluid of schizophrenia patients. Animal models of
schizophrenia show that under certain conditions an immune disturbance during
early life, such as an infection-triggered immune activation, might trigger
lifelong increased immune reactivity. A large epidemiological study clearly
demonstrated that severe infections and autoimmune disorders are risk factors for
schizophrenia. Genetic studies have shown a strong signal for schizophrenia on
chromosome 6p22.1, in a region related to the human leucocyte antigen (HLA)
system and other immune functions. Another line of evidence demonstrates that
chronic (dis)stress is associated with immune activation. The vulnerability
stress-inflammation model of schizophrenia includes the contribution of stress on
the basis of increased genetic vulnerability for the pathogenesis of
schizophrenia, because stress may increase pro-inflammatory cytokines and even
contribute to a lasting pro-inflammatory state. Immune alterations influence the
dopaminergic, serotonergic, noradrenergic, and glutamatergic neurotransmission.
The activated immune system in turn activates the enzyme indoleamine 2,3
dioxygenase (IDO) of the tryptophan/kynurenine metabolism which influences the
serotonergic and glutamatergic neurotransmission via neuroactive metabolites such
as kynurenic acid. The described loss of central nervous system volume and the
activation of microglia, both of which have been clearly demonstrated in
neuroimaging studies of schizophrenia patients, match the assumption of a (low
level) inflammatory neurotoxic process. Further support for the inflammatory
hypothesis comes from the therapeutic benefit of anti-inflammatory medication.
Metaanalyses have shown an advantageous effect of cyclo-oxygenase-2 inhibitors in
early stages of schizophrenia. Moreover, intrinsic anti-inflammatory, and
immunomodulatory effects of antipsychotic drugs are known since a long time. Anti
inflammatory effects of antipsychotics, therapeutic effects of anti-inflammtory
compounds, genetic, biochemical, and immunological findings point to a major role
of inflammation in schizophrenia.
PMID- 26539074
TI - A memristive spiking neuron with firing rate coding.
AB - Perception, decisions, and sensations are all encoded into trains of action
potentials in the brain. The relation between stimulus strength and all-or
nothing spiking of neurons is widely believed to be the basis of this coding.
This initiated the development of spiking neuron models; one of today's most
powerful conceptual tool for the analysis and emulation of neural dynamics. The
success of electronic circuit models and their physical realization within
silicon field-effect transistor circuits lead to elegant technical approaches.
Recently, the spectrum of electronic devices for neural computing has been
extended by memristive devices, mainly used to emulate static synaptic
functionality. Their capabilities for emulations of neural activity were recently
demonstrated using a memristive neuristor circuit, while a memristive neuron
circuit has so far been elusive. Here, a spiking neuron model is experimentally
realized in a compact circuit comprising memristive and memcapacitive devices
based on the strongly correlated electron material vanadium dioxide (VO2) and on
the chemical electromigration cell Ag/TiO2-x /Al. The circuit can emulate
dynamical spiking patterns in response to an external stimulus including
adaptation, which is at the heart of firing rate coding as first observed by E.D.
Adrian in 1926.
PMID- 26539076
TI - Benchmarking neuromorphic systems with Nengo.
AB - Nengo is a software package for designing and simulating large-scale neural
models. Nengo is architected such that the same Nengo model can be simulated on
any of several Nengo backends with few to no modifications. Backends translate a
model to specific platforms, which include GPUs and neuromorphic hardware. Nengo
also contains a large test suite that can be run with any backend and focuses
primarily on functional performance. We propose that Nengo's large test suite can
be used to benchmark neuromorphic hardware's functional performance and
simulation speed in an efficient, unbiased, and future-proof manner. We implement
four benchmark models and show that Nengo can collect metrics across five
different backends that identify situations in which some backends perform more
accurately or quickly.
PMID- 26539075
TI - A comparison of FreeSurfer-generated data with and without manual intervention.
AB - This paper examined whether FreeSurfer-generated data differed between a fully
automated, unedited pipeline and an edited pipeline that included the application
of control points to correct errors in white matter segmentation. In a sample of
30 individuals, we compared the summary statistics of surface area, white matter
volumes, and cortical thickness derived from edited and unedited datasets for the
34 regions of interest (ROIs) that FreeSurfer (FS) generates. To determine
whether applying control points would alter the detection of significant
differences between patient and typical groups, effect sizes between edited and
unedited conditions in individuals with the genetic disorder, 22q11.2 deletion
syndrome (22q11DS) were compared to neurotypical controls. Analyses were
conducted with data that were generated from both a 1.5 tesla and a 3 tesla
scanner. For 1.5 tesla data, mean area, volume, and thickness measures did not
differ significantly between edited and unedited regions, with the exception of
rostral anterior cingulate thickness, lateral orbitofrontal white matter,
superior parietal white matter, and precentral gyral thickness. Results were
similar for surface area and white matter volumes generated from the 3 tesla
scanner. For cortical thickness measures however, seven edited ROI measures,
primarily in frontal and temporal regions, differed significantly from their
unedited counterparts, and three additional ROI measures approached significance.
Mean effect sizes for edited ROIs did not differ from most unedited ROIs for
either 1.5 or 3 tesla data. Taken together, these results suggest that although
the application of control points may increase the validity of intensity
normalization and, ultimately, segmentation, it may not affect the final,
extracted metrics that FS generates. Potential exceptions to and limitations of
these conclusions are discussed.
PMID- 26539077
TI - VPS54 and the wobbler mouse.
AB - The wobbler mouse is an animal model for human motor neuron disease, such as
amyotrophic lateral sclerosis (ALS). The spontaneous, recessive wobbler mutation
causes degeneration of upper and lower motor neurons leading to progressive
muscle weakness with striking similarities to the ALS pathology. The wobbler
mutation is a point mutation affecting Vps54, a component of the Golgi-associated
retrograde protein (GARP) complex. The GARP complex is a ubiquitously expressed
Golgi-localized vesicle tethering complex, tethering endosome-derived vesicles to
the trans Golgi network. The wobbler point mutation leads to a destabilization of
the Vps54 protein and thereby the whole GARP complex. This effectuates
impairments of the retrograde vesicle transport, mis-sorting of Golgi- and
endosome localized proteins and on the long run defects in Golgi morphology and
function. It is currently largely unknown how the destabilization of the GARP
complex interferes with the pathological hallmarks, reported for the wobbler
motor neuron degeneration, like neurofilament aggregation, axonal transport
defects, hyperexcitability, mitochondrial dysfunction, and how these finally lead
to motor neuron death. However, the impairments of the retrograde vesicle
transport and the Golgi-function appear to be critical phenomena in the molecular
pathology of the wobbler motor neuron disease.
PMID- 26539078
TI - Optically transparent multi-suction electrode arrays.
AB - Multielectrode arrays (MEAs) allow for acquisition of multisite
electrophysiological activity with submillisecond temporal resolution from neural
preparations. The signal to noise ratio from such arrays has recently been
improved by substrate perforations that allow negative pressure to be applied to
the tissue; however, such arrays are not optically transparent, limiting their
potential to be combined with optical-based technologies. We present here multi
suction electrode arrays (MSEAs) in quartz that yield a substantial increase in
the detected number of units and in signal to noise ratio from mouse cortico
hippocampal slices and mouse retina explants. This enables the visualization of
stronger cross correlations between the firing rates of the various sources.
Additionally, the MSEA's transparency allows us to record voltage sensitive dye
activity from a leech ganglion with single neuron resolution using widefield
microscopy simultaneously with the electrode array recordings. The combination of
enhanced electrical signals and compatibility with optical-based technologies
should make the MSEA a valuable tool for investigating neuronal circuits.
PMID- 26539079
TI - Network-driven design principles for neuromorphic systems.
AB - Synaptic connectivity is typically the most resource-demanding part of
neuromorphic systems. Commonly, the architecture of these systems is chosen
mainly on technical considerations. As a consequence, the potential for
optimization arising from the inherent constraints of connectivity models is left
unused. In this article, we develop an alternative, network-driven approach to
neuromorphic architecture design. We describe methods to analyse performance of
existing neuromorphic architectures in emulating certain connectivity models.
Furthermore, we show step-by-step how to derive a neuromorphic architecture from
a given connectivity model. For this, we introduce a generalized description for
architectures with a synapse matrix, which takes into account shared use of
circuit components for reducing total silicon area. Architectures designed with
this approach are fitted to a connectivity model, essentially adapting to its
connection density. They are guaranteeing faithful reproduction of the model on
chip, while requiring less total silicon area. In total, our methods allow
designers to implement more area-efficient neuromorphic systems and verify
usability of the connectivity resources in these systems.
PMID- 26539080
TI - Association between polymorphisms in NOS3 and KCNH2 and social memory.
AB - Social memory, including the ability to recognize faces and voices, is essential
for social relationships. It has a large heritable component, but the knowledge
about the contributing genes is sparse. The genetic variation underlying inter
individual differences in social memory was investigated in an exploratory sample
(n = 55), genotyped with a chip comprising approximately 200,000 single
nucleotide polymorphisms (SNPs), and in a validation sample (n = 582), where 30
SNPs were targeted. In the exploratory study face identity recognition was
measured. The validation study also measured vocal sound recognition, as well as
recognition of faces and vocal sounds combined (multimodal condition). In the
exploratory study, the 30 SNPs that were associated with face recognition at p
uncorrected < 0.001 and located in genes, were chosen for further study. In the
validation study two of these SNPs showed significant associations with
recognition of faces, vocal sounds, and multimodal stimuli: rs1800779 in the gene
encoding nitric oxide synthase 3 (NOS3) and rs3807370 in the gene encoding the
voltage-gated channel, subfamily H, member 2 (KCNH2), in strong linkage
disequilibrium with each other. The uncommon alleles were associated with
superior performance, and the effects were present for men only (p < 0.0002). The
exploratory study also showed a weaker but significant association with (non
emotional) word recognition, an effect that was independent of the effect on face
recognition. This study demonstrates evidence for an association between NOS3 and
KCNH2 SNPs and social memory.
PMID- 26539082
TI - Editorial: Towards an integrated approach to measurement, analysis and modeling
of cortical networks.
PMID- 26539081
TI - Ion dynamics during seizures.
AB - Changes in membrane voltage brought about by ion fluxes through voltage and
transmitter-gated channels represent the basis of neural activity. As such,
electrochemical gradients across the membrane determine the direction and driving
force for the flow of ions and are therefore crucial in setting the properties of
synaptic transmission and signal propagation. Ion concentration gradients are
established by a variety of mechanisms, including specialized transporter
proteins. However, transmembrane gradients can be affected by ionic fluxes
through channels during periods of elevated neural activity, which in turn are
predicted to influence the properties of on-going synaptic transmission. Such
activity-induced changes to ion concentration gradients are a feature of both
physiological and pathological neural processes. An epileptic seizure is an
example of severely perturbed neural activity, which is accompanied by pronounced
changes in intracellular and extracellular ion concentrations. Appreciating the
factors that contribute to these ion dynamics is critical if we are to understand
how a seizure event evolves and is sustained and terminated by neural tissue.
Indeed, this issue is of significant clinical importance as status epilepticus-a
type of seizure that does not stop of its own accord-is a life-threatening
medical emergency. In this review we explore how the transmembrane concentration
gradient of the six major ions (K(+), Na(+), Cl(-), Ca(2+), H(+)and [Formula: see
text]) is altered during an epileptic seizure. We will first examine each ion
individually, before describing how multiple interacting mechanisms between ions
might contribute to concentration changes and whether these act to prolong or
terminate epileptic activity. In doing so, we will consider how the availability
of experimental techniques has both advanced and restricted our ability to study
these phenomena.
PMID- 26539084
TI - Mapping the mosaic sequence of primate visual cortical development.
AB - Traditional "textbook" theory suggests that the development and maturation of
visual cortical areas occur as a wave from V1. However, more recent evidence
would suggest that this is not the case, and the emergence of extrastriate areas
occurs in a non-hierarchical fashion. This proposition comes from both
physiological and anatomical studies but the actual developmental sequence of
extrastriate areas remains unknown. In the current study, we examined the
development and maturation of the visual cortex of the marmoset monkey, a New
World simian, from embryonic day 130 (15 days prior to birth) through to
adulthood. Utilizing the well-described expression characteristics of the calcium
binding proteins calbindin and parvalbumin, and nonphosphorylated neurofilament
for the pyramidal neurons, we were able to accurately map the sequence of
development and maturation of the visual cortex. To this end, we demonstrated
that both V1 and middle temporal area (MT) emerge first and that MT likely
supports dorsal stream development while V1 supports ventral stream development.
Furthermore, the emergence of the dorsal stream-associated areas was
significantly earlier than ventral stream areas. The difference in the temporal
development of the visual streams is likely driven by a teleological requirement
for specific visual behavior in early life.
PMID- 26539085
TI - Visual mislocalization during double-step saccades.
AB - Visual objects presented briefly at the time of saccade onset appear compressed
toward the saccade target. Compression strength depends on the presentation of a
visual saccade target signal and is strongly reduced during the second saccade of
a double-step saccade sequence (Zimmermann et al., 2014b). Here, I tested whether
perisaccadic compression is linked to saccade planning by contrasting two double
step paradigms. In the same-direction double-step paradigm, subjects were
required to perform two rightward 10 degrees saccades successively. At various
times around execution of the saccade sequence a probe dot was briefly flashed.
Subjects had to localize the position of the probe dot after they had completed
both saccades. I found compression of visual space only at the time of the first
but not at the time of the second saccade. In the reverse-direction paradigm,
subjects performed first a rightward 10 degrees saccade followed by a leftward
10 degrees saccade back to initial fixation. In this paradigm compression was
found in similar magnitude during both saccades. Analysis of the saccade
parameters did not reveal indications of saccade sequence preplanning in this
paradigm. I therefore conclude that saccade planning, rather than saccade
execution factors, is involved in perisaccadic compression.
PMID- 26539083
TI - Monoaminergic tone supports conductance correlations and stabilizes activity
features in pattern generating neurons of the lobster, Panulirus interruptus.
AB - Experimental and computational studies demonstrate that different sets of
intrinsic and synaptic conductances can give rise to equivalent activity
patterns. This is because the balance of conductances, not their absolute values,
defines a given activity feature. Activity-dependent feedback mechanisms maintain
neuronal conductance correlations and their corresponding activity features. This
study demonstrates that tonic nM concentrations of monoamines enable slow,
activity-dependent processes that can maintain a correlation between the
transient potassium current (I(A) and the hyperpolarization activated current
(Ih) over the long-term (i.e., regulatory change persists for hours after removal
of modulator). Tonic 5 nM DA acted through an RNA interference silencing complex
(RISC)- and RNA polymerase II-dependent mechanism to maintain a long-term
positive correlation between I(A) and Ih in the lateral pyloric neuron (LP) but
not in the pyloric dilator neuron (PD). In contrast, tonic 5 nM 5HT maintained a
RISC-dependent positive correlation between I(A) and Ih in PD but not LP over the
long-term. Tonic 5 nM OCT maintained a long-term negative correlation between
I(A) and Ih in PD but not LP; however, it was only revealed when RISC was
inhibited. This study also demonstrated that monoaminergic tone can also preserve
activity features over the long-term: the timing of LP activity, LP duty cycle
and LP spike number per burst were maintained by tonic 5 nM DA. The data suggest
that low-level monoaminergic tone acts through multiple slow processes to permit
cell-specific, activity-dependent regulation of ionic conductances to maintain
conductance correlations and their corresponding activity features over the long
term.
PMID- 26539087
TI - Everyday executive functions in Down syndrome from early childhood to young
adulthood: evidence for both unique and shared characteristics compared to youth
with sex chromosome trisomy (XXX and XXY).
AB - Executive functions (EF) are thought to be impaired in Down syndrome (DS) and sex
chromosome trisomy (Klinefelter and Trisomy X syndromes; +1X). However, the
syndromic specificity and developmental trajectories associated with EF
difficulties in these groups are poorly understood. The current investigation (a)
compared everyday EF difficulties in youth with DS, +1X, and typical development
(TD); and (b) examined relations between age and EF difficulties in these two
groups and a TD control group cross-sectionally. Study 1 investigated the
syndromic specificity of EF profiles on the Behavior Rating Inventory of
Executive Function (BRIEF) in DS (n = 30), +1X (n = 30), and a TD group (n = 30),
ages 5-18 years. Study 2 examined age effects on EF in the same cross-sectional
sample of participants included in Study 1. Study 3 sought to replicate Study 2's
findings for DS by examining age-EF relations in a large independent sample of
youth with DS (n = 85) and TD (n = 43), ages 4-24 years. Study 1 found evidence
for both unique and shared EF impairments for the DS and +1X groups. Most
notably, youth with +1X had relatively uniform EF impairments on the BRIEF
scales, while the DS group showed an uneven BRIEF profile with relative strengths
and weaknesses. Studies 2 and 3 provided support for fairly similar age-EF
relations in the DS and TD groups. In contrast, for the +1X group, findings were
mixed; 6 BRIEF scales showed similar age-EF relations to the TD group and 2
showed greater EF difficulties at older ages for +1X. These findings will be
discussed within the context of efforts to identify syndrome specific cognitive
behavioral profiles for youth with different genetic syndromes in order to inform
basic science investigations into the etiology of EF difficulties in these groups
and to develop treatment approaches that are tailored to the needs of these
groups.
PMID- 26539086
TI - Neural plasticity in hypocretin neurons: the basis of hypocretinergic regulation
of physiological and behavioral functions in animals.
AB - The neuronal system that resides in the perifornical and lateral hypothalamus
(Pf/LH) and synthesizes the neuropeptide hypocretin/orexin participates in
critical brain functions across species from fish to human. The hypocretin system
regulates neural activity responsible for daily functions (such as sleep/wake
homeostasis, energy balance, appetite, etc.) and long-term behavioral changes
(such as reward seeking and addiction, stress response, etc.) in animals. The
most recent evidence suggests that the hypocretin system undergoes substantial
plastic changes in response to both daily fluctuations (such as food intake and
sleep-wake regulation) and long-term changes (such as cocaine seeking) in
neuronal activity in the brain. The understanding of these changes in the
hypocretin system is essential in addressing the role of the hypocretin system in
normal physiological functions and pathological conditions in animals and humans.
In this review, the evidence demonstrating that neural plasticity occurs in
hypocretin-containing neurons in the Pf/LH will be presented and possible
physiological, behavioral, and mental health implications of these findings will
be discussed.
PMID- 26539088
TI - Pharmacological correction of excitation/inhibition imbalance in Down syndrome
mouse models.
AB - Cognitive impairment in Down syndrome (DS) has been linked to increased synaptic
inhibition. The underlying mechanisms remain unknown, but memory deficits are
rescued in DS mouse models by drugs targeting GABA receptors. Similarly,
administration of epigallocatechin gallate (EGCG)-containing extracts rescues
cognitive phenotypes in Ts65Dn mice, potentially through GABA pathway. Some
developmental and cognitive alterations have been traced to increased expression
of the serine-threonine kinase DYRK1A on Hsa21. To better understand
excitation/inhibition balance in DS, we investigated the consequences of long
term (1-month) treatment with EGCG-containing extracts in adult mBACtgDyrk1a mice
that overexpress Dyrk1a. Administration of POL60 rescued components of GABAergic
and glutamatergic pathways in cortex and hippocampus but not cerebellum. An
intermediate dose (60 mg/kg) of decaffeinated green tea extract (MGTE) acted on
components of both GABAergic and glutamatergic pathways and rescued behavioral
deficits as demonstrated on the alternating paradigm, but did not rescue protein
level of GABA-synthesizing GAD67. These results indicate that excessive synaptic
inhibition in people with DS may be attributable, in large part, to increased
DYRK1A dosage. Thus, controlling the level of active DYRK1A is a clear issue for
DS therapy. This study also defines a panel of synaptic markers for further
characterization of DS treatments in murine models.
PMID- 26539089
TI - A subject-independent pattern-based Brain-Computer Interface.
AB - While earlier Brain-Computer Interface (BCI) studies have mostly focused on
modulating specific brain regions or signals, new developments in pattern
classification of brain states are enabling real-time decoding and modulation of
an entire functional network. The present study proposes a new method for real
time pattern classification and neurofeedback of brain states from
electroencephalographic (EEG) signals. It involves the creation of a fused
classification model based on the method of Common Spatial Patterns (CSPs) from
data of several healthy individuals. The subject-independent model is then used
to classify EEG data in real-time and provide feedback to new individuals. In a
series of offline experiments involving training and testing of the classifier
with individual data from 27 healthy subjects, a mean classification accuracy of
75.30% was achieved, demonstrating that the classification system at hand can
reliably decode two types of imagery used in our experiments, i.e., happy
emotional imagery and motor imagery. In a subsequent experiment it is shown that
the classifier can be used to provide neurofeedback to new subjects, and that
these subjects learn to "match" their brain pattern to that of the fused
classification model in a few days of neurofeedback training. This finding can
have important implications for future studies on neurofeedback and its clinical
applications on neuropsychiatric disorders.
PMID- 26539090
TI - Learning about time within the spinal cord: evidence that spinal neurons can
abstract and store an index of regularity.
AB - Prior studies have shown that intermittent noxious stimulation has divergent
effects on spinal cord plasticity depending upon whether it occurs in a regular
(fixed time, FT) or irregular (variable time, VT) manner: In spinally transected
animals, VT stimulation to the tail or hind leg impaired spinal learning whereas
an extended exposure to FT stimulation had a restorative/protective effect. These
observations imply that lower level systems are sensitive to temporal relations.
Using spinally transected rats, it is shown that the restorative effect of FT
stimulation emerges after 540 shocks; fewer shocks generate a learning
impairment. The transformative effect of FT stimulation is related to the number
of shocks administered, not the duration of exposure. Administration of 360 FT
shocks induces a learning deficit that lasts 24 h. If a second bout of FT
stimulation is given a day after the first, it restores the capacity to learn.
This savings effect implies that the initial training episode had a lasting
(memory-like) effect. Two bouts of shock have a transformative effect when
applied at different locations or at difference frequencies, implying spinal
systems abstract and store an index of regularity (rather than a specific
interval). Implications of the results for step training and rehabilitation after
injury are discussed.
PMID- 26539091
TI - Mouse hippocampal GABAB1 but not GABAB2 subunit-containing receptor complex
levels are paralleling retrieval in the multiple-T-maze.
AB - GABAB receptors are heterodimeric G-protein coupled receptors known to be
involved in learning and memory. Although a role for GABAB receptors in cognitive
processes is evident, there is no information on hippocampal GABAB receptor
complexes in a multiple T maze (MTM) task, a robust paradigm for evaluation of
spatial learning. Trained or untrained (yoked control) C57BL/6J male mice (n =
10/group) were subjected to the MTM task and sacrificed 6 h following their
performance. Hippocampi were taken, membrane proteins extracted and run on blue
native PAGE followed by immunoblotting with specific antibodies against GABAB1,
GABAB1a, and GABAB2. Immunoprecipitation with subsequent mass spectrometric
identification of co-precipitates was carried out to show if GABAB1 and GABAB2 as
well as other interacting proteins co-precipitate. An antibody shift assay (ASA)
and a proximity ligation assay (PLA) were also used to see if the two GABAB
subunits are present in the receptor complex. Single bands were observed on
Western blots, each representing GABAB1, GABAB1a, or GABAB2 at an apparent
molecular weight of approximately 100 kDa. Subsequently, densitometric analysis
revealed that levels of GABAB1 and GABAB1a but not GABAB2- containing receptor
complexes were significantly higher in trained than untrained groups.
Immunoprecipitation followed by mass spectrometric studies confirmed the presence
of GABAB1, GABAB2, calcium calmodulin kinases I and II, GluA1 and GluA2 as
constituents of the complex. ASA and PLA also showed the presence of the two
subunits of GABAB receptor within the complex. It is shown that increased levels
of GABAB1 subunit-containing complexes are paralleling performance in a land
maze.
PMID- 26539092
TI - Longitudinal maturation of auditory cortical function during adolescence.
AB - Cross-sectional studies have demonstrated that the cortical auditory evoked
potential (CAEP) changes substantially in amplitude and latency from childhood to
adulthood, suggesting that these aspects of the CAEP continue to mature through
adolescence. However, no study to date has longitudinally followed maturation of
these CAEP measures through this developmental period. Additionally, no study has
examined the trial-to-trial variability of the CAEP during adolescence.
Therefore, we longitudinally tracked changes in the latency, amplitude, and
variability of the P1, N1, P2, and N2 components of the CAEP in 68 adolescents
from age 14 years to age 17 years. Latency decreased for N1 and N2, and did not
change for P1 or P2. Amplitude decreased for P1 and N2, increased for N1, and did
not change for P2. Variability decreased with age for all CAEP components. These
findings provide longitudinal support for the view that the human auditory system
continues to mature through adolescence. Continued auditory system maturation
through adolescence suggests that CAEP neural generators remain plastic during
this age range and potentially amenable to experience-based enhancement or
deprivation.
PMID- 26539093
TI - The effects of cardiorespiratory fitness and acute aerobic exercise on executive
functioning and EEG entropy in adolescents.
AB - The current study examined the effects of cardiorespiratory fitness, identified
with a continuous graded cycle ergometry, and aerobic exercise on cognitive
functioning and entropy of the electroencephalogram (EEG) in 30 adolescents
between the ages of 13 and 14 years. Higher and lower fit participants performed
an executive function task after a bout of acute exercise and after rest while
watching a film. EEG entropy, using the sample entropy measure, was repeatedly
measured during the 1500 ms post-stimulus interval to evaluate changes in entropy
over time. Analysis of the behavioral data for lower and higher fit groups
revealed an interaction between fitness levels and acute physical exercise.
Notably, lower fit, but not higher fit, participants had higher error rates (ER)
for No Go relative to Go trials in the rest condition, whereas in the acute
exercise condition there were no differences in ER between groups; higher fit
participants also had significantly faster reaction times in the exercise
condition in comparison with the rest condition. Analysis of EEG data revealed
that higher fit participants demonstrated lower entropy post-stimulus than lower
fit participants in the left frontal hemisphere, possibly indicating increased
efficiency of early stage stimulus processing and more efficient allocation of
cognitive resources to the task demands. The results suggest that EEG entropy is
sensitive to stimulus processing demands and varies as a function of physical
fitness levels, but not acute exercise. Physical fitness, in turn, may enhance
cognition in adolescence by facilitating higher functionality of the attentional
system in the context of lower levels of frontal EEG entropy.
PMID- 26539094
TI - Being asked to tell an unpleasant truth about another person activates anterior
insula and medial prefrontal cortex.
AB - "Truth" has been used as a baseline condition in several functional magnetic
resonance imaging (fMRI) studies of deception. However, like deception, telling
the truth is an inherently social construct, which requires consideration of
another person's mental state, a phenomenon known as Theory of Mind. Using a
novel ecological paradigm, we examined blood oxygenation level dependent (BOLD)
responses during social and simple truth telling. Participants (n = 27) were
randomly divided into two competing teams. Post-competition, each participant was
scanned while evaluating performances from in-group and out-group members.
Participants were asked to be honest and were told that their evaluations would
be made public. We found increased BOLD responses in the medial prefrontal
cortex, bilateral anterior insula and precuneus when participants were asked to
tell social truths compared to simple truths about another person. At the
behavioral level, participants were slower at responding to social compared to
simple questions about another person. These findings suggest that telling the
truth is a nuanced cognitive operation that is dependent on the degree of
mentalizing. Importantly, we show that the cortical regions engaged by truth
telling show a distinct pattern when the task requires social reasoning.
PMID- 26539095
TI - Perceptual task induces saccadic adaptation by target selection.
AB - Adaptation of saccades can be induced by different error signals, such as retinal
position errors, prediction errors, or reinforcement learning. Recently, we
showed that a shift in the spatial goal of a perceptual task can induce saccadic
adaptation, in the absence of a bottom-up position error. Here, we investigated
whether this top-down effect is mediated by the visibility of the task-relevant
object, by reinforcement due to the feedback about the perceptual judgment or by
a target selection mechanism. Participants were asked to discriminate visual
stimuli arranged in a vertical compound. To induce adaptation, the discrimination
target was presented at eccentric locations in the compound. In the first
experiment, we compared adaptation with an easy and difficult discrimination. In
the second experiment, we compared adaptation when feedback about the perceptual
task was valid and when feedback was provided but was unrelated to performance.
In the third experiment, we compared adaptation with instructions to fixate one
of the elements in the compound-target selection-to the perceptual task condition
target selection and discrimination. To control for a bottom-up stimulus effect,
we ran a fourth experiment in which the only instruction was to look at the
compound. The saccade amplitude data were fitted by a two-state model
distinguishing between an immediate and a gradual error correction process. We
replicated our finding that a perceptual task can drive adaptation of saccades.
Adaptation showed no effect of feedback reliability, nor an effect of the
perceptual task beyond target selection. Adaptation was induced by a top-down
signal since it was absent when there was no target selection instruction and no
perceptual task. The immediate error correction was larger for the difficult than
for the easy condition, suggesting that task difficulty affects mainly voluntary
saccade targeting. In addition, the repetition of experiments one week later
increased the magnitude of the gradual error correction. The results dissociate
two distinct components of adaptation: an immediate and a gradual error
correction. We conclude that perceptual-task induced adaptation is most likely
due to top-down target selection within a larger object.
PMID- 26539096
TI - Identifying environmental sounds: a multimodal mapping study.
AB - Our environment is full of auditory events such as warnings or hazards, and their
correct recognition is essential. We explored environmental sounds (ES)
recognition in a series of studies. In study 1 we performed an Activation
Likelihood Estimation (ALE) meta-analysis of neuroimaging experiments addressing
ES processing to delineate the network of areas consistently involved in ES
processing. Areas consistently activated in the ALE meta-analysis were the
STG/MTG, insula/rolandic operculum, parahippocampal gyrus and inferior frontal
gyrus bilaterally. Some of these areas truly reflect ES processing, whereas
others are related to design choices, e.g., type of task, type of control
condition, type of stimulus. In study 2 we report on 7 neurosurgical patients
with lesions involving the areas which were found to be activated by the ALE meta
analysis. We tested their ES recognition abilities and found an impairment of ES
recognition. These results indicate that deficits of ES recognition do not
exclusively reflect lesions to the right or to the left hemisphere but both
hemispheres are involved. The most frequently lesioned area is the
hippocampus/insula/STG. We made sure that any impairment in ES recognition would
not be related to language problems, but reflect impaired ES processing. In study
3 we carried out an fMRI study on patients (vs. healthy controls) to investigate
how the areas involved in ES might be functionally deregulated because of a
lesion. The fMRI evidenced that controls activated the right IFG, the STG
bilaterally and the left insula. We applied a multimodal mapping approach and
found that, although the meta-analysis showed that part of the left and right
STG/MTG activation during ES processing might in part be related to design
choices, this area was one of the most frequently lesioned areas in our patients,
thus highlighting its causal role in ES processing. We found that the ROIs we
drew on the two clusters of activation found in the left and in the right STG
overlapped with the lesions of at least 4 out of the 7 patients' lesions,
indicating that the lack of STG activation found for patients is related to brain
damage and is crucial for explaining the ES deficit.
PMID- 26539098
TI - When ultrarapid is ultrarapid: on importance of temporal precision in
neuroscience of language.
PMID- 26539097
TI - High-frequency oscillations in epilepsy and surgical outcome. A meta-analysis.
AB - High frequency oscillations (HFOs) are estimated as a potential marker for
epileptogenicity. Current research strives for valid evidence that these HFOs
could aid the delineation of the to-be resected area in patients with refractory
epilepsy and improve surgical outcomes. In the present meta-analysis, we
evaluated the relation between resection of regions from which HFOs can be
detected and outcome after epilepsy surgery. We conducted a systematic review of
all studies that related the resection of HFO-generating areas to postsurgical
outcome. We related the outcome (seizure freedom) to resection ratio, that is,
the ratio between the number of channels on which HFOs were detected and, among
these, the number of channels that were inside the resected area. We compared the
resection ratio between seizure free and not seizure free patients. In total, 11
studies were included. In 10 studies, ripples (80-200 Hz) were analyzed, and in 7
studies, fast ripples (>200 Hz) were studied. We found comparable differences
(dif) and largely overlapping confidence intervals (CI) in resection ratios
between outcome groups for ripples (dif = 0.18; CI: 0.10-0.27) and fast ripples
(dif = 0.17; CI: 0.01-0.33). Subgroup analysis showed that automated detection
(dif = 0.22; CI: 0.03-0.41) was comparable to visual detection (dif = 0.17; CI:
0.08-0.27). Considering frequency of HFOs (dif = 0.24; CI: 0.09-0.38) was related
more strongly to outcome than considering each electrode that was showing HFOs
(dif = 0.15; CI = 0.03-0.27). The effect sizes found in the meta-analysis are
small but significant. Automated detection and application of a detection
threshold in order to detect channels with a frequent occurrence of HFOs is
important to yield a marker that could be useful in presurgical evaluation. In
order to compare studies with different methodological approaches, detailed and
standardized reporting is warranted.
PMID- 26539099
TI - Commentary: Cerebellar direct current stimulation enhances on-line motor skill
acquisition through an effect on accuracy.
PMID- 26539100
TI - Human visual cortical responses to specular and matte motion flows.
AB - Determining the compositional properties of surfaces in the environment is an
important visual capacity. One such property is specular reflectance, which
encompasses the range from matte to shiny surfaces. Visual estimation of specular
reflectance can be informed by characteristic motion profiles; a surface with a
specular reflectance that is difficult to determine while static can be
confidently disambiguated when set in motion. Here, we used fMRI to trace the
sensitivity of human visual cortex to such motion cues, both with and without
photometric cues to specular reflectance. Participants viewed rotating blob-like
objects that were rendered as images (photometric) or dots (kinematic) with
either matte-consistent or shiny-consistent specular reflectance profiles. We
were unable to identify any areas in low and mid-level human visual cortex that
responded preferentially to surface specular reflectance from motion. However,
univariate and multivariate analyses identified several visual areas; V1, V2, V3,
V3A/B, and hMT+, capable of differentiating shiny from matte surface flows. These
results indicate that the machinery for extracting kinematic cues is present in
human visual cortex, but the areas involved in integrating such information with
the photometric cues necessary for surface specular reflectance remain unclear.
PMID- 26539101
TI - Direct comparisons of hand and mouth kinematics during grasping, feeding and fork
feeding actions.
AB - While a plethora of studies have examined the kinematics of human reach-to-grasp
actions, few have investigated feeding, another ethologically important real
world action. Two seminal studies concluded that the kinematics of the mouth
during feeding are comparable to those of the hand during grasping (Castiello,
1997; Churchill et al., 1999); however, feeding was done with a fork or spoon,
not with the hand itself. Here, we directly compared grasping and feeding
kinematics under equivalent conditions. Participants were presented with
differently sized cubes of cheese (10-, 20- or 30-mm on each side) and asked to
use the hand to grasp them or to use a fork to spear them and then bring them to
the mouth to bite. We measured the apertures of the hand during grasping and the
teeth during feeding, as well as reaching kinematics of the arm in both tasks. As
in many past studies, we found that the hand oversized considerably larger (~11
27 mm) than the food item during grasping; moreover, the amount of oversizing
scaled with food size. Surprisingly, regardless of whether the hand or fork was
used to transport the food, the mouth oversized only slightly larger (~4-11 mm)
than the food item during biting and the oversizing did not increase with food
size. Total movement times were longer when using the fork compared to the hand,
particularly when using the fork to bring food to the mouth. While reach velocity
always peaked approximately halfway through the movement, relative to the reach
the mouth opened more slowly than the hand, perhaps because less time was
required for the smaller oversizing. Taken together, our results show that while
many aspects of kinematics share some similarity between grasping and feeding,
oversizing may reflect strategies unique to the hand vs. mouth (such as the need
to have the digits approach the target surface perpendicularly for grip stability
during lifting) and differences in the neural substrates of grasping and feeding.
PMID- 26539102
TI - Electrical Stimulation Elicits Neural Stem Cells Activation: New Perspectives in
CNS Repair.
AB - Researchers are enthusiastically concerned about neural stem cell (NSC) therapy
in a wide array of diseases, including stroke, neurodegenerative disease, spinal
cord injury, and depression. Although enormous evidences have demonstrated that
neurobehavioral improvement may benefit from NSC-supporting regeneration in
animal models, approaches to endogenous and transplanted NSCs are blocked by
hurdles of migration, proliferation, maturation, and integration of NSCs.
Electrical stimulation (ES) may be a selective non-drug approach for mobilizing
NSCs in the central nervous system. This technique is suitable for clinical
application, because it is well established and its potential complications are
manageable. Here, we provide a comprehensive review of the emerging positive role
of different electrical cues in regulating NSC biology in vitro and in vivo, as
well as biomaterial-based and chemical stimulation of NSCs. In the future, ES
combined with stem cell therapy or other cues probably becomes an approach for
promoting brain repair.
PMID- 26539103
TI - Limitations of short range Mexican hat connection for driving target selection in
a 2D neural field: activity suppression and deviation from input stimuli.
AB - Dynamic Neural Field models (DNF) often use a kernel of connection with short
range excitation and long range inhibition. This organization has been suggested
as a model for brain structures or for artificial systems involved in winner-take
all processes such as saliency localization, perceptual decision or target/action
selection. A good example of such a DNF is the superior colliculus (SC), a key
structure for eye movements. Recent results suggest that the superficial layers
of the SC (SCs) exhibit relatively short range inhibition with a longer time
constant than excitation. The aim of the present study was to further examine the
properties of a DNF with such an inhibition pattern in the context of target
selection. First we tested the effects of stimulus size and shape on when and
where self-maintained clusters of firing neurons appeared, using three variants
of the model. In each model variant, small stimuli led to rapid formation of a
spiking cluster, a range of medium sizes led to the suppression of any activity
on the network and hence to no target selection, while larger sizes led to
delayed selection of multiple loci. Second, we tested the model with two stimuli
separated by a varying distance. Again single, none, or multiple spiking clusters
could occur, depending on distance and relative stimulus strength. For short
distances, activity attracted toward the strongest stimulus, reminiscent of well
known behavioral data for saccadic eye movements, while for larger distances
repulsion away from the second stimulus occurred. All these properties predicted
by the model suggest that the SCs, or any other neural structure thought to
implement a short range MH, is an imperfect winner-take-all system. Although,
those properties call for systematic testing, the discussion gathers
neurophysiological and behavioral data suggesting that such properties are indeed
present in target selection for saccadic eye movements.
PMID- 26539105
TI - Neural field simulator: two-dimensional spatio-temporal dynamics involving finite
transmission speed.
AB - Neural Field models (NFM) play an important role in the understanding of neural
population dynamics on a mesoscopic spatial and temporal scale. Their numerical
simulation is an essential element in the analysis of their spatio-temporal
dynamics. The simulation tool described in this work considers scalar spatially
homogeneous neural fields taking into account a finite axonal transmission speed
and synaptic temporal derivatives of first and second order. A text-based
interface offers complete control of field parameters and several approaches are
used to accelerate simulations. A graphical output utilizes video hardware
acceleration to display running output with reduced computational hindrance
compared to simulators that are exclusively software-based. Diverse applications
of the tool demonstrate breather oscillations, static and dynamic Turing patterns
and activity spreading with finite propagation speed. The simulator is open
source to allow tailoring of code and this is presented with an extension use
case.
PMID- 26539106
TI - Memory impairment in older adults' diversionary thoughts.
AB - The diversion paradigm was created in the context of explaining the effect of the
instruction to forget some recently encoded material in the list-method of the
directed forgetting paradigm. The current study of healthy older adults employed
the diversion paradigm with two main goals: to determine whether thinking about
an autobiographical memory interferes with the recall of recently encoded
information and to explore whether the degree of forgetting depends on the
temporal distance created by the diversionary thought. Ninety non
institutionalized Portuguese older adults (47 females and 43 males), aged 65-69
years, with education levels of between 3 and 6 years participated in this study.
The exclusion criteria were as follows: presence of depressive symptomatology
(assessed with the Geriatric Depression Scale-30) and global cognitive
deterioration (assessed with the Mini-Mental State Examination). Concerning the
diversion paradigm, one group was instructed to think about an autobiographical
event (remembering one's childhood home or the last party that one had attended)
after studying one word list (List 1) and before viewing the second word list
(List 2). After a brief distraction task, the participant had to recall the words
from both of the studied lists. In the control group, the procedure was the same,
but the diversionary thought was substituted by a speed reading task. The
obtained results showed the amnesic effect of diversionary thought but did not
show a greater degree of forgetting when the autobiographical events in the
diversionary thoughts were temporally more distant. Considering the practical
implications of these results, this study alerts us to the importance of
promoting strategies that enable older adults to better remember important
information and effectively forget irrelevant information.
PMID- 26539104
TI - The 40-year history of modeling active dendrites in cerebellar Purkinje cells:
emergence of the first single cell "community model".
AB - The subject of the effects of the active properties of the Purkinje cell dendrite
on neuronal function has been an active subject of study for more than 40 years.
Somewhat unusually, some of these investigations, from the outset have involved
an interacting combination of experimental and model-based techniques. This
article recounts that 40-year history, and the view of the functional
significance of the active properties of the Purkinje cell dendrite that has
emerged. It specifically considers the emergence from these efforts of what is
arguably the first single cell "community" model in neuroscience. The article
also considers the implications of the development of this model for future
studies of the complex properties of neuronal dendrites.
PMID- 26539107
TI - Speaking in Alzheimer's Disease, is That an Early Sign? Importance of Changes in
Language Abilities in Alzheimer's Disease.
AB - It is known that Alzheimer's disease (AD) influences the temporal characteristics
of spontaneous speech. These phonetical changes are present even in mild AD.
Based on this, the question arises whether an examination based on language
analysis could help the early diagnosis of AD and if so, which language and
speech characteristics can identify AD in its early stage. The purpose of this
article is to summarize the relation between prodromal and manifest AD and
language functions and language domains. Based on our research, we are inclined
to claim that AD can be more sensitively detected with the help of a linguistic
analysis than with other cognitive examinations. The temporal characteristics of
spontaneous speech, such as speech tempo, number of pauses in speech, and their
length are sensitive detectors of the early stage of the disease, which enables
an early simple linguistic screening for AD. However, knowledge about the unique
features of the language problems associated with different dementia variants
still has to be improved and refined.
PMID- 26539108
TI - Post-mortem brain pathology is related to declining respiratory function in
community-dwelling older adults.
AB - Damage to brain structures which constitute the distributed neural network that
integrates respiratory muscle and pulmonary functions, can impair adequate
ventilation and its volitional control. We tested the hypothesis that the level
of brain pathology in older adults is associated with declining respiratory
function measured during life. 1,409 older adults had annual testing with
spirometry (SPI) and respiratory muscle strength (RMS) based on maximal
inspiratory and maximal expiratory pressures (MEPs). Those who died underwent
structured brain autopsy. On average, during 5 years of follow-up, SPI and RMS
showed progressive decline which was moderately correlated (rho = 0.57, p <
0.001). Among decedents (N = 447), indices of brain neuropathologies showed
differential associations with declining SPI and RMS. Nigral neuronal loss was
associated with the person-specific decline in SPI (Estimate, -0.016 unit/year,
S.E. 0.006, p = 0.009) and reduction of the slope variance was equal to 4%. By
contrast, Alzheimer's disease (AD) pathology (Estimate, -0.030 unit/year, S.E.
0.009, p < 0.001) and macroscopic infarcts (-0.033 unit/year, S.E., 0.011, p =
0.003) were associated with the person-specific decline in RMS and reduction of
the slope variance was equal to 7%. These results suggest that brain pathology is
associated with the rate of declining respiratory function in older adults.
PMID- 26539109
TI - Chemical Exchange Saturation Transfer MR Imaging is Superior to Diffusion-Tensor
Imaging in the Diagnosis and Severity Evaluation of Parkinson's Disease: A Study
on Substantia Nigra and Striatum.
AB - Parkinson's disease (PD) is a neurodegenerative disorder characterized by
nigrostriatal cell loss. To date, the diagnosis of PD is still based primarily on
the clinical manifestations, which may be typical and obvious only in advanced
stage PD. Thus, it is crucial to find a reliable marker for the diagnosis of PD.
We conducted this study to assess the diagnostic efficiency of chemical exchange
saturation transfer (CEST) imaging and diffusion-tensor imaging (DTI) in PD at 3
T by evaluating changes on substantia nigra and striatum. Twenty-three PD
patients and twenty-three age-matched normal controls were recruited. All
patients and controls were imaged on a 3-T MR system, using an eight-channel head
coil. CEST imaging was acquired in two transverse slices of the head, including
substantia nigra and striatum. The magnetization transfer ratio asymmetry at 3.5
ppm, MTRasym(3.5 ppm), and the total CEST signal intensity between 0 and 4 ppm
were calculated. Multi-slice DTI was acquired for all the patients and normal
controls. Quantitative analysis was performed on the substantia nigra, globus
pallidus, putamen, and caudate. The MTRasym(3.5 ppm) value, the total CEST signal
intensity, and fractional anisotropy value of the substantia nigra were all
significantly lower in PD patients than in normal controls (P = 0.003, P = 0.004,
and P < 0.001, respectively). The MTRasym(3.5 ppm) values of the putamen and the
caudate were significantly higher in PD patients than in normal controls (P =
0.010 and P = 0.009, respectively). There were no significant differences for the
mean diffusivity in these four regions between PD patients and normal controls.
In conclusion, CEST MR imaging provided multiple CEST image contrasts in the
substantia nigra and the striatum in PD and may be superior to DTI in the
diagnosis of PD.
PMID- 26539110
TI - Abeta Clearance, "hub" of Multiple Deficiencies Leading to Alzheimer Disease.
PMID- 26539111
TI - Older adults can improve compensatory stepping with repeated postural
perturbations.
AB - The ability to respond quickly and accurately to an external perturbation with a
stepping response is critical to avoid falls and this ability is impaired in
older, compared to young adults. However, little is known about whether young and
older adults improve compensatory stepping responses similarly with practice.
This study compares the extent to which young and older adults can improve,
retain, and generalize postural compensatory steps in response to external
perturbations. Centre of mass displacement, step characteristics and lower leg
muscle activation latencies were measured during one training session of
compensatory stepping in response to large surface translations in 13 young and
12 older adults. Retention was tested 24 h later. Older adults decreased their
center of mass displacements over repeated exposure to large surface translations
in both the anterior and posterior directions and retained these improvements. In
contrast, young adults only showed adaptation and retention of forward stepping
responses. Neither group was able to generalize improvements in stepping
responses across directions. These results suggest step training may be
beneficial for older adults, however additional, multidirectional training may be
necessary to facilitate generalization of postural stepping responses for any
direction of a slip or trip.
PMID- 26539112
TI - PGC-1alpha Silencing Compounds the Perturbation of Mitochondrial Function Caused
by Mutant SOD1 in Skeletal Muscle of ALS Mouse Model.
AB - Amyotrophic lateral sclerosis (ALS) is a lethal neurodegenerative disease causing
death of motor neurons. This study investigated the roles of energy metabolism in
the pathogenesis of ALS in the SOD1(G93A) transgenic mouse model. Control and
SOD1(G93A) mice were administered with shcontrol or shPGC-1alpha in combination
with PBS or thiazolidinedione (TZD) for 8 weeks. Gene expression was analyzed by
quantitative real-time PCR and Western blot. ROS and fibrosis were assessed with
a colorimetric kit and Sirius staining, respectively. Inflammatory cytokines were
measured using ELISA kits. The levels of tissue ROS and serum inflammatory
cytokines were significantly higher in SOD1(G93A) mice compared to control mice,
and knocking down peroxisome proliferator-activated receptor gamma coactivator 1
alpha (PGC-1alpha) drastically increased cytokine levels in both control and
SOD1(G93A) mice. Muscle fibrosis was much severer in SOD1(G93A) mice, and
worsened by silencing PGC-1alpha and attenuated by TZD. The expression levels of
PGC-1alpha, SOD1, UCP2, and cytochrome C were substantially reduced by shPGC
1alpha and increased by TZD in muscle of both control and SOD1(G93A) mice,
whereas the level of NF-kappaB was significantly elevated in SOD1(G93A) mice,
which was further increased by PGC-1alpha silencing. These data indicated that
disruption of energy homeostasis would exacerbate the pathological changes caused
by SOD1 mutations to promote the pathogenesis of ALS.
PMID- 26539114
TI - Commentary: The sphingosine kinase 1/sphingosine-1-phosphate pathway in pulmonary
arterial hypertension.
PMID- 26539113
TI - Cardiovascular imaging: what have we learned from animal models?
AB - Cardiovascular imaging has become an indispensable tool for patient diagnosis and
follow up. Probably the wide clinical applications of imaging are due to the
possibility of a detailed and high quality description and quantification of
cardiovascular system structure and function. Also phenomena that involve complex
physiological mechanisms and biochemical pathways, such as inflammation and
ischemia, can be visualized in a non-destructive way. The widespread use and
evolution of imaging would not have been possible without animal studies. Animal
models have allowed for instance, (i) the technical development of different
imaging tools, (ii) to test hypothesis generated from human studies and finally,
(iii) to evaluate the translational relevance assessment of in vitro and ex-vivo
results. In this review, we will critically describe the contribution of animal
models to the use of biomedical imaging in cardiovascular medicine. We will
discuss the characteristics of the most frequent models used in/for imaging
studies. We will cover the major findings of animal studies focused in the
cardiovascular use of the repeatedly used imaging techniques in clinical practice
and experimental studies. We will also describe the physiological findings and/or
learning processes for imaging applications coming from models of the most common
cardiovascular diseases. In these diseases, imaging research using animals has
allowed the study of aspects such as: ventricular size, shape, global function,
and wall thickening, local myocardial function, myocardial perfusion, metabolism
and energetic assessment, infarct quantification, vascular lesion
characterization, myocardial fiber structure, and myocardial calcium uptake.
Finally we will discuss the limitations and future of imaging research with
animal models.
PMID- 26539116
TI - Nutrition and health technology assessment: when two worlds meet.
AB - There is a growing recognition that nutrition may have a positive impact on
public health and that it may reduce medical expenditures. Yet, such claims need
to be substantiated by evidence. This evidence could be delivered by health
technology assessment (HTA), which can be thought of as the evaluation of
technologies for clinical effectiveness, cost-effectiveness, and ethical, legal,
and social impacts. The application of HTA to the field of "nutrition
interventions" is recent. So far, HTA and nutrition have represented two worlds
far apart in many respects. This contribution, roughly, addresses the following
issues: is there a need for HTAs in the field of nutrition, what would such HTAs
look like, and how can the results coming from these HTAs optimally aid policy
making? In essence, HTAs of nutrition have much of the same basic principles and
structure as HTAs of "classical" health care treatments. Nevertheless, there are
challenges to rigorous HTAs of nutrition interventions, for various reasons. To
mention a few: the evidence base for nutrition interventions is less well
developed than that for many health care treatments. Furthermore, it is a matter
of debate which outcome measures should be used in HTAs of nutrition. For
example, one may argue that nutrition not only has health effects, but also
effects that are not captured by traditional health-related quality of life
measures (e.g., the pleasure of eating, effects relating to ease of use, or
effects on well-being). HTAs in the field of nutrition may deliver information
valuable to a wide range of stakeholders, including consumers/patients, health
professionals, hospital administrators, insurers, and decision makers. The
results of HTAs are typically used in making treatment guidelines, in informing
decisions about reimbursement or about public health campaigns, etc. Yet, it is
uncertain how the results of HTAs of nutrition can be used optimally. For
example, would it be possible to summarize the results of a HTA in a single ratio
(such as costs per quality-adjusted life-year gained) and then to either approve
or reject the intervention based on this ratio, compared to a certain threshold?
Apart from that, in the field of nutrition, it is typically not about
reimbursement of a technology. Related to this, it is important that the message
from HTAs of nutrition is brought to a range of stakeholders including the
general population and that these HTAs are tailored to the decision-making
context. To conclude, a growing need is felt for HTA-type evaluations of
nutrition, which are sparse these days. Little thought has been given to
developing an optimal methodology for HTAs of nutrition and to how its results
should be integrated into policy making. Further work in these areas would
stimulate the development of nutrition interventions that yield a gain in
societal welfare. To achieve this, the two worlds of HTA and nutrition need to be
brought together.
PMID- 26539115
TI - The power of using functional fMRI on small rodents to study brain pharmacology
and disease.
AB - Functional magnetic resonance imaging (fMRI) is an excellent tool to study the
effect of pharmacological modulations on brain function in a non-invasive and
longitudinal manner. We introduce several blood oxygenation level dependent
(BOLD) fMRI techniques, including resting state (rsfMRI), stimulus-evoked (st
fMRI), and pharmacological MRI (phMRI). Respectively, these techniques permit the
assessment of functional connectivity during rest as well as brain activation
triggered by sensory stimulation and/or a pharmacological challenge. The first
part of this review describes the physiological basis of BOLD fMRI and the
hemodynamic response on which the MRI contrast is based. Specific emphasis goes
to possible effects of anesthesia and the animal's physiological conditions on
neural activity and the hemodynamic response. The second part of this review
describes applications of the aforementioned techniques in pharmacologically
induced, as well as in traumatic and transgenic disease models and illustrates
how multiple fMRI methods can be applied successfully to evaluate different
aspects of a specific disorder. For example, fMRI techniques can be used to
pinpoint the neural substrate of a disease beyond previously defined hypothesis
driven regions-of-interest. In addition, fMRI techniques allow one to dissect how
specific modifications (e.g., treatment, lesion etc.) modulate the functioning of
specific brain areas (st-fMRI, phMRI) and how functional connectivity (rsfMRI)
between several brain regions is affected, both in acute and extended time
frames. Furthermore, fMRI techniques can be used to assess/explore the efficacy
of novel treatments in depth, both in fundamental research as well as in
preclinical settings. In conclusion, by describing several exemplary studies, we
aim to highlight the advantages of functional MRI in exploring the acute and long
term effects of pharmacological substances and/or pathology on brain functioning
along with several methodological considerations.
PMID- 26539117
TI - Integrated expression profiles of mRNA and microRNA in the liver of Fructus
Meliae Toosendan water extract injured mice.
AB - Liver toxicity is a severe problem associated with Traditional Chinese Medicine
(TCM). Fructus Meliae Toosendan (FMT) is a known hepatotoxic TCM, however, the
toxicological mechanisms of liver injury caused by FMT treatment still remain
largely unknown. In this study, we aimed to reveal possible mechanisms of FMT
water extract-induced liver injury using a systemic approach. After three
consecutive daily dosing of FMT water extract, significant increases of alanine
transaminase, aspartate transaminase, and alkaline phosphatase activities, along
with elevated total bilirubin and total cholesterol levels and a decrease of
triglyceride level, were detected in mice serum. Moreover, hydropic degeneration
was observed in hepatocytes, suggesting the presence of FMT-induced liver injury.
mRNA and microRNA expression profiles of liver samples from injured mice were
analyzed and revealed 8 miRNAs and 1,723 mRNAs were significantly changed after
FMT water extract treatment. For the eight differentially expressed miRNAs, their
predicted target genes were collected and a final set of 125 genes and 4 miRNAs
(miR-139-5p, miR-199a-5p, miR-2861, and miR-3960) was selected to investigate
important processes involved in FMT hepatotoxicity. Our results demonstrated
several cellular functions were disordered after FMT treatment, such as cellular
growth and proliferation, gene expression and cellular development. We
hypothesized that liver cell necrosis was the main liver toxicity of FMT water
extract, which was possibly caused by oxidative stress responses.
PMID- 26539118
TI - Nuciferine downregulates Per-Arnt-Sim kinase expression during its alleviation of
lipogenesis and inflammation on oleic acid-induced hepatic steatosis in HepG2
cells.
AB - Non-alcoholic fatty liver disease (NAFLD) is a prevalent liver disease associated
with lipotoxicity, lipid peroxidation, oxidative stress, and inflammation.
Nuciferine, an active ingredient extracted from the natural lotus leaf, has been
reported to be effective for the prevention and treatment of NAFLD. Per-Arnt-Sim
kinase (PASK) is a nutrient responsive protein kinase that regulates lipid and
glucose metabolism, mitochondrial respiration, and gene expression. The aim of
the present study was to investigate the protective effect of nuciferine against
NAFLD and its inhibitory effect on PASK, exploring the possible underlying
mechanism of nuciferine-mediated inhibition on NAFLD. Relevant biochemical
parameters (lipid accumulation, extent of oxidative stress and release of
inflammation cytokines) in oleic acid (OA)-induced HepG2 cells that mimicked
steatosis in vitro were measured and compared with the control. It was found that
nuciferine and silenced-PASK (siRNA PASK) both inhibited triglyceride (TG)
accumulation and was effective in decreasing fatty acid (FFAs). The content of
total antioxidant capacity (T-AOC) and superoxide dismutase (SOD) were increased
respectively by nuciferine and siRNA PASK without increase in glutathione (GSH).
Malondialdehyde (MDA) was decreased respectively by nuciferine and siRNA PASK. In
addition, nuciferine decreased TNF-a, IL-6 and IL-8 as well as the siRNA PASK
group. IL-10 was increased by nuciferine and siRNA PASK respectively. Further
investigation revealed that nuciferine and siRNA PASK could respectively regulate
the expression of target genes involved in lipogenesis and inflammation,
suggesting that nuciferine may be a potential therapeutic treatment for NAFLD.
Furthermore, the modulated effect of nuciferine on (OA)-induced HepG2 cells
lipogenesis and inflammation, which was accompanied with PASK inhibition, was
also consistent with siRNA PASK, implying that PASK might play a role in
nuciferine-mediated regulation on NAFLD.
PMID- 26539119
TI - Blockade of cholinergic transmission elicits somatic signs in nicotine-naive
adolescent rats.
AB - High doses of the nicotinic acetylcholine receptor (nAChR) antagonist
mecamylamine can elicit somatic signs resembling those associated with nicotine
withdrawal in nicotine-naive adult rats. Understanding this phenomenon, and its
possible modulation by acute nicotine and age, could inform the use of
mecamylamine as both an experimental tool and potential pharmacotherapy for
tobacco dependence and other disorders. This study evaluated the ability of high
dose mecamylamine to elicit somatic signs in adolescent rats, and the potential
for acute nicotine pretreatment to potentiate this effect as previously reported
in adults. Single or repeated injections of mecamylamine (1.5 or 3.0 mg/kg, s.c.)
elicited somatic signs in nicotine-naive adolescents, but this effect was not
influenced by 2 h pretreatment with acute nicotine (0.5 mg/kg, s.c.). In an
initial evaluation of the effects of age in this model, mecamylamine (2.25 mg/kg,
s.c.) elicited somatic signs in nicotine-naive adolescents and adults. This
effect was modestly enhanced following acute nicotine injections in adults but
not in adolescents, even when a higher nicotine dose (1.0 rather than 0.5 mg/kg,
s.c.) was used in adolescents to account for age differences in nicotine
pharmacokinetics. These studies are the first to show that mecamylamine elicits
somatic signs in nicotine-naive adolescent rats, an effect that should be
considered when designing and interpreting studies examining effects of high
doses of mecamylamine in adolescents. Our findings also provide preliminary
evidence that these signs may be differentially modulated by acute nicotine
pretreatment in adolescents versus adults.
PMID- 26539120
TI - Syntenin controls migration, growth, proliferation, and cell cycle progression in
cancer cells.
AB - The scaffold protein syntenin abounds during fetal life where it is important for
developmental movements. In human adulthood, syntenin gain-of-function is
increasingly associated with various cancers and poor prognosis. Depending on the
cancer model analyzed, syntenin affects various signaling pathways. We previously
have shown that syntenin allows syndecan heparan sulfate proteoglycans to escape
degradation. This indicates that syntenin has the potential to support sustained
signaling of a plethora of growth factors and adhesion molecules. Here, we aim to
clarify the impact of syntenin loss-of-function on cancer cell migration, growth,
and proliferation, using cells from various cancer types and syntenin shRNA and
siRNA silencing approaches. We observed decreased migration, growth, and
proliferation of the mouse melanoma cell line B16F10, the human colon cancer cell
line HT29 and the human breast cancer cell line MCF7. We further documented that
syntenin controls the presence of active beta1 integrin at the cell membrane and
G1/S cell cycle transition as well as the expression levels of CDK4, Cyclin D2,
and Retinoblastoma proteins. These data confirm that syntenin supports the
migration and growth of tumor cells, independently of their origin, and further
highlight the attractiveness of syntenin as potential therapeutic target.
PMID- 26539123
TI - Editorial: Investigating the human brain and muscle coupling during whole-body
challenging exercise.
PMID- 26539122
TI - Temporal response of ectopic activity in guinea pig ventricular myocardium in
response to isoproterenol and acetylcholine.
AB - Both beta adrenergic and muscarinic receptor stimulation independently potentiate
arrhythmogenesis. However, the effect of simultaneous stimulation on
arrhythmogenesis is not well known. The purpose of this study was to determine
the temporal response of arrhythmia risk to individual and combined autonomic
agonists. Guinea pig hearts were excised and Langendorff-perfused. The beta
adrenergic receptor and muscarinic receptor agonists were isoproterenol (ISO, 0.6
MUM) and acetylcholine (ACh, 10 MUM), respectively. All measurements with
agonists occurred over 21 min. ISO induced ectopic activity for the first 8 min.
ISO also transiently shortened and then prolonged R-R interval over a similar
time course. ACh added after ISO transiently induced ectopic activity for 12 min,
while R-R interval invariantly prolonged. ACh alone produced few ectopic beats,
while invariantly prolonging R-R interval. In contrast to ISO alone, ISO
following ACh significantly increased ectopic activity and shortened R-R interval
for the duration of the experiment. Animals aged 17-19 months exhibited sustained
arrhythmogenesis while those aged 11-14 did not. When ACh was removed in older
hearts while ISO perfused, a transient increase in ectopic activity and decreased
R-R interval was observed, similar to ISO alone. These data suggest that pre
treating with and maintaining ACh perfusion can sustain ISO sensitivity, in
contrast to ISO perfusion alone.
PMID- 26539121
TI - HDL-S1P: cardiovascular functions, disease-associated alterations, and
therapeutic applications.
AB - Sphingosine-1-phosphate (S1P) is a bioactive sphingolipid contained in High
density lipoproteins (HDL) and has drawn considerable attention in the
lipoprotein field as numerous studies have demonstrated its contribution to
several functions inherent to HDL. Some of them are partly and some entirely due
to the S1P contained in HDL (HDL-S1P). Despite the presence of over 1000
different lipids in HDL, S1P stands out as it possesses its own cell surface
receptors through which it exercises key physiological functions. Most of the S1P
in human plasma is associated with HDL, and the amount of HDL-S1P influences the
quality and quantity of HDL-dependent functions. The main binding partner of S1P
in HDL is apolipoprotein M but others may also exist particularly under
conditions of acute S1P elevations. HDL not only exercise functions through their
S1P content but have also an impact on genuine S1P signaling by influencing S1P
bioactivity and receptor presentation. HDL-S1P content is altered in human
diseases such as atherosclerosis, coronary artery disease, myocardial infarction,
renal insufficiency and diabetes mellitus. Low HDL-S1P has also been linked to
impaired HDL functions associated with these disorders. Although the
pathophysiological and molecular reasons for such disease-associated shifts in
HDL-S1P are little understood, there have been successful approaches to
circumvent their adverse implications by pharmacologically increasing HDL-S1P as
means to improve HDL function. This mini-review will cover the current
understanding of the contribution of HDL-S1P to physiological HDL function, its
alteration in disease and ways for its restoration to correct HDL dysfunction.
PMID- 26539124
TI - An integrated finite element simulation of cardiomyocyte function based on
triphasic theory.
AB - In numerical simulations of cardiac excitation-contraction coupling, the
intracellular potential distribution and mobility of cytosol and ions have been
mostly ignored. Although the intracellular potential gradient is small, during
depolarization it can be a significant driving force for ion movement, and is
comparable to diffusion in terms of net flux. Furthermore, fluid in the t-tubules
is thought to advect ions to facilitate their exchange with the extracellular
space. We extend our previous finite element model that was based on triphasic
theory to examine the significance of these factors in cardiac physiology.
Triphasic theory allows us to study the behavior of solids (proteins), fluids
(cytosol) and ions governed by mechanics and electrochemistry in detailed
subcellular structures, including myofibrils, mitochondria, the sarcoplasmic
reticulum, membranes, and t-tubules. Our simulation results predicted an
electrical potential gradient inside the t-tubules at the onset of
depolarization, which corresponded to the Na(+) channel distribution therein.
Ejection and suction of fluid between the t-tubules and the extracellular
compartment during isometric contraction were observed. We also examined the
influence of t-tubule morphology and mitochondrial location on the
electrophysiology and mechanics of the cardiomyocyte. Our results confirm that
the t-tubule structure is important for synchrony of Ca(2+) release, and suggest
that mitochondria in the sub-sarcolemmal region might serve to cancel Ca(2+)
inflow through surface sarcolemma, thereby maintaining the intracellular Ca(2+)
environment in equilibrium.
PMID- 26539125
TI - The influence of platelet-derived products on angiogenesis and tissue repair: a
concise update.
AB - Platelet degranulation allows the release of a large amount of soluble mediators,
is an essential step for wound healing initiation, and stimulates clotting, and
angiogenesis. The latter process is one of the most critical biological events
observed during tissue repair, increasing the growth of blood vessels in the
maturing wound. Angiogenesis requires the action of a variety of growth factors
that act in an appropriate physiological ratio to assure functional blood vessel
restoration. Platelets release main regulators of angiogenesis: Vascular
Endothelial Growth Factors (VEGFs), basic fibroblast growth factor (FGF-2), and
Platelet derived growth factors (PDGFs), among others. In order to stimulate
tissue repair, platelet derived fractions have been used as an autologous source
of growth factors and biomolecules, namely Platelet Rich Plasma (PRP), Platelet
Poor Plasma (PPP), and Platelet Rich Fibrin (PRF). The continuous release of
these growth factors has been proposed to promote angiogenesis both in vitro and
in vivo. Considering the existence of clinical trials currently evaluating the
efficacy of autologous PRP, the present review analyses fundamental questions
regarding the putative role of platelet derived fractions as regulators of
angiogenesis and evaluates the possible clinical implications of these
formulations.
PMID- 26539126
TI - Cellular and Molecular Inflammatory Profile of the Choroid Plexus in Depression
and Suicide.
AB - The inflammatory hypothesis of depression is one of the main theories that
endeavors to explain and describe the underlying biological mechanisms of
depression and suicide. While mounting evidence indicates altered peripheral and
central inflammatory profiles in depressed patients and suicide completers,
little is known about how peripheral and central inflammation might be linked in
these contexts. The choroid plexus (ChP), a highly vascularized tissue that
produces cerebrospinal fluid (CSF) and lacks a blood-brain-barrier, is an
interface between peripheral and central immune responses. In the present study,
we investigated the cellular and molecular inflammatory profile of the ChP of the
lateral ventricle in depressed suicides and psychiatrically healthy controls.
Gene expression of macrophages, pro- and anti-inflammatory cytokines, and various
factors implicated in immune cell trafficking were measured; and density of
ionized calcium-binding adaptor molecule 1-positive (Iba1+) macrophages
associated with the ChP epithelial cell layer (ECL) was examined. Significant
downregulations of the genes encoding interleukin 1beta (IL1beta), a pro
inflammatory acute-phase protein; intercellular cell adhesion molecule 1 (ICAM1),
a protein implicated in immune cell trafficking in the ChP; and IBA1, a
monocyte/macrophage marker; were detected in depressed suicides as compared to
controls. No difference in the density of Iba1+ macrophages associated with the
ChP ECL was observed. While interpretation of these findings is challenging in
the absence of corroborating data from the CSF, peripheral blood, or brain
parenchyma of the present cohort, we hypothesize that the present findings
reflect a ChP compensatory mechanism that attenuates the detrimental effects of
chronically altered pro-inflammatory signaling caused by elevated levels of pro
inflammatory cytokines, such as IL-1beta, peripherally and/or centrally.
Together, these findings further implicate neuroimmune processes in the etiology
of depression and suicide.
PMID- 26539127
TI - The Development of a Screening Questionnaire for Obstructive Sleep Apnea in
Children with Down Syndrome.
AB - Obstructive sleep apnea is a condition which affects an estimated 50% of children
with Down syndrome, particularly in their early years. It can cause serious
sequelae in affected children but may not be recognized by parents or health
professionals. Routine screening has been recommended in some countries, but is
not standard practice. There are no validated questionnaire-based tools available
to screen this population of children for this particular sleep-related disorder.
Using existing validated sleep questionnaire items, we have developed a
questionnaire to screen children with Down syndrome up to 6 years of age for
obstructive sleep apnea, which corresponds with the recommendations made in UK
national guidelines. This paper describes these first steps in demonstrating
content validity for a new questionnaire, which will be subject to further in
depth psychometric analysis. Relevance, clarity, and age appropriateness were
rated for 33 items using a content review questionnaire by a group of 18 health
professionals with expertise in respiratory pediatrics, neurodevelopmental
pediatrics, and sleep physiology. The content validity index was calculated for
individual items and contributed to decisions about item inclusion. Scale level
content validity index for the modified questionnaire of 14 items was at an
accepted level of 0.78. Two parents of children with Down syndrome took part in
cognitive interviews after completing the modified questionnaire. We describe the
development of this 14 item questionnaire to screen for OSA in children with DS
from infancy to 6 years.
PMID- 26539128
TI - Attentional Load Effects on Beta Oscillations in Healthy and Schizophrenic
Individuals.
AB - Attentional deficits are prominent among the cognitive disturbances found in
schizophrenia. Given that schizophrenia is also characterized by abnormalities in
high-frequency oscillations, we investigated whether attentional function in
schizophrenia is related to abnormalities in high-frequency oscillations in a
visual discrimination task in which attentional load was manipulated. Sixteen
healthy control subjects (HC) and 23 chronic schizophrenia patients (SZ)
discriminated between target discs (p = 0.2) and standard discs (p = 0.8).
Attentional load was manipulated by varying the size difference between the
target and standard discs across blocks: large (Easy condition), medium (Medium),
and small (Difficult). The electroencephalogram was recorded and the oscillations
evoked by the standard stimuli were analyzed using the Morlet wavelet transform.
Subjects' performance decreased as attentional load increased, but HC and SZ did
not differ. Attentional load increased beta phase-locking factor at frontal,
parietal, and occipital electrode sites in HC but not SZ. In SZ, however, there
was a correlation between the beta attentional load effect and overall d',
indicating that high-performing SZ had relatively normal beta attentional load
effects. These results show that variations in attentional load are associated
with beta oscillations and provide a link between attentional dysfunction and
beta-generating neural circuitry in schizophrenia.
PMID- 26539129
TI - Children of mentally ill parents-a pilot study of a group intervention program.
AB - OBJECTIVE: The transgenerational transmission of mental disorders is one of the
most prominent risk factors for the development of psychological disorders.
Children of mentally ill parents are a vulnerable high risk group with overall
impaired development and high rates of psychological disorders. To date there are
only a few evidence based intervention programs for this group overall and hardly
any in Germany. We translated the evidence based Family Talk Intervention by
Beardslee (2009) and adapted it for groups. First results of this pilot study are
presented. METHOD: This investigation evaluates a preventive group intervention
for children of mentally ill parents. In a quasi-experimental design three groups
are compared: an intervention group (Family Talk Intervention group: n = 28), a
Wait Control group (n = 9), and a control group of healthy children (n = 40).
Mean age of children was 10.41 years and parental disorders were mostly
depressive/affective disorders (n = 30), but a small number also presented with
Attention-Deficit/Hyperactivity Disorder (n = 7). RESULTS: Children of mentally
ill parents showed higher rates of internalizing/externalizing disorders before
and after the intervention compared to children of parents with no disorders.
Post intervention children's knowledge on mental disorders was significantly
enhanced in the Family Talk Intervention group compared to the Wait Control group
and the healthy control group. Parental ratings of externalizing symptoms in the
children were reduced to normal levels after the intervention in the Family Talk
Intervention group, but not in the Wait Control group. DISCUSSION: This pilot
study of a group intervention for children of mentally ill parents highlights the
importance of psycho-education on parental mental disorders for children. Long
term effects of children's enhanced knowledge about parental psychopathology need
to be explored in future studies.
PMID- 26539130
TI - Two types of potential functions and their use in the modeling of information:
two applications from the social sciences.
AB - In this paper we consider how two types of potential functions, the real and
quantum potential can be shown to be of use in a social science context. The real
potential function is a key ingredient in the Hamiltonian framework used in both
classical and quantum mechanics. The quantum potential however emerges in a
different way in quantum mechanics. In this paper we consider both potentials and
we attempt to give them a social science interpretation within the setting of two
applications.
PMID- 26539131
TI - Tapping into neural resources of communication: formulaic language in aphasia
therapy.
PMID- 26539132
TI - Women have substantial advantage in STEM faculty hiring, except when competing
against more-accomplished men.
AB - Audits of tenure-track hiring reveal faculty prefer to hire female applicants
over males. However, audit data do not control for applicant quality, allowing
some to argue women are hired at higher rates because they are more qualified. To
test this, Williams and Ceci (2015) conducted an experiment demonstrating a
preference for hiring women over identically-qualified men. While their findings
are consistent with audits, they raise the specter that faculty may prefer women
over even more-qualified men, a claim made recently. We evaluated this claim in
the present study: 158 faculty ranked two men and one woman for a tenure-track
assistant professorship, and 94 faculty ranked two women and one man. In the
former condition, the female applicant was slightly weaker than her two male
competitors, although still strong; in the other condition the male applicant was
slightly weaker than his two female competitors, although still strong. Faculty
of both genders and in all fields preferred the more-qualified men over the
slightly-less-qualified women, and they also preferred the stronger women over
the slightly-less-qualified man. This suggests that preference for women among
identically-qualified applicants found in experimental studies and in audits does
not extend to women whose credentials are even slightly weaker than male
counterparts. Thus these data give no support to the twin claims that weaker
males are chosen over stronger females or weaker females are hired over stronger
males.
PMID- 26539133
TI - Knowledge, curiosity, and aesthetic chills.
PMID- 26539134
TI - Principles of perceptual grouping: implications for image-guided surgery.
PMID- 26539135
TI - Contingent self-esteem and vulnerability to depression: academic contingent self
esteem predicts depressive symptoms in students.
AB - Low self-esteem has been established as a vulnerability factor for depression. In
line with recent research, we suggest that a full understanding of the role of
self-esteem in depression requires consideration of contingent self-esteem as
well. For most people, competence is an important source of self-esteem. Students
in particular link their self-esteem to academic competence. To test the
hypothesis that academic contingent self-esteem (aCSE) predicts depressive
symptoms (DS), two studies were conducted. Preceding the investigation of our
hypothesis, the first purpose of Study 1 was to describe the development of aCSE,
self-esteem (SE) level, and DS in adolescence in a sample of German students aged
10-16 (N = 1888) in order to provide a foundation for further analyses. Then, to
address the main question, age and gender differences in aCSE, SE level, and DS
as well as their relations were investigated. The results show that (1) gender
differences emerged after the age of 10/11. Girls scored higher on aCSE and DS
and lower on SE level than did boys, and aCSE and DS decreased and SE level
increased over time in boys, while the rather disadvantageous pattern in girls
remained stable. (2) After controlling for SE level and aCSE, the effects of
gender and age * gender interaction on DS disappeared, suggesting an influence of
aCSE on DS. (3) aCSE predicted DS over and above SE level. Since the results of
Study 1 did not allow for causal conclusions, a longitudinal study (N = 160) was
conducted to further investigate the causal role of aCSE. According to the
diathesis-stress model, aCSE was expected to serve as a diathesis for developing
DS in the face of academic stress (daily hassles) during an academic semester at
university. The results of Study 2 revealed that aCSE interacted with
corresponding hassles to predict increases in DS. High levels of academic stress
led to increases in DS only among students who strongly based their SE on
academic competence. Implications for prevention and intervention of depression
are discussed.
PMID- 26539136
TI - Executive functioning in preschoolers with specific language impairment.
AB - The pathogenesis of Specific Language Impairment (SLI) is still largely beyond
our understanding. In this review, a neuropsychological perspective on language
impairments in SLI is taken, focusing specifically on executive functioning (EF)
in preschoolers (age range: 2.6-6.1 years) with SLI. Based on the studies
described in this review, it can be concluded that similar to school-aged
children with SLI, preschoolers with SLI show difficulties in working memory,
inhibition and shifting, as revealed by both performance based measures and
behavioral ratings. It seems plausible that a complex, reciprocal relationship
exists between language and EF throughout development. Future research is needed
to examine if, and if yes how, language and EF interact in SLI. Broad
neuropsychological assessment in which both language and EF are taken into
account may contribute to early detection of SLI. This in turn can lead to early
and tailored treatment of children with (suspected) SLI aimed not only at
stimulating language development but also at strengthening EF.
PMID- 26539137
TI - Attentional bias in high math-anxious individuals: evidence from an emotional
Stroop task.
AB - Attentional bias toward threatening or emotional information is considered a
cognitive marker of anxiety, and it has been described in various clinical and
subclinical populations. This study used an emotional Stroop task to investigate
whether math anxiety is characterized by an attentional bias toward math-related
words. Two previous studies failed to observe such an effect in math-anxious
individuals, although the authors acknowledged certain methodological limitations
that the present study seeks to avoid. Twenty high math-anxious (HMA) and 20 low
math-anxious (LMA) individuals were presented with an emotional Stroop task
including math-related and neutral words. Participants in the two groups did not
differ in trait anxiety or depression. We found that the HMA group showed slower
response times to math-related words than to neutral words, as well as a greater
attentional bias (math-related - neutral difference score) than the LMA one,
which constitutes the first demonstration of an attentional bias toward math
related words in HMA individuals.
PMID- 26539138
TI - Comprehension and engagement in survey interviews with virtual agents.
AB - This study investigates how an onscreen virtual agent's dialog capability and
facial animation affect survey respondents' comprehension and engagement in "face
to-face" interviews, using questions from US government surveys whose results
have far-reaching impact on national policies. In the study, 73 laboratory
participants were randomly assigned to respond in one of four interviewing
conditions, in which the virtual agent had either high or low dialog capability
(implemented through Wizard of Oz) and high or low facial animation, based on
motion capture from a human interviewer. Respondents, whose faces were visible to
the Wizard (and videorecorded) during the interviews, answered 12 questions about
housing, employment, and purchases on the basis of fictional scenarios designed
to allow measurement of comprehension accuracy, defined as the fit between
responses and US government definitions. Respondents answered more accurately
with the high-dialog-capability agents, requesting clarification more often
particularly for ambiguous scenarios; and they generally treated the high-dialog
capability interviewers more socially, looking at the interviewer more and
judging high-dialog-capability agents as more personal and less distant. Greater
interviewer facial animation did not affect response accuracy, but it led to more
displays of engagement-acknowledgments (verbal and visual) and smiles-and to the
virtual interviewer's being rated as less natural. The pattern of results
suggests that a virtual agent's dialog capability and facial animation
differently affect survey respondents' experience of interviews, behavioral
displays, and comprehension, and thus the accuracy of their responses. The
pattern of results also suggests design considerations for building survey
interviewing agents, which may differ depending on the kinds of survey questions
(sensitive or not) that are asked.
PMID- 26539139
TI - Quantum information, cognition, and music.
AB - Parallelism represents an essential aspect of human mind/brain activities. One
can recognize some common features between psychological parallelism and the
characteristic parallel structures that arise in quantum theory and in quantum
computation. The article is devoted to a discussion of the following questions: a
comparison between classical probabilistic Turing machines and quantum Turing
machines.possible applications of the quantum computational semantics to
cognitive problems.parallelism in music.
PMID- 26539140
TI - Measuring creative imagery abilities.
AB - Over the decades, creativity and imagination research developed in parallel, but
they surprisingly rarely intersected. This paper introduces a new theoretical
model of creative visual imagination, which bridges creativity and imagination
research, as well as presents a new psychometric instrument, called the Test of
Creative Imagery Abilities (TCIA), developed to measure creative imagery
abilities understood in accordance with this model. Creative imagination is
understood as constituted by three interrelated components: vividness (the
ability to create images characterized by a high level of complexity and detail),
originality (the ability to produce unique imagery), and transformativeness (the
ability to control imagery). TCIA enables valid and reliable measurement of these
three groups of abilities, yielding the general score of imagery abilities and at
the same time making profile analysis possible. We present the results of nine
studies on a total sample of more than 1700 participants, showing the factor
structure of TCIA using confirmatory factor analysis, as well as provide data
confirming this instrument's validity and reliability. The availability of TCIA
for interested researchers may result in new insights and possibilities of
integrating the fields of creativity and imagination science.
PMID- 26539141
TI - Emotion has no impact on attention in a change detection flicker task.
AB - Past research provides conflicting findings regarding the influence of emotion on
visual attention. Early studies suggested a broadening of attentional resources
in relation to positive mood. However, more recent evidence indicates that
positive emotions may not have a beneficial impact on attention, and that the
relationship between emotion and attention may be mitigated by factors such as
task demand or stimulus valence. The current study explored the effect of emotion
on attention using the change detection flicker paradigm. Participants were
induced into positive, neutral, and negative mood states and then completed a
change detection task. A series of neutral scenes were presented and participants
had to identify the location of a disappearing item in each scene. The change was
made to the center or the periphery of each scene and it was predicted that
peripheral changes would be detected quicker in the positive mood condition and
slower in the negative mood condition, compared to the neutral condition. In
contrast to previous findings emotion had no influence on attention and whilst
central changes were detected faster than peripheral changes, change blindness
was not affected by mood. The findings suggest that the relationship between
emotion and visual attention is influenced by the characteristics of a task, and
any beneficial impact of positive emotion may be related to processing style
rather than a "broadening" of attentional resources.
PMID- 26539142
TI - Many faces, one rule: the role of perceptual expertise in infants' sequential
rule learning.
AB - Rule learning is a mechanism that allows infants to recognize and generalize rule
like patterns, such as ABB or ABA. Although infants are better at learning rules
from speech vs. non-speech, rule learning can be applied also to frequently
experienced visual stimuli, suggesting that perceptual expertise with material to
be learned is critical in enhancing rule learning abilities. Yet infants' rule
learning has never been investigated using one of the most commonly experienced
visual stimulus category available in infants' environment, i.e., faces. Here, we
investigate 7-month-olds' ability to extract rule-like patterns from sequences
composed of upright faces and compared their results to those of infants who
viewed inverted faces, which presumably are encountered far less frequently than
upright faces. Infants were habituated with face triads in either an ABA or ABB
condition followed by a test phase with ABA and ABB triads composed of faces that
differed from those showed during habituation. When upright faces were used,
infants generalized the pattern presented during habituation to include the new
face identities showed during testing, but when inverted faces were presented,
infants failed to extract the rule. This finding supports the idea that
perceptual expertise can modulate 7-month-olds' abilities to detect rule-like
patterns.
PMID- 26539143
TI - Child abuse predicts adult PTSD symptoms among individuals diagnosed with
intellectual disabilities.
AB - Prior research has shown that people with intellectual disabilities (ID) are more
likely to experience child abuse as well as other forms of traumatic or negative
events later in life compared to the general population. Little is known however,
about the association of these experiences with adult mental health in
intellectually disabled individuals. The present study aimed to assess whether
child abuse in families and institutions as well as other types of adverse life
events, were associated with current posttraumatic stress disorder (PTSD) and
depression symptoms in individuals with ID. We conducted clinical interviews
which included standardized self-report measures for childhood abuse, PTSD, and
depression in an unselected sample of 56 persons with a medical diagnosis of ID
who were attending a specialized welfare center. The frequency of traumatic
experiences was very high, with physical and emotional child abuse being the most
common trauma types. 87% of the persons reported at least one aversive experience
on the family violence spectrum, and 50% of the sample reported a violent
physical attack later in adulthood. 25% were diagnosed with PTSD and almost 27%
had a critical score on the depression scale. Physical and emotional child abuse
was positively correlated with the amount of institutional violence and the
number of general traumatic events, whereas childhood sexual abuse was related to
the experience of intimate partner violence in adult life. A linear regression
model revealed child abuse in the family to be the only significant independent
predictor of PTSD symptom severity. The current findings underscore the central
role of child maltreatment in the increased risk of further victimization and in
the development of mental health problems in adulthood in intellectually disabled
individuals. Our data have important clinical implications and demonstrate the
need for targeted prevention and intervention programs that are tailored to the
specific needs of children and adults with intellectual disability.
PMID- 26539144
TI - Language and other artifacts: socio-cultural dynamics of niche construction.
AB - Niche construction theory is a relatively new approach in evolutionary biology
that seeks to integrate an ecological dimension into the Darwinian theory of
evolution by natural selection. It is regarded by many evolutionary biologists as
providing a significant revision of the Neo-Darwinian modern synthesis that
unified Darwin's theory of natural and sexual selection with 20th century
population genetics. Niche construction theory has been invoked as a processual
mediator of social cognitive evolution and of the emergence and evolution of
language. I argue that language itself can be considered as a biocultural niche
and evolutionary artifact. I provide both a general analysis of the cognitive and
semiotic status of artifacts, and a formal analysis of language as a social and
semiotic institution, based upon a distinction between the fundamental semiotic
relations of "counting as" and "standing for." I explore the consequences for
theories of language and language learning of viewing language as a biocultural
niche. I suggest that not only do niches mediate organism-organism interactions,
but also that organisms mediate niche-niche interactions in ways that affect
evolutionary processes, with the evolution of human infancy and childhood as a
key example. I argue that language as a social and semiotic system is not only
grounded in embodied engagements with the material and social-interactional
world, but also grounds a sub-class of artifacts of particular significance in
the cultural history of human cognition. Symbolic cognitive artifacts materially
and semiotically mediate human cognition, and are not merely informational
repositories, but co-agentively constitutive of culturally and historically
emergent cognitive domains. I provide examples of the constitutive cognitive role
of symbolic cognitive artifacts drawn from my research with my colleagues on
cultural and linguistic conceptualizations of time, and their cultural
variability. I conclude by reflecting on the philosophical and social
implications of understanding artifacts co-agentively.
PMID- 26539145
TI - Attention, working memory, and phenomenal experience of WM content: memory levels
determined by different types of top-down modulation.
AB - What is the role of top-down attentional modulation in consciously accessing
working memory (WM) content? In influential WM models, information can exist in
different states, determined by allocation of attention; placing the original
memory representation in the center of focused attention gives rise to conscious
access. Here we discuss various lines of evidence indicating that such
attentional modulation is not sufficient for memory content to be phenomenally
experienced. We propose that, in addition to attentional modulation of the memory
representation, another type of top-down modulation is required: suppression of
all incoming visual information, via inhibition of early visual cortex. In this
view, there are three distinct memory levels, as a function of the top-down
control associated with them: (1) Nonattended, nonconscious associated with no
attentional modulation; (2) attended, phenomenally nonconscious memory,
associated with attentional enhancement of the actual memory trace; (3) attended,
phenomenally conscious memory content, associated with enhancement of the memory
trace and top-down suppression of all incoming visual input.
PMID- 26539146
TI - Reappraisal writing relieves social anxiety and may be accompanied by changes in
frontal alpha asymmetry.
AB - It is widely reported that expressive writing can improve mental and physical
health. However, to date, the neural correlates of expressive writing have not
been reported. The current study examined the neural electrical correlates of
expressive writing in a reappraisal approach. Three groups of participants were
required to give a public speech. Before speaking, the reappraisal writing group
was asked to write about the current stressful task in a reappraisal manner. The
irrelevant writing group was asked to write about their weekly plan, and the non
writing group did not write anything. It was found that following the
experimental writing manipulation, both reappraisal and irrelevant writing
conditions decreased self-reported anxiety levels. But when re-exposed to the
stressful situation, participants in the irrelevant writing group showed
increased anxiety levels, while anxiety levels remained lower in the reappraisal
group. During the experimental writing manipulation period, participants in the
reappraisal group had lower frontal alpha asymmetry scores than those in the
irrelevant writing group. However, following re-exposure to stress, participants
in the reappraisal group showed higher frontal alpha asymmetry scores than those
in the irrelevant writing group. Self-reported anxiety and frontal alpha
asymmetry of the non-writing condition did not change significantly across these
different stages. It is noteworthy that expressive writing in a reappraisal style
seems not to be a fast-acting treatment but may instead take effect in the long
run.
PMID- 26539147
TI - Good is up-spatial metaphors in action observation.
AB - Positive objects or actions are associated with physical highness, whereas
negative objects or actions are related to physical lowness. Previous research
suggests that metaphorical connection ("good is up" or "bad is down") between
spatial experience and evaluation of objects is grounded in actual experience
with the body. Prior studies investigated effects of spatial metaphors with
respect to verticality of either static objects or self-performed actions. By
presenting videos of object placements, the current three experiments combined
vertically-located stimuli with observation of vertically-directed actions. As
expected, participants' ratings of emotionally-neutral objects were
systematically influenced by the observed vertical positioning, that is, ratings
were more positive for objects that were observed being placed up as compared to
down. Moreover, effects were slightly more pronounced for "bad is down," because
only the observed downward, but not the upward, action led to different ratings
as compared to a medium-positioned action. Last, some ratings were even affected
by observing only the upward/downward action, without seeing the final vertical
placement of the object. Thus, both, a combination of observing a vertically
directed action and seeing a vertically-located object, and observing a
vertically-directed action alone, affected participants' evaluation of emotional
valence of the involved object. The present findings expand the relevance of
spatial metaphors to action observation, thereby giving new impetus to embodied
cognition research.
PMID- 26539148
TI - Does compulsive behavior in Anorexia Nervosa resemble an addiction? A qualitative
investigation.
AB - The characteristic relentless self-starvation behavior seen in Anorexia Nervosa
(AN) has been described as evidence of compulsivity, with increasing suggestion
of parallels with addictive behavior. This study used a thematic qualitative
analysis to investigate the parallels between compulsive behavior in AN and
Substance Use Disorders (SUD). Forty individuals currently suffering from AN
completed an online questionnaire reflecting on their experience of compulsive
behavior in AN. Eight main themes emerged from thematic qualitative analysis;
compulsivity as central to AN, impaired control, escalating compulsions,
emotional triggers, negative reactions, detrimental continuation of behavior,
functional impairment, and role in recovery. These results suggested that
individuals with AN view the compulsive nature of their behavior as central to
the maintenance of their disorder, and as a significant barrier to recovery. The
themes that emerged also showed parallels with the DSM-V criteria for SUDs,
mapping onto the four groups of criteria (impaired control, social impairment,
risky use of substance, pharmacological criteria). These results emphasize the
need for further research to explore the possible parallels in behavioral and
neural underpinnings of compulsivity in AN and SUDs, which may inform novel
treatment avenues for AN.
PMID- 26539149
TI - The segment-to-frame association in word reading: early effects of the
interaction between segmental and suprasegmental information.
AB - In four reading aloud experiments we investigated the operations occurring at the
level of the phonological buffer by manipulating stress and phoneme information.
In all experiments we adopted a masked priming paradigm with three-syllable
Italian word targets. Experiments 1 and 2 tested the effect of pure segmental
(e.g., fe%%%% - FEcola) and pure suprasegmental (CInema - FEcola) overlap,
respectively. Experiments 3 and 4 tested the joint manipulation of segmental and
suprasegmental information, by using prime-target pairs that shared the first
syllable and did or did not share their stress pattern (e.g., FEgato - FEcola vs.
feNIce - FEcola). The results showed that both segmental and suprasegmental
primes affect reading at an abstract phonological level. Moreover, the joint
manipulation of stress and phonemes showed an asymmetric pattern for different
stress patterns, suggesting that the phonemic and the stress systems address the
articulation planning through a process that starts as soon as the relevant
information about the to-be-planned unit is active.
PMID- 26539150
TI - The contribution of individual psychological resilience in determining the
professional quality of life of Australian nurses.
AB - Research Topic: The aim of this study was to determine the relative contribution
of trait negative affect and individual psychological resilience in explaining
the professional quality of life of nurses. MATERIALS AND METHODS: One thousand,
seven hundred and forty-three Australian nurses from the public, private, and
aged care sectors completed an online Qualtrics survey. The survey collected
demographic data as well as measures of depression, anxiety and stress, trait
negative affect, resilience, and professional quality of life. RESULTS:
Significant positive relationships were observed between anxiety, depression and
stress, trait negative affectivity, burnout, and secondary traumatic stress
(compassion fatigue). Significant negative relationships were observed between
each of the aforementioned variables and resilience and compassion satisfaction
(CS). RESULTS of mediated regression analysis indicated that resilience partially
mediates the relationship between trait negative affect and CS. CONCLUSION:
RESULTS confirm the importance of both trait negative affect and resilience in
explaining positive aspects of professional quality of life. Importantly,
resilience was confirmed as a key variable impacting levels of CS and thus a
potentially important variable to target in interventions aimed at improving
nurse's professional quality of life.
PMID- 26539151
TI - Language control is not a one-size-fits-all languages process: evidence from
simultaneous interpretation students and the n-2 repetition cost.
AB - Simultaneous interpretation is an impressive cognitive feat which necessitates
the simultaneous use of two languages and therefore begs the question: how is
language management accomplished during interpretation? One possibility is that
both languages are maintained active and inhibitory control is reduced. To
examine whether inhibitory control is reduced after experience with
interpretation, students with varying experience were assessed on a three
language switching paradigm. This paradigm provides an empirical measure of the
inhibition applied to abandoned languages, the n-2 repetition cost. The groups
showed different patterns of n-2 repetition costs across the three languages.
These differences, however, were not connected to experience with interpretation.
Instead, they may be due to other language characteristics. Specifically, the L2
n-2 repetition cost negatively correlated with self-rated oral L2 proficiency,
suggesting that language proficiency may affect the use of inhibitory control.
The differences seen in the L1 n-2 repetition cost, alternatively, may be due to
the differing predominant interactional contexts of the groups. These results
suggest that language control may be more complex than previously thought, with
different mechanisms used for different languages. Further, these data represent
the first use of the n-2 repetition cost as a measure to compare language control
between groups.
PMID- 26539152
TI - Are gifted adolescents more satisfied with their lives than their non-gifted
peers?
AB - Studies investigating the life satisfaction of intellectually gifted and non
gifted students are scarce and often suffer from methodological shortcomings. We
examined the life satisfaction of gifted and non-gifted adolescents using a
rather unselected sample of N = 655 German high-school students (n = 75 gifted),
adequate comparison groups of non-gifted students, and a clear definition of
giftedness (general intelligence g > 2 SD above the mean). There was no
difference in life satisfaction between gifted and non-gifted adolescents (d <
|0.1|). Girls reported somewhat lower life satisfaction scores than boys (d =
0.24). However, this result was not specific to giftedness but was instead found
across the entire sample. Thus, gifted girls were not found to be especially
unsatisfied with their lives. Our findings support previous research showing that
giftedness is not a risk factor for impaired psycho-social well-being of boys or
girls.
PMID- 26539153
TI - Corrigendum: Iconicity in the lab: a review of behavioral, developmental, and
neuroimaging research into sound-symbolism.
AB - [This corrects the article on p. 1246 in vol. 6, PMID: 26379581.].
PMID- 26539154
TI - Parental brain: cerebral areas activated by infant cries and faces. A comparison
between different populations of parents and not.
AB - Literature about parenting traditionally focused on caring behaviors and parental
representations. Nowadays, an innovative line of research, interested in
evaluating the neural areas and hormones implicated in the nurturing and
caregiving responses, has developed. The only way to permit a newborn to survive
and grow up is to respond to his needs and in order to succeed it is necessary,
first of all, that the adults around him understand what his needs are. That is
why adults' capacity of taking care of infants cannot disregard from some
biological mechanisms, which allow them to be more responsive to the progeny and
to infants in general. Many researches have proved that exist specific neural
basis activating in response to infant evolutionary stimuli, such as infant cries
and infant emotional facial expression. There is a sort of innate predisposition
in human adults to respond to infants' signals, in order to satisfy their need
and allow them to survive and become young adults capable of taking care of
themselves. This article focuses on research that has investigated, in the last
decade, the neural circuits underlying parental behavioral responses. Moreover,
the paper compares the results of those studies that investigated the neural
responses to infant stimuli under different conditions: familiar versus unknown
children, parents versus non-parents and normative versus clinical samples
(depression, addiction, adolescence, and PTSD).
PMID- 26539156
TI - Editorial: Balloon and Stent for Ischemic and Hemorrhagic Stroke: A New Trend for
Stroke Prevention and Management.
PMID- 26539155
TI - Exploring Music-Based Rehabilitation for Parkinsonism through Embodied Cognitive
Science.
AB - Recent embodied approaches in cognitive sciences emphasize the constitutive roles
of bodies and environment in driving cognitive processes. Cognition is thus seen
as a distributed system based on the continuous interaction of bodies, brains,
and environment. These categories, moreover, do not relate only causally, through
a sequential input-output network of computations; rather, they are dynamically
enfolded in each other, being mutually implemented by the concrete patterns of
actions adopted by the cognitive system. However, while this claim has been
widely discussed across various disciplines, its relevance and potential
beneficial applications for music therapy remain largely unexplored. With this in
mind, we provide here an overview of the embodied approaches to cognition,
discussing their main tenets through the lenses of music therapy. In doing so, we
question established methodological and theoretical paradigms and identify
possible novel strategies for intervention. In particular, we refer to the music
based rehabilitative protocols adopted for Parkinson's disease patients. Indeed,
in this context, it has recently been observed that music therapy not only
affects movement-related skills but that it also contributes to stabilizing
physiological functions and improving socio-affective behaviors. We argue that
these phenomena involve previously unconsidered aspects of cognition and (motor)
behavior, which are rooted in the action-perception cycle characterizing the
whole living system.
PMID- 26539157
TI - A New Theory to Explain the Underlying Pathogenetic Mechanism of Sudden Infant
Death Syndrome.
AB - The author, on the basis of numerous studies on the neuropathology of SIDS,
performed on a very wide set of cases, first highlights the neuronal centers of
the human brainstem involved in breathing control in perinatal life, with the
pontine Kolliker-Fuse nucleus (KFN) as main coordinator. What emerges from this
analysis is that the prenatal respiratory movements differ from those post
natally in two respects: (1) they are episodic, only aimed at the lung
development and (2) they are abolished by hypoxia, not being of vital importance
in utero, mainly to limit the consumption of oxygen. Then, as this fetal
inhibitory reflex represents an important defense expedient, the author proposes
a new original interpretation of the pathogenetic mechanism leading to SIDS.
Infants, in a critical moment of the autonomic control development, in hypoxic
conditions could awaken the reflex left over from fetal life and arrest
breathing, as he did in similar situations in prenatal life, rather than promote
the hyperventilation usually occurring to restore the normal concentration of
oxygen. This behaviour obviously leads to a fatal outcome. This hypothesis is
supported by immunohistochemical results showing in high percentage of SIDS
victims, and not in age-matched infant controls, neurochemical alterations of the
Kolliker-Fuse neurons, potentially indicative of their inactivation. The new
explanation of SIDS blames a sort of auto-inhibition of the KFN functionality,
wrongly arisen with the same protective purpose to preserve the life in utero, as
trigger of the sudden infant death.
PMID- 26539158
TI - The Complexity of Biomechanics Causing Primary Blast-Induced Traumatic Brain
Injury: A Review of Potential Mechanisms.
AB - Primary blast-induced traumatic brain injury (bTBI) is a prevalent battlefield
injury in recent conflicts, yet biomechanical mechanisms of bTBI remain unclear.
Elucidating specific biomechanical mechanisms is essential to developing animal
models for testing candidate therapies and for improving protective equipment.
Three hypothetical mechanisms of primary bTBI have received the most attention.
Because translational and rotational head accelerations are primary contributors
to TBI from non-penetrating blunt force head trauma, the acceleration hypothesis
suggests that blast-induced head accelerations may cause bTBI. The hypothesis of
direct cranial transmission suggests that a pressure transient traverses the
skull into the brain and directly injures brain tissue. The thoracic hypothesis
of bTBI suggests that some combination of a pressure transient reaching the brain
via the thorax and a vagally mediated reflex result in bTBI. These three
mechanisms may not be mutually exclusive, and quantifying exposure thresholds
(for blasts of a given duration) is essential for determining which mechanisms
may be contributing for a level of blast exposure. Progress has been hindered by
experimental designs, which do not effectively expose animal models to a single
mechanism and by over-reliance on poorly validated computational models. The path
forward should be predictive validation of computational models by quantitative
confirmation with blast experiments in animal models, human cadavers, and
biofidelic human surrogates over a range of relevant blast magnitudes and
durations coupled with experimental designs, which isolate a single injury
mechanism.
PMID- 26539159
TI - The Quest to Model Chronic Traumatic Encephalopathy: A Multiple Model and Injury
Paradigm Experience.
AB - Chronic neurodegeneration following a history of neurotrauma is frequently
associated with neuropsychiatric and cognitive symptoms. In order to enhance
understanding about the underlying pathophysiology linking neurotrauma to
neurodegeneration, a multi-model preclinical approach must be established to
account for the different injury paradigms and pathophysiologic mechanisms. We
investigated the development of tau pathology and behavioral changes using a
multi-model and multi-institutional approach, comparing the preclinical results
to tauopathy patterns seen in post-mortem human samples from athletes diagnosed
with chronic traumatic encephalopathy (CTE). We utilized a scaled and validated
blast-induced traumatic brain injury model in rats and a modified pneumatic
closed-head impact model in mice. Tau hyperphosphorylation was evaluated by
western blot and immunohistochemistry. Elevated-plus maze and Morris water maze
were employed to measure impulsive-like behavior and cognitive deficits
respectively. Animals exposed to single blast (~50 PSI reflected peak
overpressure) exhibited elevated AT8 immunoreactivity in the contralateral
hippocampus at 1 month compared to controls (q = 3.96, p < 0.05). Animals exposed
to repeat blast (six blasts over 2 weeks) had increased AT8 (q = 8.12, p < 0.001)
and AT270 (q = 4.03, p < 0.05) in the contralateral hippocampus at 1 month post
injury compared to controls. In the modified controlled closed-head impact mouse
model, no significant difference in AT8 was seen at 7 days, however a significant
elevation was detected at 1 month following injury in the ipsilateral hippocampus
compared to control (q = 4.34, p < 0.05). Elevated-plus maze data revealed that
rats exposed to single blast (q = 3.53, p < 0.05) and repeat blast (q = 4.21, p <
0.05) spent more time in seconds exploring the open arms compared to controls.
Morris water maze testing revealed a significant difference between groups in
acquisition times on days 22-27. During the probe trial, single blast (t = 6.44,
p < 0.05) and repeat blast (t = 8.00, p < 0.05) rats spent less time in seconds
exploring where the platform had been located compared to controls. This study
provides a multi-model example of replicating tau and behavioral changes in
animals and provides a foundation for future investigation of CTE disease
pathophysiology and therapeutic development.
PMID- 26539160
TI - New Frontier in Glycoprotein Hormones and Their Receptors Structure-Function.
AB - Last two decades of structure-function studies performed in numerous laboratories
provided substantial progress in understanding basic science, physiological,
pathophysiological, pharmacological, and comparative aspects of glycoprotein
hormones (GPHs) and their cognate receptors. Multiple concepts and models
developed based on experimental data in the past stood the test of time and have
been, at least in part, confirmed and/or remained compatible with the new
structures resolved at the atomic level. Major advances in understanding of the
ligand-receptor relationships are heralding the dawn of a new era for GPHs and
their receptors, although many basic questions still remain unanswered. This
article examines retrospectively several basic science aspects of GPH super
agonists and related "biosuperiors" in a broader context of the advances in the
ligand-receptor structure-function relationships and new mechanistic models
generated based on the structure elucidation. Due to selective focus of my
comments and perspectives in certain parts, the reader is directed to the most
relevant publications and reviews in the field for more comprehensive analyses.
PMID- 26539162
TI - Hippocampal Functioning and Verbal Associative Memory in Adolescents with
Congenital Hypothyroidism.
AB - Thyroid hormone (TH) is essential for normal development of the hippocampus,
which is critical for memory and particularly for learning and recalling
associations between visual and verbal stimuli. Adolescents with congenital
hypothyroidism (CH), who lack TH in late gestation and early life, demonstrate
weak verbal recall abilities, reduced hippocampal volumes, and abnormal
hippocampal functioning for visually associated material. However, it is not
known if their hippocampus functions abnormally when remembering verbal
associations. Our objective was to assess hippocampal functioning in CH using
functional magnetic resonance imaging (fMRI). Fourteen adolescents with CH and 14
typically developing controls (TDC) were studied. Participants studied pairs of
words and then, during fMRI acquisition, made two types of recognition decisions:
in one they judged whether the pairs were the same as when seen originally and in
the other, whether individual words were seen before regardless of pairing.
Hippocampal activation was greater for pairs than items in both groups, but this
difference was only significant in TDC. When we directly compared the groups, the
right anterior hippocampus was the primary region in which the TDC and CH groups
differed for this pair memory effect. Results signify that adolescents with CH
show abnormal hippocampal functioning during verbal memory processing.
PMID- 26539161
TI - A Multi-Oscillatory Circadian System Times Female Reproduction.
AB - Rhythms in female reproduction are critical to insure that timing of ovulation
coincides with oocyte maturation and optimal sexual arousal. This fine tuning of
female reproduction involves both the estradiol feedback as an indicator of
oocyte maturation, and the master circadian clock of the suprachiasmatic nuclei
(SCN) as an indicator of the time of the day. Herein, we are providing an
overview of the state of knowledge regarding the differential inhibitory and
stimulatory effects of estradiol at different stages of the reproductive axis,
and the mechanisms through which the two main neurotransmitters of the SCN,
arginine vasopressin, and vasoactive intestinal peptide, convey daily time cues
to the reproductive axis. In addition, we will report the most recent findings on
the putative functions of peripheral clocks located throughout the reproductive
axis [kisspeptin (Kp) neurons, gonadotropin-releasing hormone neurons,
gonadotropic cells, the ovary, and the uterus]. This review will point to the
critical position of the Kp neurons of the anteroventral periventricular nucleus,
which integrate both the stimulatory estradiol signal, and the daily arginine
vasopressinergic signal, while displaying a circadian clock. Finally, given the
critical role of the light/dark cycle in the synchronization of female
reproduction, we will discuss the impact of circadian disruptions observed during
shift-work conditions on female reproductive performance and fertility in both
animal model and humans.
PMID- 26539164
TI - The inactivation of RNase G reduces the Stenotrophomonas maltophilia
susceptibility to quinolones by triggering the heat shock response.
AB - Quinolone resistance is usually due to mutations in the genes encoding bacterial
topoisomerases. However, different reports have shown that neither clinical
quinolone resistant isolates nor in vitro obtained Stenotrophomonas maltophilia
mutants present mutations in such genes. The mechanisms so far described consist
on efflux pumps' overexpression. Our objective is to get information on novel
mechanisms of S. maltophilia quinolone resistance. For this purpose, a transposon
insertion mutant library was obtained in S. maltophilia D457. One mutant
presenting reduced susceptibility to nalidixic acid was selected. Inverse PCR
showed that the inactivated gene encodes RNase G. Complementation of the mutant
with wild-type RNase G allele restored the susceptibility to quinolones.
Transcriptomic and real-time RT-PCR analyses showed that several genes encoding
heat-shock response proteins were expressed at higher levels in the RNase
defective mutant than in the wild-type strain. In agreement with this situation,
heat-shock reduces the S. maltophilia susceptibility to quinolone. We can then
conclude that the inactivation of the RNase G reduces the susceptibility of S.
maltophilia to quinolones, most likely by regulating the expression of heat-shock
response genes. Heat-shock induces a transient phenotype of quinolone resistance
in S. maltophilia.
PMID- 26539165
TI - Transcriptional profiling of Vibrio parahaemolyticus exsA reveals a complex
activation network for type III secretion.
AB - Vibrio parahaemolyticus (Vp) is a marine halophilic bacterium that is commonly
associated with oysters and shrimp. Human consumption of contaminated shellfish
can result in Vp mediated gastroenteritis and severe diarrheal disease. Vp
encodes two type 3 secretion systems (T3SS-1 and T3SS2) that have been
functionally implicated in cytotoxicity and enterotoxicity respectively. In this
study, we profiled protein secretion and temporal promoter activities associated
with exsA and exsB gene expression. exsA is an AraC-like transcriptional
activator that is critical for activating multiple operons that encode T3SS-1
genes, whereas exsB is thought to encode an outer membrane pilotin component for
T3SS-1. The exsBA genetic locus has two predicted promoter elements. The
predicted exsB and exsA promoters were individually cloned upstream of luxCDABE
genes in reporter plasmid constructs allowing for in situ, real-time quantitative
light emission measurements under many growth conditions. Low calcium growth
conditions supported maximal exsB and exsA promoter activation. exsB promoter
activity exhibited high basal activity and resulted in an exsBA co-transcript.
Furthermore, a separate proximal exsA promoter showed initial low basal activity
yet eventually exceeded that of exsB and reached maximal levels after 2.5 h
corresponding to an entry into early log phase. exsA promoter activity was
significantly higher at 30 degrees C than 37 degrees C, which also coincided with
increased secretion levels of specific T3SS-1 effector proteins. Lastly,
bioinformatic analyses identified a putative expanded ExsA binding motif for
multiple transcriptional operons. These findings suggest a two wave model of Vp
T3SS-I induction that integrates two distinct promoter elements and environmental
signals into a complex ExsA activation framework.
PMID- 26539163
TI - Bioengineering Beige Adipose Tissue Therapeutics.
AB - Unlocking the therapeutic potential of brown/beige adipose tissue requires
technological advancements that enable the controlled expansion of this uniquely
thermogenic tissue. Transplantation of brown fat in small animal model systems
has confirmed the expectation that brown fat expansion could possibly provide a
novel therapeutic to combat obesity and related disorders. Expansion and/or
stimulation of uncoupling protein-1 (UCP1)-positive adipose tissues have
repeatedly demonstrated physiologically beneficial reductions in circulating
glucose and lipids. The recent discovery that brown adipose tissue (BAT)-derived
secreted factors positively alter whole body metabolism further expands potential
benefits of brown or beige/brite adipose expansion. Unfortunately, there are no
sources of transplantable BATs for human therapeutic purposes at this time.
Recent developments in bioengineering, including novel hyaluronic acid-based
hydrogels, have enabled non-immunogenic, functional tissue allografts that can be
used to generate large quantities of UCP1-positive adipose tissue. These
sophisticated tissue-engineering systems have provided the methodology to develop
metabolically active brown or beige/brite adipose tissue implants with the
potential to be used as a metabolic therapy. Unlike the pharmacological browning
of white adipose depots, implantation of bioengineered UCP1-positive adipose
tissues offers a spatially controlled therapeutic. Moving forward, new insights
into the mechanisms by which extracellular cues govern stem-cell differentiation
and progenitor cell recruitment may enable cell-free matrix implant approaches,
which generate a niche sufficient to recruit white adipose tissue-derived stem
cells and support their differentiation into functional beige/brite adipose
tissues. This review summarizes clinically relevant discoveries in tissue
engineering and biology leading toward the recent development of biomaterial
supported beige adipose tissue implants and their potential for the metabolic
therapies.
PMID- 26539166
TI - Diversity and distribution of Actinobacteria associated with reef coral Porites
lutea.
AB - Actinobacteria is a ubiquitous major group in coral holobiont. The diversity and
spatial and temporal distribution of actinobacteria have been rarely documented.
In this study, diversity of actinobacteria associated with mucus, tissue and
skeleton of Porites lutea and in the surrounding seawater were examined every 3
months for 1 year on Luhuitou fringing reef. The population structures of the P.
lutea-associated actinobacteria were analyzed using phylogenetic analysis of 16S
rRNA gene clone libraries, which demonstrated highly diverse actinobacteria
profiles in P. lutea. A total of 25 described families and 10 unnamed families
were determined in the populations, and 12 genera were firstly detected in
corals. The Actinobacteria diversity was significantly different between the P.
lutea and the surrounding seawater. Only 10 OTUs were shared by the seawater and
coral samples. Redundancy and hierarchical cluster analyses were performed to
analyze the correlation between the variations of actinobacteria population
within the divergent compartments of P. lutea, seasonal changes, and
environmental factors. The actinobacteria communities in the same coral
compartment tended to cluster together. Even so, an extremely small fraction of
OTUs was common in all three P. lutea compartments. Analysis of the relationship
between actinobacteria assemblages and the environmental parameters showed that
several genera were closely related to specific environmental factors. This study
highlights that coral-associated actinobacteria populations are highly diverse,
and spatially structured within P. lutea, and they are distinct from which in the
ambient seawater.
PMID- 26539169
TI - Discovery of novel small molecule modulators of Clavibacter michiganensis subsp.
michiganensis.
AB - Clavibacter michiganensis subsp. michiganensis (Cmm) is a Gram-positive seed
transmitted bacterial phytopathogen responsible for substantial economic losses
by adversely affecting tomato production worldwide. A high-throughput, cell-based
screen was adapted to identify novel small molecule growth inhibitors to serve as
leads for future bactericide development. A library of 4,182 compounds known to
be bioactive against Saccharomyces cerevisiae was selected for primary screening
against Cmm wild-type strain C290 for whole-cell growth inhibition. Four hundred
sixty-eight molecules (11.2% hit rate) were identified as bacteriocidal or
bacteriostatic against Cmm at 200 MUM. Seventy-seven candidates were selected
based on Golden Triangle analyses for secondary screening. Secondary screens
showed that several of these candidates were strain-selective. Several compounds
were inhibitory to multiple Cmm strains as well as Bacillus subtilis, but not to
Pseudomonas fluorescens, Mitsuaria sp., Lysobacter enzymogenes, Lactobacillus
rhamnosus, Bifidobacterium animalis, or Escherichia coli. Most of the compounds
were not phytotoxic and did not show overt host toxicity. Using a novel 96-well
bioluminescent Cmm seedling infection assay, we assessed effects of selected
compounds on pathogen infection. The 12 most potent novel molecules were
identified by compiling the scores from all secondary screens combined with the
reduction of pathogen infection in planta. When tested for ability to develop
resistance to the top-12 compounds, no resistant Cmm were recovered, suggesting
that the discovered compounds are unlikely to induce resistance. In conclusion,
here we report top-12 compounds that provide chemical scaffolds for future Cmm
specific bactericide development.
PMID- 26539168
TI - The out-of-the-delta hypothesis: dense human populations in low-lying river
deltas served as agents for the evolution of a deadly pathogen.
AB - Cholera is a diarrheal disease that has changed the history of mankind,
devastating the world with seven pandemics from 1817 to the present day. Although
there is little doubt in the causative agent of these pandemics being Vibrio
cholerae of the O1 serogroup, where, when, and how this pathogen emerged is not
well understood. V. cholerae is a ubiquitous coastal species that likely existed
for tens of thousands of years. However, the evolution of a strain capable of
causing a large-scale epidemic is likely more recent historically. Here, we
propose that the unique human and physical geography of low-lying river deltas
made it possible for an environmental bacterium to evolve into a deadly human
pathogen. Such areas are often densely populated and salt intrusion in drinking
water frequent. As V. cholerae is most abundant in brackish water, its favored
environment, it is likely that coastal inhabitants would regularly ingest the
bacterium and release it back in the environment. This creates a continuous
selection pressure for V. cholerae to adapt to life in the human gut.
PMID- 26539167
TI - Impact of cocaine abuse on HIV pathogenesis.
AB - Over 1.2 million people in the United States are infected with the human
immunodeficiency virus type 1 (HIV-1). Tremendous progress has been made over the
past three decades on many fronts in the prevention and treatment of HIV-1
disease. However, HIV-1 infection is incurable and antiretroviral drugs continue
to remain the only effective treatment option for HIV infected patients.
Unfortunately, only three out of ten HIV-1 infected individuals in the US have
the virus under control. Thus, majority of HIV-1 infected individuals in the US
are either unaware of their infection status or not connected/retained to care or
are non-adherent to antiretroviral therapy (ART). This national public health
crisis, as well as the ongoing global HIV/AIDS pandemic, is further exacerbated
by substance abuse, which serves as a powerful cofactor at every stage of
HIV/AIDS including transmission, diagnosis, pathogenesis, and treatment. Clinical
studies indicate that substance abuse may increase viral load, accelerate disease
progression and worsen AIDS-related mortality even among ART-adherent patients.
However, confirming a direct causal link between substance abuse and HIV/AIDS in
human patients remains a highly challenging endeavor. In this review we will
discuss the recent and past developments in clinical and basic science research
on the effects of cocaine abuse on HIV-1 pathogenesis.
PMID- 26539171
TI - Retraction: In silico 3D structure analysis accelerates the solution of a real
viral structure and antibodies docking mechanism.
AB - [This retracts the article on p. 387 in vol. 3, PMID: 23133439.].
PMID- 26539172
TI - Interactions of Dnd proteins involved in bacterial DNA phosphorothioate
modification.
AB - DNA phosphorothioation (PT) is the first discovered physiological DNA backbone
modification, in which a non-bridging oxygen atom of the phosphodiester bond is
replaced with a sulfur atom in Rp (rectus for plane) configuration. PT
modification is governed by a highly conserved gene cluster dndA/iscS-dndBCDE
that is widespread across bacterial and archaeal species. However, little is
known about how these proteins coordinately react with each other to perform
oxygen-sulfur swap. We here demonstrated that IscS, DndC, DndD and DndE form a
protein complex of which the molecular ratio for four proteins in the complex is
approximate 1:1:1:1. DndB here displayed little or weak affinity to the complex
and the constructs harboring dndACDE can confer the host in vivo PT modification.
Using co-purification and pull down strategy, we demonstrated that the four
proteins assemble into a pipeline in collinear to its gene organization, namely,
IscS binding to DndC, DndC binding to DndD, and DndD binding to DndE. Moreover,
weak interactions between DndE and IscS, DndE and DndC were also identified.
PMID- 26539173
TI - Upscale of recombinant alpha-L-rhamnosidase production by Pichia pastoris Mut(S)
strain.
AB - Pichia pastoris is currently one of the most preferred microorganisms for
recombinant enzyme production due to its efficient expression system. The
advantages include the production of high amounts of recombinant proteins
containing the appropriate posttranslational modifications and easy cultivation
conditions. alpha-L-Rhamnosidase is a biotechnologically important enzyme in food
and pharmaceutical industry, used for example in debittering of citrus fruit
juices, rhamnose pruning from naringin, or enhancement of wine aromas, creating a
demand for the production of an active and stable enzyme. The production of
recombinant alpha-L-rhamnosidase cloned in the Mut(S) strain of P. pastoris KM71H
was optimized. The encoding gene is located under the control of the AOX
promoter, which is induced by methanol whose concentration is instrumental for
these strain types. Fermentation was upscaled in bioreactors employing various
media and several methanol-feeding strategies. It was found that fed batch with
BSM media was more effective compared to BMMH (Buffered Methanol-complex Medium)
media due to lower cost and improved biomass formation. In BSM (Basal Salt
Medium) medium, the dry cell weight reached approximately 60 g/L, while in BMMH
it was only 8.3 g/L, without additional glycerol, which positively influenced the
amount of enzyme produced. New methanol feeding strategy, based on the level of
dissolved oxygen was developed in this study. This protocol that is entirely
independent on methanol monitoring was up scaled to a 19.5-L fermenter with 10-L
working volume with the productivity of 13.34 mgprot/L/h and specific activity of
alpha-L-rhamnosidase of 82 U/mg. The simplified fermentation protocol was
developed for easy and effective fermentation of P. pastoris Mut(S) based on
dissolved oxygen monitoring in the induction phase of an enzyme production.
PMID- 26539170
TI - Extracellular vesicles from infected cells: potential for direct pathogenesis.
AB - Infections that result in natural or manmade spread of lethal biological agents
are a concern and require national and focused preparedness. In this manuscript,
as part of an early diagnostics and pathogen treatment strategy, we have focused
on extracellular vesicles (EVs) that arise following infections. Although the
field of biodefense does not currently have a rich resource in EVs literature,
none the less, similar pathogens belonging to the more classical emerging and non
emerging diseases have been studied in their EV/exosomal contents and function.
These exosomes are formed in late endosomes and released from the cell membrane
in almost every cell type in vivo. These vesicles contain proteins, RNA, and
lipids from the cells they originate from and function in development, signal
transduction, cell survival, and transfer of infectious material. The current
review focuses on how different forms of infection exploit the exosomal pathway
and how exosomes can be exploited artificially to treat infection and disease and
potentially also be used as a source of vaccine. Virally-infected cells can
secrete viral as well as cellular proteins and RNA in exosomes, allowing viruses
to cause latent infection and spread of miRNA to nearby cells prior to a
subsequent infection. In addition to virally-infected host cells, bacteria,
protozoa, and fungi can all release small vesicles that contain pathogen
associated molecular patterns, regulating the neighboring uninfected cells.
Examples of exosomes from both virally and bacterially infected cells point
toward a re-programming network of pathways in the recipient cells. Finally, many
of these exosomes contain cytokines and miRNAs that in turn can effect gene
expression in the recipient cells through the classical toll-like receptor and
NFkappaB pathway. Therefore, although exosomes do not replicate as an independent
entity, they however facilitate movement of infectious material through tissues
and may be the cause of many pathologies seen in infected hosts.
PMID- 26539174
TI - Pyrosequencing of the bacteria associated with Platygyra carnosus corals with
skeletal growth anomalies reveals differences in bacterial community composition
in apparently healthy and diseased tissues.
AB - Corals are rapidly declining globally due to coral diseases. Skeletal growth
anomalies (SGA) or "coral tumors" are a group of coral diseases that affect coral
reefs worldwide, including Hong Kong waters in the Indo-Pacific region. To better
understand how bacterial communities may vary in corals with SGA, for the first
time, we examined the bacterial composition associated with the apparently
healthy and the diseased tissues of SGA-affected Platgyra carnosus using 16S
ribosomal rRNA gene pyrosequencing. Taxonomic analysis revealed Proteobacteria,
Bacteroidetes, Cyanobacteria, and Actinobacteria as the main phyla in both the
apparently healthy and the diseased tissues. A significant difference in the
bacterial community composition was observed between the two conditions at the
OTU level. Diseased tissues were associated with higher abundances of
Acidobacteria and Gemmatimonadetes, and a lower abundance of Spirochaetes.
Several OTUs belonging to Rhodobacteraceae, Rhizobiales, Gammaproteobacteria, and
Cytophaga-Flavobacterium-Bacteroidetes (CFB) were strongly associated with the
diseased tissues. These groups of bacteria may contain potential pathogens
involved with the development of SGA or opportunistic secondary or tertiary
colonizers that proliferated upon the health-compromised coral host. We suggest
that these bacterial groups to be further studied based on inoculation
experiments and testing of Koch's postulates in efforts to understand the
etiology and progression of SGA.
PMID- 26539175
TI - The evolution of early cellular systems viewed through the lens of biological
interactions.
AB - The minimal cell concept represents a pragmatic approach to the question of how
few genes are required to run a cell. This is a helpful way to build a parts
list, and has been more successful than attempts to deduce a minimal gene set for
life by inferring the gene repertoire of the last universal common ancestor, as
few genes trace back to this hypothetical ancestral state. However, the study of
minimal cellular systems is the study of biological outliers where, by practical
necessity, coevolutionary interactions are minimized or ignored. In this paper,
we consider the biological context from which minimal genomes have been removed.
For instance, some of the most reduced genomes are from endosymbionts and are the
result of coevolutionary interactions with a host; few such organisms are "free
living." As few, if any, biological systems exist in complete isolation, we
expect that, as with modern life, early biological systems were part of an
ecosystem, replete with organismal interactions. We favor refocusing discussions
of the evolution of cellular systems on processes rather than gene counts. We
therefore draw a distinction between a pragmatic minimal cell (an interesting
engineering problem), a distributed genome (a system resulting from an
evolutionary transition involving more than one cell) and the looser
coevolutionary interactions that are ubiquitous in ecosystems. Finally, we
consider the distributed genome and coevolutionary interactions between genomic
entities in the context of early evolution.
PMID- 26539176
TI - Wide distribution of carbapenem resistant Acinetobacter baumannii in burns
patients in Iran.
AB - Antimicrobial resistance in carbapenem non-susceptible Acinetobacter baumannii
(CNSAb) is a major public health concern globally. This study determined the
antibiotic resistance and molecular epidemiology of CNSAb isolates from a
referral burn center in Tehran, Iran. Sixty-nine CNSAb isolates were tested for
susceptibility to antimicrobial agents using the E test methodology. Multiple
locus variable number tandem repeat analysis (MLVA), Multilocus sequence typing
(MLST) and multiplex PCR were performed. PCR assays tested for ambler classes A,
B, and D beta-lactamases. Detection of ISAba1, characterization of integrons, and
biofilm formation were investigated. Fifty-three (77%) isolates revealed XDR
phenotypes. High prevalence of bla OXA-23-like (88%) and bla PER-1 (54%) were
detected. ISAba1 was detected upstream of bla ADC, bla OXA-23-like and bla OXA51
like genes in, 97, 42, and 26% of isolates, respectively. Thirty-one (45%)
isolates were assigned to international clone (IC) variants. MLVA identified 56
distinct types with six clusters and 53 singleton genotypes. Forty previously
known MLST sequence types forming 5 clonal complexes were identified. The Class 1
integron (class 1 integrons) gene was identified in 84% of the isolates. The most
prevalent (33%) cassette combination was aacA4-catB8-aadA1. The IC variants were
predominant in the A. baumannii lineage with the ability to form strong biofilms.
The XDR-CNSAb from burned patients in Iran is resistant to various
antimicrobials, including tigecycline. This study shows wide genetic diversity in
CNSAb. Integrating the new Iranian A. baumannii IC variants into the
epidemiologic clonal and susceptibility profile databases can help effective
global control measures against the XDR-CNSAb pandemic.
PMID- 26539177
TI - Interspecies interactions are an integral determinant of microbial community
dynamics.
AB - This study investigated the factors that determine the dynamics of bacterial
communities in a complex system using multidisciplinary methods. Since natural
and engineered microbial ecosystems are too complex to study, six types of
synthetic microbial ecosystems (SMEs) were constructed under chemostat conditions
with phenol as the sole carbon and energy source. Two to four phenol-degrading,
phylogenetically and physiologically different bacterial strains were used in
each SME. Phylogeny was based on the nucleotide sequence of 16S rRNA genes, while
physiologic traits were based on kinetic and growth parameters on phenol. Two
indices, J parameter and "interspecies interaction," were compared to predict
which strain would become dominant in an SME. The J parameter was calculated from
kinetic and growth parameters. On the other hand, "interspecies interaction," a
new index proposed in this study, was evaluated by measuring the specific growth
activity, which was determined on the basis of relative growth of a strain with
or without the supernatant prepared from other bacterial cultures. Population
densities of strains used in SMEs were enumerated by real-time quantitative PCR
(qPCR) targeting the gene encoding the large subunit of phenol hydroxylase and
were compared to predictions made from J parameter and interspecies interaction
calculations. In 4 of 6 SEMs tested the final dominant strain shown by real-time
qPCR analyses coincided with the strain predicted by both the J parameter and the
interspecies interaction. However, in SMEII-2 and SMEII-3 the final dominant
Variovorax strains coincided with prediction of the interspecies interaction but
not the J parameter. These results demonstrate that the effects of interspecies
interactions within microbial communities contribute to determining the dynamics
of the microbial ecosystem.
PMID- 26539178
TI - Responses of soil microeukaryotic communities to short-term fumigation-incubation
revealed by MiSeq amplicon sequencing.
AB - In soil microbiology, there is a "paradox" of soil organic carbon (SOC)
mineralization, which is that even though chloroform fumigation destroys majority
of the soil microbial biomass, SOC mineralization continues at the same rate as
in the non-fumigated soil during the incubation period. Soil microeukaryotes as
important SOC decomposers, however, their community-level responses to chloroform
fumigation are not well understood. Using the 18S rRNA gene amplicon sequencing,
we analyzed the composition, diversity, and C-metabolic functions of a grassland
soil and an arable soil microeukaryotic community in response to fumigation
followed by a 30-day incubation. The grassland and arable soil microeukaryotic
communities were dominated by the fungal Ascomycota (80.5-93.1% of the fungal
sequences), followed by the protistan Cercozoa and Apicomplexa. In the arable
soil fungal community, the predominance of the class Sordariomycetes was replaced
by the class Eurotiomycetes after fumigation at days 7 and 30 of the incubation.
Fumigation changed the microeukaryotic alpha-diversity in the grassland soil at
days 0 and 7, and beta-diversity in the arable soil at days 7 and 30. Network
analysis indicated that after fumigation fungi were important groups closely
related to other taxa. Most phylotypes (especially Sordariomycetes,
Dothideomycetes, Coccidia, and uncultured Chytridiomycota) were inhibited, and
only a few were positively stimulated by fumigation. Despite the inhibited
Sordariomycetes, the fumigated communities mainly consisted of Eurotiomycetes and
Sordariomycetes (21.9 and 36.5% relative frequency, respectively), which are able
to produce hydrolytic enzymes associated with SOC mineralization. Our study
suggests that fumigation not only decreases biomass size, but modulates the
composition and diversity of the soil microeukaryotic communities, which are
capable of driving SOC mineralization by release of hydrolytic enzymes during
short-term fumigation-incubation.
PMID- 26539179
TI - Modification of photosynthetic electron transport and amino acid levels by
overexpression of a circadian-related histidine kinase hik8 in Synechocystis sp.
PCC 6803.
AB - Cyanobacteria perform oxygenic photosynthesis, and the maintenance of
photosynthetic electron transport chains is indispensable to their survival in
various environmental conditions. Photosynthetic electron transport in
cyanobacteria can be studied through genetic analysis because of the natural
competence of cyanobacteria. We here show that a strain overexpressing hik8, a
histidine kinase gene related to the circadian clock, exhibits an altered
photosynthetic electron transport chain in the unicellular cyanobacterium
Synechocystis sp. PCC 6803. Respiratory activity was down-regulated under
nitrogen-replete conditions. Photosynthetic activity was slightly lower in the
hik8-overexpressing strain than in the wild-type after nitrogen depletion, and
the values of photosynthetic parameters were altered by hik8 overexpression under
nitrogen-replete and nitrogen-depleted conditions. Transcripts of genes encoding
Photosystem I and II were increased by hik8 overexpression under nitrogen-replete
conditions. Nitrogen starvation triggers increase in amino acids but the
magnitude of the increase in several amino acids was diminished by hik8
overexpression. These genetic data indicate that Hik8 regulates the
photosynthetic electron transport, which in turn alters primary metabolism during
nitrogen starvation in this cyanobacterium.
PMID- 26539180
TI - Membrane homeoviscous adaptation in the piezo-hyperthermophilic archaeon
Thermococcus barophilus.
AB - The archaeon Thermococcus barophilus, one of the most extreme members of
hyperthermophilic piezophiles known thus far, is able to grow at temperatures up
to 103 degrees C and pressures up to 80 MPa. We analyzed the membrane lipids of
T. barophilus by high performance liquid chromatography-mass spectrometry as a
function of pressure and temperature. In contrast to previous reports, we show
that under optimal growth conditions (40 MPa, 85 degrees C) the membrane spanning
tetraether lipid GDGT-0 (sometimes called caldarchaeol) is a major membrane lipid
of T. barophilus together with archaeol. Increasing pressure and decreasing
temperature lead to an increase of the proportion of archaeol. Reversely, a
higher proportion of GDGT-0 is observed under low pressure and high temperature
conditions. Noticeably, pressure and temperature fluctuations also impact the
level of unsaturation of apolar lipids having an irregular polyisoprenoid carbon
skeleton (unsaturated lycopane derivatives), suggesting a structural role for
these neutral lipids in the membrane of T. barophilus. Whether these apolar
lipids insert in the membrane or not remains to be addressed. However, our
results raise questions about the structure of the membrane in this archaeon and
other Archaea harboring a mixture of di- and tetraether lipids.
PMID- 26539182
TI - Mitigation of efflorescence of wallboard by means of bio-mineralization.
AB - Cement-based material is one of the most versatile and largest amounts of
building materials which can not only be used in load-bearing structure but also
be used as decoration materials, like brick, wallboard, and tile. However, white
calcium carbonate always be found on the surface of wallboard. This phenomenon is
generally called efflorescence, which has no damage to wallboard, but has
aesthetic impact. In this research, Bacillus mucilaginosus was pre-added to the
cement matrix to reduce the efflorescence of wallboard. Image processing,
thermogravimetric analysis and permeability test were used to characterize the
efflorescence degree of wallboard. The results showed that the bacterium captured
atmospheric CO2 by carbonic anhydrase and promoted the CO2to react with Ca(OH)2.
This process not only reduced the content of Ca(OH)2 but also improved the
compactness of wallboard. In addition, the maximal decrease of efflorescence area
of wallboard was gotten when the content of microbial was up to 4% of the mass of
cementitious material and the proportion of efflorescence area reduced from 32 +/
3 to 5 +/- 1% of the whole area of surface layer. At the same time, the values
of compressive and flexural strength were the highest and the surface layer of
wallboard was the most compact. The observed reduction of efflorescence was
indeed due to the effect of bio-mineralization. This promising method was noted
to be cheap, convenient, environment friendly, and which has the potential in
various practical applications.
PMID- 26539181
TI - T-cell activation or tolerization: the Yin and Yang of bacterial superantigens.
AB - Bacterial superantigens (SAg) are exotoxins from pathogens which interact with
innate and adaptive immune cells. The paradox that SAgs cause activation and
inactivation/anergy of T-cells was soon recognized. The structural and molecular
events following SAg binding to antigen presenting cells (APCs) followed by
crosslinking of T-cell receptors were characterized in detail. Activation,
cytokine burst and T-cell anergy have been described in vitro and in vivo. Later
it became clear that SAg-induced T-cell anergy is in part caused by SAg-dependent
activation of T-regulatory cells (Tregs). Although the main focus of analyses was
laid on T-cells, it was also shown that SAg binding to MHC class II molecules on
APCs induces a signal, which leads to activation and secretion of pro
inflammatory cytokines. Accordingly APCs are mandatory for T-cell activation. So
far it is not known, whether APCs play a role during SAg-triggered activation of
Tregs. We therefore tested whether in SAg (Streptococcal pyrogenic exotoxin A)
treated APCs an anti-inflammatory program is triggered in addition. We show here
that not only the anti-inflammatory cytokine IL-10 and the co-inhibitory surface
molecule PD-L1 (CD274) but also inhibitory effector systems like indoleamine 2,3
dioxygenase (IDO) or intracellular negative feedback loops (suppressor of
cytokine signaling molecules, SOCS) are induced by SAgs. Moreover, cyclosporine A
completely prevented induction of this program. We therefore propose that APCs
triggered by SAgs play a key role in T-cell activation as well as inactivation
and induction of Treg cells.
PMID- 26539183
TI - An endophytic fungus isolated from finger millet (Eleusine coracana) produces
anti-fungal natural products.
AB - Finger millet is an ancient African cereal crop, domesticated 7000 years ago in
Ethiopia, reaching India at 3000 BC. Finger millet is reported to be resistant to
various fungal pathogens including Fusarium sp. We hypothesized that finger
millet may host beneficial endophytes (plant-colonizing microbes) that contribute
to the antifungal activity. Here we report the first isolation of endophyte(s)
from finger millet. Five distinct fungal species were isolated from roots and
predicted taxonomically based on 18S rDNA sequencing. Extracts from three
putative endophytes inhibited growth of F. graminearum and three other pathogenic
Fusarium species. The most potent anti-Fusarium strain (WF4, predicted to be a
Phoma sp.) was confirmed to behave as an endophyte using pathogenicity and
confocal microscopy experiments. Bioassay-guided fractionation of the WF4 extract
identified four anti-fungal compounds, viridicatol, tenuazonic acid, alternariol,
and alternariol monomethyl ether. All the purified compounds caused dramatic
breakage of F. graminearum hyphae in vitro. These compounds have not previously
been reported to have anti-Fusarium activity. None of the compounds, except for
tenuazonic acid, have previously been reported to be produced by Phoma. We
conclude that the ancient, disease-tolerant crop, finger millet, is a novel
source of endophytic anti-fungal natural products. This paper suggests the value
of the crops grown by subsistence farmers as sources of endophytes and their
natural products. Application of these natural chemicals to solve real world
problems will require further validation.
PMID- 26539184
TI - Phylogenetic diversity of culturable fungi in the Heshang Cave, central China.
AB - Caves are nutrient-limited and dark subterranean ecosystems. To date, attention
has been focused on geological research of caves in China, whilst indigenous
microbial diversity has been insufficiently characterized. Here, we report the
fungal diversity in the pristine, oligotrophic, karst Heshang Cave, central
China, using a culture-dependent method coupled with the analysis of the fungal
rRNA-ITS gene sequences. A total of 194 isolates were obtained with six different
media from 14 sampling sites of sediments, weathered rocks, and bat guanos.
Phylogenetic analysis clustered the 194 sequenced isolates into 33 genera within
15 orders of three phyla, Ascomycota, Basidiomycota, and Zygomycota, indicating a
high degree of fungal diversity in the Heshang Cave. Notably, 16 out of the 36
fungal genera were also frequently observed in solution caves around the world
and 23 genera were previously found in carbonate cave, indicating potential
similarities among fungal communities in cave ecosystems. However, 10 genera in
this study were not reported previously in any solution caves, thus expanding our
knowledge about fungal diversity in cave ecosystems. Moreover, culturable fungal
diversity varied from one habitat to another within the cave, being the highest
in sediments, followed by weathered rocks and bat guanos as indicated by alpha
diversity indexes. At the genus level, Penicillium accounted for 40, 54, and 52%
in three habitats of sediments, weathered rocks, and bat guanos, respectively.
Trichoderma, Paecilomyces, and Aspergillus accounted for 9, 22, and 37% in the
above habitats, correspondingly. Despite of the dominance of Penicillium in all
samples, beta-diversity index indicated significant differences between each two
fungal communities in the three habitats in view of both the composition and
abundance. Our study is the first report on fungal communities in a natural
pristine solution cave system in central China and sheds light on fungal
diversity and functions in cave ecosystems.
PMID- 26539185
TI - An assessment on DNA microarray and sequence-based methods for the
characterization of methicillin-susceptible Staphylococcus aureus from Nigeria.
AB - Staphylococcus aureus is an important human pathogen causing nosocomial and
community-acquired infections worldwide. In the characterization of this
opportunistic pathogen, DNA microarray hybridization technique is used as an
alternative to sequence based genotyping to obtain a comprehensive assessment on
the virulence, resistance determinants, and population structure. The objective
of this study was to characterize a defined collection of S. aureus isolates from
Nigeria using the microarray technique, and to assess the extent that it
correlates with sequence-based genotyping methods. The clonal diversity and
genomic content of 52 methicillin-susceptible Staphylococcus aureus (MSSA) were
investigated by spa typing, MLST and DNA microarray hybridization. More than half
(55.8%) of these isolates were associated with clonal complexes (CCs) typically
associated with methicillin-resistant S. aureus (MRSA) clones i.e., CC1, CC5,
CC8, CC30, and CC45. Certain genes linked with virulence (hlgA and clfA) and
adherence (ebpS, fnbA, sspA, sspB, and sspP) were detected in all isolates. A
number of genes or gene clusters were associated with distinct clonal types. The
enterotoxin gene cluster (egc) was linked with CC5, CC25, CC30, CC45, and CC121,
enterotoxin H gene (seh) with CC1, exfoliative toxin D gene (etd) with CC25 and
CC80, and the epidermal cell differentiation inhibitor B gene (edinB) with CC25,
CC80, and CC152. The excellent agreement between data from DNA microarray and
MLST in the delineation of Nigerian MSSA isolates indicates that the microarray
technique is a useful tool to provide information on antibiotic resistance,
clonal diversity and virulence factors associated with infection and disease.
PMID- 26539186
TI - Sequential parametric optimization of methane production from different sources
of forest raw material.
AB - The increase in environmental problems and the shortage of fossil fuels have led
to the need for action in the development of sustainable and renewable fuels.
Methane is produced through anaerobic digestion of organic materials and is a
biofuel with very promising characteristics. The success in using methane as a
biofuel has resulted in the operation of several commercial-scale plants and the
need to exploit novel materials to be used. Forest biomass can serve as an
excellent candidate for use as raw material for anaerobic digestion. During this
work, both hardwood and softwood species-which are representative of the forests
of Sweden-were used for the production of methane. Initially, when untreated
forest materials were used for the anaerobic digestion, the yields obtained were
very low, even with the addition of enzymes, reaching a maximum of only 40 mL
CH4/g VS when birch was used. When hydrothermal pretreatment was applied, the
enzymatic digestibility improved up to 6.7 times relative to that without
pretreatment, and the yield of methane reached up to 254 mL CH4/g VS. Then the
effect of chemical/enzymatic detoxification was examined, where laccase treatment
improved the methane yield from the more harshly pretreated materials while it
had no effect on the more mildly pretreated material. Finally, addition of
cellulolytic enzymes during the digestion improved the methane yields from spruce
and pine, whereas for birch separate saccharification was more beneficial. To
achieve high yields in spruce 30 filter paper units (FPU)/g was necessary,
whereas 15 FPU/g was enough when pine and birch were used. During this work, the
highest methane yields obtained from pine and birch were 179.9 mL CH4/g VS and
304.8 mL CH4/g VS, respectively. For mildly and severely pretreated spruce, the
methane yields reached 259.4 mL CH4/g VS and 276.3 mL CH4/g VS, respectively. We
have shown that forest material can serve as raw material for efficient
production of methane. The initially low yields from the untreated materials were
significantly improved by the introduction of a hydrothermal pretreatment.
Moreover, enzymatic detoxification was beneficial, but mainly for severely
pretreated materials. Finally, enzymatic saccharification increased the methane
yields even further.
PMID- 26539187
TI - Heterologous xylose isomerase pathway and evolutionary engineering improve xylose
utilization in Saccharomyces cerevisiae.
AB - Xylose utilization is one key issue for the bioconversion of lignocelluloses. It
is a promising approach to engineering heterologous pathway for xylose
utilization in Saccharomyces cerevisiae. Here, we constructed a xylose-fermenting
yeast SyBE001 through combinatorial fine-tuning the expression of XylA and
endogenous XKS1. Additional overexpression of genes RKI1, RPE1, TKL1, and TAL1 in
the non-oxidative pentose phosphate pathway (PPP) in SyBE001 increased the xylose
consumption rate by 1.19-fold. By repetitive adaptation, the xylose utilization
rate was further increased by ~10-fold in the resultant strain SyBE003. Gene
expression analysis identified a variety of genes with significantly changed
expression in the PPP, glycolysis and the tricarboxylic acid cycle in SyBE003.
PMID- 26539188
TI - Molecular characterization of forest soil based Paenibacillus elgii and
optimization of various culture conditions for its improved antimicrobial
activity.
AB - Microorganisms have provided a bounty of bioactive secondary metabolites with
very exciting biological activities such as antibacterial, antifungal antiviral,
and anticancer, etc. The present study aims at the optimization of culture
conditions for improved antimicrobial production of Paenibacillus elgii obtained
from Wayanad forest of Western Ghats region of Kerala, India. A bacterial strain
isolated from the Western Ghats forest soil of Wayanad, Kerala, India was
identified as P. elgii by 16S rRNA gene sequencing. P. elgii recorded significant
board spectrum activity against all human and plant pathogenic microorganism
tested except Candida albicans. It has been well known that even minor variations
in the fermentation medium may impact not only the quantity of desired bioactive
metabolites but also the general metabolic profile of the producing
microorganisms. Thus, further studies were carried out to assess the impact of
medium components on the antimicrobial production of P. elgii and to optimize an
ideal fermentation medium to maximize its antimicrobial production. Out of three
media [nutrient broth (NA), Luria broth (LB) and Trypticase soy broth (TSB)] used
for fermentation, TSB medium recorded significant activity. Glucose and meat
peptone were identified as the best carbon and nitrogen sources, which
significantly affected the antibiotic production when supplemented with TSB
medium. Next the effect of various fermentation conditions such as temperature,
pH, and incubation time on the production of antimicrobial compounds was studied
on TSB + glucose + meat peptone and an initial pH of 7 and a temperature of 30
degrees C for 3 days were found to be optimum for maximum antimicrobial
production. The results indicate that medium composition in the fermentation
media along with cultural parameters plays a vital role in the enhanced
production of antimicrobial substances.
PMID- 26539189
TI - Anthropogenic impact on diazotrophic diversity in the mangrove rhizosphere
revealed by nifH pyrosequencing.
AB - Diazotrophs in the mangrove rhizosphere play a major role in providing new
nitrogen to the mangrove ecosystem and their composition and activity are
strongly influenced by anthropogenic activity and ecological conditions. In this
study, the diversity of the diazotroph communities in the rhizosphere sediment of
five tropical mangrove sites with different levels of pollution along the north
and south coastline of Singapore were studied by pyrosequencing of the nifH gene.
Bioinformatics analysis revealed that in all the studied locations, the
diazotroph communities comprised mainly of members of the diazotrophic cluster I
and cluster III. The detected cluster III diazotrophs, which were composed
entirely of sulfate-reducing bacteria, were more abundant in the less polluted
locations. The metabolic capacities of these diazotrophs indicate the potential
for bioremediation and resiliency of the ecosystem to anthropogenic impact. In
heavily polluted locations, the diazotrophic community structures were markedly
different and the diversity of species was significantly reduced when compared
with those in a pristine location. This, together with the increased abundance of
Marinobacterium, which is a bioindicator of pollution, suggests that
anthropogenic activity has a negative impact on the genetic diversity of
diazotrophs in the mangrove rhizosphere.
PMID- 26539190
TI - Inhibiting N-acyl-homoserine lactone synthesis and quenching Pseudomonas
quinolone quorum sensing to attenuate virulence.
AB - Bacteria sense their own population size, tune the expression of responding
genes, and behave accordingly to environmental stimuli by secreting signaling
molecules. This phenomenon is termed as quorum sensing (QS). By exogenously
manipulating the signal transduction bacterial population behaviors could be
controlled, which may be done through quorum quenching (QQ). QS related
regulatory networks have been proven their involvement in regulating many
virulence determinants in pathogenic bacteria in the course of infections.
Interfering with QS signaling system could be a novel strategy against bacterial
infections and therefore requires more understanding of their fundamental
mechanisms. Here we review the development of studies specifically on the
inhibition of production of N-acyl-homoserine lactone (AHL), a common
proteobacterial QS signal. The opportunistic pathogen, Pseudomonas aeruginosa,
equips the alkylquinolone (AQ)-mediated QS which also plays crucial roles in its
pathogenicity. The studies in QQ targeting on AQ are also discussed.
PMID- 26539191
TI - CD8(+)CD122(+) T-Cells: A Newly Emerging Regulator with Central Memory Cell
Phenotypes.
AB - CD8(+)CD122(+) T-cells have been traditionally described as antigen-specific
memory T-cells that respond to previously encountered antigens more quickly and
vigorously than their naive counterparts. However, mounting evidence has
demonstrated that murine CD8(+)CD122(+) T-cells exhibit a central memory
phenotype (CD44(high)CD62L(high)), regulate T cell homeostasis, and act as
regulatory T-cells (Treg) by suppressing both autoimmune and alloimmune
responses. Importantly, naturally occurring murine CD8(+)CD122(+) Tregs are more
potent in immunosuppression than their CD4(+)CD25(+) counterparts. They appear to
be acting in an antigen-non-specific manner. Human CD8(+)CXCR3(+) T-cells are the
equivalent of murine CD8(+)CD122(+) Tregs and also exhibit central memory
phenotypes. In this mini-review article, we will summarize recent progresses in
their phenotypes, homeostatic expansion, antigen-specificity, roles in the
suppression of alloimmune and autoimmune responses, and the mechanisms underlying
their inhibitory function.
PMID- 26539192
TI - Oral Vaccination of Fish - Antigen Preparations, Uptake, and Immune Induction.
AB - The oral route offers the most attractive approach of immunization of fish for a
number of reasons: the ease of administration of antigens, it is less stressful
than parenteral delivery and in principle, it is applicable to small and large
sized fish; it also provides a procedure for oral boosting during grow-out
periods in cages or ponds. There are, however, not many commercial vaccines
available at the moment due to lack of efficacy and challenges associated with
production of large quantities of antigens. These are required to stimulate an
effective immune response locally and systemically, and need to be protected
against degradation before they reach the sites where immune induction occurs.
The hostile stomach environment is believed to be particularly important with
regard to degradation of antigens in certain species. There is also a poor
understanding about the requirements for proper immune induction following oral
administration on one side, and the potential for induction of tolerance on the
other. To what extent primary immunization via the oral route will elicit both
local and systemic responses is not understood in detail. Furthermore, to what
extent parenteral delivery will protect mucosal/gut surfaces and vice-versa is
also not fully understood. We review the work that has been done on the subject
and discuss it in light of recent advances that include mass production of
antigens, including the use of plant systems. Different encapsulation techniques
that have been developed in the quest to protect antigens against digestive
degradation, as well as to target them for appropriate immune induction are also
highlighted.
PMID- 26539193
TI - Infection as an Environmental Trigger of Multiple Sclerosis Disease Exacerbation.
AB - Over the past several decades, significant advances have been made in identifying
factors that contribute to the pathogenesis of multiple sclerosis (MS) and have
culminated in the approval of some effective therapeutic strategies for disease
intervention. However, the mechanisms by which environmental factors, such as
infection, contribute to the pathogenesis and/or symptom exacerbation remain to
be fully elucidated. Relapse frequency in MS patients contributes to neurological
impairment and, in the initial phases of disease, serves as a predictor of poor
disease prognosis. The purpose of this review is to examine the evidence that
supports a role for peripheral infection in modulating the natural history of
this disease. Evidence supporting a role for infection in promoting exacerbation
in animal models of MS is also reviewed. Finally, a few mechanisms by which
infection may exacerbate symptoms of MS and other neurological diseases are
discussed. Those who comprise the majority of MS patients acquire approximately
two upper-respiratory infections per year; furthermore, this type of infection
doubles the risk for MS relapse, underscoring the contribution of this
relationship as being potentially important and particularly detrimental.
PMID- 26539194
TI - Integrins are Mechanosensors That Modulate Human Eosinophil Activation.
AB - Eosinophil migration to the lung is primarily regulated by the eosinophil
selective family of eotaxin chemokines, which mobilize intracellular calcium
(Ca(2+)) and orchestrate myriad changes in cell structure and function.
Eosinophil function is also known to be flow-dependent, although the molecular
cognate of this mechanical response has yet to be adequately characterized. Using
confocal fluorescence microscopy, we determined the effects of fluid shear stress
on intracellular calcium concentration ([Ca(2+)]i) in human peripheral blood
eosinophils by perfusing cells in a parallel-plate flow chamber. Our results
indicate that fluid perfusion evokes a calcium response that leads to cell
flattening, increase in cell area, shape change, and non-directional migration.
None of these changes are seen in the absence of a flow stimulus, and all are
blocked by chelation of intracellular Ca(2+) using BAPTA. These changes are
enhanced by stimulating the cells with eotaxin-1. The perfusion-induced calcium
response (PICR) could be blocked by pre-treating cells with selective (CDP-323)
and non-selective (RGD tripeptides) integrin receptor antagonists, suggesting
that alpha4beta7/alpha4beta1 integrins mediate this response. Overall, our study
provides the first pharmacological description of a molecular mechanosensor that
may collaborate with the eotaxin-1 signaling program in order to control human
eosinophil activation.
PMID- 26539195
TI - Gene Expression Profiling of Human Monocyte-derived Dendritic Cells - Searching
for Molecular Regulators of Tolerogenicity.
AB - The ability of dendritic cells (DCs) to initiate and modulate antigen-specific
immune responses has made them attractive targets for immunotherapy. Since DC
research in humans is limited by the scarcity of DC populations in the blood
circulation, most of our knowledge about DC biology and function has been
obtained in vitro from monocyte-derived DCs (moDCs), which can be readily
generated in sufficient numbers and are able to differentiate into distinct
functional subsets depending on the nature of stimulus. In particular, moDCs with
tolerogenic properties (tolDCs) possess great therapeutic potential for the
treatment of autoimmune diseases. Several protocols have been developed to
generate tolDCs in vitro, able to reinstruct auto-reactive T cells and to promote
regulatory cells. While ligands and soluble mediators, by which DCs shape immune
responses, have been vastly studied, the intracellular pathways and
transcriptional regulators that govern tolDC differentiation and function are
poorly understood. Whole-genome microarrays and proteomics provide useful
strategies to dissect the complex molecular processes that promote
tolerogenicity. Only few attempts have been made to understand tolDC biology
through a global view on "omics" profiles. So far, the identification of a common
regulator of tolerogenicity has been hampered by the fact that each protocol,
used for tolDC generation, targets distinct signaling pathways. Here, we review
the progress in understanding the transcriptional regulation of moDC
differentiation, with a special focus on tolDCs, and highlight candidate
molecules that might be associated with DC tolerogenicity.
PMID- 26539196
TI - Transcriptional Regulation of Mononuclear Phagocyte Development.
AB - Mononuclear phagocytes (MP) are a quite unique subset of hematopoietic cells,
which comprise dendritic cells (DC), monocytes as well as monocyte-derived and
tissue-resident macrophages. These cells are extremely diverse with regard to
their origin, their phenotype as well as their function. Developmentally, DC and
monocytes are constantly replenished from a bone marrow hematopoietic progenitor.
The ontogeny of macrophages is more complex and is temporally linked and
specified by the organ where they reside, occurring early during embryonic or
perinatal life. The functional heterogeneity of MPs is certainly a consequence of
the tissue of residence and also reflects the diverse ontogeny of the subsets. In
this review, we will highlight the developmental pathways of murine MP, with a
particular emphasis on the transcriptional factors that regulate their
development and function. Finally, we will discuss and point out open questions
in the field.
PMID- 26539198
TI - Pre-fractionation strategies to resolve pea (Pisum sativum) sub-proteomes.
AB - Legumes are important crop plants and pea (Pisum sativum L.) has been
investigated as a model with respect to several physiological aspects. The
sequencing of the pea genome has not been completed. Therefore, proteomic
approaches are currently limited. Nevertheless, the increasing numbers of
available EST-databases as well as the high homology of the pea and medicago
genome (Medicago truncatula Gaertner) allow the successful identification of
proteins. Due to the un-sequenced pea genome, pre-fractionation approaches have
been used in pea proteomic surveys in the past. Aside from a number of selective
proteome studies on crude extracts and the chloroplast, few studies have targeted
other components such as the pea secretome, an important sub-proteome of interest
due to its role in abiotic and biotic stress processes. The secretome itself can
be further divided into different sub-proteomes (plasma membrane, apoplast, cell
wall proteins). Cell fractionation in combination with different gel
electrophoresis, chromatography methods and protein identification by mass
spectrometry are important partners to gain insight into pea sub-proteomes, post
translational modifications and protein functions. Overall, pea proteomics needs
to link numerous existing physiological and biochemical data to gain further
insight into adaptation processes, which play important roles in field
applications. Future developments and directions in pea proteomics are discussed.
PMID- 26539197
TI - Role of Dendritic Cells in the Induction of Lymphocyte Tolerance.
AB - The ability of dendritic cells (DCs) to trigger tolerance or immunity is dictated
by the context in which an antigen is encountered. A large body of evidence
indicates that antigen presentation by steady-state DCs induces peripheral
tolerance through mechanisms such as the secretion of soluble factors, the clonal
deletion of autoreactive T cells, and feedback control of regulatory T cells.
Moreover, recent understandings on the function of DC lineages and the advent of
murine models of DC depletion have highlighted the contribution of DCs to
lymphocyte tolerance. Importantly, these findings are now being applied to human
research in the contexts of autoimmune diseases, allergies, and transplant
rejection. Indeed, DC-based immunotherapy research has made important progress in
the area of human health, particularly in regards to cancer. A better
understanding of several DC-related aspects including the features of DC
lineages, milieu composition, specific expression of surface molecules, the
control of signaling responses, and the identification of competent stimuli able
to trigger and sustain a tolerogenic outcome will contribute to the success of DC
based immunotherapy in the area of lymphocyte tolerance. This review will discuss
the latest advances in the biology of DC subtypes related to the induction of
regulatory T cells, in addition to presenting current ex vivo protocols for
tolerogenic DC production. Particular attention will be given to the molecules
and signals relevant for achieving an adequate tolerogenic response for the
treatment of human pathologies.
PMID- 26539199
TI - Transcriptome analysis of wheat inoculated with Fusarium graminearum.
AB - Plants are frequently exposed to microorganisms like fungi, bacteria, and viruses
that cause biotic stresses. Fusarium head blight (FHB) is an economically risky
wheat disease, which occurs upon Fusarium graminearum (Fg) infection. Moderately
susceptible (cv. "Mizrak 98") and susceptible (cv. "Gun 91") winter type bread
wheat cultivars were subjected to transcriptional profiling after exposure to Fg
infection. To examine the early response to the pathogen in wheat, we measured
gene expression alterations in mock and pathogen inoculated root crown of
moderately susceptible (MS) and susceptible cultivars at 12 hours after
inoculation (hai) using 12X135K microarray chip. The transcriptome analyses
revealed that out of 39,179 transcripts, 3668 genes in microarray were
significantly regulated at least in one time comparison. The majority of
differentially regulated transcripts were associated with disease response and
the gene expression mechanism. When the cultivars were compared, a number of
transcripts and expression alterations varied within the cultivars. Especially
membrane related transcripts were detected as differentially expressed. Moreover,
diverse transcription factors showed significant fold change values among the
cultivars. This study presented new insights to understand the early response of
selected cultivars to the Fg at 12 hai. Through the KEGG analysis, we observed
that the most altered transcripts were associated with starch and sucrose
metabolism and gluconeogenesis pathways.
PMID- 26539200
TI - Roles of membrane trafficking in plant cell wall dynamics.
AB - The cell wall is one of the characteristic components of plant cells. The cell
wall composition differs among cell types and is modified in response to various
environmental conditions. To properly generate and modify the cell wall, many
proteins are transported to the plasma membrane or extracellular space through
membrane trafficking, which is one of the key protein transport mechanisms in
eukaryotic cells. Given the diverse composition and functions of the cell wall in
plants, the transport of the cell wall components and proteins that are involved
in cell wall-related events could be specialized for each cell type, i.e., the
machinery for cell wall biogenesis, modification, and maintenance could be
transported via different trafficking pathways. In this review, we summarize the
recent progress in the current understanding of the roles and mechanisms of
membrane trafficking in plant cells and focus on the biogenesis and regulation of
the cell wall.
PMID- 26539201
TI - Beyond ectomycorrhizal bipartite networks: projected networks demonstrate
contrasted patterns between early- and late-successional plants in Corsica.
AB - The ectomycorrhizal (ECM) symbiosis connects mutualistic plants and fungal
species into bipartite networks. While links between one focal ECM plant and its
fungal symbionts have been widely documented, systemic views of ECM networks are
lacking, in particular, concerning the ability of fungal species to mediate
indirect ecological interactions between ECM plant species (projected-ECM
networks). We assembled a large dataset of plant-fungi associations at the
species level and at the scale of Corsica using molecular data and unambiguously
host-assigned records to: (i) examine the correlation between the number of
fungal symbionts of a plant species and the average specialization of these
fungal species, (ii) explore the structure of the plant-plant projected network
and (iii) compare plant association patterns in regard to their position along
the ecological succession. Our analysis reveals no trade-off between
specialization of plants and specialization of their partners and a saturation of
the plant projected network. Moreover, there is a significantly lower-than
expected sharing of partners between early- and late-successional plant species,
with fewer fungal partners for early-successional ones and similar average
specialization of symbionts of early- and late-successional plants. Our work
paves the way for ecological readings of Mediterranean landscapes that include
the astonishing diversity of below-ground interactions.
PMID- 26539202
TI - Extreme low temperature tolerance in woody plants.
AB - Woody plants in boreal to arctic environments and high mountains survive
prolonged exposure to temperatures below -40 degrees C and minimum temperatures
below -60 degrees C, and laboratory tests show that many of these species can
also survive immersion in liquid nitrogen at -196 degrees C. Studies of
biochemical changes that occur during acclimation, including recent proteomic and
metabolomic studies, have identified changes in carbohydrate and compatible
solute concentrations, membrane lipid composition, and proteins, notably
dehydrins, that may have important roles in survival at extreme low temperature
(ELT). Consideration of the biophysical mechanisms of membrane stress and strain
lead to the following hypotheses for cellular and molecular mechanisms of
survival at ELT: (1) Changes in lipid composition stabilize membranes at
temperatures above the lipid phase transition temperature (-20 to -30 degrees C),
preventing phase changes that result in irreversible injury. (2) High
concentrations of oligosaccharides promote vitrification or high viscosity in the
cytoplasm in freeze-dehydrated cells, which would prevent deleterious
interactions between membranes. (3) Dehydrins bind membranes and further promote
vitrification or act stearically to prevent membrane-membrane interactions.
PMID- 26539203
TI - High temperature and vapor pressure deficit aggravate architectural effects but
ameliorate non-architectural effects of salinity on dry mass production of
tomato.
AB - Tomato (Solanum lycopersicum L.) is an important vegetable crop and often
cultivated in regions exposed to salinity and high temperatures (HT) which change
plant architecture, decrease canopy light interception and disturb physiological
functions. However, the long-term effects of salinity and HT combination (S+HT)
on plant growth are still unclear. A dynamic functional-structural plant model
(FSPM) of tomato was parameterized and evaluated for different levels of S+HT
combinations. The evaluated model was used to quantify the contributions of
morphological changes (architectural effects) and physiological disturbances (non
architectural effects) on the reduction of shoot dry mass under S+HT. The model
predicted architectural variables with high accuracy (>85%), which ensured the
reliability of the model analyses. HT enhanced architectural effects but reduced
non-architectural effects of salinity on dry mass production. The stronger
architectural effects of salinity under HT could not be counterbalanced by the
smaller non-architectural effects. Therefore, long-term influences of HT on shoot
dry mass under salinity were negative at the whole plant level. Our model
analysis highlights the importance of plant architecture at canopy level in
studying the plant responses to the environments and shows the merits of dynamic
FSPMs as heuristic tools.
PMID- 26539205
TI - Low Temperature-Induced 30 (LTI30) positively regulates drought stress resistance
in Arabidopsis: effect on abscisic acid sensitivity and hydrogen peroxide
accumulation.
AB - As a dehydrin belonging to group II late embryogenesis abundant protein (LEA)
family, Arabidopsis Low Temperature-Induced 30 (LTI30)/XERO2 has been shown to be
involved in plant freezing stress resistance. However, the other roles of AtLTI30
remain unknown. In this study, we found that the expression of AtLTI30 was
largely induced by drought stress and abscisic acid (ABA) treatments. Thereafter,
AtLTI30 knockout mutants and overexpressing plants were isolated to investigate
the possible involvement of AtLTI30 in ABA and drought stress responses. AtLTI30
knockout mutants were less sensitive to ABA-mediated seed germination, while
AtLTI30 overexpressing plants were more sensitive to ABA compared with wild type
(WT). Consistently, the AtLTI30 knockout mutants displayed decreased drought
stress resistance, while the AtLTI30 overexpressing plants showed improved
drought stress resistance compared with WT, as evidenced by a higher survival
rate and lower leaf water loss than WT after drought stress. Moreover,
manipulation of AtLTI30 expression positively regulated the activities of
catalases (CATs) and endogenous proline content, as a result, negatively
regulated drought stress-triggered hydrogen peroxide (H2O2) accumulation. All
these results indicate that AtLTI30 is a positive regulator of plant drought
stress resistance, partially through the modulation of ABA sensitivity, H2O2 and
proline accumulation.
PMID- 26539206
TI - Draft genome sequence of Oryza sativa elite indica cultivar RP Bio-226.
PMID- 26539204
TI - Insights into molecular and metabolic events associated with fruit response to
post-harvest fungal pathogens.
AB - Due to post-harvest losses more than 30% of harvested fruits will not reach the
consumers' plate. Fungal pathogens play a key role in those losses, as they cause
most of the fruit rots and the customer complaints. Many of the fungal pathogens
are already present in the unripe fruit but remain quiescent during fruit growth
until a particular phase of fruit ripening and senescence. The pathogens sense
the developmental change and switch into the devastating necrotrophic life style
that causes fruit rotting. Colonization of unripe fruit by the fungus initiates
defensive responses that limit fungal growth and development. However, during
fruit ripening several physiological processes occur that correlate with
increased fruit susceptibility. In contrast to plant defenses in unripe fruit,
the defense posture of ripe fruit entails a different subset of defense responses
that will end with fruit rotting and losses. This review will focus on several
aspects of molecular and metabolic events associated with fleshy fruit responses
induced by post-harvest fungal pathogens during fruit ripening.
PMID- 26539207
TI - Genotype by environment interaction and breeding for robustness in livestock.
AB - The increasing size of the human population is projected to result in an increase
in meat consumption. However, at the same time, the dominant position of meat as
the center of meals is on the decline. Modern objections to the consumption of
meat include public concerns with animal welfare in livestock production systems.
Animal breeding practices have become part of the debate since it became
recognized that animals in a population that have been selected for high
production efficiency are more at risk for behavioral, physiological and
immunological problems. As a solution, animal breeding practices need to include
selection for robustness traits, which can be implemented through the use of
reaction norms analysis, or though the direct inclusion of robustness traits in
the breeding objective and in the selection index. This review gives an overview
of genotype * environment interactions (the influence of the environment,
reaction norms, phenotypic plasticity, canalization, and genetic homeostasis),
reaction norms analysis in livestock production, options for selection for
increased levels of production and against environmental sensitivity, and direct
inclusion of robustness traits in the selection index. Ethical considerations of
breeding for improved animal welfare are discussed. The discussion on animal
breeding practices has been initiated and is very alive today. This positive
trend is part of the sustainable food production movement that aims at feeding
9.15 billion people not just in the near future but also beyond.
PMID- 26539208
TI - A novel mutation of AFG3L2 might cause dominant optic atrophy in patients with
mild intellectual disability.
AB - Dominant optic neuropathies causing fiber loss in the optic nerve are among the
most frequent inherited mitochondrial diseases. In most genetically resolved
cases, the disease is associated to a mutation in OPA1, which encodes an inner
mitochondrial dynamin involved in network fusion, cristae structure and
mitochondrial genome maintenance. OPA1 cleavage is regulated by two m-AAA
proteases, SPG7 and AFG3L2, which are, respectively involved in Spastic
Paraplegia 7 and Spino-Cerebellar Ataxia 28. Here, we identified a novel mutation
c.1402C>T in AFG3L2, modifying the arginine 468 in cysteine in an evolutionary
highly conserved arginine-finger motif, in a family with optic atrophy and mild
intellectual disability. Ophthalmic examinations disclosed a loss of retinal
nerve fibers on the temporal and nasal sides of the optic disk and a red-green
dyschromatopsia. Thus, our results suggest that neuro-ophthalmological symptom as
optic atrophy might be associated with AFG3L2 mutations, and should prompt the
screening of this gene in patients with isolated and syndromic inherited optic
neuropathies.
PMID- 26539209
TI - dcVar: a method for identifying common variants that modulate differential
correlation structures in gene expression data.
AB - Recent studies have implicated the role of differential co-expression or
correlation structure in gene expression data to help explain phenotypic
differences. However, few attempts have been made to characterize the function of
variants based on their role in regulating differential co-expression. Here, we
describe a statistical methodology that identifies pairs of transcripts that
display differential correlation structure conditioned on genotypes of variants
that regulate co-expression. Additionally, we present a user-friendly,
computationally efficient tool, dcVar, that can be applied to expression
quantitative trait loci (eQTL) or RNA-Seq datasets to infer differential co
expression variants (dcVars). We apply dcVar to the HapMap3 eQTL dataset and
demonstrate the utility of this methodology at uncovering novel function of
variants of interest with examples from a height genome-wide association and
cancer drug resistance. We provide evidence that differential correlation
structure is a valuable intermediate molecular phenotype for further
characterizing the function of variants identified in GWAS and related studies.
PMID- 26539211
TI - A loopy view of telomere evolution.
AB - About a decade ago, I proposed that t-loops, the lariat structures adopted by
many eukaryotic telomeres, could explain how the transition from circular to
linear chromosomes was successfully negotiated by early eukaryotes. Here I
reconsider this loopy hypothesis in the context of the idea that eukaryotes
evolved through a period of genome invasion by Group II introns.
PMID- 26539210
TI - Prospects and challenges for the conservation of farm animal genomic resources,
2015-2025.
AB - Livestock conservation practice is changing rapidly in light of policy
developments, climate change and diversifying market demands. The last decade has
seen a step change in technology and analytical approaches available to define,
manage and conserve Farm Animal Genomic Resources (FAnGR). However, these rapid
changes pose challenges for FAnGR conservation in terms of technological
continuity, analytical capacity and integrative methodologies needed to fully
exploit new, multidimensional data. The final conference of the ESF Genomic
Resources program aimed to address these interdisciplinary problems in an attempt
to contribute to the agenda for research and policy development directions during
the coming decade. By 2020, according to the Convention on Biodiversity's Aichi
Target 13, signatories should ensure that "...the genetic diversity of ...farmed
and domesticated animals and of wild relatives ...is maintained, and strategies
have been developed and implemented for minimizing genetic erosion and
safeguarding their genetic diversity." However, the real extent of genetic
erosion is very difficult to measure using current data. Therefore, this
challenging target demands better coverage, understanding and utilization of
genomic and environmental data, the development of optimized ways to integrate
these data with social and other sciences and policy analysis to enable more
flexible, evidence-based models to underpin FAnGR conservation. At the
conference, we attempted to identify the most important problems for effective
livestock genomic resource conservation during the next decade. Twenty priority
questions were identified that could be broadly categorized into challenges
related to methodology, analytical approaches, data management and conservation.
It should be acknowledged here that while the focus of our meeting was
predominantly around genetics, genomics and animal science, many of the practical
challenges facing conservation of genomic resources are societal in origin and
are predicated on the value (e.g., socio-economic and cultural) of these
resources to farmers, rural communities and society as a whole. The overall
conclusion is that despite the fact that the livestock sector has been relatively
well-organized in the application of genetic methodologies to date, there is
still a large gap between the current state-of-the-art in the use of tools to
characterize genomic resources and its application to many non-commercial and
local breeds, hampering the consistent utilization of genetic and genomic data as
indicators of genetic erosion and diversity. The livestock genomic sector
therefore needs to make a concerted effort in the coming decade to enable to the
democratization of the powerful tools that are now at its disposal, and to ensure
that they are applied in the context of breed conservation as well as
development.
PMID- 26539212
TI - Antitumor potential of the myotoxin BthTX-I from Bothrops jararacussu snake
venom: evaluation of cell cycle alterations and death mechanisms induced in tumor
cell lines.
AB - BACKGROUND: Phospholipases A2 (PLA2s) are abundant components of snake venoms
that have been extensively studied due to their pharmacological and
pathophysiological effects on living organisms. This study aimed to assess the
antitumor potential of BthTX-I, a basic myotoxic PLA2 isolated from Bothrops
jararacussu venom, by evaluating in vitro processes of cytotoxicity, modulation
of the cell cycle and induction of apoptosis in human (HL-60 and HepG2) and
murine (PC-12 and B16F10) tumor cell lines. METHODS: The cytotoxic effects of
BthTX-I were evaluated on the tumor cell lines HL-60 (promyelocytic leukemia),
HepG2 (human hepatocellular carcinoma), PC-12 (murine pheochromocytoma) and
B16F10 (murine melanoma) using the MTT method. Flow cytometry technique was used
for the analysis of cell cycle alterations and death mechanisms (apoptosis and/or
necrosis) induced in tumor cells after treatment with BthTX-I. RESULTS: It was
observed that BthTX-I was cytotoxic to all evaluated tumor cell lines, reducing
their viability in 40 to 50 %. The myotoxin showed modulating effects on the cell
cycle of PC-12 and B16F10 cells, promoting delay in the G0/G1 phase.
Additionally, flow cytometry analysis indicated cell death mainly by apoptosis.
B16F10 was more susceptible to the effects of BthTX-I, with ~40 % of the cells
analyzed in apoptosis, followed by HepG2 (~35 %), PC-12 (~25 %) and HL-60 (~4 %).
CONCLUSIONS: These results suggest that BthTX-I presents antitumor properties
that may be useful for developing new therapeutic strategies against cancer.
PMID- 26539213
TI - P300 and Decision Making under Risk and Ambiguity.
AB - Our study aims to contrast the neural temporal features of early stage of
decision making in the context of risk and ambiguity. In monetary gambles under
ambiguous or risky conditions, 12 participants were asked to make a decision to
bet or not, with the event-related potentials (ERPs) recorded meantime. The
proportion of choosing to bet in ambiguous condition was significantly lower than
that in risky condition. An ERP component identified as P300 was found. The P300
amplitude elicited in risky condition was significantly larger than that in
ambiguous condition. The lower bet rate in ambiguous condition and the smaller
P300 amplitude elicited by ambiguous stimuli revealed that people showed much
more aversion in the ambiguous condition than in the risky condition. The ERP
results may suggest that decision making under ambiguity occupies higher working
memory and recalls more past experience while decision making under risk mainly
mobilizes attentional resources to calculate current information. These findings
extended the current understanding of underlying mechanism for early assessment
stage of decision making and explored the difference between the decision making
under risk and ambiguity.
PMID- 26539214
TI - Systemic Venous Inflow to the Liver Allograft to Overcome Diffuse Splanchnic
Venous Thrombosis.
AB - Diffuse splanchnic venous thrombosis (DSVT), formerly defined as contraindication
for liver transplantation (LT), is a serious challenge to the liver transplant
surgeon. Portal vein arterialisation, cavoportal hemitransposition and renoportal
anastomosis, and finally combined liver and small bowel transplantation are all
possible alternatives to deal with this condition. Five patients with
preoperatively confirmed extensive splanchnic venous thrombosis were transplanted
using cavoportal hemitransposition (4x) and renoportal anastomosis (1x). Median
follow-up was 58 months (range: 0,5 to 130 months). Two patients with previous
radiation-induced peritoneal injury died, respectively, 18 days and 2 months
after transplantation. The three other patients had excellent long-term survival,
despite the fact that two of them needed a surgical reintervention for severe
gastrointestinal bleeding. Extensive splanchnic venous thrombosis is no longer an
absolute contraindication to liver transplantation. Although cavoportal
hemitransposition and renoportal anastomosis undoubtedly are life-saving
procedures allowing for ensuring adequate allograft portal flow, careful follow
up of these patients remains necessary as both methods are unable to completely
eliminate the complications of (segmental) portal hypertension.
PMID- 26539215
TI - Similarity Evaluation of Different Origins and Species of Dendrobiums by GC-MS
and FTIR Analysis of Polysaccharides.
AB - GC-MS method combined with FTIR techniques by the analysis of polysaccharide was
applied to evaluate the similarity between wild (W) and tissue-cultured (TC)
Dendrobium huoshanense (DHS), Dendrobium officinale (DO), and Dendrobium
moniliforme (DM) as well as 3 wild Dendrobium spp.: Dendrobium henanense (DHN),
Dendrobium loddigesii (DL), and Dendrobium crepidatum (DC). Eight monosaccharides
involving xylose, arabinose, rhamnose, glucose, mannose, fructose, galactose, and
galacturonic acid were identified in the polysaccharide from each Dendrobium
sample while the contents of the monosugars varied remarkably across origins and
species. Further similarity evaluation based on GC-MS data showed that the r cor
values of different origins of DHS, DO, and DM were 0.831, 0.865, and 0.884,
respectively, while the r cor values ranged from 0.475 to 0.837 across species.
FTIR files of the polysaccharides revealed that the similarity coefficients
between W and TC-DHS, DO, and DM were 88.7%, 86.8%, and 88.5%, respectively, in
contrast to the similarity coefficients varying from 57.4% to 82.6% across
species. These results suggested that the structures of polysaccharides between
different origins of the investigated Dendrobiums might be higher than what we
had supposed.
PMID- 26539216
TI - Cellular Nutrition in Complex Three-Dimensional Scaffolds: A Comparison between
Experiments and Computer Simulations.
AB - Studies on bone cell ingrowth into synthetic, porous three-dimensional (3D)
implants showed difficulties arising from impaired cellular proliferation and
differentiation in the core region of these scaffolds with increasing scaffold
volume in vitro. Therefore, we developed an in vitro perfusion cell culture
module, which allows the analysis of cells in the interior of scaffolds under
different medium flow rates. For each flow rate the cell viability was measured
and compared with results from computer simulations that predict the local oxygen
supply and shear stress inside the scaffold based on the finite element method.
We found that the local cell viability correlates with the local oxygen
concentration and the local shear stress. On the one hand the oxygen supply of
the cells in the core becomes optimal with a higher perfusion flow. On the other
hand shear stress caused by high flow rates impedes cell vitality, especially at
the surface of the scaffold. Our results demonstrate that both parameters must be
considered to derive an optimal nutrient flow rate.
PMID- 26539217
TI - Panax notoginseng Saponins Attenuate Phenotype Switching of Vascular Smooth
Muscle Cells Induced by Notch3 Silencing.
AB - Panax notoginseng saponins (PNS) could maintain vascular smooth muscle cells
(VSMCs) in stable phenotypes so as to keep blood vessel elasticity as well as
prevent failing in endovascular treatment with stent. Downregulation of Notch3
expression in VSMCs could influence the phenotype of VSMCs under pathologic
status. However, whether PNS is able to attenuate the Notch3 silencing induced
phenotype switching of VSMCs remains poorly understood. Primary human VSMCs were
transfected with a plasmid containing a small interfering RNA (siRNA) against
Notch3 and then exposed to different doses of PNS. The control groups included
cells not receiving any treatment and cells transfected with a control siRNA.
Phenotypic switching was evaluated by observing cell morphology with confocal
microscopy, as well as examining alpha-SM-actin, SM22alpha, and OPN using Western
blot. Downregulated Notch3 with a siRNA induced apparent phenotype switching, as
reflected by morphologic changes, decreased expression of alpha-SM-actin and
SM22alpha and increased expression of OPN. These changes were inhibited by PNS in
a dose-dependent manner. The phenotype switching of VSMCs induced by Notch3
knockdown could be inhibited by PNS in a dose-dependent manner. Our study
provided new evidence for searching effective drug for amending stability of
atherosclerotic disease.
PMID- 26539218
TI - Anxiety and Anger Symptoms in Hwabyung Patients Improved More following 4 Weeks
of the Emotional Freedom Technique Program Compared to the Progressive Muscle
Relaxation Program: A Randomized Controlled Trial.
AB - Background. The Emotional Freedom Technique (EFT) is a meridian-based
psychological therapy. The present clinical trial investigates the effectiveness
of EFT as a new treatment option for Hwabyung (HB) patients experiencing anger
and compares the efficacy to the Progressive Muscle Relaxation (PMR), the
conventional meditation technique. Methods. The EFT and progressive muscle
relaxation (PMR) methods were performed on 27 HB patients, and their capacities
to alleviate anxiety, anger, and emotional status were compared. After a 4-week
program, a survey was conducted; patients then completed a self-training program
for 4 weeks, followed by a second survey. Results. During the initial 4 weeks,
the EFT group experienced a significant decrease in the HB symptom scale, anger
state, and paranoia ideation (p < 0.05). Over the entire 9-week interval, there
were significant decreases in the HB symptom scale, anxiety state, anger state,
anger trait, somatization, anxiety, hostility, and so on in EFT group (p < 0.05).
Conclusion. The EFT group showed improved psychological symptoms and physical
symptoms greater than those observed in the PMR group. EFT more effectively
alleviated HB symptoms compared to PMR. EFT group showed better maintenance
during self-training, suggesting good model of self-control treatment in HB
patients.
PMID- 26539219
TI - Comparison of the Spasmolytic Effects of Jakyak-Gamcho Decoctions Derived via
Different Extractants.
AB - Aim. To investigate whether differences in the amounts of effective index
components in Jakyak-Gamcho decoctions derived via extraction with either water
or ethanol were associated with differential spasmolytic effectiveness. Methods.
The amounts of effective index components (paeoniflorin, benzoic acid,
glycyrrhizin, and isoliquiritin) contained in water-extracted Jakyak-Gamcho
decoction and 70% ethanol-extracted Jakyak-Gamcho decoction were compared by high
performance liquid chromatography. Muscle cramp reduction rates were compared
between the two decoctions by comparing the degrees of muscle contraction,
measured as the tension developed during electrical stimulation, before and 1 and
2 h after injection in rats. Results. The relative amounts of effective index
components were, on average, about 43% higher in the 70% ethanol-extracted
decoction than in the water-extracted decoction. Two hours after injection, 0.25
g/kg of 70% ethanol-extracted decoction produced a significantly greater
spasmolytic effect than 0.25 g/kg of water-extracted Jakyak-Gamcho decoction or
distilled water (both p < 0.05). Conclusion. Differences in the amounts of
effective index components resulting from the use of different extractants were
associated with differences in spasmolytic effectiveness. Hence, it may be
worthwhile to investigate alternative extraction methods in terms of extraction
efficiency and in vivo effectiveness for various herbal medicines in the future.
PMID- 26539220
TI - The Clinical Relevance of Serum NDKA, NMDA, PARK7, and UFDP Levels with Phlegm
Heat Syndrome and Treatment Efficacy Evaluation of Traditional Chinese Medicine
in Acute Ischemic Stroke.
AB - According to the methods of Patient-Reported Outcome (PRO) based on the patient
reports internationally and referring to U.S. Food and Drug Administration (FDA)
guide, some scholars developed this PRO of stroke which is consistent with
China's national conditions, and using it the feel of stroke patients was
introduced into the clinical efficacy evaluation system of stoke. "Ischemic
Stroke TCM Syndrome Factor Diagnostic Scale (ISTSFDS)" and "Ischemic Stroke TCM
Syndrome Factor Evaluation Scale (ISTSFES)" were by "Major State Basic Research
Development Program of China (973 Program) (number 2003CB517102)." ISTSFDS can
help to classify and diagnose the CM syndrome reasonably and objectively with
application of syndrome factors. Six syndrome factors, internal-wind syndrome,
internal-fire syndrome, phlegm-dampness syndrome, blood-stasis syndrome, qi
deficiency syndrome, and yin-deficiency syndrome, were included in ISTSFDS and
ISTSFES. TCM syndrome factor was considered to be present if the score was
greater than or equal to 10 according to ISTSFDS. In our study, patients with
phlegm-heat syndrome were recruited, who met the diagnosis of both "phlegm
dampness" and "internal-fire" according to ISTSFDS. ISTSFES was used to assess
the syndrome severity; in our study it was used to assess the severity of phlegm
heat syndrome (phlegm-heat syndrome scores = phlegm-dampness syndrome scores +
internal-fire syndrome scores).
PMID- 26539221
TI - An Overview of Meta-Analyses of Danhong Injection for Unstable Angina.
AB - Objective. To systematically collect evidence and evaluate the effects of Danhong
injection (DHI) for unstable angina (UA). Methods. A comprehensive search was
conducted in seven electronic databases up to January 2015. The methodological
and reporting quality of included studies was assessed by using AMSTAR and
PRISMA. Result. Five articles were included. The conclusions suggest that DHI
plus conventional medicine treatment was effective for UA pectoris treatment,
could alleviate symptoms of angina and ameliorate electrocardiograms. Flaws of
the original studies and systematic reviews weaken the strength of evidence.
Limitations of the methodology quality include performing an incomprehensive
literature search, lacking detailed characteristics, ignoring clinical
heterogeneity, and not assessing publication bias and other forms of bias. The
flaws of reporting systematic reviews included the following: not providing a
structured summary, no standardized search strategy. For the pooled findings,
researchers took statistical heterogeneity into consideration, but clinical and
methodology heterogeneity were ignored. Conclusion. DHI plus conventional
medicine treatment generally appears to be effective for UA treatment. However,
the evidence is not hard enough due to methodological flaws in original clinical
trials and systematic reviews. Furthermore, rigorous designed randomized
controlled trials are also needed. The methodology and reporting quality of
systematic reviews should be improved.
PMID- 26539222
TI - Enhanced Protective Effect of the Combination of Uncaria and Semen Raphani on
Vascular Endothelium in Spontaneously Hypertensive Rats.
AB - Endothelial dysfunction and low-grade inflammation are closely associated with
hypertension and other cardiovascular diseases. The combination of Uncaria (U)
and Semen Raphani (R) is common in traditional Chinese medicine for the treatment
of hypertension and heart diseases. We aimed to investigate the therapeutic
effect of the combination of Uncaria and Semen Raphani on spontaneously
hypertensive rats (SHRs), and valsartan was used as a positive control. In the
present study, all extracts decreased systolic pressure, diastolic pressure, and
mean arterial pressure. U alone showed antihypertensive efficacy and effectively
decreased CECs count, while R alone showed efficacy in relieving inflammatory
level. The combination of U and R showed enhanced effectiveness at lowering
activated CECs and improving endothelial integrity of thoracic aorta and
mesenteric artery and normalized the level of plasma biomarkers of endothelial
damage. The combination of U and R decreased the mRNA level of VCAM-1, Sel-L,
TFPI, and Sel-P, while it elevated mRNA expression of FGF-1 and THBD of the
thoracic aorta, which may be, at least in part, involved in the mechanism of
protective effect on hypertensive endothelial injury.
PMID- 26539223
TI - Intercultural Usage of Mori Folium: Comparison Review from a Korean Medical
Perspective.
AB - Objectives. A review on studies related to the use of Mori folium, the leaves of
Morus alba, was conducted with the goal of identifying new clinical applications
in Korean medicine. Methods. Global literature search was conducted using three
electronic databases up to January 2015 with the term Morus alba and its Korean
terms. KM literatures including textbooks and standard pharmacopoeia were
separately hand-searched and reviewed to provide comparison. Data were extracted
according to predetermined criteria, and clinical uses were standardized with ICD
10 categories. Results. 159 potentially relevant studies were identified, and 18
articles including 12 ethnopharmacologic and 6 clinical studies were finally
included in this analysis. Ethnopharmacologic studies from 8 countries provided
17 clinical uses. We found that five out of six clinical trials were related to
diabetes and suggested a moderate short-term to mild long-term effect. And 43
Korean texts also provided 156 clinical uses in 35 categories including ocular
and respiratory disorders. Discussion and Conclusions. Though majority of the
clinical uses were also found in Korean medicine literature, treatment of
infertility, jaundice, cognitive disorder, and hyperpigmentation was found to be
effective and diabetes with Morus alba was recognized to have clinical
importance.
PMID- 26539224
TI - Spatial Patterns of the Indications of Acupoints Using Data Mining in Classic
Medical Text: A Possible Visualization of the Meridian System.
AB - The indications of acupoints are thought to be highly associated with the lines
of the meridian systems. The present study used data mining methods to analyze
the characteristics of the indications of each acupoint and to visualize the
relationships between the acupoints and disease sites in the classic Korean
medical text Chimgoogyeongheombang. Using a term frequency-inverse document
frequency (tf-idf) scheme, the present study extracted valuable data regarding
the indications of each acupoint according to the frequency of the cooccurrences
of eight Source points and eighteen disease sites. Furthermore, the spatial
patterns of the indications of each acupoint on a body map were visualized
according to the tf-idf values. Each acupoint along the different meridians
exhibited different constellation patterns at various disease sites.
Additionally, the spatial patterns of the indications of each acupoint were
highly associated with the route of the corresponding meridian. The present
findings demonstrate that the indications of each acupoint were primarily
associated with the corresponding meridian system. Furthermore, these findings
suggest that the routes of the meridians may have clinical implications in terms
of identifying the constellations of the indications of acupoints.
PMID- 26539225
TI - Effects of Chung-Pae Inhalation Therapy on a Mouse Model of Chronic Obstructive
Pulmonary Disease.
AB - Chung-pae (CP) inhalation therapy is a method frequently used in Korea to treat
lung disease, especially chronic obstructive pulmonary disease (COPD). This study
investigated the effects of CP inhalation on a COPD animal model. C57BL/6 mice
received porcine pancreatic elastase (PPE) and lipopolysaccharide (LPS)
alternately three times for 3 weeks to induce COPD. Then, CP (5 or 20 mg/kg) was
administered every 2 h after the final LPS administration. The effect of CP was
evaluated by bronchoalveolar lavage (BAL) fluid analysis, histological analysis
of lung tissue, and reverse transcription polymerase chain reaction analysis of
mRNA of interleukin- (IL-) 1beta, tumor necrosis factor- (TNF-) alpha, IL-6, and
tumor growth factor- (TGF-) beta. Intratracheal CP administration reduced the
number of leukocytes and neutrophils in BAL fluid, inhibited the histological
appearance of lung damage, and decreased the mRNA levels of the proinflammatory
cytokines IL-1beta, TNF-alpha, IL-6, and TGF-beta. Intratracheal CP
administration effectively decreased the chronic inflammation and pathological
changes in a PPE- and LPS-induced COPD mouse model. Therefore, we suggest that CP
is a promising strategy for COPD.
PMID- 26539226
TI - Protective Effect of Artemisia asiatica Extract and Its Active Compound Eupatilin
against Cisplatin-Induced Renal Damage.
AB - The present study investigated the renoprotective effect of an Artemisia asiatica
extract and eupatilin in kidney epithelial (LLC-PK1) cells. Although cisplatin is
effective against several cancers, its use is limited due to severe
nephrotoxicity. Eupatilin is a flavonoid compound isolated from the Artemisia
plant and possesses antioxidant as well as potent anticancer properties. In the
LLC-PK1 cellular model, the decline in cell viability induced by oxidative
stress, such as that induced by cisplatin, was significantly and dose-dependently
inhibited by the A. asiatica extract and eupatilin. The increased protein
expressions of phosphorylated JNK and p38 by cisplatin in cells were markedly
reduced after A. asiatica extract or eupatilin cotreatment. The elevated
expression of cleaved caspase-3 was significantly reduced by A. asiatica extract
and eupatilin, and the elevated percentage of apoptotic cells after cisplatin
treatment in LLC-PK1 cells was markedly decreased by cotreatment with A. asiatica
extract or eupatilin. Taken together, these results suggest that A. asiatica
extract and eupatilin could cure or prevent cisplatin-induced renal toxicity
without any adverse effect; thus, it can be used in combination with cisplatin to
prevent nephrotoxicity.
PMID- 26539227
TI - Resistance to Antibiotics and Antifungal Medicinal Products: Can Complementary
and Alternative Medicine Help Solve the Problem in Common Infection Diseases? The
Introduction of a Dutch Research Consortium.
AB - The increase of antibiotic resistance worldwide, rising numbers of deaths and
costs associated with this, and the fact that hardly any new antimicrobial drugs
have been developed during the last decade have increased the interest in
Complementary and Alternative Medicine (CAM) therapeutic interventions, if proven
safe and effective. Observational studies on clinical CAM practices demonstrate
positive effects of treatment of infections with CAM therapies (clinical effects,
patient satisfaction) in combination with small percentages of antibiotics
prescription. However, Cochrane reviews and other studies demonstrate that in
most instances the quality of clinical trials on CAM treatment of infections is
currently too low to provide sufficient evidence. Therefore a Dutch consortium on
(in vitro and clinical) scientific research on CAM and antibiotic resistance has
been formed. The aim and objective of the consortium is to establish an enduring
partnership and to develop expertise to further develop and investigate safe and
effective CAM treatments for infectious diseases of humans (and animals). A first
ongoing project on the development of safe and effective biobased CAM
antimycotics in women with (recurrent) vaginal candidiasis infection is
introduced.
PMID- 26539228
TI - Tang-Tong-Fang Confers Protection against Experimental Diabetic Peripheral
Neuropathy by Reducing Inflammation.
AB - Tang-tong-fang (TTF) is a Chinese herbal formula that has been shown to be
beneficial in diabetic peripheral neuropathy (DPN), a common complication
secondary to diabetic microvascular injury. However, the underlying mechanism of
protection in nerve ischemia provided by TTF is still unclear. We hypothesized
that TTF alleviates DPN via inhibition of ICAM-1 expression. Therefore, we tested
the effect of TTF in a previously established DPN model, in which nerve injury
was induced by ischemia/reperfusion in streptozotocin-induced diabetic rats. We
found that the conduction velocity and amplitude of action potentials of sciatic
nerve conduction were reduced in the DPN model group but were rescued by TTF
treatment. In addition, TTF treatment also attenuated the effect of DPN on other
parameters including histology and ultrastructural changes, expression of ICAM-1,
MPO, and TNF-alpha in rat sciatic nerves, and plasma sICAM-1 and MPO levels.
Together, our data suggest that TTF treatment may alleviate DPN via ICAM-1
inhibition.
PMID- 26539229
TI - Effect of Compound Chuanxiong Capsule on Inflammatory Reaction and PI3K/Akt/NF
kappaB Signaling Pathway in Atherosclerosis.
AB - Compound Chuanxiong Capsule (CCC), a Chinese herbal compound, can exhibit
antiatherosclerotic effect; however, its mechanism is still unclear. This study
is designed to study the mechanism of CCC on atherosclerosis in the ApoE-knockout
(ApoE(-/-)) mice fed with a high-fat diet. After 6 weeks of high-fat feeding, 40
ApoE(-/-) mice were randomized (n = 10) and treated with lipitor, high-dose or
low-dose CCC, or distilled water (ApoE(-/-) group) for 7 weeks. The blood lipids
in serum and the plaque areas of the mice were measured and the mRNA expressions
of phosphatidylinositol-3-kinases (PI3K), Akt, nuclear factor-kappa B (NF
kappaB), tumor necrosis factor-alpha (TNF-alpha), and interleukin-6 (IL-6) of the
aortae were determined. The data showed that CCC can significantly decrease the
levels of blood lipids, atherosclerosis index, and plaque areas and increase
collagen proportion in plaques as compared with the untreated mice (p < 0.05, p <
0.01). In addition, CCC can significantly reduce the mRNA expressions of PI3K,
Akt, NF-kappaB, IL-6, and TNF-alpha in the mice fed with a high-fat diet (p <
0.001). Thus, we concluded that CCC can inhibit inflammatory reaction in the
ApoE(-/-) mice fed with a high-fat diet. This mechanism may be attributed to
regulating PI3K/Akt/NF-kappaB signaling pathway.
PMID- 26539230
TI - Essential Experimental Methods for Identifying Bonghan Systems as a Basis for
Korean Medicine: Focusing on Visual Materials from Original Papers and Modern
Outcomes.
AB - In the 1960s, through studies on Korean Medicine, Bonghan Kim proposed the
Bonghan systems (BS) as the anatomical reality of the acupuncture meridians based
on various experimental data. Since 2002, several groups, mainly led by a team at
Seoul National University, who renamed the BS as the primo vascular system (PVS),
have published around 70 papers showing biological structures corresponding to
the BS. However, it is still difficult for other researchers to find them,
especially under the skin, which Bonghan Kim first reported as acupuncture
points, due to similar-looking biological tissues, for example, the lymphatic
vessels, and such artifacts as blood clots or fascia debris. To solve these
drawbacks, we examined the main methods for identifying the BS by comparing the
original papers with the modern outcomes in terms of the common physical/chemical
characteristics of the BS. In addition, effective methods of staining and
microscopic observations discovered by modern teams are synthetically explained
using visual materials such as diagrams and photos. Through the essentially
organized methods in this review paper, we suggest that one can find the BS under
the skin as putative acupuncture points by tracing the intraexternal BS, from
which a new Korean Medicine will be born.
PMID- 26539231
TI - A Modern Clinical Approach of the Traditional Korean Saam Acupuncture.
AB - Saam acupuncture is one of the original therapeutic modalities representing
traditional Korean medicine. It was originally described in a manuscript that is
estimated to be published at some point between 1644 and 1742, in the middle of
the Cho Sun dynasty, by a Korean Buddhist monk whose name is unknown. The
principle of combining five shu points is based on the theory of Nan-jing. The
treatment and diagnosis concepts in Saam acupuncture were mainly influenced by
Dongeuibogam and Chimgoogyeong-heombang. The basic characteristic of combining
five shu points in Saam acupuncture is the selection of the tonification and
sedation points along the self-meridian and other meridians based on creation and
governor relationships. Saam acupuncture clinical studies have mainly focused on
musculoskeletal pain and autonomic nervous system regulation. From a
neurophysiological perspective, Saam acupuncture, which involves five shu points
as the main treatment aspect, has the advantage of increasing parasympathetic
nerve activation and adjusting the balance of the autonomic nervous system.
Inserting a needle into the skin layer while considering the respiratory phase
and stimulating the needle gently and lightly could maximize the effect of Saam
acupuncture. The specific Saam acupuncture prescribed should be identified on the
basis of the neurobiological perspective.
PMID- 26539232
TI - Serum Levels of Stress Hormones and Oxidative Stress Biomarkers Differ according
to Sasang Constitutional Type.
AB - Objectives. This study investigated whether Sasang constitutional type is
associated with differences in the serum levels of stress hormones and oxidative
stress. Methods. A total of 236 participants (77 males and 159 females) were
enrolled. The serum levels of cortisol, adrenaline, reactive oxygen species
(ROS), and malondialdehyde (MDA) were analyzed. Results. The distribution of
Sasang constitutional types was as follows: Taeumin, 35.6%; Soumin, 33.0%; and
Soyangin, 31.4%. The serum cortisol levels of Taeumin were significantly lower
than Soumin (p < 0.1 in both sexes) and Soyangin (p < 0.05 in males and p < 0.1
in females). The adrenaline levels were also significantly lower in Taeumin than
in Soumin (p < 0.05 in males and p < 0.1 in females) and Soyangin (p < 0.1 in
males). Serum ROS levels were significantly higher in Soyangin than in Taeumin
and Soumin (p < 0.05 in males), whereas MDA levels were significantly lower in
Taeumin compared with Soumin and Soyangin (p < 0.05 in males and p < 0.1 in
females). Conclusion. Taeumin type may tolerate psychological or oxidative stress
better than other types, which suggests a biological mechanism to explain the
different pathophysiological features of Sasang constitutional types.
PMID- 26539233
TI - Antihypertensive Effect of the GaMiSamHwangSaSimTang in Spontaneous Hypertensive
Rats.
AB - The present study was designed to evaluate the antihypertensive effect of
GaMiSamHwangSaSimTang (HVC1), a 30% ethanol extract of a mixture comprising Pruni
Cortex, Scutellariae Radix, Coptidis Rhizoma, and Rhei Rhizoma, on spontaneous
hypertensive rats (SHRs). The systolic blood pressure (SBP) was measured every 4
or 7 days using the noninvasive tail cuff system. The vasorelaxant effects on
isolated aortic rings were evaluated. Aortic rings were contracted using
phenylephrine (PE) or KCl, and the changes in tension were recorded via isometric
transducers connected to a data acquisition system. In this study, oral
administration of HVC1 decreased the SBP of SHRs over the experimental period.
HVC1 induced concentration-dependent relaxation in the aortic rings that had been
precontracted using PE or KCl. The vasorelaxant effects of HVC1 on endothelium
intact aortic rings were inhibited by pretreatment with Nomega-Nitro-l-arginine
methyl ester (L-NAME) or methylene blue. HVC1 inhibited the contraction induced
by extracellular Ca(2+) in endothelium-denuded rat aortic rings that had been
precontracted using PE or KCl. In conclusion, HVC1 reduced the SBP of SHR and
relaxed isolated SHR aortic rings by upregulating NO formation and the NO-cGMP
pathway and blocking the entry of extracellular Ca(2+) via receptor-operative
Ca(2+) channel and voltage-dependent Ca(2+) channel.
PMID- 26539234
TI - Trigonellae Semen Enhances Sperm Motility and the Expression of the Cation Sperm
Channel Proteins in Mouse Testes.
AB - Genetic defects during spermatogenesis can lead to a reduction in sperm motility
and cause male infertility. The cation channels of sperm (CatSper) play a role in
the regulation of hyperactivated sperm motility in mouse testes. The effect of
Trigonellae Semen (TS) on the male reproductive system and CatSper protein in
mouse testes during spermatogenesis was examined. C57BL/c mice were divided into
the following five groups: normal, cyclophosphamide- (CP-) only treated (control
group), and three groups treated with varying concentrations of TS with CP (100,
500, and 1000 mg/kg TS and 100 mg/kg CP). Real-time PCR, western blot analysis,
and a testosterone immunoassay were performed to assess CatSper protein levels in
the five groups. Additionally, sperm cell counts and motility were examined.
Results indicate that sperm motility and sperm counts increased in the TS treated
groups in a dose-dependent manner (p < 0.01). CatSper levels were also
significantly higher in the TS treated groups compared to that of the control
group (p < 0.001). Therefore, TS treatment could enhance sperm function by
promoting spermatogenesis and the expression of CatSper proteins in mouse testes.
PMID- 26539235
TI - Discrimination and Proper Use of Polygoni Multiflori Radix, Cynanchi Wilfordii
Radix, and Cynanchi Auriculati Radix in Korea: A Descriptive Review.
AB - Polygoni Multiflori Radix (PMR), Cynanchi Wilfordii Radix (CWR), and Cynanchi
Auriculati Radix (CAR) are very popular herbal medicines in Traditional Korean
Medicine, Traditional Chinese Medicine, and Kampo Medicine. However, the plant
origins, efficacies, and traditional uses of these herbal medicines differ. In
Korea, PMR is called Ha Su O (He Shou Wu in China), and CWR is called Baek Ha Su
O or Baek Su O (Bai Shou Wu in China). Baek Su O refers to CWR in Korea and CAR
in China. CAR has not been used as a traditional herbal medicine, and it cannot
be legally used as a food or food ingredient in Korea. However, CAR is cultivated
in Korea and imported from China. Because the morphology of CWR and CAR is very
similar, they are often confused and misused in Korea. This review discusses the
reasons for the confusion and misuse of these substances in Korea and provides
the exact plant origins, efficacies, uses, components, and toxicities of PMR,
CWR, and CAR so that they can be correctly understood and used.
PMID- 26539236
TI - The Protective Effects of Curcumin on Obesity-Related Glomerulopathy Are
Associated with Inhibition of Wnt/beta-Catenin Signaling Activation in Podocytes.
AB - The present study investigated the effects of curcumin, one of the most important
active ingredients of turmeric, on podocyte injury in vitro and obesity-related
glomerulopathy (ORG) in vivo. Cellular experiments in vitro showed that curcumin
significantly antagonized leptin-induced downregulation of the mRNA and protein
expression of podocyte-associated molecules including nephrin, podocin,
podoplanin, and podocalyxin. Animal experiments in vivo showed that curcumin
significantly reduced the body weight, Lee's index, abdominal fat index, urinary
protein excretion, and average glomerular diameter and significantly upregulated
the mRNA and protein expressions of the above podocyte-associated molecules in
ORG mice. Furthermore, the experiments in vitro and in vivo both displayed that
curcumin could downregulate the mRNA and protein expressions of Wnt1, Wnt2b,
Wnt6, and beta-catenin and upregulate the phosphorylation level of beta-catenin
protein in podocytes and renal tissue. In conclusion, curcumin is able to
alleviate the harmful reaction of leptin on podocytes and reduce the severity of
ORG. The above protective effects are associated with the inhibition of Wnt/beta
catenin signaling activation in podocytes.
PMID- 26539237
TI - Development and Preliminary Validation of the Questionnaire (the First Edition)
Based on TCM for Detecting Health Status in China.
AB - Background. More and more people come to realize the importance of healthcare and
early detecting of health status before becoming much more serious. Self
perceived health is an easy, economic, and effective indicator of health, which
has been widely applied in measuring health. In this paper, the development and
preliminary validation of the questionnaire (the First Edition) based on TCM
theory were described and combined with Manual Mental Health Pattern for
detecting health status in community of Tianjin, China. Methods. Questionnaire
validity and reliability were evaluated in a small sample as a pilot study.
Analyses included tests for reliability and internal consistency, exploratory
factor analysis, and tests for discriminative ability and convergent validity.
Results. Overall, 294 of 303 participants completed the questionnaire (97.3%).
The questionnaire included 49 items. Cronbach's alpha was 0.83. Factor analysis
established 10 distinct domains. The Pearson's rho correlation between the total
scores and MHP (SCL) was statistically significant (r = 0.43, P < 0.001). t-test
revealed significant differences (P < 0.05) in total scores between the healthy
and unhealthy results distinguished by physical examination. Conclusions.
Questionnaire reliability and validity were acceptable. Further work and larger
sample would be warranted to refine items that measure the health status, to
improve the reliability and discriminated validity of the questionnaire.
PMID- 26539238
TI - Tongxinluo Prevents Endothelial Dysfunction Induced by Homocysteine Thiolactone
In Vivo via Suppression of Oxidative Stress.
AB - Aim. To explore whether Chinese traditional medicine, tongxinluo (TXL), exerts
beneficial effects on endothelial dysfunction induced by homocysteine thiolactone
(HTL) and to investigate the potential mechanisms. Methods and Results.
Incubation of cultured human umbilical vein endothelial cells with HTL (1 mM) for
24 hours significantly reduced cell viabilities assayed by MTT, and enhanced
productions of reactive oxygen species. Pretreatment of cells with TXL (100, 200,
and 400 MUg/mL) for 1 hour reversed these effects induced by HTL. Further,
coincubation with GW9662 (0.01, 0.1 mM) abolished the protective effects of TXL
on HTL-treated cells. In ex vivo experiments, exposure of isolated aortic rings
from rats to HTL (1 mM) for 1 hour dramatically impaired acetylcholine-induced
endothelium-dependent relaxation, reduced SOD activity, and increased
malondialdehyde content in aortic tissues. Preincubation of aortic rings with TXL
(100, 200, and 400 MUg/mL) normalized the disorders induced by HTL. Importantly,
all effects induced by TXL were reversed by GW9662. In vivo analysis indicated
that the administration of TXL (1.0 g/kg/d) remarkably suppressed oxidative
stress and prevented endothelial dysfunction in rats fed with HTL (50 mg/kg/d)
for 8 weeks. Conclusions. TXL improves endothelial functions in rats fed with
HTL, which is related to PPARgamma-dependent suppression of oxidative stress.
PMID- 26539239
TI - Effect of a Traditional Herbal Prescription, Kyung-Ok-Ko, on Male Mouse
Spermatogenic Ability after Heat-Induced Damage.
AB - Kyung-Ok-Ko (KOK), a well-known traditional Korean medicinal formula, has long
been used to invigorate the essential qi. This use of KOK may be associated with
reproductive ability as a more modern concept. The protective effect of KOK was
evaluated against deterioration of testicular function induced by heat exposure
in male mice. Male fertility was disrupted by scrotal heat stress at 43 degrees C
for 5 weeks. KOK (0.25, 0.50, and 2.00 g/kg/day) was administered orally at 3 h
after the stress. To evaluate the protective effect of KOK, body weight,
testicular weight, sperm count, sperm motility, and histopathological changes in
the testes were evaluated. KOK-treated mice significantly recovered their general
health, as evidenced by body weight. KOK-treated mice also showed significantly
higher testes weights, sperm counts, and sperm motility than did the heat stress
group. KOK-treated mice significantly recovered the morphological appearance of
the seminiferous tubules and seminiferous epithelium. Furthermore, KOK-treated
mice significantly increased antioxidant enzyme activities and reduced the
protein expressions of apoptosis in the testes. KOK significantly protects
against heat-induced damage to testicular function in male mice by inhibiting
oxidative stress and apoptosis, indicating that KOK may be an effective agent for
treatment of heat-induced male infertility.
PMID- 26539240
TI - Fetal facial expression in response to intravaginal music emission.
AB - This study compared fetal response to musical stimuli applied intravaginally
(intravaginal music [IVM]) with application via emitters placed on the mother's
abdomen (abdominal music [ABM]). Responses were quantified by recording facial
movements identified on 3D/4D ultrasound. One hundred and six normal pregnancies
between 14 and 39 weeks of gestation were randomized to 3D/4D ultrasound with:
(a) ABM with standard headphones (flute monody at 98.6 dB); (b) IVM with a
specially designed device emitting the same monody at 53.7 dB; or (c)
intravaginal vibration (IVV; 125 Hz) at 68 dB with the same device. Facial
movements were quantified at baseline, during stimulation, and for 5 minutes
after stimulation was discontinued. In fetuses at a gestational age of >16 weeks,
IVM-elicited mouthing (MT) and tongue expulsion (TE) in 86.7% and 46.6% of
fetuses, respectively, with significant differences when compared with ABM and
IVV (p = 0.002 and p = 0.004, respectively). There were no changes from baseline
in ABM and IVV. TE occurred >=5 times in 5 minutes in 13.3% with IVM. IVM was
related with higher occurrence of MT (odds ratio = 10.980; 95% confidence
interval = 3.105-47.546) and TE (odds ratio = 10.943; 95% confidence interval =
2.568-77.037). The frequency of TE with IVM increased significantly with
gestational age (p = 0.024). Fetuses at 16-39 weeks of gestation respond to
intravaginally emitted music with repetitive MT and TE movements not observed
with ABM or IVV. Our findings suggest that neural pathways participating in the
auditory-motor system are developed as early as gestational week 16. These
findings might contribute to diagnostic methods for prenatal hearing screening,
and research into fetal neurological stimulation.
PMID- 26539241
TI - Short-term, high-fat diet accelerates disuse atrophy and protein degradation in a
muscle-specific manner in mice.
AB - BACKGROUND: A short-term high-fat diet impairs mitochondrial function and the
ability of skeletal muscle to respond to growth stimuli, but it is unknown
whether such a diet alters the ability to respond to atrophy signals. The purpose
of this study was to determine whether rapid weigh gain induced by a high-fat
(HF) diet accelerates denervation-induced muscle atrophy. METHODS: Adult, male
mice (C57BL/6) were fed a control or HF (60 % calories as fat) diet for 3 weeks
(3wHF). Sciatic nerve was sectioned unilaterally for the final 5 or 14 days of
the diet. Soleus and extensor digitorum longus (EDL) muscles were removed and
incubated in vitro to determine rates of protein degradation and subsequently
homogenized for determination of protein levels of LC3, ubiquitination, myosin
heavy chain (MHC) distribution, and mitochondrial subunits. RESULTS: When mice
were fed the 3wHF diet, whole-body fat mass more than doubled, but basal
(innervated) muscle weights, rates of protein degradation, LC3 content,
mitochondrial protein content, and myosin isoform distribution were not
significantly different than with the control diet in either soleus or EDL.
However in the 14 day denervated soleus, the 3wHF diet significantly augmented
loss of mass, proteolysis rate, amount of the autophagosome marker LC3 II, and
the amount of overall ubiquitination as compared to the control fed mice. On the
contrary, the 3wHF diet had no significant effect in the EDL on amount of mass
loss, proteolysis rate, LC3 levels, or ubiquitination. Fourteen days denervation
also induced a loss of mitochondrial proteins in the soleus but not the EDL,
regardless of the diet. CONCLUSIONS: Taken together, a short-term, high-fat diet
augments denervation muscle atrophy by induction of protein degradation in the
mitochondria-rich soleus but not in the glycolytic EDL. These findings suggest
that the denervation-induced loss of mitochondria and HF diet-induced impairment
of mitochondrial function may combine to promote skeletal muscle atrophy.
PMID- 26539243
TI - The 'Geographic Emission Benchmark' model: a baseline approach to measuring
emissions associated with deforestation and degradation.
AB - This paper proposes a new land-change model, the Geographic Emission Benchmark
(GEB), as an approach to quantify land-cover changes associated with
deforestation and forest degradation. The GEB is designed to determine 'baseline'
activity data for reference levels. Unlike other models that forecast business-as
usual future deforestation, the GEB internally (1) characterizes 'forest' and
'deforestation' with minimal processing and ground-truthing and (2) identifies
'deforestation hotspots' using open-source spatial methods to estimate regional
rates of deforestation. The GEB also characterizes forest degradation and
identifies leakage belts. This paper compares the accuracy of GEB with GEOMOD, a
popular land-change model used in the UN-REDD (Reducing Emissions from
Deforestation and Forest Degradation) Program. Using a case study of the Chinese
tropics for comparison, GEB's projection is more accurate than GEOMOD's, as
measured by Figure of Merit. Thus, the GEB produces baseline activity data that
are moderately accurate for the setting of reference levels.
PMID- 26539242
TI - Vaccination against hepatitis b virus: are Italian medical students sufficiently
protected after the public vaccination programme?
AB - BACKGROUND: The development of a vaccine against hepatitis B virus (HBV) has been
a major achievement in terms of prevention of HBV infection. For the present
study, we analysed the long-term immunogenicity and effectiveness of HBV
vaccination among healthcare students with different working seniorities.
METHODS: A cross-sectional study of undergraduate and postgraduate students
attending the Medical School of the Second University of Naples was conducted
between September 2012 and December 2014. HBV serum markers were determined and
multivariate logistic regression analysis was used to identify factors associated
with the level of long-term immunogenicity. RESULTS: Of the 2,932 subjects
evaluated, only 33 (1.1 %) declared no history of vaccination. All vaccinated
subjects were HBsAg/anti-HBc negative, 459 of which had an anti-HBs titre <10
IU/L. The latter were younger, more likely to be attending a healthcare
profession school (i.e., dental hygienists, nursing, paediatric nursing,
radiography and midwifery) than a medical school (at either undergraduate or
postgraduate level) and more likely to have been vaccinated in infancy.
CONCLUSION: The results of this study suggest that assessment of HBV serum
markers in workers potentially exposed to hospital infections is useful to
identify small numbers of unvaccinated subjects or vaccinated subjects with low
antibody titre, all of whom should be referred to a booster series of
vaccinations.
PMID- 26539245
TI - Diagnosis of Lung Cancer by Fractal Analysis of Damaged DNA.
AB - Cancer starts when cells in a part of the body start to grow out of control. In
fact cells become cancer cells because of DNA damage. A DNA walk of a genome
represents how the frequency of each nucleotide of a pairing nucleotide couple
changes locally. In this research in order to study the cancer genes, DNA walk
plots of genomes of patients with lung cancer were generated using a program
written in MATLAB language. The data so obtained was checked for fractal property
by computing the fractal dimension using a program written in MATLAB. Also, the
correlation of damaged DNA was studied using the Hurst exponent measure. We have
found that the damaged DNA sequences are exhibiting higher degree of fractality
and less correlation compared with normal DNA sequences. So we confirmed this
method can be used for early detection of lung cancer. The method introduced in
this research not only is useful for diagnosis of lung cancer but also can be
applied for detection and growth analysis of different types of cancers.
PMID- 26539244
TI - A Multiscale Constraints Method Localization of 3D Facial Feature Points.
AB - It is an important task to locate facial feature points due to the widespread
application of 3D human face models in medical fields. In this paper, we propose
a 3D facial feature point localization method that combines the relative angle
histograms with multiscale constraints. Firstly, the relative angle histogram of
each vertex in a 3D point distribution model is calculated; then the cluster set
of the facial feature points is determined using the cluster algorithm. Finally,
the feature points are located precisely according to multiscale integral
features. The experimental results show that the feature point localization
accuracy of this algorithm is better than that of the localization method using
the relative angle histograms.
PMID- 26539246
TI - A Five-Gene Signature Predicts Prognosis in Patients with Kidney Renal Clear Cell
Carcinoma.
AB - Kidney renal clear cell carcinoma (KIRC) is one of the most common cancers with
high mortality all over the world. Many studies have proposed that genes could be
used to predict prognosis in KIRC. In this study, RNA expression data from next
generation sequencing and clinical information of 523 patients downloaded from
The Cancer Genome Atlas (TCGA) dataset were analyzed in order to identify the
relationship between gene expression level and the prognosis of KIRC patients. A
set of five genes that significantly associated with overall survival time was
identified and a model containing these five genes was constructed by Cox
regression analysis. By Kaplan-Meier and Receiver Operating Characteristic (ROC)
analysis, we confirmed that the model had good sensitivity and specificity. In
summary, expression of the five-gene model is associated with the prognosis
outcomes of KIRC patients, and it may have an important clinical significance.
PMID- 26539247
TI - The expression of perinatal depression in rural Ghana.
AB - In low- and middle-income countries, perinatal depression (PND) has been
associated with poor infant health outcomes, including frequency of infant
diarrheal episodes, preterm delivery and low birth weight, and discontinuation or
problems breastfeeding. Yet little is known about the awareness or expression of
PND depression in Ghana. A total of 12 in-depth key-informant interviews were
conducted with women who had experienced PND within the previous two-and-a-half
years. Three focus-group discussions were conducted with new mothers (n = 11),
grandmothers (n = 8), and fathers (n = 9) for contextual and supporting
information. 'Thinking too much' was the term most commonly used to describe PND.
The women saw their distress as caused largely by poverty, lack of social
support, and domestic problems. Women sought help through family and religious
organizations, rather than through medical services. Problems producing breast
milk or breastfeeding were nearly universal complaints and suggest significant
effects on infant health in the study area. These results present evidence to
support the increasing consensus that depression presents in similar and
disabling ways across cultures and contexts. This formative qualitative data is
required to tailor depression prevention or treatment interventions to this
particular socio-cultural context.
PMID- 26539248
TI - Prenatal diagnosis of complete maternal uniparental isodisomy of chromosome 4 in
a fetus without congenital abnormality or inherited disease-associated
variations.
AB - BACKGROUND: The prenatal diagnosis of subjects with complete uniparental
isodisomy of chromosome 4 (iUPD4) has rarely been reported and poses a great
challenge for genetic counseling. In this study, a prenatal case with a high (1
in 58) risk of Down syndrome was diagnosed with iUPD4 by combined chromosomal
microarray analysis (CMA), whole exome sequencing (WES) and ultrasound morphology
scan. RESULTS: By CMA, a pathogenic copy number variant was not detected;
however, a complete maternal iUPD4 was identified in this fetus after analyzing
the parental genotype results. To detect potentially autosomal recessive
variants, WES was performed. Two missense and two frameshift variants were
identified but were predicted with uncertain significance; none of the mutations
were definitively associated with congenital abnormality or inherited disease. In
addition, a detailed ultrasound morphology scan did not identify any structural
abnormalities, facial dysmorphisms or intrauterine growth restriction. The family
history was unremarkable. The couple was counseled with the prenatal diagnostic
results, and they opted to give birth to the child. No phenotypic abnormalities
were observed in this child after the first year of life. CONCLUSION: This study
provides further evidence that iUPD4 can result in a healthy live birth and
demonstrates that the combined use of CMA, WES and ultrasound technology provides
additional information for the prenatal diagnosis and clinical management of rare
UPD events.
PMID- 26539249
TI - Next generation informatics for big data in precision medicine era.
AB - The rise of data-intensive biology, advances in informatics technology, and
changes in the way health care is delivered has created an compelling opportunity
to allow us investigate biomedical questions in the context of "big data" and
develop knowledge systems to support precision medicine. To promote such data
mining and informatics technology development in precision medicine, we hosted
two international informatics workshops in 2014: 1) the first workshop on Data
Mining in Biomedical informatics and Healthcare, in conjunction with the 18th
Pacific-Asia Conference on Knowledge Discovery and Data Mining (PAKDD 2014), and
2) the first workshop on Translational biomedical and clinical informatics, in
conjunction with the 8th International Conference on Systems Biology and the 4th
Translational Bioinformatics Conference (ISB/TBC 2014). This thematic issue of
BioData Mining presents a series of selected papers from these two international
workshops, aiming to address the data mining needs in the informatics field due
to the deluge of "big data" generated by next generation biotechnologies such as
next generation sequencing, metabolomics, and proteomics, as well as the
structured and unstructured biomedical and healthcare data from electronic health
records. We are grateful for the BioData Mining's willingness to produce this
forward-looking thematic issue.
PMID- 26539250
TI - Predictiveness curves in virtual screening.
AB - BACKGROUND: In the present work, we aim to transfer to the field of virtual
screening the predictiveness curve, a metric that has been advocated in clinical
epidemiology. The literature describes the use of predictiveness curves to
evaluate the performances of biological markers to formulate diagnoses, prognoses
and assess disease risks, assess the fit of risk models, and estimate the
clinical utility of a model when applied to a population. Similarly, we use
logistic regression models to calculate activity probabilities related to the
scores that the compounds obtained in virtual screening experiments. The
predictiveness curve can provide an intuitive and graphical tool to compare the
predictive power of virtual screening methods. RESULTS: Similarly to ROC curves,
predictiveness curves are functions of the distribution of the scores and provide
a common scale for the evaluation of virtual screening methods. Contrarily to ROC
curves, the dispersion of the scores is well described by predictiveness curves.
This property allows the quantification of the predictive performance of virtual
screening methods on a fraction of a given molecular dataset and makes the
predictiveness curve an efficient tool to address the early recognition problem.
To this last end, we introduce the use of the total gain and partial total gain
to quantify recognition and early recognition of active compounds attributed to
the variations of the scores obtained with virtual screening methods.
Additionally to its usefulness in the evaluation of virtual screening methods,
predictiveness curves can be used to define optimal score thresholds for the
selection of compounds to be tested experimentally in a drug discovery program.
We illustrate the use of predictiveness curves as a complement to ROC on the
results of a virtual screening of the Directory of Useful Decoys datasets using
three different methods (Surflex-dock, ICM, Autodock Vina). CONCLUSION: The
predictiveness curves cover different aspects of the predictive power of the
scores, allowing a detailed evaluation of the performance of virtual screening
methods. We believe predictiveness curves efficiently complete the set of tools
available for the analysis of virtual screening results.
PMID- 26539251
TI - Effect of Local Anesthetics on Human Mesenchymal Stromal Cell Secretion.
AB - Anti-fibrotic and tissue regenerative mesenchymal stromal cell (MSC) properties
are largely mediated by secreted cytokines and growth factors. MSCs are implanted
to augment joint cartilage replacement and to treat diabetic ulcers and burn
injuries simultaneously with local anesthetics, which reduce pain. However, the
effect of anesthetics on therapeutic human MSC secretory function has not been
evaluated. In order to assess the effect of local anesthetics on the MSC
secretome, a panel of four anesthetics with different potencies - lidocaine,
procaine, ropivacaine and bupivacaine - was evaluated. Since injured tissues
secrete inflammatory cytokines, the effects of anesthetics on MSCs stimulated
with tumor necrosis factor (TNF)-alpha and interferon (IFN)-gamma were also
measured. Dose dependent and anesthesia specific effects on cell viability, post
exposure proliferation and secretory function were quantified using alamar blue
reduction and immunoassays, respectively. Computational pathway analysis was
performed to identify upstream regulators and molecular pathways likely
associated with the effects of these chemicals on the MSC secretome. Our results
indicated while neither lidocaine nor procaine greatly reduced unstimulated cell
viability, ropivacaine and bupivacaine induced dose dependent viability
decreases. This pattern was exaggerated in the simulated inflammatory
environment. The reversibility of these effects after withdrawal of the
anesthetics was attenuated for TNF-alpha/IFN-gamma-stimulated MSCs exposed to
ropivacaine and bupivacaine. In addition, secretome analysis indicated that
constitutive secretion changes were clearly affected by both anesthetic alone and
anesthetic plus TNFalpha/IFNgamma cell stimulation, but the secretory pattern was
drug specific and did not necessarily coincide with viability changes. Pathway
analysis identified different intracellular regulators for stimulated and
unstimulated MSCs. Within these groups, ropivacaine and bupivacaine appeared to
act on MSCs similarly via the same regulatory mechanisms. Given the variable
effect of local anesthetics on MSC viability and function, these studies
underscore the need to evaluate MSC in the presence of medications, such as
anesthetics, that are likely to accompany cell implantation.
PMID- 26539252
TI - Options and Considerations for Adaptive Laboratory Experiments.
AB - Motivated by laboratory experiments that fail to reach significance, we developed
a small sample size approach to designing a subsequent experiment that controls
overall type I error and achieves sufficient conditional power. We focus on
experiments with leukemia cells, and use a specific example in Chronic
Lymphocytic Leukemia to discuss unanticipated patient variance and difficult to
predict interaction effect sizes. We emphasize the importance of achieving
significance in the first run of an experiment, which results in simplifying the
multiple considerations usually associated with interim analysis and decision
making in adaptive clinical trials. Within the context of combination testing for
an adaptive laboratory experiment, we show that a range of reasonable options for
the futility cut-off, effect size estimation, and significance level for the
first run provide similar power and expected overall sample size. We contrast
this approach to a naive procedure in which a second unplanned experiment is run
based on non-significance in the first experiment, and data are combined as if
they were obtained from one run.
PMID- 26539253
TI - DNA-methylation in C1R is a prognostic biomarker for acute myeloid leukemia.
AB - BACKGROUND: Epigenetic aberrations play a central role in the pathophysiology of
acute myeloid leukemia (AML). It has been shown that molecular signatures based
on DNA-methylation (DNAm) patterns can be used for classification of the disease.
In this study, we followed the hypothesis that DNAm at a single CpG site might
support risk stratification in AML. FINDINGS: Using DNAm profiles of 194 patients
from The Cancer Genome Atlas (TCGA), we identified a CpG site in complement
component 1 subcomponent R (C1R) as best suited biomarker: patients with higher
methylation at this CpG site (>27 % DNAm) reveal significantly longer overall
survival (53 versus 11 months; P < 0.0001). This finding was validated in an
independent set of 62 DNAm profiles of cytogenetically normal AML patients (P =
0.009) and with a region-specific pyrosequencing assay in 84 AML samples (P =
0.012). DNAm of C1R correlated with genomic DNAm and gene expression patterns,
whereas there was only moderate association with gene expression levels of C1R.
These results indicate that DNAm of C1R is a biomarker reflecting chromatin
reorganization rather than being of pathophysiological relevance per se. Notably,
DNAm of C1R was associated with occurrence of specific genomic mutations that are
traditionally used for risk stratification in AML. Furthermore, DNAm of C1R
correlates also with overall survival in several other types of cancer, but the
prognostic relevance was less pronounced than in AML. CONCLUSIONS: Analysis of
DNAm at C1R provides a simple, robust, and cost-effective biomarker to further
complement risk assessment in AML.
PMID- 26539254
TI - Chemical characterization and in vitro toxicity of diesel exhaust particulate
matter generated under varying conditions.
AB - Epidemiologic studies have linked diesel exhaust (DE) to cardiovascular and
respiratory morbidity and mortality, as well as lung cancer. DE composition is
known to vary with many factors, although it is unclear how this influences
toxicity. We generated eight DE atmospheres by applying a 2*2*2 factorial design
and altering three parameters in a controlled exposure facility: (1) engine load
(27 vs 82 %), (2) particle aging (residence time ~5 s vs ~5 min prior to particle
collection), and (3) oxidation (with or without ozonation during dilution).
Selected exposure concentrations of both diesel exhaust particles (DEPs) and DE
gases, DEP oxidative reactivity via DTT activity, and in vitro DEP toxicity in
murine endothelial cells were measured for each DE atmosphere. Cell toxicity was
assessed via measurement of cell proliferation (colony formation assay), cell
viability (MTT assay), and wound healing (scratch assay). Differences in DE
composition were observed as a function of engine load. The mean 1-nitropyrene
concentration was 15 times higher and oxidative reactivity was two times higher
for low engine load versus high load. There were no substantial differences in
measured toxicity among the three DE exposure parameters. These results indicate
that alteration of applied engine load shifts the composition and can modify the
biological reactivity of DE. While engine conditions did not affect the selected
in vitro toxicity measures, the change in oxidative reactivity suggests that
toxicological studies with DE need to take into account engine conditions in
characterizing biological effects.
PMID- 26539256
TI - WHOLE BRAIN GROUP NETWORK ANALYSIS USING NETWORK BIAS AND VARIANCE PARAMETERS.
AB - The disruption of normal function and connectivity of neural circuits is common
across many diseases and disorders of the brain. This disruptive effect can be
studied and analyzed using the brain's complex functional and structural
connectivity network. Complex network measures from the field of graph theory
have been used for this purpose in the literature. In this paper we have
introduced a new approach for analyzing the brain connectivity network. In our
approach the true connectivity network and each subject's bias and variance are
estimated using a population of patients and healthy controls. These parameters
can then be used to compare two groups of brain networks. We have used this
approach for the comparison of the resting state functional MRI network of
pediatric Tuberous Sclerosis Complex (TSC) patients and healthy subjects. We have
shown that a significant difference between the two groups can be found. For
validation, we have compared our findings with three well known complex network
measures.
PMID- 26539255
TI - EGCG Attenuates Uric Acid-Induced Inflammatory and Oxidative Stress Responses by
Medicating the NOTCH Pathway.
AB - BACKGROUND: The aim of this study is to investigate whether (-)-epigallocatechin
3-gallate (EGCG) can prevent the UA-induced inflammatory effect of human
umbilical vein endothelial cells (HUVEC) and the involved mechanisms in vitro.
METHODS: HUVEC were subjected to uric acid (UA) with or without EGCG treatment.
RT-PCR and western blots were performed to determine the level of inflammation
marker. The antioxidant activity was evaluated by measuring scavenged reactive
oxygen species (ROS). Functional studies of the role of Notch-1 in HUVEC lines
were performed using RNA interference analyses. RESULTS: UA significantly
increased the expressions of IL-6, ICAM-1, TNF-alpha, and MCP-1 and the
production of ROS in HUVEC. Meanwhile, the expression of Notch-1 and its
downstream effects significantly increased. Using siRNA, inhibition of Notch-1
signaling significantly impeded the expressions of inflammatory cytokines under
UA treatment. Interestingly, EGCG suppressed the expressions of inflammatory
cytokines and the generation of ROS. Western blot analysis of Notch-1 showed that
EGCG significantly decreased the expressions of inflammatory cytokines through
Notch-1 signaling pathways. CONCLUSIONS: In summary, our findings indicated that
Notch-1 plays an important role in the UA-induced inflammatory response, and the
downregulation of Notch-1 by EGCG could be an effective approach to decrease
inflammation and oxidative stress induced by UA.
PMID- 26539257
TI - Role of a Burr Hole and Calvarial Bone Marrow-Derived Stem Cells in the Ischemic
Rat Brain: A Possible Mechanism for the Efficacy of Multiple Burr Hole Surgery in
Moyamoya Disease.
AB - OBJECTIVE: This study investigates the role of a burr hole and calvarial bone
marrow-derived stem cells (BMSCs) in a transient ischemic brain injury model in
the rat and postulates a possible mechanism for the efficacy of multiple cranial
burr hole (MCBH) surgery in moyamoya disease (MMD). METHODS: Twenty Sprague
Dawley rats (250 g, male) were divided into four groups : normal control group
(n=5), burr hole group (n=5), ischemia group (n=5), and ischemia+burr hole group
(n=5). Focal ischemia was induced by the transient middle cerebral artery
occlusion (MCAO). At one week after the ischemic injury, a 2 mm-sized cranial
burr hole with small cortical incision was made on the ipsilateral (left)
parietal area. Bromodeoxyuridine (BrdU, 50 mg/kg) was injected intraperitoneally,
2 times a day for 6 days after the burr hole trephination. At one week after the
burr hole trephination, brains were harvested. Immunohistochemical stainings for
BrdU, CD34, VEGF, and Doublecortin and Nestin were done. RESULTS: In the
ischemia+burr hole group, BrdU (+), CD34 (+), and Doublecortin (+) cells were
found in the cortical incision site below the burr hole. A number of cells with
Nestin (+) or VEGF (+) were found in the cerebral parenchyma around the cortical
incision site. In the other groups, BrdU (+), CD34 (+), Doublecortin (+), and
Nestin (+) cells were not detected in the corresponding area. These findings
suggest that BrdU (+) and CD34 (+) cells are bone marrow-derived stem cells,
which may be derived from the calvarial bone marrow through the burr hole. The
existence of CD34 (+) and VEGF (+) cells indicates increased angiogenesis, while
the existence of Doublecortin (+), Nestin (+) cells indicates increased
neurogenesis. CONCLUSION: Based on these findings, the BMSCs through burr holes
seem to play an important role for the therapeutic effect of the MCBH surgery in
MMD.
PMID- 26539258
TI - Endovascular Treatment of Vertebral Artery Dissecting Aneurysms That Cause
Subarachnoid Hemorrhage : Consideration of Therapeutic Approaches Relevant to the
Angioarchitecture.
AB - OBJECTIVE: Intracranial ruptured vertebral artery dissecting aneurysms (VADAns)
are associated with high morbidity and mortality when left untreated due to the
high likelihood of rebleeding. The present study aimed to establish an
endovascular therapeutic strategy that focuses specifically on the
angioarchitecture of ruptured VADAns. METHODS: Twenty-three patients with
ruptured VADAn received endovascular treatment (EVT) over 7 years. The patient
group included 14 women (60.9%) and 9 men (39.1%) between the ages of 39 and 72
years (mean age 54.2 years). Clinical data and radiologic findings were
retrospectively analyzed. RESULTS: Four patients had aneurysms on the dominant
vertebral artery. Fourteen (61%) aneurysms were located distal to the posterior
inferior cerebellar artery (PICA). Six (26%) patients had an extracranial origin
of the PICA on the ruptured VA, and 2 patients (9%) had bilateral VADAns.
Eighteen patients (78%) were treated with internal coil trapping. Two patients
(9%) required an adjunctive bypass procedure. Seven patients (30%) required stent
supported endovascular procedures. Two patients experienced intra-procedural
rupture during EVT, one of which was associated with a focal medullary
infarction. Two patients (9%) exhibited recanalization of the VADAn during follow
up, which required additional coiling. No recurrent hemorrhage was observed
during the follow-up period. CONCLUSION: EVT of ruptured VADAns based on
angioarchitecture is a feasible and effective armamentarium to prevent fatal
hemorrhage recurrence with an acceptable low risk of procedural complications.
Clinical outcomes depend mainly on the pre-procedural clinical state of the
patient. Radiologic follow-up is necessary to prevent hemorrhage recurrence after
EVT.
PMID- 26539259
TI - Eleven Year's Single Center Experience of Endovascular Treatment of Anterior
Communicating Artery Aneurysms: Focused on Digital Subtraction Angiography Follow
Up Results.
AB - OBJECTIVE: Anterior communicating artery (AcomA) aneurysms represent the most
common intracranial aneurysms and challenging to treat due to complex
vascularity. The purpose of this study was to report our experience of
endovascular treatment of AcomA aneurysms. METHODS: Between January 2003 and
December 2013, we retrospectively reviewed the medical records of 134 AcomA
aneurysm patients available more than 6 months conventional angiographic and
clinical follow-up results. We focused on aneurismal or AcomA vascular
characters, angiographic and clinical follow-up results, and retreatment.
RESULTS: The rate of ruptured cases was 75.4%, and the small (<10 mm) aneurysms
were 96.3%. Based on the subtypes defined by dominance of A1, 79 patients (59%)
had contralateral A1 hypoplasia or agenesis. The immediate post-procedural
angiography confirmed complete occlusion in 75.4%, partial occlusion in 24.6%.
Procedure related complications were observed in 25 (18.6%) patients. Most of the
adverse events were asymptomatic. Follow-up conventional angiography at >=6
months was performed in all patients (mean 16.3 months) and major recanalization
was noted in 6.7% and regrowth in one case. The aneurysm size (p=0.016), and
initial treatment results (p=0.00) were statistically significant risk factors
related to aneurysm recurrence. An overall improvement in mRS was observed during
the clinical follow-up period and no rebleeding episode occurred. CONCLUSION:
This study demonstrated that endovascular treatment is an effective treatment
modality for AcomA aneurysms with low morbidity. Patients should take long term
clinical and angiographic follow-up in order to assess the recurrence and warrant
retreatment, especially ruptured, large, and initially incomplete occluded
aneurysms.
PMID- 26539260
TI - Angiographic and Clinical Factors Related with Good Functional Outcome after
Mechanical Thrombectomy in Acute Cerebral Artery Occlusion.
AB - OBJECTIVE: The aim of this study is to investigate good prognostic factors for an
acute occlusion of a major cerebral artery using mechanical thrombectomy.
METHODS: Between January 2013 to December 2014, 37 consecutive patients with
acute occlusion of a major cerebral artery treated by mechanical thrombectomy
with stent retrievers were conducted. We analyzed clinical and angiographic
factors retrospectively. The collateral flow and the result of recanalization
were sorted by grading systems. Outcome was assessed by National Institutes of
Health Stroke Scale (NIHSS) and modified Rankin Scale (mRS) at 90 days. We
compared the various parameters between good and poor angiographic and clinical
results. RESULTS: Twenty seven patients demonstrated good recanalization
[Thrombolysis in Cerebral Infarction (TICI) 2b or 3] after thrombectomy. At the
90-day follow up, 19 patients had good (mRS, 0-2), 14 had moderate (3-4) and four
had poor outcomes (5-6). The mRS of older patients (>=75 years) were poor than
younger patients. Early recanalization, high Thrombolysis in Myocardial
Infarction risk score, and low baseline NIHSS were closely related to 90-day mRS,
whereas high TICI was related to both mRS and the decrease in the NIHSS.
CONCLUSION: NIHSS decreased markedly only when recanalization was successful. A
good mRS was related to low initial NIHSS, good collateral, and early successful
recanalization.
PMID- 26539261
TI - Endovascular Treatment of Ruptured Pericallosal Artery Aneurysms.
AB - OBJECTIVE: Aneurysms arising from the pericallosal artery (PA) are uncommon and
challenging to treat. The aim of this study was to report our experiences of the
endovascular treatment of ruptured PA aneurysms. METHODS: From September 2003 to
December 2013, 30 ruptured PA aneurysms in 30 patients were treated at our
institution via an endovascular approach. Procedural data, clinical and
angiographic results were retrospectively reviewed. RESULTS: Regarding immediate
angiographic control, complete occlusion was achieved in 21 (70.0%) patients and
near-complete occlusion in 9 (30.0%). Eight procedure-related complications
occurred, including intraprocedural rupture and early rebleeding in three each,
and thromboembolic event in two. At last follow-up, 18 patients were independent
with a modified Rankin Scale (mRS) score of 0-2, and the other 12 were either
dependent or had expired (mRS score, 3-6). Adjacent hematoma was found to be
associated with an increased risk of poor clinical outcome. Seventeen of 23
surviving patients underwent follow-up conventional angiography (mean, 16.5
months). Results showed stable occlusion in 14 (82.4%), minor recanalization in
two (11.8%), and major recanalization, which required recoiling, in one (5.9%).
CONCLUSION: Our experiences demonstrate that endovascular treatment for a
ruptured PA aneurysms is both feasible and effective. However, periprocedural
rebleedings were found to occur far more often (20.0%) than is generally
suspected and to be associated with preoperative contrast retention. Analysis
showed existing adjacent hematoma is predictive of a poor clinical outcome.
PMID- 26539262
TI - Effects of an Epithelial Growth Factor Receptor-Tyrosine Kinase Inhibitor Add-on
in Stereotactic Radiosurgery for Brain Metastases Originating from Non-Small-Cell
Lung Cancer.
AB - OBJECTIVE: This study was aimed at optimizing the treatment of non-small-cell
lung cancer (NSCLC) patients who are candidates for stereotactic radiosurgery
(SRS) for brain metastases and harbor activating epithelial growth factor
receptor (EGFR) mutations. METHODS: We retrospectively reviewed the medical
records from 2005 to 2010 of NSCLC patients with brain metastases harboring an
activating EGFR mutation. Patients who received a combination therapy of SRS and
EGFR-tyrosine kinase inhibitor (TKI) for brain metastases and those who received
SRS without EGFR-TKI were compared. The primary endpoint was progression-free
survival (PFS) of the brain metastases. RESULTS: Thirty-one patients were
eligible for enrolment in this study (SRS with TKI, 18; SRS without TKI, 13).
Twenty-two patients (71.0%) were women and the median overall age was 56.0 years.
PFS of brain lesions was not significantly prolonged in SRS with TKI treatment
group than in SRS without TKI group (17.0 months vs. 9.0 months, p=0.45). Local
tumor control rate was 83.3% in the combination therapy group, and 61.5% in the
SRS monotherapy group (p=0.23). There were no severe adverse events related with
treatment in both groups. CONCLUSIONS: Therapeutic outcome of concurrent SRS and
TKI treatment was not superior to SRS monotherapy, however, there was no additive
adverse events related with combined treatment.
PMID- 26539263
TI - Intracranial Hemangiopericytomas : A Retrospective Study of 15 Patients with a
Special Review of Recurrence.
AB - OBJECTIVE: Although surgical resection is used to treat meningeal
hemangiopericytoma (MHPC), there is a high risk of subsequent recurrence. This
study investigated factors associated with treatment outcomes and recurrence in
patients who had undergone surgical resection of intracranial MHPC. METHODS:
Fifteen patients underwent surgical treatments performed by one senior
neurosurgeon between 1997 and 2013. Clinical data, radiologic images, surgical
outcomes, recurrence, and other relevant characteristics were reviewed and
analyzed. RESULTS: Fifteen patients were included in the analysis, 12 (80%) of
whom had tumors in the supratentorial region, and 3 (20%) of whom had tumors in
the infratentorial region. Complete resection was achieved in all 15 patients,
and 3 (20%) patients were administered radiosurgery and conventional radiotherapy
after surgery as adjuvant radiotherapy. Three patients developed recurrence, 2 of
whom had not received adjuvant radiotherapy. In 1 of the patients who had not
received adjuvant radiotherapy, recurrence developed at the original tumor site,
81 months after surgery. The other 2 recurrences occurred at other sites, 78 and
41 months after surgery. The 5- and 10-year overall survival rates were 88.3%,
while the 5- and 10-year recurrence-free survival rates were 83% and 52%,
respectively. Additionally the mean Ki-67 index differed significantly between
patients who did and did not develop recurrence (43% vs. 14%; p=0.001).
CONCLUSION: Because of the high risk of MHPC recurrence, MHPC tumors should be
completely resected, whenever feasible. However, even when complete resection is
achieved, adjuvant radiotherapy might be necessary to prevent recurrence.
PMID- 26539264
TI - Efficacy and Safety of Fractionated Stereotactic Radiosurgery for Large Brain
Metastases.
AB - OBJECTIVE: To investigate the efficacy and safety of fractionated stereotactic
radiosurgery for large brain metastases (BMs). METHODS: Between June 2011 and
December 2013, a total of 38 large BMs >3.0 cm in 37 patients were treated with
fractionated Cyberknife radiosurgery. These patients comprised 16 men (43.2%) and
21 women, with a median age of 60 years (range, 38-75 years). BMs originated from
the lung (n=19, 51.4%), the gastrointestinal tract (n=10, 27.0%), the breast
(n=5, 13.5%), and other tissues (n=3, 8.1%). The median tumor volume was 17.6 cc
(range, 9.4-49.6 cc). For Cyberknife treatment, a median peripheral dose of 35 Gy
(range, 30-41 Gy) was delivered in 3 to 5 fractions. RESULTS: With a median
follow-up of 10 months (range, 1-37 months), the crude local tumor control (LTC)
rate was 86.8% and the estimated LTC rates at 12 and 24 months were 87.0% and
65.2%, respectively. The median overall survival (OS) and progression-free
survival (PFS) rates were 16 and 11 months, respectively. The estimated OS and
PFS rates at 6, 12, and 18 months were 81.1% and 65.5%, 56.8% and 44.9%, and
40.7% and 25.7%, respectively. Patient performance status and preoperative focal
neurologic deficits improved in 20 of 35 (57.1%) and 12 of 17 patients (70.6%),
respectively. Radiation necrosis with a toxicity grade of 2 or 3 occurred in 6
lesions (15.8%). CONCLUSION: These results suggest a promising role of
fractionated stereotactic radiosurgery in treating large BMs in terms of both
efficacy and safety.
PMID- 26539265
TI - The Clinical and Radiological Effect of Abnormal Axis after Cervical
Arthroplasty.
AB - OBJECTIVE: The clinical outcomes according to the radiological results after
cervical total disc replacement (TDR) are not well established. Here, the authors
reviewed the clinical results according to the asymmetry in radiographs. METHODS:
This retrospective analysis included patients after TDR (Mobi-C(r) disc) with at
least 12 months follow up, and the clinical and radiological data were obtained
preoperatively and postoperatively for 12 months. Clinical outcome measures
numerical rating scale (NRS) score for neck pain, visual analog scale (VAS) for
arm pain, and the Oswestry disability index (ODI) value. The asymmetries of TDRs
were evaluated on the anterior-posterior (AP) and the lateral radiographs, and
the radiographic adjacent segment degenerations were evaluated for 12 months.
RESULTS: A total of 24 patients (one level cervical TDR; 10 male and 14 female;
aged 41.50+/-8.35 years) were included in this study. The clinical results
including NRS for neck pain, VAS for arm pain, and ODIs were similar between the
normal and asymmetrized TDRs in AP and lateral radiographs. The radiographic
adjacent segment degenerations were significantly increased in deviated TDRs
(AP>10 mm asymmetry and lateral>10 mm asymmetry). CONCLUSION: Asymmetrical
location of TDR is not related to the clinical outcomes, but related to the risk
of radiographic adjacent disc segment degeneration.
PMID- 26539266
TI - The Relationship between Neck Pain and Cervical Alignment in Young Female Nursing
Staff.
AB - OBJECTIVE: Degenerative changes in the cervical spine are commonly accompanied by
cervical kyphosis which can cause neck pain. This study examined the relationship
between neck pain and cervical alignment. METHODS: A total of 323 female nursing
staff from our hospital were enrolled. Sagittal radiographs of the cervical
spine, Body Mass Index (BMI), Visual Analogue Scale (VAS) measures of neck and
arm pain, Neck Disability Index (NDI) and the Short Form (36) Health Survey (SF
36 scores) were obtained and reviewed retrospectively. Global lordosis (GL) of
the cervical spine was measured on radiograph images. Correlations between GL and
questionnaire scores were investigated using the following three methods : 1)
correlation between GL and questionnaire scores among the entire sample; 2)
subgroup analysis of patients with "kyphosis (KYP) : GL scores<0" vs. those with
"lordosis (LOR) : GL scores>0" on questionnaire measures; and 3) subgroup
analysis of patients with pain vs. those without pain, on GL and questionnaire
measures. RESULTS: There was no significant correlation between GL and any
questionnaire measure. There was a significant difference between the mean GLs of
the KYP and LOR groups, but there were no group differences in BMI, age or any
questionnaire measures. There was no difference between the pain (n=92) and pain
free (n=231) groups in age, BMI or GL, but there were differences in neck, and
arm pain, and physical function and NDI scores. CONCLUSIONS: Our data suggest
that kyphotic deformity was not associated with neck pain.
PMID- 26539267
TI - The Transmanubrial Approach for Cervicothoracic Junction Lesions : Feasibility,
Limitations, and Advantages.
AB - OBJECTIVE: We report on the technical feasibility and limitations of the
transmanubrial approach for cervicothoracic junction (CTJ) lesions and emphasize
the advantage of bisecting the upper part of the manubrium in an inverted Y
shape. METHODS: Thirteen patients who underwent the fourteen transmanubrial
approach for various CTJ lesions were enrolled during 2005-2014. For the
evaluation of the accessibility for the CTJ lesion, we analyzed the two parallel
line defined as a straight line parallel to the inferior and superior plateau of
the upper and lower healthy vertebrae, the angle of the two parallel lines and
the distance from the sternal notch to lines at the sternum on preoperative
magnetic resonance images. Surgical limitations and perspectives, as well as
postoperative clinical outcomes were evaluated retrospectively. RESULTS: The CTJ
lesions were six metastases, three primary bone tumors, two herniated discs, and
one each of a traumatic dislocation with syrinx formation and tuberculous
spondylitis and ossification of the posterior longitudinal ligament. If two
parallel lines pass below the sternal notch, the manubriotomy should be
inevitably performed. The mean preoperative Visual analogue scale score was 8
(range, 5-10), which improved to 4 (range, 0-6) postoperatively. Seven cases
showed an increase in Frankel score postoperatively. CONCLUSION: The spatial
relationship between the sternal notch and the two parallel lines to the lesion
was rational to determine the feasibility of manubriotomy. The transmanubrial
approach for CTJ lesions can achieve favorable clinical outcomes by providing
direct decompression of lesion and effective reconstruction.
PMID- 26539268
TI - Brain Activation Evoked by Sensory Stimulation in Patients with Spinal Cord
Injury : Functional Magnetic Resonance Imaging Correlations with Clinical
Features.
AB - OBJECTIVE: The purpose of this study is to determine whether the changes of
contralateral sensorimotor cortical activation on functional magnetic resonance
imaging (fMRI) can predict the neurological outcome among spinal cord injury
(SCI) patients when the great toes are stimulated without notice. METHODS: This
study enrolled a total of 49 patients with SCI and investigated each patient's
preoperative fMRI, postoperative fMRI, American Spinal Injury Association (ASIA)
score, and neuropathic pain occurrence. Patients were classified into 3 groups
according to the change of blood oxygenation level dependent (BOLD) response on
perioperative fMRI during proprioceptive stimulation with repetitive passive toe
movements : 1) patients with a response of contralateral sensorimotor cortical
activation in fMRI were categorized; 2) patients with a response in other
regions; and 3) patients with no response. Correlation between the result of fMRI
and each parameter was analyzed. RESULTS: In fMRI data, ASIA score was likely to
show greater improvement in patients in group A compared to those belonging to
group B or C (p<0.001). No statistical significance was observed between the
result of fMRI and neuropathic pain (p=0.709). However, increase in neuropathic
pain in response to the signal change of the ipsilateral frontal lobe on fMRI was
statistically significant (p=0.030). CONCLUSION: When there was change of BOLD
response at the contralateral sensorimotor cortex on perioperative fMRI after
surgery, relief of neurological symptoms was highly likely for traumatic SCI
patients. In addition, development of neuropathic pain was likely to occur when
there was change of BOLD response at ipsilateral frontal lobe.
PMID- 26539269
TI - Clinical Outcome of Treatment for Patients with Giant Cell Tumor in Spine.
AB - OBJECTIVE: The treatment of giant cell tumor (GCT) is mainly performed
surgically. However, GCT in spine seems difficult to treat because of the limited
surgical accessibility and proximity. In this report, we analyzed the outcome of
GCT treatment in spine. METHODS: Between 2000 and 2012, 19 patients received
treatment for GCT in spine. Median age at their first diagnosis was 31 years, 10
patients were male, and 9 female. Fourteen tumors were located in the sacrum, 1
in cervical, 1 in thoracic and 3 in lumbar spine. As primary treatment, gross
total removal (GTR) was done in 6 patients, and subtotal removal (STR) in 13
patients. Radiation therapy (RT) as an adjuvant therapy was performed in 2 cases
in GTR group and 10 cases in STR group. RESULTS: During the follow-up, 7 patients
had local recurrence (36.8%). The average period until recurrence after primary
treatment was 14 months. No recurrence was detected in GTR group. Recurrence was
noted in 7 out of 13 patients who underwent STR. These differences were
statistically significant (p=0.024). A median of recurrence free period (RFP) was
84 months. Also average RFP of the RT group was 112 months, and non-RT group was
65 months. These differences were statistically significant (p=0.041).
CONCLUSION: Treatment of choice for GCT in spine is a complete removal of tumor
without neurological deficits. In case of incomplete removal, radiation therapy
may be a useful adjuvant treatment modality.
PMID- 26539270
TI - The Risk Factors for Hydrocephalus and Subdural Hygroma after Decompressive
Craniectomy in Head Injured Patients.
AB - OBJECTIVE: The present study aims to investigate 1) the risk factors for
hydrocephalus and subdural hygroma (SDG) occurring after decompressive
craniectomy (DC), and 2) the association between the type of SDG and
hydrocephalus. METHODS: We retrospectively reviewed the clinical and radiological
features of 92 patients who underwent DC procedures after severe head injuries.
The risk factors for developing post-traumatic hydrocephalus (PTH) and SDG were
analyzed. Types of SDGs were classified according to location and their
relationship with hydrocephalus was investigated. RESULTS: Ultimately, 26.09%
(24/92) of these patients developed PTH. In the univariate analyses,
hydrocephalus was statically associated with large bone flap diameter, large
craniectomy area, bilateral craniectomy, intraventricular hemorrhage,
contralateral or interhemisheric SDGs, and delayed cranioplasty. However, in the
multivariate analysis, only large craniectomy area (adjusted OR=4.66; p=0.0239)
and contralateral SDG (adjusted OR=6.62; p=0.0105) were significant independent
risk factors for developing hydrocephalus after DC. The incidence of overall SDGs
after DC was 55.43% (51/92). Subgroup analysis results were separated by SDG
types. Statistically significant associations between hydrocephalus were found in
multivariate analysis in the contralateral (adjusted OR=5.58; p=0.0074) and
interhemispheric (adjusted OR=17.63; p=0.0113) types. CONCLUSION: For patients
who are subjected to DC following severe head trauma, hydrocephalus is associated
with a large craniectomy area and contralateral SDG. For SDGs after DC that occur
on the interhemispherical or controlateral side of the craniectomy, careful
follow-up monitoring for the potential progression into hydrocephalus is needed.
PMID- 26539271
TI - Clinical Factors and Perioperative Strategies Associated with Outcome in
Preinjury Antiplatelet and Anticoagulation Therapy for Patients with Traumatic
Brain Injuries.
AB - OBJECTIVE: Long-term oral anticoagulation or antiplatelet therapy has been used
with increasing frequency in the elderly. These patients are at increased risk of
morbidity and mortality from expansion of intracranial hemorrhage. We conducted a
single-center retrospective case control study to evaluate risk factors
associated with outcomes and to identify the differences in outcome in traumatic
brain injury between preinjury anticoagulation use and without anticoagulation.
METHODS: A retrospective study of patients who underwent craniotomy or
craniectomy for acute traumatic cerebral hemorrhage, between January 2005 and
December 2014 was performed. RESULTS: A consecutive series of 50 patients were
evaluated. The factors significantly differed between the two groups were initial
Prothrombin Time-International Normalized Ratio, initial platelet count, initial
Glasgow Coma Scale score, and postoperative intracranial bleeding. Mean Glasgow
Outcome Scale (GOS) score were similar between the two groups. In the patient
with low-energy trauma only, no significant differences in GOS score,
postoperative bleeding and many other factors were observed. The contributing
factors to postoperative bleeding was preinjury anticoagulation and its adjusted
odds ratio was 12 [adjusted odds ratio (OR), 12.242; p=0.0070]. The contributing
factors to low GOS scores, which mean unfavorable neurological outcomes, were age
(adjusted OR, 1.073; p=0.039) and Rotterdam scale score for CT scans (adjusted
OR, 3.123; p=0.0020). CONCLUSION: Preinjury anticoagulation therapy contributed
significantly to the occurrence of postoperative bleeding. However, preinjury
anticoagulation therapy in the patients with low-energy trauma did not contribute
to the poor clinical outcomes or total hospital stay. Careful attention should be
given to older patients and severity of hemorrhage on initial brain CT.
PMID- 26539272
TI - Clinical Value of Procalcitonin in Patients with Spinal Infection.
AB - OBJECTIVE: This study was designed to evaluation the diagnostic value of
procalcitonin (PCT) in patients with spinal infection, compare to the classical
biomarkers such as C-reactive protein (CRP), erythrocyte sedimentation rate
(ESR), white blood cell (WBC) count. METHODS: All patients who were diagnosed as
a spinal infection between January, 2013 and July, 2014 were included in this
study. Serum PCT, CRP, ESR, and WBC count were checked at initial hospital visit
and once a week serially until they were discharged. Patient's medical history,
causes and pathogens of spinal infection were reviewed. RESULTS: Total 34 (16
men, 18 women) patients were included in this study. Mean age of the patients was
65.6 year-old. Causes of spinal infection were pain block procedure (14, 41.2%)
and post-operation (5, 14.7%). Out of 25 patients who showed elevated initial
serum PCT level, 20 patients (80%) had a combined systemic infection. 14 patients
(6.7%) had a sepsis, 3 patients (14.2%) had a urinary tract infection and 2
(9.6%) had a pneumonia. 14 patients (41.2%) showed elevation of serum PCT level
during treatment. Among them, 9 patients (64.3%) had a combined infection such as
sepsis and urinary tract infection. CONCLUSION: Serum CRP showed more sensitivity
compared to serum PCT in patients with spinal infection. Patients with spinal
infection who showed elevated serum PCT level should be investigated for combined
infection and proper antibiotics should be applied.
PMID- 26539273
TI - Tentorial Dural Arteriovenous Fistula Treated Using Transarterial Onyx
Embolization.
AB - Tentorial dural arteriovenous fistula (DAVF) is a rare vascular disease, which
has high risk of intracranial hemorrhage. We present two cases of tentorial DAVF
which were successfully treated with single trial of transarterial embolization
using Onyx. We briefly reviewed the types of the tentorial DAVF and strategies of
treatment.
PMID- 26539274
TI - A Primary Ossifying Intracranial Myxoma Arising from the Ethmoid Sinus.
AB - Myxomas are rare benign tumors that originate from mesenchymal tissue. They
usually develop in the atrium of the heart, the skin, subcutaneous tissue, or
bone. Involvement of the skull base with an intracranial extension is very rare
and not well-described in the literature. We report a rare case of primary
intracranial ossifying myxoma arising from the anterior skull base and mimicking
a huge chondrosarcoma, and we review the relevant literature.
PMID- 26539275
TI - Neurilemmoma of Deep Peroneal Nerve Sensory Branch : Thermographic Findings with
Compression Test.
AB - We report a case of neurilemmoma of deep peroneal nerve sensory branch that
triggered sensory change with compression test on lower extremity. After
resection of tumor, there are evoked thermal changes on pre- and post-operative
infrared (IR) thermographic images. A 52-year-old female presented with low back
pain, sciatica, and sensory change on the dorsal side of the right foot and big
toe that has lasted for 9 months. She also presented with right tibial mass sized
1.2 cm by 1.4 cm. Ultrasonographic imaging revealed a peripheral nerve sheath
tumor arising from the peroneal nerve. IR thermographic image showed hyperthermia
when the neurilemoma induced sensory change with compression test on the fibular
area, dorsum of foot, and big toe. After surgery, the symptoms and thermographic
changes were relieved and disappeared. The clinical, surgical, radiographic, and
thermographic perspectives regarding this case are discussed.
PMID- 26539276
TI - A Large Ruptured Anterior Communicating Artery Aneurysm Presenting with
Bitemporal Hemianopsia.
AB - Anterior communicating artery (ACoA) aneurysms sometimes present with visual
symptoms when they rupture or directly compress the optic nerve. Giant or large
ACoA aneurysms producing bitemporal hemianopsia are extremely rare. Here we
present an unusual case of bitemporal hemianopsia caused by a large intracranial
aneurysm of the ACoA. A 41-year-old woman was admitted to our neurosurgical
department with a sudden-onset bursting headache and visual impairment. On
admission, her vision was decreased to finger counting at 30 cm in the left eye
and 50 cm in the right eye, and a severe bitemporal hemianopsia was demonstrated
on visual field testing. A brain computed tomography scan revealed a subarachnoid
hemorrhage at the basal cistern, and conventional cerebral catheter angiography
of the left internal carotid artery demonstrated an 18*8 mm dumbbell-shaped
aneurysm at the ACoA. Microscopic aneurysmal clipping was performed. An ACoA
aneurysm can produce visual field defects by compressing the optic chiasm or
nerves. We emphasize that it is important to diagnose an aneurysm through
cerebrovascular study to prevent confusing it with pituitary apoplexy.
PMID- 26539277
TI - Idiopathic Spinal Cord Herniation Presented as Brown-Sequard Syndrome : A Case
Report and Surgical Outcome.
AB - Spinal cord herniation is a rare condition that has become increasingly
recognised in the last few years. The authors report a case of idiopathic spinal
cord herniation in a 33 year old woman performed with progressive Brown-Sequard
syndrome. The diagnosis was made on MR imaging. After repairing the herniation,
the patient made a gradual improvement. Potential causes are discussed, including
a possible role of dural defect. In conclusion, idiopathic spinal cord herniation
is a potentially treatable condition that should be more readily diagnosed that
increasing awareness and improved imaging techniques.
PMID- 26539278
TI - Post-Traumatic Arteriovenous Fistula of the Scalp.
AB - Arteriovenous fistula of the scalp is relatively rare disease. We report a
traumatic arteriovenous fistula of the scalp treated with complete surgical
excision and review the literature with regard to etiology, pathogenesis, and
management of these unusual lesions.
PMID- 26539279
TI - Spontaneous Resolution of a Large Chronic Subdural Hematoma Which Required
Surgical Decompression.
AB - We report on a case of an 87-year-old woman who showed spontaneous resolution of
a large chronic subdural hematoma which required surgical decompression. She had
suffered from confused mentality and right side weakness of motor grade II for 10
days. The initial brain CT scan showed a 22 mm thick low density lesion located
in the left fronto-temporo-parietal region with midline shift (12 mm) which
required emergency decompression. However, because she and her family did not
want surgery, she was followed up in the outpatient clinic. Five months later,
follow up brain CT showed that the CSDH had disappeared and the patient became
neurologically normal. The reasons for spontaneous resolution of CSDH remain
unclear. We discuss the possible relation between mechanisms of physio
pathogenesis and spontaneous resolution of a large chronic subdural hematoma
(CSH) in an elderly patient.
PMID- 26539280
TI - Surgical Treatment of a Life-Threatening Large Retropharyngeal Hematoma after
Minor Trauma : Two Case Reports and a Literature Review.
AB - Only a few cases of anterior longitudinal ligament (ALL) injury related with
retropharyngeal hematoma without fracture have previously been reported. The
treatment of choice for retropharyngeal hematoma is generally considered to be
conservative care, but we believe that early surgery of this pathology would be
better in certain situations. Here, we describe two cases with life-threatening
large retropharyngeal hematomas related with ALL injuries and operated on at an
early stage. Two previously healthy patients visited the emergency room with neck
pain and dyspnea after falling. Serious neck swelling was observed and lateral
neck X-ray showed severe widening of the prevertebral space. Due to dyspnea
progression, emergency endotracheal intubation was performed. Although there was
no primary cause of the retropharyngeal hematoma on preoperative examination, ALL
tearing was intraoperatively confirmed during early surgery. The in-hospital
evolutions of the patients were favorable after surgery. We should bear in mind
the possibility of ALL injury and perform early surgery where possible given the
earlier convalescence and good prognosis.
PMID- 26539281
TI - Application of Mobile Phones in Ophthalmology.
PMID- 26539282
TI - Rho-Associated Kinase Inhibitors: Potential Future Treatments for Glaucoma.
PMID- 26539283
TI - Efficacy of Reciproc((r)) and Profile((r)) Instruments in the Removal of Gutta
Percha from Straight and Curved Root Canals ex Vivo.
AB - OBJECTIVES: To compare the efficacy of Reciproc((r)) (VDW GmbH) and ProFile((r))
(Dentsply Maillefer) instruments at removing gutta-percha from straight and
curved root canals ex vivo filled using the cold lateral condensation and
GuttaMaster((r)) (VDW GmbH) techniques. MATERIAL AND METHODS: Forty mesial roots
of mandibular molars with two curved canals and 80 single-rooted teeth with
straight root canals, a total of 160 root canals, were randomly assigned to eight
groups (canals per group = 20) according to filling technique, retreatment
instrument and root canal curvature as follows: Group I, cold lateral
condensation/ProFile((r))/straight; Group II, cold lateral
condensation/ProFile((r))/curved; Group III, cold lateral
condensation/Reciproc((r))/straight; Group IV, cold lateral
condensation/Reciproc((r))/curved; Group V,
GuttaMaster((r))/ProFile((r))/straight; Group VI,
GuttaMaster((r))/ProFile((r))/curved; Group VII,
GuttaMaster((r))/Reciproc((r))/straight; and Group VIII,
GuttaMaster((r))/Reciproc((r))/curved. The following data were recorded:
procedural errors, retreatment duration and canal wall cleanliness. Means and
standard deviations were calculated and analysed using the Kruskal-Wallis test,
one-way analysis of variance and Tukey's test (P < 0.05). RESULTS: Reciproc((r))
instruments were significantly faster than ProFile((r)) instruments at removing
GuttaMaster((r)) from both straight (P = 0.0001) and curved (P = 0.0003) root
canals. Reciproc((r)) were statistically more effective than ProFile((r))
instruments in removing GuttaMaster((r)) from straight root canals (P = 0.021).
Regardless of filling technique or retreatment instrument, gutta-percha was
removed more rapidly from curved than from straight root canals (P = 0.0001).
CONCLUSIONS: Neither system completely removed filling material from the root
canals. Compared with ProFile((r)) instruments, Reciproc((r)) instruments removed
GuttaMaster((r)) filling material from straight and curved root canals more
rapidly.
PMID- 26539284
TI - Effectiveness of a Motivation and Practical Skills Development Methods on the
Oral Hygiene of Orphans Children in Kaunas, Lithuania.
AB - OBJECTIVES: The aim of this study was to evaluate the effect of a motivation and
practical skills development methods on the oral hygiene of orphans. MATERIAL AND
METHODS: Sixty eight orphans aged between 7 and 17 years from two orphanages in
Kaunas were divided into two groups: practical application group and motivation
group. Children were clinically examined by determining their oral hygiene status
using Silness-Loe plaque index. Questionnaire was used to estimate the oral
hygiene knowledge and practices at baseline and after 3 months. Statistical
analysis included: Chi-square test (chi(2)), Fisher's exact test, Student's t
test, nonparametric Mann-Whitney test, Spearman's rho correlation coefficient and
Kappa coefficient. RESULTS: All children had a plaque on at least one tooth in
both groups: motivation 1.14 (SD 0.51), practical application 1.08 (SD 0.4) (P =
0.58). Girls in both groups showed significantly better oral hygiene than boys (P
< 0.001). After 3 months educational program oral hygiene status improved in both
groups significantly 0.4 (SD 0.35) (P < 0.001). Significantly better oral hygiene
was determined in practical application group 0.19 (SD 0.27) in comparison with
motivation group 0.55 (SD 0.32) (P < 0.001). By comparing results of first and
second questionnaire surveys on use of soft drinks, the statistically significant
decline of their use was in both groups (P = 0.004). CONCLUSIONS: Educational
programs are effective in improving oral hygiene, especially when they're based
on practical skills training.
PMID- 26539285
TI - Impact of Dentofacial Deformity on Quality of Life: Age and Gender Differences
Evaluated Through OQLQ, OHIP and SF36.
AB - OBJECTIVES: The aim of this study was to verify the impact of dentofacial
deformity on quality of life and explore gender and age differences. MATERIAL AND
METHODS: The impact of dentofacial deformity (DD) on quality of life was
evaluated through questionnaires; Short Form Health Survey (SF36), Oral Health
Impact Profile Questionnaire (OHIP), Orthognathic Quality of Life Questionnaire
(OQLQ) and a single question answered by a Visual Analogue Scale. RESULTS:
Significant differences between male and female patients were observed in domains
of OQLQ (oral function, P = 0.006; awareness of facial deformity, P = 0.018; and
facial aesthetics, P < 0.001) and OHIP (physical pain, P = 0.006; psychological
discomfort, P = 0.007; psychological disability, P = 0.006; and handicap, P =
0.01). CONCLUSIONS: The impact of dentofacial deformity was more pronounced in
female Brazilian population. Age of patients with dentofacial deformity produced
impacts over quality of life in different ways and according to the applied
questionnaire and the interaction between age and gender may also produce
different impacts in patients with dentofacial deformity. The domains of
Orthognathic Quality of Life Questionnaire, Oral Health Impact Profile
Questionnaire and Short Form Health Survey showed unaccepted distances in the
pattern of answer rising doubts of their ability to assess quality of life as a
generic and broad concept. There is a necessity to create a single quality of
life instrument capable to measure impacts with sensitivity and specificity and
from a generic concept to condition-specific health problem.
PMID- 26539286
TI - Scapular Free Vascularised Bone Flaps for Mandibular Reconstruction: Are Dental
Implants Possible?
AB - OBJECTIVES: Free fibula flap remains the flap of choice for reconstruction of
mandibular defects. If free fibula flap is not possible, the subscapular system
of flaps is a valid option. In this study, we evaluated the possibility of dental
implant placement in patients receiving a scapular free flap for oromandibular
reconstruction. MATERIAL AND METHODS: We retrospectively reviewed 10 patients
undergoing mandible reconstruction with a subscapular system free-tissue (lateral
border of the scapula) transfer at the University Hospital Zurich between January
1, 2010 and January 1, 2013. Bone density in cortical and cancellous bone was
measured in Hounsfield units (HU). Changes of bone density, height and width were
analysed using IBM SPSS Statistics 22. Comparisons of bone dimensions as well as
bone density were performed using a chi-square test. RESULTS: Ten patients were
included. Implantation was conducted in 50%. However, all patients could have
received dental implants considering bone stock. Loss of bone height and width
were significant (P < 0.001). There was a statistical significant increase in
bone density in cortical (P < 0.001) and cancellous (P = 0.004) bone.
CONCLUSIONS: Dental implants are possible after scapular free flap reconstruction
of oromandibular defects. Bone height and width were reduced, while bone density
increased with time.
PMID- 26539287
TI - Development of a Titanium Plate for Mandibular Angle Fractures with a Bone Defect
in the Lower Border: Finite Element Analysis and Mechanical Test.
AB - OBJECTIVES: The aim of the present study was to develop a plate to treat
mandibular angle fractures using the finite element method and mechanical
testing. MATERIAL AND METHODS: A three-dimensional model of a fractured mandible
was generated using Rhinoceros 4.0 software. The models were exported to
ANSYS((r)), in which a static application of displacement (3 mm) was performed in
the first molar region. Three groups were assessed according to the method of
internal fixation (2 mm system): two non-locking plates; two locking plates and a
new design locking plate. The computational model was transferred to an in vitro
experiment with polyurethane mandibles. Each group contained five samples and was
subjected to a linear loading test in a universal testing machine. RESULTS: A
balanced distribution of stress was associated with the new plate design. This
plate modified the mechanical behavior of the fractured region, with less
displacement between the fractured segments. In the mechanical test, the group
with two locking plates exhibited greater resistance to the 3 mm displacement,
with a statistically significant difference when compared with the new plate
group (ANOVA, P = 0.016). CONCLUSIONS: The new plate exhibited a more balanced
distribution of stress. However, the group with two locking plates exhibited
greater mechanical resistance.
PMID- 26539289
TI - Treatment of acute rhinitis with a nasal spray containing tramazoline and
essential oils: a multicenter, uncontrolled, observational trial.
AB - BACKGROUND: In this observational trial, data were collected on the effectiveness
and tolerability/safety of a nasal spray containing tramazoline and essential
oils (trade name Rhinospray((r)) Plus) used for symptomatic treatment of acute
rhinitis due to common cold. METHODS: The trial was performed in 300 children,
adolescents and adults, who were to be treated with Rhinospray((r)) Plus for up
to 4 times per day for up to 10 days. Primary endpoints were the change from
baseline to final visit in the mean of three single symptom scores (blocked nose,
sneezing, and runny nose) and the mean improvement in two quality-of-life
parameters (ability to perform normal daytime activities and quality of sleep).
RESULTS: A total of 108 children, 30 adolescents and 162 adults were treated with
Rhinospray((r)) Plus. No patient discontinued prematurely. There was a mean
reduction of 2.0 +/- 0.6 (standard deviation) in nasal symptom scores from
baseline to final visit; 297 of 300 of patients (99.0 %) reported an improvement.
The mean value for improvement in quality-of-life parameters was 1.3 +/- 0.5.
Improvement in daytime activities was reported by all 300 patients (100.0 %) and
in quality of sleep by 292 patients (97.4 %). Effectiveness and tolerability were
rated as 'very good' or 'good' by 95.4 % and 97.4 % of patients, respectively;
the investigators rated effectiveness and tolerability as 'very good' or 'good'
for 97.4 % and 100.0 % of patients, respectively. No adverse events were
reported. CONCLUSIONS: Community-based patients reported a relief in acute
rhinitis symptoms and improvement in quality of life as a result of treatment
with Rhinospray((r)) Plus. Treatment was well-tolerated.
PMID- 26539290
TI - Rampant software errors undermine scientific results.
AB - Errors in scientific results due to software bugs are not limited to a few high
profile cases that lead to retractions and are widely reported. Here I estimate
that in fact most scientific results are probably wrong if data have passed
through a computer, and that these errors may remain largely undetected. The
opportunities for both subtle and profound errors in software and data management
are boundless, yet they remain surprisingly underappreciated.
PMID- 26539291
TI - Biomedical publications on Ebola and the 2014 outbreak.
AB - In this research note we examine the biomedical publication output about Ebola in
2014. We show that the volume of publications has dramatically increased in the
past year. The rise reflects an impressive growth starting in the month of
August, concomitant with or following the surge in infections, deaths and
coverage in news and social media. Though non-research articles have been the
major contributors to this growth, there has been a substantial increase in
original research articles too, including many papers of basic science. The
United States has been the country with the highest number of research articles,
followed by Canada and the United Kingdom. We present a comprehensive set of
charts and facts that, by describing the volumes and nature of publications in
2014, show how the scientific community has responded to the Ebola outbreak and
how it might respond to future similar global threats and media events. This
information will assist scholars and policymakers in their efforts to improve
scientific research policies with the goal of maximizing both public health and
knowledge advancement.
PMID- 26539288
TI - The function, regulation and therapeutic implications of the tumor suppressor
protein, PML.
AB - The tumor suppressor protein, promyelocytic leukemia protein (PML), was
originally identified in acute promyelocytic leukemia due to a chromosomal
translocation between chromosomes 15 and 17. PML is the core component of
subnuclear structures called PML nuclear bodies (PML-NBs), which are disrupted in
acute promyelocytic leukemia cells. PML plays important roles in cell cycle
regulation, survival and apoptosis, and inactivation or down-regulation of PML is
frequently found in cancer cells. More than 120 proteins have been experimentally
identified to physically associate with PML, and most of them either transiently
or constitutively co-localize with PML-NBs. These interactions are associated
with many cellular processes, including cell cycle arrest, apoptosis, senescence,
transcriptional regulation, DNA repair and intermediary metabolism. Importantly,
PML inactivation in cancer cells can occur at the transcriptional-, translational
or post-translational- levels. However, only a few somatic mutations have been
found in cancer cells. A better understanding of its regulation and its role in
tumor suppression will provide potential therapeutic opportunities. In this
review, we discuss the role of PML in multiple tumor suppression pathways and
summarize the players and stimuli that control PML protein expression or
subcellular distribution.
PMID- 26539292
TI - Molecular Dynamics Simulations of the Temperature Induced Unfolding of Crambin
Follow the Arrhenius Equation.
AB - Molecular dynamics simulations have been used extensively to model the folding
and unfolding of proteins. The rates of folding and unfolding should follow the
Arrhenius equation over a limited range of temperatures. This study shows that
molecular dynamic simulations of the unfolding of crambin between 500K and 560K
do follow the Arrhenius equation. They also show that while there is a large
amount of variation between the simulations the average values for the rate show
a very high degree of correlation.
PMID- 26539293
TI - Dual mechanisms governing reward-driven perceptual learning.
AB - In this review, we explore how reward signals shape perceptual learning in
animals and humans. Perceptual learning is the well-established phenomenon by
which extensive practice elicits selective improvement in one's perceptual
discrimination of basic visual features, such as oriented lines or moving
stimuli. While perceptual learning has long been thought to rely on 'top-down'
processes, such as attention and decision-making, a wave of recent findings
suggests that these higher-level processes are, in fact, not necessary. Rather,
these recent findings indicate that reward signals alone, in the absence of the
contribution of higher-level cognitive processes, are sufficient to drive the
benefits of perceptual learning. Here, we will review the literature tying reward
signals to perceptual learning. Based on these findings, we propose dual
underlying mechanisms that give rise to perceptual learning: one mechanism that
operates 'automatically' and is tied directly to reward signals, and another
mechanism that involves more 'top-down', goal-directed computations.
PMID- 26539294
TI - Antibiotic trends of Klebsiella pneumoniae and Acinetobacter baumannii resistance
indicators in an intensive care unit of Southern Italy, 2008-2013.
AB - BACKGROUND: The overuse of antimicrobials is one of the main factors responsible
for the development and spread of antimicrobial resistance, together with other
causes, such as intra- and inter-hospital spread of resistant microorganisms and
infection control policies and practices. The objective of the present study is
to report the trends of Klebsiella pneumoniae and Acinetobacter baumannii
antimicrobial resistance indicators in an Italian intensive care unit (ICU)
during a six-year period, from 2008 to 2013. METHODS: Susceptibility data and
annual antibiotic consumptions in the ICU were retrospectively obtained from the
clinical laboratory and the pharmacy. Trends over time of resistance rates (RRs)
and of incidence densities of resistant isolates were determined by linear
regression. RESULTS: Isolation density of A. baumannii increased significantly
from 2008 (20.4 per 1,000 patient-days) to 2013 (58.1 per 1,000 patient-days) and
of K. pneumoniae from 2010 (22.3 per 1,000 patient-days) to 2013 (55.9 per 1,000
patient-days). RRs of third-generation cephalosporins (3GCs)-resistant K.
pneumoniae (from 2010: 41.9 %, to 2012: 87.0 %), of carbapenem-resistant K.
pneumoniae (from 2008: 0 %, to 2013: 59.2 %), and of carbapenem-resistant A.
baumannii (from 2008: 87.5 %, to 2013: 96.6 %) showed significant increasing
trends. Carbapenems was the main antibiotic class consumed (24.9 % of the total
antimicrobial usage density), followed by 3GCs (21.0 %), fluoroquinolones (20.6
%), aminoglycosides (17.3 %), penicillins (15.1 %) and glycopeptides (1.1 %).
Carbapenems consumption decreased from 2008 to 2012 and then increased in 2013.
Glycopeptides consumption decreased from 2008 to 2011 and then increased in 2013.
Aminoglycosides consumption decreased from 2008 to 2010 and increased from 2012
to 2013. Finally, 3GC, penicillins and fluoroquinolones consumptions decreased
from 2012 to 2013. CONCLUSIONS: RRs of carbapenem-resistant A. baumannii and of
carbapenem- and 3GC-resistant K. pneumoniae were higher than those for Europe.
Our findings highlight the necessity to implement an integrated system for
monitoring not only consumption of antibiotics and resistance profiles but also
the clonality of alert microorganisms in the ICU for effective infection control.
PMID- 26539295
TI - The effect of preheated versus room-temperature skin disinfection on bacterial
colonization during pacemaker device implantation: a randomized controlled non
inferiority trial.
AB - BACKGROUND: In clinical practice, patients who are awake often comment that cold
surgical skin disinfectant is unpleasant. This is not only a problem of patients'
experience; heat loss during the disinfection process is a problem that can
result in hypothermia. Evidence for the efficacy of preheated disinfection is
scarce. We tested whether preheated skin disinfectant was non-inferior to room
temperature skin disinfectant on reducing bacterial colonization during pacemaker
implantation. METHODS: This randomized, controlled, non-inferiority trial
included 220 patients allocated to skin disinfection with preheated (36 degrees
C) or room-temperature (20 degrees C) chlorhexidine solution in 70 % ethanol.
Cultures were obtained by swabbing at 4 time-points; 1) before skin disinfection
(skin surface), 2) after skin disinfection (skin surface), 3) after the incision
(subcutaneously in the wound), and 4) before suturing (subcutaneously in the
wound). RESULTS: The absolute difference in growth between patients treated with
preheated versus room-temperature skin disinfectant was zero (90 % CI -0.101 to
0.101; preheated: 30 of 105 [28.6 %] vs. room-temperature: 32 of 112 [28.6 %]).
The pre-specified margin for statistical non-inferiority in the protocol was set
at 10 % for the preheated disinfectant. There were no significant differences
between groups regarding SSIs three month postoperatively, which occurred in 0.9
% (1 of 108) treated with preheated and 1.8 % (2 of 112) treated with room
temperature skin disinfectant. CONCLUSION: Preheated skin disinfection is non
inferior to room-temperature disinfection in bacterial reduction. We therefore
suggest that preheated skin disinfection become routine in clean surgery. TRIAL
REGISTRATION: The study is registered at ClinicalTrials.gov (NCTO2260479).
PMID- 26539296
TI - Mechanisms of osteolytic and osteoblastic skeletal lesions.
AB - The bone is a frequent site for tumor metastasis, and cancer in the bone results
in marked disturbances of bone remodeling that can be lytic, blastic or a
combination of the two. Patients with advanced malignancies that have
metastasized to the bone frequently suffer from debilitating skeletal-related
events, including pathologic fractures, spinal cord compression syndromes,
disorders of calcium and phosphate homeostasis and severe cancer-related pain.
This review will discuss recent studies on the mechanisms responsible for
osteolytic and osteoblastic metastasis and how their identification has resulted
in the development of new agents for patients with metastatic bone disease.
PMID- 26539297
TI - Optimization of sonochemical degradation of tetracycline in aqueous solution
using sono-activated persulfate process.
AB - BACKGROUND: In this study, a central composite design (CCD) was used for modeling
and optimizing the operation parameters such as pH, initial tetracycline and
persulfate concentration and reaction time on the tetracycline degradation using
sono-activated persulfate process. The effect of temperature, degradation
kinetics and mineralization, were also investigated. RESULTS: The results from
CCD indicated that a quadratic model was appropriate to fit the experimental data
(p < 0.0001) and maximum degradation of 95.01 % was predicted at pH = 10,
persulfate concentration = 4 mM, initial tetracycline concentration = 30.05 mg/L,
and reaction time = 119.99 min. Analysis of response surface plots revealed a
significant positive effect of pH, persulfate concentration and reaction time, a
negative effect of tetracycline concentration. The degradation process followed
the pseudo-first-order kinetic. The activation energy value of 32.01 kJ/mol was
obtained for US/S2O8 (2-) process. Under the optimum condition, the removal
efficiency of COD and TOC reached to 72.8 % and 59.7 %, respectively. The changes
of UV-Vis spectra during the process was investigated. The possible degradation
pathway of tetracycline based on loses of N-methyl, hydroxyl, and amino groups
was proposed. CONCLUSIONS: This study indicated that sono-activated persulfate
process was found to be a promising method for the degradation of tetracycline.
PMID- 26539298
TI - Influence of Deceased Donor and Pretransplant Recipient Parameters on Early
Overall Kidney Graft-Survival in Germany.
AB - Background. Scarcity of grafts for kidney transplantation (KTX) caused an
increased consideration of deceased donors with substantial risk factors. There
is no agreement on which ones are detrimental for overall graft-survival.
Therefore, we investigated in a nationwide multicentre study the impact of donor
and recipient related risks known before KTX on graft-survival based on the
original data used for allocation and graft acceptance. Methods. A nationwide
deidentified multicenter study-database was created of data concerning kidneys
donated and transplanted in Germany between 2006 and 2008 as provided by the
national organ procurement organization (Deutsche Stiftung Organtransplantation)
and BQS Institute. Multiple Cox regression (significance level 5%, hazard ratio
[95% CI]) was conducted (n = 4411, isolated KTX). Results. Risk factors
associated with graft-survival were donor age (1.020 [1.013-1.027] per year),
donor size (0.985 [0.977-0.993] per cm), donor's creatinine at admission (1.002
[1.001-1.004] per umol/L), donor treatment with catecholamine (0.757 [0.635
0.901]), and reduced graft-quality at procurement (1.549 [1.217-1.973]), as well
as recipient age (1.012 [1.003-1.021] per year), actual panel reactive antibodies
(1.007 [1.002-1.011] per percent), retransplantation (1.850 [1.484-2.306]),
recipient's cardiovascular comorbidity (1.436 [1.212-1.701]), and use of IL2
receptor antibodies for induction (0.741 [0.619-0.887]). Conclusion. Some donor
characteristics persist to impact graft-survival (e.g., age) while the effect of
others could be mitigated by elaborate donor-recipient match and care.
PMID- 26539299
TI - Associations among Physical Activity, Diet, and Obesity Measures Change during
Adolescence.
AB - Background. Obesity in youth is highly prevalent. Physical activity and diet are
influential in obesity development. However, there is a knowledge gap regarding
links between activity and diet quality and their combined influence on obesity
during adolescence. Objectives. We used five years of data from 2379 adolescent
girls in the National Heart Lung and Blood Institute Growth and Health Study to
evaluate the association between physical activity and diet quality during
adolescence and to assess both as correlates of obesity. Design. Diet, activity,
and body composition measures were evaluated pairwise for correlation. A
canonical correlation analysis was used to evaluate relationships within and
between variable groups. All statistics were examined for trends over time.
Results. We found positive correlations between physical activity and diet
quality that became stronger with age. Additionally we discovered an age-related
decrease in association between obesity correlates and body composition.
Conclusion. These results suggest that while health behaviors, like diet and
activity, become more closely linked during growth, obesity becomes less
influenced by health behaviors and other factors. This should motivate focus on
juvenile obesity prevention capitalizing on the pliable framework for
establishing healthy diet and physical activity patterns while impact on body
composition is greatest.
PMID- 26539300
TI - Manic Symptoms during a Switch from Paliperidone ER to Paliperidone Palmitate in
a Patient with Schizophrenia.
AB - Some antipsychotic drugs have treatment efficacy for mania and bipolar disorder.
However, these drugs may rarely cause manic symptoms in some schizophrenic
patients. We hereby report a 22-year-old female patient with schizophrenia who
experienced a manic episode during a switch from paliperidone ER to paliperidone
palmitate. This case is an important reminder that an abrupt switch from oral
paliperidone to paliperidone palmitate may predispose certain patients to
hypomanic or manic symptoms.
PMID- 26539301
TI - Anorectal Malformation: Paediatric Problem Presenting in Adult.
AB - This is a case report of 22-year-old girl admitted with abdominal distension,
vomiting, and chronic constipation since birth. Abdomen was distended, and
perineal examination revealed imperforate anus with vestibular fistula (ARM). So
far worldwide very few cases have been reported about anorectal malformation
presenting in adulthood, and thus extremely little data is available in the
literature about an ideal management of anorectal malformation in adults. In our
case in the treatment instead of conventional procedure of posterior sagittal
anorectoplasty (PSARP) anal transposition was done and till two years after the
definitive treatment during follow-up patient has been doing well with Kelly's
score of six. Our experience suggests that anal transposition provides
satisfactory outcome in adults presenting late with anorectal malformation.
PMID- 26539302
TI - The Cannabis Dilemma: A Review of Its Associated Risks and Clinical Efficacy.
AB - Cannabis, also known as marijuana, has 9-tetrahydrocannabinol as the main
constituent. There has been strict legislation governing the utilization of
cannabis locally and worldwide. However, there has been an increasing push to
make cannabis legalized, in view of its potential medical and therapeutic
effects, for various medical disorders ranging from development disorders to
cancer treatment, and being an adjunctive medication for various neurological
conditions. It is the aim of this review paper to explore the evidence base for
its proposed therapeutic efficacy and to compare the evidence base supporting its
proposed therapeutic efficacy with its known and well-researched medical and
psychiatric side effects.
PMID- 26539303
TI - Minimal Clinically Important Difference on Parkinson's Disease Sleep Scale 2nd
Version.
AB - Background and Aims. The aim of the present study was to determine the estimates
of minimal clinically important difference for Parkinson's Disease Sleep Scale
2nd version (PDSS-2) total score and dimensions. Methods. The subject population
consisted of 413 PD patients. At baseline, MDS-UPDRS, Hoehn-Yahr Scale, Mattis
Dementia Rating Scale, and PDSS-2 were assessed. Nine months later the PDSS-2 was
reevaluated with the Patient-Reported Global Impression Improvement Scale. Both
anchor-based techniques (within patients' score change method and sensitivity-
and specificity-based method by receiver operating characteristic analysis) and
distribution-based approaches (effect size calculations) were utilized to
determine the magnitude of minimal clinically important difference. Results.
According to our results, any improvements larger than -3.44 points or worsening
larger than 2.07 points can represent clinically important changes for the
patients. These thresholds have the effect size of 0.21 and -0.21, respectively.
Conclusions. Minimal clinically important differences are the smallest change of
scores that are subjectively meaningful to patients. Studies using the PDSS-2 as
outcome measure should utilize the threshold of -3.44 points for detecting
improvement or the threshold of 2.07 points for observing worsening.
PMID- 26539304
TI - Quality Assessment of Ojeok-San, a Traditional Herbal Formula, Using High
Performance Liquid Chromatography Combined with Chemometric Analysis.
AB - Ojeok-san (OJS) is a traditional herbal formula consisting of 17 herbal medicines
that has been used to treat various disorders. In this study, quantitative
analytical methods were developed using high-performance liquid chromatography
equipped with a photodiode array detector to determine 19 marker compounds in OJS
preparations, which was then combined with chemometric analysis. The method
developed was validated in terms of its precision and accuracy. The intra- and
interday precision of the marker compounds were <3.0% of the relative standard
deviation (RSD) and the recovery of the marker compounds was 92.74%-104.16% with
RSD values <3.0%. The results of our quantitative analysis show that the
quantities of the 19 marker compounds varied between a laboratory water extract
and commercial OJS granules. The chemometric analysis used, principal component
analysis (PCA) and hierarchical clustering analysis (HCA), also showed that the
OJS water extract produced using a laboratory method clearly differed from the
commercial OJS granules; therefore, an equalized production process is required
for quality control of OJS preparations. Our results suggest that the HPLC
analytical methods developed are suitable for the quantification and quality
assessment of OJS preparations when combined with chemometric analysis involving
PCA and HCA.
PMID- 26539305
TI - Physicochemical Characteristics and Composition of Three Morphotypes of Cyperus
esculentus Tubers and Tuber Oils.
AB - Tuber characteristics and nutrient composition of three morphotypes of Cyperus
esculentus tubers and tuber oils were determined. The mean value for length and
width of the tuber and one thousand dried tuber weights ranged from 0.98 to 1.31
cm, 0.90 to 1.19 cm, and 598 to 1044 g, respectively. Tubers displayed high level
of starch (30.54-33.21 g 100 g(-1)), lipid (24.91-28.94 g 100 g(-1)), and sucrose
(17.98-20.39 g 100 g(-1)). The yellow tubers had significantly higher content in
lipid compared to black ones. Levels of ascorbic acid, tocopherol, and beta
carotene of the three morphotypes differed significantly. Yellow ones
(morphotypes 1 and 2) were the richest in tocopherol and the poorest in beta
carotene. Saturated fatty acid content of morphotype 2 was significantly lower
than that of morphotypes 1 and 3. Morphotype 3 had the significantly lowest PUFA
content compared to morphotypes 1 and 2. Morphotype 1 was found to be richer in
Ca, Cu, and Mn contents. Al, Mg, P, S, and Si were most abundant in morphotype 2.
Morphotype 3 had the highest content of Cl, K, and Zn.
PMID- 26539306
TI - The Content of Secondary Metabolites and Antioxidant Activity of Wild Strawberry
Fruit (Fragaria vesca L.).
AB - Chemical analyses carried out in 2011-2013 aimed at evaluating the contents of
flavonoids, free phenolic acids, tannins, anthocyanins, and antioxidant activity
(%) by means of DPPH radical neutralization ability in fresh and air-dried fruits
of three wild strawberry cultivars. Examinations revealed differences in contents
of biologically active substances determined in raw versus dried material
depending on the cultivar. Mean concentrations of flavonoids and tannins were
highest in raw fruits of "Baron von Solemacher" cv., which amounted to 1.244
mg.g(-1) and 6.09%, respectively. Fresh fruits of "Regina" cv. were characterized
by the highest average content of phenolic acids and anthocyanins: 4.987 mg.g(-1)
and 0.636 mg.100 g(-1). The pattern of mean contents of biologically active
substances analyzed in air-dried fruits was similar. Significant differences in
abilities to neutralize the DPPH radical to diphenylpicrylhydrazine by extracts
made of examined wild strawberry fruits were also indicated.
PMID- 26539308
TI - Nonoperative management of craniovertebral junction and cutaneous tuberculosis.
AB - BACKGROUND: Craniovertebral junction (CVJ) and cutaneous tuberculosis (TB) are
both rare, each occurring in 0.3-1.0% of patients. To our knowledge, there are no
existing cases reporting these manifestations of TB simultaneously. We report a
case of TB involving the left CVJ as well as the skin, and discuss our management
while providing a review of the literature. CASE DESCRIPTION: An adult patient
was presented with progressive nocturnal neck pain associated with the
development of several skin lesions. Investigations revealed multiple osseous
lesions including the left CVJ. Biopsy of the CVJ lesion was unamenable due to
proximity of the vertebral artery; therefore, the patient underwent biopsy of the
other sites. Histological examination demonstrated features consistent with TB
infection and the patient commenced 12 months of standard anti-TB therapy with
cervical spine immobilization. At 2-month review, the patient was well with a
near-complete resolution of neck pain and cutaneous lesions. Repeat imaging at 6
months follow-up demonstrated a stable C1 lesion with no evidence of instability.
CONCLUSION: CVJ TB may be treated solely with anti-TB therapy and immobilization
to good effect if there is no gross instability or neurological deficit.
Similarly, cutaneous TB responds well to standard anti- TB therapy. Our
experience suggests that co-existing tuberculous lesions in the CVJ and skin can
be simultaneously managed with standard therapy without significant alterations
to treatment regimes or prognosis.
PMID- 26539307
TI - Multiple hemorrhagic intraparenchymal tumors presenting with fatal intracranial
hypertension: A rare manifestation of systemic epithelioid hemangioendothelioma.
AB - BACKGROUND: Epithelioid hemangioendotheliomas (EHE) is an extremely rare tumor
that can arise not only intracranially but also systemically. Its radiological
characteristics and the mechanism underlying the multiple organ involvement in
EHE are poorly understood. CASE DESCRIPTION: A 24-year-old woman with a 7-month
history of coughing and blood-stained sputum complained of visual disturbance in
the right eye that had persisted for 1-month. Magnetic resonance (MR) imaging
revealed multiple intraparenchymal masses with low-intensity on MR susceptibility
weighted images with minimal enhancement with gadolinium. Systemic computed
tomography revealed multiple nodules in both lungs and the liver. Because her
neurological status rapidly deteriorated, brain biopsy of the right frontal mass
was performed. The pathological diagnosis was EHE. Over the following 3 months,
the patient gradually developed disturbance of consciousness. She died at 4
months after admission because of significant intracranial hypertension.
CONCLUSION: Although intracranial EHEs are extremely rare, they should be
included in the differential diagnoses of multiple small-sized masses with low
intensity on MR susceptibility-weighted images. We also emphasize that the
systemic involvement of this tumor was more compatible with multicentric
development than metastasis.
PMID- 26539310
TI - A fast cranial drilling technique in treating severe intracranial hemorrhage.
AB - BACKGROUND: This study is a retrospective case analysis of 143 patients who
suffered from severe intracranial hemorrhage and underwent a fast and simple
procedure of cranial drilling followed with external ventricle drain treatment
(referred as Fast-D here after) during 2003-2013 to evaluate the clinical
effectiveness of the treatment. METHODS: Fast-D procedure was conducted on 143
patients with severe acute craniocerebral diseases. Those patients were evaluated
using activities of daily living (ADL) scales at hospital discharge and after 6
month of physical therapy, and were compared to 36 patients with similar
craniocerebral diseases but received the traditional Dandy's surgical treatment.
RESULTS: At discharge, 11% (16 cases) was classified as ADL I (fully functional
for physical and social activities); 26% (37 cases) had ADL II (fully functional
for physical activities but partially impaired for social activities); 34% (49
cases) was ADL III (require assistance performing physical activities); 9% (13
cases) was ADL IV (being conscious, but completely lost ability of physical
activities); 27% (10 cases) was ADL V (vegetative stage); and 13% (18 cased) was
ADL VI (died) among the 143 patients. Six-month physical therapy improved ADL in
88% of the patients. Those outcomes are equal or better than the more complicated
Dandy's procedure probably due to the time-saving factor. CONCLUSION: Fast-D
procedure is much faster (6.7 min vs. 53.6 min of the Dandy's procedure) and can
be performed outside operating rooms (computed tomography room or bedside). This
technique could serve as a tool to rapidly release intracranial pressure and
reduce subsequent morbidity and mortality of severe craniocerebral diseases when
resource and condition are limited and more elaborate operating room procedures
are not possible.
PMID- 26539309
TI - Magnetic resonance imaging fluid-attenuated inversion recovery sequence signal
reduction after endoscopic endonasal transcribiform total resection of olfactory
groove meningiomas.
AB - BACKGROUND: Olfactory groove meningiomas grow insidiously and compress adjacent
cerebral structures. Achieving complete removal without further damage to frontal
lobes can be difficult. Microsurgical removal of large lesions is a challenging
procedure and usually involves some brain retraction. The endoscopic endonasal
approaches (EEAs) for tumors arising from the anterior fossa have been well
described; however, their effect on the adjacent brain tissue has not. Herein,
the authors utilized the magnetic resonance imaging fluid attenuated inversion
recovery (FLAIR) sequence signal as a marker for edema and gliosis on pre- and
post-operative images of olfactory groove meningiomas, thus presenting an
objective parameter for brain injury after surgical manipulation. METHODS:
Imaging of 18 olfactory groove meningiomas removed through EEAs was reviewed.
Tumor and pre/postoperative FLAIR signal volumes were assessed utilizing the
DICOM image viewer OsiriX((r)). Inclusion criteria were: (1) No previous
treatment; (2) EEA gross total removal; (3) no further treatment. RESULTS: There
were 14 females and 4 males; the average age was 53.8 years (+/-8.85 years).
Average tumor volume was 24.75 cm(3) (+/-23.26 cm(3), range 2.8-75.7 cm(3)),
average preoperative FLAIR volume 31.17 cm(3) (+/-39.38 cm(3), range 0-127.5
cm(3)) and average postoperative change volume, 4.16 cm(3) (+/-6.18 cm(3), range
0-22.2 cm(3)). Average time of postoperative scanning was 6 months (range 0.14-20
months). In all cases (100%) gross total tumor removal was achieved. Nine
patients (50%) had no postoperative FLAIR changes. In 2 patients (9%) there was
minimal increase of changes postoperatively (2.2 cm(3) and 6 cm(3) respectively);
all others demonstrated image improvement. The most common complication was
postoperative cerebrospinal fluid leakage (27.8%); 1 patient (5.5%) died due to
systemic complications and pulmonary sepsis. CONCLUSIONS: FLAIR signal changes
tend to resolve after endonasal tumor resection and do not seem to worsen with
this operative technique.
PMID- 26539311
TI - Primary central nervous system vasculitis preceded by granulomatous hypophysitis:
Case report with a review of the literature.
AB - BACKGROUND: Primary central nervous system (CNS) vasculitis is an idiopathic
inflammatory process that selectively affects CNS vasculature without a systemic
inflammatory response, and causes luminal obstruction with resultant ischemia of
recipient tissue. Its varying clinical symptoms and signs depend on the caliber
of vessels involved and distribution and location of the affected structures.
Granulomatous hypophysitis (GH) is an autoimmune inflammatory process typically
affecting women, and usually presents with hypopituitarism, and at times,
diabetes insipidus, and/or visual loss. Both entities are rare CNS diseases,
which, to our knowledge, have never been previously reported in the same patient.
CASE DESCRIPTION: We present a unique case of chronic progressive primary CNS
vasculitis causing limbic encephalopathy in a 30-year-old male with only a
history of medication-controlled hypertension. He initially presented 4 months
prior with nonspecific neurological complaints and was found to have a
homogenously enhancing and enlarged pituitary, which was biopsy proven to be GH.
CONCLUSION: This rather unique presentation highlights the need to maintain a
high index of suspicion for underlying PCNS vasculitis in a patient who does not
fit the typical demographic for isolated GH.
PMID- 26539312
TI - An aneurysm at the site of the fenestration of the middle cerebral artery in a
patient with multiple aneurysms: A case report.
AB - BACKGROUND: Middle cerebral artery (MCA) fenestration is a very rare anatomical
variant of the MCA, incidentally found during magnetic resonance or computed
tomography angiography. It has an incidence of 0.6%. Unlike fenestration of the
posterior cerebral arterial circulation, fenestration of the anterior cerebral
arterial circulation has not been well described. METHODS: We present the rare
case of a patient who was admitted for a ruptured aneurysm of the MCA arising at
the site of the fenestration of the MCA and also an unruptured fusiform aneurysm
of the right posterior communicating artery and a distal anterior cerebral artery
(ACA) aneurysm. RESULTS: The patient underwent craniotomy with microsurgical
aneurysm clipping and the previously undiagnosed ruptured aneurysm, at the site
of the fenestration of the MCA, arose immediately. Postoperatively, the patient
awoke without a deficit. After treatment of postoperative bacterial meningitis,
he was discharged on the 26(th) postoperative day in good condition without any
neurologic deficit. Six months after the first surgery, he was operated for the
distal azygos ACA aneurysm. CONCLUSION: Anomalies of the intracranial vasculature
are common, and we describe a rare case of left MCA fenestration with an
associated ruptured aneurysm at the site of the fenestration. In the literature,
cases of fenestration of the MCA are sporadically reported and are only
incidental findings.
PMID- 26539313
TI - An unusual variant of the common trunk of the fronto-orbital and frontopolar
arteries associated with a ruptured aneurysm of the A1 segment of the anterior
cerebral artery.
AB - BACKGROUND: The common trunk of the fronto-orbital artery (FOA) and frontopolar
artery (FPA) arising from the A1 segment of the anterior cerebral artery (ACA)
associated with a ruptured aneurysm (AN), is rare. CASE DESCRIPTION: The patient
was a 52-year-old man who suffered from subarachnoid hemorrhage. Three
dimensional computed tomography angiography revealed an elongated and tortuous
left A1 segment of the ACA and a saccular AN arising from the left A1 segment of
the ACA at the origin of the cortical branch, defining its location just on the
midline and behind the anterior communicating artery. This vessel had two
branches. One branch ran along the inferior surface of the ipsilateral frontal
lobe, and the other branch ran anteriorly and medially along the surface of the
left hemisphere toward the frontal pole. The anomalous artery was interpreted as
a common trunk of the FOA and FPA. Bifrontal craniotomy was performed. The
anomalous artery arose from the A1 segment of the ACA at the origin of the AN,
and the recurrent artery of Heubner branched off the anomalous artery. The AN was
successfully obliterated, clipping with a bayonet-shaped Yasargil titanium clip.
Complete AN occlusion and patency of both the A1 and the common trunk of the FOA
and FPA, were confirmed intraoperatively by indocyanine green angiography.
CONCLUSIONS: Recognizing this variant preoperatively, could be helpful in
preventing the complications of surgery.
PMID- 26539314
TI - Accessory middle cerebral artery associated with an unruptured aneurysm at its
origin.
AB - BACKGROUND: An aneurysm originating from the junction of the A1 segment of the
anterior cerebral artery and accessory middle cerebral artery (Acc-MCA) is
markedly rare. We report a rare case of an Acc-MCA aneurysm, and discuss the
clinical course and management of this rare condition. CASE DESCRIPTION: A 64
year-old man with a past history of cerebral infarction was revealed to have a
left Acc-MCA and an aneurysm at its origin. The aneurysm was clipped via a
transsylvian approach. Due to its location and projectile direction, the neck of
the aneurysm was left partially unclipped. CONCLUSION: Although an Acc-MCA
aneurysm is very rare, it has a potential risk of rupture. Therefore, radical
treatment is necessary for such aneurysms.
PMID- 26539315
TI - Ruptured spinal arteriovenous malformation: Presenting as stunned myocardium and
neurogenic shock.
AB - BACKGROUND: Neurogenic pulmonary edema (NPE) is a clinical syndrome usually
defined as an acute pulmonary edema occurring shortly after a central neurologic
insult. NPE was identified 100 years ago, but it is still underappreciated in the
clinical setup. NPE usually appears within minutes to hours after the injury. It
has a high mortality rate if not recognized early and treated appropriately.
Similarly, neurogenic shock is a known complication of spinal cord injury
reported incidence is more than 20% in isolated upper cervical spinal injury. But
NPE is rare to occur, and stunned myocardium (SM) is not reported in spinal
arteriovenous malformation (AVM) rupture. SM is a reversible cardiomyopathy
resulting in transient left ventricular dysfunction which has been described to
occur in the setting of catecholamine release during situations of physiologic
stress. We report a case of high spinal AVM rupture presenting as SM, NPE, and
neurogenic shock. CASE DESCRIPTION: A 32-year-old male who presented with sudden
onset of pain and weakness in upper limbs. Imaging studies showed AVM rupture by
imaging techniques. Initially, the patient had severe hypertension, respiratory
distress requiring intubation and ventilation, then he developed hypotension,
bradycardia, and asystole, which required immediate cardiopulmonary resuscitation
and atropine. He remained with quadriplegia and suffered from frequent episodes
of bradycardia and asystole. CONCLUSIONS: Spinal AVM rupture can present as
neurogenic shock, stunned myocardium, and pulmonary edema. Early recognition of
AVM rupture and prompt surgical intervention, as well as aggressive treatment of
shock, may enhance recovery and decrease the long-term morbidity.
PMID- 26539316
TI - Spinal dorsal dermal sinus tract: An experience of 21 cases.
AB - BACKGROUND: Spinal dorsal dermal sinus is a rare entity, which usually comes to
clinical attention by cutaneous abnormalities, neurologic deficit, and/or
infection. The present study was undertaken to know the clinical profile of these
patients, to study associated anomalies and to assess the results of surgical
intervention. METHODS: Medical records of 21 patients treated for spinal dorsal
dermal sinus from September 2007 to December 2013 were reviewed. RESULTS: We had
21 patients with male: female ratio of 13:8. Only 2 patients were below 1-year of
age, and most cases (15) were between 2 and 15 years (mean age = 8.2 years).
Lumbar region (11 cases) was most frequently involved, followed by thoracic (4
cases), lumbosacral, and cervical region in 3 patients each. All of our patients
presented with neurological deficits. Three patients were admitted with acute
meningitis with acute onset paraplegia and had intraspinal abscess. The motor,
sensory, and autonomic deficits were seen in 14, 6, and 8 patients, respectively.
Scoliosis and congenital talipes equinovarus were the common associated
anomalies. All patients underwent surgical exploration and repair of dysraphic
state and excision of the sinus. Overall, 20 patients improved or neurological
status stabilized and only 1 patient deteriorated. Postoperative wound infection
was seen in 2 cases. CONCLUSIONS: All patients with spinal dorsal dermal sinuses
should be offered aggressive surgical treatment in the form of total excision of
sinus tract and correction of spinal malformation, as soon as diagnosed.
PMID- 26539317
TI - Eosinophilic granuloma/Langerhans cell histiocytosis: Pediatric neurosurgery
update.
PMID- 26539318
TI - Neurofibromatosis type 2 patient presenting with medulloblastoma.
AB - BACKGROUND: Neurofibromatosis type 2 (NF2) is an autosomal dominant syndrome with
a frequency of 1 in 25,000 live births and a penetrance of almost 100% by the
sixth decade of life. The main tumors occurring in NF2 patients are bilateral
vestibular schwannomas, other peripheral, cranial and spinal nerve schwannomas,
intracranial and intraspinal meningiomas, ependymomas, and gliomas. CASE
DESCRIPTION: We report the case of a 6-year-old boy who presented with a 1-month
history of nausea and recurrent vomiting. Physical examination was positive for
ataxic gait and left-sided facial nerve palsy. Family history was positive for
NF2 in the patient's father and paternal uncle. Magnetic resonance imaging brain
revealed a solid enhancing lesion arising from the right cerebellar cortex, which
was effacing the fourth ventricles and causing hydrocephalus. Craniotomy and
excision of the lesion were performed. Histopathology report confirmed the
diagnosis to be desmoplastic medulloblastoma. Based on the patients' subsequent
history and family history, he was diagnosed to be a case of NF2. CONCLUSION:
This is the first case of medulloblastoma occurring in a patient with NF2 and
raises the possibility of an association between medulloblastoma and NF2.
PMID- 26539319
TI - Spontaneous Meckel's cave hematoma: A rare cause of trigeminal neuralgia.
AB - BACKGROUND: The most common etiology of classic trigeminal neuralgia (TN) is
vascular compression. However, other causes must be considered. Among these,
spontaneous hematoma of the Meckel's cave (MC) causing symptomatic TN is very
rare. CASE DESCRIPTION: We present the case of a 60-year-old woman with a 2-month
history of left TN and diplopia. Neuroradiological examinations revealed a well
defined hematoma in the left MC. The patient underwent surgical decompression
with a progressive neurological improvement. CONCLUSION: Despite the number of
lesions potentially affecting the MC, spontaneous hemorrhage is rare but should
be taken into account in the differential diagnosis.
PMID- 26539320
TI - Papillary tumor of the pineal region with extended clinical and radiologic follow
up.
AB - BACKGROUND: Papillary tumor of the pineal region (PTPR) is a rare neoplasm with
only anecdotal data to guide the treatment. Results of treatment with surgery,
radiation therapy, and chemotherapy have been reported to have varying degrees of
success. Here we report a patient with a PTPR, who underwent subtotal resection,
gamma knife stereotactic radiosurgery, and adjuvant temozolomide chemotherapy.
CASE DESCRIPTION: During 9 years of clinical and radiographic follow-up, the
patient has had regression of residual tumor and remains asymptomatic.
CONCLUSION: When gross total resection of a PTPR is not possible, treatment with
gamma knife stereotactic radiosurgery and temozolomide chemotherapy may provide
long-term tumor control.
PMID- 26539321
TI - Co-presentation of a subdural empyema and an infected ventriculoperitoneal shunt
in an adult patient: A rare complication with review of literature.
AB - BACKGROUND: The occurrence of a subdural empyema as a complication of a
ventriculoperitoneal (VP) shunt infection is rare. Only three articles have been
published on this topic. Moreover, the available literature only involves
pediatric patients. CASE DESCRIPTION: The authors present a 38-year-old male with
a preexisting right frontal subdural hygroma that developed into a subdural
empyema in the presence of an infected right occipital VP shunt. A brief
literature review is provided, and the pathogenesis is discussed. CONCLUSION:
This is the first known report regarding an adult patient with a subdural empyema
and a VP shunt infection. Although a magnetic resonance imaging (MRI) brain is
not typically ordered during diagnosis of a shunt infection, the authors advocate
a low threshold to employ MRI brain to evaluate for other sources of infection,
especially in an immunocompromised patient or in a patient with a history of a
subdural hematoma or hygroma that can be easily overlook as being stable on
computed tomography of head.
PMID- 26539322
TI - Granulomatous amebic encephalitis following hematopoietic stem cell
transplantation.
AB - BACKGROUND: Granulomatous amebic encephalitis (GAE) is rare, but often fatal. The
infection has been documented predominantly among the immunocompromised
population or among those with chronic disease. To date, however, there have only
been eight cases regarding the infection following hematopoietic stem cell
transplantation (HSCT). CASE DESCRIPTION: A 62-year-old female with a history of
relapsed diffuse large B-cell lymphoma, recently underwent peripheral blood
autologous stem cell transplant after BEAM conditioning (day 0). On day +15, she
began to exhibit worsening fatigue, generalized weakness, and fever. Symptoms
progressed to nausea, emesis, somnolence, confusion, and frontal headaches over
the next few days. Imaging demonstrated multifocal ill-defined vasogenic edema
with patchy enhancement. The patient was started on broad antibiotics,
antifungals, and seizure prophylaxis. Evaluation for bacterial, fungal,
mycobacterial, and viral etiologies was fruitless. Her mental status
progressively deteriorated. On day +22, she exhibited severe lethargy and went
into pulseless electrical activity arrest, requiring chest compressions. The
episode lasted <2 min and her pulse was restored. She was taken to the operating
room for a brain biopsy. Postoperatively, her right pupil began to dilate
compared to the left; she demonstrated extensor posturing in her upper
extremities and withdrawal in her lower extremities. Repeat computed tomography
demonstrated progressive edema. Given poor prognosis and poor neurological
examination, the family opted for withdrawal of care. Final pathology was
consistent with Acanthamoeba GAE. CONCLUSION: The authors report the third case
of GAE after autologous stem cell transplant, and the ninth case overall after
HSCT. This case is unusual due to its rapid clinical presentation after HSCT
compared to prior literature. The case highlights the need for high suspicion of
Acanthamoeba infection in this patient population.
PMID- 26539323
TI - Pharmacokinetics of monoclonal antibodies used for inflammatory bowel diseases in
pregnant women.
AB - Inflammatory bowel disease (IBD) is a condition of chronic immune response and
inflammation of the gastrointestinal tract. Most women with IBD are affected
during their reproductive years, and untreated IBD can have detrimental maternal
and fetal outcomes. In recent years, many biological therapies including anti-TNF
agents (infliximab, adalimumab, and certolizumab) have been developed for the
treatment of IBD. An increasing number of IBD patients are treated with these
agents during pregnancy. Sporadic reports suggest an absence of negative
pregnancy outcomes related to use of anti-TNF agents in women with IBD. However,
it is unclear if the physiological changes occurring in pregnancy alter mAb dose
requirements for optimal maternal disease management and minimal fetal exposure
to therapeutic antibodies. Based on current understanding of the pharmacokinetic
profiles for anti-TNF agents in nonpregnant subjects, it appears very likely that
physiological changes accompanying pregnancy can alter pharmacokinetics of anti
TNF agents. This review focuses on how such physiological changes may impact
disposition of anti-TNF agents during pregnancy. Further improvement in pregnancy
outcomes may be achieved in women with IBD by better understanding of pregnancy
mediated changes in the pharmacokinetics of anti-TNF agents.
PMID- 26539324
TI - Treatment of Chronic Scapholunate Ligament Injury.
PMID- 26539325
TI - Outcomes of Capitohamate Bone-Ligament-Bone Grafts for Scapholunate Injury.
AB - Purpose In an attempt to restore natural carpal kinematics more closely, bone
ligament-bone (BLB) grafts have been described for treating scapholunate (SL)
injury. In this article we report the long-term results of capitohamate BLB
autograft for the treatment of SL dissociation. Methods The medical records of
patients treated with capitohamate BLB grafts for SL dissociation were
retrospectively reviewed. Twenty-three patients were available for evaluation.
Patients were sent a Disabilities of the Arm, Shoulder, and Hand (DASH) and a
Patient-Rated Wrist Evaluation (PRWE) questionnaire and returned for exam.
Thirteen patients returned the questionnaire, and 12 wrists were examined. Range
of motion, grip strength, pain, complications, return to work, and radiographic
parameters were documented. Results The average length of follow-up evaluation
was 9.2 years. The average SL gap was 4.5 mm preoperatively and 3.6 mm at final
follow-up. The average SL angle was 70 degrees preoperatively and 73 degrees at
final follow-up. There was no statistically significant difference in
preoperative versus postoperative flexion, extension, radial deviation, ulnar
deviation, or grip strength. The average postoperative visual analog scale (VAS)
score was 1.25 at rest and 3.58 with activity. The average Mayo Wrist Score was
66.8 preoperatively and 70.9 postoperatively (p = 0.158). The average
postoperative PRWE was 20.5, and average postoperative DASH was 15.1. At final
follow-up, four patients had no radiographic evidence of arthritis. Two patients
had evidence of early-stage radiocarpal arthritis, four had evidence of midcarpal
arthritis, and two had radiographic evidence of mild scaphotrapeziotrapezoid
arthritis. One patient required a salvage procedure with four-corner fusion.
Discussion BLB reconstruction can be used to treat SL instability. At final
follow-up, the majority of patients did not worsen clinically or functionally or
require secondary salvage procedures; however, radiologic progression of
arthritis was not prevented. These outcomes are comparable to midterm results of
other SL reconstructive options; thus, we have abandoned this technique for other
less technically demanding procedures. Level of Evidence IV.
PMID- 26539326
TI - A New Technique for Volar Capsulodesis for Isolated Palmar Scapholunate
Interosseous Ligament Injuries: A Cadaveric Study and Case Report.
AB - Introduction Most surgical techniques for scapholunate interosseous ligament
(SLIL) repair address only the dorsal component of the ligament, potentially
leading to high surgical failure rates. We introduce a new technique to
reconstruct the volar SLIL using a portion of the long radiolunate ligament
(LRL). A biomechanical evaluation was performed to evaluate the rupture strength
of this repair, and a subsequent anatomic study was performed to verify that this
repair would not compromise the blood supply to either the scaphoid or the
lunate. Methods A reconstruction of the volar SLIL was developed utilizing a
lunate-based strip of the LRL. Fourteen cadaver arms were injected with red
colored epoxide and latex. The blood supply of the volar wrist capsule was
dissected. The vascular supply to the ligaments, scaphoid, and lunate were
investigated. The biomechanical strength of this reconstruction was tested on
five cadaver arms by potting the scaphoid, lunate, and radius and subjecting the
repair to a tensile load using a servohydraulic vertical displacement testing
machine. Results In all arms, a branch of the radial artery or radiocarpal arch
supplied the radioscapholunate ligament at the medial border of the LRL. The
proximal half of the scaphoid was supplied by dorsal branches of the radial
artery. In all cases, a vessel entered the lunate on its ulnar volar side, away
from the repair. The average strength of the intact LRL strip was 97.4 N, and the
average strength of the ligament-suture interface used for the capsulodesis was
43.5 N. Conclusion This volar approach to the SLIL does not compromise the
vascularity of the scaphoid or the lunate. This approach allows the possibility
of repairing or augmenting the volar SLIL. The strength of this repair appears to
be less than the strength of the native SLIL. Further clinical studies are
warranted.
PMID- 26539327
TI - Reconstruction of the Scapholunate Ligament Using Capitohamate Bone-Ligament
Bone.
AB - Background The biomechanical properties of the capitohamate (CH) ligament are
equivalent to those of the scapholunate (SL) interosseous ligament. We
reconstructed the SL ligament using the CH bone-ligament-bone substitute for
chronic injury of the SL ligament. Patients and Methods Beginning in 2008, 15
wrists of 14 patients with an average age of 38 years underwent this procedure
with a minimum follow-up of 2 years. Thirteen wrists had an SL joint gap more
than 3 mm, and two had a complete SL ligament disruption with a severe dorsal
intercalated segment instability (DISI) deformity. Kirschner wires (K-wires) were
removed 8 weeks after the surgery, then active ROM exercise began. Pain (on
visual analog scale [VAS]), wrist motion (angle), radiographic characteristics,
such as SL gap (mm) and SL angle, and Modified Mayo Wrist Score (MMWS) were
evaluated. Technique The SL joint was reduced by manipulation or with the use of
joysticks, then temporary fixed with K-wires. A dorsal trough was then made
between the scaphoid and the lunate. The proximal half of the CH ligament was
harvested with attached bone from the capitate and hamate (CH bone-ligament
bone), inset into the SL trough, and fixed firmly with 1.2-mm diameter titanium
screws in the scaphoid and lunate, respectively. Results The VAS improved from 77
preoperatively to 12 postoperatively. The average wrist extension/flexion was 74
degrees /60 degrees . There was no ossification of the reconstructed SL at the
final follow-up. The SL gap improved from an average of 4.8 mm to an average of
2.1 mm, and the SL angle changed from 67 degrees to 55 degrees . The MMWS
improved to 82 points postoperatively from a preoperative average of 47 with
eight excellent, five good, and two fair results.
PMID- 26539328
TI - Combined Treatment of Wrist and Trapeziometacarpal Joint Arthritis.
AB - Background Combined thumb basal and wrist joint arthritis (excluding
scaphotrapeziotrapezoid arthritis) is rare considering the frequency of arthritis
of either joint alone. Combined surgical treatment has never been described in
the literature. Furthermore, the scaphoidectomy common to all interventions for
Watson stage 2 or 3 wrist arthritis theoretically makes it impossible to perform
a trapeziectomy for thumb basal joint arthritis. Question/Purpose The aim of this
study was to present and analyze the results of two types of surgical treatment
when both wrist and thumb arthritis was present. Materials and Methods Our
retrospective series included 11 patients suffering from Eaton Stage III thumb
basal joint arthritis and scapholunate advanced collapse (SLAC) II and III-type
wrist arthritis. Five patients (group A) underwent trapeziectomy and palliative
surgery for their wrist with conservation of the distal pole of the scaphoid (one
proximal row carpectomy [PRC] and four four-corner fusions), and six (group B)
patients had a trapeziometacarpal arthroplasty either with PRC (two cases) or
four-corner arthrodesis (four cases) including total scaphoidectomy. Results The
mean follow-up was 57 months. The overall visual analog scale (VAS) score for
pain was 1.5 at rest, with no difference between the trapeziectomy and
arthroplasty groups. The average Kapandji score was 9.3 (9 in group A and 9.5 in
group B). The flexion/extension range of motion for the wrist was 64 degrees
following four-corner arthrodesis and 75 degrees following PRC. Only one case of
algodystrophy was observed. The radiological analysis revealed no complications.
Discussion This study shows that thumb basal joint arthritis and SLAC type wrist
arthritis may be treated by combined treatment during the same intervention
without any complications. The results of palliative surgery for the wrist,
either with trapeziectomy or with a trapeziometacarpal arthroplasty, are
comparable. With a trapeziectomy, the distal pole of the scaphoid must be fused
to the capitate to help stabilize the thumb column. Level of Evidence Level IV.
PMID- 26539329
TI - Brain-derived neurotrophic factor serum levels in genetically isolated
populations: gender-specific association with anxiety disorder subtypes but not
with anxiety levels or Val66Met polymorphism.
AB - Anxiety disorders (ADs) are disabling chronic disorders with exaggerated
behavioral response to threats. This study was aimed at testing the hypothesis
that ADs may be associated with reduced neurotrophic activity, particularly of
Brain-derived neurotrophic factor (BDNF), and determining possible effects of
genetics on serum BDNF concentrations. In 672 adult subjects from six isolated
villages in North-Eastern Italy with high inbreeding, we determined serum BDNF
levels and identified subjects with different ADs subtypes such as Social and
Specific Phobias (PHSOC, PHSP), Generalized Anxiety Disorder (GAD), and Panic
Disorder (PAD). Analysis of the population as a whole or individual village
showed no significant correlation between serum BDNF levels and Val66Met
polymorphism and no association with anxiety levels. Stratification of subjects
highlighted a significant decrease in serum BDNF in females with GAD and males
with PHSP. This study indicates low heritability and absence of any impact of the
Val66Met polymorphism on circulating concentrations of BDNF. Our results show
that BDNF is not a general biomarker of anxiety but serum BDNF levels correlate
in a gender-specific manner with ADs subtypes.
PMID- 26539330
TI - An investigation of the genus Mesacanthus (Chordata: Acanthodii) from the
Orcadian Basin and Midland Valley areas of Northern and Central Scotland using
traditional morphometrics.
AB - Mesacanthus is a common and speciose genus of acanthodian fish from Lower Old Red
Sandstone and Middle Old Red Sandstone assemblages (representing the Lower
Devonian and Middle Devonian respectively) and is well represented in many
palaeoichthyology collections in the UK. Based upon descriptions given during the
19th century, specimens of the genus Mesacanthus from the Orcadian Basin and
Midland Valley areas of Northern and Central Scotland have historically been
referred to a number of different species; of these, the most frequently
discussed in the literature are M. mitchelli, M. peachi and M. pusillus. In order
to test the validity of these three species, traditional morphometric analyses
were carried out on over 100 specimens of Mesacanthus, from both the Lower
Devonian and the Middle Devonian, that cover the full range of known localities
for these taxa in Northern and Central Scotland. Based upon morphological and
morphometric comparisons, this investigation has found that at least two species
of Mesacanthus are valid (M. mitchelli and M. pusillus) as specimens from the
Lower Devonian and Middle Devonian have been shown to differ significantly in a
number of important ways. However, no evidence has been found for the validity of
the second and distinct Middle Devonian species, M. peachi.
PMID- 26539331
TI - Effects of the acanthocephalan Polymorphus minutus and the microsporidian
Dictyocoela duebenum on energy reserves and stress response of cadmium exposed
Gammarus fossarum.
AB - Amphipods are commonly parasitized by acanthocephalans and microsporidians and co
infections are found frequently. Both groups of parasites are known to have
severe effects on their host. For example, microsporidians can modify host sex
ratio and acanthocephalans can manipulate the behavior of the amphipod to promote
transmission to the final host. These effects influence host metabolism in
general and will also affect the ability of amphipods to cope with additional
stressors such as environmental pollution, e.g., by toxic metals. Here we tested
the effects of sub-lethal concentrations of cadmium on glycogen and lipid levels,
as well as on the 70kDa heat shock protein (hsp70) response of field collected
Gammarus fossarum, which were naturally infected with microsporidians and the
acanthocephalan Polymorphus minutus. Infected and uninfected G. fossarum were
exposed to a nominal Cd concentration of 4 ug/L, which resembled measured aqueous
Cd concentration of 2.9 ug/L in reconstituted water for 7 d at 15 degrees C in
parallel to an unexposed control. After exposure gammarids were snap frozen,
weighed, sexed and tested for microsporidian infection by PCR. Only individuals
containing the microsporidian Dictyocoela duebenum were used for the further
biochemical and metal analyses. P. minutus infected amphipods were significantly
smaller than their uninfected conspecifics. Mortality was insignificantly
increased due to cadmium exposure, but not due to parasite infection.
Microsporidian infection in combination with cadmium exposure led to increased
glycogen levels in female gammarids. An increase of glycogen was also found due
to interaction of acanthocephalan and microsporidian infection. Elevated lipid
levels were observed in all groups infected with microsporidians, while
acanthocephalans had the opposite effect. A positive correlation of lipid and
glycogen levels was observed. The general stress response measured in form of
hsp70 was significantly increased in microsporidian infected gammarids exposed to
cadmium. P. minutus did not affect the stress response of its host. Lipid levels
were correlated negatively with hsp70 response, and indicated a possible
increased stress susceptibility of individuals with depleted energy reserves. The
results of our study clearly demonstrate the importance of parasitic infections,
especially of microsporidians, for ecotoxicological research.
PMID- 26539332
TI - Overexpression of IFITM3 predicts the high risk of lymphatic metastatic
recurrence in pN0 esophageal squamous cell carcinoma after Ivor-Lewis
esophagectomy.
AB - Background. Recent studies have shown that the aberrant expression of IFITM3 is
implicated in the lymph node metastasis of many malignancies. Our research aimed
to investigate the expression of IFITM3 in pathological N0 (pN0) esophageal
squamous cell carcinoma (ESCC) and its relationship with lymph node metastatic
recurrence. Methods. Immunohistochemistry (IHC) was used to examine the
expression profile of IFITM3 in 104 pairs of samples. Each pair consisted of ESCC
tissue and its adjacent normal mucosa (ANM). This aberrant expression was
verified by reverse transcription-polymerase chain reaction (RT-PCR) with 20
tumor specimens with strong immunostaining and their mucosal tissues. In
addition, 20 samples of low expression tissues and their ANMs were evaluated.
Moreover, the correlations between the IFITM3 expression level and the
clinicopathological variables, recurrence risk and overall survival (OS) of
patients were analyzed. Results. Both IHC and RT-PCR demonstrated that the IFITM3
expression level was significantly higher in tumor tissue than in ANM.
Statistical analysis showed a significant correlation of IFITM3 expression with
the T status of esophageal cancer (p = 0.015). In addition, IFITM3 overexpression
was demonstrated to be not only an important risk factor of lymphatic metastatic
recurrence but a significant prognostic factor in pN0 ESCC (p < 0.005).
Conclusions. Even pN0 ESCC patients will still experience lymphatic metastatic
recurrence. The IFITM3 gene could be a predictor of lymphatic metastatic
recurrence in pN0 ESCC after Ivor-Lewis esophagectomy.
PMID- 26539333
TI - Getting the most out of RNA-seq data analysis.
AB - Background. A common research goal in transcriptome projects is to find genes
that are differentially expressed in different phenotype classes. Biologists
might wish to validate such gene candidates experimentally, or use them for
downstream systems biology analysis. Producing a coherent differential gene
expression analysis from RNA-seq count data requires an understanding of how
numerous sources of variation such as the replicate size, the hypothesized
biological effect size, and the specific method for making differential
expression calls interact. We believe an explicit demonstration of such
interactions in real RNA-seq data sets is of practical interest to biologists.
Results. Using two large public RNA-seq data sets-one representing strong, and
another mild, biological effect size-we simulated different replicate size
scenarios, and tested the performance of several commonly-used methods for
calling differentially expressed genes in each of them. We found that, when
biological effect size was mild, RNA-seq experiments should focus on experimental
validation of differentially expressed gene candidates. Importantly, at least
triplicates must be used, and the differentially expressed genes should be called
using methods with high positive predictive value (PPV), such as NOISeq or GFOLD.
In contrast, when biological effect size was strong, differentially expressed
genes mined from unreplicated experiments using NOISeq, ASC and GFOLD had between
30 to 50% mean PPV, an increase of more than 30-fold compared to the cases of
mild biological effect size. Among methods with good PPV performance, having
triplicates or more substantially improved mean PPV to over 90% for GFOLD, 60%
for DESeq2, 50% for NOISeq, and 30% for edgeR. At a replicate size of six, we
found DESeq2 and edgeR to be reasonable methods for calling differentially
expressed genes at systems level analysis, as their PPV and sensitivity trade-off
were superior to the other methods'. Conclusion. When biological effect size is
weak, systems level investigation is not possible using RNAseq data, and no
meaningful result can be obtained in unreplicated experiments. Nonetheless,
NOISeq or GFOLD may yield limited numbers of gene candidates with good validation
potential, when triplicates or more are available. When biological effect size is
strong, NOISeq and GFOLD are effective tools for detecting differentially
expressed genes in unreplicated RNA-seq experiments for qPCR validation. When
triplicates or more are available, GFOLD is a sharp tool for identifying high
confidence differentially expressed genes for targeted qPCR validation; for
downstream systems level analysis, combined results from DESeq2 and edgeR are
useful.
PMID- 26539334
TI - Genetic models reveal historical patterns of sea lamprey population fluctuations
within Lake Champlain.
AB - The origin of sea lamprey (Petromyzon marinus) in Lake Champlain has been heavily
debated over the past decade. Given the lack of historical documentation, two
competing hypotheses have emerged in the literature. First, it has been argued
that the relatively recent population size increase and concomitant rise in
wounding rates on prey populations are indicative of an invasive population that
entered the lake through the Champlain Canal. Second, recent genetic evidence
suggests a post-glacial colonization at the end of the Pleistocene, approximately
11,000 years ago. One limitation to resolving the origin of sea lamprey in Lake
Champlain is a lack of historical and current measures of population size. In
this study, the issue of population size was explicitly addressed using nuclear
(nDNA) and mitochondrial DNA (mtDNA) markers to estimate historical demography
with genetic models. Haplotype network analysis, mismatch analysis, and summary
statistics based on mtDNA noncoding sequences for NCI (479 bp) and NCII (173 bp)
all indicate a recent population expansion. Coalescent models based on mtDNA and
nDNA identified two potential demographic events: a population decline followed
by a very recent population expansion. The decline in effective population size
may correlate with land-use and fishing pressure changes post-European
settlement, while the recent expansion may be associated with the implementation
of the salmonid stocking program in the 1970s. These results are most consistent
with the hypothesis that sea lamprey are native to Lake Champlain; however, the
credibility intervals around parameter estimates demonstrate that there is
uncertainty regarding the magnitude and timing of past demographic events.
PMID- 26539335
TI - The association between negative attention biases and symptoms of depression in a
community sample of adolescents.
AB - Adolescence is a vulnerable time for the onset of depression. Recent evidence
from adult studies suggests not only that negative attention biases are
correlated with symptoms of depression, but that reducing negative attention
biases through training can in turn reduce symptomology. The role and plasticity
of attention biases in adolescent depression, however, remains unclear. This
study examines the association between symptoms of depression and attention
biases, and whether such biases are modifiable, in a community sample of
adolescents. We report data from 105 adolescents aged 13-17 who completed a dot
probe measure of attention bias before and after a single session of visual
search-based cognitive bias modification training. This is the first study to
find a significant association between negative attention biases and increased
symptoms of depression in a community sample of adolescents. Contrary to
expectations, we were unable to manipulate attention biases using a previously
successful cognitive bias modification task. There were no significant effects of
the training on positive affect and only modest effects of the training,
identified in post-hoc analyses, were observed on negative affect. Our data
replicate those from the adult literature, which suggest that adolescent
depression is a disorder associated with negative attention biases, although we
were unable to modify attention biases in our study. We identify numerous
parameters of our methodology which may explain these null training effects, and
which could be addressed in future cognitive bias modification studies of
adolescent depression.
PMID- 26539336
TI - Biotransformation of Momordica charantia fresh juice by Lactobacillus plantarum
BET003 and its putative anti-diabetic potential.
AB - Lactobacillus plantarum BET003 isolated from Momordica charantia fruit was used
to ferment its juice. Momordica charantia fresh juice was able to support good
growth of the lactic acid bacterium. High growth rate and cell viability were
obtained without further nutrient supplementation. In stirred tank reactor batch
fermentation, agitation rate showed significant effect on specific growth rate of
the bacterium in the fruit juice. After the fermentation, initially abundant
momordicoside 23-O-beta-Allopyranosyle-cucurbita-5,24-dien
7alpha,3beta,22(R),23(S)-tetraol-3-O-beta-allopyranoside was transformed into its
corresponding aglycone in addition to the emergence of new metabolites. The
fermented M. charantia juice consistently reduced glucose production by 27.2%,
14.5%, 17.1% and 19.2% at 15-minute intervals respectively, when compared against
the negative control. This putative anti-diabetic activity can be attributed to
the increase in availability and concentration of aglycones as well as other
phenolic compounds resulting from degradation of glycosidic momordicoside.
Biotransformation of M. charantia fruit juice via lactic acid bacterium
fermentation reduced its bitterness, reduced its sugar content, produced
aglycones and other metabolites as well as improved its inhibition of alpha
glucosidase activity compared with the fresh, non-fermented juice.
PMID- 26539337
TI - Two different approaches to the affective profiles model: median splits (variable
oriented) and cluster analysis (person-oriented).
AB - Background. The notion of the affective system as being composed of two
dimensions led Archer and colleagues to the development of the affective profiles
model. The model consists of four different profiles based on combinations of
individuals' experience of high/low positive and negative affect: self
fulfilling, low affective, high affective, and self-destructive. During the past
10 years, an increasing number of studies have used this person-centered model as
the backdrop for the investigation of between and within individual differences
in ill-being and well-being. The most common approach to this profiling is by
dividing individuals' scores of self-reported affect using the median of the
population as reference for high/low splits. However, scores just-above and just
below the median might become high and low by arbitrariness, not by reality.
Thus, it is plausible to criticize the validity of this variable-oriented
approach. Our aim was to compare the median splits approach with a person
oriented approach, namely, cluster analysis. Method. The participants (N = 2,
225) were recruited through Amazons' Mechanical Turk and asked to self-report
affect using the Positive Affect Negative Affect Schedule. We compared the
profiles' homogeneity and Silhouette coefficients to discern differences in
homogeneity and heterogeneity between approaches. We also conducted exact cell
wise analyses matching the profiles from both approaches and matching profiles
and gender to investigate profiling agreement with respect to affectivity levels
and affectivity and gender. All analyses were conducted using the ROPstat
software. Results. The cluster approach (weighted average of cluster homogeneity
coefficients = 0.62, Silhouette coefficients = 0.68) generated profiles with
greater homogeneity and more distinctive from each other compared to the median
splits approach (weighted average of cluster homogeneity coefficients = 0.75,
Silhouette coefficients = 0.59). Most of the participants (n = 1,736, 78.0%) were
allocated to the same profile (Rand Index = .83), however, 489 (21.98%) were
allocated to different profiles depending on the approach. Both approaches
allocated females and males similarly in three of the four profiles. Only the
cluster analysis approach classified men significantly more often than chance to
a self-fulfilling profile (type) and females less often than chance to this very
same profile (antitype). Conclusions. Although the question whether one approach
is more appropriate than the other is still without answer, the cluster method
allocated individuals to profiles that are more in accordance with the conceptual
basis of the model and also to expected gender differences. More importantly,
regardless of the approach, our findings suggest that the model mirrors a complex
and dynamic adaptive system.
PMID- 26539338
TI - Partnering With Patients in the Development and Lifecycle of Medicines: A Call
for Action.
AB - The purpose of medicines is to improve patients' lives. Stakeholders involved in
the development and lifecycle management of medicines agree that more effective
patient involvement is needed to ensure that patient needs and priorities are
identified and met. Despite the increasing number and scope of patient
involvement initiatives, there is no accepted master framework for systematic
patient involvement in industry-led medicines research and development,
regulatory review, or market access decisions. Patient engagement is very
productive in some indications, but inconsistent and fragmentary on a broader
level. This often results in inefficient drug development, increasing evidence
requirements, lack of patient-centered outcomes that address unmet medical needs
and facilitate adherence, and consequently, lack of required therapeutic options
and high costs to society and involved parties. Improved patient involvement can
drive the development of innovative medicines that deliver more relevant and
impactful patient outcomes and make medicine development faster, more efficient,
and more productive. It can lead to better prioritization of early research;
improved resource allocation; improved trial protocol designs that better reflect
patient needs; and, by addressing potential barriers to patient participation,
enhanced recruitment and retention. It may also improve trial conduct and lead to
more focused, economically viable clinical trials. At launch and beyond,
systematic patient involvement can also improve the ongoing benefit-risk
assessment, ensure that public funds prioritize medicines of value to patients,
and further the development of the medicine. Progress toward a universal
framework for patient involvement requires a joint, precompetitive, and
international approach by all stakeholders, working in true partnership to
consolidate outputs from existing initiatives, identify gaps, and develop a
comprehensive framework. It is essential that all stakeholders participate to
drive adoption and implementation of the framework and to ensure that patients
and their needs are embedded at the heart of medicines development and lifecycle
management.
PMID- 26539339
TI - A Prospective Study of Psychiatric Comorbidity and Recidivism Among Repeat DUI
Offenders.
AB - Psychiatric comorbidity has emerged as a key element distinguishing DUI offenders
from others, and, in some cases, distinguishing repeat offenders from first-time
offenders. This paper utilizes a prospective design to determine whether the
comorbid disorders identified among repeat DUI offenders can predict recidivism.
Seven hundred forty-three repeat DUI offenders were recruited from a two-week
inpatient treatment program at which they received a standardized mental health
assessment and followed across five years post-treatment to track DUI offense,
motor vehicle-related offenses, and general criminal offenses. Psychiatric
comorbidity, though it did not predict DUI recidivism specifically, predicted
criminal re-offense more generally. In addition, there was a specific
relationship between lifetime attention deficit disorder and repeated motor
vehicle-related offenses. These findings suggest that for many repeat offenders,
DUI is one outlet in a constellation of criminal behavior, and that psychiatric
comorbidity increases vulnerability for criminal re-offense.
PMID- 26539340
TI - Disability Prevalence According to a Class, Race, and Sex (CSR) Hypothesis.
AB - Disability has been shown to be related in definite ways to social class. In
modern industrial societies, disability is influenced by and has the potential to
contribute to the production and reproduction of social inequality. However,
markers of social stratification processes are sometimes ignored determinants of
health. A Class, Race, Sex (CRS) hypothesis is presented to argue that a "low
education disadvantage"; "racial-minority disadvantage"; and "female
disadvantage" will compound to affect the risks for being disable. In particular,
the CRS hypothesis posits that class is more important than race and the latter
more than sex when predicting presence or severity of disability. The cross
sectional study of community-dwelling adults between the ages of 45 and 64 uses
data from the American Community Survey (ACS) Public Use Microdata Sample (PUMS)
2008-2012 file. By using 3,429,523 individuals-which weighted equal to 61,726,420
the results of the study suggest the CRS hypothesis applies to both Non-Latino
Blacks and Non-Latino-Whites. There is a "male disadvantage" exception for Non
Latino-Whites. Decreasing between-group differences in health may be achieved by
making the age-health association at lower socioeconomic stratum similar to that
of the upper socioeconomic strata.
PMID- 26539341
TI - Extracting the Benefit of Nexrutine(r) for Cancer Prevention.
AB - The current standard of care for prostate cancer includes hormone therapy,
radiation therapy and radical prostatectomy, each with its own set of undesirable
side effects. In this regard there is an unmet need to develop strategies that
can prevent or delay the development of clinical prostate cancer. One potential
area involves the use of natural compounds involving botanicals. Along these
lines we have found that Nexrutine(r), a dietary supplement derived from
Phellodendron amurense bark extract, has prostate cancer prevention activity. The
"extract" nature of this botanical, which constitutes a blend of several active
protoberberine alkaloids, allows it to target several pathways deregulated in
prostate cancer simultaneously. In this review, we will emphasize the prospective
translational benefit of Nexrutine(r) as a chemopreventive agent for prostate
cancer management. The potential of Nexrutine(r) was first identified and has
subsequently been most exhaustively studied with reference to prostate cancer.
Therefore the focus of this review is on the use of Nexrutine(r) in prostate
cancer. In addition we have summarized the emerging evidence regarding the use of
Nexrutine(r) in other tumor models to demonstrate the potential benefits of
Nexrutine(r).
PMID- 26539343
TI - A meta-analysis of mitral valve repair versus replacement for ischemic mitral
regurgitation.
AB - BACKGROUND: The development of ischemic mitral regurgitation (IMR) portends a
poor prognosis and is associated with adverse long-term outcomes. Although both
mitral valve repair (MVr) and mitral valve replacement (MVR) have been performed
in the surgical management of IMR, there remains uncertainty regarding the
optimal approach. The aim of the present study was to meta-analyze these two
procedures, with mortality as the primary endpoint. METHODS: Seven databases were
systematically searched for studies reporting peri-operative or late mortality
following MVr and MVR for IMR. Data were independently extracted by two reviewers
and meta-analyzed according to pre-defined study selection criteria and clinical
endpoints. RESULTS: Overall, 22 observational studies (n=3,815 patients) and one
randomized controlled trial (n=251) were included. Meta-analysis demonstrated
significantly reduced peri-operative mortality [relative risk (RR) 0.61; 95%
confidence intervals (CI), 0.47-0.77; I(2)=0%; P<0.001] and late mortality (RR,
0.78; 95% CI, 0.67-0.92; I(2)=0%; P=0.002) following MVr. This finding was more
pronounced in studies with longer follow-up beyond 3 years. At latest follow-up,
recurrence of at least moderate mitral regurgitation (MR) was higher following
MVr (RR, 5.21; 95% CI, 2.66-10.22; I(2)=46%; P<0.001) but the incidence of mitral
valve re-operations were similar. CONCLUSIONS: In the present meta-analysis, MVr
was associated with reduced peri-operative and late mortality compared to MVR,
despite an increased recurrence of at least moderate MR at follow-up. However,
these findings must be considered within the context of the differing patient
characteristics that may affect allocation to MVr or MVR. Larger prospective
studies are warranted to further compare long-term survival and freedom from re
intervention.
PMID- 26539342
TI - Retinoid Chemoprevention: Who Can Benefit?
AB - Acute promyelocytic leukemia (APL) is a treatment success story. From a highly
deadly disease it was turned into a highly curable disease by the introduction of
differentiation-induction therapy with all-trans retinoic acid (ATRA) in the
1990's. During the last quarter of century, ATRA and other retinoids were used
for the treatment and prevention of other cancers and even other diseases. The
results were less spectacular, but nevertheless important. Progress has been made
toward understanding the mechanism of action of retinoids in different
physiological and pathological contexts. For some diseases, specific genetic
backgrounds were found to confer responsiveness to retinoid therapy. Therapies
that include retinoids and other modalities are very diverse and used both for
combined targeting of multiple pathways and for diminishing toxicity.
PMID- 26539344
TI - Repair or replace for severe ischemic mitral regurgitation: prospective
randomized multicenter data.
AB - Ischemic mitral regurgitation (IMR) is a subset of functional mitral
regurgitation (MR) that has the potential to impact an increasing number of
patients in the future. This is in the context of a worldwide population, which
continues to live longer with improved survival after myocardial infarction.
Substantial data have accumulated over the past few decades demonstrating the
negative effects of IMR. Further, significant research has been done to define
the optimal surgical approach and several studies have compared mitral repair
versus replacement for patients with severe mitral regurgitation (SMR). Studies
supporting performance of mitral repair cite superior operative morbidity and
mortality rates, while proponents of mitral replacement cite improved long-term
durability and correction of MR. Lack of clinically robust Level I randomized
controlled trial data have curtailed attempts to better define appropriate
surgical treatment allocation over the past few decades. Recently, however, the
Cardiothoracic Surgical Trials Network (CTSN) conducted the first randomized
controlled trial, funded by the National Heart, Lung, and Blood Institute, the
National Institute for Neurological Diseases and Stroke and the Canadian
Institute for Health Research, to compare the performance of mitral repair versus
replacement for SMR. Herein, the present review describes the design, results and
implications of the CTSN SMR trial and its efforts to identify the most
efficacious surgical approach to SMR. This review also describes CTSN
investigation to predict the recurrence of MR after mitral repair.
PMID- 26539345
TI - Durability of mitral valve repair for mitral regurgitation due to degenerative
mitral valve disease.
AB - Degenerative diseases of the mitral valve (MV) are the most common cause of
mitral regurgitation in the Western world and the most suitable pathology for MV
repair. Several studies have shown excellent long-term durability of MV repair
for degenerative diseases. The best follow-up results are obtained with isolated
prolapse of the posterior leaflet, however even with isolated prolapse of the
anterior leaflet or prolapse of both leaflets the results are gratifying,
particularly in young patients. The freedom from reoperation on the MV at 15
years exceeds 90% for isolated prolapse of the posterior leaflet and it is around
70-85% for prolapse of the anterior leaflet or both leaflets. The degree of
degenerative change in the MV also plays a role in durability of MV repair. Most
studies have used freedom from reoperation to assess durability of the repair but
some studies that examined valve function late after surgery suggest that
recurrent mitral regurgitation is higher than estimated by freedom from
reoperation. We can conclude that MV repair for degenerative mitral regurgitation
is associated with low probability of reoperation for up to two decades after
surgery. However, almost one-third of the patients develop recurrent moderate or
severe mitral regurgitation suggesting that surgery does not arrest the
degenerative process.
PMID- 26539346
TI - Minimally invasive mitral valve repair through right minithoracotomy in the
setting of degenerative mitral regurgitation: early outcomes and long-term follow
up.
AB - BACKGROUND: Mitral valve (MV) repair is the gold standard for the treatment of
degenerative MV regurgitation. Recently, minimally invasive mitral valve surgery
(MIMVS) has shown excellent postoperative outcomes compared with conventional
surgery. The aim of our study is to report early and long-term outcomes of
patients undergoing MIMVS through right mini-thoracotomy (RT) over an eight year
period. METHODS: From September 2003 to December 2011, a total of 1,604
consecutive patients underwent MIMVS through RT. RESULTS: The mean age was 62+/
13 years, 295 (42%) patients were female and 16 (2.3%) had previous cardiac
operations. MV repair was successfully performed in 670 patients, with a rate of
success of 95.3%. Repair techniques included annuloplasty (89%), leaflet
resection (n=54.2%), neochordae implantation (12.1%), and sliding plasty (10.5%).
Overall in-hospital mortality was 0.1%. Incidence of stroke was 1.3%. At eight
year follow-up, overall survival was 90.1%, freedom from reoperation 93%, and
freedom from recurrent mitral regurgitation was 90%. CONCLUSIONS: MIMV repair
through right minithoracotomy is a safe and reproducible procedure associated
with high rate of MV repair, and excellent early postoperative and long-term
results.
PMID- 26539347
TI - Long term outcomes of posterior leaflet folding valvuloplasty for mitral valve
regurgitation.
AB - BACKGROUND: Posterior mitral valve leaflet prolapse due to degenerative mitral
valve disease has been treated with tissue sparing repair techniques since 2002.
The simplified foldoplasty technique effectively lowers the height of the
redundant posterior leaflet and creates an optimal coaptation line for the
anterior leaflet that results in excellent long term durability, freedom from
reoperation, and return of functional status. METHODS: Patient demographics and
in-hospital outcome data were extracted from electronic medical records of 229
patients, aged 60.6+/-13.7 years who underwent the procedure for mitral valve
repair (MVR) involving the posterior leaflet from myxomatous disease between 2002
and 2014. Parametric analyses were performed on outcomes data, while long-term
survival was assessed by Kaplan-Meier analyses. RESULTS: Concomitant coronary
bypass surgery was performed on 32/229 (14%) patients, the mean perfusion time
was 119+/-40 min, and the mean cross clamp time was 86+/-31 min. Post-operative
mortality was 2/229 (0.9%), reoperation for bleeding occurred in 4 (1.7%) and
postoperative stroke in 4 (1.7%) patients. Long term follow up rate was 100% and
the mean study follow-up duration was 6.8+/-2.3 years. Overall late mortality
rate was 24/229 (14.9%), and mitral valve re-intervention was performed on 7
patients (4.3%). NYHA class III/IV and clinically significant MR at follow up
were significantly lower compared to preoperative values (both P<0.001).
CONCLUSIONS: Our results encourage further use of this simple and effective
technique in patients with isolated posterior leaflet prolapse.
PMID- 26539348
TI - Conditional long-term survival following minimally invasive robotic mitral valve
repair: a health services perspective.
AB - BACKGROUND: Conditional survival is defined as the probability of surviving an
additional number of years beyond that already survived. The aim of this study
was to compute conditional survival in patients who received a robotically
assisted, minimally invasive mitral valve repair procedure (RMVP). METHODS:
Patients who received RMVP with annuloplasty band from May 2000 through April
2011 were included. A 5- and 10-year conditional survival model was computed
using a multivariable product-limit method. RESULTS: Non-smoking men (<=65 years)
who presented in sinus rhythm had a 96% probability of surviving at least 10
years if they survived their first year following surgery. In contrast, recent
female smokers (>65 years) with preoperative atrial fibrillation only had an 11%
probability of surviving beyond 10 years if alive after one year post-surgery.
CONCLUSIONS: In the context of an increasingly managed healthcare environment,
conditional survival provides useful information for patients needing to make
important treatment decisions, physicians seeking to select patients most likely
to benefit long-term following RMVP, and hospital administrators needing to
comparatively assess the life-course economic value of high-tech surgical
procedures.
PMID- 26539349
TI - A novel approach to ischemic mitral regurgitation (IMR).
AB - Ischemic mitral regurgitation (IMR) is a complicated medical condition with
varying degrees of coronary artery disease and mitral regurgitation (MR). The
traditional surgical treatment option for those with indications for intervention
is coronary artery bypass grafting (CABG) plus or minus mitral valve repair or
replacement (MVR). Percutaneous coronary intervention, hybrid coronary
revascularization (HCR), and conventional CABG are three techniques available to
address coronary artery disease (CAD). Percutaneous edge-to-edge repair,
minimally invasive, and traditional sternotomy are accepted approaches for the
treatment of MR. When taken in combination, there are nine methods available to
revascularize the myocardium and restore competency to the mitral valve. While
most of these treatment options have not been studied in detail, they may offer
novel solutions to a widely variable and complex IMR patient population. Thus, a
comparative analysis including an examination of potential benefits and risks
will be helpful and potentially allow for more patient-specific treatment
strategies.
PMID- 26539350
TI - Standard transthoracic echocardiography and transesophageal echocardiography
views of mitral pathology that every surgeon should know.
AB - The mitral valve is the most commonly diseased heart valve and the prevalence of
mitral valve disease increases proportionally with age. Echocardiography is the
primary diagnostic imaging modality used in the assessment of patients with
mitral valve disease. It is a noninvasive method which provides accurate anatomic
and functional information regarding the mitral valve and can identify the
mechanism of mitral valve pathology. This is especially useful as it may guide
surgical repair. This is increasingly relevant given the growing trend of
patients undergoing mitral valve repair. Collaboration between cardiac surgeons
and echocardiographers is critical in the evaluation of mitral valve disease and
for identification of complex valvular lesions that require advanced surgical
skill to repair. This article will provide an overview of transthoracic and
transesophageal assessment of common mitral valve pathology that aims to aid
surgical decision making.
PMID- 26539351
TI - 4D-transesophageal echocardiography and emerging imaging modalities for guiding
mitral valve repair.
PMID- 26539353
TI - Alternative approaches for mitral valve repair.
AB - Unique situations arise in which alternative exposures for mitral valve surgery
offer distinct advantages over traditional approaches. Each exposure facilitates
both mitral valve repair and replacement, although the standard repair procedures
must be modified to accommodate these non-traditional exposures. Here, we detail
the technical considerations required to perform transventricular and transaortic
mitral valve repair as well as discuss the advantages for employing these less
conventional approaches.
PMID- 26539352
TI - Maze permutations during minimally invasive mitral valve surgery.
AB - Surgical ablation for atrial fibrillation is most frequently done in the
concomitant setting, and most commonly with mitral valve surgery. Minimally
invasive surgical techniques for the treatment of atrial fibrillation have
developed contemporaneously with techniques for minimally invasive mitral valve
surgery. As in traditional surgery for atrial fibrillation, there are many
different permutations of ablations for the less invasive approaches. Lesion sets
can vary from simple pulmonary vein isolation (PVI) to full bi-atrial lesions
that completely reproduce the traditional cut-and-sew Cox Maze III procedure with
variable efficacy in restoring sinus rhythm. Additionally, treatment of the
atrial appendage can be done through minimally invasive approaches without any
ablation at all in an attempt to mitigate the risk of stroke. Finally, hybrid
procedures combining minimally invasive surgery and catheter-based ablation are
being developed that might augment surgical treatment of atrial fibrillation at
the time of minimally invasive mitral valve repair. These various permutations
and their results are reviewed.
PMID- 26539354
TI - Mitral valve repair with decalcification of the annulus and pericardial patch
repair via the trans-septal approach.
PMID- 26539355
TI - Classic resectional technique for mitral valve repair: triangular resection via
right anterior thoracotomy.
PMID- 26539356
TI - Minimally invasive mitral valve repair through a right minithoracotomy approach.
PMID- 26539357
TI - Complex surgical repair of rheumatic mitral stenosis.
PMID- 26539358
TI - Concomitant Cox-Maze IV techniques during mitral valve surgery.
PMID- 26539359
TI - Special considerations in mitral valve repair during aortic root surgery.
PMID- 26539360
TI - Rheumatic heart disease.
PMID- 26539361
TI - Alternative placebo treatment arms in trials: Implications may vary with
differential results.
PMID- 26539362
TI - Sodium glucose co-transporter inhibitors - A new class of old drugs.
AB - Sodium glucose co-transporter (SGLT) inhibitors are a new class of drugs which
are used in the pharmacotherapy of Type-II diabetes, which happens to be a major
risk factor for developing both micro as well as macro-vascular complications.
These drugs inhibit the glucose reabsorption by inhibiting SGLT, which exhibits a
novel and promising mechanism of action by promoting the urinary glucose
excretion hence providing a basis of therapeutic intervention. Results of SGLT-II
inhibitors are very encouraging as there is a significant elevation of GLP-1
level, which forms the basis of relevance in treatment of diabetes. It targets
the HbA1C and keeps a check on its levels. It also exerts other positive benefits
such as weight loss, reduction in blood glucose levels, reduction in blood
pressure and improvement in insulin resistance and beta-cell dysfunction: All
contributing to effective glycemic control. SGLT inhibition will develop as
effective modality as it has the capability of inhibiting reabsorption of greater
percentage of filtered glucose load.
PMID- 26539363
TI - Cracked tooth syndrome: Overview of literature.
AB - Pain is defined as an "unpleasant sensory and emotional feeling which is
associated with actual or potential injury of tissue or expressed in terms of
such injury." Tooth pain usually refers to pain around the teeth or jaws mainly
as a result of a dental condition. Mostly, toothaches are caused by a carious
cavity, a broken tooth, an exposed tooth root or gum disease. The toothache may
sometimes be the result of radiating pain from structures in the vicinity of
tooth and jaws (cardiac pain, ear, nose, throat pain, and sinusitis). Therefore,
evaluation by both dentists and physicians are sometimes necessary to diagnose
medical illnesses causing "toothache." Cracked tooth syndrome is a major
diagnostic challenge in clinical practice. Accurate diagnosis and appropriate
treatment are complicated due to lack of awareness of this condition and its
bizarre clinical features. Early diagnosis has been linked with successful
restorative management and good prognosis. This article provides a detailed
literature on the causes, classification, signs and symptoms, diagnosis, and
treatment planning of cracked tooth syndrome.
PMID- 26539364
TI - Hematoxylin and eosin stain shows a high sensitivity but sub-optimal specificity
in demonstrating iron pigment in liver biopsies.
AB - BACKGROUND: Perls' stain is routinely used to demonstrate iron in liver biopsies.
We tested the hypothesis that it may be unnecessary in cases, where no iron or
another similar pigment was seen on the routine hematoxylin and eosin (H and E)
stained section. AIM: The aim of this study was to evaluate the efficiency of H
and E stain in demonstrating iron in liver biopsies as well as to determine the
possibility of replacing Perls' stain with H and E stain. MATERIALS AND METHODS:
Two hundred pairs of slides of liver biopsies were taken from the archival files
of the Department of Pathology from 2006 to 2011. Perls' and H and E slides were
independently reviewed for the presence of iron. RESULTS: Hundred and one cases
showed the presence of iron using H and E stain. 84 of 86 cases showed positive
iron using both Perls' and H and E stains. Seventeen cases were positive using H
and E stain but negative with Perls'. Only two cases did not show the presence of
iron using H and E stain. Ninety-seven cases were negative using both Perls' and
H and E stains. H and E stain showed a sensitivity, specificity, accuracy,
positive predictive valve, and negative predictive value of 97.67%, 85.08%,
90.5%, 83.16%, and 97.98%, respectively. CONCLUSION: We demonstrate that the H
and E stain is a sensitive method to detect iron pigment in liver biopsies,
particularly when present in large quantities. A negative H and E stain might
obviate the need for extra Perls' staining, thus saving costs and shortening
report turn-around times.
PMID- 26539365
TI - Association study of methylenetetrahydrofolate reductase A1298C mutation with
cerebral venous thrombosis risk in an Iranian population.
AB - BACKGROUND: Cerebral venous thrombosis (CVT) is an uncommon condition
characterized by severe clinical manifestations and high mortality rate. There is
limited data on the role of methylenetetrahydrofolate reductase (MTHFR) A1298C
mutation as a risk factor for CVT development in Iranians. AIM: The aim was to
investigate a possible association between fasting plasma homocysteine (Hcy)
levels, MTHFR A1298C mutation, and CVT in Iranian population. MATERIALS AND
METHODS: The study population consisted of 50 patients with a diagnosis of CVT
(20-63 years old) and 75 healthy subjects (18-65 years old) as control.
Genotyping of the MTHFR A1298C mutation and Hcy measurement was carried out by
polymerase chain reaction-restriction fragment length polymorphism technique and
enzyme immunoassay method, respectively. RESULTS: Fasting plasma total Hcy levels
were significantly higher in CVT patients than controls (P = 0.015). No
significant differences were observed in the MTHFR A1298C genotypes frequency
between CVT patients and controls (P > 0.05). The frequency of the 1298C allele
was 36% and 37.5% in CVT patients and controls, respectively and did not differ
significantly between the two groups (P = 0.16). CONCLUSIONS: Our study
demonstrated that MTHFR A1298Cmutation is not a significant risk factor for CVT.
PMID- 26539366
TI - Estimation of a single motor unit's threshold and activation range, a study on
patients with muscular disorders.
AB - BACKGROUND: In clinical neurophysiology, threshold tracking studies are used to
evaluate the functionality of a muscle through studying the functionality of its
motor units (MUs) that govern the muscle. The functionality of an MU can be
quantified by estimation of its excitability properties via MU's stimulus
response curve. In this study, we aim to develop a model-based approach to
estimate MU's threshold mean and its activation range as indications of MU's
excitability. This is a different approach from routine strategies in
neurophysiology, which are mostly subjective. METHODS: To assess the excitability
of a single MU, needle electromyography examination was used to obtain the axonal
activity of that MU. To improve estimation, the examination was repeated several
times on individuals. Replication of experiment introduces serial correlation
between observations. We account for this correlation by using a mixed-effects
model. We investigate the appropriateness of classical logistic mixed-effects
model and its Bayesian formulation for estimation purpose. RESULTS: Both
classical and Bayesian models can obtain a reliable estimation of MU's threshold.
However, we found Bayesian approach to provide a better estimate of MU's
activation range. Moreover, if data contain outliers both classical and Bayesian
methods are vulnerable to some extent. CONCLUSIONS: Compared to the classical
approach, Bayesian method is more flexible in dealing with overdispersion and
provides more robust estimation of MU's parameters.
PMID- 26539367
TI - Presence of pathogenicity island related and plasmid encoded virulence genes in
cytolethal distending toxin producing Escherichia coli isolates from diarrheal
cases.
AB - CONTEXT: Mobile genetic elements such as plasmids, bacteriophages, insertion
elements, and genomic islands play a critical role in virulence of bacterial
pathogens. These elements transfer horizontally and could play an important role
in the evolution and virulence of many pathogens. A broad spectrum of gram
negative bacterial species has been shown to produce a cytolethal distending
toxin (CDT). On the other hand, Shiga toxin producing Escherichia coli are the
one carry virulence genes such as stx 1 and stx 2 (Shiga toxin) and these genes
can be acquired by horizontal gene transfer. AIM: The aim of this study was to
investigate the presence of other virulence associated genes among CDT producing
E. coli strains. MATERIALS AND METHODS: Thirty CDT positive strains isolated from
patients with diarrhea were characterized. Thereafter, the association with
virulent genetic elements in known pathogenicity islands (PAIs) was assessed by
polymerase chain reaction. RESULTS: In this study, it was shown that the most CDT
producing E. coli isolates express Shiga toxin. Moreover, the presence of
prophages framing cdt genes (like P2 phage) was also identified in each cdt-type
genomic group. Flanked regions of cdt-I, cdt-IV, and cdt-V-type was similar to
plasmid sequences while cdt-II and cdt-III-type regions similarity with
hypothetical protein (orf3) was observed. CONCLUSION: The occurrence of each cdt
type groups with specific virulence genes and PAI genetic elements is indicative
of horizontal gene transfer by these mobile genetic elements, which could lead to
diversity among the isolates.
PMID- 26539368
TI - Tolerance of skin grafts to postoperative radiotherapy.
AB - AIM: The aim was to evaluate the integrity and functional outcomes of skin grafts
following external beam radiotherapy (EBRT). MATERIALS AND METHODS: A prospective
study of 15 patients, in whom EBRT was planned after their wound coverage with
split-thickness skin graft (STSG). Parameters evaluated include defect size, time
to postoperative radiotherapy, total radiotherapy dose, delays and interruptions
in radiotherapy, wound complications, and the need for further surgical
interventions. RESULTS: In all the 15 (6 men, 9 women) patients of STSG, radical
doses of EBRT, that is, 50-70 Gy in 25-35 fractions are delivered over around 6
weeks. All STSGs were placed on healthy vascular tissue beds. Median time to
initial radiotherapy after grafting was 3 weeks (range 3-6 weeks). There were no
interruptions in radiotherapy treatment. In one patient, there was partial skin
graft loss after radiotherapy that was adequately managed with conservative
treatment. No patient requires further surgical intervention. CONCLUSION:
Adjuvant postoperative radiotherapy can be delivered to STSGs without significant
complications. Postoperative radiotherapy can be started as early as 3-4 weeks
after skin grafting. Skin grafts should be placed on well-vascularized healthy
tissues. Minor skin graft loss resulting from postoperative radiotherapy can
usually be treated conservatively.
PMID- 26539369
TI - Evaluation of N-terminal pro-B-type natriuretic peptide and high-sensitivity C
reactive protein relationship with features of metabolic syndrome in high-risk
subgroups for cardiovascular disease.
AB - AIM: This study evaluating N-terminal pro-B-type natriuretic peptide (NT-pro-BNP)
and high-sensitivity C-reactive protein (hs-CRP) relationship with features of
the metabolic syndrome (MS) in high risk subgroups for cardiovascular disease
(CVD) in Trinidad. MATERIALS AND METHODS: The sample population consisted of 160
subjects, 78 of whom were African and 82 East Indian attending medical outpatient
clinics of regional health authority hospitals of Trinidad. RESULTS: Systolic
blood pressure, triglycerides, glucose and insulin as well as NT-pro-BNP were
elevated among the East Indian sub-population, with only systolic blood pressure
being significantly elevated among the African sub-population. NT-pro-BNP and hs
CRP demonstrated significant correlations with respect to the majority of
independent risk factors inclusive of Adult Treatment Panel III and American
Association of Clinical Endocrinologists defined criteria for MS. NT-pro-BNP
demonstrated stronger association among the East Indian sub-population as
compared to that of the African sub-population. CONCLUSIONS: Our study showed
that the East Indian subgroup was more at risk for CVD as evidenced by the
fulfillment of the criteria for diagnosis of MS and therefore NT-pro-BNP and hs
CRP can be deemed a suitable marker for MS.
PMID- 26539370
TI - Apelin protect against multiple organ injury following hemorrhagic shock and
decrease the inflammatory response.
AB - INTRODUCTION: Hemorrhagic shock (HS) result in multiple organ injury and
inflammatory response that lead to death. The exact mechanism is not clear.
Apelin is an endogenous ligand of orphan G-protein coupled receptor APJ. Apelin
has anti-inflammatory effects on the release of inflammatory mediators.
OBJECTIVES: To examine the protective effects of apelin against multiple organ
injury and the possible involvement of inflammatory pathways. METHODOLOGY: Male
Sprague-Dawley rats (300-350 g) were subjected to hemorrhage over 60 min to reach
a mean arterial blood pressure of 40 mmHg. Then, rats were treated or not with 1
mL of 10 nm/L apelin-13 intraarterially resuscitation was performed in vivo by
the reinfusion of the shed blood for 30 min to restore normotension. Blood
samples were collected for measurement of tumor necrosis factor (TNF) using ELISA
(R and D systems). Biopsies were obtained from organs for light microscopic
examination. RESULTS: HS rats showed significant increase the levels of TNF.
Apelin significantly lowered the production of TNF-alpha. Histological
examination of hemorrhagic shocked untreated rats revealed structural damage.
Less histological damage was observed in the organs of treated rats. Apelin
treatment decreased the number of inflammatory cells and mitochondrial swollen in
cells. CONCLUSION: Treatment with apelin before resuscitation protects against
multiple organ injury in HS by attenuation the inflammatory response and might be
a therapeutic target for HS.
PMID- 26539371
TI - Hemovigilance: A new beginning in India.
AB - Hemovigilance plays an essential role in ensuring patient safety with regard to
blood transfusions. The data generated through the hemovigilance system helps in
framing important changes in the whole blood transfusion process which are useful
for better patient safety. This article briefly describes the history of
hemovigilance, why the need of hemovigilance was felt and also illustrates about
the Hemovigilance Program of India.
PMID- 26539372
TI - A true cornual pregnancy with placenta percreta resulting in a viable fetus.
AB - Cornual pregnancy is uncommon among ectopic pregnancies. A diagnosis of cornual
pregnancy remains challenging, and rupture of a cornual pregnancy causes
catastrophic consequence due to massive bleeding. In very rare circumstances,
cornual pregnancies can result in a viable fetus. We report a case of a 24-year
old primigravida who presented to us with complaints of decrease fetal movements
at 37(+5) weeks. Ultrasound revealed a single live intrauterine fetus with
anterior low lying placenta with severe oligohydramnios (amniotic fluid index =
1.8). Emergency cesarean section was done and intraoperatively it was diagnosed
as a case of placenta percreta with pregnancy in right noncommunicating horn of
uterus. Right cornual resection with right salpingectomy done. Uterus, left
fallopian tube and bilateral ovary were healthy. Postoperative period was
uneventful.
PMID- 26539373
TI - A giant spider nevus in a patient of hepatitis C-related liver cirrhosis: A rare
presentation.
AB - Spider nevi are benign vascular lesions mostly seen in patients with
decompensated liver cirrhosis. Mostly, these are seen in the superior vena cava
distribution and are small with pinhead size central vessel. Giant spider nevus
is rarely seen and hence this report.
PMID- 26539374
TI - An unusual case of retained abdominal pregnancy for 36 years in a postmenopausal
woman.
AB - Abdominal pregnancy is a rare form of ectopic pregnancy which occurs due to
ruptured uterine or tubal pregnancy into the abdomen. Fetal loss is a common
complication of these pregnancies and patient presents with acute abdominal pain
which is a surgical emergency. Another rare but established complication of this
ectopic pregnancy is fetal demise with the dead fetus being retained in the
abdomen. It gets macerated and mummified over a period of time and is mostly
detected incidentally during imaging. Radiological imaging has hallmark
appearances of such a macerated fetus showing multiple fetal parts embedded in a
calcified sac termed as lithopedion or stone baby. We report a unique case of
retained abdominal pregnancy for 36 years in a 60-year-old postmenopausal female
presented with abdominal pain and difficulty in micturition. Computed tomography
showed multiple fetal bones in the abdomen surrounded by a membrane which was
surprisingly not calcified.
PMID- 26539375
TI - Repair of cleft alveolar bone with bioactive glass material using Z-plasty flap.
AB - Multiple literature addresses cleft alveolar bone, with little consensus on the
preferred surgical technique. Hence, we described a transoral approach for repair
of cleft alveolar bone with Z-plasty and using bioactive glass. STUDY DESIGN:
Case presentation and surgical technique description. In conclusion, transoral
mucosal repair cleft alveolar bone Z-plasty using bioactive glass demonstrated an
alternative easy and simple approach for augmentation of unilateral alveolar
cleft patients.
PMID- 26539376
TI - Extranodal natural killer/T-cell lymphoma, nasal type: A great pretender.
AB - Extranodal natural killer/T-cell lymphoma, nasal type (ENKTCL) is a rare Epstein
Barr virus associated lymphoma seen predominantly in Asian population with a 5
years survival rate ranging from 10% to 75% depending on the stage of
presentation. In this case report, we describe an unusual presentation of ENKTCL,
which in its early stages was clinically misdiagnosed as buccal space infection
and later on histologically as inflammatory myofibroblastic pseudotumor owing to
manifold reasons. Postoperative biopsy specimen showed characteristic feature of
ENKTCL both histologically and immunophenotypically. This case report underlines
the importance of adequate sampling and the unusual presentation of ENKTCL nasal
type with oral manifestations.
PMID- 26539377
TI - Plasmacytoid variant of urothelial carcinoma: Diagnostic challenges and role of
immunohistochemistry.
AB - Plasmacytoid carcinoma of the urinary bladder or plasmacytoid urothelial
carcinoma (PUC) is rare and only recently described a histological variant of
transitional cell carcinoma. The morphological resemblance of PUC to other
malignancies is a source of diagnostic dilemmas and often misdiagnosis.
Immunohistochemistry plays a pivotal role in narrowing the differential diagnosis
and reaching to a conclusive diagnosis. A diagnosis of PUC usually carries a
dismal prognosis. Hence, correct diagnosis is important. We report here a 52-year
old male with a plasmacytoid variant of urothelial carcinoma.
PMID- 26539378
TI - Para-meatal urethral cyst with bladder calculi: A case report and review of the
literature.
AB - Para-meatal urethral cyst or median raphe cyst of the glans penis is a benign
cystic lesion uncommonly encountered in prepubertal age group. Approximately,
about 50 cases have been reported till date in the world literature. Herein we
report a case of para-meatal urethral cyst concurrent with urinary bladder
calculi.
PMID- 26539379
TI - Segmental absence of intestinal musculature: A rare case report.
AB - Segmental absence of intestinal musculature (SAIM) is a rare cause of intestinal
obstruction and/or perforation seen in neonates, and rarely in adults. We present
a case of SAIM in a 48-year-old male, who presented with acute abdominal symptoms
and was repeatedly explored because of recurrence of abdominal symptoms.
PMID- 26539381
TI - Laryngopyocele: Presenting with pressure symptom.
AB - Laryngocele is a rare, benign dilatation of the laryngeal saccule that may extend
internally into the airway or externally through the thyrohyoid membrane. When it
is secondarily infected, it is called laryngopyocele, which is even rarer. Many
laryngoceles are asymptomatic; sometimes, they may cause a cough, hoarseness,
stridor, sore throat and may present as a swelling on one or both sides of the
neck. Laryngocele may be associated with supraglottic squamous cell carcinoma.
Computed tomography scan is the most effective imaging method for diagnosis.
Surgery is the treatment of choice. A case of large mixed laryngopyocele in a 75
year-old male is described together with surgical management and follow-up. A
review of the literature is also presented.
PMID- 26539380
TI - Postoperative thrombocytosis: An unusual case report.
AB - Thrombocytosis is often an incidental finding seen in 35-50% of cases and the
cause determination creates a diagnostic challenge. Extreme thrombocytosis is
rare and seen in 2-5.8% patients only. Among the various causes of increased
platelet count, surgical procedures have attracted much attention in both
experimental and clinical domain. The appearance of thrombocytosis after surgery
needs to be diagnosed to establish the type of thrombocytosis (clonal or
reactive), as treatment and prognosis are quite different between them. This case
report is vital because of two reasons: First, the increase in platelet count is
difficult to rationalize than many of the other thrombocytoses, such as those
related to primary augmentation of the function of the bone marrow; second, the
association of platelets with the clotting process has led to the belief that
their increase after a surgical procedure is connected with the occurrence of
postoperative thrombosis. This case presents an interesting finding from a
patient who has undergone major abdominal surgery and has shown an unexpected
perpetual increase in platelet count.
PMID- 26539382
TI - Essential oils, their therapeutic properties, and implication in dentistry: A
review.
AB - BACKGROUND: Antibacterial treatments currently used for treatment cause several
side effects, and bacterial resistance to the antibiotics is also increasing.
Therefore, there is need to find better alternatives. Essential oils (EOs) have
been used for treatment of various ailments since ancient times and have gained
popularity over the years. Safety and efficacy of EOs have been proved by several
clinical trials. This review gives an overview on the EOs, their uses, and
adverse effects. MATERIALS AND METHODS: A literature search was performed in the
PubMed for clinical trial studies and review articles on EOs published up to
February 2015. The search was performed during March 2015. The following keywords
were used: "Lavender essential oil," "cinnamon oil," "clove oil," "eucalyptus
oil," "peppermint oil," "lemon EOs," and "tea tree oil." RESULTS: Total 70
relevant articles were found in PubMed database. After screening of abstracts, 52
articles were selected to be included in the present review. CONCLUSION: On the
basis of the available information, it can be concluded that EOs have the
potential to be developed as preventive or therapeutic agents for various oral
diseases, but further clinical trials are required to establish their safety and
efficacy.
PMID- 26539383
TI - Recent advancements in fluoride: A systematic review.
AB - To review advancements of fluoride in dentistry, a search of 21 electronic
databases and World Wide Web was conducted. Relevant journals were hand searched
and further information was requested from authors. Inclusion criteria were a
predefined hierarchy of evidence and objectives. Study validity was assessed with
checklists. Two reviewers independently screened sources, extracted data, and
assessed validity. Fluoride has become an important tool in preventive dentistry.
Current research is focused on the development of strategies to improve fluoride
efficacy. Fluoride therapy in the form of varnish, gel, mouth rinse, or
toothpaste has been used extensively as a caries-preventive intervention for over
three decades. The purpose of this review is to inform the reader about new
research related to the use of fluoride for the prevention of dental caries.
PMID- 26539384
TI - Hamartomas of the oral cavity.
AB - The majority of oral diseases present as growths and masses of varied cellular
origin. Such masses may include simple hyperplasia, hamartoma, choristoma,
teratoma, benign or malignant neoplasms. The distinguishing features of
hamartomatous lesions are not certain, and often these non-neoplastic masses are
indiscreetly denoted as neoplasms without weighing their pathology or biological
behaviour. Essentially, understanding the dynamics of each of these disease
processes forms an integral part of the appropriate treatment planning.
PMID- 26539385
TI - The dynamic interface: A review.
AB - The implant-to-tissue interface is an extremely dynamic region of interaction.
Generally, a surgical procedure is performed on a patient to insert a foreign
material into the bone, and the body is called on to "heal" the wound. The time
schedule crucial for a healing process that is expected to result in restitution
ad integrum must be determined with respect to the condition of the individual
patient and tissue to be treated. There are various factors responsible for the
formation of an adequate bone-implant interface. A comprehensive review of the
response of bone to implant is described.
PMID- 26539386
TI - Maxillary nerve block via the greater palatine canal: An old technique revisited.
AB - BACKGROUND: Maxillary nerve block through the greater palatine canal is rarely
adopted by dental practitioners due to lack of experience in the technique at
hand which may lead into several complications. Nevertheless, it is an excellent
method to achieve profound anesthesia in the maxilla. This review focuses on the
anatomy as well as the indications, contraindications, and complications
associated with this technique. MATERIALS AND METHODS: A literature search was
performed using the scientific databases (PubMed and Google Scholar) for articles
published up to December 2014 in English, using the key words "maxillary nerve
block via the greater palatine canal." A total of 34 references met the inclusion
criteria for this review and were selected. CONCLUSION: Block of the maxillary
nerve through the greater palatine canal is a useful technique providing profound
anesthesia in the hemi-maxilla, if practiced properly.
PMID- 26539387
TI - Do flexible acrylic resin lingual flanges improve retention of mandibular
complete dentures?
AB - OBJECTIVES: The aim of this study was to compare the retention of conventional
mandibular complete dentures with that of mandibular complete dentures having
lingual flanges constructed with flexible acrylic resin "Versacryl." MATERIALS
AND METHODS: The study sample comprised 10 completely edentulous patients. Each
patient received one maxillary complete denture and two mandibular complete
dentures. One mandibular denture was made of conventional heat-cured acrylic
resin and the other had its lingual flanges made of flexible acrylic resin
Versacryl. Digital force-meter was used to measure retention of mandibular
dentures at delivery and at 2 weeks and 45 days following denture insertion.
RESULTS: The statistical analysis showed that at baseline and follow-up
appointments, retention of mandibular complete dentures with flexible lingual
flanges was significantly greater than retention of conventional mandibular
dentures (P < 0.05). In both types of mandibular dentures, retention of dentures
increased significantly over the follow-up period (P < 0.05). CONCLUSIONS: The
use of flexible acrylic resin lingual flanges in the construction of mandibular
complete dentures improved denture retention.
PMID- 26539388
TI - HIV/AIDS awareness among Iraqi medical and dental students.
AB - OBJECTIVES: The present study investigated the awareness of HIV/AIDS among
medical and dental students in four provinces of Iraq, a country with low
HIV/AIDS frequency. MATERIALS AND METHODS: In the present study, the target
population was all Iraqi medical and dental students who were in 3(rd) and 4(th)
year of their education. Out of 15 medicine and 10 dentistry faculties in Iraq, 4
medical and dental faculties were randomly selected. All the students under them
were invited to participate in the study (600 students) and 526 responses were
received from them. We distributed the questionnaires to students during their
obligatory lectures in the academic year 2012-2013. Data collection was done with
a self-administered questionnaire containing knowledge and attitude questions (11
questions for each part) in addition to some demographic questions. RESULTS: A
total of 526 questionnaires were received (from 319 medical students and 207
dental students). Knowledge of about half of the medical students (54%) was at an
intermediate level and of 27.1% students was at a good level; more than half of
the dental students (68.2%) had an intermediate level and 10.5% had a good level
of knowledge. The level of attitude of medical students was 14.7% at an
intermediate level and of dentistry students was 21.4% at an intermediate level.
Attitude of none of the students was at good level. Knowledge and attitude scores
were not significantly associated with age, gender, or marital status. However,
medical students had better knowledge and attitude toward HIV/AIDS, compared to
dental students. CONCLUSIONS: Some coefficients exist in knowledge and attitude
of Iraqi medical and dental students toward HIV/AIDS. Results indicate that more
emphasis should be placed on educating dental and medical students about HIV and
other blood-borne infections.
PMID- 26539389
TI - Determination of normal range for fasting salivary glucose in Type 1 diabetics.
AB - BACKGROUND: The most commonly employed investigative procedure for monitoring
glucose levels is blood investigation, which is invasive and gives discomfort to
the patient. The purpose of the study was to validate a noninvasive, easy, and
reliable method for predicting glucose levels in Type 1 diabetics and to validate
a regression equation for converting the known values of salivary glucose to
blood glucose. MATERIALS AND METHODS: 200 volunteers consisting of 100 Type 1
diabetics and 100 healthy controls were included, and their fasting blood and
salivary glucose levels were assessed, using a semi-auto analyzer. RESULTS: On
analysis of the data, statistically significant positive results were obtained (P
< 0.05) when the blood and salivary glucose levels were considered among the
study group participants, control group participants, and both study and control
group participants. A cut-off value for salivary glucose (11.60 mg%) was defined,
above which a person may be considered as diabetic. Also, the regression equation
was obtained which could be used for the conversion of known value of salivary
glucose to blood glucose and vice versa. CONCLUSION: The present study
successfully demonstrated the role of saliva as a noninvasive and reliable marker
for the prediction of glucose levels in Type 1 diabetics who show elevated blood
glucose levels.
PMID- 26539390
TI - DMFT index assessment, plaque pH, and microbiological analysis in children with
special health care needs, India.
AB - AIM: To assess the DMFT index of children with Special Health Care Needs (SHCN)
in Navi Mumbai. To correlate the DMFT index with Streptococcus mutans count in
the supragingival bacterial biofilm and with plaque pH. MATERIALS AND METHODS:
Dental examination of 158 patients aged 5-18 years was conducted to determine the
DMFT/dmft index. Supragingival plaque samples were collected from the buccal
surfaces of all teeth. The samples were inoculated in mitis salivarius bacitracin
agar medium and incubated at 37 degrees C for 48 h. Supragingival plaque was
collected from interproximal sites of the molar area (preferably mandibular) for
conducting plaque pH test. Chi-square test and Pearson's correlation were used to
find the significance of the study parameters on categorical scale between the
two groups. RESULTS: The mean DMFT recorded was 4.90 +/- 4.63 and the mean dmft
recorded was 1.77 +/- 3.14. Mean number of S. mutans colony-forming units found
was 2.961 * 10(4). Mean plaque pH recorded was 6.2. CONCLUSION: No statistically
significant correlation was found between the DMFT index with the number of S.
mutans and plaque pH.
PMID- 26539391
TI - Use of different oral hygiene strategies in children with cerebral palsy: A
comparative study.
AB - BACKGROUND: Cerebral palsy (CP) is described as a group of conditions usually
occurring in childhood, where children have motor dysfunction and are unable to
adequately master the necessary techniques of plaque control, which ultimately
leads to dental caries and periodontal problems. AIMS: The objective of this
study was to educate the parents/caretakers/institution staff and children with
CP about the different preventive home care measures and to evaluate the oral
hygiene and gingival health status of these children before and after the
institution of different preventive home care measures. MATERIALS AND METHODS: A
total of 64 individuals with CP, aged between 6 and 18 years, were examined for
their oral hygiene and gingival health status, after which the parents/caretakers
received a health education program. The children were then randomly divided into
four groups. Each group was administered a specific preventive home care measure
(mechanical and chemotherapeutic) to be followed for a period of 6 weeks, and the
oral hygiene and the gingival health status were recorded at the end of 1 week, 2
weeks, and 6 weeks. The data were then subjected to statistical analysis.
RESULTS: In the sample of 64 children diagnosed with CP, the mean OHI(S) score
among the groups of children who were given different preventive home care
measures was compared at baseline, 1 week, 2 weeks, and 6 weeks. Group 4 showed a
marked reduction in the OHI(S) score measured from baseline to 6 weeks, when
compared to the other three groups which wa statistically very highly significant
(P < 0.001) The mean MGI score was compared at baseline, 1 week, 2 weeks, and 6
weeks home, Group 4 showed a marked reduction in the MGI score measured from
baseline to 6 weeks, when compared to the other three groups. When the mean MGI
score was compared from baseline to 6 weeks, there was a gradual decrease in the
MGI score, which was statistically highly significant between baseline and 1 week
(P < 0.05) and statistically very highly significant between 1 and 2 weeks (P <
0.001). However, this improvement was not statistically significant between 2 and
6 weeks (P > 0.05). CONCLUSION: A combined mechanical and chemotherapeutic
measure is highly recommended to maintain the oral hygiene and gingival health of
these special children because of their difficulties and their limited abilities
to control dental plaque.
PMID- 26539392
TI - Evaluation of antimicrobial efficacy of Aloe vera and Meswak containing
dentifrices with fluoridated dentifrice: An in vivo study.
AB - AIM: To comparatively evaluate the antimicrobial efficacy of fluoridated and
herbal dentifrices. MATERIALS AND METHODS: Sixty students in the age group 6-12
years with DMF/def score 0 were selected from an orphanage center. The
participants were divided into four groups. In group A, no dentifrice was used;
in group B, fluoride containing dentifrice was used; group C subjects used Aloe
vera containing dentifrice; and in group D, Meswak containing dentifrice was
used. The salivary samples were collected at the washout period of 2 days, 15
days, and 30 days and cultured on Mitis Salivarius Agar for determining
Streptococcus mutans count. Results obtained were statistically analyzed using
Student's t-test. RESULTS: There was an increase in bacterial count in group A
where no dentifrices were used, while the bacterial count steadily decreased in
groups B, C, and D by 83.7%, 80.94%, and 83.5%, respectively. CONCLUSION: Herbal
dentifrices containing A. vera and Meswak can be safely recommended as an
alternative to fluoridated dentifrices in terms of antimicrobial efficacy.
PMID- 26539393
TI - Evaluation of the knowledge and attitude of expectant mothers about infant oral
health and their oral hygiene practices.
AB - BACKGROUND: Mothers play a crucial role in developing and maintaining their
infants' oral hygiene. Maternal oral health, their knowledge and attitude toward
infant oral health are strong indicators of their infant's oral health status.
AIM: The aim of this study is to evaluate the knowledge and attitude of expectant
mothers about infant oral health and their oral hygiene practices. SETTINGS AND
DESIGN: This was a cross-sectional questionnaire-based survey conducted among
expectant mothers in Mangalore city, India. MATERIALS AND METHODS: Three hundred
expectant mothers fulfilling the inclusion and exclusion criteria were randomly
selected based on convenience method over a period of 1 month. The data were
collected using a self-administered questionnaire addressing the various aspects
of expectant mothers' knowledge and attitude about infant oral health and their
own oral hygiene practices. The analysis was done using SPSS version 16.0.
RESULTS: The overall score revealed that majority of the expectant mothers had
poor knowledge and attitude toward infant oral health and followed poor oral
hygiene practices. Expectant mothers' educational qualification, trimester, and
the number of pregnancy had a significant role in their knowledge, attitude, and
oral hygiene practices. CONCLUSION: Implementation of educational programs to
motivate expectant mothers and bring out awareness about the importance of oral
health and their implications must be emphasized.
PMID- 26539394
TI - Knowledge, attitude, and practice of needle stick and sharps injuries among
dental professionals of Bangalore, India.
AB - BACKGROUND: A needle stick injury (NSI) is an accidental skin-penetrating stab
wound from a hollow-bore needle containing another person's blood or body fluid.
Healthcare workers (HCWs) including dental professionals are at an occupational
risk of exposure to blood-borne pathogens following NSIs and sharps injuries
(SIs). A thorough understanding of the safe practices while handling needles and
sharps is crucial for HCWs to create a risk-free work place environment. AIMS AND
OBJECTIVES: To assess the knowledge, attitude, practice, and prevalence of NSIs
and SIs among dental professionals in a dental college at Bangalore. MATERIALS
AND METHODS: A cross-sectional survey was conducted in September 2012 using a
structured, pretested, guided interview-based questionnaire that was administered
to 200 dental professionals in a dental college at Bangalore to assess the
knowledge, attitude, practices, and self-report information of NSIs. RESULTS: In
the present study, 81.5% of dental professionals were vaccinated against
hepatitis B. A total of 27.5% participants had an NSI during the previous 12
months. About 41.80% of NSIs occurred during device recapping. Most common reason
for failure to report the incidents of NSIs, as declared by 29.09% of the
participants, included the fear of being blamed or getting into trouble for
having an NSI. CONCLUSION: The knowledge of dental professionals on NSIs and
their preventive measures are inadequate; however, training on Universal
Precaution Guidelines, protocols regarding post-exposure prophylaxis, and safety
devices has to be provided to prevent such injuries in future among the dental
professionals.
PMID- 26539395
TI - Determining the association between oral malodor and periodontal disease: A case
control study.
AB - AIM: To assess the role of periodontal health in oral malodor causation and
compare the two methods (organoleptic and Halimeter) of malodor measurement.
MATERIALS AND METHODS: A total of 240 subjects (60 subjects without any evidence
of periodontal disease and 180 patients with gingivitis and periodontitis) were
evaluated for periodontal and oral malodor parameters. Periodontal parameters
included Plaque Index (PI), Gingival Index (GI), mSBI, calculus component of
OHIS, pocket depth (PD), and clinical attachment level (CAL), and oral malodor
was assessed by organoleptic scores, Halimeter readings, and Tongue Coating Index
(TCI). RESULTS: 80% of the sample when assessed organoleptically (i.e. 192
subjects) and 74.6% when assessed with Halimeter (i.e. 179 subjects) presented
with varying degrees of halitosis. All the clinical parameters were significantly
associated with oral malodor (P < 0.001). The amount of tongue coating and
bleeding on probing played the most important role in increasing VSC
concentration, followed by periodontal status, plaque indices, and calculus
component. CONCLUSION: There was a high prevalence of halitosis in the present
study population. All the clinical parameters were significantly related to oral
malodor in this study, and the results indicate that determining VSC levels with
Halimeter is a useful means of diagnosing halitosis objectively.
PMID- 26539396
TI - Oral health knowledge, attitude, and practice in 12-year-old schoolchildren in
Iran.
AB - OBJECTIVE: The knowledge, attitude, practice (KAP) studies play an important role
in promotion of oral health. The aim of this study was to assess oral health KAP
in 12-year-old schoolchildren in Iran. MATERIALS AND METHODS: In a cross
sectional study on 1554 students aged 12 years from the rural and urban areas of
five provinces, KAP of subjects was assessed using a culturally adapted
questionnaire. Data were analyzed using Chi-squared test, t-test, correlation
coefficient, analysis of variance (ANOVA), and linear regression. Statistical
significance was set at P < 0.05. RESULTS: In general, the scores of students in
knowledge, attitude, and practice were 74.1 +/- 21.1%, 72.6 +/- 21.1%, and 51.8
+/- 12%, respectively. Urban students had significantly higher scores in KAP (P =
0.0001). Female students got higher scores in all the three dimensions, but the
difference was significant only in brushing practice (P = 0.006). Students in
Tehran (the capital city) had significantly higher scores in all fields (P =
0.0001). Parents' education and mothers' job had a significant relationship with
KAP of children. CONCLUSION: The results of this study showed that 12-year-old
schoolchildren in Iran had good knowledge and positive attitude. But oral health
practice was not satisfactory.
PMID- 26539397
TI - Social judgments made by children (10-15 year old) in relation to visible
incisors trauma: School-based cross-sectional study in Khartoum state, Sudan.
AB - BACKGROUND: Dento-alveolar trauma is a very common occurrence in childhood;
however, there is a paucity of data about children's judgments in relation to
dental status. There is a significant correlation between the children's incisor
teeth status and the social judgments made by their peers. AIM: The purpose of
this study was to determine whether the social attributes of a school child would
be influenced by his or her incisor teeth status. Also, it is aimed to determine
the judgment between male and female children and different age groups within the
same class. SETTING AND DESIGN: A descriptive cross-sectional study conducted
among 178 male and female children from year 5 (age 10-11 years) of primary
school and year 2 of secondary school (age 14-15 years) within Khartoum state.
MATERIALS AND METHODS: Students were invited to look at colored photographs of
four different children's faces and to make a social judgment about these
children's photographs. Using a previously validated child-centered
questionnaire, participants rated subjects using a four-point Likert scale for
three negative and six positive attributes. STATISTICAL ANALYSIS: Total attribute
scores were tested for significant differences, according to whether the subject
had visible incisor trauma or not, using t-test and analysis of variance (ANOVA)
test with the level of significance set at P <= 0.05. RESULTS: Children with
visible incisor trauma were given more negative attributes than children without
incisor trauma (P = 0.05). Results were similar in both genders and both school
years. Younger students within the same class gave more negative attributes
toward children with visible incisor trauma than their older peers, with P = 0.04
and P = 0.9 for children aged 10 years and 11 years, respectively. CONCLUSION:
The data confirmed results of previous studies that children with visible incisor
trauma are seen more negatively than those without visible incisor trauma.
PMID- 26539398
TI - In-dental office screening for diabetes mellitus using gingival crevicular blood:
Retraction.
AB - [This retracts the article on p. S161 in vol. 4, PMID: 25625073.].
PMID- 26539399
TI - Great learning, much networking, and friendship.
PMID- 26539400
TI - Making allergic and hypersensitivity conditions visible in the International
Classification of Diseases-11.
AB - Understanding that the International Classification of Diseases (ICD)-11 revision
would be an opportunity to standardize the code definitions for all allergic and
hypersensitivity conditions, an international collaboration of Allergy Academies,
including first the World Allergy Organization, the American Academy of Allergy
Asthma and Immunology and the European Academy of Allergy and Clinical
Immunology, has been coordinating tremendous efforts since 2013 to provide a
better classification of these disorders in the new ICD-11. During this process,
a strategic action plan has been constructed to keep bilateral dialog with
representatives of the ICD revision by providing them scientific and technical
evidences for the need of changes in the ICD framework. As a major achievement of
this process, was the construction of the "allergic and hypersensitivity
conditions" parented subchapter guided by the World Health Organization ICD
representatives and further supported by three more regional allergy societies:
the Latin American Society of Allergy, Asthma and Immunology, the American
College of Allergy Asthma and Immunology, and the Asia Pacific Association of
Allergy, Asthma and Clinical Immunology. Believing that the outcomes of all past
and future actions will impact positively to the Allergy specialty, we expect for
the full approval by the United Nations in 2017.
PMID- 26539401
TI - A study of treatment adherence and quality of life among adults with chronic
urticaria in Singapore.
AB - BACKGROUND: Chronic urticaria is a common skin condition that causes significant
impact on patient's quality of life. OBJECTIVE: The purpose of the study was to
assess adherence to therapy and quality of life of patients with chronic
urticaria. We also aimed to study the relationship of medication adherence and
quality of life of patients with chronic urticaria. METHODS: A cross sectional
study was conducted with 103 patients from the dermatology clinic of National
University Hospital, Singapore. Patients with chronic urticaria were asked to
fill out a questionnaire for assessment of adherence to therapy and quality of
life. We used the Morisky 8-Item Medication Adherence Scale to categorize
adherence as high, medium, low. For assessment of quality of life, we used the
validated chronic urticaria quality of life questionnaire (CU-Q2oL) by Bairadani
et al. RESULTS: The highest median scores for the items measuring quality of life
were interference with sleep and pruritus. We also observed that the majority of
patients (71.9%) had low adherence to medical therapy. No difference in adherence
was noted in patients on once daily medication or more frequent dosing. There was
no significant difference in the quality of life among patients with low and
medium adherence to therapy. CONCLUSION: Quality of life of patients with chronic
urticaria does not depend on the patients' adherence to medications. Dosing
frequency does not affect adherence in our study population. It is also important
to recognize the symptoms and issues most affecting quality of life of patients
with chronic urticaria, so as to improve overall management.
PMID- 26539402
TI - Attitudes, practices on allergic rhinitis of generalists and specialists in
Philippine National Capital Region.
AB - BACKGROUND: Treatment of allergic rhinitis (AR) consistent with consensus
guidelines is reported to result in better patient outcomes. However, physicians
may manage patients independently of guidelines. Asian data on physician
perspectives regarding AR diagnosis and management is limited. OBJECTIVE: The
study objective is to assess attitudes and practices on AR of Filipino
specialists and generalists. METHODS: A cross sectional survey of 100 specialists
and 100 generalists was conducted from November 2014 to January 2015. A
previously validated and pilot tested questionnaire was administered via
structured face to face interviews. RESULTS: Specialists reported greater
adequate knowledge of AR (specialists, 58%; generalists, 39%) and adherence to
guidelines (specialists, 84%; generalists, 54%). Diagnostic tests were not
routinely used (specialists, 81%; generalists, 92%). Monotherapy, specifically
antihistamines, was preferred for mild AR. For moderate-severe AR, preference for
monotherapy versus combination therapy (specialists, 49% vs. 51%; generalists,
44% vs. 56%) was similar. Both groups preferred intranasal corticosteroid spray
(INCS) for monotherapy and antileukotrienes, antihistamines, INCS for combination
therapy. For adjuvant therapy, specialists (82%) preferred nasal
irrigation/douche. Primary consideration for choice of therapy was efficacy. Cost
was the perceived reason for patients' noncompliance with treatment. CONCLUSION:
Despite differences in awareness of and adherence to guidelines, prescribing
patterns on management of mild and moderate-severe AR are similar among Filipino
specialists and generalists. This can be attributed to a shared perception of
efficacy and cost as drivers for therapeutic choices.
PMID- 26539403
TI - Interleukin-10 and interleukin-5 balance in patients with active asthma, those in
remission, and healthy controls.
AB - BACKGROUND: The immunological mechanisms of asthma remission remain unclear
although several reports have suggested that balance between T helper (Th) 2
cytokines and regulatory cytokines is related. OBJECTIVE: To study the balance
between interleukin (IL) 10 and IL-5 in asthma clinical remission. METHODS: We
measured the numbers of IL-5 and IL-10 producing cells in peripheral blood
mononuclear cells stimulated with mite antigen obtained from patients with active
asthma (group A, n = 18), patients in clinical remission (group R, n = 15) and
nonatopic healthy controls (group H, n = 14). RESULTS: The numbers of IL-5
producing cells in groups A and R were significantly higher than in group H. The
number of IL-5 producing cells was lower in group R than in group A, although the
difference was not statistically significant. The number of IL-10 producing cells
was higher in group R than in group A, although again the difference was not
statistically significant. There was a significant difference in the number of IL
10 producing cells between groups A and H but not between groups R and H. The
ratio of the number of IL-10 to IL-5 producing cells was highest in group H
followed by groups R and A, and the differences were statistically significant
for each pair of groups. CONCLUSION: Our study suggests that the IL-10/IL-5
balance is related to clinical asthma. The balance differs between patients in
clinical remission and healthy controls, suggesting that allergic inflammation
may continue even after clinical asthma remission.
PMID- 26539404
TI - First study of pattern of anaphylaxis in a large tertiary care hospital in Saudi
Arabia.
AB - BACKGROUND: Anaphylaxis is a serious allergic reaction that may cause death. The
signs and symptoms of anaphylaxis have not been examined in the Saudi population
before. OBJECTIVE: The present study examined the signs, symptoms, triggers, and
demographic patterns of patients treated for anaphylaxis at a large tertiary care
hospital in Riyadh, Saudi Arabia. METHODS: All the patients who were prescribed
new prescriptions of adrenaline auto-injectors (AAs) between February 1, 2010 and
December 31, 2011 were included in this study. Information was collected using a
standardized form. RESULTS: There were 238 patients who were analyzed. The median
age at the time of first AA prescription was 15.5 years. Female to male ratio was
52:48 and 54% of the subjects were more than 18 years of age. There were some
differences in the presenting signs and symptoms observed in our study compared
with similar studies from around the world. Urticaria and angioedema were the
most common at about 70% across all ages, followed by shortness of breath at 28%.
Some triggers were found to be more common in our region. Food was the commonest
trigger for anaphylaxis including tree nuts, egg, and sesame. Drug allergy was
also a common trigger, with penicillins and nonsteroidal anti-inflammatory drugs
being the commonest. Regarding insect allergy, samsam ant was the commonest
trigger in our study. CONCLUSION: To our knowledge, this is the first study on
anaphylaxis in Saudi Arabia. Some of the manifestations of anaphylaxis are
significantly different in our population study compared to previously published
data from other parts of the world. While managing anaphylaxis, we should be
mindful of these differences. This improved understanding should help reduce the
morbidity and mortality associated with anaphylaxis in our region.
PMID- 26539405
TI - Pilot study of the use of Yin Qiao San in children with conventional antipyretic
hypersensitivity.
AB - BACKGROUND: Children with a diagnosis of cross-reactive hypersensitivity to both
paracetamol and nonsteroidal anti-inflammatory drugs are limited in their choice
of antipyretics. OBJECTIVE: The aim of this pilot study is to evaluate the
feasibility of using a Chinese proprietary medicine, Yin Qiao San (YQS), for
fever relief. METHODS: A single centre, open label, prospective clinical trial
exploring the tolerability and feasibility of using YQS for fever relief in
children who are unable to use conventional antipyretic medications. Children
between 1-18 years of age with hypersensitivity to multiple antipyretics were
recruited. Eligible participants underwent an oral provocation test with YQS.
Children who passed the oral provocation test were instructed to take a
prescribed dose of YQS when the temperature was >38.0C and continued till the
fever settled. Time taken for fever resolution and any adverse events were
collected. RESULTS: A total of 21 children, mean age 10.7 years, had a diagnosis
of paracetamol and ibuprofen hypersensitivity. All except one patient
successfully tolerated an oral challenge of YQS. Of the 88 doses of YQS taken for
fever over 38.0C, 16 (18%) had documented temperature reduction 2 hours after
ingestion and 30 (34%) had documented temperature reduction 4 hours after
ingestion. There were 2 reports of urticaria after YQS use which were attributed
to flare of recurrent spontaneous urticaria during the illness. None of the
patients developed symptoms of circulatory compromise or respiratory distress.
CONCLUSION: YQS is generally well tolerated in patients with paracetamol and
ibuprofen hypersensitivity.
PMID- 26539406
TI - Serum sickness reaction with skin involvement induced by bee venom injection
therapy.
AB - Bee venom injection therapy is an alternative treatment sometimes used for
chronic inflammatory diseases, including rheumatoid arthritis and multiple
sclerosis, to reduce pain. Several chemical components of bee venom have anti
inflammatory effects, and apitoxin, one of the mixed components, has been used
for pain prevention therapy. However, there have been no large-scale
investigations regarding the efficacy or side effects or apitoxin. In this study,
a case of serum sickness reaction that developed after receiving bee venom
injection therapy is reported.
PMID- 26539407
TI - Application of hypersensitivity skin testing in chemotherapy-induced pneumonitis.
AB - Skin testing has been utilised to determine the culprit allergenic agent in drug
reactions. Its application in the setting of hypersensitivity reaction relating
to combination chemotherapeutic regimens may help identify the causative drug,
allowing drug that is safe to be continued and avoiding limiting treatment
options for patients. We report what we believe to be the first published case of
hypersensitivity skin testing for gemcitabine-induced pneumonitis in a patient
with metastatic leiomyosarcoma and another case of docetaxel-induced pneumonitis
in a patient with metastatic HER2-positive breast cancer.
PMID- 26539409
TI - Biological Effectiveness of Accelerated Protons for Chromosome Exchanges.
AB - We have investigated chromosome exchanges induced in human cells by seven
different energies of protons (5-2500 MeV) with LET values ranging from 0.2 to 8
keV/MUm. Human lymphocytes were irradiated in vitro and chromosome damage was
assessed using three-color fluorescence in situ hybridization chromosome painting
in chemically condensed chromosomes collected during the first cell division post
irradiation. The relative biological effectiveness (RBE) was calculated from the
initial slope of the dose-response curve for chromosome exchanges with respect to
low dose and low dose-rate gamma-rays (denoted as RBEmax), and relative to acute
doses of gamma-rays (denoted as RBEgammaAcute). The linear dose-response term was
similar for all energies of protons, suggesting that the decrease in LET with
increasing proton energy was balanced by the increase in dose from the production
of nuclear secondaries. Secondary particles increase slowly above energies of a
few hundred megaelectronvolts. Additional studies of 50 g/cm(2) aluminum shielded
high-energy proton beams showed minor differences compared to the unshielded
protons and lower RBE values found for shielded in comparison to unshielded beams
of 2 or 2.5 GeV. All energies of protons produced a much higher percentage of
complex-type chromosome exchanges when compared to acute doses of gamma-rays. The
implications of these results for space radiation protection and proton therapy
are discussed.
PMID- 26539408
TI - Targeting ECM Disrupts Cancer Progression.
AB - Metastatic complications are responsible for more than 90% of cancer-related
deaths. The progression from an isolated tumor to disseminated metastatic disease
is a multistep process, with each step involving intricate cross talk between the
cancer cells and their non-cellular surroundings, the extracellular matrix (ECM).
Many ECM proteins are significantly deregulated during the progression of cancer,
causing both biochemical and biomechanical changes that together promote the
metastatic cascade. In this review, the influence of several ECM proteins on
these multiple steps of cancer spread is summarized. In addition, we highlight
the promising (pre-)clinical data showing benefits of targeting these ECM
macromolecules to prevent cancer progression.
PMID- 26539410
TI - Exploring Internal Ribosome Entry Sites as Therapeutic Targets.
AB - Initiation of eukaryotic mRNA translation may proceed via several different
routes, each requiring a different subset of factors and relying on different and
specific interactions between the mRNA and the ribosome. Two modes predominate:
(i) so-called cap-dependent initiation, which requires all canonical initiation
factors and is responsible for about 95-97% of all initiation events in
eukaryotic cells; and (ii) cap-independent internal initiation, which requires a
reduced subset of initiation factors and accounts for up to 5% of the remaining
initiation events. Internal initiation relies on the presence of so-called
internal ribosome entry site (IRES) elements in the 5' UTRs of some viral and
cellular mRNAs. These elements (often possessing complex secondary and tertiary
structures) promote efficient interaction of the mRNA with the 40S ribosome and
allow for internal ribosome entry. Internal initiation of translation of specific
mRNAs may contribute to development of severe disease and pathological states,
such as hepatitis C and cancer. Therefore, this cellular mechanism represents an
attractive target for pharmacological modulation. The purpose of this review is
to provide insight into current strategies used to target viral and cellular
IRESs and discuss the physiological consequences (and potential therapeutic
implications) of abrogation/modulation of IRES-mediated translation.
PMID- 26539411
TI - CEACAM1-4L Promotes Anchorage-Independent Growth in Melanoma.
AB - Widespread metastasis is the leading course of death in many types of cancer,
including malignant melanoma. The process of metastasis can be divided into a
number of complex cell biological events, collectively termed the "invasion
metastasis cascade." Previous reports have characterized the capability of
anchorage-independent growth of cancer cells in vitro as a key characteristic of
highly aggressive tumor cells, particularly with respect to metastatic potential.
Biological heterogeneity as well as drastic alterations in cell adhesion of
disseminated cancer cells support escape mechanisms for metastases to overcome
conventional therapies. Here, we show that exclusively the carcinoembryonic
antigen-related cell adhesion molecule 1 (CEACAM1) splice variant CEACAM1-4L
supports an anchorage-independent signature in malignant melanoma. These results
highlight important variant-specific modulatory functions of CEACAM1 for
metastatic spread in patients suffering malignant melanoma.
PMID- 26539414
TI - Socio-Economic Factors, Food Habits and Phosphorus Levels in Patients on
Hemodialysis.
AB - BACKGROUND: Hyperphosphoremia is one of the most important risk factors for
morbidity and mortality for chronic kidney disease (CKD) patients, and also, for
the general population. Excessive dietary intake of phosphate (P) is one of the
key factors. In particular, P in its inorganic form, which is contained in food
additives, is more readily absorbed. Unfortunately, these food additives are
mostly present in convenience so called "fast foods" (pre-cooked), soft drinks,
which represent the typical food consumed by our hemodialysis (HD) population,
composed by elderly people, mostly low-socio economic class, who often live
alone. OBJECTIVES: We performed an observational retrospective multicenter study
to find any association between social, cultural and economic situation, as well
as food habits, and P levels in a cohort of patients on HD. Secondarily; we also
examined the association between the fast food consumption and increased P
levels, as well as patient compliance for P binding products. PATIENTS AND
METHODS: To explore the association between socio-economic factors and serum P
levels, we enrolled 100 patients on periodic HD treatment from three different
units. Information on social, cultural, economic, diet habits, therapy for
hyperphosphoremia and hematological and clinical parameters had been collected
through specific questionnaires, administered by a physician. RESULTS: Results
showed serum P level was reduced in patients who live alone compared to patients
in family (P = 0.04), in self-sufficient (P = 0.05) and in patients belonging to
middle-upper class, versus low-class (P = 0.003). Fast foods intake correlates
with increase in P serum levels (P = 0.002), whilst the same correlation was not
found for cheese intake. Our data show that socio-economic status and food habits
are useful predictors of P serum levels. CONCLUSIONS: In conclusion, dietary
counseling of patients on HD is mandatory. Interventions that consider the socio
economic situation allow delivering important messages on foods with the least
amount of P and adequate protein content, and they may be a successful strategy
in targeting patients at a higher risk of hyperphosphoremia.
PMID- 26539413
TI - Genetic characterization of Shiga toxin-producing Escherichia coli O26:H11
strains isolated from animal, food, and clinical samples.
AB - The Shiga-toxin producing Escherichia coli (STEC) may cause serious illness in
human. Here we analyze O26:H11 strains known to be among the most reported STEC
strains causing human infections. Genetic characterization of strains isolated
from animal, food, and clinical specimens in Argentina showed that most carried
either stx 1a or stx 2a subtypes. Interestingly, stx 2a-positive O26:H11 rarely
isolated from cattle in other countries showed to be an important proportion of
O26:H11 strains circulating in cattle and food in our region. Seventeen percent
of the isolates harbored more than one gene associated with antimicrobial
resistance. In addition to stx, all strains contained the virulence genes eae
beta, tir, efa, iha, espB, cif, espA, espF, espJ, nleA, nleB, nleC, and iss; and
all except one contained ehxA, espP, and cba genes. On the other hand, toxB and
espI genes were exclusively observed in stx 2-positive isolates, whereas katP was
only found in stx 1a-positive isolates. Our results show that O26:H11 STEC
strains circulating in Argentina, including those isolated from humans, cattle,
and meat products, present a high pathogenic potential, and evidence that cattle
can be a reservoir of O26:H11 strains harboring stx 2a.
PMID- 26539415
TI - Double-J Versus External Ureteral Stents in Kidney Transplantation: A
Retrospective Analysis.
AB - BACKGROUND: Kidney transplantation has long been recognized as the best available
therapy for end stage kidney disease. OBJECTIVES: This study aimed to compare
outcomes of double-J versus percutaneous ureteral stent placement in renal
transplantation. PATIENTS AND METHODS: A retrospective analysis was performed on
data of renal transplantations performed at our institution in a 12-month period.
In this period, external and double-J stents were used in parallel. Length of
hospital stay and stent-associated complications were evaluated. RESULTS: In 76
kidney transplants, 43 external (group 1) and 33 double-J (group 2) urinary
stents were used. No significant difference was observed in the number of urinary
tract infections, ureteric stenosis or necrosis. The mean overall length of
hospital stay was comparable in both groups (20.7 days in group 1 vs 19.3 days in
group 2, P = 0.533). For patients without immunological complications, the
hospital stay was significantly reduced using double-J stents (12.9 days in group
1, 10.8 days in group 2, P = 0.018). Leakage of the ureteroneocystostomy occurred
in 6 out of 43 patients in group 1 (13.9%). No case of anastomotic insufficiency
was observed in group 2 (P = 0.035). Macrohematuria was detected in 13 out of the
43 patients in group 1 (30.2%), compared to 3 out of 33 patients in group 2
(9.1%; P = 0.045). CONCLUSIONS: This nonrandomized comparison of stent types in
kidney transplantation supports the use of prophylactic double-J stents in terms
of decreased ureteric complications and reduced length of hospital stay.
PMID- 26539412
TI - Salivary markers of oxidative stress in oral diseases.
AB - Saliva is an interesting alternative diagnostic body fluid with several specific
advantages over blood. These include non-invasive and easy collection and related
possibility to do repeated sampling. One of the obstacles that hinders the wider
use of saliva for diagnosis and monitoring of systemic diseases is its
composition, which is affected by local oral status. However, this issue makes
saliva very interesting for clinical biochemistry of oral diseases.
Periodontitis, caries, oral precancerosis, and other local oral pathologies are
associated with oxidative stress. Several markers of lipid peroxidation, protein
oxidation and DNA damage induced by reactive oxygen species can be measured in
saliva. Clinical studies have shown an association with oral pathologies at least
for some of the established salivary markers of oxidative stress. This
association is currently limited to the population level and none of the widely
used markers can be applied for individual diagnostics. Oxidative stress seems to
be of local oral origin, but it is currently unclear whether it is caused by an
overproduction of reactive oxygen species due to inflammation or by the lack of
antioxidants. Interventional studies, both, in experimental animals as well as
humans indicate that antioxidant treatment could prevent or slow-down the
progress of periodontitis. This makes the potential clinical use of salivary
markers of oxidative stress even more attractive. This review summarizes basic
information on the most commonly used salivary markers of oxidative damage,
antioxidant status, and carbonyl stress and the studies analyzing these markers
in patients with caries or periodontitis.
PMID- 26539416
TI - Evaluation of Renal Histopathological Changes, as a Predictor of Recoverability
of Renal Function Following Pyeloplasty for Ureteropelvic Junction Obstruction.
AB - BACKGROUND: Pyeloplasty is a widely accepted treatment for ureteropelvic junction
obstruction (UPJO). However, the renal function recoverability after pyeloplasty
is still a matter of debate. Different parameters have been used to predict renal
functional recoverability after corrective surgery, with conflicting results.
OBJECTIVES: In this study, renal biopsy was carried on a series of cases of UPJO,
during pyeloplasty, to study the extent of histological alterations in renal
parenchyma, as a result of obstruction, and its predictive value in renal
function recoverability after pyeloplasty. PATIENTS AND METHODS: We
retrospectively analyzed the renal biopsy obtained during pyeloplasty in 53 adult
patients. Histopathological changes were graded on a scale of 1 to 3, according
to their severity, and compared with the differential renal function (DRF)
revealed on the preoperative and postoperative follow up diethylene triamine
pentaacetic acid (DTPA) renal scan. A Fischer's t test was used to evaluate
statistical differences between values. RESULTS: This study showed a linear
relationship between the severity of histological changes and renal function
recovery, after pyeloplasty. Out of 24 obstructed renal units (ORU), with minimal
histopathological changes (grade I), 21 ORU (87.5%), with > 35% DRF
preoperatively, showed significant improvement in renal function after 12 months
of pyeloplasty (P < 0.05). On the other hand, all kidneys (n = 29) with moderate
to severe obstructive changes (grade II and III) had minimal improvement in DRF,
after pyeloplasty, which was clinically insignificant (P > 0.05). Renal function
deterioration after pyeloplasty was not observed in any of the cases.
CONCLUSIONS: The severity of pathological changes in renal parenchyma, due to
UPJO, is a good predictor of renal function recoverability, after pyeloplasty.
The ORUs, with DRF > 35%, usually have normal (grade I) renal biopsy and might be
expected to present better functional recoverability after pyeloplasty.
PMID- 26539417
TI - Influence of Hemodialysis on Lipid Peroxidation, Enzymatic and Non-Enzymatic
Antioxidant Capacity in Chronic Renal Failure Patients.
AB - BACKGROUND: Free radical induced damages are thought to be involved in chronic
kidney disease (CKD), especially in patients who are on hemodialysis (HD) for
prolonged periods. Hemodialysis can influence multiple biochemical factors,
several of which are useful, although the rest can be harmful and increase the
severity of disease. OBJECTIVES: The purpose of this study was to evaluate the
effect of the HD membrane polysulfone on oxidative stress markers, by measuring
the level of lipid peroxidation and total antioxidant activity (TAC), in the
blood of HD patients. PATIENTS AND METHODS: This study was carried out on 31 HD
patients and 31 healthy persons, matched for age and sex, as control group. Blood
samples were drawn before and after HD from arteriovenous fistulas, and once from
the controls. Superoxide dismutase (SOD), catalase (CAT) and thiobarbituric acid
reactive substance (TBARS) in blood hemolyzate, Glutathione peroxidase (GpX) of
whole blood and TAC of plasma were measured, respectively. Then, we investigated
the association between TAC of plasma, measured by ferric reducing antioxidant
power (FRAP), and lipid peroxidation level with its related parameters, in HD
patients. RESULTS: The SOD, GpX and CAT were decreased after HD (P < 0.05). Also,
FRAP was shown to decrease after HD (P < 0.05). However, erythrocyte TBARS levels
(MUmol/gr of Hb) were increased after HD, in comparison with controls, and before
HD (P < 0.05). There was a significant negative correlation between TBARS and
antioxidant indices, such as SOD (r = -0.67, P = 0.001), GpX (r = -0.76, P =
0.001), CAT (r = -0.63, P = 0.001) and FRAP (r = -0.84, P = 0.001). The FRAP was
significantly and directly correlated with uric acid (r = +0.62, P = 0.001), SOD
(r = +0.72, P = 0.001), GpX (r = +0.87, P = 0.001) and CAT (r = +0.84, P =
0.001). CONCLUSIONS: The results of our study proposed that there is a loss or
inactivation of antioxidant factors, coupled with increased lipid peroxidation
during the procedure of HD, possibly through the dialyzer membrane, with probable
consequences on the severity of illness.
PMID- 26539418
TI - Comparing the Levels of Trace Elements in Patients With Diabetic Nephropathy and
Healthy Individuals.
AB - BACKGROUND: Diabetic nephropathy is the most common cause of end stage renal
disease (ESRD) in developed countries. Several trace elements were reported to be
changed in diabetic nephropathy. OBJECTIVES: The aim of this study was to
investigate changes in serum levels of zinc, copper and chromium and their
association with the incidence of ESRD in patients with diabetes. PATIENTS AND
METHODS: This study was performed on 70 patients with type 2 diabetic nephropathy
(macro and micro-albuminuria) and 70 healthy individuals. Samples were collected
to survey metals by atomic absorption spectrophotometer. Data was analyzed by
SPSS18 using descriptive and inferential analysis methods. RESULTS: Mean +/- SD
levels of Zn, Cu and Cr were significantly decreased in blood samples of patients
compared to healthy subjects (P < 0.01). Also the mean concentrations of Cu, Zn
and Cr in drinking water of Sari were lower than the accepted limit. Only in one
case, Cu was higher than the accepted limit, which was the possibility of
contamination by water supply pipes. CONCLUSIONS: Cu, Zn and Cr play a specific
role in the pathophysiology of diabetic nephropathy. Meanwhile in these patients,
low serum levels of Cu, Zn and Cr were not associated with factors such as
drinking water. Possible causes should be sought in other factors like urine,
intervention factors in absorption and utilization and individual conditions.
PMID- 26539419
TI - Adherence to Antihypertensives in Patients With Comorbid Condition.
AB - BACKGROUND: Comorbidity has been noted as a potential barrier to proper adherence
to antihypertensive medications. OBJECTIVES: We decided to investigate whether
comorbidity could significantly affect adherence of Iranian patients with
hypertension to their medication regimen. PATIENTS AND METHODS: Two hundred and
eighty consecutive hypertensive patients were interviewed in 4 cities of Iran.
The 8-item Morisky medication adherence scale (MMAS-8) (validated in Persian) was
used to assess medication adherence. This scale determines adherence by scores as
lower than 6 (low adherence), 6 or 7 (moderate adherence), and 8 (high
adherence). Comorbidity was considered as any concomitant medical condition,
which necessitates the patient to take medicine for a minimum of 6 months prior
to the interviews. RESULTS: The most common comorbid conditions were ischemic
heart disease (65 patients, 23.2%), diabetes mellitus (55 patients, 19.6%), and
dyslipidemia (51 patients, 18.2%). Mean (+/- SD) MMAS-8 score in comorbid group
was 5.68 (+/- 1.85) and in non-comorbid hypertensive patients, it was 5.83 (+/-
1.91) (P = 0.631). Mean (+/- SD) number of comorbidities was 1.53 (+/- 0.75) in
low adherence group compared to 1.54 (+/- 0.77) in moderate/high adherers (P =
0.98). With increasing the number of comorbid diseases, the proportion of
patients with high adherence decreased successively from 20% in those with no
comorbid disease to 14.1% in those with one or two comorbid conditions, and
finally 11.1% in those with 3 to 5 comorbid conditions. CONCLUSIONS: With
increasing the number of comorbid conditions, the proportion of patients with
high adherence decreases. In our opinion, this finding is a useful clinical note
for healthcare providers when managing patients with hypertension who have other
medical problems at the same time.
PMID- 26539421
TI - Editorial - Hypoxia and Reoxygenation: From Basic Science to Bedside.
PMID- 26539420
TI - Postchemotherapy Retroperitoneal Lymph Node Dissection in Patients With
Nonseminomatous Testicular Cancer: A Single Center Experiences.
AB - BACKGROUND: Testicular cancer accounts for about 1 - 1.5% of all malignancies in
men. Radical orchiectomy is curative in 75% of patients with stage I disease, but
advance stage with retroperitoneal lymph node involvement needs chemotherapy. All
patients who have residual masses >= 1 cm after chemotherapy should undergo
postchemotherapy retroperitoneal lymph node dissection (PC-RPLND). OBJECTIVES:
Treatment of advanced nonseminomatous testicular cancer is usually a combination
of chemotherapy and surgery. We described our experience about postchemotherapy
retroperitoneal lymph node dissection (PC-RPLND) in our center. PATIENTS AND
METHODS: In a retrospective cross-sectional study between 2006 and 2011, patients
with a history of postchemotherapy retroperitoneal lymph node dissection (PC
RPLND) in Imam Khomeini hospital were evaluated. All patients had normal
postchemotherapy serum tumor markers and primary nonseminomatous cancer. We
reviewed retrospectively clinical, pathological, and surgical parameters
associated with PC-RPLND in our center. RESULTS: Twenty-one patients underwent
bilateral PC-RPLND. Mean age was 26.3 years (ranged 16 - 47). Mean size of
retroperitoneal mass after chemotherapy was 7.6 cm. Mean operative time was 198
minutes (120 - 246 minutes). Mean follow-up time was 38.6 months. Pathologic
review showed presence of fibrosis/necrosis, viable germ cell tumor and teratoma
in 8 (38.1%), 10 (47.6%) and 3 (14.28%) patients, respectively. One patient in
postoperative period of surgery and three patients in two first years after
surgery were expired. Of 17 alive patients, only two (11.8%) had not retrograde
ejaculation. CONCLUSIONS: PC-RPLND is one the major operations in the field of
urology, which is associated with significant adjunctive surgeries. In
appropriate cases, PC-RPLND was associated with good cancer specific survival in
tertiary oncology center.
PMID- 26539422
TI - Control of Body Weight by Eating Behavior in Children.
AB - Diet, exercise, and pharmacological interventions have limited effects in
counteracting the worldwide increase in pediatric body weight. Moreover, the
promise that individualized drug design will work to induce weight loss appears
to be exaggerated. We suggest that the reason for this limited success is that
the cause of obesity has been misunderstood. Body weight is mainly under external
control; our brain permits us to eat under most circumstances, and unless the
financial or physical cost of food is high, eating and body weight increase by
default. When energy-rich, inexpensive foods are continually available, people
need external support to maintain a healthy body weight. Weight loss can thereby
be achieved by continuous feedback on how much and how fast to eat on a computer
screen.
PMID- 26539423
TI - Long-Term Effects of Exposure to Ionizing Irradiation on Periodontal Health
Status - The Tinea capitis Cohort Study.
AB - Studies among long-term survivors of childhood cancer who had received high-dose
irradiation therapy of 4-60 Gy, demonstrated acute and chronic dental effects,
including periodontal diseases. However, the possible effects of low to moderate
doses of radiation on dental health are sparse. The aim of this study is to
investigate the association between childhood exposure to low-moderate doses of
ionizing radiation and periodontal health following 50 years since exposure. The
study population included 253 irradiated subjects (treated for Tinea capitis in
the 1950s) and, 162 non-irradiated subjects. The estimated dose to the teeth was
0.2-0.4 Gy. Dental examination was performed according to the community
periodontal index (CPI). Socioeconomic and health behavior variables were
obtained through a personal questionnaire. Periodontal disease was operationally
defined as "deep periodontal pockets." A multivariate logistic regression model
was used for the association of irradiation status and other independent
variables with periodontal status. The results showed that among the irradiated
subjects, 23%, (95% CI 18-28%) demonstrated complete edentulousness or
insufficient teeth for CPI scoring as compared to 13% (95% CI 8-19%) among the
non-irradiated subjects (p = 0.01). Periodontal disease was detected among 54% of
the irradiated subjects as compared to 40% of the non-irradiated (p = 0.008).
Controlling for education and smoking, the ORs for the association between
radiation and periodontal disease were 1.61 (95% CI 1.01-2.57) and 1.95 (95% CI
1.1-3.5) for ever never and per 1 Gy absorbed in the salivary gland,
respectively. In line with other studies, a protective effect for periodontal
diseases among those with high education and an increased risk for ever smokers
were observed. In conclusion, childhood exposure to low-moderate doses of
ionizing radiation might be associated with later outcomes of dental health. The
results add valuable data on the long-term health effects of exposure to ionizing
radiation and support the implementation of the ALARA principle in childhood
exposure to diagnostic procedure involving radiation.
PMID- 26539424
TI - International Biological Engagement Programs Facilitate Newcastle Disease
Epidemiological Studies.
AB - Infections of poultry species with virulent strains of Newcastle disease virus
(NDV) cause Newcastle disease (ND), one of the most economically significant and
devastating diseases for poultry producers worldwide. Biological engagement
programs between the Southeast Poultry Research Laboratory (SEPRL) of the United
States Department of Agriculture and laboratories from Russia, Pakistan, Ukraine,
Kazakhstan, and Indonesia collectively have produced a better understanding of
the genetic diversity and evolution of the viruses responsible for ND, which is
crucial for the control of the disease. The data from Kazakhstan, Russia, and
Ukraine identified possible migratory routes for birds that may carry both
virulent NDV (vNDV) and NDV of low virulence into Europe. In addition, related
NDV strains were isolated from wild birds in Ukraine and Nigeria, and from birds
in continental USA, Alaska, Russia, and Japan, identifying wild birds as a
possible mechanism of intercontinental spread of NDV of low virulence. More
recently, the detection of new sub-genotypes of vNDV suggests that a new, fifth,
panzootic of ND has already originated in Southeast Asia, extended to the Middle
East, and is now entering into Eastern Europe. Despite expected challenges when
multiple independent laboratories interact, many scientists from the
collaborating countries have successfully been trained by SEPRL on molecular
diagnostics, best laboratory practices, and critical biosecurity protocols,
providing our partners the capacity to further train other employes and to
identify locally the viruses that cause this OIE listed disease. These and other
collaborations with partners in Mexico, Bulgaria, Israel, and Tanzania have
allowed SEPRL scientists to engage in field studies, to elucidate more aspects of
ND epidemiology in endemic countries, and to understand the challenges that the
scientists and field veterinarians in these countries face on a daily basis.
Finally, new viral characterization tools have been developed and are now
available to the scientific community.
PMID- 26539425
TI - Supported Employment for the Reintegration of Disability Pensioners with Mental
Illnesses: A Randomized Controlled Trial.
AB - Work is beneficial for the recovery from mental illness. Although the approach of
individual placement and support (IPS) has been shown to be effective in Europe,
it has not yet been widely implemented in European health care systems. The aim
of this randomized controlled trial was to assess the effectiveness of IPS for
disability pensioners with mental illnesses new on disability benefits in
Switzerland. In the study at hand, 250 participants were randomly assigned to
either the control or the intervention group. The participants in the
intervention group received job coaching according to IPS during 2 years. The
control group received no structured support. Both groups were interviewed at
baseline and followed up every 6 months (baseline, 6, 12, 16, 18, 24 months) for
2 years. Primary outcome was to obtain a job in the competitive employment. IPS
was more effective for the reintegration into the competitive employment market
for disability pensioners than the control condition. Thirty-two percent of the
participants of the intervention group and 12% of the control group obtained new
jobs in the competitive employment. IPS is also effective for the reintegration
into competitive employment of people with mental illness receiving disability
pensions.
PMID- 26539426
TI - Going Beyond the Injury: Regulatory Conditions Contributing to Latina/o
Immigrants' Occupational Psychosocial Stressors.
AB - BACKGROUND: Utilizing a psychosocial stress approach, we report psychosocial
stressors that Latina/o immigrant day laborers in Baltimore report as workplace
hazards and the contextual factors that shape these stressors. METHODS: Through a
community-academic partnership, we conducted focus groups (n = 18) and key
informant interviews (n = 9) using instruments developed between academics and
the community partner to inquire Latina/o immigrants' jobs, hazard awareness,
occupational illnesses and injuries, and reporting behaviors. We conducted a
transcript-based thematic analysis. RESULTS: The psychosocial stressors that
Latina/o day laborers report as dangers at work are anxiety beating the deadline
and fear from wage theft, sudden termination and immigration enforcement.
DISCUSSION: More attention needs to be given to Latina/o immigrant day laborers'
occupational psychosocial risks. Policies should be made to lower barriers for
Latina/o immigrants to report grievances to state agencies.
PMID- 26539427
TI - Biosafety and Biosecurity: A Relative Risk-Based Framework for Safer, More
Secure, and Sustainable Laboratory Capacity Building.
AB - BACKGROUND: Laboratory capacity building is characterized by a paradox between
endemicity and resources: countries with high endemicity of pathogenic agents
often have low and intermittent resources (water, electricity) and capacities
(laboratories, trained staff, adequate regulations). Meanwhile, countries with
low endemicity of pathogenic agents often have high-containment facilities with
costly infrastructure and maintenance governed by regulations. The common
practice of exporting high biocontainment facilities and standards is not
sustainable and concerns about biosafety and biosecurity require careful
consideration. METHODS: A group at Chatham House developed a draft conceptual
framework for safer, more secure, and sustainable laboratory capacity building.
RESULTS: The draft generic framework is guided by the phrase "LOCAL - PEOPLE -
MAKE SENSE" that represents three major principles: capacity building according
to local needs (local) with an emphasis on relationship and trust building
(people) and continuous outcome and impact measurement (make sense). CONCLUSION:
This draft generic framework can serve as a blueprint for international policy
decision-making on improving biosafety and biosecurity in laboratory capacity
building, but requires more testing and detailing development.
PMID- 26539428
TI - Reference materials and representative test materials to develop nanoparticle
characterization methods: the NanoChOp project case.
AB - This paper describes the production and characteristics of the nanoparticle test
materials prepared for common use in the collaborative research project NanoChOp
(Chemical and optical characterization of nanomaterials in biological systems),
in casu suspensions of silica nanoparticles and CdSe/CdS/ZnS quantum dots (QDs).
This paper is the first to illustrate how to assess whether nanoparticle test
materials meet the requirements of a "reference material" (ISO Guide 30, 2015) or
rather those of the recently defined category of "representative test material
(RTM)" (ISO/TS 16195, 2013). The NanoChOp test materials were investigated with
small-angle X-ray scattering (SAXS), dynamic light scattering (DLS), and
centrifugal liquid sedimentation (CLS) to establish whether they complied with
the required monomodal particle size distribution. The presence of impurities,
aggregates, agglomerates, and viable microorganisms in the suspensions was
investigated with DLS, CLS, optical and electron microscopy and via plating on
nutrient agar. Suitability of surface functionalization was investigated with
attenuated total reflection Fourier transform infrared spectrometry (ATR-FTIR)
and via the capacity of the nanoparticles to be fluorescently labeled or to bind
antibodies. Between-unit homogeneity and stability were investigated in terms of
particle size and zeta potential. This paper shows that only based on the outcome
of a detailed characterization process one can raise the status of a test
material to RTM or reference material, and how this status depends on its
intended use.
PMID- 26539429
TI - Evaluation of the side effects of poly(epsilon-caprolactone) nanocapsules
containing atrazine toward maize plants.
AB - Poly(epsilon-caprolactone) (PCL) nanocapsules have been used as a carrier system
for the herbicide atrazine, which is commonly applied to maize. We demonstrated
previously that these atrazine containing polymeric nanocapsules were 10-fold
more effective in the control of mustard plants (a target species), as compared
to a commercial atrazine formulation. Since atrazine can have adverse effects on
non-target crops, here we analyzed the effect of encapsulated atrazine on growth,
physiological and oxidative stress parameters of soil-grown maize plants (Zea
mays L.). One day after the post-emergence treatment with PCL nanocapsules
containing atrazine (1 mg mL(-1)), maize plants presented 15 and 21% decreases in
maximum quantum yield of photosystem II (PSII) and in net CO2 assimilation rate,
respectively, as compared to water-sprayed plants. The same treatment led to a
1.8-fold increase in leaf lipid peroxidation in comparison with control plants.
However, all of these parameters were unaffected 4 and 8 days after the
application of encapsulated atrazine. These results suggested that the negative
effects of atrazine were transient, probably due to the ability of maize plants
to detoxify the herbicide. When encapsulated atrazine was applied at a 10-fold
lower concentration (0.1 mg mL(-1)), a dosage that is still effective for weed
control, no effects were detected even shortly after application. Regardless of
the herbicide concentration, neither pre- nor post-emergence treatment with the
PCL nanocapsules carrying atrazine resulted in the development of any macroscopic
symptoms in maize leaves, and there were no impacts on shoot growth.
Additionally, no effects were observed when plants were sprayed with PCL
nanocapsules without atrazine. Overall, these results suggested that the use of
PCL nanocapsules containing atrazine did not lead to persistent side effects in
maize plants, and that the technique could offer a safe tool for weed control
without affecting crop growth.
PMID- 26539431
TI - Electrophoretic Deposition of Chitosan/45S5 Bioactive Glass Composite Coatings
Doped with Zn and Sr.
AB - In this research work, the original 45S5 bioactive glass was modified by
introducing zinc and/or strontium oxide (6 mol%) in place of calcium oxide. Sr
was added for its ability to stimulate bone formation and Zn for its role in bone
metabolism, antibacterial properties, and anti-inflammatory effect. The glasses
were produced by means of melting and quenching process. SEM and XRD analyses
evidenced that Zr and Sr introduction did not modify the glass structure and
morphology while compositional analysis (EDS) demonstrated the effective
incorporation of these elements in the glass network. Bioactivity test in
simulated body fluid (SBF) up to 1 month evidenced a reduced bioactivity kinetics
for Zn-doped glasses. Doped glasses were combined with chitosan to produce
organic/inorganic composite coatings on stainless steel AISI 316L by
electrophoretic deposition (EPD). Two EPD processes were considered for coating
development, namely direct current EPD (DC-EPD) and alternating current EPD (AC
EPD). The stability of the suspension was analyzed and the deposition parameters
were optimized. Tape and bending tests demonstrated a good coating-substrate
adhesion for coatings containing 45S5-Sr and 45S5-ZnSr glasses, whereas the
adhesion to the substrate decreased by using 45S5-Zn glass. FTIR analyses
demonstrated the composite nature of coatings and SEM observations indicated that
glass particles were well integrated in the polymeric matrix, the coatings were
fairly homogeneous and free of cracks; moreover, the AC-EPD technique provided
better results than DC-EPD in terms of coating quality. SEM, XRD analyses, and
Raman spectroscopy, performed after bioactivity test in SBF solution, confirmed
the bioactive behavior of 45S5-Sr-containing coating while coatings containing Zn
exhibited no hydroxyapatite formation.
PMID- 26539430
TI - Cold and Hot Extremozymes: Industrial Relevance and Current Trends.
AB - The development of enzymes for industrial applications relies heavily on the use
of microorganisms. The intrinsic properties of microbial enzymes, e.g.,
consistency, reproducibility, and high yields along with many others, have pushed
their introduction into a wide range of products and industrial processes.
Extremophilic microorganisms represent an underutilized and innovative source of
novel enzymes. These microorganisms have developed unique mechanisms and
molecular means to cope with extreme temperatures, acidic and basic pH, high
salinity, high radiation, low water activity, and high metal concentrations among
other environmental conditions. Extremophile-derived enzymes, or extremozymes,
are able to catalyze chemical reactions under harsh conditions, like those found
in industrial processes, which were previously not thought to be conducive for
enzymatic activity. Due to their optimal activity and stability under extreme
conditions, extremozymes offer new catalytic alternatives for current industrial
applications. These extremozymes also represent the cornerstone for the
development of environmentally friendly, efficient, and sustainable industrial
technologies. Many advances in industrial biocatalysis have been achieved in
recent years; however, the potential of biocatalysis through the use of
extremozymes is far from being fully realized. In this article, the adaptations
and significance of psychrophilic, thermophilic, and hyperthermophilic enzymes,
and their applications in selected industrial markets will be reviewed. Also, the
current challenges in the development and mass production of extremozymes as well
as future prospects and trends for their biotechnological application will be
discussed.
PMID- 26539432
TI - Construction of a Modular Arsenic-Resistance Operon in E. coli and the Production
of Arsenic Nanoparticles.
AB - Arsenic is a widespread contaminant of both land and water around the world.
Current methods of decontamination such as phytoremediation and chemical
adsorbents can be resource and time intensive, and may not be suitable for some
areas such as remote communities where cost and transportation are major issues.
Bacterial decontamination, with strict controls preventing environmental release,
may offer a cost-effective alternative or provide a financial incentive when used
in combination with other remediation techniques. In this study, we have produced
Escherichia coli strains containing arsenic-resistance genes from a number of
sources, overexpressing them and testing their effects on arsenic resistance.
While the lab E. coli strain JM109 (the "wild-type") is resistant up to 20 mM
sodium arsenate, the strain containing our plasmid pEC20 is resistant up to 80
mM. When combined with our construct pArsRBCC arsenic--containing nanoparticles
were observed at the cell surface; the elements of pEC20 and pArsRBCC were
therefore combined in a modular construct, pArs, in order to evaluate the roles
and synergistic effects of the components of the original plasmids in arsenic
resistance and nanoparticle formation. We have also investigated introducing the
lac operator in order to more tightly control expression from pArs. We
demonstrate that our strains are able to reduce toxic forms of arsenic into
stable, insoluble metallic As(0), providing one way to remove arsenate
contamination, and which may also be of benefit for other heavy metals.
PMID- 26539434
TI - Industrial Fermentation of Auxenochlorella protothecoides for Production of
Biodiesel and Its Application in Vehicle Diesel Engines.
AB - Microalgae-derived biodiesel has been regarded as a promising alternative for
fossil diesel. However, the commercial production of microalgal biodiesel was
halted due to its high cost. Here, we presented a pilot study on the industrial
production of algal biodiesel. We began with the heterotrophic cultivation of
Auxenochlorella protothecoides in a 60-m(3) fermentor that produced biomass at
3.81 g L(-1) day(-1) with a neutral lipid content at 51%. Next, we developed
plate-frame filter, natural drying, and ball milling methods to harvest, dry, and
extract oil from the cells at low cost. Additionally, algal biodiesel was
produced for a vehicle engine test, which indicated that the microalgal biodiesel
was comparable to fossil diesel but resulted in fewer emissions of particulate
matter, carbon monoxide, and hydrocarbon. Altogether, our data suggested that the
heterotrophic fermentation of A. protothecoides could have the potential for the
future industrial production of biodiesel.
PMID- 26539433
TI - The Long Path of Human Placenta, and Its Derivatives, in Regenerative Medicine.
AB - In the 1800s, a baby born with a caul, a remnant of the amniotic sack or fetal
membranes, was thought to be lucky, special, or protected. Over time, fetal
membranes lost their legendary power and were soon considered nothing more than
biological waste after birth. However, placenta tissues have reclaimed their
potential and since the early 1900s an increasing body of evidence has shown that
these tissues have clinical benefits in a wide range of wound repair and surgical
applications. Nowadays, there is a concerted effort to understand the mechanisms
underlying the beneficial effects of placental tissues, and, more recently, cells
derived thereof. This review will summarize the historical and current clinical
applications of human placental tissues, and cells isolated from these tissues,
and discuss some mechanisms thought to be responsible for the therapeutic effects
observed after tissue and/or cell transplantation.
PMID- 26539435
TI - Exosomes as renal inductive signals in health and disease, and their application
as diagnostic markers and therapeutic agents.
AB - Cells secrete around 30-1000 nm membrane-enclosed vesicles, of which members of
the subgroup between 30 and 100 nm are termed exosomes (EXs). EXs are released
into the extracellular space and are widely present in body fluids and
incorporated mRNA, miRNA, proteins, and signaling molecules. Increasing amounts
of evidence suggest that EXs play an important role not only in cell-to-cell
communication but also in various physiological and disease processes. EXs
secreted by kidney cells control nephron function and are involved in kidney
diseases and cancers. This makes them potential targets for diagnostic and
therapeutic applications such as non-invasive biomarkers and cell-free vaccines
and for use as drug delivery vehicles. This review provides an overview on the
known roles of EXs in kidney development and diseases, including renal cancer.
Additionally, it covers recent findings on their significance as diagnostic
markers and on therapeutic applications to renal diseases and cancers. The
intention is to promote an awareness of how many questions still remain open but
are certainly worth investigating.
PMID- 26539436
TI - Alpha Particles Induce Autophagy in Multiple Myeloma Cells.
AB - OBJECTIVES: Radiation emitted by the radionuclides in radioimmunotherapy (RIT)
approaches induce direct killing of the targeted cells as well as indirect
killing through the bystander effect. Our research group is dedicated to the
development of alpha-RIT, i.e., RIT using alpha-particles especially for the
treatment of multiple myeloma (MM). gamma-irradiation and beta-irradiation have
been shown to trigger apoptosis in tumor cells. Cell death mode induced by
(213)Bi alpha-irradiation appears more controversial. We therefore decided to
investigate the effects of (213)Bi on MM cell radiobiology, notably cell death
mechanisms as well as tumor cell immunogenicity after irradiation. METHODS:
Murine 5T33 and human LP-1 MM cell lines were used to study the effects of such
alpha-particles. We first examined the effects of (213)Bi on proliferation rate,
double-strand DNA breaks, cell cycle, and cell death. Then, we investigated
autophagy after (213)Bi irradiation. Finally, a coculture of dendritic cells
(DCs) with irradiated tumor cells or their culture media was performed to test
whether it would induce DC activation. RESULTS: We showed that (213)Bi induces
DNA double-strand breaks, cell cycle arrest, and autophagy in both cell lines,
but we detected only slight levels of early apoptosis within the 120 h following
irradiation in 5T33 and LP-1. Inhibition of autophagy prevented (213)Bi-induced
inhibition of proliferation in LP-1 suggesting that this mechanism is involved in
cell death after irradiation. We then assessed the immunogenicity of irradiated
cells and found that irradiated LP-1 can activate DC through the secretion of
soluble factor(s); however, no increase in membrane or extracellular expression
of danger-associated molecular patterns was observed after irradiation.
CONCLUSION: This study demonstrates that (213)Bi induces mainly necrosis in MM
cells, low levels of apoptosis, and autophagy that might be involved in tumor
cell death.
PMID- 26539437
TI - Interaction of Isoflavones and Endophyte-Infected Tall Fescue Seed Extract on
Vasoactivity of Bovine Mesenteric Vasculature.
AB - It was hypothesized that isoflavones may attenuate ergot alkaloid-induced
vasoconstriction and possibly alleviate diminished contractility of vasculature
after exposure to ergot alkaloids. The objective of this study was to determine
if prior incubation of bovine mesenteric vasculature with the isoflavones
formononetin (F), biochanin A (B), or an ergovaline-containing tall fescue seed
extract (EXT) and their combinations affect ergotamine (ERT)-induced
contractility. Multiple segments of mesenteric artery and vein supporting the
ileal flange of the small intestine were collected from Angus heifers at
slaughter (n = 5, bodyweight = 639 +/- 39 kg). Duplicates of each vessel type
were incubated in tissue culture flasks at 37 degrees C with a 50-mL volume of
Krebs-Henseleit buffer containing: only buffer (control); or 1 * 10(-6) M EXT; F;
or B; and combinations of 1 * 10(-6) M EXT + F; 1 * 10(-6) M EXT + B; 1 * 10(-6)
M F + B; or 1 * 10(-6) M EXT + F + B. After incubation for 2 h, sections were
mounted in a multimyograph chamber. The ERT dose responses were normalized to
0.12 M KCl. Pretreatment with F, B, and F + B without EXT resulted in similar
contractile responses to ERT in mesenteric artery and all incubations containing
EXT resulted in a complete loss of vasoactivity to ERT. In mesenteric artery
pretreated with EXT, treatments that contained B had higher contractile responses
(P < 0.05) at ERT concentrations of 1 * 10(-7) and 5 * 10(-7) M. Also, treatments
containing B tended (P < 0.1) to have greater responses than treatments without B
at ERT concentrations of 1 * 10(-6), 5 * 10(-6), and 5 * 10(-5) M. In mesenteric
vein pretreated with EXT, treatments containing F had greater contractile
responses to ERT at 1 * 10(-5), 5 * 10(-5), and 1 * 10(-4) M (P < 0.05). These
data indicated that F and B at 1 * 10(-6) M and their combination did not impact
the overall contractile response to ERT in mesenteric vasculature. However, F and
B may offset some of the vasoconstriction caused by prior exposure to ergot
alkaloids.
PMID- 26539438
TI - Rectopexy for Rectal Prolapse.
AB - INTRODUCTION: Ventral mesh rectopexy (VMR) is a recognized treatment for
posterior compartment pelvic organ prolapse (POP). The aim of this review is to
provide a synopsis of the evidence for biological mesh use in VMR, the most
widely recognized surgical technique for posterior compartment POP. METHODS: A
systematic search of PubMed was conducted using the search terms "VMR," "ventral
mesh rectopexy," or "mesh rectopexy." Six studies were identified. RESULTS: About
268/324 patients underwent ventral rectopexy using biological mesh with a further
6 patients having a combination of synthetic and biological mesh. Recurrence was
reported in 20 patients; however, 6 were from studies where data on biological
mesh could not be extracted. There are no RCTs in VMR surgery and no studies have
directly compared types of biological mesh. Cross-linked porcine dermal collagen
is the most commonly used mesh and has not been associated with mesh erosion,
infection, or fistulation in this review. The level of evidence available on the
use of biological mesh in VMR is of low quality (level 4). CONCLUSION: Ventral
mesh rectopexy has become prevalent for posterior compartment POP. The evidence
base for its implementation is not strong and the quality of evidence to inform
choice of mesh is poor.
PMID- 26539439
TI - The Use of Biological Meshes in Diaphragmatic Defects - An Evidence-Based Review
of the Literature.
AB - The widespread use of meshes for hiatal hernia repair has emerged in the era of
laparoscopic surgery, although sporadic cases of mesh augmentation of traumatic
diaphragmatic rupture have been reported. The indications for biologic meshes in
diaphragmatic repair are ill defined. This systematic review aims to investigate
the available evidence on the role of biologic meshes in diaphragmatic rupture
and hiatal hernia repair. Limited data from sporadic case reports and case series
have demonstrated that repair of traumatic diaphragmatic rupture with biologic
mesh is safe technique in both the acute or chronic setting. High level evidence
demonstrates short-term benefits of biologic mesh augmentation in hiatal hernia
repair over primary repair, although adequate long-term data are not currently
available. Long-term follow-up data suggest no benefit of hiatal hernia repair
using porcine small intestine submucosa over suture repair. The effectiveness of
different biologic mesh materials on hernia recurrence requires further
investigation.
PMID- 26539441
TI - Geriatric orthopedic co-management of older adults with hip fracture: an emerging
standard.
AB - Hip fracture, a common complication of fall injuries in older adults, often
results in high rate of mortality, increased debility, functional loss, and worse
quality of life. The value of geriatric teams and model of care for the hip
fracture patients have been examined in a number of studies, and even though most
studies have demonstrated potential impact in improving outcomes for the hip
fracture patients, they are often observational or quasi-experimental designs
that are prone to bias. In this editorial, we review the Lancet article by
Prestmo and colleagues, a randomized controlled trial that demonstrated improved
outcomes for hip fracture patients managed in a geriatric unit.
PMID- 26539440
TI - Insights into the molecular mechanism of allostery in Hsp70s.
AB - Hsp70s chaperone an amazing number and variety of cellular protein folding
processes. Key to their versatility is the recognition of a short degenerate
sequence motif, present in practically all polypeptides, and a bidirectional
allosteric intramolecular regulation mechanism linking their N-terminal
nucleotide binding domain (NBD) and their C-terminal polypeptide substrate
binding domain (SBD). Through this interdomain communication ATP binding to the
NBD and ATP hydrolysis control the affinity of the SBD for polypeptide substrates
and substrate binding to the SBD triggers ATP hydrolysis. Genetic screens for
defective variants of Hsp70s and systematic analysis of available structures of
the isolated domains revealed some residues involved in allosteric control.
Recent elucidation of the crystal structure of the Hsp70 homolog DnaK in the ATP
bound open conformation as well as numerous NMR and mutagenesis studies bring us
closer to an understanding of the communication between NBD and SBD. In this
review we will discuss our current view of the allosteric control mechanism of
Hsp70 chaperones.
PMID- 26539442
TI - Editorial: Functional testing in the assessment of return to sports after
anterior cruciate ligament reconstruction.
AB - The paper entitled "Functional testing differences in anterior cruciate ligament
(ACL) reconstruction patients released versus not released to return to sport"
published in the American Journal of Sports Medicine (AJSM) assessed Functional
Movement Screen (FMS) and the Lower Quarter Y Balance Test (YBT-LQ) as possible
objective tools for evaluating a patient's readiness to return to sports after
ACL reconstruction. The results suggest that many patients clinically cleared
continue to have measurable function deficits and that both FMS and YBT-LQ may be
used as additional tools for return to sports clearance.
PMID- 26539443
TI - Editorial on the original article entitled "Permissive underfeeding of standard
enteral feeding in critically ill adults" published in the New England Journal of
Medicine on June 18, 2015.
AB - On June 18, 2015, the New England Journal of Medicine published an article
entitled "Permissive underfeeding of standard enteral feeding in critically ill
adults", which reports the results of a study that examined the impact of
prolonged nutritional energy restriction for critically ill patients. The study
design was unique in the sense that patients in both groups received similar
doses of protein during the intervention, while the non-protein energy intake was
reduced in the intervention group. The study showed no differences in outcome
between the two study groups. These results add to a growing body of high quality
evidence against the dogmatic belief that full enteral or parenteral feeding
should be given as early as possible during critical illness to prevent
complications. Further research is now needed to address the question of the
optimal timing to provide more nutritional support for the benefit of the
patients, possibly guided by improved biomarkers that need to be developed and
validated, and to investigate underlying mechanisms.
PMID- 26539444
TI - Epigenetic regulations on skin wound healing: implications from current
researches.
PMID- 26539445
TI - Intra-articular platelet-rich plasma injections were not superior to
viscosupplementation for early knee degeneration.
PMID- 26539446
TI - Microbiome, a new dimension in cancer research.
PMID- 26539448
TI - Birth season and vitamin D concentration in adulthood.
AB - BACKGROUND: Recent evidences suggest that the season of birth may influence human
development and vulnerability to develop certain diseases. METHODS: A
retrospective analysis was hence carried out in the laboratory information system
of the University Hospital of Parma (North-West Italy), to retrieve values of
total serum vitamin D (25-hydroxyvitamin D) measured in a the whole cohort of
unselected outpatients age 18 years and older referred for routine health check
up during January to December 2014. Vitamin D was then stratified according to
birth season. RESULTS: The study population consisted in 11,150 unselected
Italian residents (median age 62 years; 8,592 women and 2,558 men). Serum vitamin
D values were found to be significantly lower in subjects born in winter than in
those born in spring and summer. More specifically, winter season birth was
associated with 11% increased risk of developing vitamin D deficiency later in
life compared to spring birth. Daily sunlight hours at birth independently
predicted vitamin D concentration in adulthood. CONCLUSIONS: The results of this
large, cross-sectional retrospective investigation attest that subjects born in
winter have a total vitamin D concentration in adulthood that is significantly
lower than those born in seasons with longer daylight periods.
PMID- 26539447
TI - Expert consensus statement on parathyroid protection in thyroidectomy.
PMID- 26539449
TI - Lower body mass index is associated with a higher risk of giant cell arteritis: a
systematic review and meta-analysis.
AB - OBJECTIVE: To characterize the possible association between body mass index (BMI)
and risk of giant cell arteritis (GCA). METHODS: We conducted a systematic review
of observational studies (case-control or cohort study) that (I) reported BMI of
patients with GCA prior to the diagnosis of GCA compared with subjects without
GCA and (II) provided relative risk (RR), odds ratio (OR) or hazard ratio (HR)
with 95% confidence interval (CI) from its regression analysis. Meta-analysis of
the included studies was then performed to estimate the pooled effect using
generic variance method of DerSimonian and Laird. RESULTS: Three studies
encompassing 141 patients with GCA and 85,736 controls met our eligibility
criteria and were included in the data analyses. We demonstrated a statistically
significant inverse relationship between BMI and risk of subsequent development
of GCA as the risk increased by 8% when BMI was reduced by 1.0 kg/m(2) (pooled OR
of 0.92/kg/m(2); 95% CI, 0.88-0.96). CONCLUSIONS: Our study demonstrated a
statistically significant inverse relationship between BMI and risk of subsequent
development GCA. The pathophysiologic link behind this negative correlation is
not well-characterized and further investigation is required.
PMID- 26539450
TI - Patient-related medical risk factors for periprosthetic joint infection of the
hip and knee.
AB - Despite advancements and improvements in methods for preventing infection,
periprosthetic joint infection (PJI) is a significant complication following
total joint arthroplasty (TJA). Prevention is the most important strategy to deal
with this disabling complication, and prevention should begin with identifying
patient-related risk factors. Medical risk factors, such as morbid obesity,
malnutrition, hyperglycemia, uncontrolled diabetes mellitus, rheumatoid arthritis
(RA), preoperative anemia, cardiovascular disorders, chronic renal failure,
smoking, alcohol abuse and depression, should be evaluated and optimized prior to
surgery. Treating patients to get laboratory values under a specified threshold
or cessation of certain modifiable risk factors can decrease the risk of PJI.
Although significant advances have been made in past decades to identify these
risk factors, there remains some uncertainty regarding the risk factors
predisposing TJA patients to PJI. Through a review of the current literature,
this paper aims to comprehensively evaluate and provide a better understanding of
known medical risk factors for PJI after TJA.
PMID- 26539452
TI - "Liquid biopsy"-ctDNA detection with great potential and challenges.
AB - Circulating tumor DNA (ctDNA) is now being extensively studied as it is a
noninvasive "real-time" biomarker that can provide diagnostic and prognostic
information before, during treatment and at progression. These include DNA
mutations, epigenetic alterations and other forms of tumor-specific abnormalities
such as microsatellite instability (MSI) and loss of heterozygosity (LOH). ctDNA
is of great value in the process of cancer treatment. However, up to date, there
is no strict standard considering the exact biomarker because the development and
progression of cancer is extremely complicated. Also, results of the studies
evaluating ctDNA are not consistent due to the different detection methods and
processing. The major challenge is still assay sensitivity and specificity for
analysis of ctDNA. This review mainly focuses on the tumor specific DNA
mutations, epigenetic alterations as well as detecting methods of ctDNA. The
advantages and disadvantages will also be discussed.
PMID- 26539451
TI - Periprosthetic fractures around the femoral stem: overcoming challenges and
avoiding pitfalls.
AB - Management of periprosthetic fractures around the femoral stem after total hip
arthroplasty (THA) represents a significant challenge and optimal treatment
remains controversial. The most common treatment paradigm involves treating
fractures around a well-fixed stem with osteosynthesis, whereas fractures around
a loose stem require revision arthroplasty and those with poor bone require
augmentation with bone graft. Paradoxically, the literature reports a higher rate
of failure for osteosynthesis around prostheses considered to be well-fixed. Such
a high rate of poor outcomes may result not only from difficult fracture fixation
and compromised biologic healing, but also from unrecognized peri-implant
pathology. Therefore, proper preoperative and intraoperative evaluation is key,
and a subset of patients may benefit from alternative management. We review the
appropriate methods for evaluation and treatment of Vancouver type B fractures
with particular emphasis on avoiding missteps that can lead to failure.
PMID- 26539454
TI - Linkage between genotype and immunological phenotype in Crohn's disease.
AB - Understanding the mechanisms that drive uncontrolled inflammation in Crohn's
disease (CD) remains one of the most pressing challenges in contemporary
experimental medicine. Recently, a three-phased view on the pathogenesis of CD
was proposed in which following the breakdown of intestinal epithelial barrier
function, CD patients fail to clear the resulting infectious debris, provoking
subsequent immune responses. This view on CD is attractive in that it is testable
and allows better diagnosis of disease if proven correct, apart from opening a
window on new therapeutic horizons. Here we shall argue, however, that this
scheme may be an oversimplification in that it ignores the genetic diversity of
CD and thus does not fully take into account the nature of the intestinal
epithelium, which appears a non-passive actor in this disease.
PMID- 26539453
TI - State of the art management of metastatic gastroesophageal cancer.
AB - The anatomical locations of upper gastrointestinal (GI) tumors have changed
remarkably in the western world and reflect the increasing impact of obesity and
gastroesophageal (GE) reflux rather than infectious etiologies. Incidence rates
of GE tumors are rising rapidly and survival rates for patients with metastatic
disease remain poor. Traditionally, cytotoxic chemotherapy has had some survival
advantages but increasingly complex combination regimens are limited by
toxicities. The advent of molecularly targeted therapy has provided additional
options for patients with advanced disease including trastuzumab and ramucirumab.
There has also been detailed molecular characterization of upper GI tumors which
hopefully will result in improved tailoring of clinical trial design accounting
for the heterogeneity inherent in GE tumors. While numerous targeted therapies
are currently being studied in clinical trials, there is much excitement
regarding the role of immunotherapy in GE cancers. Although further investigation
is warranted, it represents a promising avenue for patients with advanced GE
tumors.
PMID- 26539455
TI - Post-stroke care: an alternative model to reduce stroke related morbidity in sub
Saharan Africa.
AB - Stroke is a leading cause of death and disability in adults in sub-Saharan Africa
(SSA). Despite its considerable burden, there has been limited progress to
properly cater for and rehabilitate stroke survivors. Scarcity of rehabilitation
services and grossly inadequate skilled personnel for post stroke care are
distressing realities for stroke victims in SSA. There is growing evidence
suggesting that home-based rehabilitation for stroke can have functional outcomes
similar to patients who receive inpatient neuro-rehabilitation. The acute phase
of treatment during hospitalization could be an opportunity to educate families
and caregivers on how to care for stroke victims at home and provide home-based
rehabilitation and care tailored to their disability. Interventions to vulgarize
home-based post-stroke care could be more acceptable, affordable and accessible
for victims and families. This could go a long way to palliate to the scarcity of
rehabilitation services and reduce stroke related morbidity. We suggest that
further research be carried out to ascertain the feasibility of this model in SSA
settings, with greater emphasis on the cost effectiveness and sustainability arms
of such an intervention.
PMID- 26539456
TI - Refractory pulmonary artery aneurysm in Behcet's disease.
AB - Pulmonary artery aneurysm (PAA) is a life-threatening complication of Behcet's
disease (BD). Massive hemoptysis secondary to PAAs requires emergent endovascular
treatments or surgeries. No endovascular occlusion for pulmonary artery trunk has
been reported and no therapeutic algorithm exists for the rare disease. We
present an endovascular occlusion and a salvage pneumonectomy for a refractory
right main PAA in BD, and provide a proposal of a therapeutic algorithm.
PMID- 26539457
TI - Embracing innovation: highlights in 2015 New Normal Forum for Chinese Young
Surgeons in Oncology.
PMID- 26539458
TI - Professor Jianxing He was granted AATS membership.
PMID- 26539459
TI - Spaced Seed Data Structures for De Novo Assembly.
AB - De novo assembly of the genome of a species is essential in the absence of a
reference genome sequence. Many scalable assembly algorithms use the de Bruijn
graph (DBG) paradigm to reconstruct genomes, where a table of subsequences of a
certain length is derived from the reads, and their overlaps are analyzed to
assemble sequences. Despite longer subsequences unlocking longer genomic features
for assembly, associated increase in compute resources limits the practicability
of DBG over other assembly archetypes already designed for longer reads. Here, we
revisit the DBG paradigm to adapt it to the changing sequencing technology
landscape and introduce three data structure designs for spaced seeds in the form
of paired subsequences. These data structures address memory and run time
constraints imposed by longer reads. We observe that when a fixed distance
separates seed pairs, it provides increased sequence specificity with increased
gap length. Further, we note that Bloom filters would be suitable to implicitly
store spaced seeds and be tolerant to sequencing errors. Building on this
concept, we describe a data structure for tracking the frequencies of observed
spaced seeds. These data structure designs will have applications in genome,
transcriptome and metagenome assemblies, and read error correction.
PMID- 26539460
TI - PPCM: Combing Multiple Classifiers to Improve Protein-Protein Interaction
Prediction.
AB - Determining protein-protein interaction (PPI) in biological systems is of
considerable importance, and prediction of PPI has become a popular research
area. Although different classifiers have been developed for PPI prediction, no
single classifier seems to be able to predict PPI with high confidence. We
postulated that by combining individual classifiers the accuracy of PPI
prediction could be improved. We developed a method called protein-protein
interaction prediction classifiers merger (PPCM), and this method combines output
from two PPI prediction tools, GO2PPI and Phyloprof, using Random Forests
algorithm. The performance of PPCM was tested by area under the curve (AUC) using
an assembled Gold Standard database that contains both positive and negative PPI
pairs. Our AUC test showed that PPCM significantly improved the PPI prediction
accuracy over the corresponding individual classifiers. We found that additional
classifiers incorporated into PPCM could lead to further improvement in the PPI
prediction accuracy. Furthermore, cross species PPCM could achieve competitive
and even better prediction accuracy compared to the single species PPCM. This
study established a robust pipeline for PPI prediction by integrating multiple
classifiers using Random Forests algorithm. This pipeline will be useful for
predicting PPI in nonmodel species.
PMID- 26539462
TI - Sampling and Homogenization Strategies Significantly Influence the Detection of
Foodborne Pathogens in Meat.
AB - Efficient preparation of food samples, comprising sampling and homogenization,
for microbiological testing is an essential, yet largely neglected, component of
foodstuff control. Salmonella enterica spiked chicken breasts were used as a
surface contamination model whereas salami and meat paste acted as models of
inner-matrix contamination. A systematic comparison of different homogenization
approaches, namely, stomaching, sonication, and milling by FastPrep-24 or
SpeedMill, revealed that for surface contamination a broad range of sample
pretreatment steps is applicable and loss of culturability due to the
homogenization procedure is marginal. In contrast, for inner-matrix contamination
long treatments up to 8 min are required and only FastPrep-24 as a large-volume
milling device produced consistently good recovery rates. In addition, sampling
of different regions of the spiked sausages showed that pathogens are not
necessarily homogenously distributed throughout the entire matrix. Instead, in
meat paste the core region contained considerably more pathogens compared to the
rim, whereas in the salamis the distribution was more even with an increased
concentration within the intermediate region of the sausages. Our results
indicate that sampling and homogenization as integral parts of food microbiology
and monitoring deserve more attention to further improve food safety.
PMID- 26539461
TI - Significant Microsynteny with New Evolutionary Highlights Is Detected through
Comparative Genomic Sequence Analysis of Maize CCCH IX Gene Subfamily.
AB - CCCH zinc finger proteins, which are characterized by the presence of three
cysteine residues and one histidine residue, play important roles in RNA
processing in plants. Subfamily IX CCCH proteins were recently shown to function
in stress tolerances. In this study, we analyzed CCCH IX genes in Zea mays, Oryza
sativa, and Sorghum bicolor. These genes, which are almost intronless, were
divided into four groups based on phylogenetic analysis. Microsynteny analysis
revealed microsynteny in regions of some gene pairs, indicating that segmental
duplication has played an important role in the expansion of this gene family. In
addition, we calculated the dates of duplication by Ks analysis, finding that all
microsynteny blocks were formed after the monocot-eudicot divergence. We found
that deletions, multiplications, and inversions were shown to have occurred over
the course of evolution. Moreover, the Ka/Ks ratios indicated that the genes in
these three grass species are under strong purifying selection. Finally, we
investigated the evolutionary patterns of some gene pairs conferring tolerance to
abiotic stress, laying the foundation for future functional studies of these
transcription factors.
PMID- 26539463
TI - Initial In Vivo Evaluation of a Novel Left Ventricular Assist Device.
AB - The aim of the study was to use the ovine model to evaluate the hemocompatibility
and end-organ effects of a newly developed magnetic suspension centrifugal left
ventricular assist device (LVAD) by CH Biomedical Inc., Jiangsu, China. The LVADs
were implanted in 6 healthy sheep, where inflow was inserted into the left
ventricular apex and outflow was anastomosed to the descending aorta. All sheep
received anticoagulation and antiaggregation therapy during the study.
Hematologic and biochemical tests were performed to evaluate anemia, hepatorenal
function, and the extent of hemolysis. The experiments lasted for up to 30 days
on the beating hearts. All sheep were humanely killed at the termination of the
experiments, and the end-organs were examined macroscopically and
histopathologically. Autopsy was performed in all animals and there was no
thrombus formation observed inside the pump. The pump's inflow and outflow
conduits were also free of thrombus. Hematologic and biochemical test results
were within normal limits during the study period. Postmortem examination of the
explanted organs revealed no evidence of ischemia or infarction. Based on the in
vivo study, this LVAD is suitable for implantation and can provide efficient
support with good biocompatibility. The encouraging results in this study suggest
that it is feasible to evaluate the device's long-term durability and stability.
PMID- 26539464
TI - Effects of Adherence to Statin Therapy on Health Care Outcomes and Utilizations
in Taiwan: A Population-Based Study.
AB - AIM: Good medication adherence may decrease the probability of worse outcomes and
reduce unnecessary medical care costs. This study aims to evaluate medication
adherence for people on statin therapy. METHODS: National health insurance
databases were analyzed from January 1, 2001, to December 31, 2007. Study samples
were patients of 45 years and older adults who took statin for the first time
during the study period. Medication possession ratio (MPR) was measured until the
patients had hospitalization or reached the three-year follow-up period. We
identified a good (MPR >= 80%) and a poor (MPR < 80%) medication adherence group
to conduct statistical analyses. RESULTS: 40.8% of patients were of good
medication adherence and 59.2% were of poor medication adherence. Multivariate
logistic regression model indicated that the MPR >= 80% group had significantly
less probability of hospitalization (P < 0.001). Being men, increasing age,
higher Charlson Comorbidity Index (CCI) scores, seeking care mostly in the
medical center or teaching hospitals, and living in the suburban or rural areas
had higher probability of hospitalization (P < 0.05 or P < 0.001). The MPR >= 80%
group spent less hospitalization expenditures (P < 0.001). CONCLUSION: Effective
interventions may be applied to the poor medication adherence group in order to
improve their health care outcomes.
PMID- 26539465
TI - Toxoplasma gondii Infection in Pregnant Women: A Seroprevalence and Case-Control
Study in Eastern China.
AB - Very limited information is available concerning the epidemiology of T. gondii
infection in pregnant women in eastern China. Therefore, a case-control study was
conducted to estimate the seroprevalence of toxoplasmosis in this population
group and to identify risk factors and possible routes of contamination. Serum
samples were collected from 965 pregnant women and 965 age-matched nonpregnant
control subjects in Qingdao and Weihai between October 2011 and July 2013. These
were screened with enzyme linked immunoassays for the presence of anti-Toxoplasma
IgG and anti-Toxoplasma IgM antibodies. 147 (15.2%) pregnant women and 167
(17.3%) control subjects were positive for anti-T. gondii IgG antibodies, while
28 (2.9%) pregnant women and 37 (3.8%) controls were positive for anti-T. gondii
IgM antibodies (P = 0.256). There was no significant difference between pregnant
women and nonpregnant controls with regard to the seroprevalence of either anti
T. gondii IgG or IgM antibodies. Multivariate analysis showed that T. gondii
infection was associated with location, cats in home, contact with cats and dogs,
and exposure to soil. The results indicated that the seroprevalence of T. gondii
infection in pregnant women is high compared to most other regions of China and
other East Asian countries with similar climatic conditions.
PMID- 26539466
TI - Serum Caveolin-1 as a Novel Biomarker in Idiopathic Pulmonary Artery
Hypertension.
AB - Pulmonary arterial hypertension (PAH) is a rare disease but with significant
morbidity and high mortality. There is no specific way to diagnose PAH. Thus, an
easy used with good sensitivity and specificity biomarker of PAH is highly
desirable to aid in the screening, diagnosis, and follow-up. Caveolin-1 (Cav1) is
the structural protein of caveolae and is highly expressed in type I pneumocytes.
Lungs tissues from idiopathic PAH (IPAH) patients showed decreased expression of
Cav1 in vascular endothelial cells. Therefore, we developed a direct sandwich
immunoassay for the determination of Cav1 in IAPH patient's serum. The result
disclosed serum Cav1 level was significantly lower in IPAH than control groups.
Using serum Cav1, 17.17 pg/mL as a cutoff value, the sensitivity was 0.59 and the
specificity was 1.0. There were two major findings in our results. First, serum
Cav1 might be a novel biomarker in the diagnosis of IPAH with fare sensitivity
and good specificity. Second, Cav1 might be used to make differential diagnosis
between COPD-PH and IPAH group.
PMID- 26539467
TI - Molecular Epidemiology of Invasive Listeriosis due to Listeria monocytogenes in a
Spanish Hospital over a Nine-Year Study Period, 2006-2014.
AB - We investigated the pathogenicity, invasiveness, and genetic relatedness of 17
clinical Listeria monocytogenes stains isolated over a period of nine years (2006
2014). All isolates were phenotypically characterised and growth patterns were
determined. The antimicrobial susceptibility of L. monocytogenes isolates was
determined in E-tests. Invasion assays were performed with epithelial HeLa cells.
Finally, L. monocytogenes isolates were subtyped by PFGE and MLST. All isolates
had similar phenotypic characteristics (beta-haemolysis and lecithinase
activity), and three types of growth curve were observed. Bacterial recovery
rates after invasion assays ranged from 0.09% to 7.26% (1.62 +/- 0.46). MLST
identified 11 sequence types (STs), and 14 PFGE profiles were obtained,
indicating a high degree of genetic diversity. Genetic studies unequivocally
revealed the occurrence of one outbreak of listeriosis in humans that had not
previously been reported. This outbreak occurred in October 2009 and affected
three patients from neighbouring towns. In conclusion, the molecular
epidemiological analysis clearly revealed a cluster (three human cases, all ST1)
of not previously reported listeriosis cases in northwestern Spain. Our findings
indicate that molecular subtyping, in combination with epidemiological case
analysis, is essential and should be implemented in routine diagnosis, to improve
the tracing of the sources of outbreaks.
PMID- 26539469
TI - Delivery of bFGF for Tissue Engineering by Tethering to the ECM.
AB - Delivery of growth factors to target cells is an important subject in tissue
engineering. Towards that end, we have developed a growth factor-tethered
extracellular matrix (ECM). Here, basic fibroblast growth factor (bFGF) was
tethered to extracellular matrix noncovalently. The designed ECM was comprised of
12 repeats of the APGVGV peptide motif derived from elastin as a stable
structural unit and included the well-known cell adhesive RGD peptide as an
active functional unit. To bind bFGF to the ECM, an acidic amino acid-rich
sequence was introduced at the C-terminus of the ECM protein. It consisted of 5
repeats of 4 aspartic acids and a serine, DDDDS. bFGF has a highly basic amino
acid domain. Therefore, bFGF was tethered to the ECM protein by electrostatic
interaction. Cells cultured on bFGF-tethered ECM were well attached to the ECM
and induced proliferation without addition of soluble bFGF.
PMID- 26539468
TI - Assessing Computational Steps for CLIP-Seq Data Analysis.
AB - RNA-binding protein (RBP) is a key player in regulating gene expression at the
posttranscriptional level. CLIP-Seq, with the ability to provide a genome-wide
map of protein-RNA interactions, has been increasingly used to decipher RBP
mediated posttranscriptional regulation. Generating highly reliable binding sites
from CLIP-Seq requires not only stringent library preparation but also
considerable computational efforts. Here we presented a first systematic
evaluation of major computational steps for identifying RBP binding sites from
CLIP-Seq data, including preprocessing, the choice of control samples, peak
normalization, and motif discovery. We found that avoiding PCR amplification
artifacts, normalizing to input RNA or mRNAseq, and defining the background model
from control samples can reduce the bias introduced by RNA abundance and improve
the quality of detected binding sites. Our findings can serve as a general
guideline for CLIP experiments design and the comprehensive analysis of CLIP-Seq
data.
PMID- 26539471
TI - A Pyrene@Micelle Sensor for Fluorescent Oxygen Sensing.
AB - For most fluorescent oxygen sensors developed today, their fabrication process is
either time-consuming or needs specialized knowledge. In this work, a robust
fluorescent oxygen sensor is facilely constructed by dissolving pyrene molecules
into CTAB aqueous solution. The as-prepared pyrene@micelle sensors have submicron
sized diameter, and the concentration of utilized pyrene can be reduced as low as
0.8 mM but still can exhibit dominant excimer emission. The excimer fluorescence
is sensitive to dissolved oxygen in both intensity and lifetime, and the
respective Stern-Volmer plot follows a nonlinear behavior justified by a two-site
model. Because of the merits of large Stokes shift (~140 nm), easy fabrication,
and robustness, the pyrene@micelle sensors are very attractive for practical
determination of oxygen.
PMID- 26539470
TI - Medication Adherence Measures: An Overview.
AB - WHO reported that adherence among patients with chronic diseases averages only
50% in developed countries. This is recognized as a significant public health
issue, since medication nonadherence leads to poor health outcomes and increased
healthcare costs. Improving medication adherence is, therefore, crucial and
revealed on many studies, suggesting interventions can improve medication
adherence. One significant aspect of the strategies to improve medication
adherence is to understand its magnitude. However, there is a lack of general
guidance for researchers and healthcare professionals to choose the appropriate
tools that can explore the extent of medication adherence and the reasons behind
this problem in order to orchestrate subsequent interventions. This paper reviews
both subjective and objective medication adherence measures, including direct
measures, those involving secondary database analysis, electronic medication
packaging (EMP) devices, pill count, and clinician assessments and self-report.
Subjective measures generally provide explanations for patient's nonadherence
whereas objective measures contribute to a more precise record of patient's
medication-taking behavior. While choosing a suitable approach, researchers and
healthcare professionals should balance the reliability and practicality,
especially cost effectiveness, for their purpose. Meanwhile, because a perfect
measure does not exist, a multimeasure approach seems to be the best solution
currently.
PMID- 26539472
TI - The Use of Plant Antimicrobial Compounds for Food Preservation.
AB - Foodborne disease is a global issue with significant impact on human health. With
the growing consumer demand for natural preservatives to replace chemical
compounds, plant antimicrobial compounds must be thoroughly investigated for
their potential to serve as biopreservatives. This review paper will focus on the
plant-derived products as antimicrobial agents for use in food preservation and
to control foodborne pathogens in foods. Structure, modes of action, stability,
and resistance to these plant compounds will be discussed as well as their
application in food industries and possible technologies by which they can be
delivered. Benefits as well as challenges, such as the need for further research
for implementation and governmental regulation, will be highlighted.
PMID- 26539473
TI - FC-NIRS: A Functional Connectivity Analysis Tool for Near-Infrared Spectroscopy
Data.
AB - Functional near-infrared spectroscopy (fNIRS), a promising noninvasive imaging
technique, has recently become an increasingly popular tool in resting-state
brain functional connectivity (FC) studies. However, the corresponding software
packages for FC analysis are still lacking. To facilitate fNIRS-based human
functional connectome studies, we developed a MATLAB software package called
"functional connectivity analysis tool for near-infrared spectroscopy data" (FC
NIRS). This package includes the main functions of fNIRS data preprocessing,
quality control, FC calculation, and network analysis. Because this software has
a friendly graphical user interface (GUI), FC-NIRS allows researchers to perform
data analysis in an easy, flexible, and quick way. Furthermore, FC-NIRS can
accomplish batch processing during data processing and analysis, thereby greatly
reducing the time cost of addressing a large number of datasets. Extensive
experimental results using real human brain imaging confirm the viability of the
toolbox. This novel toolbox is expected to substantially facilitate fNIRS-data
based human functional connectome studies.
PMID- 26539475
TI - Improved Sugar Production by Optimizing Planetary Mill Pretreatment and Enzyme
Hydrolysis Process.
AB - This paper describes an optimization of planetary mill pretreatment and
saccharification processes for improving biosugar production. Pitch pine (Pinus
rigida) wood sawdust waste was used as biomass feedstock and the process
parameters optimized in this study were the buffering media, the milling time,
the enzyme quantity, and the incubation time. Glucose yields were improved when
acetate buffer was used rather than citrate buffer. Initially, with each process
variable tests, the optimal values were 100 minutes of milling, an enzyme
concentration of 16 FPU/g-biomass, and a 12-hour enzymatic hydrolysis. Typically,
interactions between these experimental conditions and their effects on glucose
production were next investigated using RSM. Glucose yields from the Pinus rigida
waste exceeded 80% with several of the conditions tested, demonstrating that
milling can be used to obtain high levels of glucose bioconversion from woody
biomass for biorefinery purposes.
PMID- 26539474
TI - Neuroprotective Effect of Simvastatin via Inducing the Autophagy on Spinal Cord
Injury in the Rat Model.
AB - Simvastatin, an inhibitor of 3-hydroxy-3-methylglutaryl-coenzyme A reductase, is
invariably used to treat cardiovascular diseases. Simvastatin has been recently
demonstrated to have a neuroprotective effect in nervous system diseases. The
present study aimed to further verify the neuroprotection and molecular mechanism
of simvastatin on rats after spinal cord injury (SCI). The expression of Beclin-1
and LC3-B was evidently enhanced at postoperation days 3 and 5, respectively.
However, the reduction of the mTOR protein and ribosomal protein S6 kinase p70
subtype (p70S6K) phosphorylation level occurred at the same time after SCI.
Simvastatin significantly increased the expression of brain-derived neurotrophic
factor (BDNF) and glial cell line-derived neurotrophic factor (GDNF). Meanwhile,
immunofluorescence results indicated that the expression of chondroitin sulfate
proteoglycan (CSPG) and caspase-3 protein was obviously reduced by simvastatin.
Furthermore, Nissl staining and Basso, Beattie, and Bresnahan (BBB) scores showed
that the quantity and function of motor neurons were visibly preserved by
simvastatin after SCI. The findings of this study showed that simvastatin induced
autophagy by inhibiting the mTOR signaling pathway and contributed to
neuroprotection after SCI.
PMID- 26539476
TI - Candesartan Mediated Amelioration of Cisplatin-Induced Testicular Damage Is
Associated with Alterations in Expression Patterns of Nephrin and Podocin.
AB - Nephrin and podocin are known to be closely related to the pharmacological
effects of angiotensin-II receptor blocker (ARB). The objectives of this study
were to investigate the role of nephrin and podocin using cisplatin-induced
testicular damage and to evaluate the effect of ARB. At first, we evaluated the
effects of cisplatin either alone or in combination with ARB candesartan on
changes in expression patterns of nephrin and podocin in the rat testes. We then
conducted in vitro studies to investigate the effects of angiotensin using
cultured Sertoli cells, line TM4. As a result, the expression of nephrin and
podocin was shown to localize around the basal membrane of seminiferous tubules.
Treatment with cisplatin resulted in a marked decrease in the expression of
nephrin and podocin and induced a shift of both proteins from linear to granular
expression patterns, accompanying the increased apoptotic index in the testes;
these changes were partially restored by the additional administration of
candesartan. In vitro studies with TM4 revealed the angiotensin-II mediated
expression changes of nephrin and podocin. These findings suggest that
candesartan can prevent cisplatin-induced testicular damage by regulating
expression patterns of the nephrin-podocin complex in the testes.
PMID- 26539477
TI - BMP-2 Grafted nHA/PLGA Hybrid Nanofiber Scaffold Stimulates Osteoblastic Cells
Growth.
AB - Biomaterials play a pivotal role in regenerative medicine, which aims to
regenerate and replace lost/degenerated tissues or organs. Natural bone is a
hierarchical structure, comprised of various cells having specific functions that
are regulated by sophisticated mechanisms. However, the regulation of the normal
functions in damaged or injured cells is disrupted. In order to address this
problem, we attempted to artificially generate a scaffold for mimicking the
characteristics of the extracellular matrix at the nanoscale level to trigger
osteoblastic cell growth. For this purpose, we have chemically grafted bone
morphogenetic protein (BMP-2) onto the surface of L-glutamic acid modified
hydroxyapatite incorporated into the PLGA nanofiber matrix. After extensive
characterization using various spectroscopic techniques, the BMP-g-nHA/PLGA
hybrid nanofiber scaffolds were subjected to various in vitro cytocompatibility
tests. The results indicated that BMP-2 on BMP-g-nHA/PLGA hybrid nanofiber
scaffolds greatly stimulated osteoblastic cells growth, contrary to the nHA/PLGA
and pristine PLGA nanofiber scaffold, which are used as control. These results
suggest that BMP-g-nHA/PLGA hybrid nanofiber scaffold can be used as a nanodrug
carrier for the controlled and targeted delivery of BMP-2, which will open new
possibilities for enhancing bone tissue regeneration and will help in the
treatment of various bone-related diseases in the future.
PMID- 26539478
TI - Pharmacological Preconditioning by Adenosine A2a Receptor Stimulation: Features
of the Protected Liver Cell Phenotype.
AB - Ischemic preconditioning (IP) of the liver by a brief interruption of the blood
flow protects the damage induced by a subsequent ischemia/reperfusion (I/R)
preventing parenchymal and nonparenchymal liver cell damage. The discovery of IP
has shown the existence of intrinsic systems of cytoprotection whose activation
can stave off the progression of irreversible tissue damage. Deciphering the
molecular mediators that underlie the cytoprotective effects of preconditioning
can pave the way to important therapeutic possibilities. Pharmacological
activation of critical mediators of IP would be expected to emulate or even to
intensify its salubrious effects. In vitro and in vivo studies have demonstrated
the role of the adenosine A2a receptor (A2aR) as a trigger of liver IP. This
review will provide insight into the phenotypic changes that underline the
resistance to death of liver cells preconditioned by pharmacological activation
of A2aR and their implications to develop innovative strategies against liver IR
damage.
PMID- 26539480
TI - A Decade of Progress Using Virtual Reality for Poststroke Lower Extremity
Rehabilitation: Systematic Review of the Intervention Methods.
AB - OBJECTIVE: To develop a systematic review of the literature, to describe the
different virtual reality (VR) interventions and interactive videogames applied
to the lower extremity (LE) of stroke patients, and to analyse the results
according to the most frequently used outcome measures. MATERIAL AND METHODS: An
electronic search of randomized trials between January 2004 and January 2014 in
different databases (Medline, Cinahl, Web of Science, PEDro, and Cochrane) was
carried out. Several terms (virtual reality, feedback, stroke, hemiplegia, brain
injury, cerebrovascular accident, lower limb, leg, and gait) were combined, and
finally 11 articles were included according to the established inclusion and
exclusion criteria. RESULTS: The reviewed trials showed a high heterogeneity in
terms of study design and assessment tools, which makes it difficult to compare
and analyze the different types of interventions. However, most of them found a
significant improvement on gait speed, balance and motor function, due to VR
intervention. CONCLUSIONS: Although evidence is limited, it suggests that VR
intervention (more than 10 sessions) in stroke patients may have a positive
impact on balance, and gait recovery. Better results were obtained when a
multimodal approach, combining VR and conventional physiotherapy, was used.
Flexible software seems to adapt better to patients' requirements, allowing more
specific and individual treatments.
PMID- 26539481
TI - Acute Effect on Arterial Stiffness after Performing Resistance Exercise by Using
the Valsalva Manoeuvre during Exertion.
AB - BACKGROUND: Performing resistance exercise could lead to an increase in arterial
stiffness. OBJECTIVE: We investigate the acute effect on arterial stiffness by
performing Valsalva manoeuvre during resistance exercise. MATERIALS AND METHODS:
Eighteen healthy young men were assigned to perform bicep curls by using two
breathing techniques (exhalation and Valsalva manoeuvre during muscle
contraction) on two separate study days. Carotid pulsed wave velocity (cPWV) was
measured as an indicator to reflect the body central arterial stiffness using a
high-resolution ultrasound system, and its value was monitored repeatedly at
three predefined time intervals: before resistance exercise, immediately after
exercise, and 15 minutes after exercise. RESULTS: At the 0th minute after
resistance exercise was performed using the Valsalva manoeuvre during exertion, a
significant increase in cPWV (4.91 m/s +/- 0.52) compared with the baseline value
(4.67 m/s +/- 0.32, P = 0.008) was observed, and then it nearly returned to its
baseline value at the 15th minute after exercise (4.66 m/s +/- 0.44, P = 0.010).
These findings persisted after adjusting for age, body mass index, and systolic
blood pressure. CONCLUSION: Our result suggests short duration of resistance
exercise may provoke a transient increase in central arterial stiffness in
healthy young men.
PMID- 26539479
TI - Understanding Idiopathic Interstitial Pneumonia: A Gene-Based Review of Stressed
Lungs.
AB - Pulmonary fibrosis is the main cause of severe morbidity and mortality in
idiopathic interstitial pneumonias (IIP). In the past years, there has been major
progress in the discovery of genetic factors that contribute to disease. Genes
with highly penetrant mutations or strongly predisposing common risk alleles have
been identified in familial and sporadic IIP. This review summarizes genes
harbouring causative rare mutations and replicated common predisposing alleles.
To date, rare mutations in nine different genes and five risk alleles fulfil this
criterion. Mutated genes represent three genes involved in surfactant homeostasis
and six genes involved in telomere maintenance. We summarize gene function, gene
expressing cells, and pathological consequences of genetic alterations associated
with disease. Consequences of the genetic alteration include dysfunctional
surfactant processing, ER stress, immune dysregulation, and maintenance of
telomere length. Biological evidence shows that these processes point towards a
central role for alveolar epithelial type II cell dysfunction. However,
tabulation also shows that function and consequence of most common risk alleles
are not known. Most importantly, the predisposition of the MUC5B risk allele to
disease is not understood. We propose a mechanism whereby MUC5B decreases surface
tension lowering capacity of alveolar surfactant at areas with maximal mechanical
stress.
PMID- 26539482
TI - Curcumin Sensitizes Hepatocellular Carcinoma Cells to Radiation via Suppression
of Radiation-Induced NF-kappaB Activity.
AB - The effects and possible underlying mechanism of curcumin combined with radiation
in human hepatocellular carcinoma (HCC) cells in vitro were evaluated. The
effects of curcumin, radiation, and combination of both on cell viability,
apoptosis, NF-kappaB activation, and expressions of NF-kappaB downstream effector
proteins were investigated with 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide (MTT), NF-kappaB reporter gene, mitochondrial
membrane potential (MMP), electrophoretic mobility shift (EMSA), and Western blot
assays in Huh7-NF-kappaB-luc2, Hep3B, and HepG2 cells. Effect of I kappa B alpha
mutant (IkappaBalphaM) vector, a specific inhibitor of NF-kappaB activation, on
radiation-induced loss of MMP was also evaluated. Results show that curcumin not
only significantly enhances radiation-induced cytotoxicity and depletion of MMP
but inhibits radiation-induced NF-kappaB activity and expressions of NF-kappaB
downstream proteins in HCC cells. IkappaBalphaM vector also shows similar
effects. In conclusion, we suggest that curcumin augments anticancer effects of
radiation via the suppression of NF-kappaB activation.
PMID- 26539483
TI - Comparison of Primary Models to Predict Microbial Growth by the Plate Count and
Absorbance Methods.
AB - The selection of a primary model to describe microbial growth in predictive food
microbiology often appears to be subjective. The objective of this research was
to check the performance of different mathematical models in predicting growth
parameters, both by absorbance and plate count methods. For this purpose, growth
curves of three different microorganisms (Bacillus cereus, Listeria
monocytogenes, and Escherichia coli) grown under the same conditions, but with
different initial concentrations each, were analysed. When measuring the
microbial growth of each microorganism by optical density, almost all models
provided quite high goodness of fit (r(2) > 0.93) for all growth curves. The
growth rate remained approximately constant for all growth curves of each
microorganism, when considering one growth model, but differences were found
among models. Three-phase linear model provided the lowest variation for growth
rate values for all three microorganisms. Baranyi model gave a variation
marginally higher, despite a much better overall fitting. When measuring the
microbial growth by plate count, similar results were obtained. These results
provide insight into predictive microbiology and will help food microbiologists
and researchers to choose the proper primary growth predictive model.
PMID- 26539484
TI - Characterization of Shiga Toxigenic Escherichia coli O157 and Non-O157 Isolates
from Ruminant Feces in Malaysia.
AB - Shiga toxigenic Escherichia coli (STEC) O157 and several other serogroups of non
O157 STEC are causative agents of severe disease in humans world-wide. The
present study was conducted to characterize STEC O157 and non-O157 serogroups
O26, O103, O111, O121, O45, and O145 in ruminants in Malaysia. A total of 136
ruminant feces samples were collected from 6 different farms in Peninsular
Malaysia. Immunomagnetic beads were used to isolate E. coli O157 and non-O157
serogroups, while PCR was used for the detection and subtyping of STEC isolates.
STEC O157:H7 was isolated from 6 (4%) feces samples and all isolates obtained
carried stx 2c, eaeA-gamma1, and ehxA. Non-O157 STEC was isolated from 2 (1.5%)
feces samples with one isolate carrying stx 1a, stx 2a, stx 2c, and ehxA and the
other carrying stx 1a alone. The presence of STEC O157 and non-O157 in a small
percentage of ruminants in this study together with their virulence
characteristics suggests that they may have limited impact on public health.
PMID- 26539485
TI - Shear Bond Strength of MDP-Containing Self-Adhesive Resin Cement and Y-TZP
Ceramics: Effect of Phosphate Monomer-Containing Primers.
AB - PURPOSE: This study was conducted to evaluate the effects of different phosphate
monomer-containing primers on the shear bond strength between yttria-tetragonal
zirconia polycrystal (Y-TZP) ceramics and MDP-containing self-adhesive resin
cement. MATERIALS AND METHODS: Y-TZP ceramic surfaces were ground flat with #600
grit SiC paper and divided into six groups (n = 10). They were treated as
follows: untreated (control), Metal/Zirconia Primer, Z-PRIME Plus, air abrasion,
Metal/Zirconia Primer with air abrasion, and Z-PRIME Plus with air abrasion. MDP
containing self-adhesive resin cement was applied to the surface-treated Y-TZP
specimens. After thermocycling, a shear bond strength test was performed. The
surfaces of the Y-TZP specimens were analyzed under a scanning electron
microscope. The bond strength values were statistically analyzed using one-way
analysis of variance and the Student-Newman-Keuls multiple comparison test (P <
0.05). RESULTS: The Z-PRIME Plus treatment combined with air abrasion produced
the highest bond strength, followed by Z-PRIME Plus application, Metal/Zirconia
Primer combined with air abrasion, air abrasion alone, and, lastly,
Metal/Zirconia Primer application. The control group yielded the lowest results
(P < 0.05). CONCLUSION: The application of MDP-containing primer resulted in
increased bond strength between Y-TZP ceramics and MDP-containing self-adhesive
resin cements.
PMID- 26539486
TI - Drift of Scroll Wave Filaments in an Anisotropic Model of the Left Ventricle of
the Human Heart.
AB - Scroll waves are three-dimensional vortices which occur in excitable media. Their
formation in the heart results in the onset of cardiac arrhythmias, and the
dynamics of their filaments determine the arrhythmia type. Most studies of
filament dynamics were performed in domains with simple geometries and generic
description of the anisotropy of cardiac tissue. Recently, we developed an
analytical model of fibre structure and anatomy of the left ventricle (LV) of the
human heart. Here, we perform a systematic study of the dynamics of scroll wave
filaments for the cases of positive and negative tension in this anatomical
model. We study the various possible shapes of LV and different degree of
anisotropy of cardiac tissue. We show that, for positive filament tension, the
final position of scroll wave filament is mainly determined by the thickness of
the myocardial wall but, however, anisotropy attracts the filament to the LV
apex. For negative filament tension, the filament buckles, and for most cases,
tends to the apex of the heart with no or slight dependency on the thickness of
the LV. We discuss the mechanisms of the observed phenomena and their
implications for cardiac arrhythmias.
PMID- 26539487
TI - Antioxidant, Hepatoprotective Potential and Chemical Profiling of Propolis
Ethanolic Extract from Kashmir Himalaya Region Using UHPLC-DAD-QToF-MS.
AB - The aim of this study was to examine hepatoprotective effect of ethanolic extract
of propolis (KPEt) from Kashmir Himalaya against isoniazid and rifampicin (INH
RIF) induced liver damage in rats. Hepatic cellular injury was initiated by
administration of INH-RIF combination (100 mg/kg) intraperitoneal (i.p.)
injection for 14 days. We report the protective effects of KPEt against INH-RIF
induced liver oxidative stress, inflammation, and enzymatic and nonenzymatic
antioxidants. Oral administration of KPEt at both doses (200 and 400 mg/kg body
weight) distinctly restricted all modulating oxidative liver injury markers and
resulted in the attenuation of INH-RIF arbitrated damage. The free radical
scavenging activity of KPEt was evaluated by DPPH, nitric oxide, and superoxide
radical scavenging assay. The components present in KPEt identified by ultra high
performance liquid chromatography diode array detector time of flight-mass
spectroscopy (UHPLC-DAD-QToF-MS) were found to be flavonoids and phenolic acids.
The protective efficacy of KPEt is possibly because of free radical scavenging
and antioxidant property resulting from the presence of flavonoids and phenolic
acids.
PMID- 26539489
TI - Antidepressant-Like Effect of the Leaves of Pseudospondias microcarpa in Mice:
Evidence for the Involvement of the Serotoninergic System, NMDA Receptor Complex,
and Nitric Oxide Pathway.
AB - Depression continues to be a major global health problem. Although
antidepressants are used for its treatment, efficacy is often inconsistent. Thus,
the search for alternative therapeutic medicines for its treatment is still
important. In this study, the antidepressant-like effect of Pseudospondias
microcarpa extract (30-300 mg kg(-1), p.o.) was investigated in two predictive
models of depression--forced swimming test and tail suspension test in mice.
Additionally, the mechanism(s) of action involved were assessed. Acute treatment
with the extract dose dependently reduced immobility of mice in both models. The
antidepressant-like effect of the extract (100 mg kg(-1), p.o.) was blocked by p
chlorophenylalanine and cyproheptadine but not prazosin, propranolol, or
yohimbine. Concomitant administration of D-cycloserine and the extract
potentiated the anti-immobility effect. In contrast, D-serine, a full agonist of
glycine/NMDA receptors, abolished the effects. Anti-immobility effects of PME
were prevented by pretreatment of mice with L-arginine (750 mg kg(-1), i.p.) and
sildenafil (5 mg kg(-1), i.p.). On the contrary, pretreatment of mice with L-NAME
(30 mg kg(-1), i.p.) or methylene blue (10 mg kg(-1), i.p.) potentiated its
effects. The extract produces an antidepressant-like effect in the FST and TST
that is dependent on the serotoninergic system, NMDA receptor complex, and the
nitric oxide pathway.
PMID- 26539488
TI - The Increased Expression of Connexin and VEGF in Mouse Ovarian Tissue
Vitrification by Follicle Stimulating Hormone.
AB - Ovarian follicular damages were caused by cryoinjury during the process of
ovarian vitrification and ischemia/reperfusion during the process of ovarian
transplantation. And appropriate FSH plays an important role in antiapoptosis
during ovarian follicle development. Therefore, in this study, 0.3 IU/mL FSH was
administered into medium during mouse ovarian cryopreservation by vitrification
to ascertain the function of FSH on ovarian vitrification and avascular
transplantation. The results suggested that the expressions of Cx37, Cx43,
apoptotic molecular caspase-3, and angiogenesis molecular VEGF were confirmed
using immunohistochemistry, western blotting, and real-time PCR, and the results
suggested that the treatment with FSH remarkably increased the number of
morphologically normal follicles in vitrified/warmed ovaries by upregulating the
expression of Cx37, Cx43, VEGF, and VEGF receptor 2, but downregulating the
expression of caspase-3. In addition, the vitrified/warmed ovaries were
transplanted, and the related fertility was analyzed, and the results suggested
that the fertility, neoangiogenesis, and follicle reserve were remarkably
increased in the FSH administrated group. Taken together, administration of 0.3
IU/mL FSH during ovarian cryopreservation by vitrification can maintain ovarian
survival during ovarian vitrification and increases the blood supply with
avascular transplantation via upregulation of Cx43, Cx37, and VEGF/VEGFR2, as
well as through its antiapoptotic effects.
PMID- 26539490
TI - Low-Molecular-Weight Polyethyleneimine Grafted Polythiophene for Efficient siRNA
Delivery.
AB - Owing to its hydrophilicity, negative charge, small size, and labile degradation
by endogenous nucleases, small interfering RNA (siRNA) delivery must be achieved
by a carrier system. In this study, cationic copolymers composed of low-molecular
weight polyethylenimine and polythiophenes were synthesized and evaluated as
novel self-tracking siRNA delivery vectors. The concept underlying the design of
these copolymers is that hydrophobicity and rigidity of polythiophenes should
enhance the transport of siRNA across the cell membrane and endosomal membrane. A
gel retardation assay showed that the nanosized complexes formed between the
copolymers and siRNA were stable even at a molar ratio of 1 : 2. The high
cellular uptake (>80%) and localization of the copolymer vectors inside the cells
were easily analyzed by tracking the fluorescence of polythiophene using
fluorescent microscopy and cytometry. An in vitro luciferase knockdown (KD) assay
in A549-luc cells demonstrated that the siRNA complexes with more hydrophobic
copolymers achieved a higher KD efficiency of 52.8% without notable cytotoxicity,
indicating protein-specific KD activity rather than solely the cytotoxicity of
the materials. Our polythiophene copolymers should serve as novel, efficient, low
cell toxicity, and label-free siRNA delivery systems.
PMID- 26539491
TI - Inhibition of P-Selectin and PSGL-1 Using Humanized Monoclonal Antibodies
Increases the Sensitivity of Multiple Myeloma Cells to Bortezomib.
AB - Multiple myeloma (MM) is a plasma cell malignancy localized in the bone marrow.
Despite the introduction of novel therapies majority of MM patients relapse. We
have previously shown that inhibition of P-selectin and P-selectin glycoprotein
ligand-1 (PSGL-1) play a key role in proliferation of MM and using small-molecule
inhibitors of P-selectin/PSGL-1 sensitized MM cells to therapy. However, these
small-molecule inhibitors had low specificity to P-selectin and showed poor
pharmacokinetics. Therefore, we tested blocking of P-selectin and PSGL-1 using
functional monoclonal antibodies in order to sensitize MM cells to therapy. We
have demonstrated that inhibiting the interaction between MM cells and
endothelial and stromal cells decreased proliferation in MM cells and in parallel
induced loose-adhesion to the primary tumor site to facilitate egress. At the
same time, blocking this interaction in vivo led to MM cells retention in the
circulation and delayed homing to the bone marrow, thus exposing MM cells to
bortezomib which contributed to reduced tumor growth and better mice survival.
This study provides a better understanding of the biology of P-selectin and PSGL
1 and their roles in dissemination and resensitization of MM to treatment.
PMID- 26539492
TI - Identification of pLG72-Induced Oxidative Stress Using Systemic Approaches.
AB - G72 is a schizophrenia-susceptible gene encoding a polypeptide with 153 amino
acids. In 2002, it was originally proposed as an activator of D-amino acid
oxidase (DAOA) that could enhance the activity of DAAO and subsequently reduce
the neurotransmission of N-methyl-D-aspartate receptors. However, several
controversial findings have been reported recently. Due to a number of
inconsistent descriptions of pLG72's biofunctions, this study aims to identify
the cellular effects induced by pLG72 in U87 cells using systems biology
approaches. The analyses of transcriptomics and biological networks showed that
pLG72 might be involved in the induction of oxidative stress. To confirm the in
silico prediction, we tested and discovered that overexpression of pLG72
effectively enhanced reactive oxygen species (ROS) in U87 cells and, furthermore,
this induction can be quenched by Tempol, a general ROS scavenger. Therefore, G72
transgenic mice presenting some psychiatric symptoms, along with the pLG72 level
being significantly increased in the serum of patients with schizophrenia, have
led us to propose that the ROS enhancement in mental diseases may be from the
overexpression of pLG72 in brain cells.
PMID- 26539493
TI - UV-Heat Treatments for the Control of Foodborne Microbial Pathogens in Chicken
Broth.
AB - This investigation established the process criteria for using UV-C light and mild
heat (UV-H treatment) to inactivate 5-Log10 cycles (performance criterion) of
common foodborne pathogen populations, Escherichia coli, Salmonella Typhimurium,
Listeria monocytogenes, and Staphylococcus aureus, when inoculated in chicken
broth. To define the target microorganism and the proper UV-H treatment
conditions (including UV dose, treatment time, and temperature) that would
achieve the stated performance criterion, mathematical equations based on
Geeraerd's model were developed for each microorganism. For the sake of
comparison, inactivation equations for heat treatments were also performed on the
same chicken broth and for the same microorganisms. L. monocytogenes was the most
UV-H resistant microorganism at all temperatures, requiring a UV dose between
6.10 J/mL (5.6 min) and 2.26 J/mL (2.09 min) to achieve 5-Log10 reductions. In
comparison with UV treatments at room temperatures, the combination of UV and
mild heat allowed both the UV dose and treatment time to be reduced by 30% and
63% at 55 degrees C and 60 degrees C, respectively. Compared to heat
treatments, the UV-H process reduced the heating time for 5-Log10 reductions of
all the investigated microorganisms in chicken broth from 20-fold to 2-fold when
the operating temperature varied from 53 to 60 degrees C.
PMID- 26539494
TI - Expression of HE4 in Endometrial Cancer and Its Clinical Significance.
AB - The main aims of this study were to determine the expression of human epididymis
protein 4 (HE4) in endometrial cancer and to explore the relationships between
HE4 expression, clinicopathological parameters, and prognosis.
Immunohistochemistry was used to detect HE4 expression in 102 cases of
endometrial cancer, 30 cases of endometrial atypical hyperplasia, and 20 cases of
normal endometrium. The positive expression rate of HE4 in endometrial carcinoma
was 84.62%, significantly higher than 66.67% in atypical hyperplasia (P < 0.05)
and 15.00% in normal endometrium (P < 0.0.01). With the exception of stage II,
HE4 expression in endometrial cancer showed an increasing tendency with increased
clinical stage (P < 0.05). The positive expression rate of HE4 increased with a
decrease in the degree of differentiation. A statistically significant difference
was observed between the highly differentiated group and the poorly
differentiated group (P < 0.05). Mortality in endometrial cancer patients with
high HE4 expression was significantly higher than that in patients with low HE4
expression (P < 0.05). Endometrial cancer patients with high HE4 expression have
a poor prognosis.
PMID- 26539495
TI - Cancer Characteristics and Current Treatments of Patients with Renal Cell
Carcinoma in Sweden.
AB - METHODOLOGY: Since the start in 2005 virtually all patients with newly diagnosed
renal cell carcinoma (RCC) in Sweden are reported to the National Swedish Kidney
Cancer Register (NSKCR). The register contains information on histopathology,
nuclear grade, clinical stage, preoperative work-up, treatment, recurrence, and
survival. RESULTS: A total of 8556 patients with newly diagnosed RCC were
registered in the NSKCR from 2005 to 2013 resulting in a coverage of 99% as
compared to the Swedish Cancer Registry. The mean tumor size at detection
decreased from 70 mm in 2005 to 64 mm in 2010. The proportion of patients who
were incidentally detected increased. The proportion of patients with tumor stage
T1a who underwent partial nephrectomy increased from 22% in 2005 to 56% in 2012.
Similarly, the proportion of laparoscopically performed radical nephrectomies
increased from 6% in 2005 to 17% in 2010. During the five years of follow-up 20%
of the patients had a recurrence. CONCLUSION: Over the last decade there has been
a trend of earlier detection and less advanced tumors at detection in patients
with RCC. An increasing proportion of the patients undergo laparoscopic and
nephron-sparing procedures.
PMID- 26539496
TI - A Comparison of Variant Calling Pipelines Using Genome in a Bottle as a
Reference.
AB - High-throughput sequencing, especially of exomes, is a popular diagnostic tool,
but it is difficult to determine which tools are the best at analyzing this data.
In this study, we use the NIST Genome in a Bottle results as a novel resource for
validation of our exome analysis pipeline. We use six different aligners and five
different variant callers to determine which pipeline, of the 30 total, performs
the best on a human exome that was used to help generate the list of variants
detected by the Genome in a Bottle Consortium. Of these 30 pipelines, we found
that Novoalign in conjunction with GATK UnifiedGenotyper exhibited the highest
sensitivity while maintaining a low number of false positives for SNVs. However,
it is apparent that indels are still difficult for any pipeline to handle with
none of the tools achieving an average sensitivity higher than 33% or a Positive
Predictive Value (PPV) higher than 53%. Lastly, as expected, it was found that
aligners can play as vital a role in variant detection as variant callers
themselves.
PMID- 26539497
TI - Activation of Endocannabinoid System Is Associated with Persistent Inflammation
in Human Aortic Aneurysm.
AB - Human aortic aneurysms have been associated with inflammation and vascular
remodeling. Since the endocannabinoid system modulates inflammation and tissue
remodeling, we investigated its components in human aortic aneurysms. We obtained
anterior aortic wall samples from patients undergoing elective surgery for aortic
aneurysm or coronary artery disease as controls. Histological and molecular
analysis (RT-qPCR) was performed, and endocannabinoid concentration was
determined using LC-MRM. Patient characteristics were comparable between the
groups except for a higher incidence of arterial hypertension and diabetes in the
control group. mRNA level of cannabinoid receptors was significantly higher in
aneurysms than in controls. Concentration of the endocannabinoid 2
arachidonoylglycerol was significantly higher, while the second endocannabinoid
anandamide and its metabolite arachidonic acid and palmitoylethanolamide were
significantly lower in aneurysms. Histology revealed persistent infiltration of
newly recruited leukocytes and significantly higher mononuclear cell density in
adventitia of the aneurysms. Proinflammatory environment in aneurysms was shown
by significant upregulation of M-CSF and PPARgamma but associated with
downregulation of chemokines. We found comparable collagen-stained area between
the groups, significantly decreased mRNA level of CTGF, osteopontin-1, and MMP-2,
and increased TIMP-4 expression in aneurysms. Our data provides evidence for
endocannabinoid system activation in human aortic aneurysms, associated with
persistent low-level inflammation and vascular remodeling.
PMID- 26539498
TI - The Comparative Utility of Viromer RED and Lipofectamine for Transient Gene
Introduction into Glial Cells.
AB - The introduction of genes into glial cells for mechanistic studies of cell
function and as a therapeutic for gene delivery is an expanding field. Though
viral vector based systems do exhibit good delivery efficiency and long-term
production of the transgene, the need for transient gene expression, broad and
rapid gene setup methodologies, and safety concerns regarding in vivo application
still incentivize research into the use of nonviral gene delivery methods. In the
current study, aviral gene delivery vectors based upon cationic lipid
(Lipofectamine 3000) lipoplex or polyethylenimine (Viromer RED) polyplex
technologies were examined in cell lines and primary glial cells for their
transfection efficiencies, gene expression levels, and toxicity. The transfection
efficiencies of polyplex and lipoplex agents were found to be comparable in a
limited, yet similar, transfection setting, with or without serum across a number
of cell types. However, differential effects on cell-specific transgene
expression and reduced viability with cargo loaded polyplex were observed.
Overall, our data suggests that polyplex technology could perform comparably to
the market dominant lipoplex technology in transfecting various cells lines
including glial cells but also stress a need for further refinement of polyplex
reagents to minimize their effects on cell viability.
PMID- 26539499
TI - Management of Food-Related Diarrhea Outbreak in the Emergency Department: Lessons
Learned from the German STEC O104:H4 Epidemic.
AB - Emergency department (ED) management of the German STEC O104:H4 outbreak in 2011
was not limited to patients being truly infected with STEC. In parallel to spread
of alarming news in public media, patients suffering from diarrhea due to other
reasons fearfully presented, equally. We retrospectively characterized these two
cohorts for anamnestic, clinical, and laboratory findings at their first ED
contact. From 15th of May to July 2011, 302 adult patients with diarrheal
complaint presented at the EDs of two tertiary hospitals in Lubeck, northern
Germany. Fecal testing for STEC was obtained in 245 (81%) patients: 105 were STEC
positive and 140 were STEC-negative. Anamnestic characteristics (defecation rate,
visible bloody diarrhea, and lower abdominal pain), abdominal tenderness, and
some laboratory findings were significantly different between both cohorts but
not reliable to exclude STEC. In >90% of STEC-positive patients diarrheal
symptoms had started in May, reflecting the retrospective nationwide peak of
infections, whereas the majority of STEC-negative patients became symptomatic in
June 2011. During the German STEC O104:H4 outbreak a definite distinction at
initial ED contact between STEC-positive versus STEC-negative patients by
clinical judgment alone was not reliable. Fecal testing in the ED, however, might
survey the outbreak of foodborne infections with the utmost precision.
PMID- 26539500
TI - Normative Data for an Instrumental Assessment of the Upper-Limb Functionality.
AB - Upper-limb movement analysis is important to monitor objectively rehabilitation
interventions, contributing to improving the overall treatments outcomes. Simple,
fast, easy-to-use, and applicable methods are required to allow routinely
functional evaluation of patients with different pathologies and clinical
conditions. This paper describes the Reaching and Hand-to-Mouth Evaluation
Method, a fast procedure to assess the upper-limb motor control and functional
ability, providing a set of normative data from 42 healthy subjects of different
ages, evaluated for both the dominant and the nondominant limb motor performance.
Sixteen of them were reevaluated after two weeks to perform test-retest
reliability analysis. Data were clustered into three subgroups of different ages
to test the method sensitivity to motor control differences. Experimental data
show notable test-retest reliability in all tasks. Data from older and younger
subjects show significant differences in the measures related to the ability for
coordination thus showing the high sensitivity of the method to motor control
differences. The presented method, provided with control data from healthy
subjects, appears to be a suitable and reliable tool for the upper-limb
functional assessment in the clinical environment.
PMID- 26539501
TI - Arctigenin, a Potent Ingredient of Arctium lappa L., Induces Endothelial Nitric
Oxide Synthase and Attenuates Subarachnoid Hemorrhage-Induced Vasospasm through
PI3K/Akt Pathway in a Rat Model.
AB - Upregulation of protein kinase B (PKB, also known as Akt) is observed within the
cerebral arteries of subarachnoid hemorrhage (SAH) animals. This study is of
interest to examine Arctigenin, a potent antioxidant, on endothelial nitric oxide
synthase (eNOS) and Akt pathways in a SAH in vitro study. Basilar arteries (BAs)
were obtained to examine phosphatidylinositol-3-kinase (PI3K), phospho-PI3K, Akt,
phospho-Akt (Western blot) and morphological examination. Endothelins (ETs) and
eNOS evaluation (Western blot and immunostaining) were also determined.
Arctigenin treatment significantly alleviates disrupted endothelial cells and
tortured internal elastic layer observed in the SAH groups (p < 0.01). The
reduced eNOS protein and phospho-Akt expression in the SAH groups were relieved
by the treatment of Arctigenin (p < 0.01). This result confirmed that Arctigenin
might exert dural effects in preventing SAH-induced vasospasm through
upregulating eNOS expression via the PI3K/Akt signaling pathway and attenuate
endothelins after SAH. Arctigenin shows therapeutic promise in the treatment of
cerebral vasospasm following SAH.
PMID- 26539502
TI - Classification of Cancer Primary Sites Using Machine Learning and Somatic
Mutations.
AB - An accurate classification of human cancer, including its primary site, is
important for better understanding of cancer and effective therapeutic strategies
development. The available big data of somatic mutations provides us a great
opportunity to investigate cancer classification using machine learning. Here, we
explored the patterns of 1,760,846 somatic mutations identified from 230,255
cancer patients along with gene function information using support vector
machine. Specifically, we performed a multiclass classification experiment over
the 17 tumor sites using the gene symbol, somatic mutation, chromosome, and gene
functional pathway as predictors for 6,751 subjects. The performance of the
baseline using only gene features is 0.57 in accuracy. It was improved to 0.62
when adding the information of mutation and chromosome. Among the predictable
primary tumor sites, the prediction of five primary sites (large intestine,
liver, skin, pancreas, and lung) could achieve the performance with more than
0.70 in F-measure. The model of the large intestine ranked the first with 0.87 in
F-measure. The results demonstrate that the somatic mutation information is
useful for prediction of primary tumor sites with machine learning modeling. To
our knowledge, this study is the first investigation of the primary sites
classification using machine learning and somatic mutation data.
PMID- 26539503
TI - Second Surgery in Insular Low-Grade Gliomas.
AB - BACKGROUND: Given the technical difficulties, a limited number of works have been
published on insular gliomas surgery and risk factors for tumor recurrence (TR)
are poorly documented. OBJECTIVE: The aim of the study was to determine TR in
adult patients with initial diagnosis of insular Low-Grade Gliomas (LGGs) that
subsequently underwent second surgery. METHODS: A consecutive series of 53
patients with insular LGGs was retrospectively reviewed; 23 patients had two
operations for TR. RESULTS: At the time of second surgery, almost half of the
patients had experienced progression into high-grade gliomas (HGGs). Univariate
analysis showed that TR is influenced by the following: extent of resection (EOR)
(P < 0.002), DeltaVT2T1 value (P < 0.001), histological diagnosis of
oligodendroglioma (P = 0.017), and mutation of IDH1 (P = 0.022). The multivariate
analysis showed that EOR at first surgery was the independent predictor for TR (P
< 0.001). CONCLUSIONS: In patients with insular LGG the EOR at first surgery
represents the major predictive factor for TR. At time of TR, more than 50% of
cases had progressed in HGG, raising the question of the oncological management
after the first surgery.
PMID- 26539504
TI - Proteomic Changes of Tissue-Tolerable Plasma Treated Airway Epithelial Cells and
Their Relation to Wound Healing.
AB - BACKGROUND: The worldwide increasing number of patients suffering from nonhealing
wounds requires the development of new safe strategies for wound repair. Recent
studies suggest the possibility of nonthermal (cold) plasma application for the
acceleration of wound closure. METHODS: An in vitro wound healing model with
upper airway S9 epithelial cells was established to determine the macroscopically
optimal dosage of tissue-tolerable plasma (TTP) for wound regeneration, while a
2D-difference gel electrophoresis (2D-DIGE) approach was used to quantify the
proteomic changes in a hypothesis-free manner and to evaluate the balance of
beneficial and adverse effects due to TTP application. RESULTS: Plasma doses from
30 s up to 360 s were tested in relation to wound closure after 24 h, 48 h, 72 h,
96 h, and 120 h, in which lower doses (30, 60, and 120 s) resulted in dose
dependent improved wound healing rate compared to untreated cells. Thereby, the
120 s dose caused significantly the best wound healing properties after 96 and
120 h. The proteome analysis combined with IPA revealed that a lot of affected
stress adaptation responses are linked to oxidative stress response emphasizing
oxidative stress as a possible key event in the regeneration process of
epithelial cells as well as in the adaptation to plasma exposure. Further
cellular and molecular functions like proliferation and apoptosis were
significantly up- or downregulated by all TTP treatments but mostly by the 120 s
dose. CONCLUSIONS: For the first time, we were able to show plasma effects on
cellular adaptation of upper airway epithelial S9 cells improving wound healing.
This is of particular interest for plasma application, for example, in the
surgery field of otorhinolaryngology or internal medicine.
PMID- 26539505
TI - Detection of Differentially Expressed MicroRNAs in Rheumatic Heart Disease: miR
1183 and miR-1299 as Potential Diagnostic Biomarkers.
AB - This study compared microRNA (miRNA) expression profiles between rheumatic heart
disease (RHD) patients and healthy controls to investigate their differential
expression and help elucidate their mechanisms of action. Microarray analysis was
used to measure miRNA expression, and a total of 133 miRNAs were shown to be
significantly upregulated in RHD patients compared with controls, including miR
1183 and miR-1299. A total of 137 miRNAs, including miR-4423-3p and miR-218-1-3p,
were significantly downregulated in RHD patients. Quantitative real-time-PCR
confirmed microarray findings for miR-1183 and miR-1299 in both tissue and
plasma. Bioinformatic predictions were also made of differentially expressed
miRNAs as biomarkers in RHD by databases and GO/pathway analysis. Furthermore, we
investigated miR-1183 and miR-1299 expression in RHD patients with secondary
pulmonary hypertension (PAH). Our findings identified an important role for miR
1299 as a direct regulator of RHD, while the observed difference in expression of
miR-1183 between RHD-PAH patients with high or low pulmonary artery pressure
suggests that miR-1183 overexpression may reflect pulmonary artery remodeling.
miR-1183 and miR-1299 appear to play distinct roles in RHD pathogenesis
accompanied by secondary PAH and could be used as potential biological markers
for disease development.
PMID- 26539506
TI - Effects of Dietary Supplementation of Oregano Essential Oil to Sows on Oxidative
Stress Status, Lactation Feed Intake of Sows, and Piglet Performance.
AB - Fifty-four multiparous large white sows were used to determine the effects of
supplementing oregano essential oil (OEO) to the gestation and lactation diets on
oxidative stress status, lactation feed intake, and their piglet performance. Two
groups were fed diets with (OEO; n = 28) or without (Control; n = 26)
supplemental 15 mg/kg OEO during gestation and lactation. The serum levels of
reactive oxygen species (ROS) (P < 0.05), 8-hydroxy-deoxyguanosine (8-OHdG) (P <
0.05), and thiobarbituric acid reactive substances (TBARS) (P < 0.05) were higher
during gestation (days 90 and 109) and lactation (days 1 and 3) than in early
gestation (day 10). Compared with the control group, the OEO diet significantly
reduced sows' serum concentrations of 8-OHdG (P < 0.05) and TBARS (P < 0.01) on
day 1 of lactation. The OEO diet increased the sows' counts of faecal
lactobacillus (P < 0.001) while reducing Escherichia coli (P < 0.001) and
Enterococcus (P < 0.001). In the third week of lactation the treatment tended to
increase sow's feed intake (P = 0.07), which resulted in higher average daily
gain (P < 0.01) of piglets. Our results demonstrated that there is an increased
systemic oxidative stress during late gestation and early lactation of sows. The
OEO supplementation to sows' diet improved performance of their piglets, which
may be attributed to the reduced oxidative stress.
PMID- 26539507
TI - Twenty Years of Listeria in Brazil: Occurrence of Listeria Species and Listeria
monocytogenes Serovars in Food Samples in Brazil between 1990 and 2012.
AB - Listeria spp. isolated from different food products and collected from 12
Brazilian states were sent to the Laboratory of Bacterial Zoonoses (Oswaldo Cruz
Institute, Brazil) for identification. The aims of this study were to
characterize these isolates, from 1990 to 2012, by using biochemical,
morphological, and serotyping tests, and to analyze the distribution of L.
monocytogenes serotypes on different food products and geographical locations.
Serotyping was performed using polyclonal somatic and flagellar antisera. Of 5953
isolates, 5770 were identified as Listeria spp., from which 3429 (59.4%) were L.
innocua, 2248 (38.9%) were L. monocytogenes, and 93 (1.6%) were other Listeria
spp. L. innocua was predominantly isolated from 1990 to 2000, while L.
monocytogenes was from 2001 to 2012. Regarding the serotype distribution in the
foods, serotypes 1/2a and 4b were most common in processed meat and ready-to-eat
products, respectively; serotypes 1/2a, 1/2b, and 4b were the most common in
nonprocessed meat. The results above confirm the presence of the main serotypes
of L. monocytogenes in different parts of the food chain from three regions of
the country and emphasize the importance of improving the control measures, as
tolerance zero policy and microbiological surveillance in Brazil.
PMID- 26539509
TI - Laterality of Stance during Optic Flow Stimulation in Male and Female Young
Adults.
AB - During self-motion, the spatial and temporal properties of the optic flow input
directly influence the body sway. Men and women have anatomical and biomechanical
differences that influence the postural control during visual stimulation. Given
that recent findings suggest a peculiar role of each leg in the postural control
of the two genders, we investigated whether the body sway during optic flow
perturbances is lateralized and whether anteroposterior and mediolateral
components of specific center of pressure (COP) parameters of the right and left
legs differ, reexamining a previous experiment (Raffi et al. (2014)) performed
with two, side-by-side, force plates. Experiments were performed on 24 right
handed and right-footed young subjects. We analyzed five measures related to the
COP of each foot and global data: anteroposterior and mediolateral range of
oscillation, anteroposterior and mediolateral COP velocity, and sway area.
Results showed that men consistently had larger COP parameters than women. The
values of the COP parameters were correlated between the two feet only in the
mediolateral axis of women. These findings suggest that optic flow stimulation
causes asymmetry in postural balance and different lateralization of postural
controls in men and women.
PMID- 26539508
TI - Middle Cerebral Artery Atherosclerotic Plaques in Recent Small Subcortical
Infarction: A Three-Dimensional High-resolution MR Study.
AB - PURPOSE: Conventional two-dimensional vessel wall imaging has been used to depict
the middle cerebral artery (MCA) wall in patients with recent small subcortical
infarctions (RSSIs). However, its clinical use has been limited by restricted
spatial coverage, low signal-to-noise ratio (SNR), and long scan time. We used a
novel three-dimensional high-resolution MR imaging (3D HR-MRI) technique to
investigate the presence, locations, and contrast-enhanced patterns of MCA
plaques and their relationship with RSSI. METHODS: Nineteen consecutive patients
with RSSI but no luminal stenosis on MR angiography were prospectively enrolled.
3D HR-MRI was performed using a T1w-SPACE sequence at 3.0 T. The presence,
locations, and contrast-enhanced patterns of the MCA plaques on the ipsilateral
and contralateral sides to the RSSI were analyzed. RESULTS: Eighteen patients
successfully completed the study. MCA atherosclerotic plaques occurred more
frequently on the ipsilateral than the contralateral side to the RSSI (72.2%
versus 33.3%, P = 0.044). The occurrence of superiorly located plaques was
significantly higher on the ipsilateral than the contralateral side of the MCA
(66.7% versus 27.8%; P = 0.044). CONCLUSIONS: Superiorly located plaques are
closely associated with RSSI. 3D high-resolution vessel wall imaging may be a
potential tool for etiologic assessment of ischemic stroke.
PMID- 26539510
TI - Impact of Moderate Heat, Carvacrol, and Thymol Treatments on the Viability,
Injury, and Stress Response of Listeria monocytogenes.
AB - The microbial safety and stability of minimally processed foods are based on the
application of combined preservative factors. Since microorganisms are able to
develop adaptive networks to survive under conditions of stress, food safety may
be affected, and therefore understanding of stress adaptive mechanisms plays a
key role in designing safe food processing conditions. In the present study, the
viability and the sublethal injury of Listeria monocytogenes exposed to moderate
heat (55 degrees C) and/or essential oil compounds (carvacrol and thymol, 0.3
mM) treatments were studied. Synergistic effects were obtained when combining
mild heat (55 degrees C) with one or both essential oil compounds, leading to
inactivation kinetics values three to four times lower than when using heat
alone. All the treatments applied caused some injury in the population. The
injury levels ranged from around 20% of the surviving population under the
mildest conditions to more than 99.99% under the most stringent conditions.
Protein extracts of cells exposed to these treatments were analysed by two
dimensional gel electrophoresis. The results obtained revealed that stressed
cells exhibited differential protein expression to control cells. The proteins
upregulated under these stressing conditions were implicated, among other
functions, in stress response, metabolism, and protein refolding.
PMID- 26539511
TI - Relative Contribution of Arms and Legs in 30 s Fully Tethered Front Crawl
Swimming.
AB - The relative contribution of arm stroke and leg kicking to maximal fully tethered
front crawl swimming performance remains to be solved. Twenty-three national
level young swimmers (12 male and 11 female) randomly performed 3 bouts of 30 s
fully tethered swimming (using the whole body, only the arm stroke, and only the
leg kicking). A load-cell system permitted the continuous measurement of the
exerted forces, and swimming velocity was calculated from the time taken to
complete a 50 m front crawl swim. As expected, with no restrictions swimmers were
able to exert higher forces than that using only their arm stroke or leg kicking.
Estimated relative contributions of arm stroke and leg kicking were 70.3% versus
29.7% for males and 66.6% versus 33.4% for females, with 15.6% and 13.1% force
deficits, respectively. To obtain higher velocities, male swimmers are highly
dependent on the maximum forces they can exert with the arm stroke (r = 0.77, P <
0.01), whereas female swimmers swimming velocity is more related to whole-body
mean forces (r = 0.81, P < 0.01). The obtained results point that leg kicking
plays an important role over short duration high intensity bouts and that the
used methodology may be useful to identify strength and/or coordination flaws.
PMID- 26539512
TI - Kinetics of Highly Sensitive Troponin T after Cardiac Surgery.
AB - Perioperative myocardial infarction (PMI) confers a considerable risk in cardiac
surgery settings; finding the ideal biomarker seems to be an ideal goal. Our aim
was to assess the diagnostic accuracy of highly sensitive troponin T (hsTnT) in
cardiac surgery settings and to define a diagnostic level for PMI diagnosis. This
was a single-center prospective observational study analyzing data from all
patients who underwent cardiac surgeries. The primary outcome was the diagnosis
of PMI through a specific level. The secondary outcome measures were the lengths
of mechanical ventilation (LOV), stay in the intensive care unit (LOSICU), and
hospitalization. Based on the third universal definition of PMI, patients were
divided into two groups: no PMI (Group I) and PMI (Group II). Data from 413
patients were analyzed. Nine patients fulfilled the diagnostic criteria of PMI,
while 41 patients were identified with a 5-fold increase in their CK-MB (>= 120
U/L). Using ROC analysis, a hsTnT level of 3,466 ng/L or above showed 90%
sensitivity and 90% specificity for the diagnosis of PMI. Secondary outcome
measures in patients with PMI were significantly prolonged. In conclusion, the
hsTnT levels detected here paralleled those of CK-MB and a cut-off level of 3466
ng/L could be diagnostic of PMI.
PMID- 26539513
TI - Inflammatory Biomarkers in Refractory Congestive Heart Failure Patients Treated
with Peritoneal Dialysis.
AB - Proinflammatory cytokines play a pathogenic role in congestive heart failure. In
this study, the effect of peritoneal dialysis treatment on inflammatory cytokines
levels in refractory congestive heart failure patients was investigated. During
the treatment, the patients reached a well-tolerated edema-free state and
demonstrated significant improvement in NYHA functional class. Brain natriuretic
peptide decreased significantly after 3 months of treatment and remained stable
at 6 months. C-reactive protein, a plasma marker of inflammation, decreased
significantly following the treatment. Circulating inflammatory cytokines TNF
alpha and IL-6 decreased significantly after 3 months of peritoneal dialysis
treatment and remained low at 6 months. The reduction in circulating inflammatory
cytokines levels may be partly responsible for the efficacy of peritoneal
dialysis for refractory congestive heart failure.
PMID- 26539514
TI - Issues around the Prescription of Half Tablets in Northern Switzerland: The
Irrational Case of Quetiapine.
AB - BACKGROUND: Prescription of fragmented tablets is useful for individualisation of
dose but includes several drawbacks. Although without score lines, the
antipsychotic drug quetiapine was in 2011 the most often prescribed 1/2 tablet in
discharge prescriptions at the University Hospital in Basel (USB, 671 beds). We
aimed at analysing the prescription patterns of split tablets in general and of
quetiapine in particular in Switzerland. METHODS: All orders of community
pharmacies for unit-of-use soft pouch blisters placed at Medifilm AG, the leader
company in Switzerland for repackaging into pouch blisters, were analysed.
RESULTS: Out of 4,784,999 tablets that were repacked in 2012 in unit-of-use pouch
blisters, 8.5% were fragmented, mostly in half (87.6%), and were predominantly
psycholeptics (pipamperone 15.8%). Prescription of half quetiapine appears to be
a Basel specificity (highest rates of fragments and half quetiapine).
CONCLUSIONS: Prescription of fragmented tablet is frequent. It represents a
safety issue for the patient, and a pharmaceutical care issue for the pharmacist.
In ambulatory care, the patient's cognitive and physical capacities must be
clarified, suitability of the splitting of the tablet must be checked,
appropriate aids must be offered, like a pill-splitting device in order to
improve accuracy, and safe use of the drug must be ensured.
PMID- 26539515
TI - An IMRT/VMAT Technique for Nonsmall Cell Lung Cancer.
AB - The study is to investigate a Hybrid IMRT/VMAT technique which combines intensity
modulated radiation therapy (IMRT) and volumetric modulated arc therapy (VMAT)
for the treatment of nonsmall cell lung cancer (NSCLC). Two partial arcs VMAT, 5
field IMRT, and hybrid plans were created for 15 patients with NSCLC. The hybrid
plans were combination of 2 partial arcs VMAT and 5-field IMRT. The dose
distribution of planning target volume (PTV) and organs at risk (OARs) for hybrid
technique was compared with IMRT and VMAT. The monitor units (MUs) and treatment
delivery time were also evaluated. Hybrid technique significantly improved the
target conformity and homogeneity compared with IMRT and VMAT. The mean delivery
time of IMRT, VMAT, and hybrid plans was 280 s, 114 s, and 327 s, respectively.
The mean MUs needed for IMRT, VMAT, and hybrid plans were 933, 512, and 737,
respectively. Hybrid technique reduced V5, V10, V30, and MLD of normal lung
compared with VMAT and spared the OARs better with fewer MUs with the cost of a
little higher V5, V10, and mean lung dose (MLD) of normal lung compared with
IMRT. Hybrid IMRT/VMAT can be a viable radiotherapy technique with better plan
quality.
PMID- 26539516
TI - Evolution of Coronary Flow in an Experimental Slow Flow Model in Swines:
Angiographic and Pathological Insights.
AB - OBJECTIVE: Pathomechanism of coronary slow flow phenomenon remains largely
unclear now. Present study observed the pathological and angiographic evolution
in a pig model of coronary slow flow. METHODS: Coronary slow flow was induced by
repeat coronary injection of small doses of 40 um microspheres in 18 male
domestic pigs and angiographic and pathological changes were determined at 3
hours, 7 days, and 28 days after microspheres injection. RESULTS: Compared to
control group treated with coronary saline injection (n = 6) and baseline level,
coronary flow was significantly reduced at 3 hours and 7 days but completely
recovered at 28 days after coronary microsphere injection in slow flow group.
Despite normal coronary flow at 28 days after microsphere injection, enhanced
myocardial cytokine expression, left ventricular dysfunction, adverse
remodelling, and ischemia/microembolism related pathological changes still
persisted or even progressed from 3 hours to 28 days after coronary microsphere
injection. CONCLUSIONS: Our results show that this large animal slow flow model
could partly reflect the chronic angiographic, hemodynamic, and pathological
changes of coronary slow flow and could be used to test new therapy strategies
against the slow flow phenomenon.
PMID- 26539517
TI - Amelioration of Isoproterenol-Induced Oxidative Damage in Rat Myocardium by
Withania somnifera Leaf Extract.
AB - We investigated the protective role of Withania somnifera leaf extract (WSLEt) on
isoproterenol- (ISO-) induced myocardial infarction (MI) in rats. Subcutaneous
injection of ISO (85 mg/kg body weight (b.w.)) administered to rats for two
consecutive days caused a significant increase in cardiac troponin I (cTnI)
levels and serum lipid profiles, as well as the activities of some marker
enzymes. In addition to these diagnostic markers, there were increased levels of
lipid peroxidation (LPO) and decreased activities of enzymatic antioxidants
(superoxide dismutase (SOD), glutathione peroxidase (GPx), glutathione reductase
(GRx), and glutathione-S-transferase (GST)) in the myocardium. However, oral
pretreatment (100 mg/kg b.w.) with WSLEt for 4 weeks elicited a significant
cardioprotective activity by lowering the levels of cTnI, lipid profiles, and
marker enzymes. The levels of LPO products were also significantly decreased.
Elevated activities of antioxidant enzymes were also observed in rats pretreated
with WSLEt. As further confirmed histopathologically, our findings strongly
suggest that the cardioprotective effect of WSLEt on myocardium experiencing ISO
induced oxidative damage may be due to an augmentation of the endogenous
antioxidant system and an inhibition of LPO in the myocardial membrane. We
conclude that WSLEt confers some protection against oxidative damage in ISO
induced MI in rats.
PMID- 26539518
TI - Effect of Laryngeal Squamous Cell Carcinoma Tissue Implantation on the Chick
Embryo Chorioallantoic Membrane: Morphometric Measurements and Vascularity.
AB - BACKGROUND: The aim of this study was to develop chick embryo chorioallantoic
membrane (CAM) model of laryngeal squamous cell carcinoma (LSCC) and to evaluate
the morphological and morphometric characteristics and angiogenic features of it.
METHODS: Fresh LSCC tissue samples obtained from 6 patients were implanted onto
15 chick embryo CAMs. Morphological, morphometric, and angiogenic changes in the
CAM and chorionic epithelium were evaluated up to 4 days after the tumor
implantation. Immunohistochemical analysis (34betaE12, CD31, and Ki67 staining)
was performed to detect cytokeratins and tumor endothelial cells and to evaluate
the proliferative capacity of the tumor before and after implantation on the CAM.
RESULTS: The implanted LSCC tissue samples survived on the CAM in all the
experiments and retained the essential morphologic characteristics and
proliferative capacity of the original tumor. Implants induced thickening of both
the CAM (103-417%, p = 0.0001) and the chorionic epithelium (70-140%, p = 0.0001)
and increase in number of blood vessels (75-148%, p = 0.0001) in the CAM.
CONCLUSIONS: This study clarifies that chick embryo CAM is a relevant assay for
implanting LSCC tissue and provides the first morphological and morphometric
characterization of the LSCC CAM model that opens new perspectives to study this
disease.
PMID- 26539519
TI - Comparative Study on the Cytoprotective Effects of Activated Protein C Treatment
in Nonsteatotic and Steatotic Livers under Ischemia-Reperfusion Injury.
AB - Activated protein C (APC) has cytoprotective effects on liver ischemia
reperfusion injury (IRI). However, it is unclear whether APC is beneficial in
steatotic liver IRI. We compared the cytoprotective effects of APC in
nonsteatotic and steatotic liver IRI. METHODS: Mice fed either normal diets (ND
mice) or high fat diets (HF mice), were treated with APC or saline (control) and
were performed 60 min partial IRI. Moreover, primary steatotic hepatocytes were
either untreated or treated with APC and then incubated with H2O2. RESULTS: APC
significantly reduced serum transaminase levels and the inflammatory cells
infiltration compared with control at 4 h in ND mice and at 24 h in HF mice. APC
inhibited sinusoidal endothelial injury in ND mice, but not in HF mice. In
contrast, APC activated adenosine monophosphate-activated protein kinase (AMPK)
phosphorylation in HF mice, but not in ND mice. In the in vitro study, APC
significantly increased AMPK phosphorylation, ATP concentration, and survival
rates of hepatocytes compared with control. CONCLUSION: During IRI in normal
liver, APC attenuated initial damage by inhibiting inflammatory cell infiltration
and sinusoidal endothelial injury, but not in steatotic liver. However, in
steatotic liver, APC might attenuate late damage via activation of AMPK.
PMID- 26539520
TI - Direct Tensile Strength and Characteristics of Dentin Restored with All-Ceramic,
Resin-Composite, and Cast Metal Prostheses Cemented with Resin Adhesives.
AB - A dentin-cement-prosthesis complex restored with either all-porcelain, cured
resin-composite, or cast base metal alloy and cemented with either of the
different resin cements was trimmed into a mini-dumbbell shape for tensile
testing. The fractured surfaces and characterization of the dentin-cement
interface of bonded specimens were investigated using a Scanning Electron
Microscope. A significantly higher tensile strength of all-porcelain (12.5 +/-
2.2 MPa) than that of cast metal (9.2 +/- 3.5 MPa) restorations was revealed with
cohesive failure in the cement and failure at the prosthesis-cement interface in
Super-Bond C&B group. No significant difference in tensile strength was found
among the types of restorations using the other three cements with adhesive
failure on the dentin side and cohesive failure in the cured resin. SEM
micrographs demonstrated the consistent hybridized dentin in Super-Bond C&B
specimens that could resist degradation when immersed in hydrochloric acid
followed by NaOCl solutions whereas a detached and degraded interfacial layer was
found for the other cements. The results suggest that when complete hybridization
of resin into dentin occurs tensile strength at the dentin-cement is higher than
at the cement-prosthesis interfaces. The impermeable hybridized dentin can
protect the underlying dentin and pulp from acid demineralization, even if
detachment of the prosthesis has occurred.
PMID- 26539521
TI - Myeloperoxidase-Related Chlorination Activity Is Positively Associated with
Circulating Ceruloplasmin in Chronic Heart Failure Patients: Relationship with
Neurohormonal, Inflammatory, and Nutritional Parameters.
AB - RATIONALE: Heart failure (HF) is accompanied by the development of an imbalance
between oxygen- and nitric oxide-derived free radical production leading to
protein nitration. Both chlorinating and peroxidase cycle of Myeloperoxidase
(MPO) contribute to oxidative and nitrosative stress and are involved in tyrosine
nitration of protein. Ceruloplasmin (Cp) has antioxidant function through its
ferroxidase I (FeOxI) activity and has recently been proposed as a physiological
defense mechanism against MPO inappropriate actions. OBJECTIVE: We investigated
the relationship between plasma MPO-related chlorinating activity, Cp and FeOxI,
and nitrosative stress, inflammatory, neurohormonal, and nutritional biomarkers
in HF patients. METHODS AND RESULTS: In chronic HF patients (n = 81, 76 +/- 9
years, NYHA Class II (26); Class III (29); Class IV (26)) and age-matched
controls (n = 17, 75 +/- 11 years, CTR), plasma MPO chlorinating activity, Cp,
FeOxI, nitrated protein, free Malondialdehyde, BNP, norepinephrine, hsCRP,
albumin, and prealbumin were measured. Plasma MPO chlorinating activity, Cp, BNP,
norepinephrine, and hsCRP were increased in HF versus CTR. FeOxI, albumin, and
prealbumin were decreased in HF. MPO-related chlorinating activity was positively
related to Cp (r = 0.363, P < 0.001), nitrated protein, hsCRP, and BNP and
inversely to albumin. CONCLUSIONS: Plasma MPO chlorinated activity is increased
in elderly chronic HF patients and positively associated with Cp, inflammatory,
neurohormonal, and nitrosative parameters suggesting a role in HF progression.
PMID- 26539522
TI - Volumetric Modulated Arc Therapy of the Pelvic Lymph Nodes to the Aortic
Bifurcation in Higher Risk Prostate Cancer: Early Toxicity Outcomes.
AB - BACKGROUND: Treatment of pelvic lymph nodes (PLNs) in higher risk prostate
carcinoma is controversial. The primary focus of the study was to evaluate the
early toxicity profile for this cohort of patients treated with Volumetric
Modulated Arc Therapy (VMAT). METHODS: Patient, tumour, and treatment
characteristics of those who received VMAT from May 2010 to December 2012 were
analysed. A simplified contouring process of the PLNs to the aortic bifurcation
was developed based on consensus guidelines. Acute and late genitourinary (GU)
and gastrointestinal (GI) toxicities were documented according to the Radiation
Therapy Oncology Group (RTOG) Version 2 Guidelines. Successive Prostate Specific
Antigen (PSA) values after treatment were measured on average 3 months apart.
RESULTS: 113 patients were treated between May 2010 to December 2012 with a
median follow-up of 14 months. No patients experienced acute grade 3 or 4 GU and
GI toxicity. Only 1 patient experienced a late grade 3 GU complication. No late
grade 4 GU or GI events have yet occurred. CONCLUSIONS: This study reviews the
first Australian experience of VMAT in the treatment of pelvic lymph nodes in
prostate cancer, specifically to the level of the aortic bifurcation. It
demonstrates a favorable acute toxicity profile whilst treating large PLN volumes
with optimal dose coverage.
PMID- 26539523
TI - Using Electronic Health Records to Support Clinical Trials: A Report on
Stakeholder Engagement for EHR4CR.
AB - BACKGROUND: The conduct of clinical trials is increasingly challenging due to
greater complexity and governance requirements as well as difficulties with
recruitment and retention. Electronic Health Records for Clinical Research
(EHR4CR) aims at improving the conduct of trials by using existing routinely
collected data, but little is known about stakeholder views on data availability,
information governance, and acceptable working practices. METHODS: Senior figures
in healthcare organisations across Europe were provided with a description of the
project and structured interviews were subsequently conducted to elicit their
views. RESULTS: 37 structured interviewees in Germany, UK, Switzerland, and
France indicated strong support for the proposed EHR4CR platform. All
interviewees reported that using the platform for assessing feasibility would
enhance the conduct of clinical trials and the majority also felt it would reduce
workloads. Interviewees felt the platform could enhance trial recruitment and
adverse event reporting but also felt it could raise either ethical or
information governance concerns in their country. CONCLUSIONS: There was clear
support for EHR4CR and a belief that it could reduce workloads and improve the
conduct and quality of trials. However data security, privacy, and information
governance issues would need to be carefully managed in the development of the
platform.
PMID- 26539524
TI - Prognostic Significance of NT-proBNP Levels in Patients over 65 Presenting Acute
Myocardial Infarction Treated Invasively or Conservatively.
AB - OBJECTIVES: Assessment of prognostic significance of NT-proBNP level and the
effects of invasive (I) and conservative (C) treatment of acute myocardial
infarction (AMI) in patients over 65. MATERIALS AND METHODS: One-year survival
was assessed in 286 consecutive patients with AMI aged 65-100 (79 +/- 8)
subjected to I or C treatment (136 and 150 individuals), respectively. RESULTS:
245 (85%) patients survived in-hospital stay: 124 (91.1%) received I treatment
and 121 (80.6%) received C treatment. Heart failure (HF) was diagnosed in 30
patients receiving I treatment (22.6%) and in 71 subjected to C treatment
(47.3%), p < 0,0001. NT-proBNP levels in the latter group were significantly
higher than in the 185 patients without HF (12311 +/- 13560 pg/mL versus 4773 +/-
8807 pg/mL, p < 0.0001). NT-proBNP levels after coronary angioplasty were lower
than in patients receiving C treatment (5922 +/- 10250 pg/mL versus 8718 +/-
12024 pg/mL, p = 0.0002). Left ventricular ejection fraction was significantly
higher in I patients than in C patients (47 +/- 13% versus 42 +/- 11.6%, p =
0.004). During the one-year follow-up, 82.3% of I patients and 61.2% of the C
patients survived (p < 0.0003). There was a significantly lower probability of
death at NT-proBNP below 8548.5 pg/mL. CONCLUSIONS: The NT-proBNP level in the
first day of AMI is a good prognosticator. One-year follow-up prognosis for
patients who received I treatment in the AMI is better than that for C patients.
I patients exhibit superior left ventricular function after angioplasty and in
the follow-up.
PMID- 26539525
TI - User Satisfaction Evaluation of the EHR4CR Query Builder: A Multisite Patient
Count Cohort System.
AB - The Electronic Health Records for Clinical Research (EHR4CR) project aims to
develop services and technology for the leverage reuse of Electronic Health
Records with the purpose of improving the efficiency of clinical research
processes. A pilot program was implemented to generate evidence of the value of
using the EHR4CR platform. The user acceptance of the platform is a key success
factor in driving the adoption of the EHR4CR platform; thus, it was decided to
evaluate the user satisfaction. In this paper, we present the results of a user
satisfaction evaluation for the EHR4CR multisite patient count cohort system.
This study examined the ability of testers (n = 22 and n = 16 from 5 countries)
to perform three main tasks (around 20 minutes per task), after a 30-minute
period of self-training. The System Usability Scale score obtained was 55.83 (SD:
15.37), indicating a moderate user satisfaction. The responses to an additional
satisfaction questionnaire were positive about the design of the interface and
the required procedure to design a query. Nevertheless, the most complex of the
three tasks proposed in this test was rated as difficult, indicating a need to
improve the system regarding complicated queries.
PMID- 26539526
TI - A Pilot Comparative Study of 26 Biochemical Markers in Seminal Plasma and Serum
in Infertile Men.
AB - INTRODUCTION: The relationships of the biochemical components in seminal plasma
and serum, and their origins and physiological effects in male reproductive
system have been poorly understood. METHODS: Based on the calibration and quality
control measures, 26 biochemical markers, in seminal plasma and serum samples
from 36 male infertility patients with nonazoospermia were detected and compared.
RESULTS: Only PA was undetectable in all seminal plasma samples. There were
significant differences of all other 24 biochemical markers in seminal plasma and
serum (P < 0.05) except for UA (P = 0.214). There were rich proteins in seminal
plasma, and globulin accounted for about 90%. There were also abundant enzymes in
seminal plasma, and the activities of ALT, AST, AKP, GGT, LDH, CK, and alphaHBDH
in seminal plasma were significantly higher than those in serum while ADA was
inversely lower. There were relatively low levels of Glu, TG, TC, and hsCRP in
seminal plasma, but Glu was undetectable in 8 of 36 cases. CONCLUSIONS: The
differences of the levels of biochemical markers in seminal plasma and serum
might be associated with the selective secretion of testis, epididymis and male
accessory glands, and the specific environment needed for sperm metabolism and
function maintenance.
PMID- 26539527
TI - Survival of Unstressed and Acid-, Cold-, and Starvation-Stress-Adapted Listeria
monocytogenes in Ham Extract with Hops Beta Acids and Consumer Acceptability of
HBA on Ready-to-Eat Ham.
AB - The efficacy of hops beta acids (HBA) against unstressed and stress-adapted
Listeria monocytogenes in ham extract and the consumers' acceptability of HBA on
ready-to-eat (RTE) hams were investigated. Unstressed or acid-, cold-, or
starvation-stress-adapted L. monocytogenes was inoculated (1.3-1.5 log CFU/mL)
into 10% ham extract, without (control) or with HBA (4.44 or 10.0 ug/mL).
Survival/growth of the pathogen during storage (7.2 degrees C, 26 days) was
monitored periodically. Sensory evaluation (30 participants, 9-point hedonic
scale) was performed with hams dipped into 0.05, 0.11, and 0.23% HBA solution.
Ham extracts without HBA supported rapid growth of unstressed and stress-adapted
cells with growth rates of 0.39-0.71 log CFU/mL/day and lag phases of 0-3.26
days. HBA inhibited growth of unstressed L. monocytogenes by slowing (P < 0.05)
growth rate (0.24-0.29 log CFU/mL/day) and increasing (P < 0.05) length of the
lag phase (3.49-12.98 days) compared to control. Acid-, cold-, or starvation
stress-adapted cells showed cross protection against HBA with greater (P < 0.05)
growth rates (0.44-0.66 log CFU/mL/day) and similar or shorter lag phases (0-5.44
days) than unstressed cells. HBA did not (P > 0.05) affect sensory attributes of
RTE ham. These results are useful for RTE meat processors to develop operational
protocols using HBA to control L. monocytogenes.
PMID- 26539528
TI - Cortical Structural Connectivity Alterations in Primary Insomnia: Insights from
MRI-Based Morphometric Correlation Analysis.
AB - The etiology and maintenance of insomnia are proposed to be associated with
increased cognitive and physiological arousal caused by acute stressors and
associated cognitive rumination. A core feature of such hyperarousal theory of
insomnia involves increased sensory processing that interferes with the onset and
maintenance of sleep. In this work, we collected structural magnetic resonance
imaging data from 35 patients with primary insomnia and 35 normal sleepers and
applied structural covariance analysis to investigate whether insomnia is
associated with disruptions in structural brain networks centered at the sensory
regions (primary visual, primary auditory, and olfactory cortex). As expected,
insomnia patients showed increased structural covariance in cortical thickness
between sensory and motor regions. We also observed trends of increased
covariance between sensory regions and the default-mode network, and the salience
network regions, and trends of decreased covariance between sensory regions and
the frontoparietal working memory network regions, in insomnia patients. The
observed changes in structural covariance tended to correlated with poor sleep
quality. Our findings support previous functional neuroimaging studies and
provide novel insights into variations in brain network configuration that may be
involved in the pathophysiology of insomnia.
PMID- 26539529
TI - Clinical Significance of Cannabinoid Receptors CB1 and CB2 Expression in Human
Malignant and Benign Thyroid Lesions.
AB - The endocannabinoid system is comprised of cannabinoid receptors (CB1 and CB2),
their endogenous ligands (endocannabinoids), and proteins responsible for their
metabolism participate in many different functions indispensable to homeostatic
regulation in several tissues, exerting also antitumorigenic effects. The present
study aimed to evaluate the clinical significance of CB1 and CB2 expression in
human benign and malignant thyroid lesions. CB1 and CB2 proteins' expression was
assessed immunohistochemically on paraffin-embedded thyroid tissues obtained from
87 patients with benign (n = 43) and malignant (n = 44) lesions and was
statistically analyzed with clinicopathological parameters, follicular cells'
proliferative capacity, and risk of recurrence rate estimated according to the
American Thyroid Association (ATA) staging system. Enhanced CB1 and CB2
expression was significantly more frequently observed in malignant compared to
benign thyroid lesions (p = 0.0010 and p = 0.0005, resp.). Enhanced CB1 and CB2
expression was also significantly more frequently observed in papillary
carcinomas compared to hyperplastic nodules (p = 0.0097 and p = 0.0110, resp.).
In malignant thyroid lesions, elevated CB2 expression was significantly
associated with the presence of lymph node metastases (p = 0.0301). Enhanced CB2
expression was also more frequently observed in malignant thyroid cases with
presence of capsular (p = 0.1165), lymphatic (p = 0.1989), and vascular invasion
(p = 0.0555), as well as in those with increased risk of recurrence rate (p =
0.1165), at a nonsignificant level though, whereas CB1 expression was not
associated with any of the clinicopathological parameters examined. Our data
suggest that CB receptors may be involved in malignant thyroid transformation and
especially CB2 receptor could serve as useful biomarker and potential therapeutic
target in thyroid neoplasia.
PMID- 26539530
TI - How to Choose In Vitro Systems to Predict In Vivo Drug Clearance: A System
Pharmacology Perspective.
AB - The use of in vitro metabolism data to predict human clearance has become more
significant in the current prediction of large scale drug clearance for all the
drugs. The relevant information (in vitro metabolism data and in vivo human
clearance values) of thirty-five drugs that satisfied the entry criteria of probe
drugs was collated from the literature. Then the performance of different in
vitro systems including Escherichia coli system, yeast system, lymphoblastoid
system and baculovirus system is compared after in vitro-in vivo extrapolation.
Baculovirus system, which can provide most of the data, has almost equal accuracy
as the other systems in predicting clearance. And in most cases, baculovirus
system has the smaller CV in scaling factors. Therefore, the baculovirus system
can be recognized as the suitable system for the large scale drug clearance
prediction.
PMID- 26539531
TI - Development of the 1.2 T~1.5 T Permanent Magnetic Resonance Imaging Device and
Its Application for Mouse Imaging.
AB - By improving the main magnet, gradient, and RF coils design technology,
manufacturing methods, and inventing new magnetic resonance imaging (MRI) special
alloy, a cost-effective and small animal specific permanent magnet-type three
dimensional magnetic resonance imager was developed. The main magnetic field
strength of magnetic resonance imager with independent intellectual property
rights is 1.2~1.5 T. To demonstrate its effectiveness and validate the mouse
imaging experiments in different directions, we compared the images obtained by
small animal specific permanent magnet-type three-dimensional magnetic resonance
imager with that obtained by using superconductor magnetic resonance imager for
clinical diagnosis.
PMID- 26539532
TI - Attenuation of Upper Body Accelerations during Gait: Piloting an Innovative
Assessment Tool for Parkinson's Disease.
AB - The objective of the current investigation was to explore whether upper body
accelerations obtained during gait provide sensitive measures of postural control
in people with Parkinson's disease (PD). Thirteen people with PD (70 +/- 11
years) and nineteen age-matched controls (70 +/- 7 years) walked continuously for
two minutes while wearing three inertial sensors located on their lower back
(L5), shoulder level (C7), and head. Magnitude (root mean square (RMS)),
attenuation (attenuation coefficient), and smoothness (Harmonic ratios, HR) of
the accelerations were calculated. People with PD demonstrated greater RMS,
particularly in the mediolateral direction, but similar harmonic ratio of head
accelerations compared to controls. In addition, they did not attenuate
accelerations through the trunk and neck as well as control participants. Our
findings indicate that measuring upper body movement provides unique information
regarding postural control in PD and that poor attenuation of acceleration from
the pelvis to the head contributes to impaired head control. This information is
simple to measure and appears to be sensitive to PD and, consequently, is
proposed to benefit researchers and clinicians.
PMID- 26539533
TI - Medication Lists and Brown Bag Reviews: Potential Positive and Negative Impacts
on Patients Beliefs about Their Medicine.
AB - INTRODUCTION: Medication lists and structured medication counselling (SMC)
including "brown bag reviews" (BBR) are important instruments for medication
safety. The aim of this study was to explore whether patients' use of a
medication list is associated with their beliefs about their medicine and their
memory of SMC. METHODS: Baseline data of 344 patients enrolled into the
"Polypharmacy in Multimorbid Patients study" were analysed. Linear regression
models were calculated for the "specific necessity subscale" (SNS) and the
"specific concerns subscale" (SCS) of the German "Beliefs About Medicine
Questionnaire," including self-developed variables assessing patients' use of a
medication list, their memory of SMC, and sociodemographic data. RESULTS: 62.8%
(n = 216) remembered an appointment for SMC and 32.0% (n = 110) BBR. The SNS
correlated positively with regular receipt of a medication list (beta = 0.286, p
< 0.01) and negatively with memory of a BBR (beta = -0.268; p < 0.01). The SCS
correlated positively with memory of a BBR (beta = 0.160, p = 0.02) and
negatively with the comprehensiveness of the mediation list (beta = -0.224; p <
0.01). CONCLUSIONS: A comprehensive medication list may reduce patients' concerns
and increase the perceived necessity of their medication. A potential negative
impact of BBR on patients' beliefs about their medicine should be considered and
quality standards for SMC developed.
PMID- 26539534
TI - PPARalpha Agonist WY-14643 Induces SIRT1 Activity in Rat Fatty Liver Ischemia
Reperfusion Injury.
AB - Ischemia-reperfusion injury (IRI) remains a frequent complication in surgery,
especially in case of steatotic livers that present decreased tolerance towards
IRI. Apart from its major role in metabolism, activation of peroxisome
proliferator-activated receptor alpha (PPARalpha) has been related with positive
effects on IRI. In addition, the deacetylase enzyme sirtuin 1 (SIRT1) has
recently emerged as a promising target for preventing IRI, through its
interaction with stress-related mechanisms, such as endoplasmic reticulum stress
(ERS). Taking this into account, this study aims to explore whether PPARalpha
agonist WY-14643 could protect steatotic livers against IRI through sirtuins and
ERS signaling pathway. Obese Zucker rats were pretreated or not pretreated with
WY-14643 (10 mg/kg intravenously) and then submitted to partial (70%) hepatic
ischemia (1 hour) followed by 24 hours of reperfusion. Liver injury (ALT levels),
lipid peroxidation (MDA), SIRT1 activity, and the protein expression of SIRT1 and
SIRT3 and ERS parameters (IRE1alpha, peIF2, caspase 12, and CHOP) were evaluated.
Treatment with WY-14643 reduced liver injury in fatty livers, enhanced SIRT1
activity, and prevented ERS. Together, our results indicated that PPARalpha
agonist WY-14643 may exert its protective effect in fatty livers, at least in
part, via SIRT1 induction and ERS prevention.
PMID- 26539535
TI - Hemispheric Asymmetry of Human Brain Anatomical Network Revealed by Diffusion
Tensor Tractography.
AB - The topological architecture of the cerebral anatomical network reflects the
structural organization of the human brain. Recently, topological measures based
on graph theory have provided new approaches for quantifying large-scale
anatomical networks. However, few studies have investigated the hemispheric
asymmetries of the human brain from the perspective of the network model, and
little is known about the asymmetries of the connection patterns of brain
regions, which may reflect the functional integration and interaction between
different regions. Here, we utilized diffusion tensor imaging to construct binary
anatomical networks for 72 right-handed healthy adult subjects. We established
the existence of structural connections between any pair of the 90 cortical and
subcortical regions using deterministic tractography. To investigate the
hemispheric asymmetries of the brain, statistical analyses were performed to
reveal the brain regions with significant differences between bilateral
topological properties, such as degree of connectivity, characteristic path
length, and betweenness centrality. Furthermore, local structural connections
were also investigated to examine the local asymmetries of some specific white
matter tracts. From the perspective of both the global and local connection
patterns, we identified the brain regions with hemispheric asymmetries. Combined
with the previous studies, we suggested that the topological asymmetries in the
anatomical network may reflect the functional lateralization of the human brain.
PMID- 26539536
TI - Salmonella Typhimurium and Salmonella Sofia: Growth in and Persistence on Eggs
under Production and Retail Conditions.
AB - Salmonellosis in Australia has been linked to eggs and egg products with specific
serotypes associated with outbreaks. We compared attachment to and survival on
egg shells and growth in eggs of two Salmonella serotypes, an egg outbreak
associated Salmonella Typhimurium and a non-egg-associated Salmonella enterica
ssp. II 1,4,12,27:b:[e,n,x] (S. Sofia). Experiments were conducted at
combinations of 4, 15, 22, 37 and 42 degrees C. No significant differences
occurred between the serotypes in maximum growth rates, which were significantly
greater (P < 0.001) in egg yolk (0.427 log10 CFU/mL/h) compared to whole egg
(0.312 log10 CFU/mL/h) and egg white (0.029 log10 CFU/mL/h). Attachment to egg
shells varied by time (1 or 20 min) and temperature (4, 22 and 42 degrees C),
with S. Typhimurium isolates attaching at higher levels (P < 0.05) than S. Sofia
after 1 min at 4 degrees C and S. Typhimurium ATCC 14028 attaching at higher (P
< 0.05) levels at 22 degrees C. Survival on egg shells was not significantly
different across isolates. Salmonella serotypes behaved similarly regarding
growth in egg contents, attachment to egg shells and survival on eggs, indicating
that other factors more likely contributed to reasons for S. Typhimurium being
implicated in multiple egg-associated outbreaks.
PMID- 26539537
TI - Modeling the Generation of Phase-Amplitude Coupling in Cortical Circuits: From
Detailed Networks to Neural Mass Models.
AB - Phase-amplitude coupling (PAC), the phenomenon where the amplitude of a high
frequency oscillation is modulated by the phase of a lower frequency oscillation,
is attracting an increasing interest in the neuroscience community due to its
potential relevance for understanding healthy and pathological information
processing in the brain. PAC is a diverse phenomenon, having been experimentally
detected in at least ten combinations of rhythms: delta-theta, delta-alpha, delta
beta, delta-gamma, theta-alpha, theta-beta, theta-gamma, alpha-beta, alpha-gamma,
and beta-gamma. However, a complete understanding of the biophysical mechanisms
generating this diversity is lacking. Here we review computational models of PAC
generation that range from detailed models of neuronal networks, where each cell
is described by Hodgkin-Huxley-type equations, to neural mass models (NMMs) where
only the average activities of neuronal populations are considered. We argue that
NMMs are an appropriate mathematical framework (due to the small number of
parameters and variables involved and the richness of the dynamics they can
generate) to study the PAC phenomenon.
PMID- 26539538
TI - Robot-Assisted Laparoscopic Nephroureterectomy versus Hand-Assisted Laparoscopic
Nephroureterectomy for Upper Urinary Tract Urothelial Carcinoma: A Matched
Comparison Study.
AB - OBJECTIVES: To investigate the perioperative and oncological outcomes of hand
assisted laparoscopic nephroureterectomy (HANU) and robotic-assisted
nephroureterectomy (RANU). METHODS: Patients who underwent RANU were matched by
sex, age (+/- 5 years), and tumor location to those who underwent HANU; 18
matched pairs were included. RESULTS: Each group consisted of five men and 13
women. The mean age was 70.4 years in RANU group and 69.6 years in HANU group (p
= 0.646). Each group contained 10 patients with tumor location in the renal
pelvis, five in the ureter, and three in both sites. The median follow-up time
was 6.1 months for the RANU group and 47.8 months for the HANU group. The
demographic and pathological data did not differ significantly. The RANU group
had significantly less blood loss (p < 0.001), resumed oral intake earlier (p =
0.043), and had shorter hospital stays (p = 0.014) but higher pain scores
associated with their wounds (p = 0.043). The oncological outcomes were
comparable with those of the HANU group. CONCLUSIONS: Our results show that the
RANU and HANU groups have comparable operative, early postoperative, and
functional outcomes. A longer follow-up period would be needed for final
comparison of oncological outcome.
PMID- 26539539
TI - Coexpression Network Analysis of miRNA-142 Overexpression in Neuronal Cells.
AB - MicroRNAs are small noncoding RNA molecules, which are differentially expressed
in diverse biological processes and are also involved in the regulation of
multiple genes. A number of sites in the 3' untranslated regions (UTRs) of
different mRNAs allow complimentary binding for a microRNA, leading to their
posttranscriptional regulation. The miRNA-142 is one of the microRNAs
overexpressed in neurons that is found to regulate SIRT1 and MAOA genes.
Differential analysis of gene expression data, which is focused on identifying up
or downregulated genes, ignores many relationships between genes affected by
miRNA-142 overexpression in a cell. Thus, we applied a correlation network model
to identify the coexpressed genes and to study the impact of miRNA-142
overexpression on this network. Combining multiple sources of knowledge is useful
to infer meaningful relationships in systems biology. We applied coexpression
model on the data obtained from wild type and miR-142 overexpression neuronal
cells and integrated miRNA seed sequence mapping information to identify genes
greatly affected by this overexpression. Larger differences in the enriched
networks revealed that the nervous system development related genes such as
TEAD2, PLEKHA6, and POGLUT1 were greatly impacted due to miRNA-142
overexpression.
PMID- 26539540
TI - Bayesian Estimation of the True Prevalence and of the Diagnostic Test Sensitivity
and Specificity of Enteropathogenic Yersinia in Finnish Pig Serum Samples.
AB - Bayesian analysis was used to estimate the pig's and herd's true prevalence of
enteropathogenic Yersinia in serum samples collected from Finnish pig farms. The
sensitivity and specificity of the diagnostic test were also estimated for the
commercially available ELISA which is used for antibody detection against
enteropathogenic Yersinia. The Bayesian analysis was performed in two steps; the
first step estimated the prior true prevalence of enteropathogenic Yersinia with
data obtained from a systematic review of the literature. In the second step,
data of the apparent prevalence (cross-sectional study data), prior true
prevalence (first step), and estimated sensitivity and specificity of the
diagnostic methods were used for building the Bayesian model. The true prevalence
of Yersinia in slaughter-age pigs was 67.5% (95% PI 63.2-70.9). The true
prevalence of Yersinia in sows was 74.0% (95% PI 57.3-82.4). The estimates of
sensitivity and specificity values of the ELISA were 79.5% and 96.9%.
PMID- 26539541
TI - Analysis of Requirements for the Medication Profile to Be Used in Clinical
Research: Protocol Feasibility Studies and Patient Recruitment.
AB - A "Medication Profile," the information about the medicines a person is using and
has used, is a core part of many electronic health record systems and summaries.
However, there is little objective research into the data elements that the
profile should contain to support the uses it must serve. With the increasing
emphasis on secondary uses of electronic health information, as well as
supporting the requirements to support direct to patient care, the Medication
Profile should also support the requirements from clinical research. However,
there is little, if any, description of these available. This paper describes an
analysis of a set of study eligibility criteria that was undertaken to
investigate which medication-related data elements would be required to support
two clinical research use cases: the parameters to query a patient's Medication
Profile to assess their suitability for entry into a trial (patient recruitment)
and the parameters to query a set of Medication Profiles in a data warehouse to
assess whether the eligibility criteria as described would yield a reasonable
cohort of patients as potential subjects (protocol feasibility). These medication
related data elements then become information requirements that a Medication
Profile should ideally meet, in order to be able to support these two uses in the
clinical research domain.
PMID- 26539542
TI - Implantation of 3D-Printed Patient-Specific Aneurysm Models into Cadaveric
Specimens: A New Training Paradigm to Allow for Improvements in Cerebrovascular
Surgery and Research.
AB - AIM: To evaluate the feasibility of implanting 3D-printed brain aneurysm model in
human cadavers and to assess their utility in neurosurgical research, complex
case management/planning, and operative training. METHODS: Two 3D-printed
aneurysm models, basilar apex and middle cerebral artery, were generated and
implanted in four cadaveric specimens. The aneurysms were implanted at the same
anatomical region as the modeled patient. Pterional and orbitozygomatic
approaches were done on each specimen. The aneurysm implant, manipulation
capabilities, and surgical clipping were evaluated. RESULTS: The 3D aneurysm
models were successfully implanted to the cadaveric specimens' arterial
circulation in all cases. The features of the neck in terms of flexibility and
its relationship with other arterial branches allowed for the practice of
surgical maneuvering characteristic to aneurysm clipping. Furthermore, the
relationship of the aneurysm dome with the surrounding structures allowed for
better understanding of the aneurysmal local mass effect. Noticeably, all of
these observations were done in a realistic environment provided by our
customized embalming model for neurosurgical simulation. CONCLUSION: 3D aneurysms
models implanted in cadaveric specimens may represent an untapped training method
for replicating clip technique; for practicing certain approaches to aneurysms
specific to a particular patient; and for improving neurosurgical research.
PMID- 26539543
TI - Contribution of Electronic Medical Records to the Management of Rare Diseases.
AB - PURPOSE: Electronic health record systems provide great opportunity to study most
diseases. Objective of this study was to determine whether electronic medical
records (EMR) in ophthalmology contribute to management of rare eye diseases,
isolated or in syndromes. Study was designed to identify and collect patients'
data with ophthalmology-specific EMR. METHODS: Ophthalmology-specific EMR
software (Softalmo software Corilus) was used to acquire ophthalmological ocular
consultation data from patients with five rare eye diseases. The rare eye
diseases and data were selected and collected regarding expertise of eye center.
RESULTS: A total of 135,206 outpatient consultations were performed between 2011
and 2014 in our medical center specialized in rare eye diseases. The search
software identified 29 congenital aniridia, 6 Axenfeld/Rieger syndrome, 11 BEPS,
3 Nanophthalmos, and 3 Rubinstein-Taybi syndrome. DISCUSSION: EMR provides
advantages for medical care. The use of ophthalmology-specific EMR is reliable
and can contribute to a comprehensive ocular visual phenotype useful for clinical
research. CONCLUSION: Routinely EMR acquired with specific software dedicated to
ophthalmology provides sufficient detail for rare diseases. These software
collected data appear useful for creating patient cohorts and recording ocular
examination, avoiding the time-consuming analysis of paper records and
investigation, in a University Hospital linked to a National Reference Rare
Center Disease.
PMID- 26539544
TI - Reliability of Force-Velocity Tests in Cycling and Cranking Exercises in Men and
Women.
AB - The present study examined the reliability of the force-velocity relationship
during cycling and arm cranking exercises in active males and females. Twenty
male and seventeen female physical education students performed three-session
tests with legs and three-session tests with arms on a friction-loaded ergometer
on six different sessions in a randomized order. The reliability of maximal power
(Pmax), maximal pedal rate (V 0), and maximal force (F0) were studied using the
coefficient of variation (CV), the intraclass correlation coefficient (ICC) and
the test-retest correlation coefficient (r). Reliability indices were better for
men (1.74 <= CV <= 4.36, 0.82 <= ICC <= 0.97, and 0.81 <= r <= 0.97) compared
with women (2.34 <= CV <= 7.04, 0.44 <= ICC <= 0.98, and 0.44 <= r <= 0.98) and
in cycling exercise (1.74 <= CV <= 3.85, 0.88 <= ICC <= 0.98, and 0.90 <= r <=
0.98) compared with arm exercise (2.37 <= CV <= 7.04, 0.44 <= ICC <= 0.95, and
0.44 <= r <= 0.95). Furthermore, the reliability indices were high for Pmax and
F0 whatever the expression of the results (raw data or data related to body
dimensions). Pmax and F0 could be used in longitudinal physical fitness
investigations. However, further studies are needed to judge V 0 reliability.
PMID- 26539545
TI - From Cerebellar Activation and Connectivity to Cognition: A Review of the
Quadrato Motor Training.
AB - The importance of the cerebellum is increasingly recognized, not only in motor
control but also in cognitive learning and function. Nevertheless, the
relationship between training-induced cerebellar activation and
electrophysiological and structural changes in humans has yet to be established.
In the current paper, we suggest a general model tying cerebellar function to
cognitive improvement, via neuronal synchronization, as well as biochemical and
anatomical changes. We then suggest that sensorimotor training provides an
optimal paradigm to test the proposed model and review supporting evidence of
Quadrato Motor Training (QMT), a sensorimotor training aimed at increasing
attention and coordination. Subsequently, we discuss the possible mechanisms
through which QMT may exert its beneficial effects on cognition (e.g., increased
creativity, reflectivity, and reading), focusing on cerebellar alpha activity as
a possible mediating mechanism allowing cognitive improvement, molecular and
anatomical changes. Using the example of QMT research, this paper emphasizes the
importance of investigating whole-body sensorimotor training paradigms utilizing
a multidisciplinary approach and its implications to healthy brain development.
PMID- 26539546
TI - Genetic Diversity and Incidence of Virulence-Associated Genes of Arcobacter
butzleri and Arcobacter cryaerophilus Isolates from Pork, Beef, and Chicken Meat
in Poland.
AB - Incidence of 9 virulence-associated genes and genetic diversity was determined in
79 A. butzleri and 6 A. cryaerophilus isolates from pork, beef, and chicken meat.
All A. butzleri isolates harboured the tlyA gene, and most of them carried ciaB,
mviN, pldA, cadF, and cj1349 genes. ciaB was found to occur with higher frequency
in poultry if compared with pork (p = 0.0007), while irgA was more frequent in
poultry than in beef (p = 0.007). All 6 A. cryaerophilus isolates harboured the
ciaB gene, while mviN and tlyA were detected in 3 out of these isolates. Only one
isolate carried the cadF gene. All beef-derived A. cryaerophilus isolates carried
ciaB, mviN, and tlyA genes. A. cryaerophilus isolates from chicken meat harboured
ciaB gene only. The pork-derived isolate harboured ciaB and cadF genes. Seventy
four genotypes were distinguished within 79 A. butzleri isolates. Nineteen from
21 isolates derived from beef and pork were found to be closely related to A.
butzleri from chicken meat. Each of the 6 A. cryaerophilus isolates was found to
have unique genotype. We demonstrated that closely related genotypes can spread
within pork, beef, and chicken meat populations of A. butzleri but not A.
cryaerophilus.
PMID- 26539547
TI - Translational Medicine and Patient Safety in Europe: TRANSFoRm--Architecture for
the Learning Health System in Europe.
AB - The Learning Health System (LHS) describes linking routine healthcare systems
directly with both research translation and knowledge translation as an extension
of the evidence-based medicine paradigm, taking advantage of the ubiquitous use
of electronic health record (EHR) systems. TRANSFoRm is an EU FP7 project that
seeks to develop an infrastructure for the LHS in European primary care. METHODS:
The project is based on three clinical use cases, a genotype-phenotype study in
diabetes, a randomised controlled trial with gastroesophageal reflux disease, and
a diagnostic decision support system for chest pain, abdominal pain, and
shortness of breath. RESULTS: Four models were developed (clinical research,
clinical data, provenance, and diagnosis) that form the basis of the projects
approach to interoperability. These models are maintained as ontologies with
binding of terms to define precise data elements. CDISC ODM and SDM standards are
extended using an archetype approach to enable a two-level model of individual
data elements, representing both research content and clinical content. Separate
configurations of the TRANSFoRm tools serve each use case. CONCLUSIONS: The
project has been successful in using ontologies and archetypes to develop a
highly flexible solution to the problem of heterogeneity of data sources
presented by the LHS.
PMID- 26539548
TI - Amylose-Based Cationic Star Polymers for siRNA Delivery.
AB - A new siRNA delivery system using a cationic glyco-star polymer is described.
Spermine-modified 8-arm amylose star polymer (with a degree of polymerization of
approximately 60 per arm) was synthesized by chemoenzymatic methods. The cationic
star polymer effectively bound to siRNA and formed spherical complexes with an
average hydrodynamic diameter of 230 nm. The cationic 8-arm star polymer
complexes showed superior cellular uptake characteristics and higher gene
silencing effects than a cationic 1-arm polymer. These results suggest that
amylose-based star polymers are a promising nanoplatform for glycobiomaterials.
PMID- 26539549
TI - Open Partial Nephrectomy for High-Risk Renal Masses Is Associated with Renal
Pseudoaneurysms: Assessment of a Severe Procedure-Related Complication.
AB - OBJECTIVES: A symptomatic renal pseudoaneurysm (RPA) is a severe complication
after open partial nephrectomy (OPN). The aim of our study was to assess
incidence and risk factors for RPA formation. Furthermore, we present our
management strategy. PATIENTS AND METHODS: Clinical records of consecutive
patients undergoing OPN were assessed for surgical outcome and postoperative
complications. Renal masses were risk stratified for tumor complexity according
to the PADUA score. Uni- and multivariate analysis for symptomatic RPAs were
performed using the t-tests and logistic regression. RESULTS: We identified 233
patients treated with OPN. Symptomatic RPAs were observed in 13 (5.6%) patients,
on average 14 (4-42) days after surgery. Uni- and multivariate analysis
identified tumor complexity to be an independent predictor for symptomatic RPAs
(p = 0.004). There was a significant correlation between RPAs and transfusion and
the duration of stay (p < 0.001 and p = 0.021). Symptomatic RPAs were diagnosed
with CT scans and successfully treated with arterial embolization. DISCUSSION:
Symptomatic RPAs are not uncommon after OPN for high-risk renal masses. A high
nephrometry score is a predictor for this severe complication and may enable a
risk-stratified followup. RPAs can successfully be located by CT angiography,
which enables targeted angiographic treatment.
PMID- 26539550
TI - Relation between the Sensory and Anthropometric Variables in the Quiet Standing
Postural Control: Is the Inverted Pendulum Important for the Static Balance
Control?
AB - The aim of this study was to evaluate the relation between the sensory and
anthropometric variables in the quiet standing. METHODS: One hundred individuals
(50 men, 50 women; 20-40 years old) participated in this study. For all
participants, the body composition (fat tissue, lean mass, bone mineral content,
and bone mineral density) and body mass, height, trunk-head length, lower limb
length, and upper limb length were measured. The center of pressure was measured
during the quiet standing posture, the eyes opened and closed with a force
platform. Correlation and regression analysis were run to analyze the relation
among body composition, anthropometric data, and postural sway. RESULTS: The
correlation analysis showed low relation between postural sway and anthropometric
variables. The multiple linear regression analyses showed that the height
explained 12% of the mediolateral displacement and 11% of the center of pressure
area. The length of the trunk head explained 6% of displacement in the
anteroposterior postural sway. During eyes closed condition, the support basis
and height explained 18% of mediolateral postural sway. CONCLUSION: The postural
control depends on body composition and dimension. This relation is mediated by
the sensory information. The height was the anthropometric variable that most
influenced the postural sway.
PMID- 26539551
TI - Regular Chinese Green Tea Consumption is Protective for Diabetic Retinopathy: A
Clinic-Based Case-Control Study.
AB - OBJECTIVE: To determine the association between regular Chinese green tea
consumption and the risk of diabetic retinopathy (DR) in diabetic patients in
China. METHODS: 100 DR patients and 100 age-sex-matched diabetic controls without
retinopathy were recruited in a clinic-based, case-control study. DR was defined
from retinal photographs and detailed information on Chinese green tea
consumption of the participants was collected through a face-to-face interview.
RESULTS: The crude odds ratio [OR] of Chinese green tea consumption for DR was
0.49 (95% confidence interval: 0.26-0.90). When stratified by sex, the protective
effect of Chinese green tea consumption on DR was statistically significant in
women (P = 0.01) but not in men (P = 0.63). After adjusting for age, sex, and
other confounders, DR was significantly associated with Chinese green tea
consumption (OR = 0.48; P = 0.04), higher systolic blood pressure (OR = 1.02; P =
0.05), longer duration of diabetes (OR = 1.07; P = 0.02), and the presence of
family history of diabetes (OR = 2.35; P = 0.04). CONCLUSIONS: Diabetic patients
who had regularly drunk Chinese green tea every week for at least one year in
their lives had a DR risk reduction of about 50% compared with those who had not.
Regular Chinese green tea consumption may be a novel approach for the prevention
of DR.
PMID- 26539552
TI - Linkage Analysis of Genomic Regions Contributing to the Expression of Type 1
Diabetes Microvascular Complications and Interaction with HLA.
AB - We conducted linkage analysis to follow up earlier work on microvascular
complications of type 1 diabetes (T1D). We analyzed 415 families (2,008
individuals) previously genotyped for 402 SNP markers spanning chromosome 6. We
did linkage analysis for the phenotypes of retinopathy and nephropathy. For
retinopathy, two linkage peaks were mapped: one located at the HLA region and
another novel locus telomeric to HLA. For nephropathy, a linkage peak centromeric
to HLA was mapped, but the linkage peak telomeric to HLA seen in retinopathy was
absent. Because of the strong association of T1D with DRB1*03:01 and DRB1*04:01,
we stratified our analyses based on families whose probands were positive for
DRB1*03:01 or DRB1*04:01. When analyzing the DRB1*03:01-positive retinopathy
families, in addition to the novel telomeric locus, one centromeric to HLA was
identified at the same location as the nephropathy peak. When we stratified on
DRB1*04:01-positive families, the HLA telomeric peak strengthened but the
centromeric peak disappeared. Our findings showed that HLA and non-HLA loci on
chromosome 6 are involved in T1D complications' expression. While the HLA region
is a major contributor to the expression of T1D, our results suggest an
interaction between specific HLA alleles and other loci that influence
complications' expression.
PMID- 26539553
TI - Modulating p56Lck in T-Cells by a Chimeric Peptide Comprising Two Functionally
Different Motifs of Tip from Herpesvirus saimiri.
AB - The Lck interacting protein Tip of Herpesvirus saimiri is responsible for T-cell
transformation both in vitro and in vivo. Here we designed the chimeric peptide
hTip-CSKH, comprising the Lck specific interacting motif CSKH of Tip and its
hydrophobic transmembrane sequence (hTip), the latter as a vector targeting lipid
rafts. We found that hTip-CSKH can induce a fivefold increase in proliferation of
human and Aotus sp. T-cells. Costimulation with PMA did not enhance this
proliferation rate, suggesting that hTip-CSKH is sufficient and independent of
further PKC stimulation. We also found that human Lck phosphorylation was
increased earlier after stimulation when T-cells were incubated previously with
hTip-CSKH, supporting a strong signalling and proliferative effect of the
chimeric peptide. Additionally, Lck downstream signalling was evident with hTip
CSKH but not with control peptides. Importantly, hTip-CSKH could be identified in
heavy lipid rafts membrane fractions, a compartment where important T-cell
signalling molecules (LAT, Ras, and Lck) are present during T-cell activation.
Interestingly, hTip-CSKH was inhibitory to Jurkat cells, in total agreement with
the different signalling pathways and activation requirements of this leukemic
cell line. These results provide the basis for the development of new compounds
capable of modulating therapeutic targets present in lipid rafts.
PMID- 26539554
TI - Phase II Study of Personalized Peptide Vaccination with Both a Hepatitis C Virus
Derived Peptide and Peptides from Tumor-Associated Antigens for the Treatment of
HCV-Positive Advanced Hepatocellular Carcinoma Patients.
AB - Objective. To evaluate safety and immune responses of personalized peptide
vaccination (PPV) for hepatitis C virus- (HCV-) positive advanced hepatocellular
carcinoma (HCC). Patients and Methods. Patients diagnosed with HCV-positive
advanced HCC were eligible for this study. A maximum of four HLA-matched peptides
were selected based on the preexisting IgG responses specific to 32 different
peptides, which consisted of a single HCV-derived peptide at core protein
positions 35-44 (C-35) and 31 peptides derived from 15 different tumor-associated
antigens (TAAs), followed by subcutaneous administration once per week for 8
weeks. Peptide-specific cytotoxic T lymphocyte (CTL) and IgG responses were
measured before and after vaccination. Results. Forty-two patients were enrolled.
Grade 3 injection site skin reaction was observed in 2 patients, but no other PPV
related severe adverse events were noted. Peptide-specific CTL responses before
vaccination were observed in only 3 of 42 patients, but they became detectable in
23 of 36 patients tested after vaccination. Peptide-specific IgG responses were
also boosted in 19 of 36 patients. Peptide-specific IgG1 responses to both C-35
and TAA-derived peptides could be potentially prognostic for overall survival.
Conclusion. Further clinical study of PPV would be warranted for HCV-positive
advanced HCC, based on the safety and strong immune induction.
PMID- 26539555
TI - Carbohydrate Microarrays Identify Blood Group Precursor Cryptic Epitopes as
Potential Immunological Targets of Breast Cancer.
AB - Using carbohydrate microarrays, we explored potential natural ligands of
antitumor monoclonal antibody HAE3. This antibody was raised against a murine
mammary tumor antigen but was found to cross-react with a number of human
epithelial tumors in tissues. Our carbohydrate microarray analysis reveals that
HAE3 is specific for an O-glycan cryptic epitope that is normally hidden in the
cores of blood group substances. Using HAE3 to screen tumor cell surface markers
by flow cytometry, we found that the HAE3 glycoepitope, gp(HAE3), was highly
expressed by a number of human breast cancer cell lines, including some triple
negative cancers that lack the estrogen, progesterone, and Her2/neu receptors.
Taken together, we demonstrate that HAE3 recognizes a conserved cryptic
glycoepitope of blood group precursors, which is nevertheless selectively
expressed and surface-exposed in certain breast tumor cells. The potential of
this class of O-glycan cryptic antigens in breast cancer subtyping and targeted
immunotherapy warrants further investigation.
PMID- 26539556
TI - Gingiva Equivalents Secrete Negligible Amounts of Key Chemokines Involved in
Langerhans Cell Migration Compared to Skin Equivalents.
AB - Both oral mucosa and skin have the capacity to maintain immune homeostasis or
regulate immune responses upon environmental assault. Whereas much is known about
key innate immune events in skin, little is known about oral mucosa. Comparative
studies are limited due to the scarce supply of oral mucosa for ex vivo studies.
Therefore, we used organotypic tissue equivalents (reconstructed epithelium on
fibroblast-populated collagen hydrogel) to study cross talk between cells. Oral
mucosa and skin equivalents were compared regarding secretion of cytokines and
chemokines involved in LC migration and general inflammation. Basal secretion,
representative of homeostasis, and also secretion after stimulation with
TNFalpha, an allergen (cinnamaldehyde), or an irritant (SDS) were assessed. We
found that proinflammatory IL-18 and chemokines CCL2, CCL20, and CXCL12, all
involved in LC migration, were predominantly secreted by skin as compared to
gingiva. Furthermore, CCL27 was predominantly secreted by skin whereas CCL28 was
predominantly secreted by gingiva. In contrast, general inflammatory cytokines IL
6 and CXCL8 were secreted similarly by skin and gingiva. These results indicate
that the cytokines and chemokines triggering innate immunity and LC migration are
different in skin and gingiva. This differential regulation should be figured
into novel therapy or vaccination strategies in the context of skin versus
mucosa.
PMID- 26539557
TI - Gamma Delta (gammadelta) T Cells and Their Involvement in Behcet's Disease.
AB - Behcet's disease (BD) is a multisystem inflammatory disorder characterized by
orogenital ulcerations, ocular manifestations, arthritis, and vasculitis. The
disease follows a relapsing-remitting course and its pathogenesis is unknown.
Genetic predisposition and immune-dysregulation involving gamma delta
(gammadelta) T cells are reported to have a role. gammadelta T cells are atypical
T cells, which represent a small proportion of total lymphocytes. They have
features of both innate and adaptive immunity and express characteristics of
conventional T cells, natural killer cells, and myeloid antigen presenting cells.
These unconventional T cells are found in the inflammatory BD lesions and have
been suggested to be responsible for inducing and/or maintaining the
proinflammatory environment characteristic of the disease. Over the last 20 years
there has been much interest in the role of gammadelta T cells in BD. We review
the literature and discuss the roles that gammadelta T cells may play in BD
pathogenesis.
PMID- 26539558
TI - Experimental Immunization Based on Plasmodium Antigens Isolated by Antibody
Affinity.
AB - Vaccines blocking malaria parasites in the blood-stage diminish mortality and
morbidity caused by the disease. Here, we isolated antigens from total parasite
proteins by antibody affinity chromatography to test an immunization against
lethal malaria infection in a murine model. We used the sera of malaria self
resistant ICR mice to lethal Plasmodium yoelii yoelii 17XL for purification of
their IgGs which were subsequently employed to isolate blood-stage parasite
antigens that were inoculated to immunize BALB/c mice. The presence of specific
antibodies in vaccinated mice serum was studied by immunoblot analysis at
different days after vaccination and showed an intensive immune response to a
wide range of antigens with molecular weight ranging between 22 and 250 kDa. The
humoral response allowed delay of the infection after the inoculation to high
lethal doses of P. yoelii yoelii 17XL resulting in a partial protection against
malaria disease, although final survival was managed in a low proportion of
challenged mice. This approach shows the potential to prevent malaria disease
with a set of antigens isolated from blood-stage parasites.
PMID- 26539559
TI - The Peptide Vaccine Combined with Prior Immunization of a Conventional Diphtheria
Tetanus Toxoid Vaccine Induced Amyloid beta Binding Antibodies on Cynomolgus
Monkeys and Guinea Pigs.
AB - The reduction of brain amyloid beta (Abeta) peptides by anti-Abeta antibodies is
one of the possible therapies for Alzheimer's disease. We previously reported
that the Abeta peptide vaccine including the T-cell epitope of diphtheria-tetanus
combined toxoid (DT) induced anti-Abeta antibodies, and the prior immunization
with conventional DT vaccine enhanced the immunogenicity of the peptide.
Cynomolgus monkeys were given the peptide vaccine subcutaneously in combination
with the prior DT vaccination. Vaccination with a similar regimen was also
performed on guinea pigs. The peptide vaccine induced anti-Abeta antibodies in
cynomolgus monkeys and guinea pigs without chemical adjuvants, and excessive
immune responses were not observed. Those antibodies could preferentially
recognize Abeta 40, and Abeta 42 compared to Abeta fibrils. The levels of serum
anti-Abeta antibodies and plasma Abeta peptides increased in both animals and
decreased the brain Abeta 40 level of guinea pigs. The peptide vaccine could
induce a similar binding profile of anti-Abeta antibodies in cynomolgus monkeys
and guinea pigs. The peptide vaccination could be expected to reduce the brain
Abeta peptides and their toxic effects via clearance of Abeta peptides by
generated antibodies.
PMID- 26539560
TI - Collagen-Induced Arthritis: A model for Murine Autoimmune Arthritis.
AB - Collagen-induced arthritis (CIA) is a common autoimmune animal model used to
study rheumatoid arthritis (RA). The development of CIA involves infiltration of
macrophages and neutrophils into the joint, as well as T and B cell responses to
type II collagen. In murine CIA, genetically susceptible mice (DBA/1J) are
immunized with a type II bovine collagen emulsion in complete Freund's adjuvant
(CFA), and receive a boost of type II bovine collagen in incomplete Freund's
adjuvant (IFA) 21 days after the first injection. These mice typically develop
disease 26 to 35 days after the initial injection. C57BL/6J mice are resistant to
arthritis induced by type II bovine collagen, but can develop arthritis when
immunized with type II chicken collagen in CFA, and receive a boost of type II
chicken collagen in IFA 21 days after the first injection. The concentration of
heat-killed Mycobacterium tuberculosis H37RA (MT) in CFA also differs for each
strain. DBA/1J mice develop arthritis with 1 mg/ml MT, while C57BL/6J mice
require and 3-4 mg/ml MT in order to develop arthritis. CIA develops slowly in
C57BL/6J mice and cases of arthritis are mild when compared to DBA/1J mice. This
protocol describes immunization of DBA/1J mice with type II bovine collagen and
the immunization of C57BL/6J mice with type II chicken collagen.
PMID- 26539562
TI - Biosynthesis of a Novel Glutamate Racemase Containing a Site-Specific 7
Hydroxycoumarin Amino Acid: Enzyme-Ligand Promiscuity Revealed at the Atomistic
Level.
AB - Glutamate racemase (GR) catalyzes the cofactor independent stereoinversion of l-
to d-glutamate for biosynthesis of bacterial cell walls. Because of its essential
nature, this enzyme is under intense scrutiny as a drug target for the design of
novel antimicrobial agents. However, the flexibility of the enzyme has made
inhibitor design challenging. Previous steered molecular dynamics (MD), docking,
and experimental studies have suggested that the enzyme forms highly varied
complexes with different competitive inhibitor scaffolds. The current study
employs a mutant orthogonal tRNA/aminoacyl-tRNA synthetase pair to genetically
encode a non-natural fluorescent amino acid, l-(7-hydroxycoumarin-4-yl)
ethylglycine (7HC), into a region (Tyr53) remote from the active site (previously
identified by MD studies as undergoing ligand-associated changes) to generate an
active mutant enzyme (GRY53/7HC). The GRY53/7HC enzyme is an active racemase,
which permitted us to examine the nature of these idiosyncratic ligand-associated
phenomena. One type of competitive inhibitor resulted in a dose-dependent
quenching of the fluorescence of GRY53/7HC, while another type of competitive
inhibitor resulted in a dose-dependent increase in fluorescence of GRY53/7HC. In
order to investigate the environmental changes of the 7HC ring system that are
distinctly associated with each of the GRY53/7HC-ligand complexes, and thus the
source of the disparate quenching phenomena, a parallel computational study is
described, which includes essential dynamics, ensemble docking and MD simulations
of the relevant GRY53/7HC-ligand complexes. The changes in the solvent exposure
of the 7HC ring system due to ligand-associated GR changes are consistent with
the experimentally observed quenching phenomena. This study describes an approach
for rationally predicting global protein allostery resulting from enzyme ligation
to distinctive inhibitor scaffolds. The implications for fragment-based drug
discovery and high throughput screening are discussed.
PMID- 26539561
TI - New Frontiers for the NFIL3 bZIP Transcription Factor in Cancer, Metabolism and
Beyond.
AB - The bZIP transcription factor NFIL3 (Nuclear factor Interleukin 3 regulated, also
known as E4 binding protein 4, E4BP4) regulates diverse biological processes from
circadian rhythm to cellular viability. Recently, a host of novel roles have been
identified for NFIL3 in immunological signal transduction, cancer, aging and
metabolism. Elucidating the signaling pathways that are impacted by NFIL3 and the
regulatory mechanisms that it targets, inhibits or activates will be critical for
developing a clearer picture of its physiological roles in disease and normal
processes. This review will discuss the recent advances and emerging issues
regarding NFIL3-mediated transcriptional regulation of CEBPbeta and FOXO1
activated genes and signal transduction.
PMID- 26539563
TI - Rapid, Multiplexed Phosphoprotein Profiling Using Silicon Photonic Sensor Arrays.
AB - Extracellular signaling is commonly mediated through post-translational protein
modifications that propagate messages from membrane-bound receptors to ultimately
regulate gene expression. Signaling cascades are ubiquitously intertwined, and a
full understanding of function can only be gleaned by observing dynamics across
multiple key signaling nodes. Importantly, targets within signaling cascades
often represent opportunities for therapeutic development or can serve as
diagnostic biomarkers. Protein phosphorylation is a particularly important post
translational modification that controls many essential cellular signaling
pathways. Not surprisingly, aberrant phosphorylation is found in many human
diseases, including cancer, and phosphoprotein-based biomarker signatures hold
unrealized promise for disease monitoring. Moreover, phosphoprotein analysis has
wide-ranging applications across fundamental chemical biology, as many drug
discovery efforts seek to target nodes within kinase signaling pathways. For both
fundamental and translational applications, the analysis of phosphoprotein
biomarker targets is limited by a reliance on labor-intensive and/or technically
challenging methods, particularly when considering the simultaneous monitoring of
multiplexed panels of phosphoprotein biomarkers. We have developed a technology
based upon arrays of silicon photonic microring resonator sensors that fills this
void, facilitating the rapid and automated analysis of multiple phosphoprotein
levels from both cell lines and primary human tumor samples requiring only
minimal sample preparation.
PMID- 26539565
TI - iShadow: Design of a Wearable, Real-Time Mobile Gaze Tracker.
AB - Continuous, real-time tracking of eye gaze is valuable in a variety of scenarios
including hands-free interaction with the physical world, detection of unsafe
behaviors, leveraging visual context for advertising, life logging, and others.
While eye tracking is commonly used in clinical trials and user studies, it has
not bridged the gap to everyday consumer use. The challenge is that a real-time
eye tracker is a power-hungry and computation-intensive device which requires
continuous sensing of the eye using an imager running at many tens of frames per
second, and continuous processing of the image stream using sophisticated gaze
estimation algorithms. Our key contribution is the design of an eye tracker that
dramatically reduces the sensing and computation needs for eye tracking, thereby
achieving orders of magnitude reductions in power consumption and form-factor.
The key idea is that eye images are extremely redundant, therefore we can
estimate gaze by using a small subset of carefully chosen pixels per frame. We
instantiate this idea in a prototype hardware platform equipped with a low-power
image sensor that provides random access to pixel values, a low-power ARM Cortex
M3 microcontroller, and a bluetooth radio to communicate with a mobile phone. The
sparse pixel-based gaze estimation algorithm is a multi-layer neural network
learned using a state-of-the-art sparsity-inducing regularization function that
minimizes the gaze prediction error while simultaneously minimizing the number of
pixels used. Our results show that we can operate at roughly 70mW of power, while
continuously estimating eye gaze at the rate of 30 Hz with errors of roughly 3
degrees.
PMID- 26539564
TI - TIM-3, a Possible Target for Immunotherapy in Cancer and Chronic Viral
Infections.
AB - Effector T-cell responses are controlled by complex mechanisms involving various
soluble factors and co-stimulatory and co-inhibitory molecules. These inhibitory
receptors act as immune checkpoints and are extensively investigated as possible
therapeutic targets, such as PD-1 and CTLA-4. Recently TIM-3 is also gaining
prominence in tumor and chronic viral infection models as a candidate for
immunotherapy in conjunction with other inhibitory receptors. This review
discusses the recent findings on the expression of TIM-3 and its ligand in tumor
and chronic viral infection.
PMID- 26539566
TI - Visualization of Time-Series Sensor Data to Inform the Design of Just-In-Time
Adaptive Stress Interventions.
AB - We investigate needs, challenges, and opportunities in visualizing time-series
sensor data on stress to inform the design of just-in-time adaptive interventions
(JITAIs). We identify seven key challenges: massive volume and variety of data,
complexity in identifying stressors, scalability of space, multifaceted
relationship between stress and time, a need for representation at multiple
granularities, interperson variability, and limited understanding of JITAI design
requirements due to its novelty. We propose four new visualizations based on one
million minutes of sensor data (n=70). We evaluate our visualizations with stress
researchers (n=6) to gain first insights into its usability and usefulness in
JITAI design. Our results indicate that spatio-temporal visualizations help
identify and explain between- and within-person variability in stress patterns
and contextual visualizations enable decisions regarding the timing, content, and
modality of intervention. Interestingly, a granular representation is considered
informative but noise-prone; an abstract representation is the preferred starting
point for designing JITAIs.
PMID- 26539567
TI - Is Multi-model Feature Matching Better for Endoscopic Motion Estimation?
AB - Camera motion estimation is a standard yet critical step to endoscopic
visualization. It is affected by the variation of locations and correspondences
of features detected in 2D images. Feature detectors and descriptors vary, though
one of the most widely used remains SIFT. Practitioners usually also adopt its
feature matching strategy, which defines inliers as the feature pairs subjecting
to a global affine transformation. However, for endoscopic videos, we are curious
if it is more suitable to cluster features into multiple groups. We can still
enforce the same transformation as in SIFT within each group. Such a multi-model
idea has been recently examined in the Multi-Affine work, which outperforms
Lowe's SIFT in terms of re-projection error on minimally invasive endoscopic
images with manually labelled ground-truth matches of SIFT features. Since their
difference lies in matching, the accuracy gain of estimated motion is attributed
to the holistic Multi-Affine feature matching algorithm. But, more concretely,
the matching criterion and point searching can be the same as those built in
SIFT. We argue that the real variation is only the motion model verification. We
either enforce a single global motion model or employ a group of multiple local
ones. In this paper, we investigate how sensitive the estimated motion is
affected by the number of motion models assumed in feature matching. While the
sensitivity can be analytically evaluated, we present an empirical analysis in a
leaving-one-out cross validation setting without requiring labels of ground-truth
matches. Then, the sensitivity is characterized by the variance of a sequence of
motion estimates. We present a series of quantitative comparison such as accuracy
and variance between Multi-Affine motion models and the global affine model.
PMID- 26539568
TI - Grating coupled SPR microarray analysis of proteins and cells in blood from mice
with breast cancer.
AB - Biomarker discovery for early disease diagnosis is highly important. Of late,
much effort has been made to analyze complex biological fluids in an effort to
develop new markers specific for different cancer types. Recent advancements in
label-free technologies such as surface plasmon resonance (SPR)-based biosensors
have shown promise as a diagnostic tool since there is no need for labeling or
separation of cells. Furthermore, SPR can provide rapid, real-time detection of
antigens from biological samples since SPR is highly sensitive to changes in
surface-associated molecular and cellular interactions. Herein, we report a lab
on-a-chip microarray biosensor that utilizes grating-coupled surface plasmon
resonance (GCSPR) and grating-coupled surface plasmon coupled fluorescence
(GCSPCF) imaging to detect circulating tumor cells (CTCs) from a mouse model (FVB
MMTV-PyVT). GCSPR and GCSPCF analysis was accomplished by spotting antibodies to
surface cell markers, cytokines and stress proteins on a nanofabricated GCSPR
microchip and screening blood samples from FVB control mice or FVB-MMTV-PyVT mice
with developing mammary carcinomas. A transgenic MMTV-PyVT mouse derived cancer
cell line was also analyzed. The analyses indicated that CD24, CD44, CD326, CD133
and CD49b were expressed in both cell lines and in blood from MMTV-PyVT mice.
Furthermore, cytokines such as IL-6, IL-10 and TNF-alpha, along with heat shock
proteins HSP60, HSP27, HSc70(HSP73), HSP90 total, HSP70/HSc70, HSP90, HSP70,
HSP90 alpha, phosphotyrosine and HSF-1 were overexpressed in MMTV-PyVT mice.
PMID- 26539569
TI - Raman technologies in cancer diagnostics.
AB - Despite significant effort, cancer still remains a leading cause of death
worldwide. In order to reduce its burden, the development and improvement of
noninvasive strategies for early detection and diagnosis of cancer are urgently
needed. Raman spectroscopy, an optical technique that relies on inelastic light
scattering arising from molecular vibrations, is one such strategy, as it can
noninvasively probe cancerous markers using only endogenous contrast. In this
review, spontaneous, coherent and surface enhanced Raman spectroscopies and
imaging, as well as the fundamental principles governing the successful use of
these techniques, are discussed. Methods for spectral data analysis are also
highlighted. Utilization of the discussed Raman techniques for the detection and
diagnosis of cancer in vitro, ex vivo and in vivo is described. The review
concludes with a discussion of the future directions of Raman technologies, with
particular emphasis on their clinical translation.
PMID- 26539570
TI - Halogen mediated voltammetric oxidation of biological thiols and disulfides.
AB - The electrochemical generation of the halides, bromine and iodine, in the
presence of biologically relevant organosulfur is demonstrated to result in an
analytically useful response. In the case of the iodide/iodine redox couple only
the thiol causes an increase in the electrochemical oxidative peak current.
Conversely, the formed bromine may catalytically oxidise both thiols and
disulfides. Hence, the differing reactivities of the halide ions readily allow
discrimination between the closely related thiol and disulphide species. For all
of the organosulfur species investigated (glutathione, cysteine and homocysteine)
micromolar limits of detection are attainable. In the case of the bromine
mediated oxidation this sensitivity at least partially arises from the large
catalytic amplification, such that, for each disulphide molecule up to ten
electrons may be transferred. Ultimately this bromine oxidation results in the
formation of the sulfonate species. For the iodine mediated oxidation of the
thiols the oxidation proceeds no further than to the formation of the associated
disulfide.
PMID- 26539571
TI - [Consensus on national criteria for transthoracic echocardiography competence].
AB - Standardised competence assessment in transthoracic echocardiography (TTE) is
increasingly demanded. Danish Cardiology Society working group on
echocardiography initiated a Delphi study among departments involved in resident
TTE training to obtain consensus on national criteria for TTE competence.
Consensus was obtained on a list of 21 items relevant for TTE competence
assessment. Three items should be performed with great routine after two years
and 16 items after five years of training. The working group recommends the list
being used for competence assessment of cardiology residents.
PMID- 26539572
TI - [Treatment of acute purulent diverticulitis is still not clarified].
AB - Inflammation in colonic diverticula can develop into acute diverticulitis.
Treatment varies depending on illness severity. Perforated diverticulitis with
faecal peritonitis is treated surgically and Hartmann's procedure is the
preferred operation. Peritoneal lavage might be an alternative to resection for
purulent peritonitis. However, ongoing randomized trials are awaited to clarify
this.
PMID- 26539573
TI - [Oxidative stress may cause metastatic disease in patients with colorectal
cancer].
AB - Despite surgical treatment of stage II colorectal cancer many patients will
experience relapse. Inflammatory and immunologic reactions created due to the
surgical stress response result in the production of reactive oxygen species.
Oxidative stress in turn, may result in the stimulation of cancer cells that have
not been cleared by the immune system to metastasize. In this paper we present an
overview of studies where oxidative stress in relation to surgery has been linked
to the development of metastatic disease.
PMID- 26539574
TI - [Postural orthostatic tachycardia syndrome].
AB - Postural orthostatic tachycardia syndrome (POTS) is a heterogeneous condition of
dysautonomia and suspected autoimmunity characterized by abnormal increments in
heart rate upon assumption of the upright posture accompanied by symptoms of
cerebral hypoperfusion and sympathoexcitation. An increase in heart rate equal to
or greater than 30 bpm or to levels higher than 120 bpm during a head-up tilt
test is the main diagnostic criterion. Management includes both non
pharmacological and pharmacological treatment focusing on stress management,
volume expansion and heart rate control.
PMID- 26539575
TI - [Danish Cholinesterase Research Unit diagnoses patients with prolonged paralysis
after succinylcholine and mivacurium].
AB - The Danish Cholinesterase Research Unit (DCRU) is a nationwide unit for patients
carrying mutations in the butyrylcholinesterase enzyme (BChE). BChE hydrolyzes
the neuromuscular blocking drugs succinylcholine and mivacurium. Patients with
mutations in the butyrylcholinesterase gene are at risk of experiencing a
prolonged effect of the drugs, such as weakness or paralysis for hours. In order
to diagnose the referred patients correctly, DCRU combines results such as BChE
activity, genotyping, pedigree and clinical reactions to succinylcholine or
mivacurium.
PMID- 26539576
TI - [Aluminium allergy and granulomas induced by vaccinations for children].
AB - Vaccination with aluminium-adsorbed vaccines can induce aluminium allergy with
persistent itching subcutaneous nodules at the injection site - vaccination
granulomas. In this article we give an overview of childhood aluminium-adsorbed
vaccines available in Denmark. Through literature studies we examine the
incidence, the symptoms and the prognosis for the vaccination granulomas and the
allergy. Finally we discuss the status in Denmark.
PMID- 26539577
TI - [More research is needed in telemedicine for well-defined patient groups].
AB - The Whole Systems Demonstrator (WSD), a cluster randomized trial of effects of
telehealth, was initiated in 2008 including 3.230 patients from 179 general
practices. The objective of this review is to summarize the results from WSD
based on publications made so far. Results from five publications show that
telehealth reduces mortality (odds ratio 0.54) during 12 months. The use of
secondary care is reduced, however, when including costs of telehealth, the total
costs are higher for
PMID- 26539578
TI - [Alcohol use disorders in elderly people calls for treatment].
AB - The complications related to use of alcohol occur at lower levels of alcohol use
in the elderly. Alcohol use disorders (AUD) in the elderly are underestimated and
often incorrectly diagnosed. However, evidence indicates that elderly are as
likely to benefit from treatment as younger. Screening using Alcohol Use
Disorders Identification Test seems to be accurate in identifying AUD among
elderly, if the cut-off point is tailored for this age group. The recommended
treatment strategy is naltrexone and brief approaches such as motivational
interview and supportive consultation in general practice.
PMID- 26539579
TI - [Active surveillance is a useful strategy in the management of patients with low
risk prostate cancer].
AB - Radical prostatectomy has in randomised settings failed to demonstrate a survival
difference in patients with low-risk prostate cancer when tested against an
observational strategy. Active surveillance has been introduced in order to
reduce overtreatment by distinguishing between cancers with a biological
potential, and truly indolent cancers best left untreated. Preliminary results
from large prospective active surveillance cohorts are promising; however,
uncertainties persist concerning optimal patient selection and follow-up, as well
as the long-term safety.
PMID- 26539580
TI - Trans-Atlantic Debate: Is an "Endovascular First" Strategy the Optimal Approach
for Treating Acute Mesenteric Ischemia?
PMID- 26539581
TI - [Not Available].
PMID- 26539582
TI - [In Process Citation].
PMID- 26539583
TI - [Not Available].
PMID- 26539584
TI - [In Process Citation].
PMID- 26539585
TI - [In Process Citation].
PMID- 26539587
TI - [Not Available].
PMID- 26539586
TI - [Paul Brune (1935 - 2015): an dedicated psychiatric survivor and victim of the
Nazi regime].
PMID- 26539588
TI - Effects of chloroquine therapy on white blood cells.
PMID- 26539589
TI - Circulating reactive plasma cells in the setting of peripheral T-cell lymphoma
mimicking plasma cell leukemia.
PMID- 26539590
TI - The power of unity.
PMID- 26539591
TI - The continuing education challenge.
PMID- 26539592
TI - Wildlife disease discoveries.
PMID- 26539593
TI - Behind the scenes of higher education reform.
PMID- 26539594
TI - Family violence and the family pet.
PMID- 26539596
TI - How to introduce and maintain change in the workplace.
PMID- 26539595
TI - Mastitis - an udderly complex problem in sheep.
PMID- 26539597
TI - SA Citizen Jury.
PMID- 26539598
TI - A closer look at veterinary internships in Australia.
PMID- 26539599
TI - Vanessa Barrs.
PMID- 26539600
TI - [Not Available].
PMID- 26539621
TI - Correction to Reinterpreting the Mechanism of Inhibition of Mycobacterium
tuberculosis d-Alanine:d-Alanine Ligase by d-Cycloserine.
PMID- 26539622
TI - Use and perception of collars for companion cats in New Zealand.
AB - AIMS: To investigate the use and utility of collars for companion cats in New
Zealand, and to explore public perception of collar use. METHODS: An online
questionnaire was distributed using emails and social media to members of the
general public in New Zealand. The questionnaire collected details of
respondents, cat ownership status, and responses to a number of questions
regarding collar use in cats. RESULTS: A total of 511 responses were collected.
Of these, 393/511 (76.9%) reported owning >=1 cat at the time of the survey, and
141/393 (35.9%) stated that >=1 of their cats wore collars and 211/393 (53.7%)
had >=1 of their cats micro-chipped. Of the respondents with a pet cat, 351/393
(89.3%) allowed their cats some outdoor access. Respondents mainly used collars
for identification and to reduce predation. Reasons for not using collars
included cat intolerance of collars, repeated collar loss and concern over collar
safety. Differences were found between cat owners and non-owners regarding
whether they agreed that cats were important for pest control (43 vs. 25%,
p<0.001); that not all cats will tolerate collars (81 vs. 64%, p<0.001); that
cats should be kept indoors at night (37 vs. 58%, p<0.001); or disagreed that
well fed cats will not catch birds (60 vs. 70%, p=0.04); and disagreed that a cat
without a collar was likely to be a stray (85 vs. 76%, p<0.001). Respondents most
trusted veterinarians and the Society for the Prevention of Cruelty to Animals as
sources of pet care information. CONCLUSIONS: Collar use within this sample of
cat owners in New Zealand appeared to be low, with more using microchips for
identification. The majority of cat owners in this study indicated their cats had
some outdoor access, with collars being used for cat identification and to reduce
hunting behaviour. Significant differences existed in opinions on cat management
between cat owners and non-owners in this study. It should be noted that this
preliminary exploration was based on a self-selected group of respondents and so
results and conclusions cannot be extrapolated to the wider population.
RELEVANCE: As the most trusted source of information about pet care, an enhanced
understanding of cat ownership and management may be of use to veterinarians to
promote responsible pet ownership and to develop national policies and practices
to improve cat welfare.
PMID- 26539620
TI - Next-Generation Testing for Cancer Risk: Perceptions, Experiences, and Needs
Among Early Adopters in Community Healthcare Settings.
AB - BACKGROUND: Advances in next-generation sequencing (NGS) technologies are driving
a shift from single-gene to multigene panel testing for clinical genetic cancer
risk assessment (GCRA). This study explored perceptions, experiences, and
challenges with NGS testing for GCRA among U.S. community-based clinicians.
METHODS: Surveys delivered at initial and 8-month time points, and 12-month
tracking of cases presented in a multidisciplinary web-based case conference
series, were conducted with GCRA providers who participated in a 235-member
nationwide community of practice. RESULTS: The proportion of respondents ordering
panel tests rose from 29% at initial survey (27/94) to 44% (46/107) within 8
months. Respondents reported significantly less confidence about interpreting and
counseling about NGS compared with single-gene test results (p < 0.0001 for all
comparisons). The most cited reasons for not ordering NGS tests included concerns
about clinical utility, interpreting and communicating results, and lack of
knowledge/skills. Multigene panels were used in 204/668 cases presented during
2013, yielding 37 (18%) deleterious (7% in low/moderate-penetrance genes), 88
(43%) with >=1 variant of uncertain significance, 77 (38%) uninformative
negative, and 2 (1%) inconclusive results. CONCLUSIONS: Despite concerns about
utility and ability to interpret/counsel about NGS results, a rapidly increasing
uptake of NGS testing among community clinicians was documented. Challenges
identified in case discussions point to the need for ongoing education, practice
based support, and opportunities to partner in research that contributes to
characterization of lesser known genes.
PMID- 26539626
TI - Resveratrol-Related Polymethoxystilbene Glycosides: Synthesis, Antiproliferative
Activity, and Glycosidase Inhibition.
AB - A small library of polymethoxystilbene glycosides (20-25) related to the natural
polyphenol resveratrol have been synthesized and subjected, together with their
aglycones 17-19, to an antiproliferative activity bioassay toward Caco-2 and SH
SY5Y cancer cells. Six of the compounds exhibit antiproliferative activity
against at least one cell line. In particular, compounds 17 and 18 proved highly
active on at least one of the two cell cultures. Compound 18 showed a GI50 value
of 3 MUM against Caco-2 cells, a value comparable to that of the anticancer drug
5-fluorouracil. The closely related compound 19 proved inactive, and its
conjugates 22 and 25 showed weak cell growth inhibition. The results indicate
that minimal differences in the structure of both polymethoxystilbenes and their
glycosides can substantially affect the antiproliferative activity. The possible
hydrolytic release of the aglycones 17-19 by beta-glucosidase or beta
galactosidase was also evaluated. Compounds 20-25 were also tested as potential
beta-glucosidase, beta-galactosidase, and alpha-glucosidase inhibitors. A
promising inhibitory activity toward alpha-glucosidase was observed for 21 (IC50
= 78 MUM) and 25 (IC50 = 70 MUM), which might be indicative of their potential as
lead compounds for development of antidiabetic or antiobesity agents.
PMID- 26539625
TI - Ratiometric Organic Fibers for Localized and Reversible Ion Sensing with
Micrometer-Scale Spatial Resolution.
AB - A fundamental issue in biomedical and environmental sciences is the development
of sensitive and robust sensors able to probe the analyte of interest, under
physiological and pathological conditions or in environmental samples, and with
very high spatial resolution. In this work, novel hybrid organic fibers that can
effectively report the analyte concentration within the local microenvironment
are reported. The nanostructured and flexible wires are prepared by embedding
fluorescent pH sensors based on seminaphtho-rhodafluor-1-dextran conjugate. By
adjusting capsule/polymer ratio and spinning conditions, the diameter of the
fibers and the alignment of the reporting capsules are both tuned. The hybrid
wires display excellent stability, high sensitivity, as well as reversible
response, and their operation relies on effective diffusional kinetic coupling of
the sensing regions and the embedding polymer matrix. These devices are believed
to be a powerful new sensing platform for clinical diagnostics, bioassays and
environmental monitoring.
PMID- 26539624
TI - Ocular Adverse Events Associated with Antibody-Drug Conjugates in Human Clinical
Trials.
AB - This article reviews ocular adverse events (AEs) reported in association with
administration of antibody-drug conjugates (ADCs) in human clinical trials.
References reporting ocular toxicity or AEs associated with ADCs were collected
using online publication searches. Articles, abstracts, or citations were
included if they cited ocular toxicities or vision-impairing AEs with a confirmed
or suspected association with ADC administration. Twenty-two references were
found citing ocular or vision-impairing AEs in association with ADC
administration. All references reported use of ADCs in human clinical trials for
treatment of various malignancies. The molecular target and cytotoxic agent
varied depending on the ADC used. Ocular AEs affected a diversity of ocular
tissues. The most commonly reported AEs involved the ocular surface and included
blurred vision, dry eye, and corneal abnormalities (including microcystic corneal
disease). Most ocular AEs were not severe (<= grade 2) or dose limiting. Clinical
outcomes were not consistently reported, but when specified, most AEs improved or
resolved with cessation of treatment or with ameliorative therapy. A diverse
range of ocular AEs are reported in association with administration of ADCs for
the treatment of cancer. The toxicologic mechanism(s) and pathogenesis of such
events are not well understood, but most are mild in severity and reversible.
Drug development and medical professionals should be aware of the clinical
features of these events to facilitate early recognition and intervention in the
assessment of preclinical development programs and in human clinical trials.
PMID- 26539627
TI - Glutamate levels control HT22 murine hippocampal cell death by regulating
biphasic patterns of Erk1/2 activation: role of metabolic glutamate receptor 5.
AB - Extracellular glutamate concentration is a critical determinant of neuronal cell
fate. We recently demonstrated that HT22 murine hippocampal cell viability was
reduced by exposure to high concentrations of glutamate, whereas low
concentrations promoted cell survival. Extracellular signal-regulated kinase
(Erk)1/2 activation by glutamate is important for both glutamate-induced cell
death and survival. In this study, we investigated the role of glutamate-induced
or hydrogen peroxide (H2O2)-induced Erk1/2 activation in HT22 cell fate
determination. Glutamate and H2O2 treatment similarly induced early (<1 h) Erk1/2
phosphorylation regardless of concentration. On the other hand, persistent Erk1/2
phosphorylation (16-24 h) was observed only in the presence of excess glutamate.
Only the latter contributed to glutamate-induced cell death, which involved
metabolic glutamate receptor 5. Our findings suggest that glutamate concentration
modulates two distinct phases of Erk1/2 activation, which can explain the
glutamate concentration-dependent determination of HT22 cell fate.
PMID- 26539628
TI - Kafirin Nanoparticle-Stabilized Pickering Emulsions as Oral Delivery Vehicles:
Physicochemical Stability and in Vitro Digestion Profile.
AB - Kafirin nanoparticle-stabilized Pickering emulsions (KPEs) were used to
encapsulate curcumin. The stability of KPEs under processing conditions and their
protective effects against photo-oxidation of curcumin and lipid oxidation of oil
in emulsions, as well as the digestion profiles in gastrointestinal tract, were
investigated. KPEs were found to be more stable under acidic than basic
environment, and elevated temperature induced their structural instability. The
protective effect of KPEs on the chemical stability of curcumin was manifested
when subjected to UV radiation as compared to other comparable formulations, such
as bulk oil or Tween 80 stabilized emulsions (TEs). Meanwhile, the lipid
oxidation rate was retarded in KPEs as compared to those of TEs. Due to
hydrolysis of pepsin, KPEs could not survive through the gastric digestion
process. After the intestinal digestion process, the extent of lipolysis of KPEs
and the curcumin bioaccessibility fell between those of TEs and bulk oil. These
results will fill the gap between the physicochemical properties of protein
particle-based Pickering emulsions and their realistic applications in the oral
delivery of functional food ingredients.
PMID- 26539629
TI - Large scale industrialized cell expansion: producing the critical raw material
for biofabrication processes.
AB - Cellular biomanufacturing technologies are a critical link to the successful
application of cell and scaffold based regenerative therapies, organs-on-chip
devices, disease models and any products with living cells contained in them. How
do we achieve production level quantities of the key ingredient-'the living
cells' for all biofabrication processes, including bioprinting and biopatterning?
We review key cell expansion based bioreactor operating principles and how 3D
culture will play an important role in achieving production quantities of
billions to even trillions of anchorage dependent cells. Furthermore, we
highlight some of the challenges in the field of cellular biomanufacturing that
must be addressed to achieve desired cellular yields while adhering to the key
pillars of good manufacturing practices-safety, purity, stability, potency and
identity. Biofabrication technologies are uniquely positioned to provide improved
3D culture surfaces for the industrialized production of living cells.
PMID- 26539630
TI - Evaluation and optimization of the parameters used in multiple-atlas-based
segmentation of prostate cancers in radiation therapy.
AB - OBJECTIVE: To evaluate and optimize the parameters used in multiple-atlas-based
segmentation of prostate cancers in radiation therapy. METHODS: A retrospective
study was conducted, and the accuracy of the multiple-atlas-based segmentation
was tested on 30 patients. The effect of library size (LS), number of atlases
used for contour averaging and the contour averaging strategy were also studied.
The autogenerated contours were compared with the manually drawn contours. Dice
similarity coefficient (DSC) and Hausdorff distance were used to evaluate the
segmentation agreement. RESULTS: Mixed results were found between simultaneous
truth and performance level estimation (STAPLE) and majority vote (MV)
strategies. Multiple-atlas approaches were relatively insensitive to LS. A LS of
ten was adequate, and further increase in the LS only showed insignificant gain.
Multiple atlas performed better than single atlas for most of the time. Using
more atlases did not guarantee better performance, with five atlases performing
better than ten atlases. With our recommended setting, the median DSC for the
bladder, rectum, prostate, seminal vesicle and femurs was 0.90, 0.77, 0.84, 0.56
and 0.95, respectively. CONCLUSION: Our study shows that multiple-atlas-based
strategies have better accuracy than single-atlas approach. STAPLE is preferred,
and a LS of ten is adequate for prostate cases. Using five atlases for contour
averaging is recommended. The contouring accuracy of seminal vesicle still needs
improvement, and manual editing is still required for the other structures.
ADVANCES IN KNOWLEDGE: This article provides a better understanding of the
influence of the parameters used in multiple-atlas-based segmentation of prostate
cancers.
PMID- 26539632
TI - Experiences of using a commercial dose management system (GE DoseWatch) for CT
examinations.
AB - OBJECTIVE: To assess the use of a commercial dose management system (GE
DoseWatch; GEMS, Milwaukee, WI) for CT dose management for six common CT
examinations. METHODS: Data were acquired over several months using GE DoseWatch
for six common CT examinations on three CT scanners. The dose length product
(DLP) was taken as the dose indicator. The data were analysed using four
different filtering methods: study description, the National Interim Clinical
Imaging Procedure code, protocol name and a more detailed filtering method (the
reference data set). The filtering methods were compared using an analysis of
variance and multiple comparison technique. The different scanners were compared
using the reference data set. RESULTS: It was found that integrating DoseWatch
with the radiology information system provided improved results compared with
using the study description. Filtering by study description was found to be a
poor indicator of the mean dose for all three scanners and consistently
overestimated (p < 0.05) the head and thorax-abdo-pelvis mean DLP values, despite
the large sample sizes. Filtering by the National Interim Clinical Imaging
Procedure code or protocol name produced mean DLPs which were not statistically
different from the reference data. The scanner intercomparison showed some
significant differences between the scanners, usually due to different tube
current modulation settings. CONCLUSION: The use of a commercial dose monitoring
system provided fast and efficient filtering of substantial amounts of data. The
filtering method affected the mean DLP value despite large sample sizes. ADVANCES
IN KNOWLEDGE: Dose management systems are relatively new in the UK, and this
article shares knowledge on the use of one system.
PMID- 26539631
TI - The curative management of synchronous rectal and prostate cancer.
AB - OBJECTIVE: Neoadjuvant "long-course" chemoradiation is considered a standard of
care in locally advanced rectal cancer. In addition to prostatectomy, external
beam radiotherapy and brachytherapy with or without androgen suppression (AS) are
well established in prostate cancer management. A retrospective review of ten
cases was completed to explore the feasibility and safety of applying these
standards in patients with dual pathology. To our knowledge, this is the largest
case series of synchronous rectal and prostate cancers treated with curative
intent. METHODS: Eligible patients had synchronous histologically proven locally
advanced rectal cancer (defined as cT3-4Nx; cTxN1-2) and non-metastatic prostate
cancer (pelvic nodal disease permissible). Curative treatment was delivered to
both sites simultaneously. Follow-up was as per institutional guidelines. Acute
and late toxicities were reviewed, and a literature search performed. RESULTS:
Pelvic external beam radiotherapy (RT) 45-50.4 Gy was delivered concurrent with 5
fluorouracil (5FU). Prostate total dose ranged from 70.0 to 79.2 Gy. No acute
toxicities occurred, excluding AS-induced erectile dysfunction. Nine patients
proceeded to surgery, and one was managed expectantly. Three relapsed with
metastatic colorectal cancer, two with metastatic prostate cancer. Five patients
have no evidence of recurrence, and four remain alive with metastatic disease.
With a median follow-up of 2.2 years (range 1.2-6.3 years), two significant late
toxicities occurred; G3 proctitis in a patient receiving palliative bevacizumab
and a G3 anastomotic stricture precluding stoma reversal. CONCLUSION: Patients
proceeding to synchronous radical treatment of both primary sites should receive
45-50.4 Gy pelvic RT with infusional 5FU. Prostate dose escalation should be
given with due consideration to the potential impact of prostate cancer on
patient survival, as increasing dose may result in significant late morbidity.
Review of published series explores the possibility of prostate brachytherapy as
an alternative method of boost delivery. Frequent use of bevacizumab in
metastatic rectal cancer may compound late rectal morbidity in this cohort.
ADVANCES IN KNOWLEDGE: To our knowledge, this is the largest case series of
synchronous rectal and prostate cancers treated with curative intent. This
article contributes to the understanding of how best to approach definitive
treatment in these patients.
PMID- 26539633
TI - Focal pleural thickening mimicking pleural plaques on chest computed tomography:
tips and tricks.
AB - Diagnosis of pleural plaques (PPs) is commonly straightforward, especially when a
typical appearance is observed in a context of previous asbestos exposure.
Nevertheless, numerous causes of focal pleural thickening may be seen in routine
practice. They may be related to normal structures, functional pleural
thickening, previous tuberculosis, pleural metastasis, silicosis or other rarer
conditions. An application of a rigorous technical approach as well as a
familiarity with loco-regional anatomy and the knowledge of typical aspects of PP
are required. Indeed, false-positive or false-negative results may engender
psychological and medico-legal consequences or can delay diagnosis of malignant
pleural involvement. Correct recognition of PPs is crucial, as they may also be
an independent risk factor for mortality from lung cancer in asbestos-exposed
workers particularly in either smokers or former/ex-smokers. Finally, the
presence of PP(s) may help in considering asbestosis as a cause of interstitial
lung disease predominating in the subpleural area of the lower lobes. The aim of
this pictorial essay is to provide a brief reminder of the normal anatomy of the
pleura and its surroundings as well as the various aspects of PPs. Afterwards,
the common pitfalls encountered in PP diagnosis will be emphasized and practical
clues to differentiate actual plaque and pseudoplaque will be concisely
described.
PMID- 26539634
TI - Therapeutic Applications of Aptamer-Based Riboswitches.
AB - Aptamers bind to their targets with high affinity and specificity through
structure-based complementarity, instead of sequence complementarity that is used
by most of the oligonucleotide-based therapeutics. This property has been
exploited in using aptamers as multifunctional therapeutic units, by attaching
them to therapeutic drugs, nanoparticles, or imaging agents, or as direct
molecular decoys for inducing loss-of-function or gain-of-function of targets.
One of the most interesting fields of aptamer application is their development as
molecular sensors to regulate artificial riboswitches. Naturally, the
riboswitches sense small-molecule metabolites and respond by regulating the
expression of the corresponding metabolic genes. Riboswitches are cis-acting RNA
structures that consist of the sensing (aptamer) and the regulating (expression
platform) domains. In principle, diverse riboswitches can be engineered and
applied to control different steps of gene expression in bacterial species as
well as eukaryotes, by simply replacing aptamers against various endogenous
and/or exogenous targets. Although these engineered aptamer-based riboswitches
are recently gaining attention, it is clear that aptamer-based riboswitches have
a potential for next-generation therapeutics against various diseases because of
their controllability, specificity, and modularity in regulating gene expression
through various cellular processes, including transcription, splicing, stability,
RNA interference, and translation. In this review, we provide a summary of the
recently developed and engineered aptamer-based riboswitches focusing on their
therapeutic availability and further discuss their clinical potential.
PMID- 26539635
TI - Outcome of Cervical Radiculopathy Treated with Periradicular/Epidural
Corticosteroid Injections.
PMID- 26539636
TI - Notice to Readers.
PMID- 26539638
TI - Polydimethylsiloxane bilayer films with an embedded spontaneous curvature.
AB - Elastomer polydimethylsiloxane (PDMS) films with embedded in-plane gradient
stress are created by making PDMS/(PDMS + silicone oil) crosslinked bilayers and
extracting the oil in a suitable organic solvent bath. The collapse of the
elastomer after oil extraction generates differential stress in the films that is
manifested through their out-of-plane deformation. The curvature kappa of narrow
stripes of the bilayer, which is composed of layers of approximately equal
thicknesses and elasticity moduli, is satisfactorily described by the simple
relationship kappa = 1.5deltaH(-1), where delta is the mechanical strain, and H
is the total thickness of the bilayer. Curvature mapping of triangular PDMS
plates reveals the existence of spherical and cylindrical types of deformation at
different locations of the plates. Various 3D-shaped objects can be formed by the
self-folding of appropriately designed 2D patterns that are cut from the films,
or by nonuniform distribution of the collapsing layer. Thin PDMS bilayers with
embedded stress roll up into microtubes of almost perfect cylindrical shape when
released in a controlled manner from a substrate.
PMID- 26539639
TI - Engineering Systems with Spatially Separated Enzymes via Dual-Stimuli-Sensitive
Properties of Microgels.
AB - This work examines the adsorption regime and the properties of microgel/enzyme
thin films deposited onto conductive graphite-based substrates. The films were
formed via two-step sequential adsorption. A temperature- and pH-sensitive poly(N
isopropylacrylamide)-co-(3-(N,N-dimethylamino)propylmethacrylamide) microgel
(poly(NIPAM-co-DMAPMA microgel) was adsorbed first, followed by its interaction
with the enzymes, choline oxidase (ChO), butyrylcholinesterase (BChE), or
mixtures thereof. By temperature-induced stimulating both (i) poly(NIPAM-co
DMAPMA) microgel adsorption at T > VPTT followed by short washing and drying and
then (ii) enzyme loading at T < VPTT, we can effectively control the amount of
the microgel adsorbed on a hydrophobic interface as well as the amount and the
spatial localization of the enzyme interacted with the microgel film. Depending
on the biomolecule size, enzyme molecules can (in the case for ChO) or cannot (in
the case for BChE) penetrate into the microgel interior and be localized
inside/outside the microgel particles. Different spatial localization, however,
does not affect the specific enzymatic responses of ChO or BChE and does not
prevent cascade enzymatic reaction involving both BChE and ChO as well. This was
shown by the methods of electrochemical impedance spectroscopy (EIS), atomic
force microscopy (AFM), and amperometric analysis of enzymatic responses of
immobilized enzymes. Thus, a novel simple and fast strategy for physical
entrapment of biomolecules by the polymeric matrix was proposed, which can be
used for engineering systems with spatially separated enzymes of different types.
PMID- 26539640
TI - Disease-Specific Hashtags for Online Communication About Cancer Care.
PMID- 26539641
TI - Prognostic impact of Epstein-Barr virus (EBV)-DNA copy number at diagnosis in
chronic lymphocytic leukemia.
AB - Epstein-Barr virus (EBV)-DNA is detected in the blood of some persons with
chronic lymphocytic leukemia (CLL) at diagnosis. Whether this is important in the
development or progression of CLL is controversial. We interrogated associations
between blood EBV-DNA copy number and biological and clinical variables in 243
new-diagnosed consecutive subjects with CLL. Quantification of EBV-DNA copies was
done by real-time quantitative PCR (RQ-PCR). All subjects had serological
evidence of prior EBV-infection. However, only 24 subjects (10%) had a EBV-DNA
positive test at diagnosis. EBV-DNA-positive subjects at diagnosis had lower
hemoglobin concentrations and platelet levels, higher thymidine kinase-1 and
serum ferritin levels, un-mutated IGHV genes and a greater risk of Richter
transformation compared with EBV-DNA-negative subjects. Percent CD20-, CD148- and
ZAP70-positive cells and mean fluorescence intensity (MFI) of each cluster
designation were also increased in EBV-DNA-positive subjects at diagnosis. EBV
DNA test positivity was associated with a briefer time-to-treatment interval (HR
1.85; [95% confidence interval, 1.13, 3.03]; P=0.014) and worse survival (HR
2.77; [1.18, 6.49]; P=0.019). Reduction in EBV copies was significantly
associated with therapy-response. A positive blood EBV-DNA test at diagnosis and
sequential testing of EBV copies during therapy were significantly associated
with biological and clinical variables, time-to-treatment, therapy-response and
survival. If validated these data may be added to CLL prognostic scoring systems.
PMID- 26539642
TI - Genome-wide endogenous DAF-16/FOXO recruitment dynamics during lowered insulin
signalling in C. elegans.
AB - Lowering insulin-IGF-1-like signalling (IIS) activates FOXO transcription factors
(TF) to extend life span across species. To study the dynamics of FOXO chromatin
occupancy under this condition in C. elegans, we report the first recruitment
profile of endogenous DAF-16 and show that the response is conserved. DAF-16
predominantly acts as a transcriptional activator and binding within the 0.5 kb
promoter-proximal region results in maximum induction of downstream targets that
code for proteins involved in detoxification and longevity. Interestingly, genes
that are activated under low IIS already have higher DAF-16 recruited to their
promoters in WT. DAF-16 binds to variants of the FOXO consensus sequence in the
promoter proximal regions of genes that are exclusively targeted during low IIS.
We also define a set of 'core' direct targets, after comparing multiple studies,
which tend to co-express and contribute robustly towards IIS-associated
phenotypes. Additionally, we show that nuclear hormone receptor DAF-12 as well as
zinc-finger TF EOR-1 may bind DNA in close proximity to DAF-16 and distinct TF
classes that are direct targets of DAF-16 may be instrumental in regulating its
indirect targets. Together, our study provides fundamental insights into the
transcriptional biology of FOXO/DAF-16 and gene regulation downstream of the IIS
pathway.
PMID- 26539643
TI - The role of N-glycans in colorectal cancer progression: potential biomarkers and
therapeutic applications.
AB - Changes in glycosylation, which is one of the most common protein post
translational modifications, are considered to be a hallmark of cancer. N-glycans
can modulate cell migration, cell-cell adhesion, cell signaling, growth and
metastasis. The colorectal cancer (CRC) is a leading cause of cancer-related
mortality and the correlation between CRC progression and changes in the pattern
of expression of N-glycans is being considered in the search for new biomarkers.
Here, we review the role of N-glycans in CRC cell biology. The perspectives on
emerging N-glycan-related anticancer therapies, along with new insights and
challenges, are also discussed.
PMID- 26539644
TI - Sensitivity of human pleural mesothelioma to oncolytic measles virus depends on
defects of the type I interferon response.
AB - Attenuated measles virus (MV) is currently being evaluated as an oncolytic virus
in clinical trials and could represent a new therapeutic approach for malignant
pleural mesothelioma (MPM). Herein, we screened the sensitivity to MV infection
and replication of twenty-two human MPM cell lines and some healthy primary
cells. We show that MV replicates in fifteen of the twenty-two MPM cell lines.
Despite overexpression of CD46 by a majority of MPM cell lines compared to
healthy cells, we found that the sensitivity to MV replication did not correlate
with this overexpression. We then evaluated the antiviral type I interferon (IFN)
responses of MPM cell lines and healthy cells. We found that healthy cells and
the seven insensitive MPM cell lines developed a type I IFN response in presence
of the virus, thereby inhibiting replication. In contrast, eleven of the fifteen
sensitive MPM cell lines were unable to develop a complete type I IFN response in
presence of MV. Finally, we show that addition of type I IFN onto MV sensitive
tumor cell lines inhibits replication. These results demonstrate that defects in
type I IFN response are frequent in MPM and that MV takes advantage of these
defects to exert oncolytic activity.
PMID- 26539645
TI - Myristic acid potentiates palmitic acid-induced lipotoxicity and steatohepatitis
associated with lipodystrophy by sustaning de novo ceramide synthesis.
AB - Palmitic acid (PA) induces hepatocyte apoptosis and fuels de novo ceramide
synthesis in the endoplasmic reticulum (ER). Myristic acid (MA), a free fatty
acid highly abundant in copra/palmist oils, is a predictor of nonalcoholic
steatohepatitis (NASH) and stimulates ceramide synthesis. Here we investigated
the synergism between MA and PA in ceramide synthesis, ER stress, lipotoxicity
and NASH. Unlike PA, MA is not lipotoxic but potentiated PA-mediated
lipoapoptosis, ER stress, caspase-3 activation and cytochrome c release in
primary mouse hepatocytes (PMH). Moreover, MA kinetically sustained PA-induced
total ceramide content by stimulating dehydroceramide desaturase and switched the
ceramide profile from decreased to increased ceramide 14:0/ceramide16:0, without
changing medium and long-chain ceramide species. PMH were more sensitive to
equimolar ceramide14:0/ceramide16:0 exposure, which mimics the outcome of PA plus
MA treatment on ceramide homeostasis, than to either ceramide alone. Treatment
with myriocin to inhibit ceramide synthesis and tauroursodeoxycholic acid to
prevent ER stress ameliorated PA plus MA induced apoptosis, similar to the
protection afforded by the antioxidant BHA, the pan-caspase inhibitor z-VAD-Fmk
and JNK inhibition. Moreover, ruthenium red protected PMH against PA and MA
induced cell death. Recapitulating in vitro findings, mice fed a diet enriched in
PA plus MA exhibited lipodystrophy, hepatosplenomegaly, increased liver ceramide
content and cholesterol levels, ER stress, liver damage, inflammation and
fibrosis compared to mice fed diets enriched in PA or MA alone. The deleterious
effects of PA plus MA-enriched diet were largely prevented by in vivo myriocin
treatment. These findings indicate a causal link between ceramide synthesis and
ER stress in lipotoxicity, and imply that the consumption of diets enriched in MA
and PA can cause NASH associated with lipodystrophy.
PMID- 26539646
TI - PARP1 expression, activity and ex vivo sensitivity to the PARP inhibitor,
talazoparib (BMN 673), in chronic lymphocytic leukaemia.
AB - In chronic lymphocytic leukemia (CLL), mutation and loss of p53 and ATM abrogate
DNA damage signalling and predict poorer response and shorter survival. We
hypothesised that poly (ADP-ribose) polymerase (PARP) activity, which is crucial
for repair of DNA breaks induced by oxidative stress or chemotherapy, may be an
additional predictive biomarker and a target for therapy with PARP inhibitors.We
measured PARP activity in 109 patient-derived CLL samples, which varied widely
(192 - 190052 pmol PAR/106 cells) compared to that seen in healthy volunteer
lymphocytes (2451 - 7519 pmol PAR/106 cells). PARP activity was associated with
PARP1 protein expression and endogenous PAR levels. PARP activity was not
associated with p53 or ATM loss, Binet stage, IGHV mutational status or survival,
but correlated with Bcl-2 and Rel A (an NF-kB subunit). Levels of 8-hydroxy-2'
deoxyguanosine in DNA (a marker of oxidative damage) were not associated with PAR
levels or PARP activity. The potent PARP inhibitor, talazoparib (BMN 673),
inhibited CD40L-stimulated proliferation of CLL cells at nM concentrations,
independently of Binet stage or p53/ATM function.PARP activity is highly variable
in CLL and correlates with stress-induced proteins. Proliferating CLL cells
(including those with p53 or ATM loss) are highly sensitive to the PARP inhibitor
talazoparib.
PMID- 26539647
TI - C-reactive protein but not hepcidin, NGAL and transferrin determines the ESA
resistance in hemodialysis patients.
AB - BACKGROUND: Erythropoiesis-stimulating agents (ESA) are commonly used for the
treatment of anemia in hemodialysis (HD) patients, however, 5-10% of these
patients have resistance to ESA treatment. Hepcidin and neutrophil-gelatinase
associated lipocalin (NGAL) are induced by inflammation and these proteins may
take role in ESA resistance. Herein, we aimed to investigate the effects of serum
hepcidin, NGAL, transferrin and C-reactive protein (CRP) levels on ESA resistance
in HD patients. METHODS: A total of 63 chronic HD patients (6.0 +/- 17 years,
M/F:44/19) and 20 healthy controls (6.0 +/- 4 years, M/F:14/6) were enrolled. ESA
resistance index (ERI) was calculated as weekly ESA dose (IU)/body weight
(kg)/hemoglobin level (g/dL). Patients on ESA treatment were divided into two
groups depending on the median ERI value as low and high ERI groups. RESULTS:
Serum ferritin, hepcidin and NGAL levels were significantly higher in HD patients
compared with controls. Serum transferrin levels were lower in high ESA index
group compared with patients without ESA treatment and healthy controls. ERI was
significantly correlated with serum CRP levels (r = 0.55, p < 0.001). In HD
patients, serum hepcidin levels were associated with ferritin (r = 0.55, p <
0.01) and creatinine (r = 0.27, p = 0.03). Dose of ESA was significantly
associated with serum CRP (r = 0.34, p = 0.02), total protein (r = -0.34, p =
0.01), transferrin (r = -0.28, p = 0.04) and ferritin (r = 0.31, p = 0.02). In
linear regression analysis to predict ERI, age, gender, serum CRP, hepcidin,
NGAL, albumin, ferritin and BMI were included (Model R = 0.62, R(2) =0 .38, p =
0.02). Serum CRP was the only significant factor predicting ERI. CONCLUSION: CRP
was the only predictor of ESA resistance index in HD patients. Hepcidin, NGAL and
transferrin were not found to be markers of ESA resistance.
PMID- 26539648
TI - Effects of two calcium silicate cements on cell viability, angiogenic growth
factor release and related gene expression in stem cells from the apical papilla.
AB - AIM: To evaluate the effects of two types of calcium silicate cements on
viability, angiogenic growth factor release, and angiogenic and inflammation
related gene expression in human stem cells from the apical papilla (SCAP).
METHODOLOGY: SCAPs were grown for 7 days with either ProRoot mineral trioxide
aggregate (MTA) or Biodentine (BD). Cell viability and media concentrations of
vascular endothelial growth factor (VEGF/VEGFA) and angiopoietin 1 (ANGPT1) were
measured. The expression of genes related to angiogenic potential and
inflammatory response was measured by quantitative reverse transcription
polymerase chain reaction (qRT-PCR). One-way and two-way analyses of variance
with multiple comparisons Tukey's test were performed (P < 0.05). RESULTS: Cells
in contact with either cement were associated with increased cell viability
compared with the no-treatment group at day 1 but there were no differences
amongst groups at days 3 and 7. Exposure to either cement significantly increased
VEGF concentrations at day 3; however, ANGPT-1 levels decreased significantly
compared with the no-treatment group at day 3. Exposure to MTA and BD stimulated
expression of VEGFA and FIGF/VEGFD. Furthermore, exposure to both cements
significantly decreased the mRNA levels of ANGPT1 and FGF2 relative to the no
treatment group. CONCLUSIONS: Both MTA and BD stimulated the expression of
angiogenic genes and release of VEGF, inducing similar expression patterns;
however, they appeared to inhibit the expression of specific genes, including
ANGPT1 and FGF2.
PMID- 26539651
TI - Generalizable Synthesis of Metal-Sulfides/Carbon Hybrids with Multiscale,
Hierarchically Ordered Structures as Advanced Electrodes for Lithium Storage.
AB - Transition-metal sulfides/carbon hybrids with a multiscale, multidimensional, and
hierarchically ordered architecture have been designed and synthesized by a
general and facile method. As a result of this novel and unique architecture
design, the obtained hybrid electrodes show attractive reversibility and cycle
stability as well as excellent rate capability.
PMID- 26539650
TI - A Comparison of Statin Therapies in Hypercholesterolemia in Women: A Subgroup
Analysis of the STELLAR Study.
AB - OBJECTIVE: Cardiovascular disease is the leading cause of mortality in women in
the United States. Aggressive treatment of modifiable risk factors (e.g.,
hypercholesterolemia) is essential in reducing disease burden. Despite guidelines
recommending the use of statin treatment in hypercholesterolemic women, this
patient group is often undertreated. This subgroup analysis of the Statin
Therapies for Elevated Lipid Levels compared Across doses to Rosuvastatin
(STELLAR) trial examines the effects of statin therapy in hypercholesterolemic
women. METHODS: As part of the STELLAR trial, 1,146 women with elevated low
density lipoprotein cholesterol (LDL-C >=160 and <250 mg/dL) and triglycerides
<400 mg/dL were randomized to rosuvastatin 10-40 mg, atorvastatin 10-80 mg,
simvastatin 10-80 mg, or pravastatin 10-40 mg for 6 weeks. RESULTS: LDL-C
reduction with rosuvastatin 10 mg, atorvastatin 10 mg, simvastatin 20 mg, and
pravastatin 40 mg was 49%, 39%, 37%, and 30%, respectively, after 6 weeks. High
intensity statins (rosuvastatin 20-40 mg and atorvastatin 40-80 mg) reduced LDL-C
to the greatest extent: 53% with rosuvastatin 20 mg, 57% with rosuvastatin 40 mg,
47% with atorvastatin 40 mg, and 51% with atorvastatin 80 mg. Similar results
were observed for non-high-density lipoprotein cholesterol (non-HDL-C). Increases
in HDL-C were greater with rosuvastatin across doses than with other statins. All
treatments were well tolerated, with similar safety profiles across dose ranges.
CONCLUSIONS: Statin therapies in the STELLAR trial led to reductions in LDL-C,
non-HDL-C, and triglycerides and increases in HDL-C among hypercholesterolemic
women, with rosuvastatin providing the greatest reductions in LDL-C and non-HDL
C.
PMID- 26539652
TI - Effect of particle size on magnetic and electric transport properties of
La(0.67)Sr(0.33)MnO3 coatings.
AB - A systematic study of polycrystalline La0.67Sr0.33MnO3 (LSMO) manganite coatings
has been undertaken to analyse the effect of various particle sizes on the
magnetic and electric transport properties. In order to acquire a series of
samples with different particle sizes, the samples were prepared by a sol-gel
method and were subjected to annealing at four different temperatures. With
decreasing particle sizes, the magnetization decreases while the coercivity
increases, which is attributed to the magnetically disordered surface layer. More
attractively, the electrical transport properties can be systematically
manipulated by particle sizes and so can the low field magnetoresistance (LFMR)
values. Emphasis is placed on how the particle size affects the temperature
dependence of resistivity, and three conduction models are explored to describe
the transport behaviours in three temperature regions. A minimum resistivity is
observed in the low temperature region in the presence and absence of a magnetic
field, which can be mainly explained as due to the intergranular spin polarized
tunneling (ISPT) through the grain boundaries (GBs) in polycrystalline materials.
PMID- 26539653
TI - Access to the Surugatoxin Alkaloids: Chemo-, Regio-, and Stereoselective Oxindole
Annulation.
AB - We report the synthesis of an aglycone of the surugatoxin family. The synthesis
of this surugatoxin core was accomplished in 13 steps using a new oxindole
annulation and late-stage enamine oxidation.
PMID- 26539654
TI - Triple-Stimuli-Responsive Ferrocene-Containing PEGs in Water and on the Surface.
AB - Triple-stimuli-responsive PEG-based materials are prepared by living anionic ring
opening copolymerization of ethylene oxide and vinyl ferrocenyl glycidyl ether
and subsequent thiol-ene postpolymerization modification with cysteamine. The
hydrophilicity of these materials can be tuned by three stimuli: (i) temperature
(depending on the comonomer ratio), (ii) oxidation state of iron centers in the
ferrocene moieties, and (iii) pH-value (through amino groups), both in aqueous
solution and at the interface after covalent attachment to a glass surface. In
such materials, the cloud point temperatures are adjustable in solution by
changing oxidation state and/or pH. On the surface, the contact angle increases
with increasing pH and temperature and after oxidation, making these smart
surfaces interesting for catalytic applications. Also, their redox response can
be switched by temperature and pH, making this material useful for catalysis and
electrochemistry applications. Exemplarily, the temperature-dependent catalysis
of the chemiluminescence of luminol (a typical blood analysis tool in forensics)
was investigated with these polymers.
PMID- 26539655
TI - Who wants to go to occupational therapy school? Characteristics of Norwegian
occupational therapy students.
AB - BACKGROUND: Research on occupational therapy students has often been concerned
with quite narrow topics. However, the basic characteristics of this group are
yet to be examined in more depth. METHODS: This study aimed to explore the
sociodemographic, education-related, and work-related characteristics of
occupational therapy students. A sample of 160 occupational therapy students in
Norway participated. Differences between cohorts of students were examined with
one-way analyses of variance (ANOVA) for continuous variables and with chi-square
tests for categorical variables. RESULTS: The sample had a mean age of 24 years
and was predominantly female (79%). More than one -third of the students had one
or both parents in an occupation requiring health education, whereas two-thirds
of the students had one or both parents in an occupation requiring higher
education. At entry, 57% of the participants had occupational therapy as their
preferred choice of education and 43% had previous higher education experience.
The few significant differences between the study cohorts were negligible.
CONCLUSION: In the education programmes, specific attention may be considered for
students with characteristics associated with increased risk of poorer study
performance or other problems. This may concern male students and students with
no previous higher education experience.
PMID- 26539656
TI - The complete mitochondrial genome and phylogenetic position of the Philippines
spurdog, Squalus montalbani.
AB - We present the complete mitochondrial genome sequence (16 555 bp) of the
Philippines spurdog, Squalus montalbani, currently listed as Vulnerable due to
population declines and fishing pressures. A phylogenetic analysis was carried
out on S. montalbani and representative shark mitogenomes. Squalus montalbani was
placed within the Squaliformes as a sister taxon to Squalus acanthias and
Cirrhigaleus australis.
PMID- 26539657
TI - Older Adult Perceptions of Participation in Group- and Home-Based Falls
Prevention Exercise.
AB - This paper describes why older adults begin, continue, and discontinue group- and
home-based falls prevention exercise and benefits and barriers to participation.
Telephone surveys were used to collect data for 394 respondents. Most respondents
reported not participating in group- (66%) or home-based (78%) falls prevention
exercise recently. Reasons for starting group-based falls prevention exercise
include health benefits (23-39%), health professional recommendation (13-19%),
and social interaction (4-16%). They discontinued because the program finished
(44%) or due to poor health (20%). Commonly reported benefits were social
interaction (41-67%) and health (15-31%). Disliking groups was the main barrier
(2-14%). Home-based falls prevention exercise was started for rehabilitation (46
63%) or upon health professional recommendation (22-48%) and stopped due to
recovery (30%). Improvement in health (18-46%) was the main benefit. These
findings could assist health professionals in prescribing group-based falls
prevention exercise by considering characteristics of older adults who perceive
social interaction to be beneficial.
PMID- 26539658
TI - Advances in template-based protein docking by utilizing interfaces towards
completing structural interactome.
AB - The increase in the number of structurally determined protein complexes
strengthens template-based docking (TBD) methods for modelling protein-protein
interactions (PPIs). These methods utilize the known structures of protein
complexes as templates to predict the quaternary structure of the target
proteins. The templates may be partial or complete structures. Interface based
(partial) methods have recently gained interest due in part to the observation
that the interface regions are reusable. We describe how available template
interfaces can be used to obtain the structural models of protein interactions.
Despite the agreement that a majority of the protein complexes can be modelled
using the available Protein Data Bank (PDB) structures, a handful of studies
argue that we need more template proteins to increase the structural coverage of
PPIs. We also discuss the performance of the interface TBD methods at large
scale, and the significance of capturing multiple conformations for improving
accuracy.
PMID- 26539659
TI - Depression, Anxiety, and Regret Before and After Testing to Estimate Uveal
Melanoma Prognosis.
AB - IMPORTANCE: To our knowledge, longitudinal assessment of depression, anxiety, and
decision regret (a sense of disappointment or dissatisfaction in the decision) in
patients undergoing prognostication for uveal melanoma does not exist. OBJECTIVE:
To report on depression, anxiety, and decision regret before and after testing to
estimate uveal melanoma prognosis. DESIGN, SETTING, AND PARTICIPANTS: Prospective
interventional case series conducted at an institutional referral practice of 96
patients with clinical diagnosis of uveal melanoma who underwent prognostication
at the time of primary therapy. MAIN OUTCOMES AND MEASURES: Depression, anxiety,
and decision regret prior to prognostication (baseline) and at 3 and 12 months
afterwards. The Hospital Anxiety and Depression Scale (HADS) and Decision Regret
Scale were self-administered by the patients prior to prognostication (baseline)
and at 3 and 12 months afterwards. Data were summarized using means and standard
deviations for continuous measures, frequencies, and percentages for categorical
factors. A mixed model was used to assess the trajectory of HADS anxiety and the
associations between HADS anxiety and baseline HADS depression, baseline decision
regret, prognostication test result, and adjuvant therapy, respectively, while
adjusting for age and sex. RESULTS: Ninety-six patients (median age 60.7 years)
completed baseline questionnaires. The mean (SD) HADS anxiety score at baseline
(7.4 [4.0]) was higher than at 3 months (5.4 [3.7]; P < .001) or 12 months (4.7
[3.4]; P < .001), and decreased with older age (coefficient estimate [SD], -0.06
[0.02]; P < .001). The decision regret score was associated with baseline HADS
depression score (coefficient estimate [SE], -1.17 [0.43]; P < .007), and HADS
depression score increased with baseline HADS anxiety score (coefficient estimate
[SE], 0.39 [0.06]; P < .001). CONCLUSIONS AND RELEVANCE: Our study raises
questions about decision regret in patients who agree to have a prognostic test
that may not help guide treatment. Although decision regret appears to lessen or
dissipate with time, study on larger numbers of patients is necessary to
elucidate factors that may be addressed to mitigate decision regret.
PMID- 26539660
TI - Effects of the poly(ethylene glycol) hydrogel crosslinking mechanism on protein
release.
AB - Poly(ethylene glycol) (PEG) hydrogels are widely used to deliver therapeutic
biomolecules, due to high hydrophilicity, tunable physicochemical properties, and
anti-fouling properties. Although different hydrogel crosslinking mechanisms are
known to result in distinct network structures, it is still unknown how these
various mechanisms influence biomolecule release. Here we compared the effects of
chain-growth and step-growth polymerization for hydrogel crosslinking on the
efficiency of protein release and diffusivity. For chain-growth-polymerized PEG
hydrogels, while decreasing PEG concentration increased both the protein release
efficiency and diffusivity, it was unexpected to find out that increasing PEG
molecular weight did not significantly change either parameter. In contrast, for
step-growth-polymerized PEG hydrogels, both decreasing PEG concentration and
increasing PEG molecular weight resulted in an increase in the protein release
efficiency and diffusivity. For step-growth-polymerized hydrogels, the protein
release efficiency and diffusivity were further decreased by increasing crosslink
functionality (4-arm to 8-arm) of the chosen monomer. Altogether, our results
demonstrate that the crosslinking mechanism has a differential effect on
controlling protein release, and this study provides valuable information for the
rational design of hydrogels for sophisticated drug delivery.
PMID- 26539661
TI - Maternal high-fat hypercaloric diet during pregnancy results in persistent
metabolic and respiratory abnormalities in offspring.
AB - BACKGROUND: We have shown in a previous population-based study significant
correlation between childhood asthma and early abnormalities of lipid and glucose
metabolism. This study's specific aim was to determine whether maternal nutrition
in pregnancy affects postnatal metabolic and respiratory outcomes in the
offspring. METHODS: On gestation day 1, dams were switched from standard chow to
either high-fat hypercaloric diet or control diet. Terminal experiments were
performed on newborn and weanling offspring of dams fed the study diet during
gestation and lactation, and on adult offspring maintained on the same diet as
their mother. RESULTS: Pups born from high-fat hypercaloric diet (HFD) dams
developed metabolic abnormalities persistent throughout development. Cytokine
expression analysis of lung tissues from newborns born to HFD dams revealed a
strong proinflammatory pattern. Gene expression of neurotrophic factors and
receptors was upregulated in lungs of weanlings born to HFD dams, and this was
associated to higher respiratory system resistance and lower compliance at
baseline, as well as hyperreactivity to aerosolized methacholine. Furthermore,
HFD dams delivered pups prone to develop more severe disease after respiratory
syncytial virus (RSV) infection. CONCLUSION: Maternal nutrition in pregnancy is a
critical determinant of airway inflammation and hyperreactivity in offspring and
also increases risk for bronchiolitis independent from prepregnancy nutrition.
PMID- 26539662
TI - Fast Doppler as a novel bedside measure of cerebral perfusion in preterm infants.
AB - BACKGROUND: Altered cerebral perfusion from impaired autoregulation may
contribute to the morbidity and mortality associated with premature birth. We
hypothesized that fast Doppler imaging could provide a reproducible bedside
estimation of cerebral perfusion and autoregulation in preterm infants. METHODS:
This is a prospective pilot study using fast Doppler ultrasound to assess blood
flow velocity in the basal ganglia of 19 subjects born at 26-32 wk gestation.
Intraclass correlation provided a measure of test-retest reliability, and linear
regression of cerebral blood flow velocity and heart rate or blood pressure
allowed for estimations of autoregulatory ability. RESULTS: The intraclass
correlation when imaging in the first 48 h of life was 0.634. We found
significant and independent correlations between the systolic blood flow velocity
and both systolic blood pressure and heart rate (P = 0.015 and 0.012
respectively) only in the 26-28 wk gestational age infants in the first 48 h of
life. CONCLUSION: Our results suggest that fast Doppler provides reliable bedside
measurements of cerebral blood flow velocity at the tissue level in premature
infants, acting as a proxy for cerebral tissue perfusion. Additionally,
autoregulation appears to be impaired in the extremely preterm infants, even
within a normal range of blood pressures.
PMID- 26539664
TI - Cross-sectional and longitudinal growth patterns in osteogenesis imperfecta:
implications for clinical care.
AB - BACKGROUND: There is strikingly limited information on linear growth and weight
in the different types of osteogenesis imperfecta (OI). Here, we define growth
patterns further with the intent of implementing appropriate adaptations
proactively. METHODS: We report cross-sectional anthropometric data for 343
subjects with different OI types (144 children, 199 adults). Longitudinal height
data for 36 children (18 girls, 18 boys) with OI type I and 10 children (8 girls,
2 boys) with OI type III were obtained. RESULTS: In all cases, the height Z
scores were negatively impacted, and final height Z-scores were impacted the
most. In type I, the growth velocities taper near puberty, and there is a blunted
pubertal growth spurt. The growth velocities of children with type III decelerate
before age 5 y; poor growth continues without an obvious pubertal growth spurt.
Obesity is a concern for all patients with OI, with type III patients being the
most affected. CONCLUSION: The linear growth patterns, in addition to the marked
increase in weight over time, indicate a need for lifestyle modifications early
in childhood, especially a need for weight control. Further definition of the
anthropometric measures in OI enables patients to begin modifications as early as
possible.
PMID- 26539663
TI - Changes in plasma and urinary nitrite after birth in premature infants at risk
for necrotizing enterocolitis.
AB - BACKGROUND: Plasma nitrite serves as a reservoir of nitric oxide (NO)
bioactivity. Because nitrite ingestion is markedly lower in newborns than adults,
we hypothesized plasma nitrite levels would be lower in newborns than in adults,
and that infants diagnosed with necrotizing enterocolitis (NEC), a disease
characterized by ischemia and bacterial invasion of intestinal walls, would have
lower levels of circulating nitrite in the days prior to diagnosis. METHODS:
Single blood and urine samples were collected from 9 term infants and 12 adults,
72 preterm infants every 5 d for 3 wk, and from 13 lambs before and after cord
occlusion. RESULTS: Nitrite fell 50% relative to cord levels in the first day
after birth; and within 15 min after cord occlusion in lambs. Urinary nitrite was
higher in infants than adults. Plasma and urinary nitrite levels in infants who
developed NEC were similar to those of preterm control infants on days 1 and 5,
but significantly elevated at 15 and 20 d after birth. CONCLUSION: Plasma nitrite
falls dramatically at birth while newborn urinary nitrite levels are
significantly greater than adults. Acute NEC is associated with elevated plasma
and urinary nitrite levels.
PMID- 26539665
TI - Effects of antenatal lipopolysaccharide and postnatal hyperoxia on airway
reactivity and remodeling in a neonatal mouse model.
AB - BACKGROUND: Antenatal inflammation and preterm birth are associated with the
development of airway diseases such as wheezing and asthma. Utilizing a newborn
mouse model, we assessed the effects of maternal inflammation and postnatal
hyperoxia on the neonatal airway. METHODS: Pregnant C57/Bl6 dams were injected
with lipopolysaccharide (LPS) or saline on embryonic day 16. Offspring were
placed in room air or hyperoxia (50% O2) for 7 d and then returned to normoxia.
Airway mechanics, histology, and laser capture micro-dissection (LCM) were
performed. RESULTS: At postnatal day 21, maternal LPS- and 50% O2-exposed pups
exhibited increased resistance and decreased compliance compared to 21% O2 pups;
however their effects were not synergistic. LPS and hyperoxia each increased the
thickness of airway smooth muscle (ASM), but not the airway epithelial layer.
Structural changes were largely limited to the conducting airways. Upregulation
of inflammatory markers in the lung was observed at birth. LCM revealed increased
collagen-3, transforming growth factor beta, and connective tissue growth factor
expression with LPS and hyperoxia within the ASM layer. CONCLUSION: These novel
studies provide functional, structural, and molecular evidence that antenatal
inflammation is detrimental to the developing airway. Exposure to moderate
hyperoxia does not exacerbate LPS effects on the airway.
PMID- 26539666
TI - New developments in cerebral blood flow autoregulation analysis in preterm
infants: a mechanistic approach.
AB - BACKGROUND: Impaired autoregulation capacity implies that changes in cerebral
perfusion follow changes in blood pressure; however, no analytical method has
explored such a signal causality relationship in infants. We sought to develop a
method to assess cerebral autoregulation from a mechanistic point of view and
explored the predictive capacity of the method to classify infants at risk for
adverse outcomes. METHODS: The partial directed coherence (PDC) method, which
considers synchronicity and directionality of signal dependence across
frequencies, was used to analyze the relationship between spontaneous changes in
mean arterial pressure (MAP) and the cerebral tissue oxygenation index (TOI).
PDCMAP>>TOI indicated that changes in TOI were induced by MAP changes, and
PDCTOI>>MAP indicated the opposite. RESULTS: The PDCMAP>>TOI and PDCTOI>>MAP
values differed. PDCMAP>>TOI adjusted by gestational age predicted low superior
vena cava flow (<=41 ml/kg per min), with an area under the receiver operating
characteristic curve of 0.72 (95% CI: 0.63-0.81; P < 0.001), whereas PDCTOI>>MAP
did not. The adjusted pPDCMAP>>TOI (the average value per patient) predicted
severe intracranial hemorrhage and mortality. CONCLUSION: PDCMAP>>TOI allows for
a noninvasive physiological interpretation of the pressure autoregulation process
in neonates. PDCMAP>>TOI is a good classifier for infants at risk of brain
hypoperfusion and adverse outcomes.
PMID- 26539668
TI - The Role of Surface Passivation in Controlling Ge Nanowire Faceting.
AB - In situ transmission electron microscopy observations of nanowire morphologies
indicate that during Au-catalyzed Ge nanowire growth, Ge facets can rapidly form
along the nanowire sidewalls when the source gas (here, digermane) flux is
decreased or the temperature is increased. This sidewall faceting is accompanied
by continuous catalyst loss as Au diffuses from the droplet to the wire surface.
We suggest that high digermane flux and low temperatures promote effective
surface passivation of Ge nanowires with H or other digermane fragments
inhibiting diffusion and attachment of Au and Ge on the sidewalls. These results
illustrate the essential roles of the precursor gas and substrate temperature in
maintaining nanowire sidewall passivation, necessary to ensure the growth of
straight, untapered, ?111?-oriented nanowires.
PMID- 26539667
TI - Umbilical cord gene expression reveals the molecular architecture of the fetal
inflammatory response in extremely preterm newborns.
AB - BACKGROUND: The fetal inflammatory response (FIR) in placental membranes to an
intrauterine infection often precedes premature birth raising neonatal mortality
and morbidity. However, the precise molecular events behind FIR still remain
largely unknown, and little has been investigated at gene expression level.
METHODS: We collected publicly available microarray expression data profiling
umbilical cord (UC) tissue derived from the cohort of extremely low gestational
age newborns (ELGANs) and interrogate them for differentially expressed (DE)
genes between FIR and non-FIR-affected ELGANs. RESULTS: We found a broad and
complex FIR UC gene expression signature, changing up to 19% (3,896/20,155) of
all human genes at 1% false discovery rate. Significant changes of a minimum 50%
magnitude (1,097/3,896) affect the upregulation of many inflammatory pathways and
molecules, such as cytokines, toll-like receptors, and calgranulins. Remarkably,
they also include the downregulation of neurodevelopmental pathways and genes,
such as Fragile-X mental retardation 1 (FMR1), contactin 1 (CNTN1), and
adenomatous polyposis coli (APC). CONCLUSION: The FIR expression signature in UC
tissue contains molecular clues about signaling pathways that trigger FIR, and it
is consistent with an acute inflammatory response by fetal innate and adaptive
immune systems, which participate in the pathogenesis of neonatal brain damage.
PMID- 26539669
TI - Spatiotemporal dynamics of solvent-assisted lipid bilayer formation.
AB - The solvent-assisted lipid bilayer (SALB) method offers a general strategy to
fabricate supported lipid bilayers on solid surfaces. In this method, lipids
dissolved in alcohol are deposited on the target substrate in parallel with their
aggregation during exchange with aqueous buffer solution which promotes
spontaneous bilayer formation. Herein, a combination of experimental and
theoretical approaches is employed in order to understand the key aspects of the
SALB formation process. Epifluorescence microscopy experiments are conducted in
order to measure the spatiotemporal dynamics of bilayer formation on a glass
substrate in a microfluidic channel. Corresponding snapshots of bilayer formation
at different stages are rationalized by a numerical simulation of solvent
displacement inside the channel. Comparing simulation with experiment indicates
that in close proximity to the side walls of the present setup, the bilayer
formation is confined to a relatively thin region behind the moving solvent
displacement front.
PMID- 26539670
TI - Comparison of two surgeries in treatment of severe kyphotic deformity caused by
ankylosing spondylitis: Transpedicular bivertebrae wedge osteotomy versus one
stage interrupted two-level transpedicular wedge osteotomy.
AB - OBJECTIVE: To explore a simple and effective surgery for correcting severe
kyphotic deformity caused by ankylosing spondylitis (AS). MATERIALS AND METHODS:
From January 2003 to December 2009, we respectively reviewed 32 patients with
severe spinal kyphosis caused by AS with at least 2-year follow-up. Patients were
divided into two groups, according to surgical methods: transpedicular
bivertebrae wedge osteotomy (Group A) or one-stage interrupted two-level
transpedicular wedge osteotomy (Group B). We recorded operating time and blood
loss. Variation between pre- and post-operative sagittal imbalance, global spinal
alignments (Cobb angle of T1 and L5, TLKA), lumbar lordosis, chin-brow vertical
angle, thoracolumbar kyphosis angle in both groups were analyzed. RESULTS: The
average operating time was 236 +/- 39 min and the average blood loss was 2200 +/-
712 ml in Group A, and 252 +/- 43 min, 2202 +/- 737 ml respectively in Group B.
There were no significant differences in operating time and blood loss. Variation
between pre- and post-operative sagittal imbalance, global spinal alignments,
lumbar lordosis and chin-brow vertical angle (CBVA) were comparable between the
two groups. The variation of thoracolumbar kyphosis angle was significantly
greater in Group B compared with Group A. SRS-22 scores were similar in the two
groups at the 2-year follow-up and significantly improved compared with
preoperative. CONCLUSIONS: For correcting severe kyphosis in patients with AS,
the one-stage interrupted two-level transpedicular wedge osteotomy is a safe and
effective technique which can significantly improve the thoracolumbar kyphosis
angle.
PMID- 26539672
TI - Imaging demonstration of trochlear nerve agenesis in superior oblique palsy
emerging during the later life.
AB - BACKGROUND: Congenital trochlear palsy may manifest with sudden vertical diplopia
due to decompensation during the later life, which may bring a diagnostic
challenge. CASE PRESENTATION: Two men with vertical diplopia for several years
after age of 50 were referred with persisting or suddenly aggravating diplopia.
Findings were consistent with unilateral superior oblique palsy (SOP) in both
patients with a contraversive head tilt. Facial asymmetry was suggestive of a
congenital cause in a patient. High resolution magnetic resonance image (MRI)s
disclosed atrophic superior oblique and absent trochlear nerve in the side of SOP
in both patients. CONCLUSION: Imaging demonstration of superior oblique atrophy
and absent trochlear nerve may aid in diagnosis of congenital SOP presenting
sudden vertical diplopia during the later life due to delayed decompensation.
PMID- 26539671
TI - Pennsylvania comprehensive stroke center collaborative: Statement on the recently
updated IV rt-PA prescriber information for acute ischemic stroke.
AB - OBJECTIVE: Recently, the FDA guidelines regarding the eligibility of patients
with acute ischemic stroke to receive IV rt-PA have been modified and are not in
complete accord with the latest AHA/ASA guidelines. The resultant differences may
result in discrepancies in patient selection for intravenous thrombolysis.
METHODS: Several comprehensive stroke centers in the state of Pennsylvania have
undertaken a collaborative effort to clarify and unify our own recommendations
regarding how to reconcile these different guidelines. RESULTS: Seizure at onset
of stroke, small previous strokes that are subacute or chronic, multilobar
infarct involving more than one third of the middle cerebral artery territory on
CT scan, hypoglycemia, minor or rapidly improving symptoms should not be
considered as contraindications for intravenous thrombolysis. It is recommended
to follow the AHA/ASA guidelines regarding blood pressure management and bleeding
diathesis. Patients receiving factor Xa inhibitors and direct thrombin inhibitors
within the preceding 48 h should be excluded from receiving IV rt-PA. CT
angiography is effective in identifying candidates for endovascular therapy.
Consultation with and/or transfer to a comprehensive stroke center should be an
option where indicated. Patients should receive IV rt-PA up to 4.5h after the
onset of stroke. CONCLUSIONS: The process of identifying patients who will
benefit the most from IV rt-PA is still evolving. Considering the rapidity with
which patients need to be evaluated and treated, it remains imperative that
systems of care adopt protocols to quickly gather the necessary data and have
access to expert consultation as necessary to facilitate best practices.
PMID- 26539673
TI - Evolution of a Revolution in Occupational Therapy Education.
AB - This issue of the American Journal of Occupational Therapy reflects an increase
in the quantity and quality of scholarly projects submitted for publication in
this special issue. The positive response to the call for papers is evidence of
the focused interest that faculty, both academic and clinical, and practitioners
have brought to their work of educating and preparing the next generation of
occupational therapists. This article discusses educational issues from academic,
student, and fieldwork points of view, further validating the pervasive
commitment that is being made to further understanding of how occupational
therapists are educated. The articles cover topics including the critical issues
of curriculum, value and timing of fieldwork and simulation experiences, and
documentation of strategies to measure the effects of comparative educational
experiences.
PMID- 26539674
TI - Impact of a Curricular Change on Perceived Knowledge, Skills, and Use of Evidence
in Occupational Therapy Practice: A Cohort Study.
AB - OBJECTIVE: We evaluated the impact of a curriculum revision that emphasized
experiential use of evidence in clinical environments on occupational therapy
graduates' attitudes, perceived knowledge and skill, and use of evidence in
practice. METHODS: We used a retrospective cohort design to compare two
curriculum cohorts of recent graduates exposed to different evidence-based
practice (EBP) educational approaches. Responses on a validated survey of
attitudes, knowledge/skill, and use of evidence in practice were compared using t
tests and Mann-Whitney U tests for Cohort 1 (n = 63) and Cohort 2 (n = 62)
graduates. RESULTS: Findings suggest similar attitudes and use of evidence
between cohorts; Cohort 2 reported statistically greater perceived knowledge of
and skill in EBP. CONCLUSIONS: Emphasis on experiential learning in school with
reinforcement of skills in clinical learning environments is not sufficient to
change graduates' use of evidence. Although the curriculum revision improved
perceived knowledge/skill, our study suggests systems or other factors may
influence use after graduation.
PMID- 26539676
TI - Simulation Strategies to Teach Patient Transfers: Self-Efficacy by Strategy.
AB - OBJECTIVE: We evaluated the effects of transfer training-after training in the
classroom and in the high-technology simulation laboratory (WISER Center)-on
students' perceptions of their self-efficacy for knowledge, skill, and safety in
executing dependent transfers. METHOD: After classroom training, occupational
therapy students were randomized to three teaching groups on the basis of the
amount of participation and observation opportunities provided at the WISER
Center-observation dominant, participation dominant, and participation only.
RESULTS: The participation-dominant group reported an increase in knowledge self
efficacy over time compared with the observation-dominant and participation-only
groups. Over time, self-efficacy ratings increased for all students, regardless
of group. CONCLUSION: Simulation scenarios implemented at the WISER Center
provided a useful adjunct to classroom training in transfer skills. Both
participatory and observational experiences contributed to the development of
students' perceptions of their ability to manage acutely ill and medically
complex patients.
PMID- 26539675
TI - Wheelchair Transfer Simulations to Enhance Procedural Skills and Clinical
Reasoning.
AB - OBJECTIVE: We describe an educational intervention that involved simulation
scenarios of medically complex patients to teach transfer training and promote
clinical reasoning. METHOD: Scenarios were developed with practitioner input that
described (1) a patient who was acutely ill, (2) a critical medical management
event that occurred during a bed-to-wheelchair transfer of the patient, and (3)
an occupational need. Transfer training, using the scenarios, occurred in a high
technology laboratory with SimMan((r)) and a mock hospital suite. Evaluation was
based on student performance and perceptions of simulation effectiveness.
RESULTS: On average, students completed 66%-88% of the transfer items correctly.
Student performance suggested that the simulation scenarios were more difficult
than practitioners rated them. Students rated the simulation scenarios as
effective teaching tools. CONCLUSION: Scenario use in simulations for transfer
training makes a positive curricular contribution to teaching procedural skills
and clinical reasoning simultaneously.
PMID- 26539677
TI - Perspectives on the Use of Standardized Parents to Teach Collaboration to
Graduate Occupational Therapy Students.
AB - OBJECTIVE: Pediatric occupational therapy practitioners interview parents on a
daily basis to gain important patient information and develop collaborative
intervention goals. A standardized parent experience was developed to help
master's-level occupational therapy students gain the skills needed to interview
parents after their child's traumatic injury. This article describes the
pedagogical approach used to develop the standardized parent experience and
reports the students' general perspectives related to this assignment. METHOD:
Outcome data were collected through focus groups (n = 9) and document review (n =
12) and then analyzed for themes. RESULTS: Two main themes emerged from the data:
It felt real and It helped me to think deeper. CONCLUSION: A standardized parent
experience may help occupational therapy students develop the habits of mind
associated with collaboration.
PMID- 26539678
TI - Benefits of Student Engagement in Intervention Research.
AB - OBJECTIVE: Accreditation standards require entry-level occupational therapy
students to understand, critique, and design research. However, the extent to
which students should be embedded in research projects is unclear. The purpose of
this study was to understand the benefits of student immersion in research for
student learning and research quality. METHOD: Using a multiple case study
design, the principal investigator trained six occupational therapy students to
implement a manualized intervention with research participants. Learning quizzes,
video analysis of research activities, a practical exam, student documentation,
an exit interview, and an exit survey identified student learning and research
outcomes. RESULTS: Students successfully implemented the study protocols with
good reliability (intraclass correlation coefficient = .89) and fidelity (99%).
Students also reported improvements in comfort with client interactions,
confidence in practice skills, self-efficacy in research, and clinical reasoning.
CONCLUSION: Student participation in hands-on research supports researchers in
attaining their research goals and provides students with valuable learning
experiences.
PMID- 26539679
TI - Fieldwork I Program Evaluation of Student Learning Using Goal Attainment Scaling.
AB - This article describes a program evaluation of student learning during the Level
I fieldwork experience of a master of science in occupational therapy program. We
sought to determine the utility of Goal Attainment Scaling (GAS) as a measure of
student learning. Over four semesters, from fall 2013 through fall 2014, 240
students completed goal attainment scales for an individualized learning goal for
the semester. Using a quantitative pretest-posttest design, we found significant
increases in levels of student learning goal attainment during Level I fieldwork.
Qualitative data from exit surveys revealed high levels of satisfaction with the
program, particularly related to the opportunity to learn a new way of
envisioning and writing individualized goals. These findings support the use of
GAS as an outcome measure of student learning during fieldwork.
PMID- 26539680
TI - Facilitators and Barriers to Learning in Occupational Therapy Fieldwork
Education: Student Perspectives.
AB - PURPOSE: The purpose of this study was to gain a comprehensive understanding of
the facilitators of and barriers to learning within occupational therapy
fieldwork education from the perspective of both Canadian and American students.
METHOD: A qualitative study using an online open survey format was conducted to
gather data from 29 occupational therapy students regarding their fieldwork
experiences. An inductive grounded theory approach to content analysis was used.
RESULTS: Individual, environmental, educational, and institutional facilitators
of and barriers to learning within occupational therapy fieldwork education were
identified. CONCLUSION: This study's findings suggest that learning within
fieldwork education is a highly individual and dynamic process that is influenced
by numerous factors. The new information generated by this study has the
potential to positively affect the future design and implementation of fieldwork
education.
PMID- 26539681
TI - Ability of the National Board for Certification in Occupational Therapy Practice
Test to Estimate the Probability of First-Time Pass Status on the National
Certification Exam.
AB - OBJECTIVE: To estimate the ability of the National Board for Certification in
Occupational Therapy (NBCOT) practice test to predict first-time pass status on
the NBCOT Occupational Therapist Registered exam. METHOD: Performance ratios for
the four NBCOT practice test domains were used to develop a logistic regression
model for estimating the probability of first-time pass status on the NBCOT exam.
RESULTS: Of 65 students who graduated during academic years 2010-2013, 41 (63%)
attained first-time pass status. The logistic regression model was a good fit.
The variance explained ranged from 22% to 29%. The odds of first-time no-pass
status were associated with performance ratios on Domains 1 and 2 but not Domains
3 and 4. CONCLUSION: To maximize the probability of students' attaining first
time pass status, faculty should consider ways to increase their exposure to the
tasks and skills required for implementation of intervention plans (Domains 3 and
4).
PMID- 26539682
TI - U.S. and Swedish Student Learning Through Online Synchronous International
Interactions.
AB - There is a continued need to communicate global perspectives in occupational
therapy education, but the literature addressing how to incorporate firsthand
global experiences into campus learning environments is scant. This article
describes how course-based synchronous interactions between U.S. undergraduate
occupational science students and Swedish undergraduate occupational therapy
students occur via online technology. In a 2014 pilot study, we thematically
analyzed students' open-ended survey responses to discern what students learned
through the interactive sessions. We also performed a content analysis of four
audio-recorded interactive sessions to understand the content and nature of
students' learning. Our findings suggest that course-based online synchronous
interactions provide a positive way for students to learn about other cultures
and global differences in occupational therapy practice. The findings also
highlight needs for improvement relative to the structure and aims of the
interactive sessions. We relate these findings to the global availability of
technology and occupational therapists' cultural competence.
PMID- 26539683
TI - National Survey of Fieldwork Educators: Implications for Occupational Therapy
Education.
AB - OBJECTIVE: The purpose of this study was to gain information on the current
status of fieldwork training facilities to understand facilitators of and
barriers to fieldwork education, including fieldwork educators' perceptions of
benefits, challenges, and valued supports. METHOD: A descriptive, nonexperimental
exploratory design was used. A pilot survey was conducted, and a revised online
survey, consisting of 49 items, was distributed nationwide in Fall 2013. RESULTS:
Opportunity to update practice was the most commonly perceived benefit associated
with fieldwork, and workload or time was the greatest perceived challenge.
Readiness and high-quality preparation of students by academic programs were the
most valued supports. Participants also identified preferred time frames and
supervisory models of fieldwork education. CONCLUSION: Interpretation of these
data provides valuable information for the profession, notably academic programs,
regarding needs and resources to foster collaborative relationships with
fieldwork facilities to meet the growing need for fieldwork education.
PMID- 26539684
TI - Reliability and Sensitivity to Change of Goal Attainment Scaling in Occupational
Therapy Nonclassroom Educational Experiences.
AB - Occupational therapy programs are charged with measuring student progress in
nonclassroom experiential components (American Occupational Therapy Association,
2012). Currently, the major nonclassroom educational experience is Level II
fieldwork. Level II performance is assessed using the Fieldwork Performance
Evaluation, which is inappropriate for measuring doctoral-level experiential
component achievement. This study's purpose was to determine test-retest
reliability and sensitivity of Goal Attainment Scaling (GAS) for assessing skill
development in nonclassroom occupational therapy experiences. GAS demonstrated
high test-retest reliability for each of the five goals, and we found a
significant amount of change on the GAS, with higher scores at the 12-wk than at
the 6-wk assessment. Results indicate that the GAS is reliable and sensitive to
changes in student performance on Level II fieldwork and may therefore warrant
investigation as a valid tool to measure student performance in the entry-level
doctoral experiential component.
PMID- 26539685
TI - Energizing Occupation as the Center of Teaching and Learning.
AB - The concept of occupation has experienced a renewal in the past 3 decades and is
widely accepted as the core subject in occupational therapy. Professional
education has a critical stewardship role in continually enhancing how occupation
is taught and understood to enrich new occupational therapy practitioners'
ability to grasp the purpose of the profession and reason clinically in complex
practice environments. The authors discuss three questions that frame approaches
educators can use to effectively centralize occupation in teaching and learning
environments: (1) To what degree is a curriculum and its courses and class
sessions subject centered? (2) To what degree do instructional processes create
links to occupation? and (3) To what degree do instructional processes expose and
promote complex ways of knowing needed for learning occupation? Keeping
occupation in the foreground is important to facilitate new research, teaching
methods, and curricular relevance to practice.
PMID- 26539686
TI - The Next Paradigm Shift in Occupational Therapy Education: The Move to the Entry
Level Clinical Doctorate.
AB - The occupational therapy profession in the United States is considering another
shift in the level of entry-to-practice education. Currently, all accredited U.S.
occupational therapy education programs offer graduate-entry master's degrees or
clinical doctorates. In 2014, the American Occupational Therapy Association Board
of Directors published a position statement supporting the idea of moving all
entry-level occupational therapy education programs to the clinical doctorate
level by 2025. This article provides an overview of the proposed reasons for
doing so and the potential impact of this move on future students, education
providers, clients and families, employers, and third-party payers and funding
bodies along with the implications for the occupational therapy profession
internationally. An open, informed, transparent, multiperspective, comprehensive
debate about this education paradigm shift is recommended. In August 2015 the
Accreditation Council for Occupational Therapy Education decided that the entry
level qualification will remain at both the master's and the doctoral degree; it
is anticipated, however, that the move toward the entry-level clinical doctorate
will continue.
PMID- 26539688
TI - Complementary and Alternative Medicine and Therapy Use in a Diverse New Mexican
Population.
AB - OBJECTIVE: To describe differences, attitudes, and experiences in use of
complementary and alternative medicines and therapy (CAMT) in people living in
New Mexico (NM). DESIGN: Cross-sectional survey study. SETTING: Clinics staffed
by the University of New Mexico College of Pharmacy faculty between September
2009 and August 2011 in Albuquerque, NM. PARTICIPANTS: Patients 18 years of age
or older or parents of patients younger than age 18 years. OUTCOME MEASURES:
Descriptive statistics for survey results and mean scores for attitudinal items.
Chi-square, t-test, and analysis of variance were used to compare differences
between groups across demographic variables. RESULTS: A convenience sample
yielded 263 completed surveys. Of the respondents, 62% were male, 39% were
single, and 50% were Hispanic. Nearly 56% of respondents used CAMT in the
previous 6 months; 38% used CAMT in addition to and 11% used CAMT instead of
prescription medications. Average number of CAMT used per respondent was 2.3 +/-
1.6. A majority of respondents indicated that their CAMT use in the previous 6
months was useful, a good idea, easy to use, and likely to continue. CAMT use was
significantly higher in female respondents (p = 0.03), those with a higher
education level (p < 0.01), and those with a higher household income level (p =
0.03). CONCLUSION: Prevalence of CAMT is high in a diverse population of
patients. Older respondents were more likely to use CAMT in addition to
prescription medications, and younger respondents were more likely to use CAMT
instead of prescription medications. Providers need to consider CAMT use when
discussing treatment options with patients.
PMID- 26539689
TI - Sleep problems and computer use during work and leisure: Cross-sectional study
among 7800 adults.
AB - Previous studies linked heavy computer use to disturbed sleep. This study
investigates the association between computer use during work and leisure and
sleep problems in working adults. From the 2010 round of the Danish Work
Environment Cohort Study, currently employed wage earners on daytime schedule (N
= 7883) replied to the Bergen insomnia scale and questions on weekly duration of
computer use. Results showed that sleep problems for three or more days per week
(average of six questions) were experienced by 14.9% of the respondents. Logistic
regression analyses, controlled for gender, age, physical and psychosocial work
factors, lifestyle, chronic disease and mental health showed that computer use
during leisure for 30 or more hours per week (reference 0-10 hours per week) was
associated with increased odds of sleep problems (OR 1.83 [95% CI 1.06-3.17]).
Computer use during work and shorter duration of computer use during leisure were
not associated with sleep problems. In conclusion, excessive computer use during
leisure - but not work - is associated with sleep problems in adults working on
daytime schedule.
PMID- 26539690
TI - Electroanalysis with Membrane Electrodes and Liquid-Liquid Interfaces.
PMID- 26539691
TI - One new 19-nor cucurbitane-type triterpenoid from the stems of Momordica
charantia.
AB - One new 19-nor cucurbitane-type triterpenoid (3beta,9beta,25-trihydroxy-7beta
methoxy-19-nor-cucurbita-5,23(E)-diene) (1), together with other six known
cucurbitane-type triterpenoids (2-7), were isolated from the stems of Momordica
charantia L. The chemical structure of 1 was elucidated by extensive 1D NMR and
2D NMR (HSQC, HMBC, COSY and ROESY), MS experiments. Using MTT assay, compound 1
exhibited weak cytotoxicity against HL-60, A-549, and SK-BR-3 cell lines with the
IC50 values at 27.3, 32.7 and 26.6 MUM, respectively.
PMID- 26539692
TI - Assessment of pet exposure by self-report in epidemiological studies of allergy
and asthma: a systematic review.
AB - OBJECTIVE: In epidemiological studies that aim to investigate the relationship
between pet exposure and allergy/asthma, pet exposure is often ascertained by
means of a questionnaire, but it is unclear which questionnaire items are used.
The objective of this study was to systematically review self-reported pet
exposure assessment in questionnaires used in epidemiological studies which
explore the associations between pets and allergy/asthma. METHODS: A systematic
literature search was conducted in PubMed and papers were selected according to
pre-specified eligibility criteria. The pet exposure questions used were
classified within a framework including direct pet contact, indirect pet contact
(e.g. through carers or grandparents) and avoidance behaviour. Authors were
contacted when the questions used were not reported in detail. RESULTS: Ninety
six full text papers were systematically reviewed. All studies assessed direct
pet contact, but less than half (45%) explicitly assessed whether pets were
allowed indoors. The vast majority of studies assessed both pet exposures during
the first year of life and after the first year of life. The minority (13%)
assessed whether pet(s) were kept at places regularly visited by the child and
pet exposure in utero (15%). Even fewer studies assessed indirect contact to pets
(n = 8) and avoidance behaviour (n = 10). CONCLUSIONS: In epidemiological
studies, the ascertainment of pet exposure through questionnaires appears to vary
greatly. This variation might partly explain the inconsistent and contradictory
results of the effects of pet exposure on the development of allergy and asthma.
PMID- 26539694
TI - Electronic Documentation and Nurse-Patient Interaction.
AB - This author explored the culture of nurse-patient interactions associated with
electronic bedside documentation. Data were collected through passive participant
observation, audiotaping of nurse-patient interactions, and interviews with
nurses. Nurses acknowledged that they need to share their attention between the
patient and the computer. They stated that prioritizing patients' needs while
completing the required electronic documentation was demanding. Stationary
computers challenged the logistics of the exchange. Understanding the adaptation
of caregiving necessitated by bedside electronic documentation will have a
positive impact on developing systems that interface seamlessly with nurses'
workflow and encourage patients' active participation in their care.
PMID- 26539695
TI - Astrocytes spatially restrict VEGF signaling by polarized secretion and
incorporation of VEGF into the actively assembling extracellular matrix.
AB - The spatial organization of vascular endothelial growth factor (VEGF) signaling
is a key determinant of vascular patterning during development and tissue repair.
How VEGF signaling becomes spatially restricted and the role of VEGF secreting
astrocytes in this process remains poorly understood. Using a VEGF-GFP fusion
protein and confocal time-lapse microscopy, we observed the intracellular
routing, secretion and immobilization of VEGF in scratch-activated living
astrocytes. We found VEGF to be directly transported to cell-extracellular matrix
attachments where it is incorporated into fibronectin fibrils. VEGF accumulated
at beta1 integrin containing fibrillar adhesions and was translocated along the
cell surface prior to internalization and degradation. We also found that only
the astrocyte-derived, matrix-bound, and not soluble VEGF decreases beta1
integrin turnover in fibrillar adhesions. We suggest that polarized VEGF release
and ECM remodeling by VEGF secreting cells is key to control the local
concentration and signaling of VEGF. Our findings highlight the importance of
astrocytes in directing VEGF functions and identify these mechanisms as promising
target for angiogenic approaches.
PMID- 26539696
TI - The complete mitochondrial genome sequence of Malus hupehensis var. pinyiensis.
AB - The complete mitochondrial genome sequence of Malus hupehensis var. pinyiensis, a
widely used apple rootstock, was determined using the Illumina high-throughput
sequencing approach. The genome is 422,555 bp in length and has a GC content of
45.21%. It is separated by a pair of inverted repeats of 32,504 bp, to form a
large single copy region of 213,055 bp and a small single copy region of 144,492
bp. The genome contains 38 protein-coding genes, four pseudogenes, 25 tRNA genes,
and three rRNA genes. The genome is 25,608 bp longer than that of M. domestica,
and several structural variations between these two mitogenomes were detected.
PMID- 26539697
TI - Statin therapy and plasma vitamin E concentrations: A systematic review and meta
analysis of randomized placebo-controlled trials.
AB - BACKGROUND: Vitamin E is one of the most important natural antioxidants, and its
plasma levels are inversely associated with the progression of atherosclerosis.
There have been reports suggesting a potential negative effect of statin therapy
on plasma vitamin E levels. The aim of this meta-analysis was to determine the
impact of statin therapy on plasma vitamin E concentrations. METHODS: PubMed
Medline, SCOPUS, Web of Science and Google Scholar databases were searched to
identify randomized placebo-controlled trials evaluating the impact of statins on
plasma vitamin E concentrations from inception to February 27, 2015. A systematic
assessment of bias in the included studies was performed using the Cochrane
criteria. A random-effects model (using DerSimonian-Laird method) and the generic
inverse variance method were used to examine the effect of statins on plasma
vitamin E concentrations. Heterogeneity was quantitatively assessed using the
I(2) index. Sensitivity analysis was conducted using the leave-one-out method.
RESULTS: A meta-analysis of data from 8 randomized treatment arms including 504
participants indicated a significant reduction in plasma vitamin E concentrations
following statin treatment (WMD: -16.30%, 95% CI: -16.93, -15.98, p < 0.001).
However, cholesterol-adjusted vitamin E concentrations (defined as vitamin
E:total cholesterol ratio) were found to be improved by statin therapy (WMD:
29.35%, 95% CI: 24.98, 33.72, p < 0.001). Statin therapy was not associated with
any significant alteration in LDL vitamin E content (SMD: 0.003, 95% CI: -0.90,
0.90, p = 0.995). CONCLUSION: Findings of the present study suggest that statin
therapy has no negative impact on plasma vitamin E concentrations or LDL vitamin
E content.
PMID- 26539699
TI - Correction to: Thyroid 2015;25(7):812-822.
PMID- 26539698
TI - Literature Review of Gastrointestinal Physiology in the Elderly, in Pediatric
Patients, and in Patients with Gastrointestinal Diseases.
AB - Oral bioavailability studies during the development of new medical entities or
generic drugs are typically performed in healthy volunteers. Approved drug
products are, however, used by patients with diverse disease backgrounds, and by
pediatric and elderly patients. To provide the knowledge base for assessing the
potential effects of age or co-morbidity on the in vivo performance of an orally
absorbed, systemically active drug product, the literature regarding the
gastrointestinal (GI) physiological characteristics (pH, permeability, and
transit time) in children, in the elderly, and in patients with GI diseases
(irritable bowel syndrome, ulcerative colitis, and Crohn's disease) is reviewed
herein, with the knowledge gaps highlighted.
PMID- 26539700
TI - Shrinking the Lymphatic Filariasis Map of Ethiopia: Reassessing the Population at
Risk through Nationwide Mapping.
AB - BACKGROUND: Mapping of lymphatic filariasis (LF) is essential for the delineation
of endemic implementation units and determining the population at risk that will
be targeted for mass drug administration (MDA). Prior to the current study, only
116 of the 832 woredas (districts) in Ethiopia had been mapped for LF. The aim of
this study was to perform a nationwide mapping exercise to determine the number
of people that should be targeted for MDA in 2016 when national coverage was
anticipated. METHODOLOGY/PRINCIPAL FINDING: A two-stage cluster purposive
sampling was used to conduct a community-based cross-sectional survey for an
integrated mapping of LF and podoconiosis, in seven regional states and two city
administrations. Two communities in each woreda were purposely selected using the
World Health Organization (WHO) mapping strategy for LF based on sampling 100
individuals per community and two purposely selected communities per woreda.
Overall, 130 166 people were examined in 1315 communities in 658 woredas. In
total, 140 people were found to be positive for circulating LF antigen by
immunochromatographic card test (ICT) in 89 communities. Based on WHO guidelines,
75 of the 658 woredas surveyed in the nine regions were found to be endemic for
LF with a 2016 projected population of 9 267 410 residing in areas of active
disease transmission. Combining these results with other data it is estimated
that 11 580 010 people in 112 woredas will be exposed to infection in 2016.
CONCLUSIONS: We have conducted nationwide mapping of LF in Ethiopia and
demonstrated that the number of people living in LF endemic areas is 60% lower
than current estimates. We also showed that integrated mapping of multiple NTDs
is feasible and cost effective and if properly planned, can be quickly achieved
at national scale.
PMID- 26539701
TI - Drugs-Related Death Soon after Hospital-Discharge among Drug Treatment Clients in
Scotland: Record Linkage, Validation, and Investigation of Risk-Factors.
AB - We validate that the 28 days after hospital-discharge are high-risk for drugs
related death (DRD) among drug users in Scotland and investigate key risk-factors
for DRDs soon after hospital-discharge. Using data from an anonymous linkage of
hospitalisation and death records to the Scottish Drugs Misuse Database (SDMD),
including over 98,000 individuals registered for drug treatment during 1 April
1996 to 31 March 2010 with 705,538 person-years, 173,107 hospital-stays, and
2,523 DRDs. Time-at-risk of DRD was categorised as: during hospitalization,
within 28 days, 29-90 days, 91 days-1 year, >1 year since most recent hospital
discharge versus 'never admitted'. Factors of interest were: having ever
injected, misuse of alcohol, length of hospital-stay (0-1 versus 2+ days), and
main discharge-diagnosis. We confirm SDMD clients' high DRD-rate soon after
hospital-discharge in 2006-2010. DRD-rate in the 28 days after hospital-discharge
did not vary by length of hospital-stay but was significantly higher for clients
who had ever-injected versus otherwise. Three leading discharge-diagnoses
accounted for only 150/290 DRDs in the 28 days after hospital-discharge, but ever
injectors for 222/290. Hospital-discharge remains a period of increased DRD
vulnerability in 2006-2010, as in 1996-2006, especially for those with a history
of injecting.
PMID- 26539702
TI - Novel combinations of phenotypic biomarkers predict development of epilepsy in
the lithium-pilocarpine model of temporal lobe epilepsy in rats.
AB - The discovery and validation of biomarkers in neurological and neurodegenerative
diseases is an important challenge for early diagnosis of disease and for the
development of therapeutics. Epilepsy is often a consequence of brain insults
such as traumatic brain injury or stroke, but as yet no biomarker exists to
predict the development of epilepsy in patients at risk. Given the complexity of
epilepsy, it is unlikely that a single biomarker is sufficient for this purpose,
but a combinatorial approach may be needed to overcome the challenge of
individual variability and disease heterogeneity. The goal of the present
prospective study in the lithium-pilocarpine model of epilepsy in rats was to
determine the discriminative utility of combinations of phenotypic biomarkers by
examining their ability to predict epilepsy. For this purpose, we used a recent
model refinement that allows comparing rats that will or will not develop
spontaneous recurrent seizures (SRS) after pilocarpine-induced status epilepticus
(SE). Potential biomarkers included in our study were seizure threshold and
seizure severity in response to timed i.v. infusion of pentylenetetrazole (PTZ)
and behavioral alterations determined by a battery of tests during the three
weeks following SE. Three months after SE, video/EEG monitoring was used to
determine which rats had developed SRS. To determine whether a biomarker or
combination of biomarkers performed better than chance at predicting epilepsy
after SE, derived data underwent receiver operating characteristic (ROC) curve
analyses. When comparing rats with and without SRS and sham controls, the best
intergroup discrimination was obtained by combining all measurements, resulting
in a ROC area under curve (AUC) of 0.9592 (P<0.01), indicating an almost perfect
discrimination or accuracy to predict development of SRS. These data indicate
that a combinatorial biomarker approach may overcome the challenge of individual
variability in the prediction of epilepsy.
PMID- 26539703
TI - Outcome-centered antiepileptic therapy: Rate, rhythm and relief.: Implementing
AAN Epilepsy Quality Measures in clinical practice.
AB - Clinicians who manage patients with epilepsy are expected to assess the relevance
of clinical trial results to their practice, integrate new treatments into the
care algorithm, and implement epilepsy quality measures, with the overall goal of
improving patient outcomes. A disease-based clinical framework that helps with
choice and combinations of interventions facilitates provision of efficient, cost
effective, and high-quality care. This article addresses the current conceptual
framework that informs clinical evaluation of epilepsy, explores gaps between
development of treatment options, quality measures and clinical goals, and
proposes an outcome-centered approach that bridges these gaps with the aim of
improving patient and population-level clinical outcomes in epilepsy.
PMID- 26539704
TI - Transport of bisphenol-A in sandy aquifer sediment: Column experiment.
AB - The present paper aims to study the transport behavior of bisphenol-A (BPA) in
sandy aquifer so as to provide important parameters for the prediction and
control of contaminant plume in aquifer. Miscible displacement experiments were
conducted and the breakthrough curves (BTCs) were simulated using HYDRUS-1D
software. The effects of pore-water velocity (10-52 cm h(-1)) and initial
concentration (2.5-40 mg L(-1)) on the sorption were also investigated. The BTCs
of BPA fit the linear first-order non-equilibrium two-site model. The parameters
such as partition coefficient (K(d)), the fraction of instantaneous adsorption on
"Type-1" sites (F), the first order sorption rate coefficient for the kinetic non
equilibrium (type-2) sites (alpha), the retardation coefficient (R), and sorption
capacity (q(column)) were computed. Results showed that BPA transported 0.11-0.83
m with various pore water velocity in sandy sediment column when water flowed 1
m. The sorption of BPA was mainly caused by the instantaneous surface adsorption
as F varied from 0.596 to 0.908. The transport velocity of BPA was affected by
pore water velocity (v) and followed the linear equation 1/R = 0.0600 + 0.0110v
(r(2) = 0.9724). The parameter K(d) were also closely related to v and followed
the equation LnK(d) = 1.0023-0.0482v (r(2) = 0.9690). The sorption capacity was
more related to the initial BPA concentration (C0) and followed the linear
equation q(column) = 0.265 + 0.253C0 (r(2) = 0.9727). The parameter alpha was
affected by both v and C0 whereas F was not dramatically affected by both.
PMID- 26539705
TI - Historical deposition of persistent organic pollutants in Lake Victoria and two
alpine equatorial lakes from East Africa: Insights into atmospheric deposition
from sedimentation profiles.
AB - Information on historical deposition of persistent organic pollutants (POPs) for
African lakes is very limited. We investigated historical deposition trends and
sources of POPs in sediment cores from Lakes Victoria (SC1), Bujuku (Buju2) and
Mahoma (Maho2). The latter two lakes are situated in the Rwenzori mountain range
in western Uganda. SC1 was taken from a central depositional area within the
Ugandan part of the lake. Profiles in Buju2 and Maho2 were used as a reference
for historical atmospheric deposition. For the post-1940 sediment deposits in
SC1, average focusing factor-adjusted fluxes (FFFs) of SigmaDDTs, polychlorinated
biphenyls (SigmaPCBs), hexachlorocyclohexanes (SigmaHCHs) and chlordanes
(SigmaCHLs) were 390, 230, 210 and 120 ng m(-2) yr(-1). Higher fluxes of
SigmaDDTs, SigmaPCBs, and SigmaCHLs were observed in Buju2 and Maho2. The average
FFF of HCB in Buju2 was the highest while the values for Maho2 and SC1 were
similar. The endosulfan FFFs in SC1 were lower than in the alpine lake cores.
Generally, Buju2 was a better reference for historical atmospheric deposition of
POPs than Maho2 probably due to distortion of the latter's profile by Lake
Mahoma's forested catchment. Profiles of p,p'-DDE, SigmaCHLs and HCB in SC1 were
consistent with atmospheric deposition while profiles of PCBs and HCHs were
indicative of particle-bound loadings from additional sources. Profiles of
endosulfans, DDTs, and chlordanes were consistent with influence of other factors
such as anoxia, and dilution. Further studies of spatial resolution of historical
deposition, especially in near-shore deposition areas of the lake are
recommended.
PMID- 26539706
TI - Conceptual design and experiments of electrochemistry-flushing technology for the
remediation of historically Cr(VI)-contaminated soil.
AB - A conceptual design and experiments, electrochemistry-flushing (E-flushing),
using electrochemistry to enhance flushing efficiency for the remediation of
Cr(VI)-contaminated soil is presented. The rector contained three compartments
vertically superposed. The upper was airtight cathode compartment containing an
iron-cathode. The middle was soil layer. The bottom was anode compartment
containing an iron-anode and connected to a container by circulation pumps. H2
and OH(-) ions were produced at cathode. H2 increased the gas pressure in cathode
compartment and drove flushing solution into soil layer forming flushing process.
OH(-) ions entered into soil layer by eletromigration and hydraulic flow to
enhance the desorption of Cr(VI). High potential gradient was applied to
accelerate the electromigration of desorbed Cr(VI) ions and produced joule heat
to increase soil temperature to enhance Cr(VI) desorption. In anode compartment,
Fe(2+) ions produced at iron-anode reduced the desorbed Cr(VI) into Cr(3+) ions,
which reacted with OH(-) ions forming Cr(OH)3. Experimental results show that
Cr(VI) removal efficiency of E-flushing experiments was more than double of
flushing experiments and reached the maximum of removal efficiency determined by
desorption kinetics. All electrochemistry processes were positively used in E
flushing technology.
PMID- 26539708
TI - Response to shock load of engineered nanoparticles in an activated sludge
treatment system: Insight into microbial community succession.
AB - The environmental impacts of the use of engineered nanoparticles (NPs) remain
unclear and have attracted increasing concern worldwide. Considering that NPs
eventually end up in wastewater treatment systems, the potential impact of ZnO
and TiO2 NPs on the activated sludge was investigated using laboratory-scale
sequencing batch reactors (SBRs). Short-term (24 h) exposure to 1, 10 and 100
mg/L shock loads of NPs reduced the oxygen uptake rate of the activated sludge by
3.55%-12.51% compared with the controls. In our experiment, the toxicities of
TiO2 NPs were higher than those of ZnO NPs as reflected in the inhibition of
oxygen utilization in the activated sludge. However, both the short-term (24 h)
and long-term (21 days) exposure to ZnO and TiO2 NPs did not adversely affect the
pollutant removal of the SBRs. Furthermore, the polymerase chain reaction
denaturing gel gradient electrophoresis revealed that the microbial community did
not significantly vary after the short-term exposure (24 h) to 1, 10 and 100 mg/L
shock loads of NPs; however, the cluster analysis in our experiment revealed that
the slight difference caused by the NPs largely depended on exposure time rather
than on NP type and NP concentration. The long-term exposure (13 days) to 10 mg/L
shock load of ZnO or TiO2 NPs caused no substantial microbial community shifts in
the activated sludge. The microbial diversity also showed no significant change
when exposed to NPs as revealed by the Shannon-Wiener index.
PMID- 26539707
TI - Compound-specific carbon isotopic fractionation during transport of phthalate
esters in sandy aquifer.
AB - The present paper aims to evaluate the carbon isotopic fractionation of phthalate
esters (PAEs) during transport in an sandy aquifer. Breakthrough curves of di
methyl phthalate (DMP), di-ethyl phthalate (DEP), and di-n-butyl phthalate (DBP)
in mixed solution were determined by miscible displacement experiment, and
simulated using HYDRUS-1D software. The stable carbon isotopes (delta(13)C) of 3
PAEs in effluent were analyzed at different times. Results showed that, in the
transport process in sandy sediment, PAEs are mainly trapped into the pore space
instead of being adsorbed on the surface of particles. At the initial stage of
transport, PAEs with lighter carbon tend to run faster in the sandy sediment, and
PAEs with heavier carbon run after. However, there is no priority for the
transport of PAEs with different carbon isotopes at Stage II with mainly time
limited sorption. So the transport-based isotope fractionation occurs in the
front area of contaminant plume. This effect may be relevant for interpreting
carbon isotope signatures in the real contaminant site.
PMID- 26539709
TI - Toxicity interaction between chlorpyrifos, mancozeb and soil moisture to the
terrestrial isopod Porcellionides pruinosus.
AB - A main source of uncertainty currently associated with environmental risk
assessment of chemicals is the poor understanding of the influence of
environmental factors on the toxicity of xenobiotics. Aiming to reduce this
uncertainty, here we evaluate the joint-effects of two pesticides (chlorpyrifos
and mancozeb) on the terrestrial isopod Porcellionides pruinosus under different
soil moisture regimes. A full factorial design, including three treatments of
each pesticide and an untreated control, were performed under different soil
moisture regimes: 25%, 50%, and 75% WHC. Our results showed that soil moisture
had no effects on isopods survival, at the levels assessed in this experiment,
neither regarding single pesticides nor mixture treatments. Additivity was always
the most parsimonious result when both pesticides were present. Oppositely, both
feeding activity and biomass change showed a higher sensitivity to soil moisture,
with isopods generally showing worse performance when exposed to pesticides and
dry or moist conditions. Most of the significant differences between soil
moisture regimes were found in single pesticide treatments, yet different
responses to mixtures could still be distinguished depending on the soil moisture
assessed. This study shows that while soil moisture has the potential to
influence the effects of the pesticide mixture itself, such effects might become
less important in a context of complex combinations of stressors, as the major
contribution comes from its individual interaction with each pesticide. Finally,
the implications of our results are discussed in light of the current state of
environmental risk assessment procedures and some future perspectives are
advanced.
PMID- 26539710
TI - Solar photocatalytic degradation of naphthenic acids in oil sands process
affected water.
AB - Bitumen mining in the Canadian oil sands creates large volumes of oil sands
process-affected water (OSPW), the toxicity of which is due in part to naphthenic
acids (NAs) and other acid extractable organics (AEO). The objective of this work
was to evaluate the potential of solar photocatalysis over TiO2 to remove AEO
from OSPW. One day of photocatalytic treatment under natural sunlight (25 MJ/m(2)
over ~14 h daylight) eradicated AEO from raw OSPW, and acute toxicity of the OSPW
toward Vibrio fischeri was eliminated. Nearly complete mineralization of organic
carbon was achieved within 1-7 day equivalents of sunlight exposure, and
degradation was shown to proceed through a superoxide-mediated oxidation pathway.
High resolution mass spectrometry (HRMS) analysis of oxidized intermediate
compounds indicated preferential degradation of the heavier and more cyclic NAs
(higher number of double bond equivalents), which are the most environmentally
persistent fractions. The photocatalyst was shown to be recyclable for multiple
uses, and thus solar photocatalysis may be a promising "green" advanced oxidation
process (AOP) for OSPW treatment.
PMID- 26539711
TI - Impact of an environmental relevant concentration of 17alpha-ethinylestradiol on
the cardiac function of bullfrog tadpoles.
AB - This study evaluated if a concentration of 17alpha-ethinylestradiol (EE2 - 10 ng
L(-1) for 96 h) normally found in Brazilian surface waters exerts any impact on
cardiac function of bullfrog tadpoles (25 Gosner stage), Lithobates catesbeianus.
During exposure, the animals' activity level (AL -% of active individuals) was
monitored twice a day. Then, the in loco heart rate (f(H) - bpm) was determined,
as well as the relative ventricular mass (RVM - % of body mass). Afterwards,
cardiac ventricles were mounted for isometric force recordings (CS - mN mm(-2)),
and determination of the cardiac pumping capacity (CPC - mN mm(-2) min(-1)). EE2
did not affect tadpoles' AL, although it resulted in a tachycardia in animals
exposed to EE2 (f(H) = 66 bpm) when compared to controls (f(H) = 52 bpm),
suggesting that EE2 acts directly on the cardiac muscle of tadpoles, rather than
being a result of an increased cardiac demand due to a higher activity level
(i.e., avoidance response). Additionally, EE2 exerted a positive inotropic
response, which resulted in a higher CPC, which occurred independently of an
increase in the number of myofibrils of EE2-exposed animals, since RVM remained
similar between experimental groups. Thus, the increase on cardiac demand induced
by the exposure to EE2 elevates considerably the animal energy expenditure,
diverting a large amount of energy that tadpoles could use for their growth and
development. These alterations can make amphibians more susceptible to predators
and reduce the likelihood to reach reproductive stage.
PMID- 26539712
TI - Towards energy positive wastewater treatment by sludge treatment using free
nitrous acid.
AB - Free nitrous acid (FNA i.e. HNO2) was revealed to be effective in enhancing
biodegradability of secondary sludge. Also, nitrite-oxidizing bacteria were found
to be more susceptible to FNA than ammonium-oxidizing bacteria. Based on these
findings, a novel FNA-based sludge treatment technology is proposed to enhance
energy recovery from wastewater/sludge. Energy analysis indicated that the FNA
based technology would make wastewater treatment become an energy generating
process (yielding energy at 4 kWh/PE/y; kWh/PE/y: kilowatt hours per population
equivalent per year), rather than being a large energy consumer that it is today
(consuming energy at 24 kWh/PE/y). Importantly, FNA required for the sludge
treatment could be produced as a by-product of wastewater treatment. This
proposed FNA-based technology is economically and environmentally attractive, and
can be easily implemented in any wastewater treatment plants. It only involves
the installation of a simple sludge mixing tank. This article presents the
concept of the FNA-based technology.
PMID- 26539713
TI - The ribosome biogenesis pathway as an early target of benzyl butyl phthalate
(BBP) toxicity in Chironomus riparius larvae.
AB - Butyl benzyl phthalate (BBP) is a ubiquitous contaminant whose presence in the
environment is expected for decades, since it has been extensively used worldwide
as a plasticizer in the polyvinyl chloride (PVC) industry and the manufacturing
of many other products. In the present study, the interaction of BBP with the
ribosome biogenesis pathway and the general transcriptional profile of Chironomus
riparius aquatic larvae were investigated by means of changes in the rDNA
activity (through the study of the internal transcribed spacer 2, ITS2) and
variations in the expression profile of ribosomal protein genes (rpL4, rpL11, and
rpL13) after acute 24-h and 48-h exposures to a wide range of BBP doses.
Furthermore, cytogenetic assays were conducted to evaluate the transcriptional
activity of polytene chromosomes from salivary gland cells, with special
attention to the nucleolus and the Balbiani rings (BRs) of chromosome IV. BBP
caused a dose and time-dependent toxicity in most of the selected biomarkers,
with a general depletion in the gene expression levels and the activity of BR2
after 48-h treatments. At the same time, decondensation and activation of some
centromeres took place, while the activity of nucleolus remained unaltered.
Withdrawal of the xenobiotic allowed the larvae to reach control levels in the
case of rpL4 and rpL13 genes, which were previously slightly downregulated in 24
h tests. These data provide the first evidence on the interaction of BBP with the
ribosome synthesis pathways, which results in a significant impairment of the
functional activity of ribosomal protein genes. Thus, the depletion of ribosomes
would be a long-term effect of BBP-induced cellular damage. These findings may
have important implications for understanding the adverse biological effects of
BBP in C. riparius, since they provide new sensitive biomarkers of BBP exposure
and highlight the suitability of this organism for ecotoxicological risk
assessment, especially in aquatic ecosystems.
PMID- 26539714
TI - Diversity of Riparian Plants among and within Species Shapes River Communities.
AB - Organismal diversity among and within species may affect ecosystem function with
effects transmitting across ecosystem boundaries. Whether recipient communities
adjust their composition, in turn, to maximize their function in response to
changes in donor composition at these two scales of diversity is unknown. We use
small stream communities that rely on riparian subsidies as a model system. We
used leaf pack experiments to ask how variation in plants growing beside streams
in the Olympic Peninsula of Washington State, USA affects stream communities via
leaf subsidies. Leaves from red alder (Alnus rubra), vine maple (Acer cinereus),
bigleaf maple (Acer macrophyllum) and western hemlock (Tsuga heterophylla) were
assembled in leaf packs to contrast low versus high diversity, and deployed in
streams to compare local versus non-local leaf sources at the among and within
species scales. Leaves from individuals within species decomposed at varying
rates; most notably thin leaves decomposed rapidly. Among deciduous species, vine
maple decomposed most rapidly, harbored the least algal abundance, and supported
the greatest diversity of aquatic invertebrates, while bigleaf maple was at the
opposite extreme for these three metrics. Recipient communities decomposed leaves
from local species rapidly: leaves from early successional plants decomposed
rapidly in stream reaches surrounded by early successional forest and leaves from
later successional plants decomposed rapidly adjacent to later successional
forest. The species diversity of leaves inconsistently affected decomposition,
algal abundance and invertebrate metrics. Intraspecific diversity of leaf packs
also did not affect decomposition or invertebrate diversity. However, locally
sourced alder leaves decomposed more rapidly and harbored greater levels of algae
than leaves sourced from conspecifics growing in other areas on the Olympic
Peninsula, but did not harbor greater aquatic invertebrate diversity. In contrast
to alder, local intraspecific differences via decomposition, algal or
invertebrate metrics were not observed consistently among maples. These results
emphasize that biodiversity of riparian subsidies at the within and across
species scale have the potential to affect aquatic ecosystems, although there are
complex species-specific effects.
PMID- 26539715
TI - Spontaneous Behaviors and Wall-Curvature Lead to Apparent Wall Preference in
Planarian.
AB - The planarian Dugesia japonica tends to stay near the walls of its breeding
containers and experimental dishes in the laboratory, a phenomenon called "wall
preference". This behavior is thought to be important for environmental
adaptation, such as hiding by planarians in nature. However, the mechanisms
regulating wall-preference behavior are not well understood, since this behavior
occurs in the absence of any particular stimulation. Here we show the mechanisms
of wall-preference behavior. Surprisingly, planarian wall-preference behavior was
also shown even by the head alone and by headless planarians. These results
indicate that planarian "wall-preference" behavior only appears to be a
"preference" behavior, and is actually an outcome of spontaneous behaviors,
rather than of brain function. We found that in the absence of environmental cues
planarians moved basically straight ahead until they reached a wall, and that
after reaching a wall, they changed their direction of movement to one tangential
to the wall, suggesting that this spontaneous behavior may play a critical role
in the wall preference. When we tested another spontaneous behavior, the wigwag
movement of the planarian head, using computer simulation with various wigwag
angles and wigwag intervals, large wigwag angle and short wigwag interval reduced
wall-preference behavior. This indicated that wigwag movement may determine the
probability of staying near the wall or leaving the wall. Furthermore, in accord
with this simulation, when we tested planarian wall-preference behavior using
several assay fields with different curvature of the wall, we found that
concavity and sharp curvature of walls negatively impacted wall preference by
affecting the permissible angle of the wigwag movement. Together, these results
indicate that planarian wall preference may be involuntarily caused by the
combination of two spontaneous planarian behaviors: moving straight ahead until
reaching a wall and then moving along it in the absence of environmental cues,
and wigwag movements of the head.
PMID- 26539716
TI - A Hereditary Enteropathy Caused by Mutations in the SLCO2A1 Gene, Encoding a
Prostaglandin Transporter.
AB - Previously, we proposed a rare autosomal recessive inherited enteropathy
characterized by persistent blood and protein loss from the small intestine as
chronic nonspecific multiple ulcers of the small intestine (CNSU). By whole-exome
sequencing in five Japanese patients with CNSU and one unaffected individual, we
found four candidate mutations in the SLCO2A1 gene, encoding a prostaglandin
transporter. The pathogenicity of the mutations was supported by segregation
analysis and genotyping data in controls. By Sanger sequencing of the coding
regions, 11 of 12 other CNSU patients and 2 of 603 patients with a diagnosis of
Crohn's disease were found to have homozygous or compound heterozygous SLCO2A1
mutations. In total, we identified recessive SLCO2A1 mutations located at seven
sites. Using RT-PCR, we demonstrated that the identified splice-site mutations
altered the RNA splicing, and introduced a premature stop codon. Tracer
prostaglandin E2 uptake analysis showed that the mutant SLCO2A1 protein for each
mutation exhibited impaired prostaglandin transport. Immunohistochemistry and
immunofluorescence analyses revealed that SLCO2A1 protein was expressed on the
cellular membrane of vascular endothelial cells in the small intestinal mucosa in
control subjects, but was not detected in affected individuals. These findings
indicate that loss-of-function mutations in the SLCO2A1 gene encoding a
prostaglandin transporter cause the hereditary enteropathy CNSU. We suggest a
more appropriate nomenclature of "chronic enteropathy associated with SLCO2A1
gene" (CEAS).
PMID- 26539717
TI - Characterization of Pneumococcal Genes Involved in Bloodstream Invasion in a
Mouse Model.
AB - Streptococcus pneumoniae (the pneumococcus) continues to account for significant
morbidity and mortality worldwide, causing life-threatening diseases such as
pneumonia, bacteremia and meningitis, as well as less serious infections such as
sinusitis, conjunctivitis and otitis media. Current polysaccharide vaccines are
strictly serotype-specific and also drive the emergence of non-vaccine serotype
strains. In this study, we used microarray analysis to compare gene expression
patterns of either serotype 4 or serotype 6A pneumococci in the nasopharynx and
blood of mice, as a model to identify genes involved in invasion of blood in the
context of occult bacteremia in humans. In this manner, we identified 26 genes
that were significantly up-regulated in the nasopharynx and 36 genes that were
significantly up-regulated in the blood that were common to both strains. Gene
Ontology classification revealed that transporter and DNA binding (transcription
factor) activities constitute the significantly different molecular functional
categories for genes up-regulated in the nasopharynx and blood. Targeted
mutagenesis of selected genes from both niches and subsequent virulence and
pathogenesis studies identified the manganese-dependent superoxide dismutase
(SodA) as most likely to be essential for colonization, and the cell wall
associated serine protease (PrtA) as important for invasion of blood. This work
extends our previous analyses and suggests that both PrtA and SodA warrant
examination in future studies aimed at prevention and/or control of pneumococcal
disease.
PMID- 26539718
TI - Use of the Nanofitin Alternative Scaffold as a GFP-Ready Fusion Tag.
AB - With the continuous diversification of recombinant DNA technologies, the
possibilities for new tailor-made protein engineering have extended on an on
going basis. Among these strategies, the use of the green fluorescent protein
(GFP) as a fusion domain has been widely adopted for cellular imaging and protein
localization. Following the lead of the direct head-to-tail fusion of GFP, we
proposed to provide additional features to recombinant proteins by genetic fusion
of artificially derived binders. Thus, we reported a GFP-ready fusion tag
consisting of a small and robust fusion-friendly anti-GFP Nanofitin binding
domain as a proof-of-concept. While limiting steric effects on the carrier, the
GFP-ready tag allows the capture of GFP or its blue (BFP), cyan (CFP) and yellow
(YFP) alternatives. Here, we described the generation of the GFP-ready tag from
the selection of a Nanofitin variant binding to the GFP and its spectral variants
with a nanomolar affinity, while displaying a remarkable folding stability, as
demonstrated by its full resistance upon thermal sterilization process or the
full chemical synthesis of Nanofitins. To illustrate the potential of the
Nanofitin-based tag as a fusion partner, we compared the expression level in
Escherichia coli and activity profile of recombinant human tumor necrosis factor
alpha (TNFalpha) constructs, fused to a SUMO or GFP-ready tag. Very similar
expression levels were found with the two fusion technologies. Both domains of
the GFP-ready tagged TNFalpha were proved fully active in ELISA and
interferometry binding assays, allowing the simultaneous capture by an anti
TNFalpha antibody and binding to the GFP, and its spectral mutants. The GFP-ready
tag was also shown inert in a L929 cell based assay, demonstrating the potent
TNFalpha mediated apoptosis induction by the GFP-ready tagged TNFalpha.
Eventually, we proposed the GFP-ready tag as a versatile capture and labeling
system in addition to expected applications of anti-GFP Nanofitins (as
illustrated with previously described state-of-the-art anti-GFP binders applied
to living cells and in vitro applications). Through a single fusion domain, the
GFP-ready tagged proteins benefit from subsequent customization within a wide
range of fluorescence spectra upon indirect binding of a chosen GFP variant.
PMID- 26539719
TI - Structural Characterisation of FabG from Yersinia pestis, a Key Component of
Bacterial Fatty Acid Synthesis.
AB - Ketoacyl-acyl carrier protein reductases (FabG) are ubiquitously expressed
enzymes that catalyse the reduction of acyl carrier protein (ACP) linked
thioesters within the bacterial type II fatty acid synthesis (FASII) pathway. The
products of these enzymes, saturated and unsaturated fatty acids, are essential
components of the bacterial cell envelope. The FASII reductase enoyl-ACP
reductase (FabI) has been the focus of numerous drug discovery efforts, some of
which have led to clinical trials, yet few studies have focused on FabG. Like
FabI, FabG appears to be essential for survival in many bacteria, similarly
indicating the potential of this enzyme as a drug target. FabG enzymes are
members of the short-chain alcohol dehydrogenase/reductase (SDR) family, and like
other SDRs, exhibit highly conserved secondary and tertiary structures, and
contain a number of conserved sequence motifs. Here we describe the crystal
structures of FabG from Yersinia pestis (YpFabG), the causative agent of bubonic,
pneumonic, and septicaemic plague, and three human pandemics. Y. pestis remains
endemic in many parts of North America, South America, Southeast Asia, and
Africa, and a threat to human health. YpFabG shares a high degree of structural
similarity with bacterial homologues, and the ketoreductase domain of the
mammalian fatty acid synthase from both Homo sapiens and Sus scrofa. Structural
characterisation of YpFabG, and comparison with other bacterial FabGs and the
mammalian fatty acid synthase, provides a strong platform for virtual screening
of potential inhibitors, rational drug design, and the development of new
antimicrobial agents to combat Y. pestis infections.
PMID- 26539721
TI - Evaluation of the Expanded Criteria of Endoscopic Submucosal Dissection for Early
Gastric Cancers: A Meta-Analysis.
AB - BACKGROUND: In 2010, undifferentiated early-stage gastric cancers (EGCs; <=1 cm
and confined to the mucosa) were included in the expanded criteria for endoscopic
submucosal dissection (ESD), as established by the Japanese Gastric Cancer
Association. OBJECTIVE: To evaluate the safety and efficacy of the newly expanded
criteria of ESD for EGCs. METHODS: PubMed, Embase, and the Cochrane Library were
searched for relevant studies published between January 1, 2010 and July 10,
2014. These studies were collected by 2 authors and then analyzed with RevMan
5.0. RESULTS: Six studies including 6,687 patients were identified. Compared to
the standard group (SG), the expanded group (EG) had higher bleeding (OR 1.66;
95% CI 1.02-2.68), perforation (OR 2.03; 95% CI 1.25-3.31), positive lateral
margin rates (OR 16.88; 95% CI 3.83-74.5) and lower en bloc resection rate (OR
0.48; 95% CI 0.34-0.70). The local recurrence (OR 2.46; 95% CI 0.31-19.23) and
total survival rates (OR 0.92; 95% CI 0.76-1.12) between the 2 groups were not
statistically different. CONCLUSIONS: Considering the similar rates of local
recurrence and total survival between the SG and EG, the use of ESD for the
management of EGCs that meet the expanded criteria could be considered a safe and
effective treatment method.
PMID- 26539720
TI - Anti-Tumor Effect of Pinus massoniana Bark Proanthocyanidins on Ovarian Cancer
through Induction of Cell Apoptosis and Inhibition of Cell Migration.
AB - Pinus massoniana bark proanthocyanidins (PMBPs), an active component isolated
from Pinus massoniana bark, has been reported to possess a wide range of
biochemical properties. Here, we investigated the anti-tumor effect of PMBPs on
ovarian cancer. The results indicated that PMBPs significantly reduced the growth
of ovarian cancer cells and induced dose-dependent apoptosis. The underlying
mechanisms involved were elucidated to include the loss of mitochondrial membrane
potential, down-regulation of the anti-apoptotic protein Bcl-2 and the activation
of Caspase 3/9, suggesting that PMBPs triggered apoptosis through activation of
mitochondria-associated apoptotic pathway. In addition, wound healing and
transwell chamber assays revealed that PMBPs could suppress migration and
invasion of ovarian cancer cells. PMBPs dramatically inhibited MMP-9 activity and
expression, blocked the activity of NFkappaB and the activation of ERK1/2 and p38
MAPK. Our findings suggest that PMBPs has the potential to be developed as an
anti-tumor drug for ovarian cancer treatment and/ or disease management.
PMID- 26539722
TI - Forecasting Natural Gas Prices Using Wavelets, Time Series, and Artificial Neural
Networks.
AB - Following the unconventional gas revolution, the forecasting of natural gas
prices has become increasingly important because the association of these prices
with those of crude oil has weakened. With this as motivation, we propose some
modified hybrid models in which various combinations of the wavelet
approximation, detail components, autoregressive integrated moving average,
generalized autoregressive conditional heteroskedasticity, and artificial neural
network models are employed to predict natural gas prices. We also emphasize the
boundary problem in wavelet decomposition, and compare results that consider the
boundary problem case with those that do not. The empirical results show that our
suggested approach can handle the boundary problem, such that it facilitates the
extraction of the appropriate forecasting results. The performance of the wavelet
hybrid approach was superior in all cases, whereas the application of detail
components in the forecasting was only able to yield a small improvement in
forecasting performance. Therefore, forecasting with only an approximation
component would be acceptable, in consideration of forecasting efficiency.
PMID- 26539724
TI - Interactions Increase Forager Availability and Activity in Harvester Ants.
AB - Social insect colonies use interactions among workers to regulate collective
behavior. Harvester ant foragers interact in a chamber just inside the nest
entrance, here called the 'entrance chamber'. Previous studies of the activation
of foragers in red harvester ants show that an outgoing forager inside the nest
experiences an increase in brief antennal contacts before it leaves the nest to
forage. Here we compare the interaction rate experienced by foragers that left
the nest and ants that did not. We found that ants in the entrance chamber that
leave the nest to forage experienced more interactions than ants that descend to
the deeper nest without foraging. Additionally, we found that the availability of
foragers in the entrance chamber is associated with the rate of forager return.
An increase in the rate of forager return leads to an increase in the rate at
which ants descend to the deeper nest, which then stimulates more ants to ascend
into the entrance chamber. Thus a higher rate of forager return leads to more
available foragers in the entrance chamber. The highest density of interactions
occurs near the nest entrance and the entrances of the tunnels from the entrance
chamber to the deeper nest. Local interactions with returning foragers regulate
both the activation of waiting foragers and the number of foragers available to
be activated.
PMID- 26539725
TI - Five Questions about Mycoviruses.
PMID- 26539726
TI - Shoulder complex linkage mechanism for humanlike musculoskeletal robot arms.
AB - The shoulder complex in the human body consists of the scapula, clavicle,
humerus, and thorax and bears the load imposed by arm movements while at the same
time realizing a wide range of motions. To mimic and exploit its role, several
musculoskeletal robot arms with shoulder complex mechanisms have been developed.
However, although many research groups have tried to design the structures using
links and joints that faithfully correspond to the bones and joints in the human
shoulder complex, its function has not been successfully reproduced because
biologically plausible designs seriously compromise engineering plausibility. In
this paper, we propose a linkage mechanism that can reproduce complex three
dimensional scapulo movements and considers the trade-off between biological and
engineering plausibilities. Subsequently, the design was validated by driving the
mechanism using pneumatic artificial muscles (PAMs) placed similarly to muscles
in humans. Further, we present experiments in which the robot was controlled by
surface electromyographic signals from a human. We show that the proposed design,
due to its kinematic similarity with human musculoskeletal systems, eases the
conversion between the surface electromyogram signals and the PAMs control
inputs.
PMID- 26539727
TI - Anteaglonialides A-F and Palmarumycins CE(1)-CE(3) from Anteaglonium sp. FL0768,
a Fungal Endophyte of the Spikemoss Selaginella arenicola.
AB - Anteaglonialides A-F (1-6), bearing a spiro[6-(tetrahydro-7-furanyl)cyclohexane
1,2'-naphtho[1,8-de][1,3]-dioxin]-10-one skeleton, three new
spirobisnaphthalenes, palmarumycins CE1-CE3 (7-9), nine known palmarumycin
analogues, palmarumycins CP5 (10), CP4a (11), CP3 (12), CP17 (13), CP2 (14), and
CP1 (15), CJ-12,371 (16), 4-O-methyl CJ-12,371 (17), and CP4 (18), together with
a possible artifact, 4a(5)-anhydropalmarumycin CE2 (8a), and four known
metabolites, O-methylherbarin (19), herbarin (20), herbaridine B (21), and
hyalopyrone (22), were encountered in a cytotoxic extract of a potato dextrose
agar culture of Anteaglonium sp. FL0768, an endophytic fungus of the sand
spikemoss, Selaginella arenicola. The planar structures and relative
configurations of the new metabolites 1-9 were elucidated by analysis of
extensive spectroscopic data, and the absolute configuration of 1 was determined
by the modified Mosher's ester method. Application of the modified Mosher's ester
method combined with the NOESY data resulted in revision of the absolute
configuration previously proposed for 10. Co-occurrence of 1-6 and 7-18 in this
fungus led to the proposal that the anteagloniolides may be biogenetically
derived from palmarumycins. Among the metabolites encountered, anteaglonialide F
(6) and known palmarumycins CP3 (12) and CP1 (15) exhibited strong cytotoxic
activity against the human Ewing's sarcoma cell line CHP-100, with IC50 values of
1.4, 0.5, and 1.6 MUM, respectively.
PMID- 26539729
TI - Use of Nonequilibrium Work Methods to Compute Free Energy Differences Between
Molecular Mechanical and Quantum Mechanical Representations of Molecular Systems.
AB - Carrying out free energy simulations (FES) using quantum mechanical (QM)
Hamiltonians remains an attractive, albeit elusive goal. Renewed efforts in this
area have focused on using "indirect" thermodynamic cycles to connect "low level"
simulation results to "high level" free energies. The main obstacle to computing
converged free energy results between molecular mechanical (MM) and QM (DeltaA(MM
>QM)), as recently demonstrated by us and others, is differences in the so-called
"stiff" degrees of freedom (e.g., bond stretching) between the respective energy
surfaces. Herein, we demonstrate that this problem can be efficiently
circumvented using nonequilibrium work (NEW) techniques, i.e., Jarzynski's and
Crooks' equations. Initial applications of computing DeltaA(NEW)(MM->QM), for
blocked amino acids alanine and serine as well as to generate butane's potentials
of mean force via the indirect QM/MM FES method, showed marked improvement over
traditional FES approaches.
PMID- 26539730
TI - Proteomic identification of prognostic tumour biomarkers, using chemotherapy
induced cancer-associated fibroblasts.
AB - Cancer cells grow in highly complex stromal microenvironments, which through
metabolic remodelling, catabolism, autophagy and inflammation nurture them and
are able to facilitate metastasis and resistance to therapy. However, these
changes in the metabolic profile of stromal cancer-associated fibroblasts and
their impact on cancer initiation, progression and metastasis are not well-known.
This is the first study to provide a comprehensive proteomic portrait of the
azathioprine and taxol-induced catabolic state on human stromal fibroblasts,
which comprises changes in the expression of metabolic enzymes, myofibroblastic
differentiation markers, antioxidants, proteins involved in autophagy,
senescence, vesicle trafficking and protein degradation, and inducers of
inflammation. Interestingly, many of these features are major contributors to the
aging process. A catabolic stroma signature, generated with proteins found
differentially up-regulated in taxol-treated fibroblasts, strikingly correlates
with recurrence, metastasis and poor patient survival in several solid
malignancies. We therefore suggest the inhibition of the catabolic state in
healthy cells as a novel approach to improve current chemotherapy efficacies and
possibly avoid future carcinogenic processes.
PMID- 26539731
TI - Distribution of blood types in a sample of 245 New Zealand non-purebred cats.
AB - AIMS: To determine the distribution of feline blood types in a sample of non
pedigree, domestic cats in New Zealand, whether a difference exists in this
distribution between domestic short haired and domestic long haired cats, and
between the North and South Islands of New Zealand; and to calculate the risk of
a random blood transfusion causing a severe transfusion reaction, and the risk of
a random mating producing kittens susceptible to neonatal isoerythrolysis.
METHODS: The results of 245 blood typing tests in non-pedigree cats performed at
the New Zealand Veterinary Pathology (NZVP) and Gribbles Veterinary Pathology
laboratories between the beginning of 2009 and the end of 2014 were
retrospectively collated and analysed. Cats that were identified as domestic
short or long haired were included. For the cats tested at Gribbles Veterinary
Pathology 62 were from the North Island, and 27 from the South Island. RESULTS:
The blood type distribution differed between samples from the two laboratories
(p=0.029), but not between domestic short and long haired cats (p=0.50), or
between the North and South Islands (p=0.76). Of the 89 cats tested at Gribbles
Veterinary Pathology, 70 (79%) were type A, 18 (20%) type B, and 1 (1%) type AB;
for NZVP 139/156 (89.1%) cats were type A, 16 (10.3%) type B, and 1 (0.6%) type
AB. It was estimated that 18.3-31.9% of random blood transfusions would be at
risk of a transfusion reaction, and neonatal isoerythrolysis would be a risk in
9.2-16.1% of random matings between non-pedigree cats. CONCLUSIONS: The results
from this study suggest that there is a high risk of complications for a random
blood transfusion between non-purebred cats in New Zealand. Neonatal
isoerythrolysis should be considered an important differential diagnosis in
illness or mortality in kittens during the first days of life.
PMID- 26539732
TI - Chronic Intermittent Hypoxia and Blood Pressure: Is There Risk for Hypertension
in Healthy Individuals?
AB - AIM: The aim of the current study was to assess a year-long impact of chronic
intermittent exposure to hypoxia on blood pressure (BP) in healthy working middle
aged adults. MATERIALS AND METHODS: Data from pre-employment and annual screening
of high-altitude mining company (elevation 4000 meters above sea level) were
obtained for 472 workers aged 34.1 +/- 7.8 years, working 2-week shifts, followed
by 2 weeks of rest at low altitude (cumulative exposure 6 months). Overall
systolic, diastolic BP change (DeltaBP) were calculated, and tested in
multivariate regression models in the entire group, as well as in different
strata of BP. RESULTS: Baseline systolic BP reduced from 123.2 +/- 11.3 to 116.3
+/- 13.1 mmHg (DeltaBP 6.8 mmHg), diastolic BP from 76.7 +/- 8.4 to 74.9 +/- 8.4
mmHg (DeltaBP -1.7 mmHg) (p < 0.001), both measured at low altitude before and
after one year of exposure to chronic intermittent hypoxia. The greater the
baseline BP, the more pronounced was BP decrease. In the most prevalent combined
group of normal and high normal BP, both systolic and diastolic BP reduced after
one year of high altitude exposure (p < 0.01). In multivariate adjusted models,
none of exposures of interest were associated with DeltaBP. CONCLUSIONS: One-year
intermittent exposure to hypobaric hypoxia in new hires for high-altitude mining
company was not associated with BP increase.
PMID- 26539734
TI - How Much Nonclinical Safety Data Are Required for a Clinical Study in
Ophthalmology?
AB - Planning the nonclinical development program for an ophthalmic product requires
first an understanding of how the drug will be used in the clinic-topical,
injected, relatively short duration of testing, chronic testing, and what is
already known about the drug. In some cases, when the drug is being repurposed or
reformulated to allow for the same or different route of ocular administration,
the requirements will be very different than for a first-in-class, novel drug. In
general, ICH guidances have relatively little direction with respect to
ophthalmology. Sponsors are encouraged to make use of meetings with the FDA,
particularly for unusual situations, given this lack of specific ICH direction.
In this article we describe the range of nonclinical studies required in our
experience-pharmacology, pharmacokinetics and toxicology, with attention to the
stage of clinical development and duration of treatment. As well, we discuss the
coordination of effort with pharmaceutics researchers on drug substance and drug
product.
PMID- 26539733
TI - Stable Carbon Isotope Fractionation during Bacterial Acetylene Fermentation:
Potential for Life Detection in Hydrocarbon-Rich Volatiles of Icy Planet(oid)s.
AB - We report the first study of stable carbon isotope fractionation during microbial
fermentation of acetylene (C2H2) in sediments, sediment enrichments, and
bacterial cultures. Kinetic isotope effects (KIEs) averaged 3.7 +/- 0.50/00 for
slurries prepared with sediment collected at an intertidal mudflat in San
Francisco Bay and 2.7 +/- 0.20/00 for a pure culture of Pelobacter sp. isolated
from these sediments. A similar KIE of 1.8 +/- 0.70/00 was obtained for
methanogenic enrichments derived from sediment collected at freshwater Searsville
Lake, California. However, C2H2 uptake by a highly enriched mixed culture (strain
SV7) obtained from Searsville Lake sediments resulted in a larger KIE of 9.0 +/-
0.70/00. These are modest KIEs when compared with fractionation observed during
oxidation of C1 compounds such as methane and methyl halides but are comparable
to results obtained with other C2 compounds. These observations may be useful in
distinguishing biologically active processes operating at distant locales in the
Solar System where C2H2 is present. These locales include the surface of Saturn's
largest moon Titan and the vaporous water- and hydrocarbon-rich jets emanating
from Enceladus. KEY WORDS: Acetylene-Fermentation-Isotope fractionation-Enceladus
Life detection.
PMID- 26539735
TI - A long natural-antisense RNA is accumulated in the conidia of Aspergillus oryzae.
AB - Analysis of expressed sequence tag libraries from various culture conditions
revealed the existence of conidia-specific transcripts assembled to putative
conidiation-specific reductase gene (csrA) in Aspergillus oryzae. However, the
all transcripts were transcribed with opposite direction to the gene csrA. The
sequence analysis of the transcript revealed that the RNA overlapped mRNA of csrA
with 3'-end, and did not code protein longer than 60 amino acid residues. We
designated the transcript Conidia Specific Long Natural-antisense RNA (CSLNR).
The real-time PCR analysis demonstrated that the CSLNR is conidia-specific
transcript, which cannot be transcribed in the absence of brlA, and the amount of
CSLNR was much more than that of the transcript from csrA in conidia.
Furthermore, the csrA deletion, also lacking coding region of CSLNR in A. oryzae
reduced the number of conidia. Overexpression of CsrA demonstrated the inhibition
of growth and conidiation, while CSLNR did not affect conidiation.
PMID- 26539736
TI - First-rib stress fracture in two adolescent swimmers: a case report.
AB - First-rib stress fractures have been described in adolescent athletes in various
sports, with only one prior case report of first-rib stress fractures in an
adolescent female swimmer. There is a need for research on the cause, management,
and prevention of these injuries as they lead to significant morbidity and
critical time away from sport for these aspiring athletes. We aimed to describe
first-rib stress fractures as a potential cause for non-specific atraumatic
chronic shoulder pain in adolescent swimmers and to discuss the different
presentations, unique risk factors, treatment, and potential injury prevention
strategies of such fractures. We discussed two such cases which were successfully
treated with activity modification with restriction of all overhead activity,
gradually progressive physical therapy and a return to swimming protocol. First
rib stress fractures can vary in presentation and should be in the differential
diagnosis in adolescent swimmers with chronic shoulder pain. These injuries can
be successfully managed with rest from overhead activities and physical therapy.
Gradual return to competitive swimming can be achieved even with non-union of a
first-rib stress fracture. Emphasis on balanced strength training in different
muscle groups and proper swimming technique is essential to prevent these
injuries.
PMID- 26539737
TI - Estimation of genetic parameters related to eggshell strength using random
regression models.
AB - This study examined the changes in eggshell strength and the genetic parameters
related to this trait throughout a hen's laying life using random regression. The
data were collected from a crossbred population between 2011 and 2014, where the
eggshell strength was determined repeatedly for 2260 hens. Using random
regression models (RRMs), several Legendre polynomials were employed to estimate
the fixed, direct genetic and permanent environment effects. The residual effects
were treated as independently distributed with heterogeneous variance for each
test week. The direct genetic variance was included with second-order Legendre
polynomials and the permanent environment with third-order Legendre polynomials.
The heritability of eggshell strength ranged from 0.26 to 0.43, the repeatability
ranged between 0.47 and 0.69, and the estimated genetic correlations between test
weeks was high at > 0.67. The first eigenvalue of the genetic covariance matrix
accounted for about 97% of the sum of all the eigenvalues. The flexibility and
statistical power of RRM suggest that this model could be an effective method to
improve eggshell quality and to reduce losses due to cracked eggs in a breeding
plan.
PMID- 26539738
TI - Use of Social Networking Sites and Risk of Cyberbullying Victimization: A
Population-Level Study of Adolescents.
AB - Social networking sites (SNSs) have gained considerable popularity among youth in
recent years; however, there is a noticeable paucity of research examining the
association between the use of these web-based platforms and cyberbullying
victimization at the population level. This study examines the association
between the use of SNSs and cyberbullying victimization using a large-scale
survey of Canadian middle and high school students. Data on 5,329 students aged
11-20 years were derived from the 2013 Ontario Student Drug Use and Health
Survey. Logistic regression was used to examine the relationship between the use
of SNSs and cyberbullying victimization while adjusting for covariates. Overall,
19 percent of adolescents were cyberbullied in the past 12 months. Adolescents
who were female, younger, of lower socioeconomic status, and who used alcohol or
tobacco were at greater odds of being cyberbullied. The use of SNSs was
associated with an increased risk of cyberbullying victimization in a dose
response manner (p-trend <0.001). Gender was not a significant moderator of the
association between use of SNSs and being cyberbullied. Results from this study
underscore the need for raising awareness and educating adolescents on effective
strategies to prevent cyberbullying victimization.
PMID- 26539739
TI - Lymphocyte depletion and subset alteration correlate to renal function in chronic
kidney disease patients.
AB - BACKGROUND: It is widely accepted that chronic renal failure is associated with
severe alterations of immune system. However, few studies looked into the immune
alteration in earlier stage of chronic kidney disease (CKD) patients. To
characterize immune defect in CKD patients, we performed lymphocyte subset
analysis and explored its relationship to renal function in this population.
METHODS: 472 CKD patients were enrolled in this study. Lymphocyte subsets
(CD19(+), CD3(+), CD3(+)CD4(+), CD3(+)CD8(+), CD56(+)CD16(+)) were determined by
flow cytometry. Clinical and laboratory data were collected. Patterns of immune
cells in different stages of CKD were compared. Multivariate linear regression
was used to evaluate the relationship between lymphocyte subset group and renal
function. Correlation analysis was used to assess the relationship between
lymphocyte subset and other clinical and laboratory data. RESULTS: Decreased
lymphocyte counts occurred long before the end stage of renal disease. Increased
NK cell percentage was negatively related to estimated glomerular filtration rate
(eGFR) (r = -0.259, p < 0.001) while B cell percentage was positively related to
eGFR (r = 0.249, p < 0.001). Further multivariate linear regression showed
increased B cell percentage (beta = 16.470, 95%CI [1.018-31.922], p = 0.037) and
decreased NK cell percentage (beta = -10.659, 95%CI [-20.063 to -1.254], p =
0.026) were independently correlated with higher eGFR, respectively. Patients
with lower NK cell percentage and higher B cell percentage tended to have the
best renal function. CONCLUSIONS: Lymphocyte depletion and subset alteration
occurred during the progress of CKD. Further studies are needed to clarify the
role of immune system in CKD and to expand our knowledge about the effect of
uremia on the structure and function of immune system.
PMID- 26539740
TI - A suPAR Biomarker for Chronic Kidney Disease.
PMID- 26539742
TI - Mesoscale Simulations and Experimental Studies of pH-Sensitive Micelles for
Controlled Drug Delivery.
AB - The microstructures of doxorubicin-loaded micelles prepared from block polymers
His(x)Lys10 (x = 0, 5, 10) conjugated with docosahexaenoic acid (DHA) are
investigated under different pH conditions, using dissipative particle dynamics
(DPD) simulations. The conformation of micelles and the DOX distributions in
micelles were obviously influenced by pH values and the length of the histidine
segment. At pH >6.0, the micelles self-assembled from the polymers were dense and
compact. The drugs were entrapped well within the micellar core. The particle
size increases as the histidine length increases. With the decrease of pH value
to be lower than 6.0, there was no distinct difference for the micelles self
assembled from the polymer without histidine residues. However, the micelles
prepared from the polymers with histidine residues shows a structural
transformation from dense to swollen conformation, leading to an increased
particle size from 10.3 to 14.5 DPD units for DHD-His10Lys10 micelles. This
structural transformation of micelles can accelerate the DOX release from
micelles under lower pH conditions. The in vitro drug release from micelles is
accelerated by the decrease of pH value from 7.4 (physiological environment) to
5.0 (lysosomal environment). The integration of simulation and experiments might
be a valuable method for the optimization and design of biomaterials for drug
delivery with desired properties.
PMID- 26539743
TI - Rhodium(III)-Catalyzed Direct Cyanation of Aromatic C-H Bond to Form 2
(Alkylamino)benzonitriles Using N-Nitroso As Directing Group.
AB - 2-(Alkylamino)benzonitriles were synthesized via a rhodium-catalyzed cyanation on
the aryl C-H bond and subsequent denitrosation of N-nitrosoarylamines using a
removable nitroso as the directing group, in which N-cyano-N-phenyl-p
methylbenzenesulfonamide (NCTS) was used as the "CN" source. Various substituents
on the aryl ring and amino group of N-nitrosoarylamines tolerated the reaction,
and the corresponding products were achieved in moderate to good yields.
PMID- 26539741
TI - Next generation protein based Streptococcus pneumoniae vaccines.
AB - All currently available Streptococcus pneumoniae (Spn) vaccines have limitations
due to their capsular serotype composition. Both the 23-valent Spn polysaccharide
vaccine (PPV) and 7, 10, or 13-valent Spn conjugate vaccines (PCV-7, 10, -13) are
serotype-based vaccines and therefore they elicit only serotype-specific
immunity. Emergence of replacement Spn strains expressing other serotypes has
consistently occurred following introduction of capsular serotype based Spn
vaccines. Furthermore, capsular polysaccharide vaccines are less effective in
protection against non-bacteremic pneumonia and acute otitis media (AOM) than
against invasive pneumococcal disease (IPD). These shortcomings of capsular
polysaccharide-based Spn vaccines have created high interest in development of
non-serotype specific protein-based vaccines that could be effective in
preventing both IPD and non-IPD infections. This review discusses the progress to
date on development of Spn protein vaccine candidates that are highly conserved
by all Spn strains, are highly conserved, exhibit maximal antigenicity and
minimal reactogenicity to replace or complement the current capsule-based
vaccines. Key to development of a protein based Spn vaccine is an understanding
of Spn pathogenesis. Based on pathogenesis, a protein-based Spn vaccine should
include one or more ingredients that reduce NP colonization below a pathogenic
inoculum. Elimination of all Spn colonization may not be achievable or even
advisable. The level of expression of a target protein antigen during
pathogenesis is another key to the success of protein based vaccines.. As with
virtually all currently licensed vaccines, production of a serum antibody
response in response to protein based vaccines is anticipated to provide
protection from Spn infections. A significant advantage that protein vaccine
formulations can offer over capsule based vaccination is their potential benefits
associated with natural priming and boosting to all strains of Spn. One of the
most universal and comprehensive approaches of identifying novel vaccine
candidates is the investigation of human sera from different disease stages of
natural infections. Antigens that are robustly reactive in preliminary human
serum screening constitute a pathogen-specific antigenome. This strategy has
identified a number of Spn protein vaccine candidates that are moving forward in
human clinical trials.
PMID- 26539744
TI - Exploring communication and interaction skills at work among participants in
individual placement and support.
AB - BACKGROUND: Not all people with severe mental illness who attend Individual
Placement and Support (IPS) gain and keep their jobs or work full time. Research
has indicated a relationship between social disabilities and work performance in
this group, and that support provided is often directed towards the social work
environment. However, relationships between social skills performed in an
authentic work setting and vocational outcomes have not been explored.
OBJECTIVES: To explore relationships between social communication and interaction
skills and vocational outcomes among IPS service users in a Swedish context.
MATERIAL AND METHODS: Twenty-nine participants were appraised with the Assessment
of Communication and Interaction Skills (ACIS-S) instrument, and their vocational
data were registered. Correlations were estimated using Spearman's rho test with
Bonferroni corrections at item level. RESULTS: Better communication and
interaction skills were significantly correlated with increased working hours (rs
= 0.64) and higher income (rs = 0.45). Increased working hours were related to
assuming postures, asking questions, sharing information, and sustaining
conversation in an appropriate manner. CONCLUSION: The results indicate that
occupational therapists need to focus on social skills and accommodation of the
social work environment in order to promote sustainable working careers among
people with severe mental illness.
PMID- 26539745
TI - The complete mitochondrial genome sequence of a cavefish Sinocyclocheilus
anshuiensis (Cypriniformes: Cyprinidae).
AB - Sinocyclocheilus anshuiensis is a special cavefish that lives in the Southwestern
China with many specific regressive features, such as rudimentary eyes and
scales, and loss of pigmentation. In this study, we performed sequencing and
assembly of its complete mitochondrial genome. We confirmed that total length of
the mitochondrion is 16 618 bp with an AT ratio of 55.4%. The complete
mitochondrial genome contains 13 protein-coding genes, 22 transfer RNAs, 2
ribosomal RNAs and a 963 bp control region. Our current data provide important
resources for the research of cavefish mitochondrial evolution and energy
metabolism.
PMID- 26539746
TI - Wetting behavior on hexagonally close-packed polystyrene bead arrays with
different topographies.
AB - Herein, we investigated the wetting behavior of hexagonally close-packed
polystyrene bead arrays with different bead diameters and surface flatness. The
contact angle was found to be influenced by the surface roughness as well as the
contact area of the polystyrene bead array with a water droplet.
PMID- 26539747
TI - Correlates of Objectively Measured Physical Activity Among Norwegian Older
Adults: The Generation 100 Study.
AB - The aim of this study was to identify how demographics, physical activity (PA)
history, and environmental and biological correlates are associated with
objectively measured PA among older adults. PA was assessed objectively in 850
older adults (70-77 years, 48% females) using the ActiGraph GT3X+ activity
monitor. Hierarchical multiple regression analysis was used to identify important
PA correlates. The included correlates explained 27.0% of the variance in older
adult's PA. Cardiorespiratory fitness (CRF), gender, and season were the most
important correlates, explaining 10.1%, 3.9%, and 2.7% of the variance,
respectively. PA was positively associated with CRF, females were more physically
active than males, and PA increased in warmer months compared with colder months.
This is, to our knowledge, the largest study of PA correlates in older adults
that has combined objectively measured PA and CRF. Our findings provide new
knowledge about how different correlates are associated with PA.
PMID- 26539748
TI - Exploring Crystal Phase Switching in GaP Nanowires.
AB - The growth of wurtzite/zincblende (WZ and ZB, respectively) superstructures opens
new avenues for band structure engineering and holds the promise of digitally
controlling the energy spectrum of quantum confined systems. Here, we study
growth kinetics of pure and thus defect-free WZ/ZB homostructures in GaP
nanowires with the aim to obtain monolayer control of the ZB and WZ segment
lengths. We find that the Ga concentration and the supersaturation in the
catalyst particle are the key parameters determining growth kinetics. These
parameters can be tuned by the gallium partial pressure and the temperature. The
formation of WZ and ZB can be understood with a model based on nucleation either
at the triple phase line for the WZ phase or in the center of the solid-liquid
interface for the ZB phase. Furthermore, the observed delay/offset time needed to
induce WZ and ZB growth after growth of the other phase can be explained within
this framework.
PMID- 26539749
TI - The primatologist as a behavioral engineer.
AB - The field of primate behavior management has had only limited success in
preventing and treating abnormal behaviors, such as stereotypy and self-injury,
in captive non-human primates (NHP). In contrast, applied behavior analysts have
had great success in treating similar topographies of behavior in human clinical
settings. By adapting and adopting the behavioral principles and methodologies
commonly used by applied behavior analysts, primatologists may be able to develop
more effective ways to analyze, reduce, and prevent these aberrant behaviors in
NHP. This article reviews studies that have used behavior analytic techniques to
successfully address problem behaviors in NHP. Additionally, relevant literature
from the field of applied behavior analysis is reviewed to illustrate how
adopting a theoretical framework that emphasizes the determination of the
underlying operant functions of behavior could lead to new behavioral
technologies and advance the field of captive primate management. Am. J.
Primatol. 79:e22500, 2017. (c) 2015 Wiley Periodicals, Inc.
PMID- 26539750
TI - Applications of Molecularly Imprinted Polymer Nanoparticles and Their Advances
toward Industrial Use: A Review.
PMID- 26539752
TI - A Nonfullerene Small Molecule Acceptor with 3D Interlocking Geometry Enabling
Efficient Organic Solar Cells.
AB - A new 3D nonfullerene small-molecule acceptor is reported. The 3D interlocking
geometry of the small-molecule acceptor enables uniform molecular conformation
and strong intermolecular connectivity, facilitating favorable nanoscale phase
separation and electron charge transfer. By employing both a novel polymer donor
and a nonfullerene small-molecule acceptor in the solution-processed organic
solar cells, a high-power conversion efficiency of close to 6% is demonstrated.
PMID- 26539751
TI - High-Content Assay Multiplexing for Toxicity Screening in Induced Pluripotent
Stem Cell-Derived Cardiomyocytes and Hepatocytes.
AB - Cell-based high-content screening (HCS) assays have become an increasingly
attractive alternative to traditional in vitro and in vivo testing in
pharmaceutical drug development and toxicological safety assessment. The time-
and cost-effectiveness of HCS assays, combined with the organotypic nature of
human induced pluripotent stem cell (iPSC)-derived cells, open new opportunities
to employ physiologically relevant in vitro model systems to improve screening
for potential chemical hazards. In this study, we used two human iPSC types,
cardiomyocytes and hepatocytes, to test various high-content and molecular assay
combinations for their applicability in a multiparametric screening format.
Effects on cardiomyocyte beat frequency were characterized by calcium flux
measurements for up to 90 min. Subsequent correlation with intracellular cAMP
levels was used to determine if the effects on cardiac physiology were G-protein
coupled receptor dependent. In addition, we utilized high-content cell imaging to
simultaneously determine cell viability, mitochondrial integrity, and reactive
oxygen species (ROS) formation in both cell types. Kinetic analysis indicated
that ROS formation is best detectable 30 min following initial treatment, whereas
cytotoxic effects were most stable after 24 h. For hepatocytes, high-content
imaging was also used to evaluate cytotoxicity and cytoskeletal integrity, as
well as mitochondrial integrity and the potential for lipid accumulation. Lipid
accumulation, a marker for hepatic steatosis, was most reliably detected 48 h
following treatment with test compounds. Overall, our results demonstrate how a
compendium of assays can be utilized for quantitative screening of chemical
effects in iPSC cardiomyocytes and hepatocytes and enable rapid and cost
efficient multidimensional biological profiling of toxicity.
PMID- 26539753
TI - Genotypic anomaly in Ebola virus strains circulating in Magazine Wharf area,
Freetown, Sierra Leone, 2015.
AB - The Magazine Wharf area, Freetown, Sierra Leone was a focus of ongoing Ebola
virus transmission from late June 2015. Viral genomes linked to this area contain
a series of 13 T to C substitutions in a 150 base pair intergenic region
downstream of viral protein 40 open reading frame, similar to the
Ebolavirus/H.sapiens-wt/SLE/2014/Makona-J0169 strain (J0169) detected in the same
town in November 2014. This suggests that recently circulating viruses from
Freetown descend from a J0169-like virus.
PMID- 26539755
TI - Maternal separation and early stress cause long-lasting effects on dopaminergic
and endocannabinergic systems and alters dendritic morphology in the nucleus
accumbens and frontal cortex in rats.
AB - A considerable amount experimental studies have shown that maternal separation
(MS) is associated with adult offspring abnormal behavior and cognition disorder.
Accordingly, this experimental procedure has been proposed as a predictor for
alcohol and drug dependence based on the neurodevelopmental soon after birth.
Endocannabinoid system (eCBs) has been implicated in reward processes, including
drug abuse and dependence. MS and associated stress causes changes in the eCBs
that seem to facilitate alcohol consumption. In this study, we seek to evaluate
potential morphological changes in neurons of the frontal cortex (FCx) and
nucleus accumbens (NAcc), in the expression of receptors and enzymes of the
endocannabinoid and dopamine systems and in second messengers, such as Akt, in
adult rats subjected to MS and early stress (MS + ES; 2 * 180 min daily) vs.
nonseparated rats (NMS). Results showed that MS + ES induces higher D2R
expression and lower D3R, FAAH, and MAGL expression compared with NMS rats.
Alterations in total dendritic length were also detected and were characterized
by increases in the NAcc while there were decreases in the FCx. We believe MS +
ES-induced changes in the dopaminergic and endocannabinergic systems and in the
neuronal microstructure might be contributing to alcohol seeking behavior and,
potential vulnerability to other drugs in rats. (c) 2015 Wiley Periodicals, Inc.
Develop Neurobiol 76: 819-831, 2016.
PMID- 26539754
TI - Deconstructing Chronic Low Back Pain in the Older Adult: Step by Step Evidence
and Expert-Based Recommendations for Evaluation and Treatment: Part IV:
Depression.
AB - OBJECTIVE: To present the fourth in a series of articles designed to deconstruct
chronic low back pain (CLBP) in older adults. The series presents CLBP as a
syndrome, a final common pathway for the expression of multiple contributors
rather than a disease localized exclusively to the lumbosacral spine. Each
article addresses one of twelve important contributors to pain and disability in
older adults with CLBP. This article focuses on depression. METHODS: The
evaluation and treatment algorithm, a table articulating the rationale for the
individual algorithm components, and stepped-care drug recommendations were
developed using a modified Delphi approach. The Principal Investigator, a three
member content expert panel, and a nine-member primary care panel were involved
in the iterative development of these materials. The algorithm was developed
keeping in mind medications and other resources available within Veterans Health
Administration (VHA) facilities. As panelists were not exclusive to the VHA, the
materials can be applied in both VHA and civilian settings. The illustrative
clinical case was taken from one of the contributor's clinical practice. RESULTS:
We present an algorithm and supportive materials to help guide the care of older
adults with depression, an important contributor to CLBP. The case illustrates an
example of a complex clinical presentation in which depression was an important
contributor to symptoms and disability in an older adult with CLBP. CONCLUSIONS:
Depression is common and should be evaluated routinely in the older adult with
CLBP so that appropriately targeted treatments can be planned and implemented.
PMID- 26539756
TI - Isolation and identification of bioactive compounds from chloroform fraction of
methanolic extract of Carissa opaca roots.
AB - Carissa opaca is a shrub known for its variety of medicinal applications. This
study reports isolation and identification of four chemical compounds from its
roots for the first time. The methanolic extract of the roots was fractionated
into various solvents with increasing polarity. Chloroform fraction was subjected
to column and thin layer chromatography to ultimately yield 2H
cyclopropanaphthalene-2-one, 7-hydroxy-6-methoxy-2H-1-benzopyran-2-one, 3-(4
methoxyphenyl)-2,6-dimethylbenzofuran and 5(1H)-azulenone, 2,4,6,7,8,8a-hexahydro
3,8-dimethyl-4-(1-methylethylidene)-,(8S-cis). They were identified by GC-MS
analysis. The compounds exhibited considerable antimicrobial activities against
Bacillus subtilis, Escherichia coli, Pseudomonas aeruginosa, Candida albicans and
Aspergillus niger with zones of inhibition ranging from 10 to 13 mm as compared
to the standard drug amoxicillin with zones of inhibition 13-17 mm under the
similar conditions. In conclusion, the roots of C. opaca can provide new leads
for future antimicrobial drugs.
PMID- 26539757
TI - Asthma Control Test and Asthma Control Questionnaire: factorial validity,
reliability and correspondence in assessing status and change in asthma control.
AB - OBJECTIVE: This study examined the factor structures and reliabilities of the
Asthma Control Test (ACT) and the Asthma Control Questionnaire (ACQ-7; ACQ-6) and
the correspondence between them in assessing both level and change in asthma
control. METHODS: Lung function and questionnaire data for ACT and ACQ were
assessed in 113 asthma patients at the beginning (T1) and the end (T2) of
inpatient rehabilitation. Confirmatory factor analyses, composite reliability
coefficients, Pearson correlations, Cohen's Kappa and positive/negative
agreements were computed. RESULTS: Unidimensional factor structure was confirmed
for ACT. For ACQ configural invariance (i.e. same factor structure) over time
could not be established. Furthermore, in ACQ-7, FEV1 showed no relation to the
latent factor. Reliability estimates were 0.86-0.88 (ACT), 0.88-0.92(ACQ-6) and
0.81-0.86 (ACQ-7). Pearson correlations between ACT and ACQ were between 0.75 and
0.90 and tended to be higher at T2. If the aim is to identify patients with
either not well-controlled asthma or change in asthma control, concordance was at
least moderate (Kappa = 0.52-0.72). Correspondence tended to be lower in
identifying patients with well-controlled asthma (Kappa = 0.30-0.79). In some
circumstances, ACQ-6 showed higher agreement with ACT than did ACQ-7.
CONCLUSIONS: ACT is a unidimensional measure, but factor structure of ACQ remains
unclear. Correspondence between ACT and ACQ depends on the aim of the assessment.
Including FEV1 in the assessment of asthma control level even lowers reliability
of ACQ and concordance with ACT. Our results support GINA (2014) in
conceptualizing FEV1 as a risk factor for poor asthma outcome instead of an
indicator of level of asthma control.
PMID- 26539758
TI - Epitaxial Growth of Intermetallic MnPt Films on Oxides and Large Exchange Bias.
AB - High-quality epitaxial growth of inter-metallic MnPt films on oxides is achieved,
with potential for multiferroic heterostructure applications. Antisite-stabilized
spin-flipping induces ferromagnetism in MnPt films, although it is robustly
antiferromagnetic in bulk. Moreover, highly ordered antiferromagnetic MnPt films
exhibit superiorly large exchange coupling with a ferromagnetic layer.
PMID- 26539759
TI - Complete mitochondrial genome of the Chinese hwamei (Garrulax canorus).
AB - Chinese hwamei (Garrulax canorus) is native to Eastern Asia, which is a member of
Leiothrichidae, Passeriformes. In this study, the complete mitochondrial genome
of G. canorus was sequenced. The genome is 17 828 bp in length and consists of 13
protein-coding genes, 22 tRNA genes, 2 rRNA genes and 2 control regions.
Phylogenetic analysis demonstrated that the mitogenomic sequence of G. canorus
was most closely related to the sequences from other species of Garrulax.
PMID- 26539760
TI - Does Group-Level Commitment Predict Employee Well-Being?: A Prospective Analysis.
AB - OBJECTIVE: To investigate the links between group-level affective organizational
commitment (AOC) and individual-level psychological well-being, self-reported
sickness absence, and sleep disturbances. METHODS: A total of 5085 care workers
from 301 workgroups in the Danish eldercare services participated in both waves
of the study (T1 [2005] and T2 [2006]). The three outcomes were analyzed using
linear multilevel regression analysis, multilevel Poisson regression analysis,
and multilevel logistic regression analysis, respectively. RESULTS: Group-level
AOC (T1) significantly predicted individual-level psychological well-being, self
reported sickness absence, and sleep disturbances (T2). The association between
group-level AOC (T1) and psychological well-being (T2) was fully mediated by
individual-level AOC (T1), and the associations between group-level AOC (T1) and
self-reported sickness absence and sleep disturbances (T2) were partially
mediated by individual-level AOC (T1). CONCLUSIONS: Group-level AOC is an
important predictor of employee well-being in contemporary health care
organizations.
PMID- 26539761
TI - Employer-Based Screening for Diabetes and Prediabetes in an Integrated Health
Care Delivery System: A Natural Experiment for Translation in Diabetes (NEXT-D)
Study.
AB - OBJECTIVE: This study evaluates an employer-based diabetes/prediabetes screening
intervention that invited at-risk employees via letters, secure e-mails, and
automated voice messages to complete blood glucose testing at a health plan
facility. METHODS: Quasi-experimental cohort study among health plan members
insured by two employers that received the intervention and three employers that
were selected as control sites. RESULTS: The proportion of at-risk members that
completed a screening was higher in the intervention group than in the control
group (36% vs 13%, P < 0.001, adjusted for patient characteristics). Among those
screened in the intervention group, the presence of obesity, hypertension,
hyperlipidemia, and tobacco use were significant predictors of having a result
that indicated diabetes or prediabetes (P < 0.05, all comparisons). CONCLUSIONS:
A low-intensity, employer-based intervention conducted in collaboration with a
health care delivery system effectively increased screening for
diabetes/prediabetes.
PMID- 26539762
TI - Environmental Tobacco Smoke and Atrial Fibrillation: The REasons for Geographic
And Racial Differences in Stroke (REGARDS) Study.
AB - OBJECTIVE: This study examines the association between environmental tobacco
smoke (ETS) exposure and atrial fibrillation (AF). METHODS: We examined the cross
sectional association between ETS exposure and AF in 12,021 participants (mean
age: 65 +/- 9.9 years; 60% women; 40% blacks) from the REasons for Geographic And
Racial Differences in Stroke study who self-identified as never smokers between
2003 and 2007. RESULTS: A total of 2503 (21%) participants reported ETS exposure.
In a multivariate logistic regression model adjusted for sociodemographics and
potential confounders, ETS exposure was significantly associated with AF (odds
ratio = 1.27, 95% confidence interval = 1.08, 1.50). CONCLUSIONS: Our findings
suggest that the harmful effects of ETS exposure extend to sustained arrhythmias
such as AF.
PMID- 26539763
TI - Impacts of Workplace Health Promotion and Wellness Programs on Health Care
Utilization and Costs: Results From an Academic Workplace.
AB - OBJECTIVE: This study evaluated the impacts of a long-standing workplace health
promotion (HP) program on health care utilization and costs and estimated return
on investment (ROI). METHODS: Analyses used a retrospective, observational cohort
design based on 7 years (2005 to 2011) of health claims and HP program
participation data for 3829 HP participants and 6617 controls. Inverse propensity
score-weighted mixed-model regression methods were used to balance employee
demographics and comorbidities by study arm. RESULTS: Mean monthly health care
costs were $35 less for HP participants compared with controls, and results were
robust based on sensitivity analyses. ROI was estimated to be $2.53 for every
dollar spent on the HP program. CONCLUSIONS: Results support a positive impact of
HP program participation with regard to reduced health care utilization and costs
and a positive ROI.
PMID- 26539764
TI - Economic Evaluation of a New Organizational RTW Intervention to Improve
Cooperation Between Sick-Listed Employees and Their Supervisors: A Field Study.
AB - OBJECTIVE: The purpose of this study is to assess the cost-effectiveness,
utility, and -benefit of a new organizational return-to-work intervention to
improve COoperation between Sick-listed employees and their Supervisors (COSS).
METHODS: A field study with 6 months follow-up comparing COSS with common
practice randomized participants aged 18 to 60, working at least 12 hours/week
and absent for at least 2 weeks. Outcomes were initial return-to-work, quality
adjusted life years, and productivity gains. RESULTS: After 6 months, COSS
generated less costs when compared with common practice. Participants in the COSS
group returned to work earlier, improvement in quality-adjusted life years were
uncertain. Net benefits of COSS versus common practice yielded a productivity
gain of ?395.89. CONCLUSIONS: Implementing COSS for sick-listed employees has
potentials to reduce costs and improve productivity, and potentially quality of
life. Longitudinal research might detect whether COSS also has the potential
reaching sustainable return-to-work.
PMID- 26539765
TI - Job Strain, Occupational Category, Systolic Blood Pressure, and Hypertension
Prevalence: The Multi-Ethnic Study of Atherosclerosis.
AB - OBJECTIVE: To assess associations of occupational categories and job
characteristics with prevalent hypertension. METHODS: We analyzed 2517 Multi
Ethnic Study of Atherosclerosis participants, working 20+ hours per week, in 2002
to 2004. RESULTS: Higher job decision latitude was associated with a lower
prevalence of hypertension, prevalence ratio = 0.78 (95% confidence interval 0.66
to 0.91) for the top versus bottom quartile of job decision latitude.
Associations, however, differed by occupation: decision latitude was associated
with a higher prevalence of hypertension in health care support occupations
(interaction P = 0.02). Occupation modified associations of sex with
hypertension: a higher prevalence of hypertension in women (vs men) was observed
in health care support and in blue-collar occupations (interaction P = 0.03).
CONCLUSIONS: Lower job decision latitude is associated with hypertension
prevalence in many occupations. Further research is needed to determine reasons
for differential impact of decision latitude and sex on hypertension across
occupations.
PMID- 26539766
TI - Characteristics of Employees of Small Manufacturing Businesses by Occupation:
Informing Evidence-Based Intervention Planning.
AB - OBJECTIVES: We examined characteristics of employees in six occupational
categories in small manufacturing businesses (20-150 employees). METHODS: We
analyzed survey data from 47 businesses (n = 2577 employees; 86% response rate)
and examined relationships between job type and sociodemographic, health, and
organizational support characteristics. Analyses were adjusted for age and sex,
and company as a random effect. RESULTS: Smoking rates were highest for
production workers (33%), production managers (27%), and support staff (28%) and
lowest for managers (11%) (P <0.001). Job stress was higher for production
workers and support staff than managers (P < 0.0001). Managers perceived social
capital (P<0.001), safety climate (P < 0.0001) and support for smoking cessation
(P < 0.001) higher than production managers, production workers, and support
staff. CONCLUSIONS: Differences in characteristics by occupation call for
integrated interventions that target working class employees, leverage the
influence of production managers, and enhance organizational support.
PMID- 26539767
TI - Anatomical UV Exposure in French Outdoor Workers.
AB - BACKGROUND: Solar ultraviolet has been recognized as the main causative factor
for skin cancer and is currently classified as a carcinogenic agent by
International Agency for Research on Cancer. METHOD: Results from a previous
phone survey conducted in 2012 in France were used to assess exposure conditions
to sun among outdoor workers. Satellite data were used in combination with an
exposure model to assess anatomical exposure. RESULT: The yearly median exposure
of the outdoor worker population is 77 kJ/m2 to 116 kJ/m2. Road workers,
building workers, and gardeners are the more exposed. About 70% of the yearly
dose estimate is due to the cumulative summer and spring exposures. CONCLUSIONS:
This study highlights the role of individual factors in anatomical exposure and
ranks the most exposed body parts and outdoor occupations. Prevention messages
should put emphasis on spring exposure, which is an important contributor to the
yearly dose.
PMID- 26539768
TI - Factors Associated With Availability of, and Employee Participation in,
Comprehensive Workplace Health Promotion in a Large and Diverse Australian Public
Sector Setting: A Cross-Sectional Survey.
AB - PURPOSE: The aim of this study was to explore factors associated with the
perceived availability of, and reported participation in workplace health
promotion activities implemented in the Tasmanian State Service, Australia.
METHODS: Data from 3228 employees were collected in 2013 on sociodemographic and
work characteristics, health-related behaviors, and employee-reported
availability of and participation in health-related activities. Ratios of
prevalence for reported availability and participation by each factor were
estimated using negative binomial regression (availability) and Poisson
regression (participation). RESULTS: Significant differences in availability of
different activity types were found for numerous factors. Compared with the
reference categories, only administrative staff or respondents reporting any
leisure-time physical activity were more likely to participate, and smokers and
respondents with variable work schedules or cardiometabolic conditions were less
likely. CONCLUSIONS: Employees with suboptimal health-related factors were less
likely to engage with activities offered through this comprehensive workplace
health promotion initiative.
PMID- 26539770
TI - Increased Physical Activity Leads to Improved Health-Related Quality of Life
Among Employees Enrolled in a 12-Week Worksite Wellness Program.
AB - OBJECTIVE: To determine the relationship between physical activity (PA) and
health-related quality of life among university employees who enrolled in a
worksite wellness program (WWP). METHODS: The study was an interim analysis of
data collected in a WWP. The sample consisted of 64 participants who completed 12
and 26-week follow-up appointments. RESULTS: Self-reported anxiety days
significantly decreased from baseline to week 12. There were positive trends in
self-rated health, vitality days, and summative unhealthy days from baseline to
week 26. Among those with a self-reported history of hypertension (HTN), there
was an inverse correlation between PA and summative physically and mentally
unhealthy days at week 12. CONCLUSIONS: Among participants in this WWP with HTN,
as PA increased there was a significant decrease in summative physically and
mentally unhealthy days at week 12.
PMID- 26539771
TI - The Association Between Effort-Reward Imbalance and Depressive Symptoms Is
Modified by Selection, Optimization, and Compensation Strategy.
AB - OBJECTIVE: To examine the main and interactive effects of effort-reward imbalance
(ERI) and selection, optimization, and compensation (SOC) strategy on depressive
symptoms among the working population in the City of Kumning, China. METHODS: We
assessed the separate and combined effects of low versus high ERI and good versus
poor SOC strategy on depressive symptoms using multivariable logistic regression
analyses in a population-based sample (N = 2457). RESULTS: High ERI and poor SOC
were significantly associated with depressive symptoms, respectively. In
employees with both high ERI and poor SOC, the odds ratio was highly elevated as
compared with the reference group (low ERI and good SOC). CONCLUSIONS: If our
findings are confirmed by prospective studies, health promotion programs in work
settings might consider SOC as an integral part to mitigate the adverse mental
health effects of ERI.
PMID- 26539769
TI - The Sustainability of an Occupational Skin Cancer Prevention Program.
AB - OBJECTIVE: Sustainability of prevention programs is a public health goal.
METHODS: The effectiveness of Go Sun Smart, an occupational skin cancer
prevention program, was evaluated 5 to 7 years out from the conclusion of a
controlled randomized dissemination trial that compared an enhanced versus basic
dissemination strategy at 53 ski areas enrolled in the trial. RESULTS: Employees
(n = 2940) at ski areas in the enhanced condition reported fewer sunburns but did
not differ from employees in the basic condition on other sun-protection
measures. Significant differences for all sun-protection practices were
identified at ski areas that displayed nine or more Go Sun Smart materials or a
combined total of nine or more Go Sun Smart and other sun safety messages.
CONCLUSIONS: Exposure to prevention messages is an important determinant of
program effectiveness and potentially of program sustainability.
PMID- 26539772
TI - The Approach Taken to Substance Abuse by Occupational Physicians: A Qualitative
Study on Influencing Factors.
AB - OBJECTIVE: Aiming to enhance occupational physicians' (OPs) practice when dealing
with employee substance abuse, this study analyzes the experiences of OPs to gain
insight into the factors influencing their behavior. METHODS: Semi-structured
interviews were conducted and analyzed using Interpretative Phenomenological
Analysis. RESULTS: OPs act differently depending on the type of drug. Their
approach was mainly determined by contextual factors and by their attitudes and
skills. Many OPs want to invest in health promotion. Barriers such as lack of
time and focus on periodic examinations often hamper both adequate prevention and
the management of workers with substance abuse. CONCLUSIONS: The approach to
substance abuse by OPs could be supported by initiatives both at the individual
and the collective level. A facilitating work context seems to be particularly
important in their commitment to alcohol- and drug-related issues at work.
PMID- 26539773
TI - Understanding the Probability of a Disability Resulting From Work-Related
Injuries.
AB - OBJECTIVES: To assess the conditions under which the measured risk of a workplace
injury resulting in a disability changes. METHODS: Multivariate regression
analysis and administrative claims data build an understanding of the factors
that underlie the probability that a workplace injury results in a disability
(disability probability). RESULTS: First, jointly examining injury incidence
rates and disability probabilities challenges some conclusions suggested by
examining the two separately. Second, some characteristics identified as risk
factors for disability when studied in isolation are not risk factors. Third,
risk factors are qualitatively consistent across groups of workers but
quantitatively different. CONCLUSIONS: Policymakers might draw incorrect
conclusions about the risk of a workplace injury becoming a disability unless the
research provides a joint assessment of incidence rates and disability
probabilities and a comprehensive analysis of risk factors across worker groups.
PMID- 26539774
TI - Health and Occupational Outcomes Among Injured, Nonstandard Shift Workers.
AB - OBJECTIVE: This study compares health and occupational outcomes following a work
related injury for nonstandard and day-shift workers. METHODS: National
Population Health Survey data were used to explore outcomes 2 years post-work
injury. Retrospective-matched cohort analyses examined main effects and
interactions of shift schedule and work injury with changes in health, shift
schedule, and labor force status. Models were adjusted for respondent
characteristics, baseline health status, and occupational strength requirements.
RESULTS: Injured nonstandard shift workers reported lower health utility index
scores, compared with uninjured and injured daytime workers and uninjured
nonstandard-shift workers. No significant interactions between shift and injury
were found with schedule change and leaving the labor force. CONCLUSIONS: Injured
nonstandard-shift workers are as likely to remain employed as other groups, but
may be vulnerable in terms of diminished health.
PMID- 26539775
TI - Occupational Interstitial Lung Diseases.
AB - OBJECTIVE: Evidence-based diagnostic and treatment guidelines for occupationally
related interstitial lung diseases (ILDs) have been developed and are summarized
herein. METHODS: Comprehensive literature reviews were conducted with article
abstraction, critiquing, objective grading, and evidence table compilation. A
multidisciplinary expert panel drafted evidence- and consensus-based guidance.
External peer-review was incorporated. RESULTS: Recommendations for diagnosis (n
= 12) and management (n = 4) of ILD were developed. Spirometric testing, chest
radiographs, and high-resolution computerized tomographic scans were recommended
based upon evidence. In addition to a detailed clinical history, carbon monoxide
diffusion capacity, sputum sampling, exposure assessment, 6-minute walk test, and
bronchoalveolar lavage were also recommended. There was no recommendation
regarding chest magnetic resonance imaging due to lack of evidence. CONCLUSIONS:
Recommendations for diagnosis and management of ILD are supported by quality
evidence. These guidelines may be useful to help guide providers who are tasked
with diagnosing and/or treating patients with occupationally related ILD.
PMID- 26539777
TI - Medical Marijuana in the Workforce.
PMID- 26539778
TI - Reply to Goldsmith-Medical Marijuana in the Workforce.
PMID- 26539779
TI - Fatally Flawed: Samsung's Environ Consultants.
PMID- 26539780
TI - Author Response to Drs Paek and Gassert.
PMID- 26539781
TI - Let us regulate pharmaceuticals: here we go again.
PMID- 26539782
TI - Signing off.
PMID- 26539783
TI - A Submucosal Retropharyngeal Pulsatile Mass.
PMID- 26539785
TI - Organizational aspects to optimize patient's ambulatory pathway.
AB - PURPOSE OF REVIEW: Successful ambulatory care implementation should combine both
medical and organizational features. These two components are closely
interrelated. Only optimal organization, part of a quality management program,
will allow us to perform safe medical procedures and provide good patient
satisfaction. This review is intended to update organizational concepts that
could improve ambulatory surgery center efficiency. RECENT FINDINGS: Current
organizational concepts are based on the implementation of a dedicated pathway
that could be divided into sequential steps, wherein the concepts of flow time
and throughput should be applied. Wasted times have to be evaluated and
suppressed, so patients have to spend only the minimum required time at each
step. A 'forward pathway' would allow maintaining unidirectional patients' flow
to avoid any blockade and to build sequential surgical program planning. These
processes are easier to implement in dedicated facilities, managed by a dedicated
staff, after extensive patient preoperative information, and with the use of
numeric information systems. SUMMARY: Organizational feature in ambulatory
surgery center is a major determinant of patient flow, activity, resource
utilization, safety, and patient satisfaction. Most of these basic principles may
contribute to improve the quality of care that can also be of benefit to
conventional surgical activity.
PMID- 26539784
TI - Sleep-Disordered Breathing in Adolescents and Younger Adults: A Representative
Population-Based Survey in Chile.
AB - BACKGROUND: Prevalence and potential risk contributors of sleep-disordered
breathing (SDB) in adolescents and younger adults remain unclear. We hypothesized
that SDB prevalence in younger Hispanic adults is higher than the limited
evidence indicates. METHODS: This is a population-based study of Hispanic
subjects surveyed as part of the Chilean National Health Survey database. For
this study, only subjects aged 15 to 40 years were included. Sleep and
demographic questionnaires were used to assess SDB prevalence and its risk
factors. Anthropometric measurements were performed in each subject. Prevalence
was calculated for each SDB-related symptom. A regression model was constructed
to investigate demographic risk factors of SDB. RESULTS: A total of 2,147
subjects were included. Mean age (+/- SD) was 27.2 +/- 7.2 years, n = 899 (42%)
were men. Habitual snoring was highly prevalent, with an average of 53.8% in men
and 38.3% in women. Snoring, witnessed apneas, and daytime somnolence increased
continuously with age, with an abnormal SDB questionnaire score detected in 2.5%.
Reported sleep duration was 7.61 +/- 1.67 hours during weekdays and 8.27 +/- 2.11
hours during weekends. Snoring frequency was significantly higher in men than
women at nearly all age groups, and an adjusted regression model (OR [95% CI])
identified male sex (2 [1.6-2.5]; P < .001) and BMI (1.08 [1.03-1.12]; P < .001)
as independent risk factors for snoring. CONCLUSION: The risk of SDB is highly
prevalent in younger adults, even in females, and increases with age and BMI. The
high prevalence and low awareness justify active screening and treatment of SDB
in this population.
PMID- 26539786
TI - Which anesthetic agents for ambulatory electro-convulsive therapy?
AB - PURPOSE OF REVIEW: There have been a considerable number of research articles
published in the last 10 years outlining possible advances in the provision of
electro-convulsive therapy (ECT) anaesthesia. This has resulted in a range of new
drugs having been proposed as useful in the ECT setting. In particular, the use
of adjuvant drugs that might improve outcomes to treatment has been investigated.
RECENT FINDINGS: There is a high level of interest in ketamine and remifentanil
as agents that may alter response in ECT anaesthesia, by reducing cognitive
effects, and minimizing the dose of induction agent. The numbers of patients
involved in current trials have been small, and it is not possible to give a
definitive answer as to the usefulness of these drugs at this stage. SUMMARY:
This review covers the major recent trials involving new and emerging treatments
in ECT, and brings the reader up to date with state of knowledge of ECT
anaesthesia and pharmacology.
PMID- 26539787
TI - Wrong-site regional anesthesia: review and recommendations for prevention?
AB - PURPOSE OF REVIEW: Wrong-site regional anesthetic procedures are considered never
events. The purpose of this review is to describe the phenomenon of wrong-site
regional anesthetic blocks and identify preventive strategies. RECENT FINDINGS:
The incidence of wrong-site block may be as frequent as 7.5 per 10,000
procedures. Factors contributing to wrong-site block include physician
distraction, patient position change, scheduling changes, inadequate
documentation, poor communication, lack of surgical consent, site marking not
visible, inadequate supervision, reduced situational awareness, fatigue,
cognitive overload, perceived time pressure, delay from World Health sign-in to
block performance and omission of block time-out or block time-out occurring
before final patient positioning. The American Society of Regional Anesthesia and
Pain Medicine have created a 9-point checklist for regional anesthesia
procedures. SUMMARY: Preoperative site verification and surgical site marking are
mandatory. A time-out should occur immediately before any invasive procedure.
Confirming the correct patient and block site with a time-out should occur
immediately before all regional anesthetic procedures. If more than one block is
performed on one patient, it is recommended that time-out be repeated each time
the patient position is changed or separated in time or performed by a different
team. The anesthetic team should uniformly implement robust guidelines and
checklists to reduce the occurrence of wrong-site regional anesthetic procedures.
PMID- 26539788
TI - Alarm fatigue: impacts on patient safety.
AB - PURPOSE OF REVIEW: Electronic medical devices are an integral part of patient
care. As new devices are introduced, the number of alarms to which a healthcare
professional may be exposed may be as high as 1000 alarms per shift. The US Food
and Drug Administration has reported over 500 alarm-related patient deaths in
five years. The Joint Commission, recognizing the clinical significance of alarm
fatigue, has made clinical alarm management a National Patient Safety Goal.
RECENT FINDINGS: Potential solutions to alarm fatigue include technical,
organizational, and educational interventions. Selecting only the right monitors
(i.e., avoiding overmonitoring), judicious selection of alarm limits, and
multimodal alarms can all reduce the number of nuisance alarms to which a
healthcare worker is exposed. SUMMARY: Alarm fatigue can jeopardize safety, but
some clinical solutions such as setting appropriate thresholds and avoiding
overmonitoring are available.
PMID- 26539789
TI - The role of ultrasound guidance for vascular access.
AB - PURPOSE OF REVIEW: Ultrasound-guided cannulation of the internal jugular vein has
become a standard practice over recent years. Despite known benefits, ultrasound
is less frequently used for other vascular applications probably because these
are technically demanding and require more experience. The authors of this review
focus on pitfalls of ultrasound guidance: most important practical aspects as
well as nonroutine vascular applications are discussed. RECENT FINDINGS:
Ultrasound guidance increases the first-pass and overall success rates and
reduces the risk of complications of central venous catheterization through the
subclavian and femoral routes, as well as arterial and difficult peripheral
venous access. Ultrasound is also useful to detect catheter malposition and
complications. Technical improvements and new modifications of old ultrasound
guided techniques may result in better outcomes. SUMMARY: Growing evidence
suggests that routine utilization of ultrasound guidance is beneficial for all
types of vascular access. The presence of a skilled operator and proper technique
are, however, required to achieve success and avoid complications.
PMID- 26539790
TI - Laryngeal mask airway indications: new frontiers for second-generation
supraglottic airways.
AB - PURPOSE OF REVIEW: Because of the many advantages of supraglottic airways (SGA)
compared to mask ventilation and endotracheal intubation, their areas of
application are constantly expanding. The development of second-generation SGAs
in particular has led to a widening of the indications for use thanks to the
improved oropharyngeal leak pressure and the possibility of inserting a gastric
tube. The identification of possible malpositions and any increased ventilation
requirements using simple clinical tests must be given particular emphasis. The
question of patient safety for expanded indications has to be evaluated. RECENT
FINDINGS: The review describes the evolution of these devices in detail with an
analysis of the increased range of possible uses for prolonged application
periods, minor laparoscopic procedures, obese patients, surgery in the prone
position, and caesarean sections. SUMMARY: The use of second-generation SGA for
expanded indications seems useful and safe, provided the contraindications are
heeded, the placement and performance tests are successfully completed and there
is adequate clinical expertise.
PMID- 26539792
TI - Statistical Analysis of a Method to Predict Drug-Polymer Miscibility.
AB - In this study, a method proposed to predict drug-polymer miscibility from
differential scanning calorimetry measurements was subjected to statistical
analysis. The method is relatively fast and inexpensive and has gained popularity
as a result of the increasing interest in the formulation of drugs as amorphous
solid dispersions. However, it does not include a standard statistical assessment
of the experimental uncertainty by means of a confidence interval. In addition,
it applies a routine mathematical operation known as "transformation to
linearity," which previously has been shown to be subject to a substantial bias.
The statistical analysis performed in this present study revealed that the
mathematical procedure associated with the method is not only biased, but also
too uncertain to predict drug-polymer miscibility at room temperature.
Consequently, the statistical inference based on the mathematical procedure is
problematic and may foster uncritical and misguiding interpretations. From a
statistical perspective, the drug-polymer miscibility prediction should instead
be examined by deriving an objective function, which results in the unbiased,
minimum variance properties of the least-square estimator as provided in this
study.
PMID- 26539793
TI - Cardiac Outcomes of Patients Receiving Adjuvant Weekly Paclitaxel and Trastuzumab
for Node-Negative, ERBB2-Positive Breast Cancer.
AB - IMPORTANCE: Trastuzumab is a life-saving therapy but is associated with
symptomatic and asymptomatic left ventricular ejection fraction (LVEF) decline.
We report the cardiac toxic effects of a nonanthracycline and trastuzumab-based
treatment for patients with early-stage human epidermal growth factor receptor 2
(ERBB2, formerly HER2 or HER2/neu)-positive breast cancer. OBJECTIVE: To
determine the cardiac safety of paclitaxel with trastuzumab and the utility of
LVEF monitoring in patients with node-negative, ERBB2-positive breast cancer.
DESIGN, SETTING, AND PARTICIPANTS: In this secondary analysis of an uncontrolled,
single group study across 14 medical centers, enrollment of 406 patients with
node-negative, ERBB2-positive breast cancer 3 cm, or smaller, and baseline LVEF
of greater than or equal to 50% occurred from October 9, 2007, to September 3,
2010. Patients with a micrometastasis in a lymph node were later allowed with a
study amendment. Median patient age was 55 years, 118 (29%) had hypertension, and
30 (7%) had diabetes. Patients received adjuvant paclitaxel for 12 weeks with
trastuzumab, and trastuzumab was continued for 1 year. Median follow-up was 4
years. INTERVENTIONS: Treatment consisted of weekly 80-mg/m2 doses of paclitaxel
administered concurrently with trastuzumab intravenously for 12 weeks, followed
by trastuzumab monotherapy for 39 weeks. During the monotherapy phase,
trastuzumab could be administered weekly 2-mg/kg or every 3 weeks as 6-mg/kg.
Radiation and hormone therapy were administered per standard guidelines after
completion of the 12 weeks of chemotherapy. Patient LVEF was assessed at
baseline, 12 weeks, 6 months, and 1 year. MAIN OUTCOMES AND MEASURES: Cardiac
safety data, including grade 3 to 4 left ventricular systolic dysfunction (LVSD)
and significant asymptomatic LVEF decline, as defined by our study, were
reported. RESULTS: Overall, 2 patients (0.5%) (95% CI, 0.1%-1.8%) developed grade
3 LVSD and came off study, and 13 (3.2%) (95% CI, 1.9%-5.4%) had significant
asymptomatic LVEF decline, 11 of whom completed study treatment. Median LVEF at
baseline was 65%; 12 weeks, 64%; 6 months, 64%; and 1 year, 64%. CONCLUSIONS AND
RELEVANCE: Cardiac toxic effects from paclitaxel with trastuzumab, manifesting as
grade 3 or 4 LVSD or asymptomatic LVEF decline, were low. Patient LVEF was
assessed at baseline, 12 weeks, 6 months, and 1 year, and our findings suggest
that LVEF monitoring during trastuzumab therapy without anthracyclines could be
simplified for many individuals.
PMID- 26539794
TI - Genotype-dependent lifespan effects in peptone deprived Caenorhabditis elegans.
AB - Dietary restriction appears to act as a general non-genetic mechanism that can
robustly prolong lifespan. There have however been reports in many systems of
cases where restricted food intake either shortens, or does not affect, lifespan.
Here we analyze lifespan and the effect of food restriction via deprived peptone
levels on lifespan in wild isolates and introgression lines (ILs) of the nematode
Caenorhabditis elegans. These analyses identify genetic variation in lifespan, in
the effect of this variation in diet on lifespan and also in the likelihood of
maternal, matricidal, hatching. Importantly, in the wild isolates and the ILs, we
identify genotypes in which peptone deprivation mediated dietary restriction
reduces lifespan. We also identify, in recombinant inbred lines, a locus that
affects maternal hatching, a phenotype closely linked to dietary restriction in
C. elegans. These results indicate that peptone deprivation mediated dietary
restriction affects lifespan in C. elegans in a genotype-dependent manner,
reducing lifespan in some genotypes. This may operate by a mechanism similar to
dietary restriction.
PMID- 26539796
TI - WHITE ANNULAR RETINAL DYSTROPHY WITH SEVERE GLAUCOMA: A New Autosomal Dominant
Condition.
AB - PURPOSE: To report a family with a previously unreported characteristic retinal
dystrophy and glaucoma. METHODS: Seven family members were diagnosed with an
atypical retinal dystrophy and open-angle glaucoma with rapid evolution.
Ophthalmic examination, fluorescein angiography, color photography, optic
coherence tomography, central visual-field examination, and ultrasonography were
performed. RESULTS: Of the 7 patients, 3 had 360 degrees of peripheral white
retina and a broad white ring around the optic disc. In three others, it was not
possible to observe the peripheral retina, but they also showed a white retinal
ring around the optic disc. One patient showed posterior synechiae and iris
neovascularization in one eye. The 37-year-old uncle of the proband had a
probably related maculopathy. Five patients had severe glaucoma, and the youngest
showed borderline intraocular pressure. CONCLUSION: The authors report a new
dominant retinal dystrophy associated with open-angle glaucoma. The early onset
and rapidly progressive glaucoma of the patients is atypical.
PMID- 26539797
TI - Correspondence.
PMID- 26539795
TI - Advances in the translational genomics of neuroblastoma: From improving risk
stratification and revealing novel biology to identifying actionable genomic
alterations.
AB - Neuroblastoma is an embryonal malignancy that commonly affects young children and
is remarkably heterogenous in its malignant potential. Recently, the genetic
basis of neuroblastoma has come into focus and not only has catalyzed a more
comprehensive understanding of neuroblastoma tumorigenesis but also has revealed
novel oncogenic vulnerabilities that are being therapeutically leveraged.
Neuroblastoma is a model pediatric solid tumor in its use of recurrent genomic
alterations, such as high-level MYCN (v-myc avian myelocytomatosis viral oncogene
neuroblastoma-derived homolog) amplification, for risk stratification. Given the
relative paucity of recurrent, activating, somatic point mutations or gene
fusions in primary neuroblastoma tumors studied at initial diagnosis, innovative
treatment approaches beyond small molecules targeting mutated or dysregulated
kinases will be required moving forward to achieve noticeable improvements in
overall patient survival. However, the clonally acquired, oncogenic aberrations
in relapsed neuroblastomas are currently being defined and may offer an
opportunity to improve patient outcomes with molecularly targeted therapy
directed toward aberrantly regulated pathways in relapsed disease. This review
summarizes the current state of knowledge about neuroblastoma genetics and
genomics, highlighting the improved prognostication and potential therapeutic
opportunities that have arisen from recent advances in understanding germline
predisposition, recurrent segmental chromosomal alterations, somatic point
mutations and translocations, and clonal evolution in relapsed neuroblastoma.
PMID- 26539798
TI - Reply: To PMID 25635574.
PMID- 26539800
TI - Temporal analysis of reassortment and molecular evolution of Cucumber mosaic
virus: Extra clues from its segmented genome.
AB - Cucumber mosaic virus (CMV) is a damaging pathogen of over 200 mono- and
dicotyledonous crop species worldwide. It has the broadest known host range of
any virus, but the timescale of its evolution is unknown. To investigate the
evolutionary history of this virus, we obtained the genomic sequences of 40 CMV
isolates from brassicas sampled in Iran, Turkey and Japan, and combined them with
published sequences. Our synonymous ('silent') site analyses revealed that the
present CMV population is the progeny of a single ancestor existing 1550-2600
years ago, but that the population mostly radiated 295-545 years ago. We found
that the major CMV lineages are not phylogeographically confined, but that
recombination and reassortment is restricted to local populations and that no
reassortant lineage is more than 251 years old. Our results highlight the
different evolutionary patterns seen among viral pathogens of brassica crops
across the world.
PMID- 26539799
TI - Human beta-defensins 2 and -3 cointernalize with human immunodeficiency virus via
heparan sulfate proteoglycans and reduce infectivity of intracellular virions in
tonsil epithelial cells.
AB - We previously showed that expression of the anti-HIV innate proteins human beta
defensin 2 (hBD2) and hBD3 in adult oral epithelial cells reduces HIV
transepithelial transmission by inactivation of virus. However, fetal/infant oral
epithelia lack beta-defensin expression, leading to transmission of HIV. The
mechanisms of hBD2- and hBD3-mediated HIV inactivation in adult oral epithelial
cells are poorly understood. Here we found that heparan sulfate proteoglycans
(HSPGs) on the apical surfaces of epithelial cells facilitate simultaneous
binding of hBDs and HIV gp120 to the cell surface. HSPG-facilitated binding of
hBDs and HIV gp120 to the cell surface did not affect viral attachment. HBD2 or
3 cointernalized with virions in endosomes, formed oligomers, and reduced
infectivity of HIV. The anti-HIV effect of combining hBD2 and hBD3 was
substantially higher than that of the individual peptides. These findings advance
our understanding of the mechanisms of anti-HIV resistance in adult oral
epithelium.
PMID- 26539801
TI - Directing vaccine immune responses to mucosa by nanosized particulate carriers
encapsulating NOD ligands.
AB - Mucosal surfaces are a major portal of entry for many pathogens that are the
cause of infectious diseases. Therefore, effective vaccines that induce a
protective immune response at these sites are much needed. However, despite early
success with the live attenuated oral polio vaccine over 50 years ago, only a few
new mucosal vaccines have been subsequently licensed. Development of new
adjuvants, comprising antigen delivery platforms and immunostimulatory molecules,
are critical for the successful development of new mucosal vaccines. Among them,
biodegradable nanoparticle delivery systems are promising and NOD-like receptors
are considered as potential new targets for immunostimulatory molecules. In this
work, different NOD1 and NOD2 ligands were encapsulated in polylactic acid (PLA)
nanoparticles, coated with HIV-1 gag p24 antigen. We showed that these new
formulations are able to induce proliferation of HIV-specific T cells from HIV(+)
individuals as well as autophagy. In vivo, these formulations highly enhanced p24
specific systemic and mucosal immune responses in mice not only after mucosal
administration but also after immunization via the parenteral route. Our results
provide a rational approach for combining nanosized particulate carriers and
encapsulated NOD receptor ligands as potent synergistic tools for induction of
specific mucosal immunity.
PMID- 26539802
TI - P-glycoprotein and its inducible expression in three bivalve species after
exposure to Prorocentrum lima.
AB - P-glycoprotein (P-gp or ABCB1) belongs to the family of ATP-binding cassette
(ABC) transporters responsible for multixenobiotic resistance (MXR) in aquatic
organisms. To provide more information of P-gp in shellfish, in this study,
complete cDNA of P-gp in three bivalve species including Ruditapes philippinarum,
Scapharca subcrenata and Tegillarca granosa were cloned and its expressions in
gill, digestive gland, adductor muscle and mantle of the three bivalves were
detected after exposure to Prorocentrum lima, a toxogenic dinoflagellate. The
complete sequences of R. philippinarum, S. subcrenata and T. granosa P-gp showed
high homology with MDR/P-gp/ABCB proteins from other species, having a typical
sequence organization as full transporters from the ABCB family. Phylogenetic
analyses revealed that the amino acid sequences of P-gp from S. subcrenata and T.
granosa had a closest relationship, forming an independent branch, then grouping
into the other branch with Mytilus californianus, Mytilus galloprovincialis and
Crassostrea gigas. However, P-gp sequences from R. philippinarum were more
similar to the homologs from the more distantly related Aplysia californica than
to homologs from S. subcrenata and T. granosa, suggesting that bivalves P-gp
might have different paralogs. P-glycoprotein expressed in all detected tissues
but there were large differences between them. After exposure to P. lima, the
expression of P-gp changed in the four tissues in varying degrees within the same
species and between different species, but the changes in mRNA and protein level
were not always synchronous.
PMID- 26539803
TI - Estrogenic and anti-estrogenic influences in cultured brown trout hepatocytes:
Focus on the expression of some estrogen and peroxisomal related genes and linked
phenotypic anchors.
AB - Estrogens, estrogenic mimics and anti-estrogenic compounds are known to target
estrogen receptors (ER) that can modulate other nuclear receptor signaling
pathways, such as those controlled by the peroxisome proliferator-activated
receptor (PPAR), and alter organelle (inc. peroxisome) morphodynamics. By using
primary isolated brown trout (Salmo trutta f. fario) hepatocytes after 72 and 96h
of exposure we evaluated some effects in selected molecular targets and in
peroxisomal morphological features caused by: (1) an ER agonist (ethinylestradiol
EE2) at 1, 10 and 50MUM; (2) an ER antagonist (ICI 182,780) at 10 and 50MUM; and
(3) mixtures of both (Mix I-10MUM EE2 and 50MUM ICI; Mix II-1MUM EE2 and 10MUM
ICI and Mix III-1MUM EE2 and 50MUM ICI). The mRNA levels of the estrogenic
targets (ERalpha, ERbeta-1 and vitellogenin A-VtgA) and the peroxisome
structure/function related genes (catalase, urate oxidase-Uox, 17beta
hydroxysteroid dehydrogenase 4-17beta-HSD4, peroxin 11alpha-Pex11alpha and
PPARalpha) were analyzed by real-time polymerase chain reaction (RT-PCR).
Stereology combined with catalase immunofluorescence revealed a significant
reduction in peroxisome volume densities at 50MUM of EE2 exposure. Concomitantly,
at the same concentration, electron microscopy showed smaller peroxisome
profiles, exacerbated proliferation of rough endoplasmic reticulum, and a
generalized cytoplasmic vacuolization of hepatocytes. Catalase and Uox mRNA
levels decreased in all estrogenic stimuli conditions. VtgA and ERalpha mRNA
increased after all EE2 treatments, while ERbeta-1 had an inverse pattern. The
EE2 action was reversed by ICI 182,780 in a concentration-dependent manner, for
VtgA, ERalpha and Uox. Overall, our data show the great value of primary brown
trout hepatocytes to study the effects of estrogenic/anti-estrogenic inputs in
peroxisome kinetics and in ER and PPARalpha signaling, backing the still open
hypothesis of crosstalk interactions between these pathways and calling for more
mechanistic experiments.
PMID- 26539804
TI - Poisson's ratio of arterial wall - Inconsistency of constitutive models with
experimental data.
AB - Poisson's ratio of fibrous soft tissues is analyzed in this paper on the basis of
constitutive models and experimental data. Three different up-to-date
constitutive models accounting for the dispersion of fibre orientations are
analyzed. Their predictions of the anisotropic Poisson's ratios are investigated
under finite strain conditions together with the effects of specific orientation
distribution functions and of other parameters. The applied constitutive models
predict the tendency to lower (or even negative) out-of-plane Poisson's ratio.
New experimental data of porcine arterial layer under uniaxial tension in
orthogonal directions are also presented and compared with the theoretical
predictions and other literature data. The results point out the typical features
of recent constitutive models with fibres concentrated in circumferential-axial
plane of arterial layers and their potential inconsistence with some experimental
data. The volumetric (in)compressibility of arterial tissues is also discussed as
an eventual and significant factor influencing this inconsistency.
PMID- 26539805
TI - Circulating levels of adipocytokine omentin-1 in patients with renal cell cancer.
AB - Renal cell carcinoma (RCC) is the fifth most common cancer worldwide, and becomes
one of the leading causes of genitourinary cancer-related death in both males and
females. Genetic alternations, alcohol consumption, occupationally harmful
exposure and even obesity are well-established risk factors of RCC. Omentin-1 is
a plasma adipokine synthesized in visceral adipose tissue, and its circulating
serum concentration alters not only in conditions associated with insulin
resistance such as Polycystic Ovary Syndrome (PCOS), but also in colorectal
cancer and prostate cancer. To our best knowledge, the relationship between
omentin-1 and RCC has not been clarified previously. Thus, we evaluated serum
omentin-1 levels in RCC patients in the current matched case-control study. Forty
one patients newly diagnosed with RCC and forty-two healthy controls confirmed by
the comprehensive medical examination were assessed. The omentin-1 concentrations
were determined via utilizing enzyme-linked immunosorbent assays (ELISA) in the
paired groups, in which the patients and healthy controls had no statistically
significant differences in gender, age, systolic blood pressure (SBP), diastolic
blood pressure (DBP), waist-hip ratio (WHR), estimate glomerular filtration rate
(eGFR), body-mass index (BMI) and biochemical parameters. The omentin-1 levels in
healthy people were 9.86+/-1.44ng/mL and the circulating omentin-1 levels were
dramatically decreased to 3.62+/-0.76ng/mL in RCC patients (p<0.001). Besides, we
revealed a negative correlation between omentin-1 with WHR (r=-0.261, p=0.017)
and BMI (r=-0.310, p=0.004), further indicating BMI was the main influential
factor on omentin-1 levels (p=0.0091). Follow-up studies would be conducted to
establish the concrete mechanisms underlying the altered circulating levels of
omentin-1 and elucidate the interaction between "RCC complex system" and adipose
tissues, which may together provide promising and novel pharmacological insights
for RCC theragnosis in the near future.
PMID- 26539807
TI - Insertion of pH-sensitive bola-type copolymer into liposome as a "stability
anchor" for control of drug release.
AB - How to design intelligent carriers for delivering drugs to the target accurately
and releasing drug timely with the help of a certain environmental stimulus is
still a challenge in tumor treatment. In this work, pH-sensitive bola-type
triblock copolymers, composed of poly(2-(diisopropylamino) ethylmethacrylate)
(PDPA) and methoxy-poly(ethyleneglycol) (mPEG), were synthesized. Liposomes
containing these copolymers (Liposome@Bola) have been prepared by simply mixing
the copolymer with phospholipids and cholesterol. From the fluorescence
polarization measurement, the stability of Liposome@Bola was found to be
increased a lot comparing to the pure liposome. As a result, the doxorubicin
(DOX) leakage of the former was restrained in neutral environment. However, when
pH decreased from 7.4 to 6.0, DOX released percentage had been increased 30-60
points, which was heavily depend on the phospholipid composition. Furthermore,
the size effects of PEG and PDPA segments were also investigated. These results
indicated the synthesized bola-type copolymers improved the pH-controllability of
drug release of liposome, i.e., increased the difference between the release
amount under pH 7.4 and pH 6.0. The bola-type copolymer exhibited a good
potential application in smartly controlling drug delivery system.
PMID- 26539806
TI - Variation in blood levels of hormones in obese patients following weight
reduction induced by endoscopic and surgical bariatric therapies.
AB - BACKGROUND: Beneficial clinical effects of weight reduction following bariatric
therapies is not fully understood and maybe related to the complex interactions
between leptin, adiponectin, visfatin, omentin, and ghrelin. The aim of study was
to investigate their timeline changes associated with weight reduction and their
profile in relation to the type of treatment and its efficacy. METHODS:
Circulating hormones levels were analyzed before and after endoscopic and
surgical procedures in 67 obese patients and compared to non-obese healthy
controls. RESULTS: Obese patients had higher leptin levels and lower levels of
adiponectin, visfatin, omentin, and ghrelin than non-obese controls. During the
consecutive follow-up visits after treatment, there was a gradual decrease in
leptin levels and an increase in adiponectin levels to the levels observed in non
obese. At 50-54weeks, the ghrelin levels were lower and the levels of adiponectin
and visfatin, but not omentin, were higher compared to their baseline values. BMI
correlated with ghrelin and leptin levels. The percentage of total weight loss
correlated positively with adiponectin levels and negatively with leptin levels.
Patients with adequate weight loss had a significantly lower leptin concentration
than those with treatment failure. There were timeline variations in hormone
levels between endoscopic and bariatric therapies, however there were no
significant differences in the median their concentration at 50-54weeks after
therapy. CONCLUSION: Our study supports observations that weight loss itself,
rather than the procedure type, is responsible for hormonal variation. The leptin
levels reflect the best the body weight changes after bariatric therapies.
PMID- 26539808
TI - Incorporation of lapatinib into human serum albumin nanoparticles with enhanced
anti-tumor effects in HER2-positive breast cancer.
AB - Lapatinib, a selective small-molecule dual-tyrosine kinase inhibitor of HER2 and
EGFR, is effective in HER2-positive patients with advanced metastatic breast
cancer. However, its low and variable oral absorption, large required daily dose
and serious gastrointestinal side effects all limit its clinical use. Intravenous
administration offers a good option to overcome these disadvantages. However, the
poor solubility of lapatinib in water and organic solvents causes lapatinib to
fail in a common injectable preparation. Considering lapatinib's high albumin
binding ability (>99%), in this study, we developed human serum albumin
nanoparticles loaded with lapatinib (LHNPs) by Nab technology for intravenous
administration and investigated its efficacy against HER2-positive breast cancer.
Raman shift, X-ray diffraction and X-ray photoelectron spectroscopy studies
demonstrated that lapatinib was successfully incorporated into nanoparticles, and
LHNPs exhibited good stability and sustained-release effect in vitro. LHNPs could
be effectively taken up by SKBr3 cells in a concentration- and time-dependent
manner, and the uptake was mediated by energy-dependent endocytosis, which
involved clathrin-dependent pinocytosis. Furthermore, in vitro and in vivo data
indicated that LHNPs presented the strong ability to induce apoptosis and
superior anti-tumor efficacy in tumor-bearing mice to the commercial tablet
Tykerb through the inhibition of HER2 phosphorylation. Subchronic toxicity assays
indicated that LHNPs had no hepatic or kidney toxicity. With mature technology
for industrial production and enhanced therapeutic effects, LHNPs are likely to
have great potential as a safe therapeutic candidate against HER2-positive breast
cancer in the clinic.
PMID- 26539809
TI - Ultrafast laser functionalized rare phased gold-silicon/silicon oxide
nanostructured hybrid biomaterials.
AB - We introduce a hybrid nanostructured biomaterial that is a combination of rare
phases of immiscible gold and silicon oxide, functionalized via ultrafast laser
synthesis. For the first time, we show cancer controlling properties of rare
phases of gold silicides, which include Au7Si, Au5Si, Au0.7Si2.3 and Au8Si2.
Conventionally, pure forms of gold and silicon/silicon oxide are extensively
employed in targeted therapy and drug delivery systems due to their unique
properties. While silicon and silicon oxide nanoparticles have shown
biocompatibility, gold nanoparticles show conflicting results based on their size
and material properties. Several studies have shown that gold and silicon
combinations produce cell controlling properties, however, these studies were not
able to produce a homogenous combination of gold and silicon, owing to its
immiscibility. A homogenous combination of gold and silicon may potentially
enable properties that have not previously been reported. We describe rare phased
gold-silicon oxide nanostructured hybrid biomaterials and its unique cancer
controlling properties, owing to material properties, concentration, size and
density. The gold-silicon oxide nanostructured hybrid is composed of individual
gold-silicon oxide nanoparticles in various concentrations of gold and silicon,
some nanoparticles possess a gold-core and silicon-shell like structure. The
individual nanoparticles are bonded together forming a three dimensional
nanostructured hybrid. The interaction of the nanostructured hybrids with
cervical cancer cells showed a 96% reduction in 24h. This engineered
nanostructured hybrid biomaterial presents significant potential due to the
combination of immiscible gold and silicon oxide in varying phases and can
potentially satiate the current vacuum in cancer therapy.
PMID- 26539810
TI - A facile magnesium-containing calcium carbonate biomaterial as potential bone
graft.
AB - The calcium carbonate is the main composition of coral which has been widely used
as bone graft in clinic. Herein, we readily prepared novel magnesium-containing
calcium carbonate biomaterials (MCCs) under the low-temperature conditions based
on the dissolution-recrystallization reaction between unstable amorphous calcium
carbonate (ACC) and metastable vaterite-type calcium carbonate with water
involved. The content of magnesium in MCCs was tailored by adjusting the
proportion of ACC starting material that was prepared using magnesium as
stabilizer. The phase composition of MCCs with various amounts of magnesium was
composed of one, two or three kinds of calcium carbonates (calcite, aragonite,
and/or magnesian calcite). The different MCCs differed in topography. The in
vitro degradation of MCCs accelerated with increasing amount of introduced
magnesium. The MCCs with a certain amount of magnesium not only acquired higher
compressive strength, but also promoted in vitro cell proliferation and
osteogenic differentiation. Taken together, the facile MCCs shed light on their
potential as bone graft.
PMID- 26539811
TI - A biomemory chip composed of a myoglobin/CNT heterolayer fabricated by the
protein-adsorption-precipitation-crosslinking (PAPC) technique.
AB - In this study, a biomemory chip consisting of a myoglobin/carbon nanotube (CNT)
heterolayer is fabricated via the protein-adsorption-precipitation-crosslinking
(PAPC) technique for electrochemical signal enhancement, long-term stability, and
improved memory function. The PAPC technique is used to fabricate a myoglobin/CNT
heterolayer with a CNT core and a high-density myoglobin-shell structure to
achieve efficient heterolayer formation and improved performance of the
heterolayer. The fabricated myoglobin/CNT heterolayer is immobilized onto a Au
substrate through a chemical linker. The surface morphology of the deposited
heterolayer is investigated via transmission electron microscopy and atomic force
microscopy. The redox properties of the myoglobin/CNT heterolayer are
investigated by cyclic voltammetry, and the memory function of the heterolayer,
including the "write step" and "erase step," is measured by chronoamperometry.
Compared with the myoglobin monolayer without CNT, the myoglobin/CNT heterolayer
fabricated by the PAPC technique exhibits greater electrochemical signal
enhancement, long-term stability at room temperature, and improved memory
function. The results suggest that the proposed myoglobin/CNT heterolayer
produced via the PAPC technique can be applied as a platform for bioelectronic
devices to achieve improved signal intensity and durability.
PMID- 26539812
TI - Short-lived positron emitters in beam-on PET imaging during proton therapy.
AB - The only method for in vivo dose delivery verification in proton beam
radiotherapy in clinical use today is positron emission tomography (PET) of the
positron emitters produced in the patient during irradiation. PET imaging while
the beam is on (so called beam-on PET) is an attractive option, providing the
largest number of counts, the least biological washout and the fastest feedback.
In this implementation, all nuclides, independent of their half-life, will
contribute. As a first step towards assessing the relevance of short-lived
nuclides (half-life shorter than that of (10)C, T1/2 = 19 s) for in vivo dose
delivery verification using beam-on PET, we measured their production in the
stopping of 55 MeV protons in water, carbon, phosphorus and calcium The most
copiously produced short-lived nuclides and their production rates relative to
the relevant long-lived nuclides are: (12)N (T1/2 = 11 ms) on carbon (9% of
(11)C), (29)P (T1/2 = 4.1 s) on phosphorus (20% of (30)P) and (38m)K (T1/2 =
0.92 s) on calcium (113% of (38g)K). No short-lived nuclides are produced on
oxygen. The number of decays integrated from the start of an irradiation as a
function of time during the irradiation of PMMA and 4 tissue materials has been
determined. For (carbon-rich) adipose tissue, (12)N dominates up to 70 s. On bone
tissue, (12)N dominates over (15)O during the first 8-15 s (depending on carbon
to-oxygen ratio). The short-lived nuclides created on phosphorus and calcium
provide 2.5 times more beam-on PET counts than the long-lived ones produced on
these elements during a 70 s irradiation. From the estimated number of (12)N PET
counts, we conclude that, for any tissue, (12)N PET imaging potentially provides
equal to superior proton range information compared to prompt gamma imaging with
an optimized knife-edge slit camera. The practical implementation of (12)N PET
imaging is discussed.
PMID- 26539814
TI - Mechanically Durable and Biologically Favorable Protein Hydrogel Based on Elastic
Silklike Protein Derived from Sea Anemone.
AB - As biodegradable scaffolds, protein hydrogels have considerable potential,
particularly for bioartificial organs and three-dimensional space-filling
materials. However, their low strength and stiffness have been considered to be
limitations for enduring physiological stimuli. Therefore, protein hydrogels have
been commonly utilized as delivery vehicles rather than as supporting materials.
In this work, sea anemone tentacle-derived recombinant silk-like protein
(aneroin) was evaluated as a potential material for a mechanically durable
protein hydrogel. Inspired by the natural hardening mechanism, photoinitiated
dityrosine cross-linking was employed to fabricate an aneroin hydrogel. It was
determined that the fabricated aneroin hydrogel was approximately 10-fold stiffer
than mammalian cardiac or skeletal muscle. The aneroin hydrogel provided not only
structural support but also an adequate environment for cells. It exhibited an
adequate swelling ability and microstructure, which are beneficial for
facilitating mass transport and cell proliferation. Based on its mechanical and
biological properties, this aneroin hydrogel could be used in various biomedical
applications, such as cell-containing patches, biomolecule carriers, and
artificial extracellular matrices.
PMID- 26539813
TI - Honokiol for the Treatment of Neonatal Pain and Prevention of Consequent
Neurobehavioral Disorders.
AB - This study examined the short- and long-term neuroprotective and analgesic
activity of honokiol (a naturally occurring lignan isolated from Magnolia) on
developing brains in neonates exposed to inflammatory pain, known to cause
neuronal cell death. Postnatal day 4 (P4) neonatal rat pups were subjected to
intraplantar formalin injection to four paws as a model of severe neonatal pain.
Intraperitoneal honokiol (10 mg/kg) or corn oil vehicle control was administered
1 h prior to formalin insult, and animals were maintained on honokiol through
postnatal day 21 (P21). Behavioral tests for stress and pain were performed after
the painful insult, followed by morphological examinations of the brain sections
at P7 and P21. Honokiol significantly attenuated acute pain responses 30 min
following formalin insult and decreased chronic thermal hyperalgesia later in
life. Honokiol-treated rats performed better on tests of exploratory behavior and
performed significantly better in tests of memory. Honokiol treatment normalized
hippocampal and thalamic c-Fos and hippocampal alveus substance P receptor
expression relative to controls at P21. Together, these findings support that (1)
neonatal pain experiences predispose rats to the development of chronic
behavioral changes and (2) honokiol prevents and reduces both acute and chronic
pathological pain-induced deteriorations in neonatal rats.
PMID- 26539815
TI - Simultaneous infrared detection of the ICH2OO radical and Criegee intermediate
CH2OO: the pressure dependence of the yield of CH2OO in the reaction CH2I + O2.
AB - The simplest Criegee intermediate CH2OO, important in atmospheric reactions, has
been recently produced from the reaction of CH2I + O2 and detected with various
methods. In this reaction, the yield of CH2OO decreases with increasing pressure
because of the stabilization of the adduct ICH2OO, but no definitive spectral
identification of ICH2OO has been reported. We recorded the infrared spectrum of
ICH2OO using the same reaction under high pressure; the spectrum agrees with that
simulated according to theoretical predictions. With direct detection of both
CH2OO and ICH2OO, we determined the pressure dependence of the yield of CH2OO.
The yield of CH2OO near 1 atm is greater than previously reported values, which
might have significant consequences in atmospheric chemistry.
PMID- 26539818
TI - Searching for Clever Life.
PMID- 26539816
TI - Defective mitochondrial respiration, altered dNTP pools and reduced AP
endonuclease 1 activity in peripheral blood mononuclear cells of Alzheimer's
disease patients.
AB - AIMS: Accurate biomarkers for early diagnosis of Alzheimer's disease (AD) are
badly needed. Recent reports suggest that dysfunctional mitochondria and DNA
damage are associated with AD development. In this report, we measured various
cellular parameters, related to mitochondrial bioenergetics and DNA damage, in
peripheral blood mononuclear cells (PBMCs) of AD and control participants, for
biomarker discovery. METHODS: PBMCs were isolated from 53 patients with AD of
mild to moderate degree and 30 age-matched healthy controls. Tests were performed
on the PBMCs from as many of these participants as possible. We measured
glycolysis and mitochondrial respiration fluxes using the Seahorse Bioscience
flux analyzer, mitochondrial ROS production using flow cytometry, dNTP levels by
way of a DNA polymerization assay, DNA strand breaks using the Fluorometric
detection of Alkaline DNA Unwinding (FADU) assay, and APE1 incision activity (in
cell lysates) on a DNA substrate containing an AP site (to estimate DNA repair
efficiency). RESULTS: In the PBMCs of AD patients, we found reduced basal
mitochondrial oxygen consumption, reduced proton leak, higher dATP level, and
lower AP endonuclease 1 activity, depending on adjustments for gender and/or age.
CONCLUSIONS: This study reveals impaired mitochondrial respiration, altered dNTP
pools and reduced DNA repair activity in PBMCs of AD patients, thus suggesting
that these biochemical activities may be useful as biomarkers for AD.
PMID- 26539819
TI - Species Differences in the Geometry of the Anterior Segment Differentially Affect
Anterior Chamber Cell Scoring Systems in Laboratory Animals.
AB - PURPOSE: To determine the impact of anterior segment geometry on ocular scoring
systems quantifying anterior chamber (AC) cells in humans and 7 common laboratory
species. METHODS: Using normative anterior segment dimensions and novel geometric
formulae, ocular section volumes measured by 3 scoring systems; Standardization
of Uveitis Nomenclature (SUN), Ocular Services On Demand (OSOD), and OSOD
modified SUN were calculated for each species, respectively. Calculated volumes
were applied to each system's AC cell scoring scheme to determine comparative
cell density (cells/mm(3)). Cell density values for all laboratory species were
normalized to human values and conversion factors derived to create modified
scoring schemes, facilitating interspecies comparison with each system,
respectively. RESULTS: Differences in anterior segment geometry resulted in
marked differences in optical section volume measured. Volumes were smaller in
rodents than dogs and cats, but represented a comparatively larger percentage of
AC volume. AC cell density (cells/mm(3)) varied between species. Using the SUN
and OSOD-modified SUN systems, values in the pig, dog, and cat underestimated
human values; values in rodents overestimated human values. Modified normalized
scoring systems presented here account for species-related anterior segment
geometry and facilitate both intra- and interspecies analysis, as well as
translational comparison. CONCLUSIONS: Employment of modified AC cell scoring
systems that account for species-specific differences in anterior segment anatomy
would harmonize findings across species and may be more predictive for
determining ocular toxicological consequences in ocular drug and device
development programs.
PMID- 26539820
TI - Heartwood extract of Rhus verniciflua Stokes and its active constituent fisetin
attenuate vasoconstriction through calcium-dependent mechanism in rat aorta.
AB - Rhus verniciflua Stokes (RVS) exert cardiovascular protective activity by
promoting blood circulation, but its active ingredients and underlying mechanism
have yet to be identified. This study investigated the vascular effects of RVS,
focusing on vasoconstriction and smooth muscle Ca(2+) signaling. RVS heartwood
extract attenuated contraction of aortic rings induced by the vasoconstrictors
serotonin and phenylephrine, and inhibited the Ca(2+) signaling evoked by
serotonin in vascular smooth muscle cells. Subsequent activity-guided
fractionation identified fisetin as an active constituent exerting a Ca(2+)
inhibitory effect. Fisetin could inhibit major Ca(2+) mobilization pathways
including extracellular Ca(2+) influx mediated by the L-type voltage-gated Ca(2+)
channel, Ca(2+) release from the intracellular store and store-operated Ca(2+)
entry. In accordance with Ca(2+) inhibitory effect, fisetin attenuated
vasoconstriction by serotonin and phenylephrine. These results suggest that the
anticontractile effect, which is presumably mediated by inhibition of Ca(2+)
signaling, may contribute to the improvement of blood circulation by RVS.
PMID- 26539821
TI - Multiple Origins of Mutations in the mdr1 Gene--A Putative Marker of Chloroquine
Resistance in P. vivax.
AB - BACKGROUND: Chloroquine combined with primaquine has been the recommended
antimalarial treatment of Plasmodium vivax malaria infections for six decades but
the efficacy of this treatment regimen is threatened by chloroquine resistance
(CQR). Single nucleotide polymorphisms (SNPs) in the multidrug resistance gene,
Pvmdr1 are putative determinants of CQR but the extent of their emergence at
population level remains to be explored. OBJECTIVE: In this study we describe the
prevalence of SNPs in the Pvmdr1 among samples collected in seven P. vivax
endemic countries and we looked for molecular evidence of drug selection by
characterising polymorphism at microsatellite (MS) loci flanking the Pvmdr1 gene.
METHODS: We examined the prevalence of SNPs in the Pvmdr1 gene among 267 samples
collected from Pakistan, Afghanistan, Sri Lanka, Nepal, Sudan, Sao Tome and
Ecuador. We measured and diversity in four microsatellite (MS) markers flanking
the Pvmdr1 gene to look evidence of selection on mutant alleles. RESULTS: SNP
polymorphism in the Pvmdr1 gene was largely confined to codons T958M, Y976F and
F1076L. Only 2.4% of samples were wildtype at all three codons (TYF, n = 5),
13.3% (n = 28) of the samples were single mutant MYF, 63.0% of samples (n = 133)
were double mutant MYL, and 21.3% (n = 45) were triple mutant MFL. Clear
geographic differences in the prevalence of these Pvmdr mutation combinations
were observed. Significant linkage disequilibrium (LD) between Pvmdr1 and MS
alleles was found in populations sampled in Ecuador, Nepal and Sri Lanka, while
significant LD between Pvmdr1 and the combined 4 MS locus haplotype was only seen
in Ecuador and Sri Lanka. When combining the 5 loci, high level diversity,
measured as expected heterozygosity (He), was seen in the complete sample set (He
= 0.99), while He estimates for individual loci ranged from 0.00-0.93. Although
Pvmdr1 haplotypes were not consistently associated with specific flanking MS
alleles, there was significant differentiation between geographic sites which
could indicate directional selection through local drug pressure. CONCLUSIONS:
Our observations suggest that Pvmdr1 mutations emerged independently on multiple
occasions even within the same population. In Sri Lanka population analysis at
multiple sites showed evidence of local selection and geographical dispersal of
Pvmdr1 mutations between sites.
PMID- 26539822
TI - Memory Th1 Cells Are Protective in Invasive Staphylococcus aureus Infection.
AB - Mechanisms of protective immunity to Staphylococcus aureus infection in humans
remain elusive. While the importance of cellular immunity has been shown in mice,
T cell responses in humans have not been characterised. Using a murine model of
recurrent S. aureus peritonitis, we demonstrated that prior exposure to S. aureus
enhanced IFNgamma responses upon subsequent infection, while adoptive transfer of
S. aureus antigen-specific Th1 cells was protective in naive mice. Translating
these findings, we found that S. aureus antigen-specific Th1 cells were also
significantly expanded during human S. aureus bloodstream infection (BSI). These
Th1 cells were CD45RO+, indicative of a memory phenotype. Thus, exposure to S.
aureus induces memory Th1 cells in mice and humans, identifying Th1 cells as
potential S. aureus vaccine targets. Consequently, we developed a model vaccine
comprising staphylococcal clumping factor A, which we demonstrate to be an
effective human T cell antigen, combined with the Th1-driving adjuvant CpG. This
novel Th1-inducing vaccine conferred significant protection during S. aureus
infection in mice. This study notably advances our understanding of S. aureus
cellular immunity, and demonstrates for the first time that a correlate of S.
aureus protective immunity identified in mice may be relevant in humans.
PMID- 26539823
TI - Reversal of Endothelial Dysfunction by GPBAR1 Agonism in Portal Hypertension
Involves a AKT/FOXOA1 Dependent Regulation of H2S Generation and Endothelin-1.
AB - BACKGROUND: GPBAR1 is a bile acids activated receptor expressed in entero-hepatic
tissues. In the liver expression of GPBAR1 is restricted to sinusoidal and
Kuppfer cells. In the systemic circulation vasodilation caused by GPBAR1 agonists
is abrogated by inhibition of cystathione-gamma-liase (CSE), an enzyme essential
to the generation of hydrogen sulfide (H2S), a vasodilatory agent. Portal BAR501
is a semisynthetic bile acid derivative endowed with a potent and selective
agonistic activity toward GPBAR1. METHODS: Cirrhosis was induced in mice by
carbon tetrachloride (CCL4) administration for 9 weeks. Liver endothelial
dysfunction was induced by feeding wild type and Gpbar1-/- mice with methionine
for 4 weeks. In both models, mice were administered BAR501, 15 mg/kg/day.
RESULTS: By transactivation assay we demonstrate that BAR501 is a selective
GPBAR1 agonist devoid of any FXR agonistic activity. In naive rats, BAR501
effectively reduced hepatic perfusion pressure and counteracted the
vasoconstriction activity of norepinephrine. In the CCl4 model, 9 weeks treatment
with BAR501 effectively protected against development of endothelial dysfunction
by increasing liver CSE expression and activity and by reducing endothelin (ET)-1
gene expression. In mice feed methionine, treatment with BAR501 attenuated
endothelial dysfunction and caused a GPBAR1-dependent regulation of CSE. Using
human liver sinusoidal cells, we found that modulation of CSE expression/activity
is mediated by both genomic (recruitment of CREB to CRE in the CSE promoter) and
non-genomic effects, involving a Akt-dependent phosporylation of CSE and
endothelial nitric oxide (NO) synthase (eNOS). BAR501, phosphorylates FOXO1 and
inhibits ET-1 transcription in liver sinusoidal cells. CONCLUSIONS: BAR501, a
UDCA-like GPBAR1 agonist, rescues from endothelial dysfunction in rodent models
of portal hypertension by exerting genomic and non-genomic effects on CSE, eNOS
and ET-1 in liver sinusoidal cells.
PMID- 26539824
TI - Loss of Toll-Like Receptor 4 Function Partially Protects against Peripheral and
Cardiac Glucose Metabolic Derangements During a Long-Term High-Fat Diet.
AB - Diabetes is a chronic inflammatory disease that carries a high risk of
cardiovascular disease. However, the pathophysiological link between these
disorders is not well known. We hypothesize that TLR4 signaling mediates high fat
diet (HFD)-induced peripheral and cardiac glucose metabolic derangements. Mice
with a loss-of-function mutation in TLR4 (C3H/HeJ) and age-matched control
(C57BL/6) mice were fed either a high-fat diet or normal diet for 16 weeks.
Glucose tolerance and plasma insulin were measured. Protein expression of glucose
transporters (GLUT), AKT (phosphorylated and total), and proinflammatory
cytokines (IL-6, TNF-alpha and SOCS-3) were quantified in the heart using Western
Blotting. Both groups fed a long-term HFD had increased body weight, blood
glucose and insulin levels, as well as impaired glucose tolerance compared to
mice fed a normal diet. TLR4-mutant mice were partially protected against long
term HFD-induced insulin resistance. In control mice, feeding a HFD decreased
cardiac crude membrane GLUT4 protein content, which was partially rescued in TLR4
mutant mice. TLR4-mutant mice fed a HFD also had increased expression of GLUT8, a
novel isoform, compared to mice fed a normal diet. GLUT8 content was positively
correlated with SOCS-3 and IL-6 expression in the heart. No significant
differences in cytokine expression were observed between groups, suggesting a
lack of inflammation in the heart following a HFD. Loss of TLR4 function
partially restored a healthy metabolic phenotype, suggesting that TLR4 signaling
is a key mechanism in HFD-induced peripheral and cardiac insulin resistance. Our
data further suggest that TLR4 exerts its detrimental metabolic effects in the
myocardium through a cytokine-independent pathway.
PMID- 26539825
TI - Constitutive Stringent Response Restores Viability of Bacillus subtilis Lacking
Structural Maintenance of Chromosome Protein.
AB - Bacillus subtilis mutants lacking the SMC-ScpAB complex are severely impaired for
chromosome condensation and partitioning, DNA repair, and cells are not viable
under standard laboratory conditions. We isolated suppressor mutations that
restored the capacity of a smc deletion mutant (Deltasmc) to grow under standard
conditions. These suppressor mutations reduced chromosome segregation defects and
abrogated hypersensitivity to gyrase inhibitors of Deltasmc. Three suppressor
mutations were mapped in genes involved in tRNA aminoacylation and maturation
pathways. A transcriptomic survey of isolated suppressor mutations pointed to a
potential link between suppression of Deltasmc and induction of the stringent
response. This link was confirmed by (p)ppGpp quantification which indicated a
constitutive induction of the stringent response in multiple suppressor strains.
Furthermore, sublethal concentrations of arginine hydroxamate (RHX), a potent
inducer of stringent response, restored growth of Deltasmc under non permissive
conditions. We showed that production of (p)ppGpp alone was sufficient to
suppress the thermosensitivity exhibited by the Deltasmc mutant. Our findings
shed new light on the coordination between chromosome dynamics mediated by SMC
ScpAB and other cellular processes during rapid bacterial growth.
PMID- 26539826
TI - Genus-Wide Comparative Genomics of Malassezia Delineates Its Phylogeny,
Physiology, and Niche Adaptation on Human Skin.
AB - Malassezia is a unique lipophilic genus in class Malasseziomycetes in
Ustilaginomycotina, (Basidiomycota, fungi) that otherwise consists almost
exclusively of plant pathogens. Malassezia are typically isolated from warm
blooded animals, are dominant members of the human skin mycobiome and are
associated with common skin disorders. To characterize the genetic basis of the
unique phenotypes of Malassezia spp., we sequenced the genomes of all 14 accepted
species and used comparative genomics against a broad panel of fungal genomes to
comprehensively identify distinct features that define the Malassezia gene
repertoire: gene gain and loss; selection signatures; and lineage-specific gene
family expansions. Our analysis revealed key gene gain events (64) with a single
gene conserved across all Malassezia but absent in all other sequenced
Basidiomycota. These likely horizontally transferred genes provide intriguing
gain-of-function events and prime candidates to explain the emergence of
Malassezia. A larger set of genes (741) were lost, with enrichment for glycosyl
hydrolases and carbohydrate metabolism, concordant with adaptation to skin's
carbohydrate-deficient environment. Gene family analysis revealed extensive
turnover and underlined the importance of secretory lipases, phospholipases,
aspartyl proteases, and other peptidases. Combining genomic analysis with a re
evaluation of culture characteristics, we establish the likely lipid-dependence
of all Malassezia. Our phylogenetic analysis sheds new light on the relationship
between Malassezia and other members of Ustilaginomycotina, as well as
phylogenetic lineages within the genus. Overall, our study provides a unique
genomic resource for understanding Malassezia niche-specificity and potential
virulence, as well as their abundance and distribution in the environment and on
human skin.
PMID- 26539827
TI - A Pilot Proteogenomic Study with Data Integration Identifies MCT1 and GLUT1 as
Prognostic Markers in Lung Adenocarcinoma.
AB - We performed a pilot proteogenomic study to compare lung adenocarcinoma to lung
squamous cell carcinoma using quantitative proteomics (6-plex TMT) combined with
a customized Affymetrix GeneChip. Using MaxQuant software, we identified 51,001
unique peptides that mapped to 7,241 unique proteins and from these identified
6,373 genes with matching protein expression for further analysis. We found a
minor correlation between gene expression and protein expression; both datasets
were able to independently recapitulate known differences between the
adenocarcinoma and squamous cell carcinoma subtypes. We found 565 proteins and
629 genes to be differentially expressed between adenocarcinoma and squamous cell
carcinoma, with 113 of these consistently differentially expressed at both the
gene and protein levels. We then compared our results to published adenocarcinoma
versus squamous cell carcinoma proteomic data that we also processed with
MaxQuant. We selected two proteins consistently overexpressed in squamous cell
carcinoma in all studies, MCT1 (SLC16A1) and GLUT1 (SLC2A1), for further
investigation. We found differential expression of these same proteins at the
gene level in our study as well as in other public gene expression datasets.
These findings combined with survival analysis of public datasets suggest that
MCT1 and GLUT1 may be potential prognostic markers in adenocarcinoma and
druggable targets in squamous cell carcinoma. Data are available via
ProteomeXchange with identifier PXD002622.
PMID- 26539828
TI - Characterization of Piperacillin/Tazobactam-Resistant Klebsiella oxytoca
Recovered from a Nosocomial Outbreak.
AB - We characterized 12 clinical isolates of Klebsiella oxytoca with the extended
spectrum beta-lactamase (ESBL) phenotype (high minimum inhibitory concentration
[MIC] values of ceftriaxone) recovered over 9 months at a university hospital in
Japan. To determine the clonality of the isolates, we used pulsed-field gel
electrophoresis (PFGE), multi-locus sequence typing (MLST), and PCR analyses to
detect blaRBI, which encodes the beta-lactamase RbiA, OXY-2-4 with overproduce
type promoter. Moreover, we performed the isoelectric focusing (IEF) of beta
lactamases, and the determination of the MICs of beta-lactams including
piperacillin/tazobactam for 12 clinical isolates and E. coli HB101 with pKOB23,
which contains blaRBI, by the agar dilution method. Finally, we performed the
initial screening and phenotypic confirmatory tests for ESBLs. Each of the 12
clinical isolates had an identical PFGE pulsotype and MLST sequence type (ST9).
All 12 clinical isolates harbored identical blaRBI. The IEF revealed that the
clinical isolate produced only one beta-lactamase. E. coli HB101 (pKOB23) and all
12 isolates demonstrated equally resistance to piperacillin/tazobactam (MICs,
>128 MUg/ml). The phenotypic confirmatory test after the initial screening test
for ESBLs can discriminate beta-lactamase RbiA-producing K. oxytoca from beta
lactamase CTX-M-producing K. oxytoca. Twelve clinical isolates of K. oxytoca,
which were recovered from an outbreak at one university hospital, had identical
genotypes and produced beta-lactamase RbiA that conferred resistance to
piperacillin/tazobactam. In order to detect K. oxytoca isolates that produce RbiA
to promote research concerning beta-lactamase RbiA-producing K. oxytoca, the
phenotypic confirmatory test after the initial screening test for ESBLs would be
useful.
PMID- 26539829
TI - A Mutual Self- and Informant-Report of Cognitive Complaint Correlates with
Neuropathological Outcomes in Mild Cognitive Impairment.
AB - BACKGROUND: This study examines whether different sources of cognitive complaint
(i.e., self and informant) predict Alzheimer's disease (AD) neuropathology in
elders with mild cognitive impairment (MCI). METHODS: Data were drawn from the
National Alzheimer's Coordinating Center Uniform and Neuropathology Datasets
(observational studies) for participants with a clinical diagnosis of MCI and
postmortem examination (n = 1843, 74+/-8 years, 52% female). Cognitive complaint
(0.9+/-0.5 years prior to autopsy) was classified into four mutually exclusive
groups: no complaint, self-only, informant-only, or mutual (both self and
informant) complaint. Postmortem neuropathological outcomes included amyloid
plaques and neurofibrillary tangles. Proportional odds regression related
complaint to neuropathology, adjusting for age, sex, race, education, depressed
mood, cognition, APOE4 status, and last clinical visit to death interval.
RESULTS: Mutual complaint related to increased likelihood of meeting NIA/Reagan
Institute (OR = 6.58, p = 0.004) and Consortium to Establish a Registry for
Alzheimer's Disease criteria (OR = 5.82, p = 0.03), and increased neurofibrillary
tangles (OR = 3.70, p = 0.03), neuritic plaques (OR = 3.52, p = 0.03), and
diffuse plaques (OR = 4.35, p = 0.02). Informant-only and self-only complaint was
not associated with any neuropathological outcome (all p-values>0.12).
CONCLUSIONS: In MCI, mutual cognitive complaint relates to AD pathology whereas
self-only or informant-only complaint shows no relation to pathology. Findings
support cognitive complaint as a marker of unhealthy brain aging and highlight
the importance of obtaining informant corroboration to increase confidence of
underlying pathological processes.
PMID- 26539830
TI - Correlation between Quadriceps Endurance and Adduction Moment in Medial Knee
Osteoarthritis.
AB - It is not clear whether the strength or endurance of thigh muscles (quadriceps
and hamstring) is positively or negatively correlated with the adduction moment
of osteoarthritic knees. This study therefore assessed the relationships between
the strength and endurance of the quadriceps and hamstring muscles and adduction
moment in osteoarthritic knees and evaluated predictors of the adduction moment.
The study cohort comprised 35 patients with unilateral medial osteoarthritis and
varus deformity who were candidates for open wedge osteotomy. The maximal torque
(60 degrees /sec) and total work (180 degrees /sec) of the quadriceps and
hamstring muscles and knee adduction moment were evaluated using an isokinetic
testing device and gait analysis system. The total work of the quadriceps (r =
0.429, P = 0.037) and hamstring (r = 0.426, P = 0.045) muscles at 180 degrees
/sec each correlated with knee adduction moment. Preoperative varus deformity was
positively correlated with adduction moment (r = 0.421, P = 0.041). Multiple
linear regression analysis showed that quadriceps endurance at 180 degrees /sec
was the only factor independently associated with adduction moment (beta = 0.790,
P = 0.032). The adduction moment of osteoarthritic knees correlated with the
endurance, but not the strength, of the quadriceps muscle. However, knee
adduction moment did not correlate with the strength or endurance of the
hamstring muscle.
PMID- 26539831
TI - Evaluation of Antimicrobial Therapy of Blood Culture Positive Healthcare
Associated Infections in Children.
AB - AIM: Knowledge of the quality of antimicrobial therapy (AMT) used for invasive
healthcare-associated infections (HAIs) in paediatrics is scarce. Influence of
the final information about the isolated pathogen on the subsequent targeted AMT
was investigated in our study. METHODS: Data on 149 children (0-17 years) with
blood culture positive HAIs were collected. The causative microbes under
investigation were Staphylococcus aureus, Staphylococcus epidermidis,
streptococci, Gram negative rods, and mixed infections were likewise included.
For adjusting the antimicrobial regimen, an expert panel evaluated the quality of
the targeted AMT and the delay of 72 hours after final microbiology results. AMT
was regarded as inappropriate if the pathogen was totally resistant to the used
antimicrobials (i) or if the chosen therapy was of not optimal efficacy against
the pathogen (ii). RESULTS: 17% of the patients received inappropriate AMT. Half
of these infections 13/26 (50%) were treated with an antimicrobial to which the
isolate was resistant. Three (3/13, 23%) of these patients received
antimicrobials which were totally ineffective according to in vitro data.
Suboptimal or too broad spectrum AMT was administered to 13/26 (50%) patients.
The most common causes of inappropriate use were the use of beta-lactams in
oxacillin-resistant Staphylococcus epidermidis infections and vancomycin given in
oxacillin-sensitive Staphylococcus aureus infections. CONCLUSION: Approximately
17% of the selected cohort received inappropriate AMT. More attention should be
paid to the appropriate use of antimicrobials, and training of prescribers should
be urgently provided.
PMID- 26539832
TI - Molecular Subtype-Specific Expression of MicroRNA-29c in Breast Cancer Is
Associated with CpG Dinucleotide Methylation of the Promoter.
AB - Basal-like breast cancer is a molecularly distinct subtype of breast cancer that
is highly aggressive and has a poor prognosis. MicroRNA-29c (miR-29c) has been
shown to be significantly down-regulated in basal-like breast tumors and to be
involved in cell invasion and sensitivity to chemotherapy. However, little is
known about the genetic and regulatory factors contributing to the altered
expression of miR-29c in basal-like breast cancer. We here report that epigenetic
modifications at the miR-29c promoter, rather than copy number variation of the
gene, may drive the lower expression of miR-29c in basal-like breast cancer.
Bisulfite sequencing of CpG sites in the miR-29c promoter region showed higher
methylation in basal-like breast cancer cell lines compared to luminal subtype
cells with a significant inverse correlation between expression and methylation
of miR-29c. Analysis of primary breast tumors using The Cancer Genome Atlas
(TCGA) dataset confirmed significantly higher levels of methylation of the
promoter in basal-like breast tumors compared to all other subtypes. Furthermore,
inhibition of CpG methylation with 5-aza-CdR increases miR-29c expression in
basal-like breast cancer cells. Flourescent In Situ Hybridization (FISH) revealed
chromosomal abnormalities at miR-29c loci in breast cancer cell lines, but with
no correlation between copy number variation and expression of miR-29c. Our data
demonstrated that dysregulation of miR-29c in basal-like breast cancer cells may
be in part driven by methylation at CpG sites. Epigenetic control of the miR-29c
promoter by epigenetic modifiers may provide a potential therapeutic target to
overcome the aggressive behavior of these cancers.
PMID- 26539833
TI - Evaluation of a Bacillus direct-fed microbial candidate on digesta viscosity,
bacterial translocation, microbiota composition and bone mineralisation in
broiler chickens fed on a rye-based diet.
AB - 1. The effects of the dietary inclusion of a Bacillus-based direct-fed microbial
(DFM) candidate on digesta viscosity, bacterial translocation, microbiota
composition and bone mineralisation were evaluated in broilers consuming rye
based diets. 2. In the present study, control mash rye-based diets (CON) or
Bacillus-DFM supplemented diets (TRT) were administered ad libitum to male
broilers in three independent experiments. 3. In Experiments 1 and 2 (n =
25/group), liver samples were taken to evaluate bacterial translocation, digesta
samples were used for viscosity measurements and the intestinal microbial flora
was evaluated from different intestinal sections to enumerate total recovered
gram-negative bacteria (TGB), lactic acid bacteria (LAB) and anaerobic bacteria
(TAB). Additionally, both tibias were removed for assessment of bone quality. 4.
In Experiment 3, each experimental group had 8 replicates of 20 chickens (n =
160/group). Weekly, body weight (BW), feed intake (FI) and feed conversion ratio
(FCR) were evaluated. At d 28-of-age, samples were taken to determine bacterial
translocation, digesta viscosity and bone quality characteristics. 5. In all
experiments, consumption of Bacillus-DFM reduced bacterial translocation to the
liver and digesta viscosity. Additionally, DFM supplementation improved BW, bone
quality measurements and FCR. Moreover, chickens fed on the Bacillus-DFM diet in
Experiments 1 and 2 showed a significant reduction in the number of gram-negative
and anaerobic bacteria in the duodenal content compared to control. 6. In
summary, chickens fed on a rye-based diet without DFM inclusion showed an
increase in bacterial translocation and digesta viscosity, accompanied by reduced
performance and bone quality variables relative to the Bacillus-DFM candidate
group. Hence, incorporation into the feed of a selected DFM ameliorated the
adverse anti-nutritional effects related to utilisation of rye-based diets in
broilers chickens.
PMID- 26539834
TI - Erectile function after radical prostatectomy: Do patients return to baseline?
AB - OBJECTIVE: The aim of this study was to assess postprostatectomy erectile
function compared to preoperative status by subjective patient perception and the
abbreviated International Index of Erectile Function (IIEF-5) questionnaire.
MATERIALS AND METHODS: The study used data from a prospectively collected
database and a cross-sectional, questionnaire-based study in patients following
radical prostatectomy. Erectile function was assessed with the IIEF-5 and the
question "Is your erectile function as good as before the surgery (yes/no)".
Patients were included if they were sexually active before surgery and had at
least 1 year of follow-up. The main outcome measure was the proportion of
patients returning to self-perceived baseline erectile function. Secondary
outcome measures included the proportion of patients returning to baseline
erectile function according to the IIEF-5 and predictors of return to baseline
function. RESULTS: Questionnaires from 210 patients were available. Overall, 14
patients (6.7%) reported that their erections were as good as before surgery.
Bilateral nerve-sparing was the only significant predictor of a return to
baseline erectile function (p = 0.004). Forty-three patients (20.5%), who did not
report use of erectile aids, showed no decline in IIEF-5 score. When including
patients who used erectogenic aids, 69 (32.9%) maintained their preoperative IIEF
5 score. On multivariate analysis a low preoperative IIEF-5 score was a
significant predictor of return to baseline IIEF-5 score (p < 0.0001).
CONCLUSIONS: Return to subjective baseline erectile function following radical
prostatectomy is rare. The IIEF-5 questionnaire may not adequately reflect
patients' experience. This should be considered in preoperative patient
counselling.
PMID- 26539836
TI - Lidocaine Skin Patch (Lidopat(r) 5%) Is Effective in the Treatment of Traumatic
Rib Fractures: A Prospective Double-Blinded and Vehicle-Controlled Study.
AB - OBJECTIVE: The purpose of this study was to determine the efficacy of the
Lidopat((r)) 5% skin patch in relieving rib fracture pain. SUBJECTS AND METHODS:
From June 2009 to May 2011, 44 trauma patients with isolated rib fractures were
enrolled in this study and randomized in a double-blind method into 2 groups. The
experimental group (group E: 27 patients) used a Lidopat((r)) 5% skin patch at
the trauma site and took an oral analgesic drug for pain relief. The placebo
group (group P: 17 patients) used a placebo vehicle patch and an oral analgesic
drug. RESULTS: The mean age, weight and hospital stay of patients were 56.8 +/-
13.8 years, 67.4 +/- 12.6 kg and 6.34 +/- 1.3 days, respectively. In the first 4
days, there were no significant differences in pain scores between the groups (p
> 0.05). After the 5th day, the average pain score was significantly less in
group E (mean 1.5) than in group P (mean 3.10; p < 0.05). There was no
significant difference in the number of fractured ribs between groups (p =
0.904). The use of meperidine and the length of hospital stay (6.0 vs. 6.9 days)
were both significantly less in group E (p = 0.043 and 0.009, respectively).
CONCLUSION: In this study, the use of the Lidopat((r)) 5% skin patch in patients
with isolated rib fractures alleviated pain and shortened the hospital stay, and
a lower dose of pain-relieving medication was used.
PMID- 26539835
TI - Soluble Urokinase Receptor and Chronic Kidney Disease.
AB - BACKGROUND: Relatively high plasma levels of soluble urokinase-type plasminogen
activator receptor (suPAR) have been associated with focal segmental
glomerulosclerosis and poor clinical outcomes in patients with various
conditions. It is unknown whether elevated suPAR levels in patients with normal
kidney function are associated with future decline in the estimated glomerular
filtration rate (eGFR) and with incident chronic kidney disease. METHODS: We
measured plasma suPAR levels in 3683 persons enrolled in the Emory Cardiovascular
Biobank (mean age, 63 years; 65% men; median suPAR level, 3040 pg per milliliter)
and determined renal function at enrollment and at subsequent visits in 2292
persons. The relationship between suPAR levels and the eGFR at baseline, the
change in the eGFR over time, and the development of chronic kidney disease (eGFR
<60 ml per minute per 1.73 m(2) of body-surface area) were analyzed with the use
of linear mixed models and Cox regression after adjustment for demographic and
clinical variables. RESULTS: A higher suPAR level at baseline was associated with
a greater decline in the eGFR during follow-up; the annual change in the eGFR was
-0.9 ml per minute per 1.73 m(2) among participants in the lowest quartile of
suPAR levels as compared with -4.2 ml per minute per 1.73 m(2) among participants
in the highest quartile (P<0.001). The 921 participants with a normal eGFR (>= 90
ml per minute per 1.73 m(2)) at baseline had the largest suPAR-related decline in
the eGFR. In 1335 participants with a baseline eGFR of at least 60 ml per minute
per 1.73 m(2), the risk of progression to chronic kidney disease in the highest
quartile of suPAR levels was 3.13 times as high (95% confidence interval, 2.11 to
4.65) as that in the lowest quartile. CONCLUSIONS: An elevated level of suPAR was
independently associated with incident chronic kidney disease and an accelerated
decline in the eGFR in the groups studied. (Funded by the Abraham J. and Phyllis
Katz Foundation and others.).
PMID- 26539837
TI - Impact of Laparoscopic Sleeve Gastrectomy on Weight Loss and Associated
Comorbidities in Adolescents and Young Adults.
AB - BACKGROUND: Obesity is a common disease affecting young adults and adolescents
worldwide. This study aims to delineate the role of laparoscopic sleeve
gastrectomy (LSG) in weight loss and associated comorbidities to adolescents and
young adults. PATIENTS AND METHODS: This study is a retrospective analysis of a
prospective cohort of all young adults 16-22 years old who underwent LSG for
morbid obesity and were followed up for 24 months. Demographic data, weight loss,
and the status of several comorbidities, such as diabetes mellitus, hypertension,
and dyslipidemia, were assessed at postoperative Months 1, 3, 6, 12, 18, and 24.
RESULTS: Overall, at baseline and after 24 months of close follow-up of 37
adolescents and young adults who had undergone LSG, the body mass index of the
patients was 46.93 +/- 6.07 kg/m(2) versus 26.2 +/- 3.6 kg/m(2) (P < .001), and
the body weight was 143 +/- 29 kg versus 78 +/- 15 kg (P < .001). From the first
follow-up visit after operation to the last one at the 24 months, there was also
a significant difference in percentage excess weight loss (22.40 +/- 6.58% versus
81 +/- 17%; P < .001), body mass index difference (-5.47 +/- 1.69 kg/m(2) versus
18.08 +/- 4.38 kg/m(2); P < .001), and percentage excess body mass index loss
(26.06 +/- 7.56% versus 96 +/- 21%; P < .001). The percentage of the adolescents
and young adults with diabetes mellitus, hypertension, and dyslipidemia were
diminished gradually at 6 months postoperatively (P < .001). CONCLUSIONS: LSG
represents a safe and attractive treatment strategy for morbidly obese
adolescents and young adults with comorbidities. In this study group excellent
resolution of excess weight and comorbid conditions is achieved 2 years after
LSG.
PMID- 26539839
TI - Dental treatment injuries in the Finnish Patient Insurance Centre in 2000-2011.
AB - Objective The Patient Insurance Centre in Finland reimburses patients who
sustained injuries associated with medical and dental care without having to
demonstrate malpractice. The aim was to analyse all dental injuries claimed
through the Patient Insurance Centre over a 12-year period in order to identify
factors affecting reimbursement of claims. Methods This study investigated all
dental patient insurance claims in Finland during 2000-2011. The injury cases
were grouped as (K00-K08) according to the International Classification of
Diseases (ICD-10). Calendar year, claimant's age and gender, dental disease group
and health service sector were the explanatory factors and the outcome was the
decision of a claim. Multiple logistic regression modelling was used in the
statistical analyses. Results The total number of decisions related to dental
claims at the PIC in 2000-2011 was 7662, of which women claimed a clear majority
(72%). Diseases of the pulp and periapical tissues (K04) and dental caries (K02)
were the major disease groups (both 29%). Of the claims 40% were eligible for
reimbursement, 27% were classified as insignificant or unavoidable injuries and
32% were rejected for other reasons. The proportion of reimbursed claims declined
during the period. Patients from the private sector were more likely to be
eligible for compensation than were those from the public sector (OR = 1.89, 95%
CI = 1.71-2.10). Conclusions The number of dental patient insurance claims in
Finland clearly rose, while the proportion of reimbursed claims declined. More
claims received compensation in the private sector than in the public sector.
PMID- 26539838
TI - Breast Cancer beyond the Age of Mutation.
AB - Age is the greatest risk factor for breast cancer, but the reasons underlying
this association are unclear. While there is undeniably a genetic component to
all cancers, the accumulation of mutations with age is insufficient to explain
the age-dependent increase in breast cancer incidence. In this viewpoint, we
propose a multilevel framework to better understand the respective roles played
by somatic mutation, microenvironment, and epigenetics making women more
susceptible to breast cancer with age. The process of aging is associated with
gradual breast tissue changes that not only corrupt the tumor-suppressive
activity of normal tissue but also impose age-specific epigenetic changes that
alter gene expression, thus reinforcing cellular phenotypes that are associated
with a continuum of age-related tissue microenvironments. The evidence discussed
here suggests that while the riddle of whether epigenetics drives
microenvironmental changes, or whether changes in the microenvironment alter
heritable cellular memory has not been solved, a path has been cleared enabling
functional analysis leading to the prediction of key nodes in the network that
link the microenvironment with the epigenome. The hypothesis that the
accumulation of somatic mutations with age drives the age-related increase in
breast cancer incidence, if correct, has a somewhat nihilistic conclusion, namely
that cancers will be impossible to avoid. Alternatively, if microenvironment
driven epigenetic changes are the key to explaining susceptibility to age-related
breast cancers, then there is hope that primary prevention is possible because
epigenomes are relatively malleable.
PMID- 26539840
TI - Spontaneous Pattern Formation Induced by Benard-Marangoni Convection for Sol-Gel
Derived Titania Dip-Coating Films: Effect of Co-solvents with a High Surface
Tension and Low Volatility.
AB - Evaporation-driven surface tension gradient in the liquid layer often causes the
convective flow, i.e., Benard-Marangoni convection, resulting in the formation of
cell-like patterns on the surface. Here, we prepared sol-gel-derived titania
films from Ti(OC3H7(i))4 solutions by dip coating and discussed the effect of the
addition of co-solvents with a high surface tension and low volatility on the
spontaneous pattern formation induced by Benard-Marangoni convection. Propylene
glycol (PG, with a surface tension of 38.6 mN m(-1)) and dipropylene glycol (DPG,
with a surface tension of 33.9 mN m(-1)) were added to the coating solutions
containing 2-propanol (2-Pr, with a surface tension of 22.9 mN m(-1)) for
controlling the evaporation-driven surface tension gradient in the coating layer
on a substrate. During dip coating at a substrate withdrawal speed of 50 cm min(
1) in a thermostatic oven at 60 degrees C, linearly arranged cell-like patterns
on a micrometer scale were spontaneously formed on the titania gel films,
irrespective of the composition of coating solutions. Such surface patterns
remained even after the heat treatment at 200 and 600 degrees C, where the
densification and crystallization of the titania films progressed. The width and
height of the cell-like patterns increased with increasing PG and DPG contents in
the coating solutions, where the addition of PG resulted in the formation of
cells with a larger height than DPG.
PMID- 26539841
TI - The complete mitochondrial genome of the king horseshoe bat (Rhinolophus rex)
using next-generation sequencing and Sanger sequencing.
AB - The king horseshoe bat (Rhinolophus rex) is endemic to China. Here, we
characterized the complete mitochondrial genome of R. rex using next-generation
sequencing and Sanger sequencing. The mitogenome is 16 845 bp in length and
contains 22 tRNAs, two rRNAs, 13 protein-coding genes, and a non-coding control
region. Our traditional Sanger sequencing verified that mtDNA sequences generated
from next-generation sequencing are reliable. The mitogenome of R. rex will be
useful for the conservation genetics of this endangered species.
PMID- 26539842
TI - A new concept for molecular engineering of artificial enzymes: a multiscale
simulation.
AB - We propose a new concept for the design of artificial enzymes from synthetic
protein-like copolymers and non-natural functional monomers which in terms of
their affinity for water can be divided into two categories: hydrophobic and
hydrophilic. Hydrophilic monomers comprise catalytically active groups similar to
those in the corresponding amino acid residues. A key ingredient of our approach
is that the target globular conformation of protein-like, core-shell morphology
with multiple catalytic groups appears spontaneously in the course of controlled
radical polymerization in a selective solvent. As a proof of concept, we
construct a fully synthetic analog of serine hydrolase, e.g.alpha-chymotrypsin,
using the conformation-dependent sequence design approach and multiscale
simulation that combines the methods of "mesoscale chemistry" and atomistic
molecular dynamics (MD). A 100 ns GPU-accelerated MD simulation of the designed
polymer-supported catalyst in the aqueous environment provides valuable
information on the structural organization of this system that has been
synthesized in our Lab.
PMID- 26539843
TI - 3D Shape Matching via Two Layer Coding.
AB - View-based 3D shape retrieval is a popular branch in 3D shape analysis owing to
the high discriminative property of 2D views. However, many previous works do not
scale up to large 3D shape databases. We propose a two layer coding (TLC)
framework to conduct shape matching much more efficiently. The first layer coding
is applied to pairs of views represented as depth images. The spatial
relationship of each view pair is captured with so-called eigen-angle, which is
the planar angle between the two views measured at the center of the 3D shape.
Prior to the second layer coding, the view pairs are divided into subsets
according to their eigen-angles. Consequently, view pairs that differ
significantly in their eigen-angles are encoded with different codewords, which
implies that spatial arrangement of views is preserved in the second layer
coding. The final feature vector of a 3D shape is the concatenation of all the
encoded features from different subsets, which is used for efficient indexing
directly. TLC is not limited to encode the local features from 2D views, but can
be also applied to encoding 3D features. Exhaustive experimental results confirm
that TLC achieves state-of-the-art performance in both retrieval accuracy and
efficiency.
PMID- 26539844
TI - Capturing Spatial Interdependence in Image Features: The Counting Grid, an
Epitomic Representation for Bags of Features.
AB - In recent scene recognition research images or large image regions are often
represented as disorganized "bags" of features which can then be analyzed using
models originally developed to capture co-variation of word counts in text.
However, image feature counts are likely to be constrained in different ways than
word counts in text. For example, as a camera pans upwards from a building
entrance over its first few floors and then further up into the sky Fig. 1 Fig.
1. Feature counts change slightly as the field of view moves. For example, the
abundance of the "car" features is reduced, but the counts of the features found
on building facades are increased. The counting grid model accounts for such
changes naturally, and it can also account for images of different scenes.
PMID- 26539845
TI - Co-Segmentation Guided Hough Transform for Robust Feature Matching.
AB - We present an algorithm that integrates image co-segmentation into feature
matching, and can robustly yield accurate and dense feature correspondences.
Inspired by the fact that correct feature correspondences on the same object
typically have coherent transformations, we cast the task of feature matching as
a density estimation problem in the homography space. Specifically, we project
the homographies of correspondence candidates into the parametric Hough space, in
which geometric verification of correspondences can be activated by voting. The
precision of matching is then boosted. On the other hand, we leverage image co
segmentation, which discovers object boundaries, to determine relevant voters and
speed up Hough voting. In addition, correspondence enrichment can be achieved by
inferring the concerted homographies that are propagated between the features
within the same segments. The recall is hence increased. In our approach, feature
matching and image co-segmentation are tightly coupled. Through an iterative
optimization process, more and more correct correspondences are detected owing to
object boundaries revealed by co-segmentation. The proposed approach is
comprehensively evaluated. Promising experimental results on four datasets
manifest its effectiveness.
PMID- 26539846
TI - Deep Human Parsing with Active Template Regression.
AB - In this work, the human parsing task, namely decomposing a human image into
semantic fashion/body regions, is formulated as an active template regression
(ATR) problem, where the normalized mask of each fashion/body item is expressed
as the linear combination of the learned mask templates, and then morphed to a
more precise mask with the active shape parameters, including position, scale and
visibility of each semantic region. The mask template coefficients and the active
shape parameters together can generate the human parsing results, and are thus
called the structure outputs for human parsing. The deep Convolutional Neural
Network (CNN) is utilized to build the end-to-end relation between the input
human image and the structure outputs for human parsing. More specifically, the
structure outputs are predicted by two separate networks. The first CNN network
is with max-pooling, and designed to predict the template coefficients for each
label mask, while the second CNN network is without max-pooling to preserve
sensitivity to label mask position and accurately predict the active shape
parameters. For a new image, the structure outputs of the two networks are fused
to generate the probability of each label for each pixel, and super-pixel
smoothing is finally used to refine the human parsing result. Comprehensive
evaluations on a large dataset well demonstrate the significant superiority of
the ATR framework over other state-of-the-arts for human parsing. In particular,
the F1-score reaches 64.38 percent by our ATR framework, significantly higher
than 44.76 percent based on the state-of-the-art algorithm [28].
PMID- 26539847
TI - Efficient Optimization for Sparse Gaussian Process Regression.
AB - We propose an efficient optimization algorithm to select a subset of training
data as the inducing set for sparse Gaussian process regression. Previous methods
either use different objective functions for inducing set and hyperparameter
selection, or else optimize the inducing set by gradient-based continuous
optimization. The former approaches are harder to interpret and suboptimal,
whereas the latter cannot be applied to discrete input domains or to kernel
functions that are not differentiable with respect to the input. The algorithm
proposed in this work estimates an inducing set and the hyperparameters using a
single objective. It can be used to optimize either the marginal likelihood or a
variational free energy. Space and time complexity are linear in training set
size, and the algorithm can be applied to large regression problems on discrete
or continuous domains. Empirical evaluation shows state-of-art performance in
discrete cases, competitive prediction results as well as a favorable trade-off
between training and test time in continuous cases.
PMID- 26539848
TI - Finding the Secret of Image Saliency in the Frequency Domain.
AB - There are two sides to every story of visual saliency modeling in the frequency
domain. On the one hand, image saliency can be effectively estimated by applying
simple operations to the frequency spectrum. On the other hand, it is still
unclear which part of the frequency spectrum contributes the most to popping-out
targets and suppressing distractors. Toward this end, this paper tentatively
explores the secret of image saliency in the frequency domain. From the results
obtained in several qualitative and quantitative experiments, we find that the
secret of visual saliency may mainly hide in the phases of intermediate
frequencies. To explain this finding, we reinterpret the concept of discrete
Fourier transform from the perspective of template-based contrast computation and
thus develop several principles for designing the saliency detector in the
frequency domain. Following these principles, we propose a novel approach to
design the saliency detector under the assistance of prior knowledge obtained
through both unsupervised and supervised learning processes. Experimental results
on a public image benchmark show that the learned saliency detector outperforms
18 state-of-the-art approaches in predicting human fixations.
PMID- 26539849
TI - Gaussian-Based Hue Descriptors.
AB - A robust and accurate hue descriptor that is useful in modeling human color
perception and for computer vision applications is explored. The hue descriptor
is based on the peak wavelength of a Gaussian-like function (called a wraparound
Gaussian) and is shown to correlate as well as CIECAM02 hue to the hue
designators of papers from the Munsell and Natural Color System color atlases and
to the hue names found in Moroney's Color Thesaurus. The new hue descriptor is
also shown to be significantly more stable under a variety of illuminants than
CIECAM02. The use of wraparound Gaussians as a hue model is similar in spirit to
the use of subtractive Gaussians proposed by Mizokami et al., but overcomes many
of their limitations.
PMID- 26539850
TI - GReTA-A Novel Global and Recursive Tracking Algorithm in Three Dimensions.
AB - Tracking multiple moving targets allows quantitative measure of the dynamic
behavior in systems as diverse as animal groups in biology, turbulence in fluid
dynamics and crowd and traffic control. In three dimensions, tracking several
targets becomes increasingly hard since optical occlusions are very likely, i.e.,
two featureless targets frequently overlap for several frames. Occlusions are
particularly frequent in biological groups such as bird flocks, fish schools, and
insect swarms, a fact that has severely limited collective animal behavior field
studies in the past. This paper presents a 3D tracking method that is robust in
the case of severe occlusions. To ensure robustness, we adopt a global
optimization approach that works on all objects and frames at once. To achieve
practicality and scalability, we employ a divide and conquer formulation, thanks
to which the computational complexity of the problem is reduced by orders of
magnitude. We tested our algorithm with synthetic data, with experimental data of
bird flocks and insect swarms and with public benchmark datasets, and show that
our system yields high quality trajectories for hundreds of moving targets with
severe overlap. The results obtained on very heterogeneous data show the
potential applicability of our method to the most diverse experimental
situations.
PMID- 26539851
TI - Kernel Methods on Riemannian Manifolds with Gaussian RBF Kernels.
AB - In this paper, we develop an approach to exploiting kernel methods with manifold
valued data. In many computer vision problems, the data can be naturally
represented as points on a Riemannian manifold. Due to the non-Euclidean geometry
of Riemannian manifolds, usual Euclidean computer vision and machine learning
algorithms yield inferior results on such data. In this paper, we define Gaussian
radial basis function (RBF)-based positive definite kernels on manifolds that
permit us to embed a given manifold with a corresponding metric in a high
dimensional reproducing kernel Hilbert space. These kernels make it possible to
utilize algorithms developed for linear spaces on nonlinear manifold-valued data.
Since the Gaussian RBF defined with any given metric is not always positive
definite, we present a unified framework for analyzing the positive definiteness
of the Gaussian RBF on a generic metric space. We then use the proposed framework
to identify positive definite kernels on two specific manifolds commonly
encountered in computer vision: the Riemannian manifold of symmetric positive
definite matrices and the Grassmann manifold, i.e., the Riemannian manifold of
linear subspaces of a Euclidean space. We show that many popular algorithms
designed for Euclidean spaces, such as support vector machines, discriminant
analysis and principal component analysis can be generalized to Riemannian
manifolds with the help of such positive definite Gaussian kernels.
PMID- 26539852
TI - Learning Hierarchical Space Tiling for Scene Modeling, Parsing and Attribute
Tagging.
AB - A typical scene category contains an enormous number of distinct scene
configurations that are composed of objects and regions of varying shapes in
different layouts. In this paper, we first propose a representation named
hierarchical space tiling (HST) to quantize the huge and continuous scene
configuration space. Then, we augment the HST with attributes (nouns and
adjectives) to describe the semantics of the objects and regions inside a scene.
We present a weakly supervised method for simultaneously learning the scene
configurations and attributes from a collection of natural images associated with
descriptive text. The precise locations of attributes are unknown in the input
and are mapped to the HST nodes through learning. Starting with a full HST, we
iteratively estimate the HST model under a learning-by-parsing framework. Given a
test image, we compute the most probable parse tree with the associated
attributes by dynamic programming. We quantitatively analyze the representative
efficiency of HST, show the learned representation is less ambiguous and has
semantically meaningful inner concepts. In applications, we apply our model to
four tasks: scene classification, attribute recognition, attribute localization,
and pixel-wise scene labeling, and show the performance improvements as well as
higher efficiency.
PMID- 26539853
TI - Maurer-Cartan Forms for Fields on Surfaces: Application to Heart Fiber Geometry.
AB - We study the space of first order models of smooth frame fields using the method
of moving frames. By exploiting the Maurer-Cartan matrix of connection forms we
develop geometrical embeddings for frame fields which lie on spherical,
ellipsoidal and generalized helicoid surfaces. We design methods for optimizing
connection forms in local neighborhoods and apply these to a statistical analysis
of heart fiber geometry, using diffusion magnetic resonance imaging. This
application of moving frames corroborates and extends recent characterizations of
muscle fiber orientation in the heart wall, but also provides for a rich
geometrical interpretation. In particular, we can now obtain direct local
measurements of the variation of the helix and transverse angles, of fiber
fanning and twisting, and of the curvatures of the heart wall in which these
fibers lie.
PMID- 26539854
TI - Multimodal Manifold Analysis by Simultaneous Diagonalization of Laplacians.
AB - We construct an extension of spectral and diffusion geometry to multiple
modalities through simultaneous diagonalization of Laplacian matrices. This
naturally extends classical data analysis tools based on spectral geometry, such
as diffusion maps and spectral clustering. We provide several synthetic and real
examples of manifold learning, object classification, and clustering, showing
that the joint spectral geometry better captures the inherent structure of multi
modal data. We also show the relation of many previous approaches for multimodal
manifold analysis to our framework.
PMID- 26539855
TI - Multispectral Joint Image Restoration via Optimizing a Scale Map.
AB - Color, infrared and flash images captured in different fields can be employed to
effectively eliminate noise and other visual artifacts. We propose a two-image
restoration framework considering input images from different fields, for
example, one noisy color image and one dark-flashed near-infrared image. The
major issue in such a framework is to handle all structure divergence and find
commonly usable edges and smooth transitions for visually plausible image
reconstruction. We introduce a novel scale map as a competent representation to
explicitly model derivative-level confidence and propose new functions and a
numerical solver to effectively infer it following our important structural
observations. Multispectral shadow detection is also used to make our system more
robust. Our method is general and shows a principled way to solve multispectral
restoration problems.
PMID- 26539856
TI - Multi-View Intact Space Learning.
AB - It is practical to assume that an individual view is unlikely to be sufficient
for effective multi-view learning. Therefore, integration of multi-view
information is both valuable and necessary. In this paper, we propose the Multi
view Intact Space Learning (MISL) algorithm, which integrates the encoded
complementary information in multiple views to discover a latent intact
representation of the data. Even though each view on its own is insufficient, we
show theoretically that by combing multiple views we can obtain abundant
information for latent intact space learning. Employing the Cauchy loss (a
technique used in statistical learning) as the error measurement strengthens
robustness to outliers. We propose a new definition of multi-view stability and
then derive the generalization error bound based on multi-view stability and
Rademacher complexity, and show that the complementarity between multiple views
is beneficial for the stability and generalization. MISL is efficiently optimized
using a novel Iteratively Reweight Residuals (IRR) technique, whose convergence
is theoretically analyzed. Experiments on synthetic data and real-world datasets
demonstrate that MISL is an effective and promising algorithm for practical
applications.
PMID- 26539857
TI - Optimizing Average Precision Using Weakly Supervised Data.
AB - Many tasks in computer vision, such as action classification and object
detection, require us to rank a set of samples according to their relevance to a
particular visual category. The performance of such tasks is often measured in
terms of the average precision (ap). Yet it is common practice to employ the
support vector machine ( svm) classifier, which optimizes a surrogate 0-1 loss.
The popularity of svmcan be attributed to its empirical performance.
Specifically, in fully supervised settings, svm tends to provide similar accuracy
to ap-svm, which directly optimizes an ap-based loss. However, we hypothesize
that in the significantly more challenging and practically useful setting of
weakly supervised learning, it becomes crucial to optimize the right accuracy
measure. In order to test this hypothesis, we propose a novel latent ap-svm that
minimizes a carefully designed upper bound on the ap-based loss function over
weakly supervised samples. Using publicly available datasets, we demonstrate the
advantage of our approach over standard loss-based learning frameworks on three
challenging problems: action classification, character recognition and object
detection.
PMID- 26539858
TI - Scale and Rotation Invariant Matching Using Linearly Augmented Trees.
AB - We propose a novel linearly augmented tree method for efficient scale and
rotation invariant object matching. The proposed method enforces pairwise
matching consistency defined on trees, and high-order constraints on all the
sites of a template. The pairwise constraints admit arbitrary metrics while the
high-order constraints use L1 norms and therefore can be linearized. Such a
linearly augmented tree formulation introduces hyperedges and loops into the
basic tree structure. But, different from a general loopy graph, its special
structure allows us to relax and decompose the optimization into a sequence of
tree matching problems that are efficiently solvable by dynamic programming. The
proposed method also works on continuous scale and rotation parameters; we can
match with a scale up to any large value with the same efficiency. Our
experiments on ground truth data and a variety of real images and videos show
that the proposed method is efficient, accurate and reliable.
PMID- 26539859
TI - Semantic-Aware Co-Indexing for Image Retrieval.
AB - In content-based image retrieval, inverted indexes allow fast access to database
images and summarize all knowledge about the database. Indexing multiple clues of
image contents allows retrieval algorithms search for relevant images from
different perspectives, which is appealing to deliver satisfactory user
experiences. However, when incorporating diverse image features during online
retrieval, it is challenging to ensure retrieval efficiency and scalability. In
this paper, for large-scale image retrieval, we propose a semantic-aware co
indexing algorithm to jointly embed two strong cues into the inverted indexes: 1)
local invariant features that are robust to delineate low-level image contents,
and 2) semantic attributes from large-scale object recognition that may reveal
image semantic meanings. Specifically, for an initial set of inverted indexes of
local features, we utilize semantic attributes to filter out isolated images and
insert semantically similar images to this initial set. Encoding these two
distinct and complementary cues together effectively enhances the discriminative
capability of inverted indexes. Such co-indexing operations are totally off-line
and introduce small computation overhead to online retrieval, because only local
features but no semantic attributes are employed for the query. Hence, this co
indexing is different from existing image retrieval methods fusing multiple
features or retrieval results. Extensive experiments and comparisons with recent
retrieval methods manifest the competitive performance of our method.
PMID- 26539860
TI - Shortest Paths with Higher-Order Regularization.
AB - This paper describes a new method of finding thin, elongated structures in images
and volumes. We use shortest paths to minimize very general functionals of higher
order curve properties, such as curvature and torsion. Our method uses line
graphs to find the optimal path on a given discretization, often in the order of
seconds on a single computer. The curves are then refined using local
optimization making it possible to recover very smooth curves. We are able to
place constraints on our curves such as maximum integrated curvature, or a
maximum curvature at any point of the curve. To our knowledge, we are the first
to perform experiments in three dimensions with curvature and torsion
regularization. The largest graphs we process have over a hundred billion arcs.
Experiments on medical images and in multi-view reconstruction show the
significance and practical usefulness of higher order regularization.
PMID- 26539862
TI - [Transcriptomics and proteomics in studies of induced differentiation of leukemia
cells].
AB - Induced differentiation of leukemia cells is in the focus of basic and applied
biomedical studies medicine and biology for more than 30 years. During this
period specific regulatory molecules involved in the maturation process have been
identified by biochemical and molecular biological methods. Recent developments
of high-throughput transcriptomic and proteomic techniques made it possible to
analyze large sets of mRNA and proteins; this resulted in identification of
functionally important signal transduction pathways and networks of molecular
interactions, and thus extent existing knowledge on the molecular mechanisms of
induced differentiation. Despite significant advances in mechanisms of induced
differentiation, many problems related to the molecular mechanism of cell
maturation, a phenomenon of therapeutic resistance of leukemic cells need better
understanding and thus require further detailed study. Transcriptomics and
proteomics methods provide a suitable methodological platform for the
implementation of such studies. This review highlights the use of transcriptomic
and proteomic methods in studies aimed at various aspects of the induced
differentiation. Special attention is paid to the employment of the systems
approach for investigation of various aspects of cell maturation. The use of the
systems approach in studies of induced differentiation is an important step for
the transition from the formal data accumulation on expression of mRNA and
proteins towards creating models of biological processes in silico.
PMID- 26539863
TI - [Problems and prospects of creation of extracorporal systems for support of
functional livers status].
AB - The review considers features of efferent therapy employing extracorporeal
systems, the devices known as "artificial liver" and "bioartificial liver" in the
treatment of liver insufficiency. Analysis of literature data shows the need for
further development of these biomedical studies and the search for optimal
solutions in the selection of the source of hepatocytes, the development of
bioreactors and biomaterials forming the basis of devices like "bioartificial
liver". Taking into consideration certain advantages and disadvantages typical
for various methods of extracorporeal support of the functional state of the
liver one can evaluate prior experience in the treatment of liver diseases and
approaches to the development of new, more effective medical technologies.
PMID- 26539864
TI - [Role of innate immunity in tolerance induction].
AB - This review considers the role of innate immunity in mechanisms of transplant
tolerance and rejection, analyse the role of innate immunity cells (dendritic
cells-DC, NK, must and other cells) in these processes, and the pathes of
creation of tolerogenic DC for transplant rejection therapy and tolerance.
PMID- 26539865
TI - [Remodeling of angiogenesis and lymphangiogenesis in cervical cancer
development].
AB - Ability to stimulate angiogenesis/lymphangiogenesis is recognized as an inherent
feature of cancer cells providing necessary conditions for their growth and
dissemination. "Angiogenic switch" is one of the earliest consequences of
malignant transformation that encompasses a great number of genes and triggers a
complex set of signaling cascades in endothelial cells. The processes of tumor
microvasculature development are closely connected to the steps of carcinogenesis
(from benign lesions to invasive forms) and occur through multiple deviations
from the norm. Analysis of expression of proangiogenic factors at successive
steps of cervical cancer development (intraepithelial neoplasia, cancer in situ,
microinvasive, and invasive cancer) enables to reconstruct the regulatory
mechanisms of (lymph-)angiogenesis and to discriminate the most important
components. This review presents detailed analysis of literature data on
expression of the key regulators of angiogenesis in cervical intraepithelial
neoplasia and cervical cancer. Their possible involvement in molecular mechanisms
of neoplastic transformation of epithelial cells, as well as invasion and tumor
metastasis is discussed. Correlation between expression of proangiogenic
molecular factors and various clinicopathological parameters is considered, the
potential of their use in molecular diagnostics and targeted therapy of cervical
cancer is reviewed. Particular attention is paid to relatively poorly studied
regulators of lymphangiogenesis and "non-VEGF dependent", or alternative,
angiogenic pathways that constitute the prospect of future research in the field.
PMID- 26539866
TI - [Influence of resveratrol and dihydroquercetin inclusion into phospholipid
nanopatricles on their bioavailability and specific activity].
AB - The effects of natural polyphenols, resveratrol (RES) and dihydroquercetin (DHQ),
included in phospholipid nanoparticles, have been compared with free substances
of RES and DHQ in in vitro and in vivo experiments. Preincubation of healthy
donor plasma low density lipoproteins (LDL) with RES or DHQ included in
phospholipid nanoparticles caused a more pronounced decrease in Cu2+ induced
lipid oxidation compared with the free substances, and reduced the formation of
lipid peroxides products. Bioavailabilities of RES and DHQ in phospholipid
formulations after oral administration in rats were increased by 1.5-2 times. In
an acute hypoxia model in mice prophylactic two-week administration of RES or DHQ
phospholipid formulations resulted in 25% increase in survival and 1.5-fold
increase in catalase activity in brain homogenates compared to free substances.
Using the model of endothelial dysfunction in rats induced by L-NAME it was
shown, that RES markedly attenuated the inhibition effect of L-NAME on NO
synthesis. RES in phospholipid nanoparticles had the same action at a dose 10
times lower compared to free RES. Load test with resistance (clamping of the
ascending aorta for 30 sec) showed that phospholipid formulation of RES possessed
more pronounced protective effect due to the stimulation of endothelial NO
synthase.
PMID- 26539867
TI - [The molecular mechanisms of platelets activation in patients with
cerebrovascular disease].
AB - Cerebrovascular disease is a main cause of mortality and one of the big medical
problems. After the vascular wall's damage the endothelial cells secrete the von
Willebrand factor which then connects with its platelet's receptor GP Ib-V-IX.
There are two polymorphisms Thr145Met and T(-5)C of the GP Iba gene associated
with arterial thrombosis development. Also the difference in platelets' genes
expressions was shown in patients with various clinical course of ischemic heart
disease. The aim of this study was to investigate the role of platelet's receptor
for von Willebrand factor in platelets' activation in patients with
cerebrovascular disease. 123 patients with cerebrovascular disease and 97 healthy
donors were included into the study. We analyzed the level of receptor for von
Willebrand factor on platelet's membrane by flow cytometry, Thr145Met and T(-5)C
GP Iba polymorphiams by PCR-RFLP, the GP Iba gene expression by RT-PCR and ADP
induced platelet aggregation by Born method. We have shown: 1) the 145Met GP Iba
allele prevalence in patients with atherotrombotic stroke development due to
macroangiopathy; 2) the pre-mRNA transform into the mature mRNA in activated
platelets and this process may be stopped by the antiplatelet therapy by
acetylsalicylic acid.
PMID- 26539868
TI - [Determination of strontium content in whole blood and urine by icp-ms].
AB - Parameters of strontium determination in the whole blood and urine of children
living near ore deposits containing up to 20% strontium sulfate have been
determined. The average strontium content in the whole blood of two children
groups of 109.52 +/- 11.07 mg/L and 131.62 +/- 12.95 mg/L, significantly exceeded
the level in the comparison group 44.2 +/- 4.24 mg/L. The average strontium
contents of two groups of children in urine were 1252.3 +/- 332.2 mg/L and 1341.5
+/- 241.8 mg/L, these values were 4.2 and 4.5 times higher than in the comparison
group 296.4 +/- 61.5 mg/L. The conditions for blood and urine sample preparation
were optimized to reduce measure errors and to determine strontium at the
reference concentration level. The accuracy of the results has been confirmed by
analysis of the standard samples SeronormTM Whole Blood L1, L2, L3 and SeronormTM
Urine.
PMID- 26539869
TI - [Experimental modeling of nucleoprotein disposal disorders in systemic lupus
erythematosus].
AB - The objective of this research was to adapt the experimental model simulating the
nucleoprotein disposal disorders in systemic lupus erythematosus (SLE) for
further study of its extracorporeal correction, as well as to assess validity of
the model by short-term experiment. Twenty to female Wistar rats were
intraperitoneally injected with the chromatin-containing extract from bovine
liver followed by intravenous administration of anti-DNA antibodies derived from
SLE patients. After these procedures plasma concentrations of anti-dsDNA,
circulating immune complexes and DNA became sharply increased, together with
distinct elevation of leukocytes. On the contrary, changes in erythrocytes,
platelets, total protein concentration, creatinine, asparagine and alanine
aminotransferase activities, as well as blood coagulation time were changed
insignificantly. Using direct immunofluorescence of cryosections, we detected
human IgG deposition in rat kidneys treated in accordance with the simulation
protocol. Thus, our model reproduces essential DNA disposal disorders in SLE
without any animal death or the life-threatening changes in examined markers
during short-term experiment.
PMID- 26539870
TI - [Extracorporeal treatment of nucleoprotein disposal disorders using the systemic
lupus erythematosus model: evaluation of efficacy and safety in a short-term
simulated experiment].
AB - Efficacy and safety of the extracorporeal blood perfusion through DNase I- and
C1q-containing magnetic beads have been evaluated using the experimental model
simulating the nucleoprotein disposal disorders in systemic lupus erythematosus
(SLE). The study was performed using 20 rats in which the essential impairments
of nucleoprotein catabolism typical for SLE were modeled. The animals were
randomized into the experimental group and the placebo perfusion control group.
Rats of the experimental group were characterized by the statistically
significant reduction of increased levels of circulating immune complexes and
plasma DNA as well as diminished levels of plasma creatinine and kidney IgG
deposition as compared with placebo controls. During short-term experiment there
were neither animal deaths nor substantial blood cell destruction and
hepatotoxicity signs.
PMID- 26539871
TI - [Determination of glutamic acid in biological material by capillary
electrophoresis].
AB - The conditions for the identification and determination of Glutamic acid by
capillary zone electrophoresis without their preliminary derivatization have been
optimized. The effect of concentration of buffer electrolyte and pH on
determination of Glutamic acid has been investigated. It is shown that the 5 Mm
borate buffer concentration and a pH 9.15 are optimal. Quantitative determination
of glutamic acid has been carried out using a linear dependence between the
concentration of the analyte and the area of the peak. The accuracy and
reproducibility of the determination are confirmed by the method "introduced -
found". Glutamic acid has been determined in the placenta homogenate. The
duration of analysis doesn't exceed 30 minutes. The results showed a decrease in
the level of glutamic acid in cases of pregnancy complicated by placental
insufficiency compared with the physiological, and this fact allows to consider
the level of glutamic acid as a possible marker of complicated pregnancy.
PMID- 26539872
TI - [Influence of gravity discharge on the content of isatin-binding proteins in
mice: results of ground-based and space research under the program Bion-M No1].
AB - Isatin-binding activity of mice liver proteins has been investigated in the
samples from the control and flight groups by using the methods of biosensor and
proteomic analysis. It was found the higher isatin-binding activity in mice of
flight group. The content of a number of individual isatin-binding proteins in
the samples of the flight groups differ slightly from the ground control.
However, in samples from animals which have weekly post-flight adaptation, the
level of certain proteins was significantly increased. The latter allows us to
assume that the main events in the proteome of mice (at least in subproteome of
isatin-binding proteins), occurs in early post-flight period.
PMID- 26539873
TI - [Pharmacokinetic and analgesic properties of the injectable dosage form of a new
imidazobenzimidazole derivative RU-1205 with kappa agonist activity].
AB - Pharmacokinetic properties of imidazobenzimidazole derivative compound RU-1205
were investigated after subcutaneous administration to rabbits as a substance and
a dosage form (lyophilisates for injection) at a dose of 25 mg/kg. The
lyophilisate was characterized by high values of the relative bioavailability. In
tests, the "hot plate" and "vinegar cramps" the dosage form and the substance
exhibited the same analgesic effect.
PMID- 26539874
TI - [Effect of melaxen on free radical processes intensity and some antioxidant
enzymes activity in rats liver and blood serum under type 2 diabetes mellitus].
AB - The effect of melaxen on free radical processes and activity of superoxide
dismutase and catalase in rats with type 2 diabetes mellitus (T2DM) has been
investigated. It was established that melaxen administration to diabetic rats
caused a decrease of the intensity of free radical processes as evidenced a
decrease of the lipid peroxidation primary products content and
biochemiluminescence parameters. The activity of the antioxidant enzymes changed
towards normal values. These effects were probably induced by the correction of
the melatonin level at the result of the melaxen action.
PMID- 26539875
TI - [Recombinant cephalosporin-acid synthesase: optimisation of expression in E.coli
cells, immobilisation and application for biocatalytic cefazolin synthesis].
AB - Cephalosporin acid synthetase (CASA) is responsible for specific to synthesis of
cephalosporin-acids, its expression in Escherichia coli cells is accompanied by
accumulation of unprocessed insoluble precursor. In order to optimize conditions
of recombinant CASA production we have studied the effects of several parameters
of strain cultivation, including growth media composition, temperature, and
inoculation dose. Also plasmids for production of CASA variants with the signal
sequence of Erwinia carotovora L-asparaginase (ansCASA) and "leaderless" CASA
were created in search of more efficient expression constructs. Removal of the N
terminal secretion signal sequence reduced the production of functionally active
CASA more than 10-fold and inhibited strain growth. Insertion of the L
asparaginase signal sequence increased the specific enzyme activity in the
resultant recombinant strain. The ansCASA producing strain was used to develop
the method of immobilization of the recombinant enzyme on an epoxy-activated
macroporous acrylic support. The resultant biocatalyst performed effective
synthesis of cefazolin from 3-[(5-methyl-1,3,4-thiadiazol-2-il)-thiomethyl]-7-
aminocephalosporanic acid (MMTD-7-ACA) and methyl ester of 1(H)-tetrazolilacetic
acid (METzAA), under mild conditions a transformation level of MMTD-7-ACA to
cefazolin of 95% is reached.
PMID- 26539876
TI - [An antitumor osteotropic agent based on tumor necrosis factor].
AB - A novel drug for treatment of bone metastases based on human recombinant tumor
necrosis factor (TNF-alpha) has been designed. The drug is a molecular structure
containing yeast double-stranded ribonucleic acid (dsRNA) covered by the
conjugate of polyanion dextran with TNF-alpha and bisphosphonate, alendronic
acid. The structure is characterized by the combination of substances possessing
antitumor activity (TNF-alpha, dsRNA) and a vector molecule (bisphosphonate)
providing tropism to hydroxyapatite, the main mineral component of the bone
tissue matrix. The conjugation conditions were optimized and the conjugates of
TNF-alpha and alendronic acid with dextran were synthesized. Molecular structures
were obtained by self-assembly, and the resulting complexes were separated by gel
filtration on Sepharose CL-6B. The electrophoretic analysis method revealed
decreased mobility of dsRNA in the complex with the conjugate as compared to the
mobility of the original dsRNA. This confirms formation of the designed
structures. Transmission electron microscopy confirmed the presence of particles
with sizes of 30-40 nm in the drug. Evaluation by the sorption/desorption method
showed a higher affinity of TNF-alpha conjugates to hydroxyapatite as compared to
the original TNF-alpha molecules (from 1.0 to 1.8 mol/L vs. 0.3 mol/L of
potassium phosphate buffer for desorption, respectively).
PMID- 26539877
TI - [Effect of reboxetine on activity of carboxypeptidase E in the nerve tissue of
rats].
AB - Depression is one of the most common mental disorders, but its etiology is not
completely understood. It is assumed that peptidergic system components are
involved in the formation of this pathology. Neuropeptides play an important role
in the regulation of mental and emotional states. Sarboxypeptidase E is a key
enzyme of peptide processing; it regulates neuropeptide levels in the various
structures of the nervous system. We have studied effects of a single dose of
reboxetine on the activity of carboxypeptidase E in various brain regions and the
adrenal glands of rats. The reboxetine injection decreased carboxypeptidase E
activity in the pituitary gland (12 h after injection), in the pituitary gland,
the quadrigeminal bodies, the medulla oblongata, the hypothalamus, the
hippocampus and the amygdala (24 h after injection), in the pituitary gland and
striatum (72 h after injection). The enzyme activity in adrenal glands remained
basically unchanged. Apparently, the decrease of carboxypeptidase E activity may
influence the level of regulatory peptides involved in the pathogenesis of
depression.
PMID- 26539878
TI - Pair housing of Vervets/African Green Monkeys for biomedical research.
AB - Vervets, also known as African green monkeys, are a nonhuman primate species
widely used in biomedical research. However, there are currently few references
available describing techniques and rates of success for pair-housing this
species. We present data from four cohorts of vervets from three different
facilities: (i) the Wake Forest Vervet Research Colony (VRC; n = 72 female pairs,
n= 52 male pairs), (ii) the University of Louisiana at Lafayette-New Iberia
Research Center (UL-NIRC; n = 57 female pairs, n = 54 male pairs), (iii) the
Tulane National Primate Research Center (TNRPC; n = 18 male pairs), and (iv) a
cohort of imported males (n = 18 pairs) at Wake Forest. Compatibility was
measured at 14, 30, and 60 days following introduction. Success rates for pair
housing at 14 days ranged from 96% to 98% for females and 96% to 100% for males
at the VRC and UL-NIRC but were lower in the smaller imported male cohorts
(TNPRC: 50%; WF: 28%). Among the UL-NIRC cohort and VRC male cohort, most of the
pair separations after 14 days were due to reasons unrelated to social
incompatibility. In contrast, a large proportion of TNPRC and imported male pairs
successful at 14 days required separation within 60 days due to incompatibility.
Multiple logistic regressions were performed using cohort, mean age of pair and
weight difference between pair-mates as potential predictors of compatibility at
14 days. All three predicted the 14-day outcome in males but not females. A
separate analysis in the VRC cohort found no evidence that prior familiarity in a
group setting influenced outcomes. Variations in success rates across cohorts may
have been influenced by introduction methodology. Behavioral differences between
vervets and macaques, coupled with our findings, lead us to theorize that the
gradual introduction techniques commonly implemented to pair house macaques may
not be beneficial or suitable for this species. Am. J. Primatol. 79:e22501, 2017.
(c) 2015 Wiley Periodicals, Inc.
PMID- 26539880
TI - Three-Dimensional Quantum Confinement of Charge Carriers in Self-Organized AlGaN
Nanowires: A Viable Route to Electrically Injected Deep Ultraviolet Lasers.
AB - We report on the molecular beam epitaxial growth and structural characterization
of self-organized AlGaN nanowire arrays on Si substrate with high luminescence
efficiency emission in the deep ultraviolet (UV) wavelength range. It is found
that, with increasing Al concentration, atomic-scale compositional modulations
can be realized, leading to three-dimensional quantum confinement of charge
carriers. By further exploiting the Anderson localization of light, we have
demonstrated, for the first time, electrically injected AlGaN lasers in the deep
UV band operating at room temperature. The laser operates at ~289 nm and exhibits
a threshold of 300 A/cm(2), which is significantly smaller compared to the
previously reported electrically injected AlGaN multiple quantum well lasers.
PMID- 26539881
TI - Outcomes One and Two Winters Following Cognitive-Behavioral Therapy or Light
Therapy for Seasonal Affective Disorder.
AB - OBJECTIVE: The central public health challenge for winter seasonal affective
disorder (SAD) is recurrence prevention. Preliminary studies suggest better long
term outcomes following cognitive-behavioral therapy tailored for SAD (CBT-SAD)
than light therapy. The present study is a large, randomized head-to-head
comparison of these treatments on outcomes one and two winters after acute
treatment. METHOD: Community adults with major depression, recurrent with
seasonal pattern (N=177) were followed one and two winters after a randomized
trial of 6 weeks of CBT-SAD (N=88) or light therapy (N=89). Prospective follow-up
visits occurred in January or February of each year, and major depression status
was assessed by telephone in October and December of the first year. The primary
outcome was winter depression recurrence status on the Structured Interview Guide
for the Hamilton Depression Rating Scale-Seasonal Affective Disorder Version
(SIGH-SAD). Other outcomes were depression severity on the SIGH-SAD and the Beck
Depression Inventory-Second Edition (BDI-II), remission status based on severity
cutoff scores, and major depression status from tracking calls. RESULTS: The
treatments did not differ on any outcome during the first year of follow-up. At
the second winter, CBT-SAD was associated with a smaller proportion of SIGH-SAD
recurrences (27.3% compared with 45.6%), less severe symptoms on both measures,
and a larger proportion of remissions defined as a BDI-II score <=8 (68.3%
compared with 44.5%) compared with light therapy. Nonrecurrence at the next
winter was more highly associated with nonrecurrence at the second winter among
CBT-SAD participants (relative risk=5.12) compared with light therapy
participants (relative risk=1.92). CONCLUSIONS: CBT-SAD was superior to light
therapy two winters following acute treatment, suggesting greater durability for
CBT-SAD.
PMID- 26539882
TI - General Formation of M-MoS3 (M = Co, Ni) Hollow Structures with Enhanced
Electrocatalytic Activity for Hydrogen Evolution.
AB - Complex molybdenum-based ternary or multinary sulfides hollow structures are
developed via a fast precipitation process, together with a subsequent annealing
treatment. Benefiting from the merits of high-curvature surfaces with excellent
intrinsic catalytic activity, the obtained unique hollow structures exhibit
enhanced performance as electrocatalysts for hydrogen production in acidic media.
PMID- 26539883
TI - Looking into the Black Box of Synaptic Vesicle Recruitment.
AB - To sustain ongoing synaptic transmission, new transmitter-filled vesicles must be
recruited to empty release sites rapidly. However, in this issue of Neuron,
Midorikawa and Sakaba (2015) show that, before being released, vesicles are
tethered at the membrane for seconds.
PMID- 26539884
TI - Sensory-Motor Circuits: Hox Genes Get in Touch.
AB - Sensory-motor reflex circuits are the basic units from which animal nervous
systems are constructed, yet little is known regarding how connections within
these simple networks are established. In papers in Cell Reports and in this
issue of Neuron, Zheng et al. (2015a, 2015b) demonstrate that coordinate
activities of Hox genes in sensory neurons and interneurons govern connectivity
within touch-reflex circuits in C. elegans.
PMID- 26539879
TI - Phosphoproteomics in the Age of Rapid and Deep Proteome Profiling.
PMID- 26539885
TI - Applying the Brakes: When to Stop Eating.
AB - The nucleus accumbens regulates consummatory behaviors, such as eating. In this
issue of Neuron, O'Connor et al. (2015) identify dopamine receptor 1-expressing
neurons that project to the lateral hypothalamus as mediating rapid control over
feeding behavior.
PMID- 26539886
TI - New Eye-Tracking Techniques May Revolutionize Mental Health Screening.
AB - Visually-guided behavior recruits a network of brain regions so extensive that it
is often affected by neuropsychiatric disorders, producing measurable atypical
oculomotor signatures. Wang et al. (2015) combine eye tracking with computational
attention models to decipher the neurobehavioral signature of autism.
PMID- 26539888
TI - New Views on the Misconstrued: Executioner Caspases and Their Diverse Non
apoptotic Roles.
AB - Initially characterized for their roles in apoptosis, executioner caspases have
emerged as important regulators of an array of cellular activities. This is
especially true in the nervous system, where sublethal caspase activity has been
implicated in axonal pathfinding and branching, axonal degeneration, dendrite
pruning, regeneration, long-term depression, and metaplasticity. Here we examine
the roles of sublethal executioner caspase activity in nervous system development
and maintenance, consider the mechanisms that locally activate and restrain these
potential killers, and discuss how their activity be subverted in
neurodegenerative disease.
PMID- 26539887
TI - The Psychology and Neuroscience of Curiosity.
AB - Curiosity is a basic element of our cognition, but its biological function,
mechanisms, and neural underpinning remain poorly understood. It is nonetheless a
motivator for learning, influential in decision-making, and crucial for healthy
development. One factor limiting our understanding of it is the lack of a widely
agreed upon delineation of what is and is not curiosity. Another factor is the
dearth of standardized laboratory tasks that manipulate curiosity in the lab.
Despite these barriers, recent years have seen a major growth of interest in both
the neuroscience and psychology of curiosity. In this Perspective, we advocate
for the importance of the field, provide a selective overview of its current
state, and describe tasks that are used to study curiosity and information
seeking. We propose that, rather than worry about defining curiosity, it is more
helpful to consider the motivations for information-seeking behavior and to study
it in its ethological context.
PMID- 26539890
TI - Imaging Exocytosis of Single Synaptic Vesicles at a Fast CNS Presynaptic
Terminal.
AB - Synaptic vesicles are tethered to the active zone where they are docked/primed so
that they can fuse rapidly upon Ca(2+) influx. To directly study these steps at a
CNS presynaptic terminal, we used total internal reflection fluorescence (TIRF)
microscopy at the live isolated calyx of Held terminal and measured the movements
of single synaptic vesicle just beneath the plasma membrane. Only a subset of
vesicles within the TIRF field underwent exocytosis. Following exocytosis, new
vesicles (newcomers) approached the membrane and refilled the release sites
slowly with a time constant of several seconds. Uniform elevation of the
intracellular Ca(2+) using flash photolysis elicited an exocytotic burst followed
by the sustained component, representing release of the readily releasable
vesicles and vesicle replenishment, respectively. Surprisingly, newcomers were
not released within a second of high Ca(2+). Instead, already-tethered vesicles
became release-ready and mediated the replenishment. Our results reveal an
important feature of conventional synapses.
PMID- 26539889
TI - Instructing Perisomatic Inhibition by Direct Lineage Reprogramming of Neocortical
Projection Neurons.
AB - During development of the cerebral cortex, local GABAergic interneurons recognize
and pair with excitatory projection neurons to ensure the fine excitatory
inhibitory balance essential for proper circuit function. Whether the class
specific identity of projection neurons has a role in the establishment of
afferent inhibitory synapses is debated. Here, we report that direct in vivo
lineage reprogramming of layer 2/3 (L2/3) callosal projection neurons (CPNs) into
induced corticofugal projection neurons (iCFuPNs) increases inhibitory input onto
the converted neurons to levels similar to that of endogenous CFuPNs normally
found in layer 5 (L5). iCFuPNs recruit increased numbers of inhibitory
perisomatic synapses from parvalbumin (PV)-positive interneurons, with single
cell precision and despite their ectopic location in L2/3. The data show that
individual reprogrammed excitatory projection neurons extrinsically modulate
afferent input by local PV(+) interneurons, suggesting that projection neuron
class-specific identity can actively control the wiring of the cortical
microcircuit.
PMID- 26539892
TI - Hox Genes Promote Neuronal Subtype Diversification through Posterior Induction in
Caenorhabditis elegans.
AB - Although Hox genes specify the differentiation of neuronal subtypes along the
anterior-posterior axis, their mode of action is not entirely understood. Using
two subtypes of the touch receptor neurons (TRNs) in C. elegans, we found that a
"posterior induction" mechanism underlies the Hox control of terminal neuronal
differentiation. The anterior subtype maintains a default TRN state, whereas the
posterior subtype undergoes further morphological and transcriptional
specification induced by the posterior Hox proteins, mainly EGL-5/Abd-B.
Misexpression of the posterior Hox proteins transformed the anterior TRN subtype
toward a posterior identity both morphologically and genetically. The
specification of the posterior subtype requires EGL-5-induced repression of TALE
cofactors, which antagonize EGL-5 functions, and the activation of rfip-1, a
component of recycling endosomes, which mediates Hox activities by promoting
subtype-specific neurite outgrowth. Finally, EGL-5 is required for subtype
specific circuit formation by acting in both the sensory neuron and downstream
interneuron to promote functional connectivity.
PMID- 26539891
TI - Genes that Affect Brain Structure and Function Identified by Rare Variant
Analyses of Mendelian Neurologic Disease.
AB - Development of the human nervous system involves complex interactions among
fundamental cellular processes and requires a multitude of genes, many of which
remain to be associated with human disease. We applied whole exome sequencing to
128 mostly consanguineous families with neurogenetic disorders that often
included brain malformations. Rare variant analyses for both single nucleotide
variant (SNV) and copy number variant (CNV) alleles allowed for identification of
45 novel variants in 43 known disease genes, 41 candidate genes, and CNVs in 10
families, with an overall potential molecular cause identified in >85% of
families studied. Among the candidate genes identified, we found PRUNE, VARS, and
DHX37 in multiple families and homozygous loss-of-function variants in AGBL2,
SLC18A2, SMARCA1, UBQLN1, and CPLX1. Neuroimaging and in silico analysis of
functional and expression proximity between candidate and known disease genes
allowed for further understanding of genetic networks underlying specific types
of brain malformations.
PMID- 26539894
TI - Hippocampal Remapping after Partial Inactivation of the Medial Entorhinal Cortex.
AB - Hippocampal place cells undergo remapping when the environment is changed. The
mechanism of hippocampal remapping remains elusive but spatially modulated cells
in the medial entorhinal cortex (MEC) have been identified as a possible
contributor. Using pharmacogenetic and optogenetic approaches, we tested the role
of MEC cells by examining in mice whether partial inactivation in MEC shifts
hippocampal activity to a different subset of place cells with different
receptive fields. The pharmacologically selective designer Gi-protein-coupled
muscarinic receptor hM4D or the light-responsive microbial proton pump
archaerhodopsin (ArchT) was expressed in MEC, and place cells were recorded after
application of the inert ligand clozapine-N-oxide (CNO) or light at appropriate
wavelengths. CNO or light caused partial inactivation of the MEC. The
inactivation was followed by substantial remapping in the hippocampus, without
disruption of the spatial firing properties of individual neurons. The results
point to MEC input as an element of the mechanism for remapping in place cells.
PMID- 26539895
TI - "Targeting" hepatitis C after liver transplantation.
PMID- 26539893
TI - During Running in Place, Grid Cells Integrate Elapsed Time and Distance Run.
AB - The spatial scale of grid cells may be provided by self-generated motion
information or by external sensory information from environmental cues. To
determine whether grid cell activity reflects distance traveled or elapsed time
independent of external information, we recorded grid cells as animals ran in
place on a treadmill. Grid cell activity was only weakly influenced by location,
but most grid cells and other neurons recorded from the same electrodes strongly
signaled a combination of distance and time, with some signaling only distance or
time. Grid cells were more sharply tuned to time and distance than non-grid
cells. Many grid cells exhibited multiple firing fields during treadmill running,
parallel to the periodic firing fields observed in open fields, suggesting a
common mode of information processing. These observations indicate that, in the
absence of external dynamic cues, grid cells integrate self-generated distance
and time information to encode a representation of experience.
PMID- 26539897
TI - Salivary gland FNA: New markers and new opportunities for improved diagnosis.
PMID- 26539896
TI - Evidence of altered matrix composition in iliac crest biopsies from patients with
idiopathic juvenile osteoporosis.
AB - PURPOSE: Idiopathic juvenile osteoporosis (IJO) is a rare condition in children,
characterized by bone pain and long bone and vertebral fractures. Previously, IJO
bone was solely characterized by histomorphometry and quantitative computed
tomography. The goal of this study is to describe IJO bone composition. MATERIALS
AND METHODS: Fourier transform infrared imaging (FTIRI), a vibrational
spectroscopic technique providing spatially resolved images of chemical
composition, was used to determine whether iliac crest biopsies from children
with IJO differed in composition from and age- and sex-matched controls, and, as
a secondary analysis, whether IJO bone showed the same disease dependent change
in composition as do iliac crest bone biopsies from women with post-menopausal
osteoporosis (PMO). Wilcoxon rank tests and linear regressions were used to
analyze FTIRI variables (mineral-to-matrix ratio, carbonate-to-phosphate ratio,
crystallinity, acid phosphate substitution, collagen maturity) and their
individual pixel distributions (heterogeneity). RESULTS: Mineral-to-matrix ratio
was comparable in IJO and age-matched controls. Contrastingly, collagen maturity
(also known as collagen cross-link ratio) was higher in cortical and cancellous
IJO bone compared with juvenile controls. Acid phosphate substitution was greater
in IJO cancellous bone than in age-matched controls, suggesting IJO bone mineral
is formed more recently, reflecting a slower mineralization process. This agrees
with findings of increased heterogeneity for mineral-to-matrix and collagen
maturity ratios in IJO cancellous bone. There were negative correlations between
cancellous collagen maturity and previously reported histomorphometric bone
formation markers. There were no correlations with indices of remodeling.
CONCLUSIONS: IJO bone, similar to PMO bone, had elevated collagen maturity
relative to its age-matched controls. This emphasizes the importance of the
collagen matrix for bone health. IJO bone differed from PMO bone as IJO bone
contains more recently formed mineral than age-matched controls but has a more
mature matrix, whereas in PMO bone both mineral and matrix have older
characteristics.
PMID- 26539898
TI - Two new triterpenoids from Gypsophila oldhamiana.
AB - Two new triterpenoids (1-2) were isolated and elucidated from the roots of
Gypsophila oldhamiana, together with four known triterpenoids (3-6). Their
structures were identified to be 3beta-hydroxyolean-13(18)-ene-23, 28-dioic acid
(1), 3beta, 12alpha-dihydroxy-23-carboxyolean-28, 13beta-olide (2), 3beta,
16alpha-dihydroxy-23-oxoolean-13(18)-en-28-oic acid (3), gypsogenin (4), quillaic
acid (5) and gypsogenic acid (6) by spectral methods. All compounds were tested
for their cytotoxicities against human tumour cell lines (lung cancer H460 and
gastric cancer SGC-7901) and for their antiangiogenic effects using a zebra fish
model. All compounds showed interesting antiangiogenic activities and the
significant cytotoxicities against H460.
PMID- 26539899
TI - Condition-specific associations of symptoms of depression and anxiety in
adolescents and young adults with asthma and food allergy.
AB - OBJECTIVE: This study examined associations of asthma and food allergy with
symptoms of depression and anxiety at 14 and 21 years of age to determine whether
condition-specific associations exist. METHODS: Data come from 4972 adolescents
in the Mater University Study of Pregnancy. Symptoms of depression and anxiety
were assessed using the Youth Self-Report and Young Adult Self-Report. RESULTS:
Condition-specific associations between asthma and depression, OR = 1.37 [1.12,
1.67] and between food allergy and anxiety, OR = 1.26 [1.04, 1.76] were found
during adolescence, but not in young adulthood. Whereas asthma was associated
with resolved depression, OR = 1.70 [1.13, 2.55], food allergy was associated
with persistent anxiety, OR = 1.26 [1.01, 1.59]. CONCLUSIONS: In adolescents,
asthma is associated with an increased risk of clinically relevant symptoms of
depression and food allergy with an increased risk of clinically relevant
symptoms of anxiety. Future research is needed to clarify directionality and
mechanisms explaining these relationships. Health professionals should be aware
of the increased risk of mental health problems in adolescents with asthma or
food allergy.
PMID- 26539900
TI - A Route for Phase Control in Metal Nanoparticles: A Potential Strategy to Create
Advanced Materials.
AB - There is untapped potential for materials whose crystal structures are
unobtainable in the bulk state. Several examples of such structures have been
found in nanomaterials, and these materials exhibit unique properties that arise
from their unique electronic states and surface structures. Here, recent
developments in the syntheses of these nanomaterials and their unique properties,
such as hydrogen-storage ability and catalytic activity, are summarized. Firstly,
the syntheses and properties of novel solid-solution alloy nanoparticles in
immiscible alloy systems such as Ag-Rh and Pd-Ru are introduced. Following this,
the crystal structure control of nanoscale Ru is discussed. These unique alloy
materials show enhanced properties and highlight the potential of phase control
to be a new strategy for nanomaterial development.
PMID- 26539901
TI - The complete mitochondrial genome sequence of the ascomycete plant pathogen
Colletotrichum acutatum.
AB - Collectotrichum acutatum is a fungal plant pathogen that causes pre- and post
harvest anthracnose on a wide range of plants worldwide. The complete
mitochondrial genome of C. acutatum has been determined for the first time. This
study revealed that the mitogenome of C. acutatum is a closed circular molecule
of 30 892 bp in length, with a G + C content of 34.7%, which include 15 protein
coding genes, 22 tRNA genes, and two rRNA genes. All the protein-coding genes,
accounting for 46.6% of the C. acutatum mitogenome, start with the standard ATG
codon and end with the TAA termination codon except for nad6 gene using the TAG
termination codon. The mitogenome information of C. acutatum can provide
molecular basis for further studies on molecular systematics and evolutionary
dynamics.
PMID- 26539902
TI - Growth of Staphylococcus aureus in Cooked Potato and Potato Salad--A One-Step
Kinetic Analysis.
AB - Staphylococcus aureus is a Gram-positive spherically-shaped bacterium capable of
producing heat-stable enterotoxins that cause acute gastrointestinal diseases.
The growth of this pathogen in food is a major threat to public health worldwide.
Potato salad is a frequent vehicle for infection and food poisoning caused by S.
aureus. Therefore, the objective of this study was to investigate the growth
kinetics of S. aureus in cooked potato and potato salad. Samples of potato cubes
and potato salad inoculated with S. aureus were incubated at temperatures between
8 and 43 degrees C to observe its growth for developing growth models. No growth
was observed at 8 degrees C. The experimental results showed that the growth
curves did not exhibit lag phases, and can be described by a 3-parameter logistic
model. A one-step kinetic analysis approach was used to simultaneously analyze
all growth curves by direct construction of both the primary and secondary
(Ratkowsky square root) models using nonlinear regression to minimize the global
residual error. The estimated nominal minimum growth temperature of S. aureus was
6.12 degrees C in potato cubes and 8.80 degrees C in potato salad. The
estimated maximum growth temperatures of S. aureus in potato cubes and potato
salad were very close to each other (46.3 and 46.8 degrees C, respectively). On
the average, the specific growth rates of S. aureus in potato cubes were
approximately 70% higher than those in potato salad. This study suggests that
cooked potato and potato salad should be stored below 6 degrees C or above 47
degrees C to prevent the growth of S. aureus. The mathematical models and kinetic
parameters can be used to accurately evaluate the effect of temperature abuse on
the growth of S. aureus and conduct risk assessments of S. aureus in cooked
potato and potato salad.
PMID- 26539903
TI - Factors Associated With Increased Risk of Clubfoot: A Norwegian National Cohort
Analysis.
AB - BACKGROUND: Previous studies investigating the causes of clubfoot have shown
conflicting results, potentially because of retrospective study designs or
incomplete assessment of causative factors. The study aim was to examine risk
factors for clubfoot in a large prospective Norwegian cohort. METHODS: Exposures
prior and during pregnancy were identified through the Norwegian Mother and Child
Cohort Study (MoBa) conducted by the Norwegian Institute of Public Health. This
was linked to the Norwegian Medical Birth Registry, which provided clubfoot
diagnosis through ICD-10 code Q66.0. Logistic regression analysis investigated
associations between potential risk factors and development of clubfoot. RESULTS:
A total of 121 clubfoot cases were identified; 1.1 per 1000 births. Parental
diagnosis of clubfoot [odds ratio (OR): 31.5; 95% confidence interval (CI):9.61
103.3] and cigarette smoking, both in the three months prior to pregnancy
(OR:1.82; 95%CI:1.05-3.18) and the first trimester (OR:2.67; 95% CI:1.28-5.55)
were associated with clubfoot. Infants with clubfoot had greater solvent exposure
(OR:1.66; 95% CI:1.00-2.76). Oligohydramnios, parental age, parental education,
parity, maternal anxiety or depression, alcohol use, season of birth did not have
statistically significant associations. CONCLUSIONS: In addition to parental
diagnosis of clubfoot, results confirm the previously reported association
between clubfoot and smoking, and counter previous evidence supporting season of
birth, parental education, and other risk factors. Further studies are needed to
investigate solvent exposure as a risk factor for clubfoot. Exposure to smoke and
solvents can be controlled; this study highlights the importance of public health
initiatives to limit these exposures both during pregnancy and in those
considering conceiving in the future.
PMID- 26539904
TI - Wernicke Encephalopathy and Sleeve Gastrectomy: A Case Report and Literature
Review.
AB - As the number of obese patients increases, as will the number of bariatric
procedures. Malabsorptive bariatric procedures have emerged as one of common
causes of Wernicke encephalopathy (WE), an acute neuropsychiatric disorder due to
thiamine deficiency. However, restrictive procedures such as sleeve gastrectomy
(SG) are less prone to cause nutrient deficiencies. WE occurred after SG is an
uncommon complication because the main absorptive sites for thiamine are intact
after SG. Here, we report a case of WE after SG. With rapid increase in the use
of SG for morbid obesity, this case deserves particular attention from
clinicians.
PMID- 26539905
TI - Breastfed Infants Exposed to Combined Antipsychotics: Two Case Reports.
AB - Manic episodes of bipolar disorder and psychotic exacerbations of schizophrenia,
for which the antipsychotic drugs are most commonly prescribed, are frequently
seen in the postpartum period. Despite the existence of single use of
antipsychotics, data on safety of combined antipsychotics on the breastfed
infants are limited. This report presents the clinical outcome of 2 infants
exposed to combined antipsychotic during the lactation period.
PMID- 26539906
TI - Drug-Induced Subacute Cutaneous Lupus Erythematosus in a Patient Receiving
Therapy for Chronic Hepatitis C.
AB - Hepatitis C infection and its treatment have been associated with extrahepatic
manifestations, including different skin conditions. Over the past decades, a
greater number of drugs have been implicated as triggers for drug-induced
subacute cutaneous lupus erythematosus. We report a case of a 42-year-old
Hispanic man who developed a forehead violaceous rash during treatment with
pegylated interferon alpha-2a as part of his therapy against hepatitis C
infection that subsequently resulted to be subacute cutaneous lupus
erythematosus. The skin lesion improved with discontinuation of medication and
some topic therapy.
PMID- 26539907
TI - Zolpidem Overdose: A Medical and Ethical Dilemma.
AB - Acute altered mental status can be caused by a broad range of etiologies,
including cerebrovascular, neurologic, traumatic, metabolic, infectious,
psychiatric, medications, etc. We present a case of a 53-year-old healthcare
professional with an acute altered mental status after a trip to Africa. The
patient was extensively worked up for infectious, cardiovascular, and neurologic
etiologies, and all results were within normal limits. Further history revealed
an overdose of a self-medicated hypnotic (zolpidem) for insomnia. The patient was
conservatively managed and discharged on trazadone for insomnia.
PMID- 26539908
TI - Perspectives on the Guidelines of the American Thyroid Association.
PMID- 26539910
TI - Cracking the code of neuronal apoptosis and survival.
AB - Neuronal apoptosis and survival are tightly controlled processes that regulate
cell fate during the development of the central nervous system and its
homeostasis throughout adulthood. A new study in primary cultures of cerebellar
granule neurons identified common transcriptional cascades during rescue from
apoptosis by insulin-like growth factor-1 (Igf1) and pituitary adenylyl cyclase
activating polypeptide (Pacap), thus suggesting the existence of a high degree of
conservation of cell survival pathways.
PMID- 26539909
TI - Downregulation of miR-320a/383-sponge-like long non-coding RNA NLC1-C (narcolepsy
candidate-region 1 genes) is associated with male infertility and promotes
testicular embryonal carcinoma cell proliferation.
AB - Long non-coding RNAs (lncRNAs), which are extensively transcribed from the
genome, have been proposed to be key regulators of diverse biological processes.
However, little is known about the role of lncRNAs in regulating spermatogenesis
in human males. Here, using microarray technology, we show altered expression of
lncRNAs in the testes of infertile men with maturation arrest (MA) or
hypospermatogenesis (Hypo), with 757 and 2370 differentially down-regulated and
475 and 163 up-regulated lncRNAs in MA and Hypo, respectively. These findings
were confirmed by quantitative real-time PCR (qRT-PCR) assays on select lncRNAs,
including HOTTIP, imsrna320, imsrna292 and NLC1-C (narcolepsy candidate-region 1
genes). Interestingly, NLC1-C, also known as long intergenic non-protein-coding
RNA162 (LINC00162), was down-regulated in the cytoplasm and accumulated in the
nucleus of spermatogonia and primary spermatocytes in the testes of infertile men
with mixed patterns of MA compared with normal control. The accumulation of NLC1
C in the nucleus repressed miR-320a and miR-383 transcript and promoted
testicular embryonal carcinoma cell proliferation by binding to Nucleolin. Here,
we define a novel mechanism by which lncRNAs modulate miRNA expression at the
transcriptional level by binding to RNA-binding proteins to regulate human
spermatogenesis.
PMID- 26539911
TI - Transcriptional repression of cancer stem cell marker CD133 by tumor suppressor
p53.
AB - Novel therapeutic strategies are needed to overcome cancer recurrence,
metastasis, and resistance to chemo- and radiotherapy. Cancer stem cells (CSCs)
are major contributors to the malignant transformation of cells due to their
capacity for self-renewal. Although various CSC markers have been identified in
several types of tumors, they are primarily used as cancer-prediction markers and
for the isolation of CSC populations. CD133, one of the best-characterized CSC
markers in distinct solid tumor types, was shown to be correlated with CSC tumor
initiating capacity; however, the regulation of CD133 expression and its function
in cancer are poorly understood. Here, we show that CD133 expression is
negatively regulated by direct binding of the p53 tumor suppressor protein to a
noncanonical p53-binding sequence in the CD133 promoter. Binding of p53 recruits
Histone Deacetylase 1 (HDAC1) to the CD133 promoter and subsequently suppresses
CD133 expression by reducing histone H3 acetylation. Furthermore, CD133 depletion
suppresses tumor cell proliferation, colony formation, and the expression of core
stemness transcription factors including NANOG, octamer-binding transcription
factor 4 (OCT4), SOX2, and c-MYC. Critically, the anti-proliferative effects of
p53 are antagonized by rescue of CD133 expression in a p53 overexpressing cell
line, indicating that the tumor suppressive activity of p53 might be mediated by
CD133 suppression. Taken together, our results suggest that p53-mediated
transcriptional regulation of CD133 is a key underlying mechanism for controlling
the growth and tumor-initiating capacity of CSCs and provide a novel perspective
on targeting CSCs for cancer therapy.
PMID- 26539912
TI - L-Stepholidine rescues memory deficit and synaptic plasticity in models of
Alzheimer's disease via activating dopamine D1 receptor/PKA signaling pathway.
AB - It is accepted that amyloid beta-derived diffusible ligands (ADDLs) have a
prominent role in triggering the early cognitive deficits that constitute
Alzheimer's disease (AD). However, there is still no effective treatment for
preventing or reversing the progression of the disease. Targeting alpha-amino-3
hydroxy-5-methylisoxazole-4-propionic acid (AMPA) receptor trafficking and its
regulation is a new strategy for AD early treatment. Here we investigate the
effect and mechanism of L-Stepholidine (L-SPD), which elicits dopamine D1-type
receptor agonistic activity, while acting as D2-type receptor antagonist on
cognition and synaptic plasticity in amyloid precursor protein (APP) and
presenilin 1 (PS1) double-transgenic (APP/PS1) mice, and hippocampal cultures or
slices treated with ADDLs. L-SPD could improve the hippocampus-dependent memory,
surface expression of glutamate receptor A (GluA1)-containing AMPA receptors and
spine density in hippocampus of APP/PS1 transgenic mice. L-SPD not only rescued
decreased phosphorylation and surface expression of GluA1 in hippocampal cultures
but also protected the long-term potentiation in hippocampal slices induced by
ADDLs. Protein kinase A (PKA) agonist Sp-cAMPS or D1-type receptor agonist
SKF81297 had similar effects, whereas PKA antagonist Rp-cAMPS or D1-type receptor
antagonist SCH23390 abolished the effect of L-SPD on GluA1 trafficking. This was
mediated mainly by PKA, which could phosphorylate serine residue at 845 of the
GluA1. L-SPD may be explored as a potential therapeutic drug for AD through a
mechanism that improves AMPA receptor trafficking and synaptic plasticity via
activating D1/PKA signaling pathway.
PMID- 26539913
TI - The point mutation UCH-L1 C152A protects primary neurons against cyclopentenone
prostaglandin-induced cytotoxicity: implications for post-ischemic neuronal
injury.
AB - Cyclopentenone prostaglandins (CyPGs), such as 15-deoxy-Delta(12,14)
prostaglandin J2 (15dPGJ2), are reactive prostaglandin metabolites exerting a
variety of biological effects. CyPGs are produced in ischemic brain and disrupt
the ubiquitin-proteasome system (UPS). Ubiquitin-C-terminal hydrolase L1 (UCH-L1)
is a brain-specific deubiquitinating enzyme that has been linked to
neurodegenerative diseases. Using tandem mass spectrometry (MS) analyses, we
found that the C152 site of UCH-L1 is adducted by CyPGs. Mutation of C152 to
alanine (C152A) inhibited CyPG modification and conserved recombinant UCH-L1
protein hydrolase activity after 15dPGJ2 treatment. A knock-in (KI) mouse
expressing the UCH-L1 C152A mutation was constructed with the bacterial
artificial chromosome (BAC) technique. Brain expression and distribution of UCH
L1 in the KI mouse was similar to that of wild type (WT) as determined by western
blotting. Primary cortical neurons derived from KI mice were resistant to 15dPGJ2
cytotoxicity compared with neurons from WT mice as detected by the WST-1 cell
viability assay and caspase-3 and poly ADP ribose polymerase (PARP) cleavage.
This protective effect was accompanied with significantly less ubiquitinated
protein accumulation and aggregation as well as less UCH-L1 aggregation in C152A
KI primary neurons after 15dPGJ2 treatment. Additionally, 15dPGJ2-induced axonal
injury was also significantly attenuated in KI neurons as compared with WT. Taken
together, these studies indicate that UCH-L1 function is important in hypoxic
neuronal death, and the C152 site of UCH-L1 has a significant role in neuronal
survival after hypoxic/ischemic injury.
PMID- 26539915
TI - The esoteric roles of Bcl-2 family proteins in glucose homeostasis and cell
survival.
PMID- 26539914
TI - Targeting caspase-6 and caspase-8 to promote neuronal survival following ischemic
stroke.
AB - Previous studies show that caspase-6 and caspase-8 are involved in neuronal
apoptosis and regenerative failure after trauma of the adult central nervous
system (CNS). In this study, we evaluated whether caspase-6 or -8 inhibitors can
reduce cerebral or retinal injury after ischemia. Cerebral infarct volume,
relative to appropriate controls, was significantly reduced in groups treated
with caspase-6 or -8 inhibitors. Concomitantly, these treatments also reduced
neurological deficits, reduced edema, increased cell proliferation, and increased
neurofilament levels in the injured cerebrum. Caspase-6 and -8 inhibitors, or
siRNAs, also increased retinal ganglion cell survival at 14 days after ischemic
injury. Caspase-6 or -8 inhibition also decreased caspase-3, -6, and caspase-8
cleavage when assayed by western blot and reduced caspase-3 and -6 activities in
colorimetric assays. We have shown that caspase-6 or caspase-8 inhibition
decreases the neuropathological consequences of cerebral or retinal infarction,
thereby emphasizing their importance in ischemic neuronal degeneration. As such,
caspase-6 and -8 are potential targets for future therapies aimed at attenuating
the devastating functional losses that result from retinal or cerebral stroke.
PMID- 26539918
TI - Portable Monitoring for the Diagnosis of OSA.
AB - In-laboratory, attended polysomnography has long been the gold standard for the
diagnosis of sleep-disordered breathing (SDB). In recent years, economic
pressures and long wait times have driven interest in home sleep testing, which
has, in turn, led to the development of algorithms that bypass the sleep
laboratory in favor of portable monitoring studies and in-home initiation of
positive airway pressure therapy. For appropriately selected outpatients,
evidence is accumulating that portable monitors are a reasonable substitute for
in-laboratory polysomnography. In the inpatient setting, in which SDB is both
highly prevalent and associated with adverse outcomes in certain populations, the
literature is evolving on the use of portable monitors to expedite diagnosis and
treatment of SDB. This review discusses society guidelines and recent research in
the growing field of portable monitoring.
PMID- 26539916
TI - Oxidative phosphorylation-dependent regulation of cancer cell apoptosis in
response to anticancer agents.
AB - Cancer cells tend to develop resistance to various types of anticancer agents,
whether they adopt similar or distinct mechanisms to evade cell death in response
to a broad spectrum of cancer therapeutics is not fully defined. Current study
concludes that DNA-damaging agents (etoposide and doxorubicin), ER stressor
(thapsigargin), and histone deacetylase inhibitor (apicidin) target oxidative
phosphorylation (OXPHOS) for apoptosis induction, whereas other anticancer agents
including staurosporine, taxol, and sorafenib induce apoptosis in an OXPHOS
independent manner. DNA-damaging agents promoted mitochondrial biogenesis
accompanied by increased accumulation of cellular and mitochondrial ROS,
mitochondrial protein-folding machinery, and mitochondrial unfolded protein
response. Induction of mitochondrial biogenesis occurred in a caspase activation
independent mechanism but was reduced by autophagy inhibition and p53-deficiency.
Abrogation of complex-I blocked DNA-damage-induced caspase activation and
apoptosis, whereas inhibition of complex-II or a combined deficiency of OXPHOS
complexes I, III, IV, and V due to impaired mitochondrial protein synthesis did
not modulate caspase activity. Mechanistic analysis revealed that inhibition of
caspase activation in response to anticancer agents associates with decreased
release of mitochondrial cytochrome c in complex-I-deficient cells compared with
wild type (WT) cells. Gross OXPHOS deficiencies promoted increased release of
apoptosis-inducing factor from mitochondria compared with WT or complex-I
deficient cells, suggesting that cells harboring defective OXPHOS trigger caspase
dependent as well as caspase-independent apoptosis in response to anticancer
agents. Interestingly, DNA-damaging agent doxorubicin showed strong binding to
mitochondria, which was disrupted by complex-I-deficiency but not by complex-II
deficiency. Thapsigargin-induced caspase activation was reduced upon abrogation
of complex-I or gross OXPHOS deficiency whereas a reverse trend was observed with
apicidin. Together, these finding provide a new strategy for differential
mitochondrial targeting in cancer therapy.
PMID- 26539917
TI - Mahogunin regulates fusion between amphisomes/MVBs and lysosomes via
ubiquitination of TSG101.
AB - Aberrant metabolic forms of the prion protein (PrP), membrane-associated (Ctm)PrP
and cytosolic (cyPrP) interact with the cytosolic ubiquitin E3 ligase, Mahogunin
Ring Finger-1 (MGRN1) and affect lysosomes. MGRN1 also interacts with and
ubiquitinates TSG101, an ESCRT-I protein, involved in endocytosis. We report that
MGRN1 modulates macroautophagy. In cultured cells, functional depletion of MGRN1
or overexpression of (Ctm)PrP and cyPrP blocks autophagosome-lysosome fusion,
alleviates the autophagic flux and its degradative competence. Concurrently, the
degradation of cargo from the endo-lysosomal pathway is also affected. This is
significant because catalytic inactivation of MGRN1 alleviates fusion of
lysosomes with either autophagosomes (via amphisomes) or late endosomes (either
direct or mediated through amphisomes), without drastically perturbing maturation
of late endosomes, generation of amphisomes or lysosomal proteolytic activity.
The compromised lysosomal fusion events are rescued by overexpression of TSG101
and/or its monoubiquitination in the presence of MGRN1. Thus, for the first time
we elucidate that MGRN1 simultaneously modulates both autophagy and heterophagy
via ubiquitin-mediated post-translational modification of TSG101.
PMID- 26539919
TI - Improving acute kidney injury diagnostics using predictive analytics.
AB - PURPOSE OF REVIEW: Acute kidney injury (AKI) is a multifactorial syndrome
affecting an alarming proportion of hospitalized patients. Although early
recognition may expedite management, the ability to identify patients at-risk and
those suffering real-time injury is inconsistent. The review will summarize the
recent reports describing advancements in the area of AKI epidemiology,
specifically focusing on risk scoring and predictive analytics. RECENT FINDINGS:
In the critical care population, the primary underlying factors limiting
prediction models include an inability to properly account for patient
heterogeneity and underperforming metrics used to assess kidney function.
Severity of illness scores demonstrate limited AKI predictive performance. Recent
evidence suggests traditional methods for detecting AKI may be leveraged and
ultimately replaced by newer, more sophisticated analytical tools capable of
prediction and identification: risk stratification, novel AKI biomarkers, and
clinical information systems. Additionally, the utility of novel biomarkers may
be optimized through targeting using patient context, and may provide more
granular information about the injury phenotype. Finally, manipulation of the
electronic health record allows for real-time recognition of injury. SUMMARY:
Integrating a high-functioning clinical information system with risk
stratification methodology and novel biomarker yields a predictive analytic model
for AKI diagnostics.
PMID- 26539920
TI - Recent developments in electronic alerts for acute kidney injury.
AB - PURPOSE OF REVIEW: Efforts to improve outcomes from acute kidney injury (AKI)
have focussed on timely diagnosis and effective delivery of basic patient care.
Electronic alerts (e-alerts) for AKI have attracted interest as a tool to
facilitate this. Initial feasibility has already been demonstrated; this review
will discuss recent advances in alert methodology, implementation beyond single
centres and reported effect on outcomes. RECENT FINDINGS: On-going descriptions
of e-alerts highlight increasing variation in both detection algorithms and alert
processes. In England, this is being addressed by national rollout of a
standardized detection algorithm; recent data have shown this to have good
diagnostic performance. In critical care, fully automated detection systems
incorporating both serum creatinine and urine output criteria have been
developed. A recent randomized trial of e-alerts has also been reported, in which
isolated use of a text message e-alert did not affect either clinician behaviour
or patient outcome. SUMMARY: As e-alerts gain popularity, consideration must be
given to both the method of AKI detection and the method by which results are
communicated to end-users; these aspects influence the degree of these systems'
effectiveness. This approach should be coupled to further work to study the
effect on patient outcomes of those interventions that have been demonstrated to
influence clinician behaviour.
PMID- 26539921
TI - Automated/integrated real-time clinical decision support in acute kidney injury.
AB - PURPOSE OF REVIEW: Health information technology advancements have resulted in
recent increased sophistication of the electronic health record, whereby patient
demographic, physiological, and laboratory data can be extracted real-time and
integrated into clinical decision support (CDS). RECENT FINDINGS: The
implementation of health information technology advancements into CDS in the
renal realm has been focused mainly on assessment of kidney function to guide
medication dosing in the setting of reduced function or to reactively detect
acute kidney injury (AKI) heralded by an abrupt increase in serum creatinine.
More recent work has combined risk stratification algorithms to guide proactive
diagnostic or therapeutic intervention to prevent AKI or reduce its severity.
SUMMARY: Early, real-time identification and notification to healthcare providers
of patients at risk for, or with, acute or chronic kidney disease can drive
simple interventions to reduce harm. Similarly, screening patients at risk for
AKI with these platforms to alert research personnel will lead to improve study
subject recruitment. However, sole reliance on electronic health record generated
alerts without active healthcare team integration and assessment represents a
major barrier to the realization of the potential of CDS to improve healthcare
quality and outcomes.
PMID- 26539922
TI - Quality measures for acute kidney injury and continuous renal replacement
therapy.
AB - PURPOSE OF REVIEW: Quality and safety are important priorities in the care of
critically ill patients. For patients with acute kidney injury (AKI) or for those
receiving continuous renal replacement therapy (CRRT), measures and outcomes
associated with quality of care have been suboptimally developed and evaluated.
The review is timely as it summarizes current quality practices in AKI and CRRT,
and presents ongoing and future developments. RECENT FINDINGS: The review begins
with the history of quality and safety in healthcare. We then discuss the current
quality of care offered in AKI and CRRT. Quality measure development methodology,
such as plan-do-study-act and the focus-analyze-describe-execute models and lean
thinking are then presented and discussed. Finally, recent evidence for quality
in AKI and CRRT care, including proposed quality measures, are discussed.
SUMMARY: Few studies have examined the quality of care provided to patients with
AKI and CRRT. Evidence suggests opportunities to improve the quality of care
received by patients at risk of or who have developed AKI. Priorities for
improving quality of care exist across several important themes including risk
identification, diagnosis, monitoring, investigation, and strategies for
management. Similarly, evidence-informed quality measures of CRRT care have not
been rigorously evaluated. These are important knowledge-to-care gaps that
require further investigation.
PMID- 26539923
TI - Improving outcomes of acute kidney injury survivors.
AB - PURPOSE OF REVIEW: Acute kidney injury (AKI) is a common problem in critically
ill patients, with long-term health implications that extend beyond hospital
discharge. Though they are at a high risk of adverse events, AKI survivors may
not be receiving adequate postdischarge medical attention. This review discusses
recently published data regarding health outcomes after AKI, the current state of
post-AKI care, and potential opportunities to improve outpatient care after AKI.
RECENT FINDINGS: In addition to predisposing to de-novo chronic kidney disease or
an exacerbation of previously existing chronic kidney disease, a prior episode of
AKI has been linked to subsequent cardiac events, cerebrovascular events, and the
need for hospital readmission. Despite this, a population-wide study in Ontario
showed that only 40% of patients surviving an episode of dialysis-requiring AKI
visited a nephrologist within 90 days of hospital discharge. This care gap is
important since outpatient contact with a nephrologist during this critical
period was associated with enhanced survival. SUMMARY: AKI is associated with a
number of long-term health effects, and new strategies may be needed to address
this emerging public health issue. An ambulatory program dedicated to the
postdischarge care of AKI survivors may confer a variety of benefits. Future
research is needed to evaluate this model of care.
PMID- 26539924
TI - Traumatic brain injury advancements.
AB - PURPOSE OF REVIEW: Traumatic brain injury (TBI) remains the leading cause of
morbidity and mortality in the United States. Over the last decade, several
advancements have been made in the field of TBI all aimed at improving outcomes.
RECENT FINDINGS: Advancements in the management of TBI have been made possible
through improved understanding of basic pathophysiology associated with this
condition. The aim of this review is to briefly highlight the underlying
pathophysiology of TBI and the most recent advancements and novel strategies
being used in its treatment. We also briefly discuss coagulopathy of TBI,
clinical management of TBI and how it has evolved recently. SUMMARY: The
mortality associated with TBI continues to remain high and several novel
strategies have emerged as potential candidates for the treatment of secondary
brain injury. The clinical management of TBI and associated coagulopathy has
evolved allowing for a more tailored approach toward its management.
PMID- 26539925
TI - Geriatric trauma.
AB - PURPOSE OF REVIEW: The landscape of trauma is changing due to an aging
population. Geriatric patients represent an increasing number and proportion of
trauma admissions and deaths. This review explores recent literature on geriatric
trauma, including triage criteria, assessment of frailty, fall-related injury,
treatment of head injury complicated by coagulopathy, goals of care, and the need
for ongoing education of all surgeons in the care of the elderly. RECENT
FINDINGS: Early identification of high-risk geriatric patients is imperative to
initiate early resuscitative efforts. Geriatric patients are typically
undertriaged because of their baseline frailty being underappreciated; however,
centers that see more geriatric patients do better. Rapid reversal of
anticoagulation is important in preventing progression of brain injury.
Anticipation of difficult disposition necessitates early involvement of physical
therapy for rehabilitation and case management for appropriate placement.
SUMMARY: Optimal care of geriatric trauma patients will be based on the well
established tenets of trauma resuscitation and injury repair, but with distinct
elements that address the physiological and anatomical challenges presented by
geriatric patients.
PMID- 26539926
TI - Nutritional controversies in critical care: revisiting enteral glutamine during
critical illness and injury.
AB - PURPOSE OF REVIEW: This article examines some of the articles that inspired
recent changes to critical care guidelines related to glutamine in enteral
nutrition. RECENT FINDINGS: Two recent multicenter randomized controlled trials
involving enteral glutamine have reported increased mortality rates in groups of
mechanically ventilated adult patients, while demonstrating no additional
benefits to other outcomes, such as nosocomial infections. SUMMARY: Recent
studies suggest that enteral glutamine supplementation may not provide
significant clinical benefits to adult patients on mechanical ventilation with
multiple organ failure, but more information is still needed when attempting to
apply these results to other groups of critical care patients.
PMID- 26539927
TI - Damage control surgery.
AB - PURPOSE OF REVIEW: Damage control surgery (DCS) has become a lifesaving maneuver
for critically injured patients when utilized in appropriate scenarios. Despite
this reality, indications for initiating DCS remain debated. RECENT FINDINGS:
Despite discussion surrounding the appropriate indications for DCS, this series
of fundamental principles includes a rapidly abbreviated operative intervention
aimed at arresting ongoing hemorrhage and containing gastrointestinal
contamination in a patient approaching physiologic exhaustion, which includes
both vascular and nonvascular damage control techniques, in addition to
management of the open abdomen. Patients are then returned to the operating
theater for definitive reconstruction once their physiology has been stabilized
within the ICU. SUMMARY: DCS is lifesaving when applied in appropriate clinical
scenarios involving critically injured patients. Overuse of this technique can
lead to increased patient morbidity and cost however.
PMID- 26539928
TI - Blunt thoracic trauma: recent advances and outstanding questions.
AB - PURPOSE OF REVIEW: The treatment of blunt thoracic injuries is complex and
evolving. The aim of this review is to focus on what is new with ventilation for
blunt chest trauma as well as an update on the current management strategies for
blunt aortic injury and rib fractures. RECENT FINDINGS: Early use of noninvasive
ventilation appears to be well tolerated in select hemodynamically stable blunt
trauma patients. For those patients requiring intubation, airway pressure release
ventilation is an excellent mode to decrease the risk of posttraumatic acute lung
injury. Endovascular repair of blunt thoracic aortic injuries provides benefit
over open repair and, if possible, delayed repair confers a mortality advantage.
Despite its increasing use, there continue to be conflicting results about the
role of surgical rib fixation for the treatment of flail chest. SUMMARY: Blunt
thoracic injuries are commonly treated in the ICU and a solid knowledge of
mechanical ventilation strategies (both noninvasive and invasive) is essential.
Blunt thoracic aortic injuries require early diagnosis and aggressive blood
pressure management. Not all such injuries need operative repair but those that
do benefit from an endovascular approach. The management of flail chest includes
early aggressive multimodal analgesia, adequate oxygen, and ventilatory support.
Surgical rib fixation should be considered in select patients.
PMID- 26539929
TI - Acute kidney injury in hematological patients.
AB - PURPOSE OF REVIEW: The present article reviews the recent literature on the main
aspects of acute kidney injury (AKI) developing in patients with hematological
malignancies admitted to ICU. RECENT FINDINGS: Up to two thirds of critically ill
patients with hematological malignancies develop AKI. Current mortality rates
range from 40 to 60% for most patients with hematological malignancies, except
for recipients of allogeneic hematopoietic stem cell transplantation in whom
outcomes remain very poor. Renal function recovery occurs in most patients with
AKI, but is dependent on the underlying causes. AKI is usually multifactorial,
resulting from causes common to other ICU patients and related to the underlying
malignancy or its treatment. New targeted therapies and treatment strategies are
potentially associated with AKI. Management of these patients requires a high
degree of suspicion, close monitoring of metabolic parameters, and use of
preventive strategies to limit risk of AKI or to mitigate its severity. SUMMARY:
AKI is a frequent and severe complication in critically ill patients with
hematological malignancies. As the clinical management is complex, close
collaboration with hematologists is paramount.
PMID- 26539930
TI - Urgent chemotherapy in hematological patients in the ICU.
AB - PURPOSE OF REVIEW: Over the past decades, survival of critically ill
hematological patients has dramatically improved, and these patients are more
frequently referred to the ICU for intensive treatment, including a rising need
for administering anticancer-therapy in this setting. RECENT FINDINGS: The scarce
literature on this subject provides evidence for feasibility of administering
chemotherapy in the ICU, with expected ICU survival of 60-70%, and one in three
patients surviving at least 1 year after discharge. We summarize the recent
evidence concerning outcome, dosing and indications of chemotherapy in the ICU,
and provide practical guidelines for some special oncological situations.
SUMMARY: Anticancer-therapy in the ICU is feasible and no longer futile as long
as it is initiated in a selected, well-informed patient population with
reasonable prognostic expectations. Accurate recognition of organ failure and
early referral to the ICU for both supportive care and timely administration of
chemotherapy is recommended before the development of multisystem organ failure.
PMID- 26539931
TI - Sickle cell disease in the ICU.
AB - PURPOSE OF REVIEW: The review focuses on severe acute vaso-occlusive
manifestations of sickle cell disease leading adult patients to the ICU. RECENT
FINDINGS: Careful consideration should be paid to look for pulmonary vascular
dysfunction and acute kidney injury, because of their prognostic role during
acute vaso-occlusive manifestations. Alloimmunization and delayed haemolytic
transfusion reactions are emerging complications that should be thought to be
diagnosed, as they may imply a conservative management. The life-threatening
complication raises the question about the indications of blood transfusion
therapy for acute sickle cell disease complications, no randomized controlled
trials being available to assess the role of blood transfusion in the acute
setting. SUMMARY: Acute vaso-occlusive episodes are characterized by an
unpredictable course that needs for vigilance for everyone, and justifies ICU or
intermediate care unit admission to allow close monitoring, and supportive
treatment in a timely fashion.
PMID- 26539932
TI - Heparin-induced thrombocytopenia.
AB - PURPOSE OF REVIEW: Thrombocytopenia and heparin exposure are common in critically
ill patients, yet immune heparin-induced thrombocytopenia (HIT), a prothrombotic
adverse effect of heparin, rarely accounts for thrombocytopenia in this patient
population. The review discusses the clinical and laboratory features that
distinguish HIT from non-HIT thrombocytopenia. RECENT FINDINGS: The frequency of
HIT in heparin-exposed critically ill patients is approximately 0.3-0.5% versus
at least a 30-50% background frequency of non-HIT thrombocytopenia. Most patients
who form anti-PF4/heparin antibodies do not develop HIT, contributing to HIT
overdiagnosis. Disseminated intravascular coagulation (DIC), particularly in the
setting of cardiogenic or septic shock associated with 'shock liver', can cause
ischemic limb gangrene with pulses, mimicking a clinical picture of HIT. However,
whereas non-HIT-related DIC with microthrombosis can be treated with heparin, HIT
usually requires nonheparin anticoagulation. HIT-associated DIC can result in an
elevated INR, which could reflect factor VII depletion because of extrinsic
(tissue factor) pathway-mediated activation of coagulation. SUMMARY: Greater
understanding of the various clinical and laboratory features that distinguish
HIT from non-HIT thrombocytopenia could help improve outcomes in patients who
develop thrombocytopenia and coagulopathies in the ICU.
PMID- 26539933
TI - Severe infections in neutropenic patients.
AB - PURPOSE OF REVIEW: Severe infections in neutropenic patients can rapidly progress
to septic shock and multiorgan failure with a high associated mortality. In this
article we discuss current practice, emerging trends and controversies, including
the prophylactic and empiric use of antimicrobial therapy, and advances in
cellular and immunotherapy. RECENT FINDINGS: Neutropenia is no longer a
consistent factor predicting poor outcome in haematological patients admitted to
the ICU. Severe infections in neutropenic patients are often polymicrobial, and
pathogen resistance remains a challenge. Invasive fungal infection is still
predictive of poor outcome. There has been a rapid expansion in the diagnostics
and treatment modalities available for patients with invasive fungal infection.
Use of growth factors, polyvalent immunoglobulin, and cellular therapy appear to
be of value in certain groups of patients. There is a move away from the use of
noninvasive ventilation and the use of high-flow nasal oxygen therapy is one of a
number of novel respiratory support strategies that is yet to be evaluated in
this patient population. SUMMARY: Translation of current advances in
antimicrobial, cellular and immunotherapy, and diagnostics to aid clinical
management by the bedside is important in reducing morbidity and mortality for
neutropenic patients with severe infection.
PMID- 26539935
TI - Biocompatible Nanoemulsions for Improved Aceclofenac Skin Delivery: Formulation
Approach Using Combined Mixture-Process Experimental Design.
AB - We aimed to develop lecithin-based nanoemulsions intended for effective
aceclofenac (ACF) skin delivery utilizing sucrose esters [sucrose palmitate (SP)
and sucrose stearate (SS)] as additional stabilizers and penetration enhancers.
To find the suitable surfactant mixtures and levels of process variables
(homogenization pressure and number of cycles - high pressure homogenization
manufacturing method) that result in drug-loaded nanoemulsions with minimal
droplet size and narrow size distribution, a combined mixture-process
experimental design was employed. Based on optimization data, selected
nanoemulsions were evaluated regarding morphology, surface charge, drug-excipient
interactions, physical stability, and in vivo skin performances (skin penetration
and irritation potential). The predicted physicochemical properties and storage
stability were proved satisfying for ACF-loaded nanoemulsions containing 2% of SP
in the blend with 0%-1% of SS and 1%-2% of egg lecithin (produced at 50 degrees
C/20 cycles/800 bar). Additionally, the in vivo tape stripping demonstrated
superior ACF skin absorption from these nanoemulsions, particularly from those
containing 2% of SP, 0.5% of SS, and 1.5% of egg lecithin, when comparing with
the sample costabilized by conventional surfactant - polysorbate 80. In summary,
the combined mixture-process experimental design was shown as a feasible tool for
formulation development of multisurfactant-based nanosized delivery systems with
potentially improved overall product performances.
PMID- 26539936
TI - Temporal Trends in Postmastectomy Radiation Therapy and Breast Reconstruction
Associated With Changes in National Comprehensive Cancer Network Guidelines.
AB - IMPORTANCE: Evolving data on the effectiveness of postmastectomy radiation
therapy (PMRT) have led to changes in National Comprehensive Cancer Network
(NCCN) recommendations, counseling clinicians to "strongly consider" PMRT for
patients with breast cancer with tumors 5 cm or smaller and 1 to 3 positive
nodes; however, anticipation of PMRT may lead to delay or omission of
reconstruction, which can have cosmetic, quality-of-life, and complication
implications for patients. OBJECTIVE: To determine whether revised guidelines
have increased PMRT and affected receipt of breast reconstruction. We
hypothesized that (1) PMRT rates would increase for women affected by the revised
guidelines while remaining stable in other cohorts and (2) receipt of breast
reconstruction would decrease in these women while increasing in other groups.
DESIGN, SETTING, AND PARTICIPANTS: Retrospective, population-based cohort study
of Surveillance, Epidemiology, and End Results (SEER) data on women with stage I
to III breast cancer undergoing mastectomy from 2000 through 2011. Our analytic
sample (N = 62,442) was divided into cohorts on the basis of current NCCN
radiotherapy recommendations: "radiotherapy recommended" (tumors > 5 cm or >= 4
positive lymph nodes), "strongly consider radiotherapy" (tumor <= 5 cm, 1-3
positive nodes), and "radiotherapy not recommended" (tumors <= 5 cm, no positive
nodes). MAIN OUTCOMES AND MEASURES: We used Joinpoint regression analysis to
evaluate temporal trends in receipt of PMRT and breast reconstruction. RESULTS:
The 3 cohorts comprised 15,999 in the "radiotherapy recommended" group, 15,006 in
the "strongly consider radiotherapy" group, and 31,837 in the "radiotherapy not
recommended" group. [corrected]. Rates of PMRT were unchanged in the radiotherapy
recommended (29.9%) and radiotherapy not recommended (7.4%) cohorts over the
study period. Receipt of PMRT for the strongly consider radiotherapy cohort was
unchanged at 26.9% until 2007. At that time, a significant change in the APC was
observed (P = .01) with an increase in APC from 2.1% to 9.0% (P = .02) through
the end of the study period, for a final rate of 40.5%. Breast reconstruction
increased across all cohorts. Despite increasing receipt of PMRT, the strongly
consider radiotherapy cohort maintained a consistent increase in reconstruction
(annual percentage change, 7.4%) throughout the study period. This is similar to
the increase in reconstruction observed for the radiotherapy recommended (10.7%)
and radiotherapy not recommended (8.4%) cohorts. CONCLUSIONS AND RELEVANCE:
Changes in NCCN guidelines have been associated with an increase in PMRT among
patients with tumors 5 cm or smaller and 1 to 3 positive nodes without an
associated decrease in receipt of reconstruction. This may represent increasing
clinician comfort with irradiating a new breast reconstruction and may have
cosmetic and quality-of-life implications for patients.
PMID- 26539937
TI - Surgery for lymph node metastases of medullary thyroid carcinoma: A review.
AB - Medullary thyroid carcinoma (MTC) is a neuroendocrine malignancy of the thyroid C
cells that occurs in hereditary and sporadic clinical settings. Metastatic spread
commonly occurs to cervical and mediastinal lymph nodes. MTC cells do not
concentrate radioactive iodine and are not sensitive to hormonal manipulation,
and therefore surgery is the most effective option for curative therapy,
reduction in tumor burden, or effective palliation. In patients undergoing
preventative surgery for hereditary MTC, central lymph node dissection should be
considered if the calcitonin level is elevated. Preservation of parathyroid
function in these young patients is of paramount importance. In patients with
established primary tumors, systematic surgical removal of lymph node basins
(compartmental dissection) should be guided by ultrasound mapping of lymph node
metastases and level of serum calcitonin. A "berry-picking" approach is
discouraged. Newly approved targeted molecular therapies offer wider treatment
options for patients with progressive or metastatic disease.
PMID- 26539938
TI - Primary and Revision Posterior Lumbar Fusion Have Similar Short-Term Complication
Rates.
AB - STUDY DESIGN: Retrospective cohort study. OBJECTIVE: To compare short-term
morbidity for primary and revision posterior lumbar fusions. SUMMARY OF
BACKGROUND DATA: Revision lumbar fusions are unfortunately relatively common.
Previous studies have described an increased risk of postoperative complications
after revision lumbar fusion; however, these studies have been limited by small
sample sizes, poor data quality, and/or narrow outcome measures. There is a need
to validate these findings using a high-quality, national cohort of patients to
have an accurate assessment of the relative risk of revision posterior lumbar
fusions compared with primary lumbar fusion. METHODS: The prospectively-collected
American College of Surgeons National Surgical Quality Improvement Program
database was used to identify patients that underwent undergoing primary and
revision posterior lumbar fusion from 2005 to 2013. The occurrence of individual
and aggregated postoperative complications within 30 days, along with rates of
blood transfusion and readmission, were compared between primary and revision
procedures using bivariate and multivariate Poisson regression with robust error
variance to control for patient and operative characteristics. Operative time and
postoperative length of stay were compared between groups using bivariate and
multivariate linear regression. RESULTS: Of the 14,873 posterior lumbar fusion
procedures that met inclusion criteria, 1287 (8.7%) were revision cases. There
were no differences in the rates of 30-day postoperative complications or
readmission between primary and revision posterior lumbar fusion using
multivariate analysis to control for patient and operative characteristics.
Similarly, no significant differences were found for operative time or
postoperative length of stay. There was an increased rate of blood transfusion
for revision surgery compared with primary surgery (relative risk 1.4, P <
0.001). CONCLUSION: This study suggests that revision posterior lumbar fusion
does not carry significantly increased risk of complications or readmission
compared with a primary posterior lumbar fusion. Patients undergoing revision
surgery were more likely to receive a blood transfusion. This information
suggests that general health risk stratification for revision procedures can be
similar to that considered for primary cases. LEVEL OF EVIDENCE: 3.
PMID- 26539939
TI - Implementing High-Value Care.
AB - OBJECTIVES: Our objectives were to assess physician knowledge of costs of
products, tests, and services commonly used by obstetrician/gynecologists
(OB/GYNs) and identify those items that could be eliminated without decreasing
quality of care by performing a prospective observational pilot study. METHODS:
An anonymous electronic survey was distributed to 70 OB/GYN providers at
Greenville Memorial Hospital. Survey items included common laboratory tests,
medications, and clinical services. The survey was used to identify items with
high cost for which there were less costly but equally efficacious alternatives.
As an educational intervention, grand rounds reviewing the survey results, as
well as recommendations that would allow for lean healthcare changes, were
conducted. Utilization and cost savings were tracked for a 1-year preintervention
and a 1-year postintervention. RESULTS: In total, 50 of 70 providers (71%)
completed the survey. Hydrocortisone acetate/pramoxine hydrochloride and
dinoprostone vaginal inserts were targeted for intervention. Use of the
dinoprostone vaginal insert decreased 50.5% with a savings of $66,500 when
comparing the preintervention period with the postintervention period. Use of
hydrocortisone acetate/pramoxine hydrochloride decreased 90% with a savings of
$92,655. Combined, the decreased use of these products led to a $159,155 cost
savings in the 1-year postintervention period. CONCLUSIONS: OB/GYN providers at
our institution had a poor working knowledge of costs. Through the use of a
survey and educational intervention, we demonstrated that simple interventions
could lead to high-quality, lean healthcare changes.
PMID- 26539940
TI - Commentary on "Implementing High-Value Care".
PMID- 26539941
TI - State Health Mapper: An Interactive, Web-Based Tool for Physician Workforce
Planning, Recruitment, and Health Services Research.
AB - OBJECTIVES: Health rankings in Mississippi are abysmal. Mississippi also has
fewer physicians to serve its population compared with all other states. Many
residents of this predominately rural state do not have access to healthcare
providers. To better understand the demographics and distribution of the current
health workforce in Mississippi, the main objective of the study was to design a
Web-based, spatial, interactive application to visualize and explore the
physician workforce. METHODS: A Web application was designed to assist in health
workforce planning. Secondary datasets of licensure and population information
were obtained, and live feeds from licensure systems are being established.
Several technologies were used to develop an intuitive, user-friendly
application. Custom programming was completed in JavaScript so the application
could run on most platforms, including mobile devices. RESULTS: The application
allows users to identify and query geographic locations of individual or
aggregated physicians based on attributes included in the licensure data, to
perform drive time or buffer analyses, and to explore sociodemographic population
data by geographic area of choice. This Web-based application with analytical
tools visually represents the physician workforce licensed in Mississippi and its
attributes, and provides access to much-needed information for statewide health
workforce planning and research. CONCLUSIONS: The success of the application is
not only based on the practicality of the tool but also on its ease of use.
Feedback has been positive and has come from a wide variety of organizations
across the state.
PMID- 26539942
TI - House Calls: Physicians in the US Congress, 2005-2015.
AB - OBJECTIVES: Physicians occupy a prominent position in the US healthcare system,
and physicians who serve in Congress may bring a particular perspective,
expertise, and influence to health-related legislation. The purpose of this study
was to describe physician membership in the US Congress between 2005 and 2015.
METHODS: Congressional biographical records were searched to identify physicians
who served in the US Congress from 2005 to 2015. Political and demographic
characteristics of physician-members were compared with those of nonphysician
members of Congress and of all US physicians. The numbers of physicians in recent
Congresses also were compared with those in each Congress since 1945. RESULTS: A
total of 27 physicians representing 17 states have served in Congress since 2005.
There has been a significant increase in physician representation since 1987,
reaching a high of 20 members (3.7%) in the Congresses immediately following
passage of the Patient Protection and Affordable Care Act. Physician-members were
mostly men (93%) and more likely than their Congressional colleagues to be
Republican (78% vs 53% of all members, P = 0.007) and from the South (63% vs 35%
of all members, P = 0.003). Compared with physicians in general, physicians in
Congress were more likely to be men (93% vs 70%, P = 0.009) and surgeons (26% vs
11%, P = 0.01). CONCLUSIONS: Physician representation in Congress has increased
substantially since 2000, potentially reflecting the greater political prominence
of healthcare issues, as well as increased interest by and recruitment of
physician-candidates. Physicians in Congress differ from their colleagues and
from physicians in general in various demographic and political characteristics.
PMID- 26539943
TI - Commentary on "House Calls: Physicians in the US Congress, 2005-2015".
PMID- 26539944
TI - Plane-Assisted Suicide.
PMID- 26539945
TI - Association of Systemic Inflammatory Response Syndrome with Clinical Outcomes of
Pediatric Patients with Pneumonia.
AB - OBJECTIVES: Systemic inflammatory response syndrome (SIRS) may complicate
pneumonia. When present, it suggests that the patient's pneumonia is more severe.
As such, recognition of SIRS among patients with pneumonia may be helpful in
identifying those requiring more careful evaluation. Our objective was to examine
the relation between the presence of SIRS and adverse clinical outcomes among
children with pneumonia seen in the emergency department (ED). METHODS: A
retrospective chart review was performed on children diagnosed as having
community-acquired pneumonia who presented to a children's hospital ED during a 3
month period. SIRS was determined by using a modification of the International
Consensus Conference on Pediatric Sepsis criteria. Specifically, the SIRS
criteria require an abnormal temperature-corrected heart rate or respiratory rate
and either an abnormal temperature or white blood cell count. The threshold for
abnormal vital signs and white blood cell counts used to determine SIRS was
adjusted based on the patient's age. Morbidity endpoints included progression to
inpatient or observation status or subsequent return to the ED for pneumonia,
need for video-assisted thoracoscopic surgery, and total hospital length of stay
as measured from ED triage assessment to final discharge from the hospital (ED,
observation, or inpatient), and the need for mechanical ventilation. RESULTS: A
total of 276 children were included in the analysis. Pneumonia patients with SIRS
(n = 38) had a greater rate of hospital admission or ED return compared with SIRS
negative patients (n = 238; 79% vs 34.5%, respectively; P < 0.0001). Children
with SIRS-positive pneumonia were at greater risk of requiring video-assisted
thoracoscopic surgery (18.4% vs 0.8%; P < 0.0001). In addition, pneumonia
patients with SIRS had a significantly longer median length of stay compared with
pneumonia patients without SIRS (2.7 vs 0.19 days, P < 0.0001) and also had a
significantly higher risk of mechanical ventilation (10.5% vs 0.8%). CONCLUSIONS:
SIRS in children with community-acquired pneumonia is associated with a
significantly higher likelihood of experiencing a more adverse outcome. Based on
these observations, a sepsis screening tool in the ED that identifies SIRS in
children with pneumonia has the potential to identify those children needing more
intense monitoring and treatment.
PMID- 26539946
TI - The South Carolina HIV Cascade of Care.
AB - OBJECTIVES: In recent years, the human immunodeficiency virus (HIV) cascade of
care describing the spectrum of engagement in HIV care from diagnosis to
virologic suppression has been used widely in determining the progress and
success in public health efforts to control the HIV epidemic. For more than a
decade South Carolina consistently ranked among the top10 states in the United
States with the highest acquired immunodeficiency syndrome case rates, suggesting
late diagnoses and issues with retention in care. The primary objective of this
study was to develop an HIV cascade of care for the state that may help identify
opportunities for appropriate future interventions. METHODS: The South Carolina
Enhanced HIV/AIDS Reporting System database was used to develop the HIV cascade
of care indicating the percentages of the diagnosed individuals who were linked
to care, received any care, were retained in care, and achieved virologic
suppression using standardized metrics recommended by the Centers for Disease
Control and Prevention. The sample included all individuals in South Carolina who
were diagnosed as having HIV by December 31, 2011 and who were alive at the end
of 2012. RESULTS: Of the 14,523 South Carolinians living with HIV at the end of
2012, 64% had received any HIV care, 53% were retained in care, and 48% were
virologically suppressed during 2012. CONCLUSIONS: This is the first HIV cascade
of care model for South Carolina. Efforts are needed to improve public health
initiatives to link, engage, and retain individuals with HIV in care.
PMID- 26539947
TI - Author Degrees in Medical Publishing: Choice or Convenience?
PMID- 26539948
TI - Editor's Response.
PMID- 26539949
TI - Varied Clinical Manifestations of Amebic Colitis.
AB - Invasive amebiasis is common worldwide, but infrequently observed in the United
States. It is associated with considerable morbidity in patients residing in or
traveling to endemic areas. We review the clinical and endoscopic manifestations
of amebic colitis to alert physicians to the varied clinical manifestations of
this potentially life-threatening disease. Copyright (c)Most patients present
with watery or bloody diarrhea. Less common presentations of amebic colitis
include abdominal pain, overt gastrointestinal bleeding, exacerbation of
inflammatory bowel disease, or the incidental association with colon cancer.
Amebic liver abscesses are the most frequent complication. Rectosigmoid
involvement may be found on colonoscopy; however, most case series have reported
that the cecum is the most commonly involved site, followed by the ascending
colon. Endoscopic evaluation should be used to assist in the diagnosis, with
attention to the observation of colonic inflammation, ulceration, and amebic
trophozoites on histopathological examination.
PMID- 26539950
TI - Risk Factors for 30-Day Readmissions of Individuals with Decompensated Cirrhosis.
AB - OBJECTIVES: Patients with cirrhosis have a high rate of 30-day hospital
readmission that affects their quality of life and contributes to increased
healthcare-related costs. The aim of our study was to identify frequency,
predictors, and preventable causes of hospital readmissions among patients with
decompensated cirrhosis. METHODS: We retrospectively reviewed electronic medical
records of all patients with a confirmed diagnosis of decompensated cirrhosis
admitted to Dayton VA Medical Center between 2009 and 2013. Demographics,
clinical factors, laboratory values, and outcomes were recorded. Univariate
analysis was performed using independent samples t tests and Wilcoxon rank sums
tests for continuous variables and chi(2) or Fisher exact tests for categorical
variables. A multiple logistic regression analysis was performed for variables
found to be significant by univariate analysis to predict the risk factors for 30
day readmission. A detailed chart review was conducted for all patients
readmitted within 30 days by a single gastroenterologist to identify the reason
for readmission and to decide whether any of these readmissions were preventable.
RESULTS: The 30-day readmission rate for decompensated cirrhotic patients was
27.03%. The risk factors for 30-day readmission were higher body mass index
(BMI), lower body temperature, higher blood urea nitrogen, higher creatinine,
more cirrhosis-related complications, and more readmissions per year per
univariate analysis. Multivariable analysis revealed only BMI as a significant
predictor of 30-day readmission (P = 0.023). A total of 36.7% of 30-day
readmissions were possibly preventable. CONCLUSIONS: The independent variable
that predicted 30-day readmission in patients with decompensated cirrhosis was
higher BMI. Approximately one-third of 30-day readmissions were possibly
preventable. These findings support the need to develop specific interventions
for disease management to improve patient care and save on extraneous healthcare
costs.
PMID- 26539951
TI - Rest-Only Myocardial CT Perfusion in Acute Chest Pain.
AB - OBJECTIVES: Cardiac computed tomography perfusion (CTP) using stress testing is
an emerging application in the field of cardiac computed tomography. We evaluated
patients with acute chest pain (CP) in the emergency department (ED) with
evidence of obstructive coronary artery disease (CAD), defined as >70% stenosis
on coronary computed tomography angiography (CCTA) and confirmed by invasive
coronary angiography (ICA), to evaluate the applicability of resting CTP in the
acute CP setting. METHODS: From January to December 2013, 183 low-intermediate
risk symptomatic patients with negative cardiac biomarkers and no known CAD
underwent a rapid CCTA protocol in the ED. Of these, 4 patients (1.4%) had
obstructive CAD (>=70% stenosis) on CCTA confirmed by ICA. All 183 CCTA studies
were evaluated retrospectively with CTP software by a transmural perfusion ratio
(TPR) method with a superimposed 17-segment model. A TPR value <0.99 was
considered abnormal based on previously published data. RESULTS: A total of four
patients were included in this pilot analysis. The duration from resolution of CP
to performance of CCTA ranged from 1.6 to 5.0 hours. Three patients underwent
revascularization, two with percutaneous coronary intervention (PCI) and one with
coronary artery bypass grafting. The fourth patient was managed with aggressive
medical therapy. Two patients had multivessel obstructive CAD and two patients
had single-vessel CAD. The first patient underwent CCTA 5 hours after resolution
of CP symptoms. CCTA demonstrated noncalcified obstructive CAD in the mid-LAD and
mid-right coronary artery. ICA showed good correlation by quantitative coronary
assessment (QCA) in both vessels and the patient underwent PCI. CTP analysis
demonstrated perfusion defects in the LAD and right coronary artery territories.
The second patient underwent CCTA 1.6 hours after resolution of CP symptoms with
findings of obstructive ostial left main CAD. ICA confirmed obstructive left main
CAD by QCA and intravascular ultrasound. The patient underwent revascularization
with coronary artery bypass grafting. CTP demonstrated perfusion defects in the
anterior and lateral wall segments. The third patient was evaluated for CP in the
ED with CCTA demonstrating single-vessel CAD 10 hours after resolution of
symptoms with findings of a noncalcified obstructive stenosis in the mid-LAD. The
patient subsequently underwent ICA demonstrating good correlation to the CCTA
findings in the LAD by QCA. CTP analysis revealed perfusion defects in LAD
territory. He was successful treated with PCI. The final patient underwent CCTA
5.4 hours following resolution of CP with the finding of an intermediate
partially calcified stenosis in the distal LAD. ICA was performed, with
fractional flow reserve demonstrating a hemodynamically insignificant distal LAD
at 0.86. CTP detected a perfusion defect in the LAD territory. CONCLUSIONS: When
positive, rest CTP may have value in the risk stratification of patients
presenting to the ED with nontraumatic acute CP.
PMID- 26539952
TI - Upper Gastrointestinal Involvement in Crohn Disease: Histopathologic and
Endoscopic Findings.
AB - OBJECTIVES: Studies describing the prevalence of upper gastrointestinal (GI)
Crohn disease (CD) and its histopathologic changes have been inconsistent as a
result of different definitions used for upper GI involvement, diverse
populations, and varying indications for endoscopy. We reviewed the literature
describing endoscopic findings and histologic lesions in gastric and duodenal
mucosa of patients with established CD. METHODS: PubMed, EMBASE, and the Cochrane
Library were searched for gastroduodenal biopsy findings in patients with CD from
1970 to 2014. We included all retrospective and prospective studies in adults. We
calculated the prevalence of the most common endoscopic and histopathological
findings among patients with overall CD and upper GI CD. RESULTS: Of the 385
articles identified, 20 eligible studies were included. A total of 2511 patients
had CD and 815 had upper GI CD. In the CD group, the most common
histopathological finding was nonspecific gastric inflammation in 32% of
patients, followed by gastric granuloma in 7.9%. Focal gastritis was prevalent in
30.9% of patients. In the upper GI CD group, gastric inflammation was present in
84% of patients, followed by duodenal inflammation in 28.2% and gastric granuloma
in 23.2%. The most common gastric endoscopic finding in patients with CD was
erythema in 5.9%, followed by erosions in 3.7%. Duodenal endoscopic findings
included ulcers and erythema in 5.3% and 3.0% of patients, respectively.
CONCLUSIONS: We found a prevalence of 34% for CD involving the upper GI tract
across these 20 studies. Routine upper endoscopy with biopsies of the upper GI
tract in the diagnostic workup of patients with CD can correctly classify the
distribution and extent of the disease.
PMID- 26539953
TI - Adapting an evidence-based model to retain adolescent study participants in
longitudinal research.
AB - Maintaining contact with and collecting outcome data from adolescent study
participants can present a significant challenge for researchers conducting
longitudinal studies. Establishing an organized and effective protocol for
participant follow-up is crucial to reduce attrition and maintain high retention
rates. This paper describes our methods in using and adapting the evidence-based
Engagement, Verification, Maintenance, and Confirmation (EVMC) model to follow up
with adolescents 6 and 12 months after implementation of a health program. It
extends previous research by focusing on two key modifications to the model: (1)
the central role of cell phones and texting to maintain contact with study
participants throughout the EVMC process and, (2) use of responsive two-way
communication between staff and participants and flexible administration modes
and methods in the confirmation phase to ensure that busy teens not only respond
to contacts, but also complete data collection. These strategies have resulted in
high overall retention rates (87-91%) with adolescent study participants at each
follow-up data collection point without the utilization of other, more involved
tracking measures. The methods and findings presented may be valuable for other
researchers with limited resources planning for or engaged in collecting follow
up outcome data from adolescents enrolled in longitudinal studies.
PMID- 26539954
TI - Spielvogel and CABG one time surgery followed by TEVAR to treat thoracic aneurism
and pseudoaneurysms of the arch coexisting with a three coronary artery disease.
PMID- 26539955
TI - A hypothesis: Cancer itself may cause cardiovascular damage directly or
indirectly.
PMID- 26539956
TI - A super high-pressure balloon solution for a non-dilatable in-stent restenosis.
PMID- 26539958
TI - Atherosclerosis is critical in the pathogenesis of erectile dysfunction.
PMID- 26539957
TI - Frame counting improves the assessment of post-reperfusion microvascular patency
by TIMI myocardial perfusion grade: Evidence from cardiac magnetic resonance
imaging.
AB - BACKGROUND: Quantitative modification of TIMI myocardial perfusion grade (TMPG)
by the method of frame counting may improve its sensitivity and the false
negative rate for post-reperfusion microvascular dysfunction (MVD) in ST segment
elevated myocardial infarction (STEMI) patients. METHODS: The durations of
contrast-washout from infarction area of 139 patients were measured by counting
the cine-frame numbers between the appearance and disappearance of myocardial
blush. The achieved new index, TMP Frame Counting (TMP-FC) was referenced by
cardiac magnetic resonance, by which MVD was defined as microvascular obstruction
on gadolinium late-enhancement imaging. RESULTS: Median TMP-FC differed
significantly between patients with and without MVD (126 frames, IQR 105-160 vs.
86 frames, IQR 75-100, p<0.001). By receiver-operating characteristic analysis,
the cutoff of TMP-FC at >= 95.5 frames represented an independent predictor of
MVD (OR=11.61, p<0.001). TMP-FC had similar specificity (75%) and positive
predictive value (88%), but significantly improved sensitivity (85.3%) and
negative predictive value (70.2%) for MVD compared with TMPG (88.6%, 86.5%, 33.7%
and 38.2%, respectively) and other traditional angiographic assessments, leading
to a better overall accuracy (area under the curve: 0.801 compared with 0.612
from TMPG, p<0.001) for the evaluation of microvascular patency. TMP-FC was
positively correlated with MVD extent (r=0.5, p<0.001). Abnormal TMP-FC was
associated with larger infarction size (28.67 +/- 13.72% vs. 16.51 +/- 10.68% of
left ventricular mass, p<0.001) and lower LVEF (49.37 +/- 11.06% vs. 56.84 +/-
9.72%, p<0.001). CONCLUSION: Frame counting can improve the accuracy of TMPG for
MVD. Moreover, TMP-FC is correlated with the degree of MVD and cardiac
detriments, which is useful for risk stratification.
PMID- 26539959
TI - Mid-regional pro-adrenomedullin and N-terminal pro B-type natriuretic peptide
predict the recurrence of atrial fibrillation after cryoballoon pulmonary vein
isolation.
PMID- 26539960
TI - Bleeding risk and major adverse events in patients with cancer on oral
anticoagulation therapy.
AB - BACKGROUND: The efficacy of oral anticoagulation therapy (OAT) has not been
revealed in atrial fibrillation (AF) patients with newly diagnosed cancers. This
study evaluated the thromboembolic and bleeding events in AF patients with
malignancies according to OAT. METHODS AND RESULTS: In 2168 consecutive non
valvular AF patients with newly diagnosed malignancies, we analyzed the composite
endpoints including major adverse cardiac events (MACEs) and major bleeding.
Based on a propensity score matching, two groups with 690 matched pairs were
created. Patient baseline characteristics were comparable between the matched
groups. During a follow-up period of 3.9 +/- 2.8 years, 72 (10%) and 65 (9%)
patients had MACEs in the propensity score-matched OAT + and OAT - groups,
respectively (p = 0.461). There was no significant difference in the major
bleeding (10% vs. 8%, p = 0.300) and composite endpoints (18% vs. 16%, p = 0.181)
between OAT + and OAT - patients. During the first year after the cancer
diagnosis, 66 (48%) MACEs, 52 (41%) major bleedings, and 116 (49%) composite end
points of all events occurred. The optimal international normalized ratio (2.0 to
3.0) level was achieved in only 85 (12%) patients. However, 1 year after cancer
diagnosis, OAT + patients with the target therapeutic range of >= 60%
demonstrated better cumulative survival free of composite end point than OAT -
patients (p = 0.026). CONCLUSION: During the first year after the cancer
diagnosis, OAT did not improve the composite end point because of poor INR
control caused by cancer treatment. However, after 1 year after diagnosis of
cancer, optimal anticoagulation significantly reduced the composite end point.
PMID- 26539961
TI - A case of double fistulas of right coronary artery to LVOT and LAD.
PMID- 26539962
TI - Macrophages dictate the progression and manifestation of hypertensive heart
disease.
AB - BACKGROUND: Inflammation has been implicated in the initiation, progression and
manifestation of hypertensive heart disease. We sought to determine the role of
monocytes/macrophages in hypertension and pressure overload induced left
ventricular (LV) remodeling. METHODS AND RESULTS: We used two models of LV
hypertrophy (LVH). First, to induce hypertension and LVH, we fed Sabra salt
sensitive rats with a high-salt diet. The number of macrophages increased in the
hypertensive hearts, peaking at 10 weeks after a high-salt diet. Surprisingly,
macrophage depletion, by IV clodronate (CL) liposomes, inhibited the development
of hypertension. Moreover, macrophage depletion reduced LVH by 17% (p<0.05), and
reduced cardiac fibrosis by 75%, compared with controls (p=0.001). Second, to
determine the role of macrophages in the development and progression of LVH,
independent of high-salt diet, we depleted macrophages in mice subjected to
transverse aortic constriction and pressure overload. Significantly, macrophage
depletion, for 3 weeks, attenuated LVH: a 12% decrease in diastolic and 20% in
systolic wall thickness (p<0.05), and a 13% in LV mass (p=0.04), compared with
controls. Additionally, macrophage depletion reduced cardiac fibrosis by 80%
(p=0.006). Finally, macrophage depletion down-regulated the expression of genes
associated with cardiac remodeling and fibrosis: transforming growth factor beta
1 (by 80%) collagen type III alpha-1 (by 71%) and atrial natriuretic factor (by
86%). CONCLUSIONS: Macrophages mediate the development of hypertension, LVH,
adverse cardiac remodeling, and fibrosis. Macrophages, therefore, should be
considered as a therapeutic target to reduce the adverse consequences of
hypertensive heart disease.
PMID- 26539963
TI - TET2 might be a therapeutic target for atherosclerosis.
PMID- 26539964
TI - Triple head-to-head comparison of fibrotic biomarkers galectin-3, osteopontin and
gremlin-1 for long-term prognosis in suspected and proven acute heart failure
patients.
AB - BACKGROUND: To comparatively evaluate long-term prognostic values of fibrotic
biomarkers galectin-3, gremlin-1 and osteopontin in patients presenting to the
emergency department (ED) suspected of acute heart failure (AHF). METHODS:
Patients with acute dyspnea or peripheral edema were enrolled in the ED.
Biomarkers were measured and added to prognostic models including 11 conventional
risk factors plus NT-proBNP assessing state-of-the-art statistics of
discrimination, calibration, reclassification and Cox regression analyses.
Prognostic outcomes were long-term all-cause mortality (ACM) and AHF-related
rehospitalization (AHF-RH) at 1 and 5 years. RESULTS: 401 patients including 122
AHF patients were enrolled (mean age 67 years, males 51%). During 5 years follow
up 129 patients (30%) died and 73 (18%) were re-hospitalized because of AHF. In
multivariate analysis, galectin-3 (hazard ratios (HR) range 1.4-1.9; p = 0.03)
and osteopontin (HR range 1.2-1.4; p = 0.001) remained associated with ACM
overall and in the AHF population at 5 years, whereas gremlin-1 remained
associated with AHF-RH at 1 year in AHF patients (HR 1.3; p = 0.002). ACM in
whole cohort was best discriminated (AUC = 0.85, p = 0.0001), calibrated and re
classified (NRI + 0.50 to + 0.56, p = 0.0001) by galectin-3, whereas in AHF
patients ACM was best discriminated by osteopontin (AUC range: 0.82-0.84, p =
0.0001; NRI + 0.34 to + 0.38, p < 0.1) and AHF-RH at 1 year by gremlin-1 (AUC
range: 0.82-0.92, p = 0.0001; NRI + 0.59 to + 0.60, p = 0.006). CONCLUSIONS: A
panel of fibrotic biomarkers, including osteopontin, galectin-3 and gremlin-1,
might be useful for long term risk-stratification of symptomatic ED patients
being suspected of AHF.
PMID- 26539965
TI - Leadless pacing: The old with the new.
PMID- 26539966
TI - Sex hormones adjust "sex-specific" reactive and diurnal cortisol profiles.
AB - Sex differences in stress hormone functions are presumed to depend on sex
hormones. And yet, surprisingly few psychoneuroendocrine studies actually assess
within-sex variations of testosterone, estradiol, and progesterone when
investigating sex-specific activities of the hypothalamic-pituitary-adrenal axis.
In this methodological study of 204 healthy adults (60 men), we assessed whether
cortisol profiles would differ between the sexes when unadjusted or adjusted for
basal sex hormones among both sexes. Reactive cortisol was sampled using 6 saliva
samples measured every 10-min as part of the Trier Social Stress Test that
generally activates cortisol among men more than women. Diurnal cortisol was
sampled over two days at (1) awakening, (2) 30-min thereafter, (3) 1400 h, (4)
1600 h, and (5) bedtime. Sex hormones were collected at baseline before the
psychosocial stressor and on two occasions during diurnal cortisol assessment.
Repeated-measures analysis of covariance controlled for key covariates in
analyses unadjusted or adjusted for sex hormones. Results revealed that men had
higher reactive cortisol than women in unadjusted analysis, but this sex
difference was attenuated when adjusting for sex hormones. While diurnal cortisol
showed no sex differences in unadjusted models, adjusting for sex hormones
revealed that women have higher morning cortisol. Correlations using area under
the curve formulae revealed intriguing sex-specific associations with
progesterone in men and testosterone in women that we propose have implications
for social and affective neuroscience. In summary, our results reveal that
adjusting for sex hormones alters "sex-specific" reactive and diurnal cortisol
profiles.
PMID- 26539967
TI - Emotional attentional control predicts changes in diurnal cortisol secretion
following exposure to a prolonged psychosocial stressor.
AB - Hypothalamic-pituitary-adrenal (HPA) axis irregularities have been associated
with several psychological disorders. Hence, the identification of individual
difference variables that predict variations in HPA-axis activity represents an
important challenge for psychiatric research. We investigated whether self
reported attentional control in emotionally demanding situations prospectively
predicted changes in diurnal salivary cortisol secretion following exposure to a
prolonged psychosocial stressor. Low ability to voluntarily control attention has
previously been associated with anxiety and depressive symptomatology.
Attentional control was assessed using the Emotional Attentional Control Scale.
In students who were preparing for academic examination, salivary cortisol was
assessed before (time 1) and after (time 2) examination. Results showed that
lower levels of self-reported emotional attentional control at time 1 (N=90)
predicted higher absolute diurnal cortisol secretion and a slower decline in
cortisol throughout the day at time 2 (N=71). Difficulty controlling attention
during emotional experiences may lead to chronic HPA-axis hyperactivity after
prolonged exposure to stress. These results indicate that screening for
individual differences may foster prediction of HPA-axis disturbances, paving the
way for targeted disorder prevention.
PMID- 26539968
TI - Correction: Insulin and mTOR Pathway Regulate HDAC3-Mediated Deacetylation and
Activation of PGK1.
AB - [This corrects the article DOI: 10.1371/journal.pbio.1002243.].
PMID- 26539969
TI - Prospective and Pavlovian mechanisms in aversive behaviour.
AB - Studying aversive behaviour is critical for understanding negative emotions and
associated psychopathologies. However a comprehensive picture of the mechanisms
underlying aversion is lacking, with associative learning theories focusing on
Pavlovian reactions and decision-making theoretic approaches on prospective
functions. We propose a computational model of aversion that combines goal
directed and Pavlovian forms of control into a unifying framework in which their
relative importance is regulated by factors such as threat distance and
controllability. Using simulations, we test whether the model can reproduce
available empirical findings and discuss its relevance to understanding factors
underlying negative emotions such as fear and anxiety. Furthermore, the specific
method used to construct the model permits a natural mapping from its components
to brain structure and function. Our model provides a basis for a unifying
account of aversion that can guide empirical and interventional study contexts.
PMID- 26539970
TI - Fractionation of oil palm empty fruit bunch by bisulfite pretreatment for the
production of bioethanol and high value products.
AB - In this work, fractionation of empty fruit bunch (EFB) by bisulfite pretreatment
was studied for the production of bioethanol and high value products to achieve
biorefinery of EFB. EFB was fractionated to solid and liquor components by
bisulfite process. The solid components were used for bioethanol production by
quasi-simultaneous saccharification and fermentation. The liquor components were
then converted to furfural by hydrolysis with sulfuric acid. Preliminary results
showed that the concentration of furfural was highest at 18.8g/L with 0.75%
sulfuric acid and reaction time of 25min. The conversion of xylose to furfural
was 82.5%. Furthermore, we attempted to fractionate the liquor into hemicellulose
sugars and lignin by different methods for producing potential chemicals, such as
xylose, xylooligosaccharide, and lignosulfonate. Our research showed that the
combination of bisulfite pretreatment and resin separation could effectively
fractionate EFB components to produce bioethanol and other high value chemicals.
PMID- 26539971
TI - Dual energy CT with photon counting and dual source systems: comparative
evaluation.
AB - Recently, new dual energy (DE) computed tomography (CT) systems-dual source CT
(DSCT) and photon counting CT (PCCT) have been introduced. Although these systems
have the same clinical targets, they have major differences as they use dual and
single kVp acquisitions and different x-ray detection and energy resolution
concepts. The purpose of this study was theoretical and experimental comparisons
of DSCT and PCCT. The DSCT Siemens Somatom Flash was modeled for simulation
study. The PCCT had the same configuration as DSCT except it used a photon
counting detector. The soft tissue phantoms with 20, 30, and 38 cm diameters
included iodine, CaCO3, adipose, and water samples. The dose (air kerma) was 14
mGy for all studies. The low and high energy CT data were simulated at 80 kVp and
140 kVp for DSCT, and in 20-58 keV and 59-120 keV energy ranges for PCCT,
respectively. The experiments used Somatom Flash DSCT system and PCCT system
based on photon counting CdZnTe detector with 2 * 256 pixel configuration and 1
* 1 mm(2) pixels size. In simulated general CT images, PCCT provided higher
contrast-to-noise ratio (CNR) than DSCT with 0.4/0.8 mm Sn filters. The PCCT with
K-edge filter provided higher CNR than the PCCT with a Cu filter, and DSCT with
0.4 mm Sn filter provided higher CNR than the DSCT with a 0.8 mm Sn filter. In
simulated DE subtracted images, CNR of the DSCT was comparable to the PCCT with a
Cu filter. However, DE PCCT with Ho a K-edge filter provided 30-40% higher CNR
than the DE DSCT with 0.4/0.8 mm Sn filters. The experimental PCCT provided
higher CNR in general imaging compared to the DSCT. In experimental DE subtracted
images, the DSCT provided higher CNR than the PCCT with a Cu filter. However,
experimental CNR with DE PCCT with K-edge filter was 15% higher than in DE DSCT,
which is less than 30-40% increase predicted by the simulation study. It is
concluded that ideal PCCT can provide substantial advantages over ideal DSCT in
CT imaging including DE subtracted CT. However, the limitations of the PCCT
detector does not allow it to reach its full potential and therefore further
efforts are needed to improve PCCT detectors.
PMID- 26539972
TI - Controlled Film Architectures to Detect a Biomarker for Pancreatic Cancer Using
Impedance Spectroscopy.
AB - The need for analytical devices for detecting cancer at early stages has
motivated research into nanomaterials where synergy is sought to achieve high
sensitivity and selectivity in low-cost biosensors. In this study, we developed a
film architecture combining self-assembled monolayer (SAM) and layer-by-layer
(LbL) films of polysaccharide chitosan and the protein concanavalin A, on which a
layer of anti-CA19-9 antibody was adsorbed. Using impedance spectroscopy with
this biosensor, we were capable of detecting low concentrations of the antigen
CA19-9, an important biomarker for pancreatic cancer. The limit of detection of
0.69U/mL reached is sufficient for detecting pancreatic cancer at very early
stages. The selectivity of the biosensor was inferred from a series of control
experiments with samples of cell lines that were tested positive (HT29) and
negative (SW620) for the biomarker CA19-9, in addition to the lack of changes in
the capacitance value for other analytes and antigen that are not related to this
type of cancer. The high sensitivity and selectivity are ascribed to the very
specific antigen-antibody interaction, which was confirmed with PM-IRRAS and
atomic force microscopy. Also significant is that used information visualization
methods to show that different cell lines and commercial samples containing
distinct concentrations of CA19-9 and other analytes can be easily distinguished
from each other. These computational methods are generic and may be used in
optimization procedures to tailor biosensors for specific purposes, as we
demonstrated here by comparing the performance of two film architectures in which
the concentration of chitosan was varied.
PMID- 26539973
TI - Isolation and Structure of Kurahyne B and Total Synthesis of the Kurahynes.
AB - Kurahyne B (2), a new analogue of kurahyne (1), was isolated from the marine
cyanobacterium Okeania sp. Its gross structure was elucidated based on
spectroscopic analyses, and the absolute configuration was established by total
synthesis. Kurahyne B (2) inhibited the growth of both HeLa and HL60 cells, with
IC50 values of 8.1 and 9.0 MUM, respectively. The growth-inhibitory activity of
kurahyne B was the same as kurahyne (1). In parallel, the first total synthesis
of kurahyne (1) was also achieved.
PMID- 26539974
TI - Substituent Effects in CH Hydrogen Bond Interactions: Linear Free Energy
Relationships and Influence of Anions.
AB - Aryl CH hydrogen bonds (HBs) are now commonly recognized as important factors in
a number of fields, including molecular biology, stereoselective catalysis, and
anion supramolecular chemistry. As the utility of CH HBs has grown, so to has the
need to understand the structure-activity relationship for tuning both their
strength and selectivity. Although there has been significant computational
effort in this area, an experimental study of the substituent effects on CH HBs
has not been previously undertaken. Herein we disclose a systematic study of a
single CH HB by using traditional urea donors as directing groups in a
supramolecular binding cavity. Experimentally determined association constants
are examined by a combination of computational (electrostatic potential) and
empirical (sigmam and sigmap) values for substituent effects. The dominance of
electrostatic parameters, as observed in a computational DFT study, is consistent
with current CH HB theory; however, a novel anion dependence of the substituent
effects is revealed in solution.
PMID- 26539975
TI - Telomere length, cardiovascular risk and arteriosclerosis in human kidneys: an
observational cohort study.
AB - BACKGROUND: Replicative senescence, associated with telomere shortening, plays an
important role in aging and cardiovascular disease. The relation between telomere
length, cardiovascular risk, and renal disease is unknown. METHODS: Our study
consisted of a cohort of 257 kidney donors for transplantation, divided into a
test and a validation cohort. We used quantitative RT-PCR to measure relative
telomere length (log T/S ratio) in peripheral blood leucocytes, and in kidney
biopsies performed prior to implantation. The association between leucocyte and
intrarenal telomere length, cardiovascular risk factors, and renal histology, was
studied using multiple regression models, adjusted for calendar age, gender and
other donor demographics. RESULTS: Subjects with intrarenal arteriosclerosis had
significantly shorter leucocyte telomere length compared with patients without
arteriosclerosis (log T/S ratio -0.3+/-0.4 vs. 0.1+/-0.2 with vs. without
arteriosclerosis; p=0.0008). Intrarenal arteriosclerosis was associated with
shorter telomere length, independent of gender, calendar age, history of
hypertension and history of cardiovascular events. For each increase of one
standard deviation of the log T/S ratio, the odds for intrarenal arteriosclerosis
decreased with 64% (Odds ratio 0.36; 95% CI 0.17-0.77; p=0.02). In accordance
with leucocyte telomere length, shorter intrarenal telomere length associated
significantly with the presence of renal arteriosclerosis (log T/S ratio -0.04+/
0.06 vs. 0.08+/-0.01 with vs. without arteriosclerosis, p=0.007), and not with
other histological lesions. INTERPRETATION: We demonstrate that arteriosclerosis
in smaller intrarenal arteries is associated with shorter telomere length. Our
study suggests a central role of replicative senescence in the progression of
renovascular disease, independent of calendar age.
PMID- 26539976
TI - Protopanaxadiol aglycone ginsenoside-polyethylene glycol conjugates: synthesis,
physicochemical characterizations, and in vitro studies.
AB - Ginsenosides are triterpenoid saponins, which is an active compound responsible
for most of the pharmacological effects of ginseng (Panax ginseng Meyer). It is
known to have numerous structural and pharmacological properties. However,
aqueous solubility and delivery of ginsenosides in targeted region by avoiding
undesirable toxicity to normal cell is also of prime importance. The aim of this
study was to obtain amphiphilic ginsenoside derivatives in which hydrophilic
polymers were conjugated to ginsenosides to enhance the water solubility and
targeted delivery. To this end, the hydrophobic protopanaxadiol ginsenoside
aglycone (aPPD) was covalently conjugated to the backbone of hydrophilic
polyethylene glycol (PEG) through a pH sensitive ester linkage, which was
confirmed by 1H NMR and FTIR. The resultant PPD is covalently conjugated to
hydrophilic PEG through esterification (PEG-PPD) forming self-assembled spherical
nanoparticles, whose average particle diameter was 189 nm as observed by FE-TEM
and particle size analyzer respectively. In vitro release experiments revealed
that the release rate of PPD was rapidly increased from the self-assembled
nanoparticles under acidic conditions (pH 5.0) than in a physiological buffer (pH
7.4) condition. Furthermore, in vitro cytotoxicity assays revealed that PEG-PPD
conjugates exhibited lower cytotoxicity in HT-29 cancer cells compared with PPD
alone. Since the slow release of PPD from conjugates is triggered only by acidic
environmental conditions, such as those found in extracellular solid tumor
tissues, intracellular endosomes, and intracellular lysosomes, the conjugation of
PPD may aid its selective delivery to these targets. Overall, results suggest
that pH-dependent release of PPD, which expected in reduced cytotoxicity to non
targeted regions, may enhance the overall efficacy of PPD.
PMID- 26539978
TI - The Survival and Resistance of Halobacterium salinarum NRC-1, Halococcus
hamelinensis, and Halococcus morrhuae to Simulated Outer Space Solar Radiation.
AB - Solar radiation is among the most prominent stress factors organisms face during
space travel and possibly on other planets. Our analysis of three different
halophilic archaea, namely Halobacterium salinarum NRC-1, Halococcus morrhuae,
and Halococcus hamelinensis, which were exposed to simulated solar radiation in
either dried or liquid state, showed tremendous differences in tolerance and
survivability. We found that Hcc. hamelinensis is not able to withstand high
fluences of simulated solar radiation compared to the other tested organisms.
These results can be correlated to significant differences in genomic integrity
following exposure, as visualized by random amplified polymorphic DNA (RAPD)-PCR.
In contrast to the other two tested strains, Hcc. hamelinensis accumulates
compatible solutes such as trehalose for osmoprotection. The addition of 100 mM
trehalose to the growth medium of Hcc. hamelinensis improved its survivability
following exposure. Exposure of cells in liquid at different temperatures
suggests that Hbt. salinarum NRC-1 is actively repairing cellular and DNA damage
during exposure, whereas Hcc. morrhuae exhibits no difference in survival. For
Hcc. morrhuae, the high resistance against simulated solar radiation may be
explained with the formation of cell clusters. Our experiments showed that these
clusters shield cells on the inside against simulated solar radiation, which
results in better survival rates at higher fluences when compared to Hbt.
salinarum NRC-1 and Hcc. hamelinensis. Overall, this study shows that some
halophilic archaea are highly resistant to simulated solar radiation and that
they are of high astrobiological significance. KEY WORDS: Halophiles-Solar
radiation-Stress resistance-Survival.
PMID- 26539979
TI - Clinical Criteria for Physician Aid in Dying.
AB - More than 20 years ago, even before voters in Oregon had enacted the first aid in
dying (AID) statute in the United States, Timothy Quill and colleagues proposed
clinical criteria AID. Their proposal was carefully considered and temperate, but
there were little data on the practice of AID at the time. (With AID, a physician
writes a prescription for life-ending medication for a terminally ill, mentally
capacitated adult.) With the passage of time, a substantial body of data on AID
has developed from the states of Oregon and Washington. For more than 17 years,
physicians in Oregon have been authorized to provide a prescription for AID.
Accordingly, we have updated the clinical criteria of Quill, et al., based on the
many years of experience with AID. With more jurisdictions authorizing AID, it is
critical that physicians can turn to reliable clinical criteria. As with any
medical practice, AID must be provided in a safe and effective manner. Physicians
need to know (1) how to respond to a patient's inquiry about AID, (2) how to
assess patient decision making capacity, and (3) how to address a range of other
issues that may arise. To ensure that physicians have the guidance they need,
Compassion & Choices convened the Physician Aid-in-Dying Clinical Criteria
Committee, in July 2012, to create clinical criteria for physicians who are
willing to provide AID to patients who request it. The committee includes experts
in medicine, law, bioethics, hospice, nursing, social work, and pharmacy. Using
an iterative consensus process, the Committee drafted the criteria over a one
year period.
PMID- 26539980
TI - Reconstitution of biosynthetic machinery of fungal polyketides: unexpected
oxidations of biosynthetic intermediates by expression host.
AB - Reconstitution of whole biosynthetic genes in Aspergillus oryzae has successfully
applied for total biosynthesis of various fungal natural products. Heterologous
production of fungal metabolites sometimes suffers unexpected side reactions by
host enzymes. In the studies on fungal polyketides solanapyrone and cytochalasin,
unexpected oxidations of terminal olefin of biosynthetic intermediates were found
to give one and four by-products by host enzymes of the transformants harboring
biosynthetic genes. In this paper, we reported structure determination of by
products and described a simple solution to avoid the undesired reaction by
introducing the downstream gene in the heterologous production of solanapyrone C.
PMID- 26539981
TI - Efficacy of Rituximab in Refractory Inflammatory Myopathies Associated with Anti-
Synthetase Auto-Antibodies: An Open-Label, Phase II Trial.
AB - OBJECTIVE: Anti-synthetase syndrome (anti-SS) is frequently associated with
myositis and interstitial lung disease (ILD). We evaluated prospectively, in a
multicenter, open-label, phase II study, the efficacy of rituximab on muscle and
lung outcomes. METHODS: Patients were enrolled if they were refractory to
conventional treatments (prednisone and at least 2 immunosuppressants). They
received 1 g of rituximab at D0, D15, and M6. The primary endpoint was muscular
improvement based on manual muscular testing (MMT10, Kendall score in 10 muscles)
at M12. Secondary endpoints were normalization of creatine kinase (CK) level, ILD
improvement based on forced vital capacity and/or diffuse capacity for carbon
monoxide, and number and/or doses of associated immunosuppressants. RESULTS:
Twelve patients were enrolled, and 10 completed the study. Only 2 patients
presented an improvement of at least 4 points on at least two muscle groups
(primary end-point). Overall, seven patients had an increase of at least 4 points
on MMT10. CK level decreased from 399 IU/L (range, 48-11,718) to 74.5 IU/L
(range, 40-47,857). Corticosteroid doses decreased from 52.5 mg/d (range, 10-70)
to 9 mg/d (range, 7-65) and six patients had a decrease in the burden of their
associated immunosuppressants. At baseline, all 10 patients presented with ILD.
At M12, improvement of ILD was observed in 5 out of the 10 patients,
stabilization in 4, and worsening in 1. CONCLUSIONS: This pilot study of
rituximab treatment in patients with refractory anti-SS provided data on
evolution of muscular and pulmonary parameters. Rituximab should now be evaluated
in a larger, controlled study for this homogenous group of patients. TRIAL
REGISTRATION: Clinicaltrials.gov NCT00774462.
PMID- 26539982
TI - Caffeine Ingestion Increases Estimated Glycolytic Metabolism during Taekwondo
Combat Simulation but Does Not Improve Performance or Parasympathetic
Reactivation.
AB - OBJECTIVES: The aim of this study was to evaluate the effect of caffeine
ingestion on performance and estimated energy system contribution during
simulated taekwondo combat and on post-exercise parasympathetic reactivation.
METHODS: Ten taekwondo athletes completed two experimental sessions separated by
at least 48 hours. Athletes consumed a capsule containing either caffeine (5
mg?kg-1) or placebo (cellulose) one hour before the combat simulation (3 rounds
of 2 min separated by 1 min passive recovery), in a double-blind, randomized,
repeated-measures crossover design. All simulated combat was filmed to quantify
the time spent fighting in each round. Lactate concentration and rating of
perceived exertion were measured before and after each round, while heart rate
(HR) and the estimated contribution of the oxidative (WAER), ATP-PCr (WPCR), and
glycolytic (W[La-]) systems were calculated during the combat simulation.
Furthermore, parasympathetic reactivation after the combat simulation was
evaluated through 1) taking absolute difference between the final HR observed at
the end of third round and the HR recorded 60-s after (HRR60s), 2) taking the
time constant of HR decay obtained by fitting the 6-min post-exercise HRR into a
first-order exponential decay curve (HRRtau), or by 3) analyzing the first 30-s
via logarithmic regression analysis (T30). RESULTS: Caffeine ingestion increased
estimated glycolytic energy contribution in relation to placebo (12.5 +/- 1.7 kJ
and 8.9 +/- 1.2 kJ, P = 0.04). However, caffeine did not improve performance as
measured by attack number (CAF: 26. 7 +/- 1.9; PLA: 27.3 +/- 2.1, P = 0.48) or
attack time (CAF: 33.8 +/- 1.9 s; PLA: 36.6 +/- 4.5 s, P = 0.58). Similarly, RPE
(CAF: 11.7 +/- 0.4 a.u.; PLA: 11.5 +/- 0.3 a.u., P = 0.62), HR (CAF: 170 +/- 3.5
bpm; PLA: 174.2 bpm, P = 0.12), oxidative (CAF: 109.3 +/- 4.5 kJ; PLA: 107.9 kJ,
P = 0.61) and ATP-PCr energy contributions (CAF: 45.3 +/- 3.4 kJ; PLA: 46.8 +/-
3.6 kJ, P = 0.72) during the combat simulation were unaffected. Furthermore, T30
(CAF: 869.1 +/- 323.2 s; PLA: 735.5 +/- 232.2 s, P = 0.58), HRR60s (CAF: 34 +/- 8
bpm; PLA: 38 +/- 9 bpm, P = 0.44), HRRtau (CAF: 182.9 +/- 40.5 s, PLA: 160.3 +/-
62.2 s, P = 0.23) and HRRamp (CAF: 70.2 +/- 17.4 bpm; PLA: 79.2 +/- 17.4 bpm, P =
0.16) were not affected by caffeine ingestion. CONCLUSIONS: Caffeine ingestion
increased the estimated glycolytic contribution during taekwondo combat
simulation, but this did not result in any changes in performance, perceived
exertion or parasympathetic reactivation.
PMID- 26539983
TI - Targeting HIV Reservoir in Infected CD4 T Cells by Dual-Affinity Re-targeting
Molecules (DARTs) that Bind HIV Envelope and Recruit Cytotoxic T Cells.
AB - HIV reservoirs and production of viral antigens are not eliminated in chronically
infected participants treated with combination antiretroviral therapy (cART).
Novel therapeutic strategies aiming at viral reservoir elimination are needed to
address chronic immune dysfunction and non-AIDS morbidities that exist despite
effective cART. The HIV envelope protein (Env) is emerging as a highly specific
viral target for therapeutic elimination of the persistent HIV-infected
reservoirs via antibody-mediated cell killing. Dual-Affinity Re-Targeting (DART)
molecules exhibit a distinct mechanism of action via binding the cell surface
target antigen and simultaneously engaging CD3 on cytotoxic T lymphocytes (CTLs).
We designed and evaluated Env-specific DARTs (HIVxCD3 DARTs) derived from known
antibodies recognizing diverse Env epitopes with or without broadly neutralizing
activity. HIVxCD3 DARTs derived from PGT121, PGT145, A32, and 7B2, but not VRC01
or 10E8 antibodies, mediated potent CTL-dependent killing of quiescent primary
CD4 T cells infected with diverse HIV isolates. Similar killing activity was also
observed with DARTs structurally modified for in vivo half-life extension. In an
ex vivo model using cells isolated from HIV-infected participants on cART,
combinations of the most potent HIVxCD3 DARTs reduced HIV expression both in
quiescent and activated peripheral blood mononuclear cell cultures isolated from
HIV-infected participants on suppressive cART. Importantly, HIVxCD3 DARTs did not
induce cell-to-cell virus spread in resting or activated CD4 T cell cultures.
Collectively, these results provide support for further development of HIVxCD3
DARTs as a promising therapeutic strategy for targeting HIV reservoirs.
PMID- 26539984
TI - Reproducibility of In Vivo Corneal Confocal Microscopy Using an Automated
Analysis Program for Detection of Diabetic Sensorimotor Polyneuropathy.
AB - OBJECTIVE: In vivo Corneal Confocal Microscopy (IVCCM) is a validated, non
invasive test for diabetic sensorimotor polyneuropathy (DSP) detection, but its
utility is limited by the image analysis time and expertise required. We aimed to
determine the inter- and intra-observer reproducibility of a novel automated
analysis program compared to manual analysis. METHODS: In a cross-sectional
diagnostic study, 20 non-diabetes controls (mean age 41.4+/-17.3y, HbA1c 5.5+/
0.4%) and 26 participants with type 1 diabetes (42.8+/-16.9y, 8.0+/-1.9%)
underwent two separate IVCCM examinations by one observer and a third by an
independent observer. Along with nerve density and branch density, corneal nerve
fibre length (CNFL) was obtained by manual analysis (CNFLMANUAL), a protocol in
which images were manually selected for automated analysis (CNFLSEMI-AUTOMATED),
and one in which selection and analysis were performed electronically (CNFLFULLY
AUTOMATED). Reproducibility of each protocol was determined using intraclass
correlation coefficients (ICC) and, as a secondary objective, the method of Bland
and Altman was used to explore agreement between protocols. RESULTS: Mean
CNFLManual was 16.7+/-4.0, 13.9+/-4.2 mm/mm2 for non-diabetes controls and
diabetes participants, while CNFLSemi-Automated was 10.2+/-3.3, 8.6+/-3.0 mm/mm2
and CNFLFully-Automated was 12.5+/-2.8, 10.9 +/- 2.9 mm/mm2. Inter-observer ICC
and 95% confidence intervals (95%CI) were 0.73(0.56, 0.84), 0.75(0.59, 0.85), and
0.78(0.63, 0.87), respectively (p = NS for all comparisons). Intra-observer ICC
and 95%CI were 0.72(0.55, 0.83), 0.74(0.57, 0.85), and 0.84(0.73, 0.91),
respectively (p<0.05 for CNFLFully-Automated compared to others). The other IVCCM
parameters had substantially lower ICC compared to those for CNFL. CNFLSemi
Automated and CNFLFully-Automated underestimated CNFLManual by mean and 95%CI of
35.1(-4.5, 67.5)% and 21.0(-21.6, 46.1)%, respectively. CONCLUSIONS: Despite an
apparent measurement (underestimation) bias in comparison to the manual strategy
of image analysis, fully-automated analysis preserves CNFL reproducibility.
Future work must determine the diagnostic thresholds specific to the fully
automated measure of CNFL.
PMID- 26539985
TI - Modeling Healthcare Processes Using Commitments: An Empirical Evaluation.
AB - The two primary objectives of this paper are: (a) to demonstrate how Comma, a
business modeling methodology based on commitments, can be applied in healthcare
process modeling, and (b) to evaluate the effectiveness of such an approach in
producing healthcare process models. We apply the Comma approach on a breast
cancer diagnosis process adapted from an HHS committee report, and presents the
results of an empirical study that compares Comma with a traditional approach
based on the HL7 Messaging Standard (Traditional-HL7). Our empirical study
involved 47 subjects, and two phases. In the first phase, we partitioned the
subjects into two approximately equal groups. We gave each group the same
requirements based on a process scenario for breast cancer diagnosis. Members of
one group first applied Traditional-HL7 and then Comma whereas members of the
second group first applied Comma and then Traditional-HL7-each on the above
mentioned requirements. Thus, each subject produced two models, each model being
a set of UML Sequence Diagrams. In the second phase, we repartitioned the
subjects into two groups with approximately equal distributions from both
original groups. We developed exemplar Traditional-HL7 and Comma models; we gave
one repartitioned group our Traditional-HL7 model and the other repartitioned
group our Comma model. We provided the same changed set of requirements to all
subjects and asked them to modify the provided exemplar model to satisfy the new
requirements. We assessed solutions produced by subjects in both phases with
respect to measures of flexibility, time, difficulty, objective quality, and
subjective quality. Our study found that Comma is superior to Traditional-HL7 in
flexibility and objective quality as validated via Student's t-test to the 10%
level of significance. Comma is a promising new approach for modeling healthcare
processes. Further gains could be made through improved tooling and enhanced
training of modeling personnel.
PMID- 26539986
TI - Agricultural Genomics: Commercial Applications Bring Increased Basic Research
Power.
PMID- 26539988
TI - A Significant Increase in the Incidence of Central Precocious Puberty among
Korean Girls from 2004 to 2010.
AB - BACKGROUND: Few studies have explored the trends in central precocious puberty
(CPP) in Asian populations. This study assessed the prevalence and annual
incidence of CPP among Korean children. METHODS: Using data from the Korean
Health Insurance Review Agency from 2004 to 2010, we reviewed the records of
21,351 children, including those registered with a diagnosis of CPP for the first
time and those diagnosed with CPP who were treated with gonadotropin-releasing
hormone analogs. RESULTS: The prevalence of CPP was 55.9 per 100,000 girls and
1.7 per 100,000 boys, respectively. The overall incidence of CPP was 15.3 per
100,000 girls, and 0.6 per 100,000 boys. The annual incidence of CPP in girls
significantly increased from 3.3 to 50.4 per 100,000 girls; whereas in boys, it
gradually increased from 0.3 to 1.2 per 100,000 boys. The annual incidence of CPP
in girls consistently increased at all ages year by year, with greater increases
at older ages (>=6 years of age), and smaller increases in girls aged < 6 years.
In contrast, the annual incidence remained relatively constant in boys aged < 8
years, while a small increase was observed only in boys aged 8 years. The
increase of annual incidence showed significant differences depending on age and
gender (P <0.0001). CONCLUSIONS: The annual incidence of CPP has substantially
increased among Korean girls over the past 7 years. Continued monitoring of CPP
trends among Korean children will be informative.
PMID- 26539987
TI - The Prevalence of Mild Cognitive Impairment in Diverse Geographical and
Ethnocultural Regions: The COSMIC Collaboration.
AB - BACKGROUND: Changes in criteria and differences in populations studied and
methodology have produced a wide range of prevalence estimates for mild cognitive
impairment (MCI). METHODS: Uniform criteria were applied to harmonized data from
11 studies from USA, Europe, Asia and Australia, and MCI prevalence estimates
determined using three separate definitions of cognitive impairment. RESULTS: The
published range of MCI prevalence estimates was 5.0%-36.7%. This was reduced with
all cognitive impairment definitions: performance in the bottom 6.681% (3.2%
10.8%); Clinical Dementia Rating of 0.5 (1.8%-14.9%); Mini-Mental State
Examination score of 24-27 (2.1%-20.7%). Prevalences using the first definition
were 5.9% overall, and increased with age (P < .001) but were unaffected by sex
or the main races/ethnicities investigated (Whites and Chinese). Not completing
high school increased the likelihood of MCI (P <= .01). CONCLUSION: Applying
uniform criteria to harmonized data greatly reduced the variation in MCI
prevalence internationally.
PMID- 26539989
TI - Intestinal Dysbiosis and Lowered Serum Lipopolysaccharide-Binding Protein in
Parkinson's Disease.
AB - BACKGROUND: The intestine is one of the first affected organs in Parkinson's
disease (PD). PD subjects show abnormal staining for Escherichia coli and alpha
synuclein in the colon. METHODS: We recruited 52 PD patients and 36 healthy
cohabitants. We measured serum markers and quantified the numbers of 19 fecal
bacterial groups/genera/species by quantitative RT-PCR of 16S or 23S rRNA.
Although the six most predominant bacterial groups/genera/species covered on
average 71.3% of total intestinal bacteria, our analysis was not comprehensive
compared to metagenome analysis or 16S rRNA amplicon sequencing. RESULTS: In PD,
the number of Lactobacillus was higher, while the sum of analyzed bacteria,
Clostridium coccoides group, and Bacteroides fragilis group were lower than
controls. Additionally, the sum of putative hydrogen-producing bacteria was lower
in PD. A linear regression model to predict disease durations demonstrated that
C. coccoides group and Lactobacillus gasseri subgroup had the largest negative
and positive coefficients, respectively. As a linear regression model to predict
stool frequencies showed that these bacteria were not associated with
constipation, changes in these bacteria were unlikely to represent worsening of
constipation in the course of progression of PD. In PD, the serum
lipopolysaccharide (LPS)-binding protein levels were lower than controls, while
the levels of serum diamine oxidase, a marker for intestinal mucosal integrity,
remained unchanged in PD. CONCLUSIONS: The permeability to LPS is likely to be
increased without compromising the integrity of intestinal mucosa in PD. The
increased intestinal permeability in PD may make the patients susceptible to
intestinal dysbiosis. Conversely, intestinal dysbiosis may lead to the increased
intestinal permeability. One or both of the two mechanisms may be operational in
development and progression of PD.
PMID- 26539990
TI - Which Dimensions of Patient-Centeredness Matter? - Results of a Web-Based Expert
Delphi Survey.
AB - BACKGROUND: Present models and definitions of patient-centeredness revealed a
lack of conceptual clarity. Based on a prior systematic literature review, we
developed an integrative model with 15 dimensions of patient-centeredness. The
aims of this study were to 1) validate, and 2) prioritize these dimensions.
METHOD: A two-round web-based Delphi study was conducted. 297 international
experts were invited to participate. In round one they were asked to 1) give an
individual rating on a nine-point-scale on relevance and clarity of the
dimensions, 2) add missing dimensions, and 3) prioritize the dimensions. In round
two, experts received feedback about the results of round one and were asked to
reflect and re-rate their own results. The cut-off for the validation of a
dimension was a median < 7 on one of the criteria. RESULTS: 105 experts
participated in round one and 71 in round two. In round one, one new dimension
was suggested and included for discussion in round two. In round two, this
dimension did not reach sufficient ratings to be included in the model. Eleven
dimensions reached a median >= 7 on both criteria (relevance and clarity). Four
dimensions had a median < 7 on one or both criteria. The five dimensions rated as
most important were: patient as a unique person, patient involvement in care,
patient information, clinician-patient communication and patient empowerment.
DISCUSSION: 11 out of the 15 dimensions have been validated through experts'
ratings. Further research on the four dimensions that received insufficient
ratings is recommended. The priority order of the dimensions can help researchers
and clinicians to focus on the most important dimensions of patient-centeredness.
Overall, the model provides a useful framework that can be used in the
development of measures, interventions, and medical education curricula, as well
as the adoption of a new perspective in health policy.
PMID- 26539991
TI - Cardiac-Specific Activation of IKK2 Leads to Defects in Heart Development and
Embryonic Lethality.
AB - The transcription factor NF-kappaB has been associated with a range of
pathological conditions of the heart, mainly based on its function as a master
regulator of inflammation and pro-survival factor. Here, we addressed the
question what effects activation of NF-kappaB can have during murine heart
development. We expressed a constitutively active (CA) mutant of IKK2, the kinase
activating canonical NF-kappaB signaling, specifically in cardiomyocytes under
the control of the alpha-myosin heavy chain promoter. Expression of IKK2-CA
resulted in embryonic lethality around E13. Embryos showed defects in compact
zone formation and the contractile apparatus, and overall were characterized by
widespread inflammation with infiltration of myeloid cells. Gene expression
analysis suggested an interferon type I signature, with increased expression of
interferon regulatory factors. While apoptosis of cardiomyocytes was only
increased at later stages, their proliferation was decreased early on, providing
an explanation for the disturbed compact zone formation. Mechanistically, this
could be explained by activation of the JAK/STAT axis and increased expression of
the cell cycle inhibitor p21. A rescue experiment with an IkappaBalpha
superrepressor demonstrated that the phenotype was dependent on NF-kappaB. We
conclude that activation of NF-kappaB is detrimental during normal heart
development due to excessive activation of pro-inflammatory pathways.
PMID- 26539992
TI - Molecular Phylogeny and Ecology of Textularia agglutinans d'Orbigny from the
Mediterranean Coast of Israel: A Case of a Successful New Incumbent.
AB - Textularia agglutinans d'Orbigny is a non-symbiont bearing and comparatively
large benthic foraminiferal species with a widespread distribution across all
oceans. In recent years, its populations have considerably expanded along the
Israeli Mediterranean coast of the eastern Levantine basin. Despite its
exceptionally widespread occurrence, no molecular data have yet been obtained.
This study provides the first ribosomal DNA sequences of T. agglutinans
complemented with morphological and ecological characterization, which are based
on material collected during environmental monitoring of the hard bottom habitats
along the Israeli Mediterranean coast, and from the Gulf of Elat (northern Red
Sea). Our phylogenetic analyses reveal that all specimens from both provinces
belong to the same genetic population, regardless their morphological
variability. These results indicate that modern population of T. agglutinans
found on the Mediterranean coast of Israel is probably Lessepsian. Our study also
reveals that T. agglutinans has an epiphytic life mode, which probably enabled
its successful colonization of the hard bottom habitats, at the Mediterranean
coast of Israel, which consist of a diverse community of macroalgae. Our study
further indicates that the species does not tolerate high SST (> 35 degrees C),
which will probably prevent its future expansion in the easternmost Mediterranean
in light of the expected rise in temperatures.
PMID- 26539993
TI - Serum beta-Defensin-2 Levels and Their Relationship with the Clinical Course and
Prognosis in Patients with Crimean-Congo Hemorrhagic Fever.
AB - OBJECTIVE: The aim of this study was to investigate the role of the clinical
course and prognosis of serum levels of beta-defensin-2 (BD-2) in patients with
Crimean-Congo hemorrhagic fever (CCHF). SUBJECTS AND METHODS: Patients who were
hospitalized in the Department of Infectious Diseases and Clinical Microbiology
of the Faculty of Medicine, Ataturk University, were considered for inclusion in
this study. The patients had positive real-time reverse transcription polymerase
chain reaction and/or enzyme-linked immunosorbent assay results of the CCHF
virus. There were 60 patients with CCHF in the study group and 25 healthy
participants in the control group. Serum BD-2 levels were measured using ELISA.
Data were analyzed using the Student t test or Mann-Whitney U test. RESULTS: Of
the 60 patients, 6 (10%) died and 54 (90%) were discharged following their
recovery. The mean BD-2 level of the patient group was significantly higher
(4,180.30 +/- 3,944.19 pg/ml) than that of the control group (964.45 +/- 266.07
pg/ml; p = 0.001). Serum BD-2 levels of the patients with fatal (1,529.81 +/-
1,028.14) and nonfatal disease (4,474.80 +/- 4,041.58) differed, but this
difference showed only borderline significance (p = 0.055). The mean BD-2 level
of the severe group was 5,507.45 +/- 4,327.06 pg/ml, while it was 3,611.52 +/-
3,676.73 pg/ml in the mild/moderate group, and both were significantly higher
than that of the control group (p = 0.001). CONCLUSION: In this study, the
expression of serum BD-2 was raised in patients with CCHF, and this increase may
beneficially affect survival. Studies with larger sample sizes are needed to
confirm the association of serum BD-2 with CCHF prognosis.
PMID- 26539994
TI - Emotional eating and Pavlovian learning: evidence for conditioned appetitive
responding to negative emotional states.
AB - Appetitive learning has been demonstrated several times using neutral cues or
contexts as a predictor of food intake and it has been shown that humans easily
learn cued desires for foods. It has, however, never been studied whether
internal cues are also capable of appetitive conditioning. In this study, we
tested whether humans can learn cued eating desires to negative moods as
conditioned stimuli (CS), thereby offering a potential explanation of emotional
eating (EE). Female participants were randomly presented with 10 different
stimuli eliciting either negative or neutral emotional states, with one of these
states paired with eating chocolate. Expectancy to eat, desire to eat,
salivation, and unpleasantness of experiencing negative emotions were assessed.
After conditioning, participants were brought into a negative emotional state and
were asked to choose between money and chocolate. Data showed differential
conditioned responding on the expectancy and desire measures, but not on
salivation. Specific conditioned effects were obtained for participants with a
higher BMI (body mass index) on the choice task, and for participants high on EE
on the unpleasantness ratings. These findings provide the first experimental
evidence for the idea that negative emotions can act as conditioned stimuli, and
might suggest that classical conditioning is involved in EE.
PMID- 26539995
TI - Fructo-oligosaccharide improved brain beta-amyloid, beta-secretase, cognitive
function, and plasma antioxidant levels in D-galactose-treated Balb/cJ mice.
AB - OBJECTIVES: Long-term d-galactose injection induces accelerated aging in
experimental rodent models. The aim of this study was to determine the effects of
dietary fructo-oligosaccharide (FO) on the brain beta-amyloid (Abeta), amyloid
associated enzymes, cognitive function, and plasma antioxidant levels in d
galactose-treated Balb/c mice. METHODS: The subcutaneous (s.c.) injection and the
dietary treatment were conducted simultaneously for 49 days. Mice (12 weeks of
age) were divided into five groups (n = 14/group): control (s.c. saline, control
diet) serving as a young control, DG (s.c. 1.2 g d-galactose/kg body weight,
control diet), DG + LFO (2.5% w/w FO, low-dose FO diet), DG + HFO (5% w/w FO,
high-dose FO diet), and DG + E (alpha-tocopherol 0.2% w/w, vitamin E diet) as an
antioxidant reference group. Another group of older mice (64 weeks of age)
without any injection served as a natural aging (NA) group. RESULTS: The DG and
NA groups had greater Abeta levels in the cortex, hippocampus, and the whole
brain. High-dose FO, similar to alpha-tocopherol, attenuated the d-galactose
induced Abeta density in the cortex and hippocampus. In addition, FO attenuated
the d-galactose-induced protein expression of Abeta and beta-site amyloid
precursor cleaving enzyme of the whole brain in a dose-response manner. Either
dose of FO supplementation, similar to alpha-tocopherol, attenuated the d
galactose-induced cognitive dysfunction. In addition, FO improved the plasma
ascorbic acid level in a dose-response manner. CONCLUSION: Dietary FO (2.5-5% w/w
diet) could attenuate the development of Alzheimer's disease, which was likely to
be associated with its systematic antioxidant effects.
PMID- 26539996
TI - Update On CROES At The WCE Meeting In London.
PMID- 26539997
TI - Loneliness and Health in Older Adults: A Mini-Review and Synthesis.
AB - Increasing evidence suggests that perceived social isolation or loneliness is a
major risk factor for physical and mental illness in later life. This review
assesses the status of research on loneliness and health in older adults. Key
concepts and definitions of loneliness are identified, and the prevalence,
correlates, and health effects of loneliness in older individuals are reviewed.
Theoretical mechanisms that underlie the association between loneliness and
health are also described, and illustrative studies examining these mechanisms
are summarized. Intervention approaches to reduce loneliness in old age are
highlighted, and priority recommendations for future research are presented.
PMID- 26539998
TI - Intraoperative Evaluation of Ureteral Access Sheath-Related Injuries Using Post
Ureteroscopic Lesion Scale.
AB - OBJECTIVE: In the present study, intraoperative ureteral injuries inflicted
during retrograde intrarenal surgery (RIRS) with ureteral access sheath (UAS) use
were evaluated using the Post- Ureteroscopic Lesion Scale (PULS). MATERIALS AND
METHODS: Patients in whom a UAS was used during RIRS and for whom ureter images
were video recorded during the procedure were included in the study. PULS grading
was performed after UAS removal, and video sequences of all patients were viewed
by a junior resident, a senior resident, and four experienced urologists and
assessed according to the PULS. Ureteral lesions in distal, middle, proximal, and
multiple locations were evaluated and compared according to the PULS scale. The
inter-rater reliability of PULS grading among various urologists was also
evaluated. RESULTS: The evaluation comprised 101 patients. In 77 patients,
9.5/11.5 French UAS devices were used, and in 24 patients, 12/14 French UAS
devices were used. The stone-free rate, clinical insignificant residual
fragments, and final stone-free rate were 41.6%, 53.5%, and 98%, respectively. In
58.4% of the patients, no lesions were present according to PULS grading. No
lesions of Grade 3 and above were found; however, there were lesions of Grade 1
and 2 in 38.6% and 2.9% of the patients, respectively. Injuries were found in the
proximal ureter only and distal ureter only in 45.23% and 40.47% of the patients,
respectively. Multiple injuries occurred in 5.94% of the patients (in 3.96% in
the proximal and distal ureter, in 0.99% in the mid- and distal ureter, and in
0,99% in the proximal, mid-, and distal ureter). In the grading performed
according to the PULS classification, there was a high accuracy among the
residents and specialists. CONCLUSIONS: The assessment of UAS-induced injuries
using standardized intraoperative methods will help to evaluate the procedure
more objectively and will guide the postoperative follow-up of patients.
PMID- 26539999
TI - Impact of magnetic resonance imaging-guided prostate biopsy in the supine
position on the detection of significant prostate cancer in an inhomogeneous
patient cohort.
AB - OBJECTIVE: The aim of this study was to determine the tumour detection rate of
magnetic resonance-guided biopsy (MRGB) in the supine position for significant
prostate cancer in an inhomogeneous patient cohort. MATERIALS AND METHODS: Thirty
two consecutive patients with a total prostate-specific antigen > 4 ng/ml and/or
a tumour-suspicious palpable lesion upon digital rectal examination and a cancer
suspicious region in multiparametric magnetic resonance imaging (MRI) underwent
MRGB in a standard 1.5 T magnet. Diagnostic MRI was performed in 20 patients at
the authors' institute and 12 men at another location. Eight patients were
investigated at 3 T and 24 at 1.5 T. Twenty men had prior negative biopsies and
12 were biopsy naive. All biopsies were performed in the supine position using a
table-mounted device and an 18 G biopsy gun. RESULTS: The overall tumour
detection rate was 53% (17/32). Two cores (median; range 1-4) were extracted.
Clinically significant cancers were found in 94% (16/17). None of the patients
showed any postbiopsy complications. The prostate volumes of patients with cancer
were significantly lower (39.3 ml) than those of men without cancer (49.7 ml). No
significant differences were found between the numbers of tumour-positive and
tumour-negative collected cores. In a median follow-up of 14 months, no cancer
was detected in the negative biopsy group. CONCLUSION: MRGB in the supine
position can be a valuable tool to detect significant prostate cancer, even in a
patient cohort with different prebiopsy pathways. The biopsy method could be a
reasonable alternative to MRGB in the prone position.
PMID- 26540004
TI - Peculiarity of Porcine Amniotic Membrane and Its Derived Cells: A Contribution to
the Study of Cell Therapy from a Large Animal Model.
AB - The aim of this work was to provide, for the first time, a protocol for isolation
and characterization of stem cells from porcine amniotic membrane in view of
their potential uses in regenerative medicine. From three samples of allanto
amnion recovered at delivery, the amniotic membrane was stripped from overlying
allantois and digested with trypsin and collagenase to isolate epithelial
(amniotic epithelial cells [AECs]) and mesenchymal cells, respectively.
Proliferation, differentiation, and characterization studies by molecular biology
and flow cytometry were performed. Histological examination revealed very few
mesenchymal cells in the stromal layer, and a cellular yield of AECs of 10 *
10(6)/gram of digested tissue was achieved. AECs readily attached to plastic
culture dishes displaying typical cuboidal morphology and, although their
proliferative capacity decreased to the fifth passage, AECs showed a mean
doubling time of 24.77 +/- 6 h and a mean frequency of one fibroblast colony
forming unit (CFU-F) for every 116.75 plated cells. AECs expressed mesenchymal
stem cell (MSC) mRNA markers (CD29, CD166, CD90, CD73, CD117) and pluripotent
markers (Nanog and Oct 4), whereas they were negative for CD34 and MHCII.
Mesodermic, ectodermic, and endodermic differentiation was confirmed by staining
and expression of specific markers. We conclude that porcine amniotic membrane
can provide an attractive source of stem cells that may be a useful tool for
biomedical research.
PMID- 26540006
TI - Interaction of partially denatured insulin with a DSPC floating lipid bilayer.
AB - The carefully controlled permeability of cellular membranes to biological
molecules is key to life. In degenerative diseases associated with protein
misfolding and aggregation, protein molecules or their aggregates are believed to
permeate these barriers and threaten membrane integrity. We used neutron
reflectivity to study the interaction of insulin, a model amyloidogenic protein,
with a DSPC floating lipid bilayer. Structural changes consistent with protein
partitioning to the membrane interior and adsorption to a gel phase model lipid
bilayer were observed under conditions where the native fold of the protein is
significantly destabilised. We propose that the perturbation of the membrane by
misfolded proteins involves long term occupation of the membrane by these
proteins, rather than transient perforation events.
PMID- 26540005
TI - The complete chloroplast genome of Ostrya rehderiana.
AB - The complete chloroplast sequence of Ostrya rehderiana is 159 347 bp in length,
containing 85 protein-coding genes, 8 ribosomal RNA genes, and 31 transfer RNA
genes. The circular genome exhibits a typical chloroplast genome structure
comprising a large single copy region of 88 552 bp, a small single copy region of
18 941 bp and a pair of inverted repeats of 25 927 bp. The overall GC content of
the chloroplast genome is 36.5%. Phylogenetic analysis of O. rehderiana sequence
together with 12 complete chloroplast genomes revealed a basal placement of O.
rehderiana within the Fagales species.
PMID- 26540008
TI - Presentation, diagnosis and management of neck abscesses in children.
AB - The aim of the study was to analyze the presenting signs and symptoms, diagnostic
procedures, clinical course, pathogenic organisms and management of neck
abscesses in children. MATERIALS AND METHODS: This retrospective medical records
review comprised of 51 pediatric cases referred because of neck abscess from 2001
to 2014. Medical records of the patients were reviewed for demographic data,
clinical presentation, treatment before referral, localization of the abscess,
imaging evaluation, medical and surgical treatment, bacteriological data and
complications. RESULTS: Average age of the patients was 4.9 years. 18 (35%) of
the children were below one year of age. The submandibular was the most common
area involved (41,2%). Contrast-enhanced computed tomography (CECT) was performed
in 45% of patients mainly with deep neck abscesses. All CECT scans showed the
fluid collections. In all but one of the patients treated surgically and
diagnosed with ultrasound and/or CECT surgical intervention revealed presence of
pus. The most common pathogen was Staphylococcus aureus- SA (78% of all isolates)
of which 24% were methicillin resistant Staphylococcus aureus - MRSA. Clindamycin
resistance was detected in 28% of all SA isolates and in 67% (4/6) of all MRSA
isolates. All MRSA isolates were sensitive to vancomycin. CONCLUSIONS: CECT is
reliable imaging technique for diagnosing deep neck abscesses in children.
Infants with neck abscesses are at higher risk of having MRSA as offending
pathogen which should be taken into consideration when considering empiric
treatment. Vancomycin is recommended as empiric antibiotic therapy in newborns
with neck abscess.
PMID- 26540009
TI - Evaluation of central auditory processing in children with Specific Language
Impairment.
AB - Specific Language Impairment (SLI) affects about 7-15 % of children of school age
and according to the currently accepted diagnostic criteria, it is presumed that
these children do not suffer from hearing impairment. The goal of this work was
to assess anomalies of central auditory processes in a group of children
diagnosed with specific language impairment. Material consisted of 200 children
aged 7-10 years (100 children in the study group and 100 hundred in the control
group). Selected psychoacoustic tests (Frequency Pattern Test - FPT, Duration
Pattern Test - DPT, Dichotic Digit Test - DDT, Time Compressed Sentence Test -
CST, Gap Detection Test - GDT) were performed in all children. Results were
subject to statistical analysis. It was observed that mean results obtained in
individual age groups in the study group are significantly lower than in the
control group. Based on the conducted studies we may conclude that children with
SLI suffer from disorders of some higher auditory functions, which substantiates
the diagnosis of hearing disorders according to the AHSA (American Hearing and
Speech Association) guidelines. Use of sound-based, not verbal tests, eliminates
the probability that observed problems with perception involve only perception of
speech, therefore do not signify central hearing disorders, but problems with
understanding of speech. Lack of literature data on the significance of FPT, DPT,
DDT, CST and GDT tests in children with specific language impairment precludes
comparison of acquired results and makes them unique.
PMID- 26540010
TI - Discovery of a secular trend in Cayo Santiago macaque reproduction.
AB - Reproductive synchrony and the consequent clustering of births are hypothesized
to be regulated by seasonal changes in rainfall and food availability. Such
climate-related seasonality is, however, questionable in tropical populations
occupying temporally invariant habitats year round. Using the long-term data of
the Cayo Santiago rhesus macaques from 1973 to 2013, this study distinguishes
synchrony (a greater than chance clustering of births) from seasonality (a
cluster of births during a period of the year when abiotic conditions are
favorable) and shows that females are highly synchronized (>72% of births in a 3
month period) but the effects of environmental zeitgebers on reproduction are
overridden by biological factors. Specifically, biotic and abiotic factors
including (i) loss of immature offspring; (ii) population density; (iii) age at
delivery; (iv) rainfall; and (v) changes in colony management were modeled in
relation to the annual onset of births and the median birth date. Females
experiencing loss of immature offspring had an interbirth interval of <365 days
in average and the proportion of these females increased up to 48% due to changes
in colony management overtime, although reproductive synchrony increased with
increasing population density. A secular trend in both the onset of births and
the median date of birth is documented and the model predicts that the median
birth date will advance across all calendar-based seasons by 2050. The secular
trend in reproduction appears to be triggered by changes in the age at delivery
of females, the absence of physiological constraints from maternal investment due
to offspring loss, shorter interbirth interval, and a higher degree of
coordination due to increasing population density. This study challenges the
reproductive phenology previously described for rhesus macaques highlighting the
importance of long-term studies in addressing the ultimate causes of reproductive
synchrony.
PMID- 26540011
TI - Capillary Printing of Highly Aligned Silver Nanowire Transparent Electrodes for
High-Performance Optoelectronic Devices.
AB - Percolation networks of silver nanowires (AgNWs) are commonly used as transparent
conductive electrodes (TCEs) for a variety of optoelectronic applications, but
there have been no attempts to precisely control the percolation networks of
AgNWs that critically affect the performances of TCEs. Here, we introduce a
capillary printing technique to precisely control the NW alignment and the
percolation behavior of AgNW networks. Notably, partially aligned AgNW networks
exhibit a greatly lower percolation threshold, which leads to the substantial
improvement of optical transmittance (96.7%) at a similar sheet resistance (19.5
Omega sq(-1)) as compared to random AgNW networks (92.9%, 20 Omega sq(-1)).
Polymer light-emitting diodes (PLEDs) using aligned AgNW electrodes show a 30%
enhanced maximum luminance (33068 cd m(-2)) compared to that with random AgNWs
and a high luminance efficiency (14.25 cd A(-1)), which is the highest value
reported so far using indium-free transparent electrodes for fluorescent PLEDs.
In addition, polymer solar cells (PSCs) using aligned AgNW electrodes exhibit a
power conversion efficiency (PCE) of 8.57%, the highest value ever reported to
date for PSCs using AgNW electrodes.
PMID- 26540013
TI - A Metal-Free Supercapacitor Electrode Material with a Record High Volumetric
Capacitance over 800 F cm(-3).
AB - A metal-free supercapacitor electrode material is prepared by the hybridization
of graphene and polyaniline in a very compact way without sacrificing their
gravimetric capacitance. It exhibits a record high volumetric capacitance over
800 F cm(-3).
PMID- 26540012
TI - Phenotype of Spirometric Impairment in an Aging Population.
AB - RATIONALE: The Global Lung Initiative (GLI) provides age-appropriate criteria for
establishing spirometric impairment, including mild, moderate, and severe chronic
obstructive pulmonary disease (COPD) and restrictive pattern, but its association
with respiratory-related phenotypes has not been evaluated. OBJECTIVES: To
evaluate respiratory-related phenotypes in GLI-defined spirometric impairment.
METHODS: In COPDGene (N = 10,131 patients; age range, 45-81 yr; average smoking
history, 44.3 pack-years), we evaluated spirometry, dyspnea (modified Medical
Research Council grade, >=2), poor respiratory health-related quality of life
(St. George's Respiratory Questionnaire total score, >=25), poor exercise
performance (6-minute-walk distance, <391 m), bronchodilator reversibility (FEV1
change, >12% and >=200 ml), and computed tomography-diagnosed emphysema and gas
trapping (>5% and >15% of lung, respectively). MEASUREMENTS AND MAIN RESULTS: GLI
established normal spirometry in 5,100 patients (50.3%), mild COPD in 669 (6.6%),
moderate COPD in 865 (8.5%), severe COPD in 2,522 (24.9%), and restrictive
pattern in 975 (9.6%). Relative to normal spirometry, graded associations with
respiratory-related phenotypes were found for mild, moderate, and severe COPD,
with respective adjusted odds ratios (95% confidence intervals) as follows:
dyspnea-1.31 (1.10-1.56), 2.20 (1.81-2.68), and 10.73 (8.04-14.33); poor
respiratory health-related quality of life-1.49 (1.28-1.75), 2.69 (2.08-3.47),
and 14.61 (10.09-21.17); poor exercise performance-1.11 (0.94-1.31), 1.58 (1.33
1.88), and 4.58 (3.42-6.12); bronchodilator reversibility-2.76 (2.24-3.40), 5.18
(4.29-6.27), and 6.21 (5.06-7.62); emphysema-4.86 (3.16-7.47), 6.41 (4.09-10.05),
and 17.79 (10.79-29.32); and gas trapping-3.92 (3.12-4.93), 5.20 (3.82-7.07), and
16.28 (9.71-27.30). Restrictive pattern was also associated with multiple
respiratory-related phenotypes at a level similar to moderate COPD, but it was
otherwise not associated with emphysema (0.89 [0.60-1.32]) or gas trapping (1.15
[0.92-1.42]). CONCLUSIONS: GLI-defined spirometric impairment establishes
clinically meaningful respiratory disease, as validated by graded associations
with respiratory-related phenotypes.
PMID- 26540014
TI - Automatic periodic stimulation of the vagus nerve during single-incision
transaxillary robotic thyroidectomy: Feasibility, safety, and first cases.
AB - BACKGROUND: Automatic periodic stimulation (APS) of the vagus nerve during
thyroid surgery may provide the patient with increased safety to prevent
impending thermal or stretch-related injury to the recurrent laryngeal nerve
(RLN). METHODS: Vagal stimulation would be of particular interest in remote
access robotic thyroid surgery, where the RLN may be exposed to more conducted
heat because of the exclusive use of the Harmonic scalpel. Using APS, the console
surgeon may adjust the dissection technique according to the signal changes to
avoid impending thermal damage to the nerve. RESULTS: In 2 transaxillary robotic
thyroidectomy cases, we placed the vagus electrode onto the ipsilateral vagus
trunk through the single-incision transaxillary access before docking the da
Vinci robot. APS of the ipsilateral nerve has been conducted without
complications. CONCLUSION: APS of the ipsilateral vagus is feasible and safe
during transaxillary robotic thyroidectomy, and may contribute to prevent
impending thermal injury to the RLN.
PMID- 26540015
TI - An evaluation of the impact of 'Lifeskills' training on road safety, substance
use and hospital attendance in adolescence.
AB - PURPOSE: To evaluate if attendance at Lifeskills, a safety education centre for
children in Year 6 (10-11 years), is associated with engagement in safer
behaviours, and with fewer accidents and injuries, in adolescence. METHODS: The
sample are participants in the Avon Longitudinal Study of Parents and Children
who attended school in the Lifeskills catchment area in Year 6; 60% attended
Lifeskills. At 14-15 years, participants (n approximately 3000, varies by
outcome) self-reported road safety behaviours and accidents, and perceived health
effects and use of alcohol, cannabis, and tobacco. Additional outcomes from
linkage to Hospital Episodes Statistics were available for a sub-sample (n=1768):
hospital admittance (for accident-related reason, from 11-16 years) and A&E
attendance (for any reason, from approximately 14-16 years). RESULTS: Children
who attended Lifeskills were more likely to report using pedestrian crossings on
their way to school than children who did not attend (59% versus 52%). Lifeskills
attendance was unrelated to the ownership of cycle helmets, or the use of cycle
helmets, seat belts, or reflective/fluorescent clothing, or to A&E attendance.
Use of cycle helmets (37%) and reflective/fluorescent clothing (<4%) on last
cycle was low irrespective of Lifeskills attendance. Lifeskills attendance was
associated with less reported smoking and cannabis use, but was generally
unrelated to perceptions of the health impact of substance use. CONCLUSIONS:
Lifeskills attendance was associated with some safer behaviours in adolescence.
The overall low use of cycle helmets and reflective/fluorescent clothing
evidences the need for powerful promotion of some safer behaviours at Lifeskills
and at follow-up in schools.
PMID- 26540016
TI - Sensitivity analyses for partially observed recurrent event data.
AB - Recurrent events involve the occurrences of the same type of event repeatedly
over time and are commonly encountered in longitudinal studies. Examples include
seizures in epileptic studies or occurrence of cancer tumors. In such studies,
interest lies in the number of events that occur over a fixed period of time. One
considerable challenge in analyzing such data arises when a large proportion of
patients discontinues before the end of the study, for example, because of
adverse events, leading to partially observed data. In this situation, data are
often modeled using a negative binomial distribution with time-in-study as
offset. Such an analysis assumes that data are missing at random (MAR). As we
cannot test the adequacy of MAR, sensitivity analyses that assess the robustness
of conclusions across a range of different assumptions need to be performed.
Sophisticated sensitivity analyses for continuous data are being frequently
performed. However, this is less the case for recurrent event or count data. We
will present a flexible approach to perform clinically interpretable sensitivity
analyses for recurrent event data. Our approach fits into the framework of
reference-based imputations, where information from reference arms can be
borrowed to impute post-discontinuation data. Different assumptions about the
future behavior of dropouts dependent on reasons for dropout and received
treatment can be made. The imputation model is based on a flexible model that
allows for time-varying baseline intensities. We assess the performance in a
simulation study and provide an illustration with a clinical trial in patients
who suffer from bladder cancer.
PMID- 26540017
TI - Curcumin improves tendon healing in rats: a histological, biochemical, and
functional evaluation.
AB - Curcumin, a compound extracted from the roots of Zingiberaceae, has been proposed
as a treatment for tissue injury but studies are yet to be done on its effect on
tendon healing. Therefore, we performed a series of experiments to test our
hypothesis that curcumin has positive effects on tendon repair. Patellar tendon
window defect was created in Sprague-Dawley rats and these were divided into two
groups: (i) control and (ii) curcumin-treated. Curcumin (100 mg/kg body weight)
was applied by oral gavage. Its potential for promoting tendon healing was
assessed by histological evaluation, mRNA expression of tenocyte-related genes,
malondialdehyde (MDA) levels, manganese-dependent superoxide dismutase (MnSOD)
activity, quantification of hydroxyproline (HOPro), and biomechanical testing. In
this tendon injury model, curcumin significantly improved the healing properties
as evidenced by extensive deposition of well-organized collagen fibers, decreased
MDA levels, and increase in the biomechanical properties and MnSOD activity of
the regenerated tendon tissues. The current study showed that curcumin can
improve the quality of tendon rupture healing, and thus represents a promising
strategy in the management of injured tendon tissue.
PMID- 26540019
TI - Cytotoxic oplopane sesquiterpenoids from Arnoglossum atriplicifolium.
AB - Pale Indian plantain (Arnoglossum atriplicifolium (L.) H. Rob.) is a plant with
traditional medicinal usage among the Cherokee Native American tribe for treating
cancer. Two oplopane sesquiterpenoids were isolated from an extract of A.
atriplicifolium from Western North Carolina. The compounds were isolated by
bioassay-guided fractionation using an MCF-7 breast tumour cell line assay. The
known compound (1S,6R,7R,8R)-1-acetoxy-6,7-diangeloxy-8,10-epoxy-2-oxo-oplopa
3,14Z,11,12-dien-13-al (1) had an EC50 value of 9.0 MUM against MCF-7 cells,
while the new compound (1S,3R,6R,7R,8R,11S)-1-acetoxy-6,7-diangeloxy-8,10,11,13
bisepoxyoplopan-2-one (2) had an EC50 value of 96 MUM. The compounds were
characterised by 1D and 2D NMR spectroscopy and by comparison with literature
values in the case for 1. Based on NOESY analysis, a correction of the relative
configuration for 1 is presented. The presence of these compounds may help to
explain the folk remedy usage of this plant as an anticancer agent.
PMID- 26540018
TI - Two novel regulators of N-acetyl-galactosamine utilization pathway and distinct
roles in bacterial infections.
AB - Bacterial pathogens can exploit metabolic pathways to facilitate their successful
infection cycles, but little is known about roles of d-galactosamine (GalN)/N
acetyl-d-galactosamine (GalNAc) catabolism pathway in bacterial pathogenesis.
Here, we report the genomic reconstruction of GalN/GalNAc utilization pathway in
Streptococci and the diversified aga regulons. We delineated two new paralogous
AgaR regulators for the GalN/GalNAc catabolism pathway. The electrophoretic
mobility shift assays experiment demonstrated that AgaR2 (AgaR1) binds the
predicted palindromes, and the combined in vivo data from reverse transcription
quantitative polymerase chain reaction and RNA-seq suggested that AgaR2 (not
AgaR1) can effectively repress the transcription of the target genes. Removal of
agaR2 (not agaR1) from Streptococcus suis 05ZYH33 augments significantly the
abilities of both adherence to Hep-2 cells and anti-phagocytosis against RAW264.7
macrophage. As anticipated, the dysfunction in AgaR2-mediated regulation of S.
suis impairs its pathogenicity in experimental models of both mice and piglets.
Our finding discovered two novel regulators specific for GalN/GalNAc catabolism
and assigned them distinct roles into bacterial infections. To the best of our
knowledge, it might represent a first paradigm that links the GalN/GalNAc
catabolism pathway to bacterial pathogenesis.
PMID- 26540020
TI - Early diagnosis based on clinical history and BALF for successful management of
smoking-induced acute eosinophilic pneumonia without unnecessary antibiotic
usage: a case report.
AB - INTRODUCTION: Acute eosinophilic pneumonia (AEP) is a rapid onset and severe
respiratory illness characterized by acute febrile respiratory insufficiency,
eosinophilic infiltration in the lungs and unique findings on chest imaging.
Difficulty in differentiating from other respiratory distress caused by community
acquired pneumonia may result in a delayed diagnosis or treatment with empirical
antibiotics. CASE STUDY: Sixteen-year-old boy who developed AEP with marked
eosinophilia in bronchoalveolar lavage fluid (BALF, 36.6%), decreased diffusion
capacity of the lung for carbon monoxide (62%) and unique radiological findings.
Although he initially denied tobacco use, on repeated thorough clinical history
questioning, he eventually admitted beginning smoking 19 days before the onset of
symptoms with gradually increasing frequency. RESULTS: His symptoms resolved
quickly without use of antibiotics after cessation of tobacco and treatment with
corticosteroids. CONCLUSION: Careful clinical history taking regarding tobacco
use combined with early examination of BALF and recognition of unique
radiological findings are critical for proper management of AEP.
PMID- 26540021
TI - Injectable Self-Healing Glucose-Responsive Hydrogels with pH-Regulated Mechanical
Properties.
AB - Dynamically restructuring pH-responsive hydrogels are synthesized, employing
dynamic covalent chemistry between phenylboronic acid and cis-diol modified
poly(ethylene glycol) macromonomers. These gels display shear-thinning behavior,
followed by a rapid structural recovery (self-healing). Size-dependent in vitro
controlled and glucose-responsive release of proteins from the hydrogel network,
as well as the biocompatibility of the gels, are evaluated both in vitro and in
vivo.
PMID- 26540022
TI - Complete maternal mitochondrial genome of freshwater mussel Aculamprotula
tientsinensis (Bivalvia: Unionidae: Unioninae).
AB - Aculamprotula tientsinensis is a rare and endemic species of freshwater mussel in
China. This study firstly determined the complete F-type mitochondrial genome of
A. tientsinensis. The circle genome (15 695 bp) comprises 13 protein-coding
genes, 22 tRNA genes, 2 rRNA genes, 1 FORF gene. Except for cob, nad5 and nad6,
the remaining protein-coding genes initiate with the orthodox start codon (ATG,
ATA, ATT). There are 26 non-coding regions in the mitogenome of A. tientsinensis,
ranging in size from 1 to 229 bp. The base composition of the genome is A
(37.83%), G (12.69%), T (25.43%) and C (24.06%). Gene order is identical to other
female species of Unionidae but for Gonideinae. The phylogenetic analyses of
Unionidae indicate that A. tientsinensis is closely related to A. tortuosa and A.
coreana, which belong to Unioninae. The complete mitogenome can deepen
comparative and evolutionary genomics of Unionidae and be more comprehensive to
parse the genetic relationship between the species and the ownership beyond
species.
PMID- 26540023
TI - Determination of Lutein from Fruit and Vegetables Through an Alkaline Hydrolysis
Extraction Method and HPLC Analysis.
AB - A simple and rapid analytical method for the determination of lutein content,
successfully used for cereal matrices, was evaluated in fruit and vegetables. The
method involved the determination of lutein after an alkaline hydrolysis of the
sample matrix, followed by extraction with solvents and analysis by normal phase
HPLC. The optimized method was simple, precise, and accurate and it was
characterized by few steps that could prevent loss of lutein and its degradation.
The optimized method was used to evaluate the lutein amounts in several fruit and
vegetables. Rich sources of lutein were confirmed to be green vegetables such as
parsley, spinach, chicory, chard, broccoli, courgette, and peas, even if in a
range of variability. Taking into account the suggested reference values these
vegetables can be stated as good sources of lutein.
PMID- 26540024
TI - Bench Press Upper-Body Muscle Activation Between Stable and Unstable Loads.
AB - The bench press is one of the most commonly used upper-body exercises in training
and is performed with many different variations, including unstable loads (ULs).
Although there is much research on use of an unstable surface, there is little to
none on the use of an UL. The purpose of this study was to investigate muscle
activation during the bench press while using a stable load (SL) vs. UL. Twenty
resistance-trained men (age = 24.1 +/- 2 years; ht = 177.5 +/- 5.8 cm; mass =
88.7 +/- 13.7 kg) completed 2 experimental conditions (SL and UL) at 2 different
intensities (60 and 80% one repetition maximum). Unstable load was achieved by
hanging 16 kg kettlebells by elastic bands from the end of the bar. All trial
lifts were set to a 2-second cadence with a slight pause at the bottom. Subjects
had electrodes attached to 5 muscles (pectoralis major, anterior deltoid, medial
deltoid, triceps brachii, and latissimus dorsi) and performed 3 isometric bench
press trials to normalize electromyographic data. All 5 muscles demonstrated
significantly greater activation at 80% compared with 60% load and during
concentric compared with eccentric actions. These results suggest that upper body
muscle activation is not different in the bench press between UL and SL.
Therefore, coaches should use their preference when designing training programs.
PMID- 26540025
TI - Diagnosis of Pediatric Obstructive Sleep Apnea Syndrome in Settings With Limited
Resources.
AB - IMPORTANCE: Although polysomnographic (PSG) testing is the gold standard for the
diagnosis of obstructive sleep apnea syndrome (OSAS) in children, the number of
pediatric sleep laboratories is limited. Developing new screening methods for
identifying OSAS may reduce the need for PSG testing. OBJECTIVE: To evaluate the
combined use of the sleep clinical record (SCR) and nocturnal oximetry testing
for predicting PSG results in children with clinically suspected OSAS. DESIGN,
SETTING, AND PARTICIPANTS: Prospective study over 10 months. A cohort of 268
consecutive children (mean [SD], age 6 [3] years) referred for clinically
suspected OSAS was studied at a pediatric sleep center at a university hospital.
Children with disorders other than adenotonsillar hypertrophy or obesity were
excluded. MAIN OUTCOMES AND MEASURES: Mild OSAS (obstructive apnea-hypopnea index
[AHI], 1-5 episodes/h) and moderate-to-severe OSAS (AHI, >5 episodes/h) were the
main outcome measures. Sleep clinical record scores greater than or equal to6.5
were considered positive, as were McGill oximetry scores (MOS) greater than 1,
and these positive scores were the main explanatory variables in our study. Each
participant was evaluated by the SCR, followed by pulse oximetry test the first
night and PSG test in the sleep laboratory the second night. RESULTS: Of the
total participants, 236 (88.1%) were diagnosed with OSAS, 236 (88.1%) had a
positive SCR score, and 50 (18.7%) had a positive MOS. Participants with positive
SCR scores had significantly increased risk of an AHI greater than or equal to 1
(adjusted odds ratio [AOR], 9.3; 95% CI, 3.7-23.2; P < .001). Children with an
MOS greater than 1 were significantly more likely to have an AHI greater than 5
episodes/h than children with an MOS equal to 1 (AOR, 26.5; 95% CI, 7.8-89.2; P <
.001). A positive SCR score had satisfactory sensitivity (91.9%) and positive
predictive value (91.9%) but limited specificity (40.6%) and negative predictive
value (40.6%) for OSAS. An MOS greater than 1 had excellent specificity (97.4%)
and positive predictive value (94%) but low sensitivity (39.2%) and fair negative
predictive value (60.8%) for moderate-to-severe OSAS among children with a
positive SCR score. The combination of SCR scores and MOS correctly predicted
primary snoring, mild OSAS, or moderate-to-severe OSAS in 154 of 268 (57.4%)
participants. CONCLUSIONS AND RELEVANCE: The combined use of the SCR score and
nocturnal oximetry results has moderate success in predicting sleep-disordered
breathing severity when PSG testing is not an option.
PMID- 26540026
TI - Physical Fitness in Adolescence and Subsequent Inflammatory Bowel Disease Risk.
AB - OBJECTIVES: Physical fitness may reduce systemic inflammation levels relevant to
the risk of symptomatic Crohn's disease (CD) and ulcerative colitis (UC); we
assessed if fitness in adolescence is associated with subsequent inflammatory
bowel disease (IBD) risk, independent of markers of risk and prodromal disease
activity. METHODS: Swedish registers provided information on a cohort of 240,984
men (after exclusions) who underwent military conscription assessments in late
adolescence (1969-1976). Follow-up started at least 4 years after the
conscription assessment until 31 December 2009 (up to age 57 years). Cox's
regression assessed the association of physical fitness with CD (n=986) and UC
(n=1,878) in separate models, with adjustment including: socioeconomic conditions
in childhood; physical fitness, height, body mass index, and erythrocyte
sedimentation rate (ESR) in adolescence; and subsequent diagnoses of IBD.
RESULTS: Low fitness was associated with a raised risk of IBD, with unadjusted
hazard ratios (and 95% confidence intervals) of 1.62 (1.31-2.00) for CD and 1.36
(1.17-1.59) for UC. The results were attenuated by adjustment, particularly for
markers of prodromal disease activity to 1.32 (1.05-1.66) and 1.25 (1.06-1.48),
respectively. Raised ESR in adolescence was associated with increased risks for
subsequent CD (5.95 (4.47-7.92)) and UC (1.92 (1.46-2.52)). CONCLUSIONS: The
inverse association of physical fitness with IBD risk is consistent with a
protective role for exercise. However, evidence of disease activity before
diagnosis was already present in adolescence, suggesting that some or all of the
association between fitness and IBD may be due to prodromal disease activity
reducing exercise capacity and therefore fitness.
PMID- 26540027
TI - Creation of an Electronic Data Repository for Patients With Nasal Obstruction
Undergoing Functional Rhinoplasty.
PMID- 26540029
TI - Immunotherapy in hepatocellular carcinoma: Primed to make a difference?
AB - Advanced hepatocellular carcinoma (HCC) carries a dismal prognosis and the
current treatment is limited to sorafenib, an agent with modest benefit.
Preclinical data have indicated that several immunologic mechanisms are at play
to promote HCC development and growth while impairing effective antitumor immune
surveillance. Several novel approaches geared toward manipulating the immune
response to HCC have suggested a therapeutic benefit in early-stage clinical
trials, indicating a real potential to augment tumor-specific immunity and
improve outcomes in patients with this disease. In the current study, the authors
reviewed the barriers to an effective immune response against HCC and
contemporary clinical investigations that may be "primed" to alter the natural
history of HCC.
PMID- 26540028
TI - Erlotinib and the Risk of Oral Cancer: The Erlotinib Prevention of Oral Cancer
(EPOC) Randomized Clinical Trial.
AB - IMPORTANCE: Standard molecularly based strategies to predict and/or prevent oral
cancer development in patients with oral premalignant lesions (OPLs) are lacking.
OBJECTIVE: To test if the epidermal growth factor receptor inhibitor erlotinib
would reduce oral cancer development in patients with high-risk OPLs defined by
specific loss of heterozygosity (LOH) profiles. Secondary objectives included
prospective determination of LOH as a prognostic marker in OPLs. DESIGN: The
Erlotinib Prevention of Oral Cancer (EPOC) study was a randomized, placebo
controlled, double-bind trial. Accrual occurred from November 2006 through July
2012, with a median follow-up time of 35 months in an ambulatory care setting in
5 US academic referral institutions. Patients with OPLs were enrolled in the
protocol, and each underwent LOH profiling (N = 379); they were classified as
high-risk (LOH-positive) or low-risk (LOH-negative) patients based on their LOH
profiles and oral cancer history. The randomized sample consisted of 150 LOH
positive patients. INTERVENTIONS: Oral erlotinib treatment (150 mg/d) or placebo
for 12 months. MAIN OUTCOMES AND MEASURES: Oral cancer-free survival (CFS).
RESULTS: A total of 395 participants were classified with LOH profiles, and 254
were classified LOH positive. Of these, 150 (59%) were randomized, 75 each to the
placebo and erlotinib groups. The 3-year CFS rates in placebo- and erlotinib
treated patients were 74% and 70%, respectively (hazard ratio [HR], 1.27; 95% CI,
0.68-2.38; P = .45). The 3-year CFS was significantly lower for LOH-positive
compared with LOH-negative groups (74% vs 87%, HR, 2.19; 95% CI, 1.25-3.83; P =
.01). Increased EGFR gene copy number correlated with LOH-positive status (P <
.001) and lower CFS (P = .01). The EGFR gene copy number was not predictive of
erlotinib efficacy. Erlotinib-induced skin rash was associated with improved CFS
(P = .01). CONCLUSIONS AND RELEVANCE: In this trial, LOH was validated as a
marker of oral cancer risk and found to be associated with increased EGFR copy
number (the target of the intervention). Erlotinib did not, however, improve CFS
in high-risk patients with LOH-positive or high-EGFR-gene-copy-number OPLs. These
results support incorporation of LOH testing as a prognostic tool in routine
clinical practice but do not support erlotinib use in this setting. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: NCT00402779.
PMID- 26540030
TI - Chemotaxonomic Metabolite Profiling of 62 Indigenous Plant Species and Its
Correlation with Bioactivities.
AB - Chemotaxonomic metabolite profiling of 62 indigenous Korean plant species was
performed by ultrahigh performance liquid chromatography (UHPLC)-linear trap
quadrupole-ion trap (LTQ-IT) mass spectrometry/mass spectrometry (MS/MS) combined
with multivariate statistical analysis. In partial least squares discriminant
analysis (PLS-DA), the 62 species clustered depending on their phylogenetic
family, in particular, Aceraceae, Betulaceae, and Fagaceae were distinguished
from Rosaceae, Fabaceae, and Asteraceae. Quinic acid, gallic acid, quercetin,
quercetin derivatives, kaempferol, and kaempferol derivatives were identified as
family-specific metabolites, and were found in relatively high concentrations in
Aceraceae, Betulaceae, and Fagaceae. Fagaceae and Asteraceae were selected based
on results of PLS-DA and bioactivities to determine the correlation between
metabolic differences among plant families and bioactivities. Quinic acid,
quercetin, kaempferol, quercetin derivatives, and kaempferol derivatives were
found in higher concentrations in Fagaceae than in Asteraceae, and were
positively correlated with antioxidant and tyrosinase inhibition activities.
These results suggest that metabolite profiling was a useful tool for finding the
different metabolic states of each plant family and understanding the correlation
between metabolites and bioactivities in accordance with plant family.
PMID- 26540031
TI - Drug Discovery of Host CLK1 Inhibitors for Influenza Treatment.
AB - The rapid evolution of influenza virus makes antiviral drugs less effective,
which is considered to be a major bottleneck in antiviral therapy. The key
proteins in the host cells, which are related with the replication cycle of
influenza virus, are regarded as potential drug targets due to their distinct
advantage of lack of evolution and drug resistance. Cdc2-like kinase 1 (CLK1) in
the host cells is responsible for alternative splicing of the M2 gene of
influenza virus during influenza infection and replication. In this study, we
carried out baculovirus-mediated expression and purification of CLK1 and
established a reliable screening assay for CLK1 inhibitors. After a virtual
screening of CLK1 inhibitors was performed, the activities of the selected
compounds were evaluated. Finally, several compounds with strong inhibitory
activity against CLK1 were discovered and their in vitro anti-influenza virus
activities were validated using a cytopathic effect (CPE) reduction assay. The
assay results showed that clypearin, corilagin, and pinosylvine were the most
potential anti-influenza virus compounds as CLK1 inhibitors among the compounds
tested. These findings will provide important information for new drug design and
development in influenza treatment, and CLK1 may be a potent drug target for anti
influenza drug screening and discovery.
PMID- 26540032
TI - Shuidouchi (Fermented Soybean) Fermented in Different Vessels Attenuates
HCl/Ethanol-Induced Gastric Mucosal Injury.
AB - Shuidouchi (Natto) is a fermented soy product showing in vivo gastric injury
preventive effects. The treatment effects of Shuidouchi fermented in different
vessels on HCl/ethanol-induced gastric mucosal injury mice through their
antioxidant effect was determined. Shuidouchi contained isoflavones (daidzein and
genistein), and GVFS (glass vessel fermented Shuidouchi) had the highest
isoflavone levels among Shuidouchi samples fermented in different vessels. After
treatment with GVFS, the gastric mucosal injury was reduced as compared to the
control mice. The gastric secretion volume (0.47 mL) and pH of gastric juice
(3.1) of GVFS treated gastric mucosal injury mice were close to those of
ranitidine-treated mice and normal mice. Shuidouchi could decrease serum motilin
(MTL), gastrin (Gas) level and increase somatostatin (SS), vasoactive intestinal
peptide (VIP) level, and GVFS showed the strongest effects. GVFS showed lower IL
6, IL-12, TNF-alpha and IFN-gamma cytokine levels than other vessel fermented
Shuidouchi samples, and these levels were higher than those of ranitidine-treated
mice and normal mice. GVFS also had higher superoxide dismutase (SOD), nitric
oxide (NO) and malonaldehyde (MDA) contents in gastric tissues than other
Shuidouchi samples. Shuidouchi could raise IkappaB-alpha, EGF, EGFR, nNOS, eNOS,
Mn-SOD, Gu/Zn-SOD, CAT mRNA expressions and reduce NF-kappaB, COX-2, iNOS
expressions as compared to the control mice. GVFS showed the best treatment
effects for gastric mucosal injuries, suggesting that glass vessels could be used
for Shuidouchi fermentation in functional food manufacturing.
PMID- 26540033
TI - Optimization of Extraction Condition of Bee Pollen Using Response Surface
Methodology: Correlation between Anti-Melanogenesis, Antioxidant Activity, and
Phenolic Content.
AB - Bee pollen is flower pollen with nectar and salivary substances of bees and rich
in essential components. Bee pollen showed antioxidant and tyrosinase inhibitory
activity in our assay system. To maximize the antioxidant and tyrosinase
inhibitory activity of bee pollen, extraction conditions, such as extraction
solvent, extraction time, and extraction temperature, were optimized using
response surface methodology. Regression analysis showed a good fit of this model
and yielded the second-order polynomial regression for tyrosinase inhibition and
antioxidant activity. Among the extraction variables, extraction solvent greatly
affected the activity. The optimal condition was determined as EtOAc
concentration in MeOH, 69.6%; temperature, 10.0 degrees C; and extraction time,
24.2 h, and the tyrosinase inhibitory and antioxidant activity under optimal
condition were found to be 57.9% and 49.3%, respectively. Further analysis showed
the close correlation between activities and phenolic content, which suggested
phenolic compounds are active constituents of bee pollen for tyrosinase
inhibition and antioxidant activity. Taken together, these results provide useful
information about bee pollen as cosmetic therapeutics to reduce oxidative stress
and hyperpigmentation.
PMID- 26540034
TI - The Phe-Phe Motif for Peptide Self-Assembly in Nanomedicine.
AB - Since its discovery, the Phe-Phe motif has gained in popularity as a minimalist
building block to drive the self-assembly of short peptides and their analogues
into nanostructures and hydrogels. Molecules based on the Phe-Phe motif have
found a range of applications in nanomedicine, from drug delivery and
biomaterials to new therapeutic paradigms. Here we discuss the various production
methods for this class of compounds, and the characterization, nanomorphologies,
and application of their self-assembled nanostructures. We include the most
recent findings on their remarkable properties, which hold substantial promise
for the creation of the next generation nanomedicines.
PMID- 26540035
TI - Antihypertensive Effects of Artemisia scoparia Waldst in Spontaneously
Hypertensive Rats and Identification of Angiotensin I Converting Enzyme
Inhibitors.
AB - We investigated the antihypertensive effects of Artemisia scoparia (AS) in
spontaneously hypertensive rats (SHR). The rats were fed diets containing 2%
(w/w) hot water extracts of AS aerial parts for 6 weeks. The AS group had
significantly lower systolic and diastolic blood pressure levels than the control
group. The AS group also had lower angiotensin I converting enzyme (ACE) activity
and angiotensin II content in serum compared to the control group. The AS group
showed higher vascular endothelial growth factor and lower ras homolog gene
family member A expression levels in kidney compared to the control group. The AS
group had significantly lower levels of plasma lipid oxidation and protein
carbonyls than the control group. One new and six known compounds were isolated
from AS by guided purification. The new compound was determined to be 4'-O-beta-D
glucopyranoyl (E)-4-hydroxy-3-methylbut-2-enyl benzoate, based on its nuclear
magnetic resonance and electrospray ionization-mass spectroscopy data.
PMID- 26540036
TI - Synthesis, Characterization and Biological Evaluation of Some Quinoxaline
Derivatives: A Promising and Potent New Class of Antitumor and Antimicrobial
Agents.
AB - In continuation of our endeavor towards the development of potent and effective
anticancer and antimicrobial agents; the present work deals with the synthesis of
some novel tetrazolo[1,5-a]quinoxalines, N-pyrazoloquinoxalines, the
corresponding Schiff bases, 1,2,4-triazinoquinoxalines and 1,2,4
triazoloquinoxalines. These compounds were synthesized via the reaction of the
key intermediate hydrazinoquinoxalines with various reagents and evaluated for
anticancer and antimicrobial activity. The results indicated that tetrazolo[1,5
a]quinoxaline derivatives showed the best result, with the highest inhibitory
effects towards the three tested tumor cell lines, which were higher than that of
the reference doxorubicin and these compounds were non-cytotoxic to normal cells
(IC50 values > 100 MUg/mL). Also, most of synthesized compounds exhibited the
highest degrees of inhibition against the tested strains of Gram positive and
negative bacteria, so tetrazolo[1,5-a]quinoxaline derivatives show dual activity
as anticancer and antimicrobial agents.
PMID- 26540037
TI - Anti-Inflammatory Activity Comparison among Scropoliosides-Catalpol Derivatives
with 6-O-Substituted Cinnamyl Moieties.
AB - We have previously shown that scropolioside B has higher anti-inflammatory
activity than catalpol does after the inhibition of nuclear factor (NF)-kappaB
activity and IL-1beta expression, maturation, and secretion. Various
scropoliosides were extracted, isolated, and purified from Scrophularia dentata
Royle ex Benth. We then compared their anti-inflammatory activities against LPS
induced NF-kappaB activity, cytokines mRNA expression, IL-1beta secretion, and
cyclooxygenase-2 activity. The inhibitory effects of the scropoliosides varied
depending on whether the 6-O-substituted cinnamyl moiety was linked to C'' 2-OH,
C''3-OH, or C''4-OH, and on the number of moieties linked, which is closely
related to the enhancement of antiinflammatory activity. Among these compounds,
scropolioside B had the strongest antiinflammatory effects.
PMID- 26540038
TI - Evaluation of New Fluorescent Lipophosphoramidates for Gene Transfer and
Biodistribution Studies after Systemic Administration.
AB - The objective of lung gene therapy is to reach the respiratory epithelial cells
in order to deliver a functional nucleic acid sequence. To improve the synthetic
carrier's efficacy, knowledge of their biodistribution and elimination pathways,
as well as cellular barriers faced, depending on the administration route, is
necessary. Indeed, the in vivo fate guides the adaptation of their chemical
structure and formulation to increase their transfection capacity while
maintaining their tolerance. With this goal, lipidic fluorescent probes were
synthesized and formulated with cationic lipophosphoramidate KLN47 (KLN: Karine
Le Ny). We found that such formulations present constant compaction properties
and similar transfection results without inducing additional cytotoxicity. Next,
biodistribution profiles of pegylated and unpegylated lipoplexes were compared
after systemic injection in mice. Pegylation of complexes led to a prolonged
circulation in the bloodstream, whereas their in vivo bioluminescent expression
profiles were similar. Moreover, systemic administration of pegylated lipoplexes
resulted in a transient liver toxicity. These results indicate that these new
fluorescent compounds could be added into lipoplexes in small amounts without
perturbing the transfection capacities of the formulations. Such additional
properties allow exploration of the in vivo biodistribution profiles of synthetic
carriers as well as the expression intensity of the reporter gene.
PMID- 26540039
TI - Application of CRISPR/Cas9 Technology to HBV.
AB - More than 240 million people around the world are chronically infected with
hepatitis B virus (HBV). Nucleos(t)ide analogs and interferon are the only two
families of drugs to treat HBV currently. However, none of these anti-virals
directly target the stable nuclear covalently closed circular DNA (cccDNA), which
acts as a transcription template for viral mRNA and pre-genomic RNA synthesis and
secures virus persistence. Thus, the fact that only a small number of patients
treated achieve sustained viral response (SVR) or cure, highlights the need for
new therapies against HBV. The clustered regularly interspaced short palindromic
repeats (CRISPR)/Cas9 gene editing system can specifically target the conserved
regions of the HBV genome. This results in robust viral suppression and provides
a promising tool for eradicating the virus. In this review, we discuss the
function and application of the CRISPR/Cas9 system as a novel therapy for HBV.
PMID- 26540041
TI - Comparison of Simple Eudragit Microparticles Loaded with Prednisolone and
Eudragit-Coated Chitosan-Succinyl-Prednisolone Conjugate Microparticles: Part II.
In Vivo Evaluation of Efficacy, Toxicity, and Biodisposition Characteristics.
AB - We previously prepared and evaluated simple Eudragit S100 microparticles loaded
with prednisolone (ES-MP) and Eudragit S100-coated chitosan-succinyl-prednisolone
conjugate microparticles (Ch-MP/ES) in vitro. In this work, the effectiveness,
toxic side effects (5 mg prednisolone (PD) eq/kg * 3 d, 10 mg PD eq/kg * 3 d),
and pharmacokinetic characteristics (5 mg PD eq/kg) were examined using rats with
colitis induced through 2,4,6-trinitrobenzenesulfonic acid. ES-MP did not change
the efficacy or toxic side effects of PD, and this was attributed to incomplete
delivery to the target site and prolonged systemic drug absorption by ES-MP. On
the other hand, Ch-MP/ES promoted the efficacy of PD and ameliorated its toxic
side effects due to better delivery to the target site, very slow drug release
and the strong suppression of drug absorption. Only Ch-MP/ES, which markedly
changed drug release characteristics, improved the in vivo features of PD.
PMID- 26540042
TI - GHRH, PRP-PACAP and GHRHR Target Sequencing via an Ion Torrent Personal Genome
Machine Reveals an Association with Growth in Orange-Spotted Grouper (Epinephelus
coioides).
AB - Growth hormone-releasing hormone (GHRH) and the receptor, GHRHR, constitute
important components of the hypothalamus-pituitary growth axis and act on the
downstream growth hormone (GH). PACAP-related peptide/pituitary adenylate cyclase
activating polypeptide (PRP-PACAP) is a paralog of GHRH. These genes all play key
roles in development and growth patterns. To improve the quality of cultured fish
strains, natural genetic variation must be examined and understood. A mixed
linear model has been widely used in association mapping, taking the population
structures and pairwise kinship patterns into consideration. In this study, a
mass cross population of orange-spotted grouper (Epinephelus coioides) was
examined. These candidate genes were found to harbor low nucleotide diversity
(thetaw from 0.00154 to 0.00388) and linkage disequilibrium levels (delay of 50%
within 2 kbp). Association mapping was employed, and two single-nucleotide
polymorphisms (KR269823.1:g.475A>C and KR269823.1:g.2143T>C) were found to be
associated with growth (false discovery rate Q < 0.05), explaining 9.0%-17.0% of
the phenotypic variance. The association of KR269823.1:g.2143T>C was also found
via haplotype-based association (p < 0.05). The identified associations offer new
insights into gene functions, and the associated single-nucleotide polymorphisms
(SNPs) may be used for breeding purposes.
PMID- 26540043
TI - Protective Effects of Alisma orientale Extract against Hepatic Steatosis via
Inhibition of Endoplasmic Reticulum Stress.
AB - Endoplasmic reticulum (ER) stress is associated with the pathogenesis of hepatic
steatosis. Alisma orientale Juzepzuk is a traditional medicinal herb for
diuretics, diabetes, hepatitis, and inflammation. In this study, we investigated
the protective effects of methanol extract of the tuber of Alisma orientale
(MEAO) against ER stress-induced hepatic steatosis in vitro and in vivo. MEAO
inhibited the tunicamycin-induced increase in luciferase activity of ER stress
reporter constructs containing ER stress response element and ATF6 response
element. MEAO significantly inhibited tunicamycin-induced ER stress marker
expression including GRP78, CHOP, and XBP-1 in tunicamycin-treated Human
hepatocellular carcinoma (HepG2) cells and the livers of tunicamycin-injected
mice. It also inhibited tunicamycin-induced accumulation of cellular
triglyceride. Similar observations were made under physiological ER stress
conditions such as in palmitate (PA)-treated HepG2 cells and the livers of high
fat diet (HFD)-induced obese mice. MEAO repressed hepatic lipogenic gene
expression in PA-treated HepG2 cells and the livers of HFD obese mice.
Furthermore, MEAO repressed very low-density lipoprotein receptor (VLDLR)
expression and improved ApoB secretion in the livers of tunicamycin-injected mice
or HFD obese mice as well as in tunicamycin or PA-treated HepG2 cells. Alismol, a
guaiane-type sesquiterpenes in Alisma orientale, inhibited GRP78 expression in
tunicamycin-treated HepG2 cells. In conclusion, MEAO attenuates ER stress and
prevents hepatic steatosis pathogenesis via inhibition of expression of the
hepatic lipogenic genes and VLDLR, and enhancement of ApoB secretion.
PMID- 26540040
TI - The Role of Oxidative Stress and Antioxidants in Liver Diseases.
AB - A complex antioxidant system has been developed in mammals to relieve oxidative
stress. However, excessive reactive species derived from oxygen and nitrogen may
still lead to oxidative damage to tissue and organs. Oxidative stress has been
considered as a conjoint pathological mechanism, and it contributes to initiation
and progression of liver injury. A lot of risk factors, including alcohol, drugs,
environmental pollutants and irradiation, may induce oxidative stress in liver,
which in turn results in severe liver diseases, such as alcoholic liver disease
and non-alcoholic steatohepatitis. Application of antioxidants signifies a
rational curative strategy to prevent and cure liver diseases involving oxidative
stress. Although conclusions drawn from clinical studies remain uncertain, animal
studies have revealed the promising in vivo therapeutic effect of antioxidants on
liver diseases. Natural antioxidants contained in edible or medicinal plants
often possess strong antioxidant and free radical scavenging abilities as well as
anti-inflammatory action, which are also supposed to be the basis of other
bioactivities and health benefits. In this review, PubMed was extensively
searched for literature research. The keywords for searching oxidative stress
were free radicals, reactive oxygen, nitrogen species, anti-oxidative therapy,
Chinese medicines, natural products, antioxidants and liver diseases. The
literature, including ours, with studies on oxidative stress and anti-oxidative
therapy in liver diseases were the focus. Various factors that cause oxidative
stress in liver and effects of antioxidants in the prevention and treatment of
liver diseases were summarized, questioned, and discussed.
PMID- 26540044
TI - Homeodomain Protein Scr Regulates the Transcription of Genes Involved in Juvenile
Hormone Biosynthesis in the Silkworm.
AB - The silkworm Dominant trimolting (Moltinism, M3) mutant undergoes three larval
molts and exhibits precocious metamorphosis. In this study, we found that
compared with the wild-type (WT) that undergoes four larval molts, both the
juvenile hormone (JH) concentration and the expression of the JH-responsive gene
Kruppel homolog 1 (Kr-h1) began to be greater in the second instar of the M3
mutant. A positional cloning analysis revealed that only the homeodomain
transcription factor gene Sex combs reduced (Scr) is located in the genomic
region that is tightly linked to the M3 locus. The expression level of the Scr
gene in the brain-corpora cardiaca-corpora allata (Br-CC-CA) complex, which
controls the synthesis of JH, was very low in the final larval instar of both the
M3 and WT larvae, and exhibited a positive correlation with JH titer changes.
Importantly, luciferase reporter analysis and electrophoretic mobility shift
assay (EMSA) demonstrated that the Scr protein could promote the transcription of
genes involved in JH biosynthesis by directly binding to the cis-regulatory
elements (CREs) of homeodomain protein on their promoters. These results conclude
that the homeodomain protein Scr is transcriptionally involved in the regulation
of JH biosynthesis in the silkworm.
PMID- 26540045
TI - Myomaker, Regulated by MYOD, MYOG and miR-140-3p, Promotes Chicken Myoblast
Fusion.
AB - The fusion of myoblasts is an important step during skeletal muscle
differentiation. A recent study in mice found that a transmembrane protein called
Myomaker, which is specifically expressed in muscle, is critical for myoblast
fusion. However, the cellular mechanism of its roles and the regulatory mechanism
of its expression remain unclear. Chicken not only plays an important role in
meat production but is also an ideal model organism for muscle development
research. Here, we report that Myomaker is also essential for chicken myoblast
fusion. Forced expression of Myomaker in chicken primary myoblasts promotes
myoblast fusion, whereas knockdown of Myomaker by siRNA inhibits myoblast fusion.
MYOD and MYOG, which belong to the family of myogenic regulatory factors, can
bind to a conserved E-box located proximal to the Myomaker transcription start
site and induce Myomaker transcription. Additionally, miR-140-3p can inhibit
Myomaker expression and myoblast fusion, at least in part, by binding to the 3'
UTR of Myomaker in vitro. These findings confirm the essential roles of Myomaker
in avian myoblast fusion and show that MYOD, MYOG and miR-140-3p can regulate
Myomaker expression.
PMID- 26540046
TI - Characterization of Chitosan Nanofiber Sheets for Antifungal Application.
AB - Chitosan produced by the deacetylation of chitin is a cationic polymer with
antimicrobial properties. In this study, we demonstrate the improvement of
chitosan properties by nanofibrillation. Nanofiber sheets were prepared from
nanofibrillated chitosan under neutral conditions. The Young's modulus and
tensile strength of the chitosan NF sheets were higher than those of the chitosan
sheets prepared from dissolving chitosan in acetic acid. The chitosan NF sheets
showed strong mycelial growth inhibition against dermatophytes Microsporum and
Trichophyton. Moreover, the chitosan NF sheets exhibited resistance to
degradation by the fungi, suggesting potentials long-lasting usage. In addition,
surface-deacetylated chitin nanofiber (SDCNF) sheets were prepared. The SDCNF
sheet had a high Young's modulus and tensile strength and showed antifungal
activity to dermatophytes. These data indicate that nanofibrillation improved the
properties of chitosan. Thus, chitosan NF and SDCNF sheets are useful candidates
for antimicrobial materials.
PMID- 26540047
TI - Pooling and Analysis of Published in Vitro Data: A Proof of Concept Study for the
Grouping of Nanoparticles.
AB - The study aim was to test the applicability of pooling of nanomaterials-induced
in vitro data for identifying the toxic capacity of specific (SiO2, TiO2, ZnO,
CuO, CeO2 and carbon nanotubes, [CNT]) nanoparticles (NP) and to test the
usefulness for grouping purposes. Publication selection was based on specific
criteria regarding experimental conditions. Two relevant biological endpoints
were selected; generation of intracellular reactive oxygen species (ROS) and
viability above 90%. The correlations of the ROS ratios with the NP parameters'
size, concentration, and exposure time were analysed. The obtained data sets were
then analysed with multiple regression analysis of variance (ANOVA) and the Tukey
post-hoc test. The results show that this method is applicable for the selected
metal oxide NP, but might need reconsideration and a larger data set for CNT.
Several statistically significant correlations and results were obtained, thus
validating the method. Furthermore, the relevance of the combination of ROS
release with a cell viability test was shown. The data also show that it is
advisable to compare ROS production of professional phagocytic with non
phagocytic cells. In conclusion, this is the first systematic analysis showing
that pooling of available data into groups is a useful method for evaluation of
data regarding NP induced toxicity in vitro.
PMID- 26540048
TI - Construction of the High-Density Genetic Linkage Map and Chromosome Map of Large
Yellow Croaker (Larimichthys crocea).
AB - High-density genetic maps are essential for genome assembly, comparative genomic
analysis and fine mapping of complex traits. In this study, 31,191 single
nucleotide polymorphisms (SNPs) evenly distributed across the large yellow
croaker (Larimichthys crocea) genome were identified using restriction-site
associated DNA sequencing (RAD-seq). Among them, 10,150 high-confidence SNPs were
assigned to 24 consensus linkage groups (LGs). The total length of the genetic
linkage map was 5451.3 cM with an average distance of 0.54 cM between loci. This
represents the densest genetic map currently reported for large yellow croaker.
Using 2889 SNPs to target specific scaffolds, we assigned 533 scaffolds,
comprising 421.44 Mb (62.04%) of the large yellow croaker assembled sequence, to
the 24 linkage groups. The mapped assembly scaffolds in large yellow croaker were
used for genome synteny analyses against the stickleback (Gasterosteus aculeatus)
and medaka (Oryzias latipes). Greater synteny was observed between large yellow
croaker and stickleback. This supports the hypothesis that large yellow croaker
is more closely related to stickleback than to medaka. Moreover, 1274 immunity
related genes and 195 hypoxia-related genes were mapped to the 24 chromosomes of
large yellow croaker. The integration of the high-resolution genetic map and the
assembled sequence provides a valuable resource for fine mapping and positional
cloning of quantitative trait loci associated with economically important traits
in large yellow croaker.
PMID- 26540049
TI - Betulinyl Sulfamates as Anticancer Agents and Radiosensitizers in Human Breast
Cancer Cells.
AB - Betulinic acid (BA), a natural compound of birch bark, is cytotoxic for many
tumors. Recently, a betulinyl sulfamate was described that inhibits carbonic
anhydrases (CA), such as CAIX, an attractive target for tumor-selective therapy
strategies in hypoxic cancer cells. Data on combined CAIX inhibition with
radiotherapy are rare. In the human breast cancer cell lines MDA-MB231 and MCF7,
the effects of BA and betulinyl sulfamates on cellular and radiobiological
behavior under normoxia and hypoxia were evaluated. The two most effective
betulinyl sulfamates CAI 1 and CAI 3 demonstrated a 1.8-2.8-fold higher
cytotoxicity than BA under normoxia in breast cancer cells, with IC50 values
between 11.1 and 18.1 uM. BA exhibits its strongest cytotoxicity with IC50 values
of 8.2 and 16.4 uM under hypoxia. All three substances show a dose-dependent
increase in apoptosis, inhibition of migration, and inhibition of hypoxia-induced
gene expression. In combination with irradiation, betulinyl sulfamates act as
radiosensitizers, with DMF10 values of 1.47 (CAI 1) and 1.75 (CAI 3) under
hypoxia in MDA-MB231 cells. BA showed additive effects in combination with
irradiation. Taken together; our results suggest that BA and betulinyl sulfamates
seem to be attractive substances to combine with radiotherapy; particularly for
hypoxic breast cancer.
PMID- 26540050
TI - Metagenomics: A New Way to Illustrate the Crosstalk between Infectious Diseases
and Host Microbiome.
AB - Microbes have co-evolved with human beings for millions of years. They play a
very important role in maintaining the health of the host. With the advancement
in next generation sequencing technology, the microbiome profiling in the host
can be obtained under different circumstances. This review focuses on the current
knowledge of the alteration of complex microbial communities upon the infection
of different pathogens, such as human immunodeficiency virus, hepatitis B virus,
influenza virus, and Mycobacterium tuberculosis, at different body sites. It is
believed that the increased understanding of the correlation between infectious
disease and the alteration of the microbiome can contribute to better management
of disease progression in the future. However, future studies may need to be more
integrative so as to establish the exact causality of diseases by analyzing the
correlation between microorganisms within the human host and the pathogenesis of
infectious diseases.
PMID- 26540051
TI - Genotoxicity of Superparamagnetic Iron Oxide Nanoparticles in Granulosa Cells.
AB - Nanoparticles that are aimed at targeting cancer cells, but sparing healthy
tissue provide an attractive platform of implementation for hyperthermia or as
carriers of chemotherapeutics. According to the literature, diverse effects of
nanoparticles relating to mammalian reproductive tissue are described. To address
the impact of nanoparticles on cyto- and genotoxicity concerning the reproductive
system, we examined the effect of superparamagnetic iron oxide nanoparticles
(SPIONs) on granulosa cells, which are very important for ovarian function and
female fertility. Human granulosa cells (HLG-5) were treated with SPIONs, either
coated with lauric acid (SEONLA) only, or additionally with a protein corona of
bovine serum albumin (BSA; SEON(LA-BSA)), or with dextran (SEON(DEX)). Both
micronuclei testing and the detection of gammaH2A.X revealed no genotoxic effects
of SEON(LA-BSA), SEON(DEX) or SEON(LA). Thus, it was demonstrated that different
coatings of SPIONs improve biocompatibility, especially in terms of genotoxicity
towards cells of the reproductive system.
PMID- 26540052
TI - Notch Cooperates with Survivin to Maintain Stemness and to Stimulate
Proliferation in Human Keratinocytes during Ageing.
AB - The Notch signaling pathway orchestrates cell fate by either inducing cell
differentiation or maintaining cells in an undifferentiated state. This study
aims to evaluate Notch expression and function in normal human keratinocytes.
Notch1 is expressed in all epidermal layers, though to a different degree of
intensity, with a dramatic decrease during ageing. Notch1 intracellular domain
(N1ICD) levels are decreased during transit from keratinocyte stem cells (KSC) to
transit amplifying (TA) cells, mimicking survivin expression in samples from
donors of all ages. Calcium markedly reduces N1ICD levels in keratinocytes. N1ICD
overexpression induces the up-regulation of survivin and the down-regulation of
keratin 10 and involucrin, while increasing the S phase of the cell cycle. On the
other hand, Notch1 inhibition (DAPT) dose-dependently decreases survivin,
stimulates differentiation, and reduces keratinocyte proliferation in samples
from donors of all ages. Silencing Notch downgrades survivin and increases
keratin 10. In addition, Notch1 inhibition decreases survivin levels and
proliferation both in KSC and TA cells. Finally, while survivin overexpression
decreases keratinocyte differentiation and increases N1ICD expression both in KSC
and TA cells, silencing survivin results in N1ICD down-regulation and an increase
in differentiation markers. These results suggest that the Notch1/survivin
crosstalk contributes to the maintenance of stemness in human keratinocytes.
PMID- 26540053
TI - Computational Prediction of RNA-Binding Proteins and Binding Sites.
AB - Proteins and RNA interaction have vital roles in many cellular processes such as
protein synthesis, sequence encoding, RNA transfer, and gene regulation at the
transcriptional and post-transcriptional levels. Approximately 6%-8% of all
proteins are RNA-binding proteins (RBPs). Distinguishing these RBPs or their
binding residues is a major aim of structural biology. Previously, a number of
experimental methods were developed for the determination of protein-RNA
interactions. However, these experimental methods are expensive, time-consuming,
and labor-intensive. Alternatively, researchers have developed many computational
approaches to predict RBPs and protein-RNA binding sites, by combining various
machine learning methods and abundant sequence and/or structural features. There
are three kinds of computational approaches, which are prediction from protein
sequence, prediction from protein structure, and protein-RNA docking. In this
paper, we review all existing studies of predictions of RNA-binding sites and
RBPs and complexes, including data sets used in different approaches, sequence
and structural features used in several predictors, prediction method
classifications, performance comparisons, evaluation methods, and future
directions.
PMID- 26540055
TI - Multi-UAV Routing for Area Coverage and Remote Sensing with Minimum Time.
AB - This paper presents a solution for the problem of minimum time coverage of ground
areas using a group of unmanned air vehicles (UAVs) equipped with image sensors.
The solution is divided into two parts: (i) the task modeling as a graph whose
vertices are geographic coordinates determined in such a way that a single UAV
would cover the area in minimum time; and (ii) the solution of a mixed integer
linear programming problem, formulated according to the graph variables defined
in the first part, to route the team of UAVs over the area. The main contribution
of the proposed methodology, when compared with the traditional vehicle routing
problem's (VRP) solutions, is the fact that our method solves some practical
problems only encountered during the execution of the task with actual UAVs. In
this line, one of the main contributions of the paper is that the number of UAVs
used to cover the area is automatically selected by solving the optimization
problem. The number of UAVs is influenced by the vehicles' maximum flight time
and by the setup time, which is the time needed to prepare and launch a UAV. To
illustrate the methodology, the paper presents experimental results obtained with
two hand-launched, fixed-wing UAVs.
PMID- 26540054
TI - Abeta1-25-Derived Sphingolipid-Domain Tracer Peptide SBD Interacts with Membrane
Ganglioside Clusters via a Coil-Helix-Coil Motif.
AB - The Amyloid-beta (Abeta)-derived, sphingolipid binding domain (SBD) peptide is a
fluorescently tagged probe used to trace the diffusion behavior of sphingolipid
containing microdomains in cell membranes through binding to a constellation of
glycosphingolipids, sphingomyelin, and cholesterol. However, the molecular
details of the binding mechanism between SBD and plasma membrane domains remain
unclear. Here, to investigate how the peptide recognizes the lipid surface at an
atomically detailed level, SBD peptides in the environment of raft-like bilayers
were examined in micro-seconds-long molecular dynamics simulations. We found that
SBD adopted a coil-helix-coil structural motif, which binds to multiple GT1b
gangliosides via salt bridges and CH-pi interactions. Our simulation results
demonstrate that the CH-pi and electrostatic forces between SBD monomers and GT1b
gangliosides clusters are the main driving forces in the binding process. The
presence of the fluorescent dye and linker molecules do not change the binding
mechanism of SBD probes with gangliosides, which involves the helix-turn-helix
structural motif that was suggested to constitute a glycolipid binding domain
common to some sphingolipid interacting proteins, including HIV gp120, prion, and
Abeta.
PMID- 26540056
TI - Electronic Nose Feature Extraction Methods: A Review.
AB - Many research groups in academia and industry are focusing on the performance
improvement of electronic nose (E-nose) systems mainly involving three
optimizations, which are sensitive material selection and sensor array
optimization, enhanced feature extraction methods and pattern recognition method
selection. For a specific application, the feature extraction method is a basic
part of these three optimizations and a key point in E-nose system performance
improvement. The aim of a feature extraction method is to extract robust
information from the sensor response with less redundancy to ensure the
effectiveness of the subsequent pattern recognition algorithm. Many kinds of
feature extraction methods have been used in E-nose applications, such as
extraction from the original response curves, curve fitting parameters, transform
domains, phase space (PS) and dynamic moments (DM), parallel factor analysis
(PARAFAC), energy vector (EV), power density spectrum (PSD), window time slicing
(WTS) and moving window time slicing (MWTS), moving window function capture
(MWFC), etc. The object of this review is to provide a summary of the various
feature extraction methods used in E-noses in recent years, as well as to give
some suggestions and new inspiration to propose more effective feature extraction
methods for the development of E-nose technology.
PMID- 26540057
TI - Active-Optical Sensors Using Red NDVI Compared to Red Edge NDVI for Prediction of
Corn Grain Yield in North Dakota, U.S.A.
AB - Active-optical sensor readings from an N non-limiting area standard established
within a farm field are used to predict yield in the standard. Lower yield
predictions from sensor readings obtained from other parts of the field outside
of the N non-limiting standard area indicate a need for supplemental N. Active
optical sensor algorithms for predicting corn (Zea mays, L.) yield to direct in
season nitrogen (N) fertilization in corn utilize red NDVI (normalized
differential vegetative index). Use of red edge NDVI might improve corn yield
prediction at later growth stages when corn leaves cover the inter-row space
resulting in "saturation" of red NDVI readings. The purpose of this study was to
determine whether the use of red edge NDVI in two active-optical sensors
(GreenSeekerTM and Holland Scientific Crop CircleTM) improved corn yield
prediction. Nitrogen rate experiments were established at 15 sites in North
Dakota (ND). Sensor readings were conducted at V6 and V12 corn. Red NDVI and red
edge NDVI were similar in the relationship of readings with yield at V6. At V12,
the red edge NDVI was superior to the red NDVI in most comparisons, indicating
that it would be most useful in developing late-season N application algorithms.
PMID- 26540058
TI - Determination of the Mineral Composition and Toxic Element Contents of Propolis
by Near Infrared Spectroscopy.
AB - The potential of near infrared spectroscopy (NIR) with remote reflectance fiber
optic probes for determining the mineral composition of propolis was evaluated.
This technology allows direct measurements without prior sample treatment. Ninety
one samples of propolis were collected in Chile (Bio-Bio region) and Spain
(Castilla-Leon and Galicia regions). The minerals measured were aluminum,
calcium, iron, potassium, magnesium, phosphorus, and some potentially toxic trace
elements such as zinc, chromium, nickel, copper and lead. The modified partial
least squares (MPLS) regression method was used to develop the NIR calibration
model. The determination coefficient (R2) and root mean square error of
prediction (RMSEP) obtained for aluminum (0.79, 53), calcium (0.83, 94), iron
(0.69, 134) potassium (0.95, 117), magnesium (0.70, 99), phosphorus (0.94, 24)
zinc (0.87, 10) chromium (0.48, 0.6) nickel (0.52, 0.7) copper (0.64, 0.9) and
lead (0.70, 2) in ppm. The results demonstrated that the capacity for prediction
can be considered good for wide ranges of potassium, phosphorus and zinc
concentrations, and acceptable for aluminum, calcium, magnesium, iron and lead.
This indicated that the NIR method is comparable to chemical methods. The method
is of interest in the rapid prediction of potentially toxic elements in propolis
before consumption.
PMID- 26540059
TI - A Novel Characteristic Frequency Bands Extraction Method for Automatic Bearing
Fault Diagnosis Based on Hilbert Huang Transform.
AB - Because roller element bearings (REBs) failures cause unexpected machinery
breakdowns, their fault diagnosis has attracted considerable research attention.
Established fault feature extraction methods focus on statistical characteristics
of the vibration signal, which is an approach that loses sight of the continuous
waveform features. Considering this weakness, this article proposes a novel
feature extraction method for frequency bands, named Window Marginal Spectrum
Clustering (WMSC) to select salient features from the marginal spectrum of
vibration signals by Hilbert-Huang Transform (HHT). In WMSC, a sliding window is
used to divide an entire HHT marginal spectrum (HMS) into window spectrums,
following which Rand Index (RI) criterion of clustering method is used to
evaluate each window. The windows returning higher RI values are selected to
construct characteristic frequency bands (CFBs). Next, a hybrid REBs fault
diagnosis is constructed, termed by its elements, HHT-WMSC-SVM (support vector
machines). The effectiveness of HHT-WMSC-SVM is validated by running series of
experiments on REBs defect datasets from the Bearing Data Center of Case Western
Reserve University (CWRU). The said test results evidence three major advantages
of the novel method. First, the fault classification accuracy of the HHT-WMSC-SVM
model is higher than that of HHT-SVM and ST-SVM, which is a method that combines
statistical characteristics with SVM. Second, with Gauss white noise added to the
original REBs defect dataset, the HHT-WMSC-SVM model maintains high
classification accuracy, while the classification accuracy of ST-SVM and HHT-SVM
models are significantly reduced. Third, fault classification accuracy by HHT
WMSC-SVM can exceed 95% under a Pmin range of 500-800 and a m range of 50-300 for
REBs defect dataset, adding Gauss white noise at Signal Noise Ratio (SNR) = 5.
Experimental results indicate that the proposed WMSC method yields a high REBs
fault classification accuracy and a good performance in Gauss white noise
reduction.
PMID- 26540060
TI - A Spiking Neural Network in sEMG Feature Extraction.
AB - We have developed a novel algorithm for sEMG feature extraction and
classification. It is based on a hybrid network composed of spiking and
artificial neurons. The spiking neuron layer with mutual inhibition was assigned
as feature extractor. We demonstrate that the classification accuracy of the
proposed model could reach high values comparable with existing sEMG interface
systems. Moreover, the algorithm sensibility for different sEMG collecting
systems characteristics was estimated. Results showed rather equal accuracy,
despite a significant sampling rate difference. The proposed algorithm was
successfully tested for mobile robot control.
PMID- 26540061
TI - High Resolution Viscosity Measurement by Thermal Noise Detection.
AB - An interferometric method is implemented in order to accurately assess the
thermal fluctuations of a micro-cantilever sensor in liquid environments. The
power spectrum density (PSD) of thermal fluctuations together with Sader's model
of the cantilever allow for the indirect measurement of the liquid viscosity with
good accuracy. The good quality of the deflection signal and the characteristic
low noise of the instrument allow for the detection and corrections of drawbacks
due to both the cantilever shape irregularities and the uncertainties on the
position of the laser spot at the fluctuating end of the cantilever. Variation of
viscosity below 0:03mPa.s was detected with the alternative to achieve
measurements with a volume as low as 50 uL.
PMID- 26540062
TI - Surface Plasmon Resonance Sensor Based on Ethylene Tetra-Fluoro-Ethylene Hollow
Fiber.
AB - A new kind of hollow fiber surface plasmon resonance sensor (HF-SPRS) based on
the silver-coated ethylene tetra-fluoro-ethylene (ETFE) hollow fiber (HF) is
presented. The ETFE HF-SPRS is fabricated, and its performance is investigated
experimentally by measuring the transmission spectra of the sensor when filled by
liquid sensed media with different refractive indices (RIs). Theoretical analysis
based on the ray transmission model is also taken to evaluate the sensor. Because
the RI of ETFE is much lower than that of fused silica (FSG), the ETFE HF-SPRS
can extend the lower limit of the detection range of the early reported FSG HF
SPRS from 1.5 to 1.42 approximately. This could greatly enhance the application
potential of HF-SPRS. Moreover, the joint use of both ETFE and FSG HF-SPRSs can
cover a wide detection range from 1.42 to 1.69 approximately with high
sensitivities larger than 1000 nm/RIU.
PMID- 26540063
TI - Short-Term Impact of a Comprehensive Smoke-Free Law Following a Partial Smoke
Free Law on PM2.5 Concentration Levels at Hospitality Venues on the Peripheries
of College Campuses.
AB - Tobacco-free policies on college campuses are spreading in Korea. However, the
impact of the smoking ban legislation at venues on the boundary of a college
campus is still limited. This study aimed to assess short-term changes in PM2.5
concentrations before and after the enactment of the smoking ban legislation to
evaluate the impact. In this cross-sectional study, PM2.5 measurements (pre-ban:
n = 99, post-ban: n = 64) were conducted in randomly selected billiards, pubs,
and computer game rooms on the peripheries of college campuses in October 2013,
prior to implementation of the smoking ban, and in May 2014, after the ban. The
median (interquartile range, IQR) of the PM2.5 concentration for all venues was
31 MUg/m3 (0-80 MUg/m3) in the pre-ban period and 11 MUg/m3 (0-36 MUg/m3) in the
post-ban period implying indoor PM2.5 concentration levels of the peripheries of
college campuses likely decreased one year after implementation of the ban.
However, the differences were not significant yet. The results support the
introduction of more rigorous monitoring of SHS exposure levels toward the
ultimate goal of encouraging a complete smoking ban in hospitality venues,
including billiards, pubs, and computer game rooms located on the peripheries of
college campuses.
PMID- 26540064
TI - Ambulatory Care Visits to Pediatricians in Taiwan: A Nationwide Analysis.
AB - Pediatricians play a key role in the healthy development of children.
Nevertheless, the practice patterns of pediatricians have seldom been
investigated. The current study analyzed the nationwide profiles of ambulatory
visits to pediatricians in Taiwan, using the National Health Insurance Research
Database. From a dataset that was randomly sampled one out of every 500 records
among a total of 309,880,000 visits in 2012 in the country, 9.8% (n = 60,717) of
the visits were found paid to pediatricians. Children and adolescents accounted
for only 69.3% of the visits to pediatricians. Male pediatricians provided 80.5%
of the services and the main workforces were those aged 40-49 years. The most
frequent diagnoses were respiratory tract diseases (64.7%) and anti-histamine
agents were prescribed in 48.8% of the visits to pediatricians. Our detailed
results could contribute to evidence-based discussions on health policymaking.
PMID- 26540065
TI - Disease Burden from Hepatitis B Virus Infection in Guangdong Province, China.
AB - OBJECTIVE: To estimate the disease burden and financial burden attributed to
hepatitis B virus (HBV) infection in Guangdong Province. METHODS: Based on the
data of incidence, mortality and healthcare cost of HBV-related diseases and
other socio-economic data in Guangdong Province, we estimated deaths, disability
adjusted life-years (DALYs) and economic cost for the three HBV-related diseases
hepatitis B, liver cirrhosis and liver cancer-in Guangdong following the
procedures developed for the global burden of disease study. Then disease burden
and economic cost attributed to HBV infection was estimated. RESULTS: HBV
infection was estimated to have caused 33,600 (95% confidence interval (CI):
29,300-37,800) premature deaths and the loss of 583,200 (95% CI: 495,200-671,100)
DALYs in Guangdong in 2005. The greatest loss of deaths and DALYs were from liver
cancer. The 45-59 years age group had the greatest burden attributable to HBV
infection. The estimated total annual cost of HBV-related diseases in Guangdong
was RMB 10.8 (95% CI: 8.7-13.0) billion, the direct and indirect cost were RMB
2.6 (95% CI: 2.1-3.2) and 8.2 (95% CI: 6.6-9.8) billion. CONCLUSIONS: HBV
infection is a great medical challenge as well as a significant economic burden
to Guangdong Province. The results suggest that substantial health benefits could
be gained by extending effective public health and clinical interventions to
reduce HBV infection in Guangdong Province.
PMID- 26540066
TI - Carotenoid Content in Organically Produced Wheat: Relevance for Human Nutritional
Health on Consumption.
AB - In this study, 33 spring and winter wheat genotypes were analyzed for carotenoid
content and composition. Investigated genotypes were divided into four genotype
groups i.e., spelt, landraces, old cultivars and primitive wheat. The results
showed a high level of variation among the genotypes in amount of carotenoids in
the grain with high values (around 4 mg/Kg) especially in one of the genotypes
Oland 8. Lutein was the most common carotenoid in all the investigated genotypes,
contributing 70%-90% of the carotenoids in the grain. Variation in carotenoid
content and composition was found not only among genotypes, but also between
genotype groups and wheat type, although there is a need to analyze more
genotypes to confirm the differences found between groups and types. This study
showed that 40% of the daily requirements of lutein can be achieved from the
genotypes with the highest lutein content (Oland 8) produced using organic
farming through the average human consumption of 200 grams of wheat per day.
Furthermore, this study showed, by the use of principal component analyses, an
opportunity to select genotypes combining high values of certain nutritional
compounds. By a further breeding and commercial production of such genotypes, the
nutritional value of wheat flour for human consumption can be improved.
PMID- 26540067
TI - Health-Related Quality of Life of Former Lead Workers in Brazil.
AB - Little is known about the health-related quality of life of former lead workers.
Using the Short-Form 36 Questionnaire (SF-36), a cross-section design study
evaluated the health-related quality of life of 186 former workers of a lead
smelter that operated in Santo Amaro da Purificacao, Brazil, from 1960 to 1993,
when it closed down. The smelter had very poor occupational and environmental
hygiene standards. The health-related quality of life of former lead workers was
low, compared to population-based and other nosological groups from Brazil.
Former lead workers who indicated metal poisoning, difficulty getting another job
and who could not get another job after dismissal by the smelter presented poorer
health-related quality of life. Former lead workers with poor health-related
quality of life form part of the huge occupational liability left by the Santo
Amaro lead smelter.
PMID- 26540068
TI - Trade-Off and Synergy among Ecosystem Services in the Guanzhong-Tianshui Economic
Region of China.
AB - Natural ecosystems provide society with important goods and services. With
rapidly increasing populations and excessive utilization of natural resources,
humans have been enhancing the production of some services at the expense of
others. Although the need for certain trade-offs between conservation and
development is urgent, having only a small number of efficient methods to assess
such trade-offs has impeded progress. This study focuses on the evaluation of
ecosystem services under different land use schemes. It reveals the spatial and
temporal distributions of and changes in ecosystem services. Based on a
correlation rate model and distribution mapping, the trade-offs and synergies of
these ecosystem services can be found. Here, we also describe a new simple
approach to quantify the relationships of every trade-off and synergy. The
results show that all ecosystem services possess trade-offs and synergies in the
study area. The trend of improving carbon sequestration and water interception
indicate that these key ecosystem services have the strongest synergy. And the
decrease in regional agricultural production and other services, except water
yield, may be considered as trade-offs. The synergy between water yield and
agricultural production was the most significant, while the trade-off between
water interception and carbon sequestration was the most apparent, according to
our interaction quantification model. The results of this study have implications
for planning and monitoring the future management of natural capital and
ecosystem services, and can be integrated into land use decision-making.
PMID- 26540071
TI - Examining Means of Reaching Adolescent Girls for Iron Supplementation in Tigray,
Northern Ethiopia.
AB - BACKGROUND: Iron deficiency is the most prevalent nutritional deficiency in
adolescent girls from the developing world. One of the recommended interventions
to improve iron status in adolescent girls is iron supplementation. Yet the
provision of iron supplements to adolescent girls proved to be a challenging task
for the health systems across the developing world. OBJECTIVE: The objective of
the study was to examine means of reaching adolescent girls for iron
supplementation in Northern Ethiopia. METHODOLOGY: Analytical cross-sectional
study consisting of both quantitative and qualitative approaches to data
collection and analysis was used in this study. Stratified multi-stage systematic
random sampling technique was adopted and primary quantitative data were
collected from 828 (578 school attending and 250 non school attending) adolescent
girls recruited from nine districts of Tigray. The primary quantitative data were
analyzed using SPSS version 20 software. The qualitative data collected through
key informant interviews and focus group discussions were transcribed verbatim
and qualitatively analyzed. RESULTS: The mean (SD) age of the girls was 16.7
(1.4) years. Four hundred forty seven (54%), 355 (42.9%) and 26 (3.1%) of the
adolescent girls had low, medium and high diet diversity scores, respectively.
More than half, 467 (56%), of the adolescent girls believed that adolescent girls
were overloaded with household jobs everyday compared to boys from their
respective communities. Key informants said that, there is no adolescent
nutrition message promoted in the study area. Low community awareness, perceiving
iron tablet as a contraceptive, religious and cultural influences, and lack of
confidence in supplementation value of iron tablets, are some of the potential
barriers mentioned by the key informant and focus group discussion participants.
Schools (45%), health centers (27%) and health posts (26%) were the preferred
public facilities for provision of iron supplements to student adolescent girls
whereas schools (11%), health centers (47%) and health posts (41%) were the
preferred public facilities for provision of iron supplements to adolescent girls
who were not attending schools from the study communities. CONCLUSION: The health
posts and health centers were the preferred health facilities for iron
supplementation to adolescent girls who were not attending schools while the
school was the preferred facility for iron supplementation of student adolescent
girls.
PMID- 26540070
TI - Thyroid-Stimulating Hormone (TSH) Concentration at Birth in Belgian Neonates and
Cognitive Development at Preschool Age.
AB - The main objective of the study was to investigate the effect of MID during late
pregnancy, assessed by the thyroid-stimulating hormone (TSH) concentration at
neonatal screening, on cognitive development of preschool children. A
retrospective cohort study including 311 Belgian preschool children of 4-6 years
old was conducted. Children were selected at random from the total list of
neonates screened in 2008, 2009, and 2010 by the Brussels new-born screening
center. Infants with congenital hypothyroidism, low birth weight, and/or
prematurity were excluded from the selection. The selected children were
stratified by gender and TSH-range (0.45-15 mIU/L). Cognitive abilities were
assessed using Wechsler Preschool and Primary Scale of Intelligence-third
edition. In addition, several socioeconomic, parental, and child confounding
factors were assessed. Neonatal TSH concentration-a surrogate marker for MID-was
not associated with Full Scale and Performance IQ scores in children. Lower
Verbal IQ scores were found in children with neonatal TSH values comprised
between 10-15 mIU/L compared to lower TSH levels in univariate analysis but these
results did not hold when adjusting for confounding factors. Current levels of
iodine deficiency among pregnant Belgian women may not be severe enough to affect
the neurodevelopment of preschool children.
PMID- 26540074
TI - Cytotoxic indole alkaloids against human leukemia cell lines from the toxic plant
Peganum harmala.
AB - Bioactivity-guided fractionation was used to determine the cytotoxic alkaloids
from the toxic plant Peganum harmala. Two novel indole alkaloids, together with
ten known ones, were isolated and identified. The novel alkaloids were elucidated
to be 2-(indol-3-yl)ethyl-alpha-L-rhamnopyranosyl-(1 -> 6)-beta-D-glucopyranoside
(2) and 3-hydroxy-3-(N-acetyl-2-aminoethyl)-6-methoxyindol-2-one (3). The
cytotoxicity against human leukemia cells was assayed for the alkaloids and some
of them showed potent activity. Harmalacidine (compound 8, HMC) exhibited the
highest cytotoxicity against U-937 cells with IC50 value of 3.1 +/- 0.2 MUmol/L.
The cytotoxic mechanism of HMC was targeting the mitochondrial and protein
tyrosine kinase signaling pathways (PTKs-Ras/Raf/ERK). The results strongly
demonstrated that the alkaloids from Peganum harmala could be a promising
candidate for the therapy of leukemia.
PMID- 26540072
TI - Fermentation of Green Tea with 2% Aquilariae lignum Increases the Anti-Diabetic
Activity of Green Tea Aqueous Extracts in the High Fat-Fed Mouse.
AB - Anti-diabetic effects on the metabolomic differences between green tea (GT) and
Aquilariae lignum-fermented green tea (fGT) were investigated in the high fat-fed
mouse. To prove the differences, hypoglycemic (blood glucose, insulin and
glycated hemoglobin levels, pancreas weights and histopathological
immunohistochemistrical analysis of pancreas-insulin/glucagon cells), hepato- and
nephron-protective (the changes in liver and kidney weight, histopathology of
liver and kidney, serum aminotransferases (AST and ALT) levels, blood urea
nitrogen, and serum creatinine levels), and hypolipidemic (the changes of serum
total cholesterol, triglyceride, low- and high-density lipoprotein levels with
fecal total cholesterol (TC) and triglyceride (TG) contents) effects were
evaluated. In addition, liver lipid peroxidation, the glutathione contents, and
catalase and superoxide dismutase activities were measured according to the
hepatic glucose-regulating enzyme activities of glucokinase (GK), glucose-6
phosphatase (G6pase) and phosphoenolpyruvate carboxykinase (PEPCK) for action
mechanisms. As a result, fGT showed a stronger hypoglycemic, hepato- and nephron
protective, hypolipidemic, and anti-oxidant effect than GT in high fat-fed mice.
In addition, fGT-treated mice exerted more favorable inhibitory activities
against GK, G6pase, PERCK activities as compared to GT-treated mice. Taken
together, fGT fermented with Aquilariae lignum, 1:49 (2%; g/g) has a stronger
effect compared with GT. Therefore, fGT has the potential to increase bioactivity
against type 2 diabetics.
PMID- 26540073
TI - Synergistic Effects of Human Milk Nutrients in the Support of Infant Recognition
Memory: An Observational Study.
AB - The aim was to explore the relation of human milk lutein; choline; and
docosahexaenoic acid (DHA) with recognition memory abilities of six-month-olds.
Milk samples obtained three to four months postpartum were analyzed for fatty
acids, lutein, and choline. At six months, participants were invited to an
electrophysiology session. Recognition memory was tested with a 70-30 oddball
paradigm in a high-density 128-lead event-related potential (ERP) paradigm.
Complete data were available for 55 participants. Data were averaged at six
groupings (Frontal Right; Frontal Central; Frontal Left; Central; Midline; and
Parietal) for latency to peak, peak amplitude, and mean amplitude. Difference
scores were calculated as familiar minus novel. Final regression models revealed
the lutein X free choline interaction was significant for the difference in
latency scores at frontal and central areas (p < 0.05 and p < 0.001;
respectively). Higher choline levels with higher lutein levels were related to
better recognition memory. The DHA X free choline interaction was also
significant for the difference in latency scores at frontal, central, and midline
areas (p < 0.01; p < 0.001; p < 0.05 respectively). Higher choline with higher
DHA was related to better recognition memory. Interactions between human milk
nutrients appear important in predicting infant cognition, and there may be a
benefit to specific nutrient combinations.
PMID- 26540069
TI - Host-Targeting Agents to Prevent and Cure Hepatitis C Virus Infection.
AB - Chronic hepatitis C virus (HCV) infection is a major cause of liver cirrhosis and
hepatocellular carcinoma (HCC) which are leading indications of liver
transplantation (LT). To date, there is no vaccine to prevent HCV infection and
LT is invariably followed by infection of the liver graft. Within the past years,
direct-acting antivirals (DAAs) have had a major impact on the management of
chronic hepatitis C, which has become a curable disease in the majority of DAA
treated patients. In contrast to DAAs that target viral proteins, host-targeting
agents (HTAs) interfere with cellular factors involved in the viral life cycle.
By acting through a complementary mechanism of action and by exhibiting a
generally higher barrier to resistance, HTAs offer a prospective option to
prevent and treat viral resistance. Indeed, given their complementary mechanism
of action, HTAs and DAAs can act in a synergistic manner to reduce viral loads.
This review summarizes the different classes of HTAs against HCV infection that
are in preclinical or clinical development and highlights their potential to
prevent HCV infection, e.g., following LT, and to tailor combination treatments
to cure chronic HCV infection.
PMID- 26540075
TI - Complex Commingling: Nucleoporins and the Spindle Assembly Checkpoint.
AB - The segregation of the chromosomes during mitosis is an important process, in
which the replicated DNA content is properly allocated into two daughter cells.
To ensure their genomic integrity, cells present an essential surveillance
mechanism known as the spindle assembly checkpoint (SAC), which monitors the
bipolar attachment of the mitotic spindle to chromosomes to prevent errors that
would result in chromosome mis-segregation and aneuploidy. Multiple components of
the nuclear pore complex (NPC), a gigantic protein complex that forms a channel
through the nuclear envelope to allow nucleocytoplasmic exchange of
macromolecules, were shown to be critical for faithful cell division and
implicated in the regulation of different steps of the mitotic process, including
kinetochore and spindle assembly as well as the SAC. In this review, we will
describe current knowledge about the interconnection between the NPC and the SAC
in an evolutional perspective, which primarily relies on the two mitotic
checkpoint regulators, Mad1 and Mad2. We will further discuss the role of NPC
constituents, the nucleoporins, in kinetochore and spindle assembly and the
formation of the mitotic checkpoint complex during mitosis and interphase.
PMID- 26540076
TI - Form, Fabric, and Function of a Flagellum-Associated Cytoskeletal Structure.
AB - Trypanosoma brucei is a uniflagellated protist and the causative agent of African
trypanosomiasis, a neglected tropical disease. The single flagellum of T. brucei
is essential to a number of cellular processes such as motility, and has been a
longstanding focus of scientific enquiry. A number of cytoskeletal structures are
associated with the flagellum in T. brucei, and one such structure-a multiprotein
complex containing the repeat motif protein TbMORN1-is the focus of this review.
The TbMORN1-containing complex, which was discovered less than ten years ago, is
essential for the viability of the mammalian-infective form of T. brucei. The
complex has an unusual asymmetric morphology, and is coiled around the flagellum
to form a hook shape. Proteomic analysis using the proximity-dependent biotin
identification (BioID) technique has elucidated a number of its components.
Recent work has uncovered a role for TbMORN1 in facilitating protein entry into
the cell, thus providing a link between the cytoskeleton and the endomembrane
system. This review summarises the extant data on the complex, highlights the
outstanding questions for future enquiry, and provides speculation as to its
possible role in a size-exclusion mechanism for regulating protein entry. The
review additionally clarifies the nomenclature associated with this topic, and
proposes the adoption of the term "hook complex" to replace the former name
"bilobe" to describe the complex.
PMID- 26540077
TI - Transcriptome Analysis of Two Vicia sativa Subspecies: Mining Molecular Markers
to Enhance Genomic Resources for Vetch Improvement.
AB - The vetch (Vicia sativa) is one of the most important annual forage legumes
globally due to its multiple uses and high nutritional content. Despite these
agronomical benefits, many drawbacks, including cyano-alanine toxin, has reduced
the agronomic value of vetch varieties. Here, we used 454 technology to sequence
the two V. sativa subspecies (ssp. sativa and ssp. nigra) to enrich functional
information and genetic marker resources for the vetch research community. A
total of 86,532 and 47,103 reads produced 35,202 and 18,808 unigenes with average
lengths of 735 and 601 bp for V. sativa sativa and V. sativa nigra, respectively.
Gene Ontology annotations and the cluster of orthologous gene classes were used
to annotate the function of the Vicia transcriptomes. The Vicia transcriptome
sequences were then mined for simple sequence repeat (SSR) and single nucleotide
polymorphism (SNP) markers. About 13% and 3% of the Vicia unigenes contained the
putative SSR and SNP sequences, respectively. Among those SSRs, 100 were chosen
for the validation and the polymorphism test using the Vicia germplasm set. Thus,
our approach takes advantage of the utility of transcriptomic data to expedite a
vetch breeding program.
PMID- 26540078
TI - Update on Alcoholic Hepatitis.
AB - Alcoholic liver disease is one of the most prevalent liver diseases worldwide,
and a major cause of morbidity and mortality. Alcoholic hepatitis is a severe
form of liver injury in patients with alcohol abuse, can present as an acute on
chronic liver failure associated with a rapid decline in liver synthetic
function, and consequent increase in mortality. Despite therapy, about 30%-50% of
patients with severe alcoholic hepatitis eventually die. The pathogenic pathways
that lead to the development of alcoholic hepatitis are complex and involve
oxidative stress, gut dysbiosis, and dysregulation of the innate and adaptive
immune system with injury to the parenchymal cells and activation of hepatic
stellate cells. As accepted treatment approaches are currently limited, a better
understanding of the pathophysiology would be required to generate new approaches
that improve outcomes. This review focuses on recent advances in the diagnosis,
pathogenesis of alcoholic hepatitis and novel treatment strategies.
PMID- 26540079
TI - The cost-effectiveness of short-term and long-term psychotherapy in the treatment
of depressive and anxiety disorders during a 5-year follow-up.
AB - Both short-term and long-term psychotherapies are used extensively in treating
different mental disorders, but there have been practically no attempts to
compare their cost-effectiveness. The aim of this study, which is part of the
Helsinki Psychotherapy Study, is to assess the cost-effectiveness of two short
term therapies compared to that of a long-term therapy. In this study 326
outpatients suffering from mood or anxiety disorder were randomized to solution
focused therapy (SFT), short-term psychodynamic psychotherapy (SPP) or to long
term psychodynamic psychotherapy (LPP). Psychiatric symptoms and working ability
were assessed at baseline and then 4-9 times during a 5-year follow-up using
eight widely used measures including e.g. Beck Depression Inventory (BDI),
Hamilton Depression Rating Scale (HDRS), Symptom Check List, anxiety scale (SCL
90-Anx), Hamilton Anxiety Rating Scale (HARS), Symptom Check List, Global
Severity Index (SCL-90-GSI), and the Work-subscale (SAS-Work) of the Social
Adjustment Scale (SAS-SR). Both direct and indirect costs were measured. During
the 5-year follow-up period statistically significant improvements were observed
in all health indicators in all therapy groups. At first the recovery was faster
in the short-term therapy groups than in the LPP group, but taking the whole
follow-up period into account, the effectiveness of the LPP was somewhat greater
than that of the short-term therapies. Especially the direct costs were, however,
much higher in the LPP group than in the short-term therapy groups. Thus the long
term therapy can hardly be regarded as cost-effective compared to short-term
therapies when patients are randomized to the therapy groups.
PMID- 26540080
TI - The prevalence of neuropsychiatric symptoms in Alzheimer's disease: Systematic
review and meta-analysis.
AB - BACKGROUND: Neuropsychiatric symptoms (NPS) are being increasingly recognized as
common serious problems in Alzheimer's disease (AD). However, published data on
the prevalence of NPS in persons with AD are conflicting. This meta-analysis
aimed to estimate the prevalence of NPS in persons with AD. METHODS: Studies
published from 1964 to September 30, 2014, were identified from PubMed and Embase
database, reference lists and conference abstracts. We calculated prevalence
rates and conducted meta-regression analysis with random-effects model, according
to study characteristics, population demographics or condition information.
RESULTS: We identified 48 eligible articles, which provided data for 12 NPS
reported in Neuropsychiatric Inventory (NPI). The most frequent NPS was apathy,
with an overall prevalence of 49% (95% CI 41-57%), followed by depression,
aggression, anxiety and sleep disorder, the pooled prevalence estimates of which
were 42% (95% CI 37-46%), 40% (95% CI 33-46%), 39% (95% CI 32-46%) and 39% (95%
CI 30-47%), respectively. The less prevalent NPS were irritability (36%, 31-41%),
appetite disorder (34%, 27-41%), aberrant motor behavior (32%, 25-38%), delusion
(31%, 27-35%), disinhibition (17%, 12-21%) and hallucination (16%, 13-18%). Least
common was euphoria, with an overall prevalence of 7% (95% CI 5-9%). LIMITATIONS:
Several aspects, such as the quality of included studies were not always optimal
and there was significant heterogeneity of prevalence estimate across studies.
CONCLUSIONS: NPS were observed to be highly prevalent in AD patients. Disease
duration, age, education level, population origin and the severity of cognitive
impairment had influence on the prevalence of some NPS.
PMID- 26540081
TI - Decreased serum zinc concentration during depressive episode in patients with
bipolar disorder.
AB - OBJECTIVES: Zinc may be involved in the pathophysiology and treatment of
depressive disorder. However, data on this issue in bipolar disorder (BD) are
limited. The aim of the study was to assess zinc concentrations in the blood
serum of patients at various phases and stages of bipolar disorder. METHODS: The
study included 129 patients with a diagnosis of bipolar disorder type I (n=69) or
type II (n=60). Fifty-eight were in a depressive episode, 23 in a manic episode
and 48 in remission. Fifty healthy volunteers made a control group. Zinc
concentration was measured using flame atomic absorption spectrometry. RESULTS:
Serum zinc level in patients diagnosed with BD type I in the depressive phase was
significantly reduced as compared with mania, remission and healthy subjects. In
the BD type II, serum zinc level in hypomania, depression or remission phase was
not significantly different from the control group. In the whole group, lower
level of zinc in depression compared to remission and control subjects was found
during late stage of the illness but not in the early stage. Zinc concentration
was not dependent on the severity of manic or depressive symptoms and subtype of
depression but correlated positively with the number of manic/hypomanic relapses
in the past year. LIMITATIONS: Lack of prospective model, heterogeneity of
pharmacological treatment, small number of subgroups presenting specified
clinical features. CONCLUSIONS: Decreased serum zinc concentration occurs in
depression in BD type I and probably in depression in the late stage of BD.
PMID- 26540082
TI - Re-experiencing phenomena following a disaster: The long-term predictive role of
intrusion symptoms in the development of post-trauma depression and anxiety.
AB - BACKGROUND: Contention in the literature regarding the diagnostic utility of
intrusion symptoms highlights that they have high sensitivity but low specificity
in predicting PTSD. They are highly prevalent following a range of traumatic
events, and across a range of disorders. The prevalence of intrusion symptoms in
the absence of PTSD suggests their relevance to the development of other
psychopathology. Therefore, the predictive role of intrusion symptoms for other
post-trauma psychopathology was examined using data from an epidemiological,
longitudinal sample of adults recruited in childhood. METHOD: From 5 phases of
data collection for this sample, these analyses focused on the 20 year and 28
year follow-ups (n=583). Lifetime exposure to trauma was assessed using a
modified set of 10 Criterion-A events from the Composite International Diagnostic
Interview (CIDI), with PTSD assessed in reference to a self-nominated worst
lifetime event, and other DSM-IV disorder also assessed using the CIDI. RESULTS:
Results showed that the presence of intrusion symptoms without PTSD at the 20
year follow-up was predictive of increased risk at 28 years for depressive but
not anxiety disorders. LIMITATIONS: There was limited psychopathology in the
sample, reducing the power to examine many individual disorders. Furthermore,
trauma history and psychiatric symptoms were retrospectively reported,
introducing the possibility of recall bias. CONCLUSION: Together the findings
suggest that intrusion symptoms may play an aetiological role in the development
and/or maintenance of disorders other than PTSD.
PMID- 26540083
TI - Dalfampridine in chronic sensorimotor deficits after ischemic stroke: A proof of
concept study.
AB - OBJECTIVE: To evaluate the safety and tolerability of dalfampridine extended
release (D-ER) in participants with chronic post-ischemic stroke deficits, and to
assess for potential drug activity on sensorimotor function. METHODS: Using a
double-blind, placebo-controlled, cross-over design, participants were randomized
to placebo/D-ER or D-ER/placebo sequences and given D-ER 10 mg or placebo twice
daily. Key inclusion criteria were: ischemic stroke >= 6 months, Fugl-Meyer
Assessment lower extremity motor score <= 28, ability to complete Timed 25-Foot
Walk (T25FW). The primary outcome was safety and tolerability. The key
exploratory measure was walking speed (T25FW). Other assessments were: Box and
Block, and Grip and Pinch tests; Functional Independence Measure. Full-crossover
data were analyzed using mixed-effects model. RESULTS: A total of 83 participants
were randomized: 70 completed and 13 discontinued the study. Adverse events were
consistent with previous D-ER trials; no new safety signals were observed. Four
participants experienced serious adverse events: 3 seizures (1 placebo, 2 D-ER),
1 was secondary to intentional overdose. Most common treatment-emergent adverse
events were: dizziness, nausea, arthralgia and fatigue. Mixed-effects analysis
showed an effect for D-ER vs. placebo in improving walking speed (0.21 vs. 0.10
ft/s; p = 0.027). CONCLUSIONS: D-ER was generally well tolerated in participants
with chronic stroke deficits. Potential drug activity on lower extremity
sensorimotor function, with an improvement in walking speed, was seen.
PMID- 26540084
TI - Bisphenol A glucuronide deconjugation is a determining factor of fetal exposure
to bisphenol A.
AB - Previous studies in experimental animals have shown that maternal exposure to
bisphenol A (BPA) during late pregnancy leads to high plasma concentrations of
BPA glucuronide (BPAG) in fetus compared to mother due to the inability of BPAG
to cross the placental barrier. A recent in vitro study has reported that BPAG
can exert adipogenic effect underlining the need for characterization of the
fetal disposition of BPAG. Experiments were conducted in chronically catheterized
fetal sheep to determine the contribution of BPAG hydrolysis to BPA to the
elimination of BPAG from the fetal compartment and its resulting effect on the
overall fetal exposure to free BPA. Serial sampling of fetal arterial blood,
amniotic fluid, maternal venous blood and urine was performed following separate
single doses of BPA and BPAG administered intravenously to eight fetal/maternal
pairs after cesarean section, and repeated BPAG doses given to two fetal sheep.
On average 67% of the BPA entering the fetal circulation was rapidly eliminated
through fetal to maternal clearance, with a very short half-life (20 min), while
the remaining fraction (24%) was glucuronoconjugated. BPA conjugation
deconjugation cycling was responsible for a 43% increase of the overall fetal
exposure to free BPA. A very specific pattern of fetal exposure to free BPA was
observed due to its highly increased persistence with a hydrolysis-dependent
plasma terminal free BPA half-life of several tens of hours. These findings
suggest that although the high fetal to maternal clearance of free BPA protects
the fetus from transient increases in free BPA plasma concentrations associated
with maternal BPA intake, low but sustained basal free BPA concentrations are
maintained in the fetus through BPA conjugation-deconjugation cycling. The
potential health implications of these low but sustained basal concentrations of
free BPA in fetal plasma should be addressed especially when considering time
dependent effects.
PMID- 26540085
TI - Residential green spaces and mortality: A systematic review.
AB - BACKGROUND: A number of studies have associated natural outdoor environments with
reduced mortality but there is no systematic review synthesizing the evidence.
OBJECTIVES: We aimed to systematically review the available evidence on the
association between long-term exposure to residential green and blue spaces and
mortality in adults, and make recommendations for further research. As a
secondary aim, we also conducted meta-analyses to explore the magnitude of and
heterogeneity in the risk estimates. METHODS: Following the PRISMA statement
guidelines for reporting systematic reviews and meta-analysis, two independent
reviewers searched studies using keywords related to natural outdoor environments
and mortality. DISCUSSION: Our review identified twelve eligible studies
conducted in North America, Europe, and Oceania with study populations ranging
from 1645 up to more than 43 million individuals. These studies are heterogeneous
in design, study population, green space assessment and covariate data.We found
that the majority of studies show a reduction of the risk of cardiovascular
disease (CVD) mortality in areas with higher residential greenness. Evidence of a
reduction of all-cause mortality is more limited, and no benefits of residential
greenness on lung cancer mortality are observed. There were no studies on blue
spaces. CONCLUSIONS: This review supports the hypothesis that living in areas
with higher amounts of green spaces reduces mortality, mainly CVD. Further
studies such as cohort studies with more and better covariate data, improved
green space assessment and accounting well for socioeconomic status are needed to
provide further and more complete evidence, as well as studies evaluating the
benefits of blue spaces.
PMID- 26540086
TI - The influence of size on the toxicity of an encapsulated pesticide: a comparison
of micron- and nano-sized capsules.
AB - Encapsulation technology involves entrapping a chemical active ingredient (a.i.)
inside a hollow polymeric shell and has been applied to commercial pesticide
manufacturing for years to produce capsule suspension (CS) formulations with
average particle sizes in the micron-scale. The few literature sources that
investigate the environmental fate and toxicity to non-target organisms of
encapsulated commercially available pesticide products with regard to capsule
size report on average sizes between 20 and 50 MUm. Here, we have identified a CS
formulation with an average capsule size of approximately 2 MUm with some
capsules extending into the nanometer scale (~200 nm). Determining how carrier
size influences toxicity is important to understanding if current pesticide risk
assessments are sufficient to protect against products that incorporate
encapsulation technology. Here, a commercial pyrethroid CS pesticide with lambda
cyhalothrin (lambda-Cy) as the a.i. was separated into two suspensions, a
fraction consisting of nano-sized capsules (~250 nm) and a fraction of micron
sized capsules (~2200 nm) in order to investigate the influence of capsule size
on toxicity to embryonic zebrafish, Danio rerio. Toxicity was evaluated 24h after
exposure to equivalent amounts of a.i. by the presence and severity of pyrethroid
specific tremors, 14 sublethal developmental impacts and mortality. Fish exposed
to greater than 20 MUg a.i. L(-1) technical lambda-Cy or formulated product
experienced curvature of the body axis, pericardial edema, craniofacial
malformations, and mortality. Exposure to the unfractionated formulation, micro
fraction, nano fraction and technical a.i. resulted in no significant differences
in the occurrence of sublethal impacts or mortality; however, the technical a.i.
exposure resulted in significantly less fish experiencing tremors and shorter
tremors compared to any of the formulated product exposures. This suggests that
the capsule size does not influence the toxic response of the entrapped lambda
Cy, but the presence or absence of the capsules does. Testing across other
encapsulated products is needed to determine if size does not have influence on
toxicity regardless of encapsulation technology.
PMID- 26540087
TI - Do car-mounted mobile measurements used for radio-frequency spectrum regulation
have an application for exposure assessments in epidemiological studies?
AB - Knowing the spatial and temporal trends in environmental exposure to
radiofrequency electromagnetic fields is important in studies investigating
whether there are associated health effects on humans and ecological effects on
plants and animals. The main objective of this study is to assess whether the
RFeye car-mounted mobile measurement system used for radio frequency spectrum
monitoring in The Netherlands and the United Kingdom could be of value in
assessing exposure over large areas as an alternative to measuring exposure with
personal exposure meters or using complex modelling techniques. We evaluated the
responses of various body-worn personal exposure meters in comparison with the
mobile measurement system for spectrum monitoring. The comparison was restricted
to downlink mobile communication in the GSM900 and GSM1800 frequency bands.
Repeated measurements were performed in three areas in Cambridge, United Kingdom
and in three areas in Amersfoort, The Netherlands. We found that exposure
assessments through the car-mounted measurements are at least of similar quality
to exposure modelling and better than the body worn exposimeter data due to the
absence of the shielding effect. The main conclusion is that the mobile
measurements provide an efficient and low cost alternative particularly in
mapping large areas.
PMID- 26540088
TI - Momentary affect and risky behavior correlates of prescription drug misuse among
young adult dating couples: An experience sampling study.
AB - Although published research based on retrospective survey designs has established
prescription drug misuse as a serious health issue for individuals and society,
misuse behavior has not been investigated as it occurs in daily life and
important relationships. To address this gap, young adult romantic couples were
recruited from the community to participate in an experience sampling study.
Participants were identified through phone screen procedures as having engaged in
recent prescription drug misuse behavior. Participants (n=46 couples) completed
electronic diary reports throughout the day for 10days, tapping momentary affect,
sexual experiences, prescription drug misuse, and alcohol and other drug use.
Dyadic multilevel modeling revealed a more consistent pattern of associations
between prescription drug misuse and problematic affective and behavioral
outcomes for female partners than male partners. Specifically, during epochs of
females' prescription drug misuse, they experienced relatively higher levels of
negative affect and sexual regret. Also, females who misused prescriptions more
during the study period evidenced lower levels of sexual enjoyment and engaged in
more unprotected sex, alcohol use, and heavy alcohol use in daily life. Males' in
the-moment prescription drug misuse was not associated with their concurrent
outcomes, though males with relatively more misuse across the reporting period
were more likely to engage in heavy drinking. Couples' time together emerged as a
moderator of prescription drug misuse in daily life: Females who spent relatively
more time with their partner across the study were less likely to engage in
misuse, and proportion of time spent together moderated several of the momentary
misuse-outcome linkages. This study supports the use of ecologically-valid
sampling methods for characterizing young adults' prescription drug misuse in
daily life and relationship contexts.
PMID- 26540089
TI - Bayesian Estimation of Conditional Independence Graphs Improves Functional
Connectivity Estimates.
AB - Functional connectivity concerns the correlated activity between neuronal
populations in spatially segregated regions of the brain, which may be studied
using functional magnetic resonance imaging (fMRI). This coupled activity is
conveniently expressed using covariance, but this measure fails to distinguish
between direct and indirect effects. A popular alternative that addresses this
issue is partial correlation, which regresses out the signal of potentially
confounding variables, resulting in a measure that reveals only direct
connections. Importantly, provided the data are normally distributed, if two
variables are conditionally independent given all other variables, their
respective partial correlation is zero. In this paper, we propose a probabilistic
generative model that allows us to estimate functional connectivity in terms of
both partial correlations and a graph representing conditional independencies.
Simulation results show that this methodology is able to outperform the graphical
LASSO, which is the de facto standard for estimating partial correlations.
Furthermore, we apply the model to estimate functional connectivity for twenty
subjects using resting-state fMRI data. Results show that our model provides a
richer representation of functional connectivity as compared to considering
partial correlations alone. Finally, we demonstrate how our approach can be
extended in several ways, for instance to achieve data fusion by informing the
conditional independence graph with data from probabilistic tractography. As our
Bayesian formulation of functional connectivity provides access to the posterior
distribution instead of only to point estimates, we are able to quantify the
uncertainty associated with our results. This reveals that while we are able to
infer a clear backbone of connectivity in our empirical results, the data are not
accurately described by simply looking at the mode of the distribution over
connectivity. The implication of this is that deterministic alternatives may
misjudge connectivity results by drawing conclusions from noisy and limited data.
PMID- 26540090
TI - 50 MUm pixel pitch wafer-scale CMOS active pixel sensor x-ray detector for
digital breast tomosynthesis.
AB - Wafer-scale CMOS active pixel sensors (APSs) have been developed recently for x
ray imaging applications. The small pixel pitch and low noise are very promising
properties for medical imaging applications such as digital breast tomosynthesis
(DBT). In this work, we evaluated experimentally and through modeling the imaging
properties of a 50 MUm pixel pitch CMOS APS x-ray detector named DynAMITe
(Dynamic Range Adjustable for Medical Imaging Technology). A modified cascaded
system model was developed for CMOS APS x-ray detectors by taking into account
the device nonlinear signal and noise properties. The imaging properties such as
modulation transfer function (MTF), noise power spectrum (NPS), and detective
quantum efficiency (DQE) were extracted from both measurements and the nonlinear
cascaded system analysis. The results show that the DynAMITe x-ray detector
achieves a high spatial resolution of 10 mm(-1) and a DQE of around 0.5 at
spatial frequencies <1 mm(-1). In addition, the modeling results were used to
calculate the image signal-to-noise ratio (SNRi) of microcalcifications at
various mean glandular dose (MGD). For an average breast (5 cm thickness, 50%
glandular fraction), 165 MUm microcalcifications can be distinguished at a MGD of
27% lower than the clinical value (~1.3 mGy). To detect 100 MUm
microcalcifications, further optimizations of the CMOS APS x-ray detector, image
aquisition geometry and image reconstruction techniques should be considered.
PMID- 26540091
TI - Detection and Monitoring of Amyloid Fibrillation Using a Fluorescence "Switch-On"
Probe.
AB - Amyloid protein fibrillation is associated with a variety of neurodegenerative
and other diseases, and their efficient detection and monitoring can greatly
advance early diagnosis and therapy. Herein, we report a fluorescent "switch-on"
probe for the reliable detection and monitoring of amyloid fibrils. The probe
consists of a peptide component for binding with amyloid structure and a color
component with an aggregation-induced green emission property. This probe is
nonfluorescent in the presence of amyloid forming monomer protein/peptide, but
fluorescence "switch-on" occurs after binding with amyloid fibrils. Compared to
conventionally used thioflavin T, this probe offers a high signal-to-noise ratio,
which is unaffected by the quencher ion/nanoparticle. The proposed new probe has
been used for the detection and monitoring of amyloid fibrils produced by a wide
variety of amyloid protein/peptides and can be extended for in vitro diagnostic
applications.
PMID- 26540092
TI - Exploring the Relationship of Patient and Informal Caregiver Characteristics with
Heart Failure Self-Care Using the Actor-Partner Interdependence Model:
Implications for Outpatient Palliative Care.
AB - BACKGROUND: The convergence of prevalence, cost, symptom experience, community
setting, and informal caregiving in heart failure (HF) has profound implications
for outpatient palliative care. The majority of HF patients depend on informal
caregiver's assistance. Dyadic (patients and caregiver) characteristics can
complicate this assistance. Yet relatively little is known concerning dyadic
characteristics' impact on self-care. HF self-care involves routine, daily
treatment adherence and symptom monitoring (self-care maintenance), and symptom
response (self-care management). OBJECTIVE: Describe the dyadic characteristics
of mood and perception of the relationship in HF patients and caregivers, then
explore the relationship of the characteristics with self-care. DESIGN:
Prospective, cross sectional study of hospitalized HF patients in mixed dyads
(spousal/adult child/relative) analyzed using Actor-Partner Interdependence Model
(APIM) techniques. MEASUREMENTS: Mood was measured by the Brief Symptom Inventory
and Patient Health Questionnaire, perception of the relationship by the Dyadic
Adjustment Scale, and self-care by the Self-care in Heart Failure Index. RESULTS:
In 40 dyads the average patient was a 71 year old male (n = 30); caregiver was a
59 years old female (n = 26). Overall self-care scores were consistently low.
Patient depression scores were significantly greater than caregivers (p = .0055).
Greater caregiver anxiety were associated with lower caregiver maintenance scores
(p < .0001) but greater caregiver depression were associated with lower patient
maintenance scores (p < .0001). While patient and caregiver's perception of the
relationship was associated with their self-care, more importantly, caregiver's
perception of the relationship was associated with their confidence to engage in
the patient's self-care (p = .003). DISCUSSION/CONCLUSION: This study suggests
that caregivers, often unacknowledged or unmeasured, impact patient's day to day
HF self-care. Palliative care clinicians need to talk to dyads with a history of
poor self-care about their relationship.
PMID- 26540093
TI - Penicyclones A-E, Antibacterial Polyketides from the Deep-Sea-Derived Fungus
Penicillium sp. F23-2.
AB - Five new ambuic acid analogues, penicyclones A-E (1-5), were isolated from the
extract of the deep-sea-derived fungus Penicillium sp. F23-2. The structures
including the absolute configurations were established by interpretation of NMR
and MS data, as well as the application of ECD, X-ray crystallography, and a
chemical conversion, as well as the TDDFT-ECD calculations. Penicyclones A-E (1
5) exhibited antimicrobial activity against the Gram-positive bacterium
Staphylococcus aureus with MIC values ranging from 0.3 to 1.0 MUg/mL.
PMID- 26540095
TI - Development and characterization of pH responsive polymeric nanoparticles of SN
38 for colon cancer.
AB - 7-Ethyl-10-hydroxycamptothecin (SN-38) is 1000 times more cytotoxic than its
prodrug Irinotecan hydrochloride (CPT-11). It is not used therapeutically because
of its insolubility in acceptable solvents. The objective of the present study
was to prepare chitosan nanoparticles (CsENP) of SN-38 by polyelectrolyte
complexation method using the Box-Behnken design. CsENPs were evaluated for mean
particle size, drug loading, entrapment efficiency and characterized by TEM, XRD,
DSC and FTIR. The actual values represented good agreement with predicted values.
Drug release behavior in simulated colonic fluid followed Higuchi kinetics.
CsENPs were stable and can be used further for treatment of colon cancer by oral
route.
PMID- 26540094
TI - Blocking the association of HDAC4 with MAP1S accelerates autophagy clearance of
mutant Huntingtin.
AB - Autophagy controls and executes the turnover of abnormally aggregated proteins.
MAP1S interacts with the autophagy marker LC3 and positively regulates autophagy
flux. HDAC4 associates with the aggregation-prone mutant huntingtin protein
(mHTT) that causes Huntington's disease, and colocalizes with it in cytosolic
inclusions. It was suggested HDAC4 interacts with MAP1S in a yeast two-hybrid
screening. Here, we found that MAP1S interacts with HDAC4 via a HDAC4-binding
domain (HBD). HDAC4 destabilizes MAP1S, suppresses autophagy flux and promotes
the accumulation of mHTT aggregates. This occurs by an increase in the
deacetylation of the acetylated MAP1S. Either suppression of HDAC4 with siRNA or
overexpression of the MAP1S HBD leads to stabilization of MAP1S, activation of
autophagy flux and clearance of mHTT aggregates. Therefore, specific interruption
of the HDAC4-MAP1S interaction with short peptides or small molecules to enhance
autophagy flux may relieve the toxicity of mHTT associated with Huntington's
disease and improve symptoms of HD patients.
PMID- 26540096
TI - The properties of mesoporous silica nanoparticles functionalized with different
PEG-chain length via the disulfide bond linker and drug release in glutathione
medium.
AB - In this paper, a novel drug-loaded material (MSNs-SS-PEG) was obtained by
grafting the thiol-linked methoxy polyethylene glycol (MeOPEG-SH) onto the thiol
functionalized mesoporous silica nanoparticles (MSNs-SH) via the disulfide bond
linker. In our designed experiment, three different chain lengths of PEG
(PEG(1000), PEG(5000), and PEG(1000)-PEG(5000)) were used. The silica materials
were characterized by Fourier transform infrared spectroscopy (FT-IR), dynamic
light scattering, field emission scanning electron microscopy, transmission
electron microscopy, nitrogen adsorption-desorption measurements, and X-ray
diffraction. The morphology of the MSNs-SS-PEG was spherical with an average
diameter of about 150 nm. Due to the covalent modification of hydrophilic MeOPEG,
the MSNs-SS-PEG was coated by a thin polymer shell, showing stable and inerratic
MCM-41 type mesoporous structure as well as high specific surface areas and large
pore volumes. Moreover, the releases of doxorubicin hydrochloride (DOX) from
these materials at 10 mM of glutathione were investigated. The PEG
functionalization could effectively cap drugs in the mesoporous channels. The
release of DOX from the MSNs-SS-PEG(n) revealed redox-responsive characteristic.
The obtained results showed that the MSNs-SS-PEG might be promising drug delivery
carrier materials, which could play an important role in the development of drug
delivery.
PMID- 26540097
TI - A Deep Cavitand Templates Lactam Formation in Water.
AB - Cyclization reactions are common processes in organic chemistry and show familiar
patterns of reaction rates vs ring size. While the details vary with the nature
of bond being made and the number of unsaturated atoms, small rings typically
form quickly despite angle strain, medium size rings form very slowly due to
internal strains, and large rings form slowly (when they form at all) because
fewer and less probable conformations bring the ends of the substrate together.
High dilution is commonly used to slow the competing bi- and higher molecular
processes. Here we apply cavitands to the formation of medium size lactams from
omega-amino acids in aqueous (D2O) solution. The cavitands bind the amino acids
in folded conformations that favor cyclization by bringing the ends closer
together. Yields of a 12-membered lactam are improved 4.1-fold and 13-membered
lactam 2.8-fold by the cavitand template. The results open possibilities for
moving organic reactions into water even when the processes involve dehydration.
PMID- 26540098
TI - Multiplanar Knee Laxity and Perceived Function During Activities of Daily Living
and Sport.
AB - CONTEXT: Greater knee-joint laxity may lead to a higher risk of knee injury, yet
it is unknown whether results of self-reported outcome measures are associated
with distinct knee-laxity profiles. OBJECTIVE: To identify the extent to which
multiplanar knee laxity is associated with patient-reported outcomes of knee
function in healthy individuals during activities of daily living and sport.
DESIGN: Descriptive laboratory study. SETTING: University research laboratory.
PATIENTS OR OTHER PARTICIPANTS: Forty healthy individuals (20 men, 20 women; age
= 18-31 years). MAIN OUTCOME MEASURE(S): All participants were given the Knee
Outcome Survey Activities of Daily Living Scale (KOS-ADL) and Sports Activities
Scale (KOS-SAS) and subsequently measured for knee laxity in the sagittal,
frontal, and transverse planes. Separate backward stepwise regression analyses
were performed to determine the extent to which multiplanar knee-laxity values
predicted KOS-ADL and KOS-SAS scores within each sex. RESULTS: Women had higher
magnitudes of anterior, posterior (POST(LAX)), varus (VAR(LAX)), valgus
(VAL(LAX)), and internal-rotation laxity than men and trended toward greater
external rotation (ER(LAX)) laxity. Greater POST(LAX), less VAL(LAX), and greater
VAR(LAX) was associated with lower KOS-ADL scores (KOS-ADL = -4.8 [POST(LAX)], +
3.3 [VAL(LAX)] - 2.2 [VAR(LAX)] + 100.4, R2 = 0.74, P < .001) and greater
POST(LAX) and less VAL(LAX) was associated with lower KOS-SAS scores (KOS-SAS =
8.2 [POST(LAX)], + 3.6 [VAL(LAX)] + 96.4, R2 = 0.67, P < .001) in women. In men,
greater POST(LAX) and less ER(LAX) was associated with lower KOS-SAS scores (KOS
ADL = -4.7 [POST(LAX)], + 0.9 [ER(LAX)] + 96.4, R2 = 0.49, P < .001).
CONCLUSIONS: The combination of POST(LAX) with less relative VAL(LAX) (women) or
less relative ER(LAX) (men) was a strong predictor of KOS scores, suggesting that
a self-reported outcome measure may be beneficial as part of a preparticipation
screening battery to identify those with perceived functional deficits associated
with their knee laxity.
PMID- 26540099
TI - Concussion-Related Protocols and Preparticipation Assessments Used for Incoming
Student-Athletes in National Collegiate Athletic Association Member Institutions.
AB - CONTEXT: National Collegiate Athletic Association (NCAA) legislation requires
that member institutions have policies to guide the recognition and management of
sport-related concussions. Identifying the nature of these policies and the
mechanisms of their implementation can help identify areas of needed improvement.
OBJECTIVE: To estimate the characteristics and prevalence of concussion-related
protocols and preparticipation assessments used for incoming NCAA student
athletes. DESIGN: Cross-sectional study. SETTING: Web-based survey. PATIENTS OR
OTHER PARTICIPANTS: Head athletic trainers from all 1113 NCAA member institutions
were contacted; 327 (29.4%) completed the survey. INTERVENTION(S): Participants
received an e-mail link to the Web-based survey. Weekly reminders were sent
during the 4-week window. MAIN OUTCOME MEASURE(S): Respondents described
concussion-related protocols and preparticipation assessments (eg, concussion
history, neurocognitive testing, balance testing, symptom checklists).
Descriptive statistics were compared by division and football program status.
RESULTS: Most universities provided concussion education to student-athletes
(95.4%), had return-to-play policies (96.6%), and obtained the number of previous
concussions sustained by incoming student-athletes (97.9%). Fewer had return-to
learn policies (63.3%). Other concussion-history-related information (e.g.,
symptoms, hospitalization) was more often collected by Division I universities.
Common preparticipation neurocognitive and balance tests were the Immediate Post
Concussion Assessment and Cognitive Testing (ImPACT; 77.1%) and Balance Error
Scoring System (46.5%). In total, 43.7% complied with recommendations for
preparticipation assessments that included concussion history, neurocognitive
testing, balance testing, and symptom checklists. This was due to moderate use of
balance testing (56.6%); larger proportions used concussion history (99.7%),
neurocognitive testing (83.2%), and symptom checklists (91.7%). More Division I
universities (55.2%) complied with baseline assessment recommendations than
Division II (38.2%, chi2 = 5.49, P = .02) and Division III (36.1%, chi2 = 9.11, P
= .002) universities. CONCLUSIONS: National Collegiate Athletic Association
member institutions implement numerous strategies to monitor student-athletes.
Division II and III universities may need additional assistance to collect in
depth concussion histories and conduct balance testing. Universities should
continue developing or adapting (or both) return-to-learn policies.
PMID- 26540100
TI - Direct Visualization of DNA Replication Dynamics in Zebrafish Cells.
AB - Spatiotemporal regulation of DNA replication in the S-phase nucleus has been
extensively studied in mammalian cells because it is tightly coupled with the
regulation of other nuclear processes such as transcription. However, little is
known about the replication dynamics in nonmammalian cells. Here, we analyzed the
DNA replication processes of zebrafish (Danio rerio) cells through the direct
visualization of replicating DNA in the nucleus and on DNA fiber molecules
isolated from the nucleus. We found that zebrafish chromosomal DNA at the nuclear
interior was replicated first, followed by replication of DNA at the nuclear
periphery, which is reminiscent of the spatiotemporal regulation of mammalian DNA
replication. However, the relative duration of interior DNA replication in
zebrafish cells was longer compared to mammalian cells, possibly reflecting
zebrafish-specific genomic organization. The rate of replication fork progression
and ori-to-ori distance measured by the DNA combing technique were ~ 1.4 kb/min
and 100 kb, respectively, which are comparable to those in mammalian cells. To
our knowledge, this is a first report that measures replication dynamics in
zebrafish cells.
PMID- 26540101
TI - Collagen Sequence Analysis of the Extinct Giant Ground Sloths Lestodon and
Megatherium.
AB - For over 200 years, fossils of bizarre extinct creatures have been described from
the Americas that have ranged from giant ground sloths to the 'native' South
American ungulates, groups of mammals that evolved in relative isolation on South
America. Ground sloths belong to the South American xenarthrans, a group with
modern although morphologically and ecologically very different representatives
(anteaters, armadillos and sloths), which has been proposed to be one of the four
main eutherian clades. Recently, proteomics analyses of bone collagen have
recently been used to yield a molecular phylogeny for a range of mammals
including the unusual 'Malagasy aardvark' shown to be most closely related to the
afrotherian tenrecs, and the south American ungulates supporting their
morphological association with condylarths. However, proteomics results generate
partial sequence information that could impact upon the phylogenetic placement
that has not been appropriately tested. For comparison, this paper examines the
phylogenetic potential of proteomics-based sequencing through the analysis of
collagen extracted from two extinct giant ground sloths, Lestodon and
Megatherium. The ground sloths were placed as sister taxa to extant sloths, but
with a closer relationship between Lestodon and the extant sloths than the basal
Megatherium. These results highlight that proteomics methods could yield
plausible phylogenies that share similarities with other methods, but have the
potential to be more useful in fossils beyond the limits of ancient DNA survival.
PMID- 26540102
TI - On the Discovery of TOR As the Target of Rapamycin.
PMID- 26540103
TI - Natural Conception May Be an Acceptable Option in HIV-Serodiscordant Couples in
Resource Limited Settings.
AB - Many HIV serodiscordant couples have a strong desire to have their own biological
children. Natural conception may be the only choice in some resource limited
settings but data about natural conception is limited. Here, we reported our
findings of natural conception in HIV serodiscordant couples. Between January
2008 and June 2014, we retrospectively collected data on 91 HIV serodiscordant
couples presenting to Beijing Youan Hospital with childbearing desires. HIV
counseling, effective ART on HIV infected partners, pre-exposure prophylaxis
(PrEP) and post-exposure prophylaxis (PEP) in negative female partners and timed
intercourse were used to maximally reduce the risk of HIV transmission. Of the 91
HIV serodiscordant couples, 43 were positive in male partners and 48 were
positive in female partners. There were 196 unprotected vaginal intercourses, 100
natural conception and 97 newborns. There were no cases of HIV seroconversion in
uninfected sexual partners. Natural conception may be an acceptable option in HIV
serodiscordant couples in resource limited settings if HIV-positive individuals
have undetectable viremia on HAART, combined with HIV counseling, PrEP, PEP and
timed intercourse.
PMID- 26540104
TI - Antibiotic Prescribing among Pediatric Inpatients with Potential Infections in
Two Private Sector Hospitals in Central India.
AB - INTRODUCTION: Infectious diseases are one of the major causes of child mortality
in India. Pediatric patients are commonly prescribed antibiotics for non
bacterial infections. Monitoring of local antibiotic prescribing with respect to
the diagnosis is necessary to improve the prescribing practices. The aim of the
study was to describe antibiotic prescribing for potential infections among
patients admitted in pediatric departments in two private sector hospitals; one
teaching (TH) and one non-teaching (NTH) in Central India. METHODS: Data from all
patients admitted at the pediatric departments of both study hospitals was
collected manually, for 3 years (2008-2011) using a customized form. Data from
inpatients aged 0-18 years, diagnosed with; acute gastroenteritis (AGE),
respiratory tract infections, enteric fever, viral fever or unspecified fever
were focused for analysis. Antibiotic prescriptions were analysed using the WHO
Anatomical Therapeutic Chemical (ATC) classification system and defined daily
doses (DDDs). Adherence to the Indian Academy of Pediatrics list of essential
medicines (IAP-LEM) was investigated. P-values <0.05 were considered significant.
RESULTS: Oftotal6, 825 inpatients admitted at two pediatric departments, 510
patients from the TH and 2,479from the NTH were selected based on the assigned
potential infectious diagnoses. Of these, 224 patients (44%) at the TH and 2,088
(84%) at the NTH were prescribed at least one antibiotic during hospital stay
(odds ratio-0.69, 95%confidence interval-0.52 to 0.93; p<0.001). Patients with
AGE, viral- and enteric fever were frequently prescribed antibiotics at both
hospitals, yet higher proportion were prescribed antibiotics at the NTH compared
to the TH. Broad-spectrum antibiotics were the most commonly prescribed
antibiotic class in both hospitals, namely third generation cephalosporins, J01DD
(69%) at the TH, and new fixed dose combinations of antibiotics J01R (FDCs, 42%)
at the NTH. At the TH, 37% of the antibiotic prescriptions were comprised of
antibiotics listed in the IAP-LEM, compared to 24% at the NTH (p<0.05).
CONCLUSIONS: Broad-spectrum antibiotics were prescribed frequently in both
hospitals also for the un-indicated conditions such as viral fever and enteric
fever. At the NTH, new FDCs were more frequently prescribed and adherence to the
IAP-LEM was substantially lower at the NTH compared to the TH. The results
demonstrate need to develop diagnosis-specific prescribing guidelines to
facilitate rational use of antibiotics and implement antibiotic stewardship
program.
PMID- 26540105
TI - How Big of an Effect Do Small Dams Have? Using Geomorphological Footprints to
Quantify Spatial Impact of Low-Head Dams and Identify Patterns of Across-Dam
Variation.
AB - Longitudinal connectivity is a fundamental characteristic of rivers that can be
disrupted by natural and anthropogenic processes. Dams are significant
disruptions to streams. Over 2,000,000 low-head dams (<7.6 m high) fragment
United States rivers. Despite potential adverse impacts of these ubiquitous
disturbances, the spatial impacts of low-head dams on geomorphology and ecology
are largely untested. Progress for research and conservation is impaired by not
knowing the magnitude of low-head dam impacts. Based on the geomorphic
literature, we refined a methodology that allowed us to quantify the spatial
extent of low-head dam impacts (herein dam footprint), assessed variation in dam
footprints across low-head dams within a river network, and identified select
aspects of the context of this variation. Wetted width, depth, and substrate size
distributions upstream and downstream of six low-head dams within the Upper
Neosho River, Kansas, United States of America were measured. Total dam
footprints averaged 7.9 km (3.0-15.3 km) or 287 wetted widths (136-437 wetted
widths). Estimates included both upstream (mean: 6.7 km or 243 wetted widths) and
downstream footprints (mean: 1.2 km or 44 wetted widths). Altogether the six low
head dams impacted 47.3 km (about 17%) of the mainstem in the river network.
Despite differences in age, size, location, and primary function, the sizes of
geomorphic footprints of individual low-head dams in the Upper Neosho river
network were relatively similar. The number of upstream dams and distance to
upstream dams, but not dam height, affected the spatial extent of dam footprints.
In summary, ubiquitous low-head dams individually and cumulatively altered lotic
ecosystems. Both characteristics of individual dams and the context of
neighboring dams affected low-head dam impacts within the river network. For
these reasons, low-head dams require a different, more integrative, approach for
research and management than the individualistic approach that has been applied
to larger dams.
PMID- 26540108
TI - A Multi-Level Bayesian Analysis of Racial Bias in Police Shootings at the County
Level in the United States, 2011-2014.
AB - A geographically-resolved, multi-level Bayesian model is used to analyze the data
presented in the U.S. Police-Shooting Database (USPSD) in order to investigate
the extent of racial bias in the shooting of American civilians by police
officers in recent years. In contrast to previous work that relied on the FBI's
Supplemental Homicide Reports that were constructed from self-reported cases of
police-involved homicide, this data set is less likely to be biased by police
reporting practices. County-specific relative risk outcomes of being shot by
police are estimated as a function of the interaction of: 1) whether
suspects/civilians were armed or unarmed, and 2) the race/ethnicity of the
suspects/civilians. The results provide evidence of a significant bias in the
killing of unarmed black Americans relative to unarmed white Americans, in that
the probability of being {black, unarmed, and shot by police} is about 3.49 times
the probability of being {white, unarmed, and shot by police} on average.
Furthermore, the results of multi-level modeling show that there exists
significant heterogeneity across counties in the extent of racial bias in police
shootings, with some counties showing relative risk ratios of 20 to 1 or more.
Finally, analysis of police shooting data as a function of county-level
predictors suggests that racial bias in police shootings is most likely to emerge
in police departments in larger metropolitan counties with low median incomes and
a sizable portion of black residents, especially when there is high financial
inequality in that county. There is no relationship between county-level racial
bias in police shootings and crime rates (even race-specific crime rates),
meaning that the racial bias observed in police shootings in this data set is not
explainable as a response to local-level crime rates.
PMID- 26540106
TI - Conserved Genetic Interactions between Ciliopathy Complexes Cooperatively Support
Ciliogenesis and Ciliary Signaling.
AB - Mutations in genes encoding cilia proteins cause human ciliopathies, diverse
disorders affecting many tissues. Individual genes can be linked to ciliopathies
with dramatically different phenotypes, suggesting that genetic modifiers may
participate in their pathogenesis. The ciliary transition zone contains two
protein complexes affected in the ciliopathies Meckel syndrome (MKS) and
nephronophthisis (NPHP). The BBSome is a third protein complex, affected in the
ciliopathy Bardet-Biedl syndrome (BBS). We tested whether mutations in MKS, NPHP
and BBS complex genes modify the phenotypic consequences of one another in both
C. elegans and mice. To this end, we identified TCTN-1, the C. elegans ortholog
of vertebrate MKS complex components called Tectonics, as an evolutionarily
conserved transition zone protein. Neither disruption of TCTN-1 alone or together
with MKS complex components abrogated ciliary structure in C. elegans. In
contrast, disruption of TCTN-1 together with either of two NPHP complex
components, NPHP-1 or NPHP-4, compromised ciliary structure. Similarly,
disruption of an NPHP complex component and the BBS complex component BBS-5
individually did not compromise ciliary structure, but together did. As in
nematodes, disrupting two components of the mouse MKS complex did not cause
additive phenotypes compared to single mutants. However, disrupting both Tctn1
and either Nphp1 or Nphp4 exacerbated defects in ciliogenesis and cilia
associated developmental signaling, as did disrupting both Tctn1 and the BBSome
component Bbs1. Thus, we demonstrate that ciliary complexes act in parallel to
support ciliary function and suggest that human ciliopathy phenotypes are altered
by genetic interactions between different ciliary biochemical complexes.
PMID- 26540107
TI - Predictors for Stroke and Death in Non-Anticoagulated Asian Patients with Atrial
Fibrillation: The Fushimi AF Registry.
AB - BACKGROUND: Atrial fibrillation (AF) increases the risk of stroke and death. Data
on the predictors for stroke and death in 'real-world' AF patients are limited,
especially from large prospective Asian cohorts. METHODS: The Fushimi AF Registry
is a community-based prospective survey designed to enroll all AF patients who
visited the participating medical institutions in Fushimi-ku, Kyoto, Japan.
Follow-up data were available for 3,304 patients (median follow-up period 741
days). We explored the predictors for 'death, stroke, and systemic embolism (SE)'
during follow-up in 1,541 patients not receiving oral anticoagulants (OAC) at
baseline. RESULTS: The mean age was 73.1 +/- 12.5 years, and 673 (44%) patients
were female. The mean CHADS2 and CHA2DS2-VASc scores were 1.76 and 3.08,
respectively. Cumulative events were as follows: stroke/SE in 61 (4%) and death
in 230 (15%), respectively. On multivariate analysis, advanced age (hazard ratio
(HR): 1.68, 95% confidence interval (CI): 1.24-2.29), underweight (body mass
index <18.5 kg/m2) (HR: 1.71, 95% CI: 1.25-2.32), previous stroke/SE/transient
ischemic attack (HR: 1.70, 95% CI: 1.25-2.30), heart failure (HR: 1.59, 95% CI:
1.17-2.15), chronic kidney disease (HR: 1.53, 95% CI: 1.16-2.02), and anemia (HR:
2.41, 95% CI: 1.78-3.28) were independent predictors for death/stroke/SE.
Cumulative numbers of these 6 risk predictors could stratify the incidence of
death/stroke/SE in patients without OAC, as well as those with OAC in our
registry. CONCLUSIONS: Advanced age, underweight, previous stroke/SE/transient
ischemic attack, heart failure, chronic kidney disease, and anemia were
independently associated with the risk of death/stroke/SE in non-anticoagulated
Japanese AF patients.
PMID- 26540109
TI - Biophotonics and the life sciences.
PMID- 26540110
TI - Retraction of: J Clin Las Med Surg 2004;22(2):87-89 DOI:
10.1089/104454704774076127.
PMID- 26540111
TI - Unfavorably Altered Fibrin Clot Properties in Patients with Eosinophilic
Granulomatosis with Polyangiitis (Churg-Strauss Syndrome): Association with
Thrombin Generation and Eosinophilia.
AB - OBJECTIVES: Given reports on the increased prevalence of thromboembolic incidents
in patients with eosinophilic granulomatosis with polyangiitis (EGPA; Churg
Strauss syndrome), we investigated whether fibrin clot properties are unfavorably
altered in EGPA. METHODS: Ex vivo plasma fibrin clot characteristics, including
clot permeability, turbidimetry and efficiency of fibrinolysis using two assays,
were investigated in 34 consecutive patients with remission in EGPA according to
the Birmingham Vasculitis Activity Score version 3 (23 female, 11 male), aged 48
(range, 21-80) years. The control group comprised 34 age- and sex- matched
volunteers. RESULTS: Compared with controls, patients with EGPA were
characterized by denser fiber clots (estimated pore size, Ks, 7.30+/-0.93 vs
10.14+/-1.07 10-9 cm2), faster fibrin polymerization (lag phase in a
turbidimetric curve, 41.8+/-3.6 vs 47.4+/-2.9 s), thicker fibrin fibers (maximum
absorbance, DeltaAbs, 0.87+/-0.09 vs 0.72+/-0.07), higher maximum levels of D
dimer released from clots (DDmax 4.10+/-0.46 vs 3.54+/-0.35 mg/L), and prolonged
clot lysis time (t50%; 9.50+/-1.45 vs 7.56+/-0.87 min); all p<0.0001. Scanning
electron microscopy images confirmed denser plasma fibrin networks composed of
thinner fibers formed in EGPA. Antineutrophil cytoplasmic antibody status and C
reactive protein did not affect clot variables. Multivariate analysis adjusted
for fibrinogen showed that Ks was predicted by eosinophil count, peak thrombin
generation, factor VIII, and soluble CD40 ligand, whereas eosinophil count, peak
thrombin generation and antiplasmin predicted t50%. CONCLUSION: This study is the
first to show that EGPA is associated with prothrombotic plasma fibrin clot
phenotype, which may contribute to thromboembolic manifestations reported in this
disease.
PMID- 26540112
TI - Hoechst 33342 Is a Hidden "Janus" amongst Substrates for the Multidrug Efflux
Pump LmrP.
AB - Multidrug transporters mediate the active extrusion of antibiotics and toxic ions
from the cell. This reaction is thought to be based on a switch of the
transporter between two conformational states, one in which the interior
substrate binding cavity is available for substrate binding at the inside of the
cell, and another in which the cavity is exposed to the outside of the cell to
enable substrate release. Consistent with this model, cysteine cross-linking
studies with the Major Facilitator Superfamily drug/proton antiporter LmrP from
Lactococcus lactis demonstrated binding of transported benzalkonium to LmrP in
its inward-facing state. The fluorescent dye Hoechst 33342 is a substrate for
many multidrug transporters and is extruded by efflux pumps in microbial and
mammalian cells. Surprisingly, and in contrast to other multidrug transporters,
LmrP was found to actively accumulate, rather than extrude, Hoechst 33342 in
lactococcal cells. Consistent with this observation, LmrP expression was
associated with cellular sensitivity, rather than resistance to Hoechst 33342.
Thus, we discovered a hidden "Janus" amongst LmrP substrates that is translocated
in reverse direction across the membrane by binding to outward-facing LmrP
followed by release from inward-facing LmrP. These findings are in agreement with
distance measurements by electron paramagnetic resonance in which Hoechst 33342
binding was found to stabilize LmrP in its outward-facing conformation. Our data
have important implications for the use of multidrug exporters in selective
targeting of "Hoechst 33342-like" drugs to cells and tissues in which these
transporters are expressed.
PMID- 26540113
TI - Right Temporal Lobe Atrophy: A Case That Initially Presented as Excessive Piety.
AB - OBJECTIVE: Variants of frontotemporal lobar degeneration (FTLD) are associated
with distinct clinical, pathological, and neuroanatomical profiles. Lines of
emerging research indicate a rare variant with focal atrophy of the right
temporal lobe (RTLA). The objective was to present case data and discussion of an
individual with RTLA in order to assist with conceptualization of this variant.
METHOD: A 60-year-old, right-handed, college-educated Protestant minister with
RTLA was evaluated. This patient presented with several hallmark behavioral and
psychiatric features with personality changes, including hyper-religiosity,
depression, and social disinhibition. Given the profession of the patient, the
observed personality alterations (e.g., religiosity and pietism) were initially
excused, which delayed diagnosis. RESULTS: In addition to cognitive deficits, an
examination of affect processing within visual and auditory channels revealed
severe impairment in emotion recognition with features of prosopagnosia. These
impairments were in general more severe than the cognitive impairment observed on
traditional neuropsychological measures. CONCLUSIONS: This case provides support
for an FTLD right temporal lobe variant. This case also illustrates the
importance of neuropsychological evaluation of affect processing in the
differential diagnosis and treatment planning for FTLD and its subtypes.
PMID- 26540114
TI - Development of a Novel PET Tracer [18F]AlF-NOTA-C6 Targeting MMP2 for Tumor
Imaging.
AB - BACKGROUND AND OBJECTIVE: The overexpression of gelatinases, that is, matrix
metalloproteinase MMP2 and MMP9, has been associated with tumor progression,
invasion, and metastasis. To image MMP2 in tumors, we developed a novel ligand
termed [18F]AlF-NOTA-C6, with consideration that: c(KAHWGFTLD)NH2 (herein, C6) is
a selective gelatinase inhibitor; Cy5.5-C6 has been visualized in many in vivo
tumor models; positron emission tomography (PET) has a higher detection
sensitivity and a wider field of view than optical imaging; fluorine-18 (18F) is
the optimal PET radioisotope, and the creation of a [18F]AlF-peptide complex is a
simple procedure. METHODS: C6 was conjugated to the bifunctional chelator NOTA
(1, 4, 7-triazacyclononanetriacetic acid) for radiolabeling [18F]AlF conjugation.
The MMP2-binding characteristics and tumor-targeting efficacy of [18F]AlF-NOTA-C6
were tested in vitro and in vivo. RESULTS: The non-decay corrected yield of
[18F]AlF-NOTA-C6 was 46.2-64.2%, and the radiochemical purity exceeded 95%.
[18F]AlF-NOTA-C6 was favorably retained in SKOV3 and PC3 cells, determined by
cell uptake. Using NOTA-C6 as a competitive ligand, the uptake of [18F]AlF-NOTA
C6 in SKOV3 cells decreased in a dose-dependent manner. In biodistribution and
PET imaging studies, higher radioactivity concentrations were observed in tumors.
Pre-injection of C6 caused a marked reduction in tumor tissue uptake.
Immunohistochemistry showed MMP2 in tumor tissues. CONCLUSIONS: [18F]AlF-NOTA-C6
was easy to synthesize and has substantial potential as an imaging agent that
targets MMP2 in tumors.
PMID- 26540115
TI - Multidrug-Resistant Salmonella Heidelberg Associated with Mechanically Separated
Chicken at a Correctional Facility.
AB - We describe multidrug-resistant (MDR) Salmonella Heidelberg infections associated
with mechanically separated chicken (MSC) served at a county correctional
facility. Twenty-three inmates met the case definition. All reported diarrhea, 19
(83%) reported fever, 16 (70%) reported vomiting, 4 (17%) had fever >=103 degrees
F, and 3 (13%) were hospitalized. A case-control study found no single food item
significantly associated with illness. Salmonella Heidelberg with an
indistinguishable pulsed-field gel electrophoresis pattern was isolated from nine
stool specimens; two isolates displayed resistance to a total of five drug
classes, including the third-generation cephalosporin, ceftriaxone. MDR
Salmonella Heidelberg might have contributed to the severity of illness.
Salmonella Heidelberg indistinguishable from the outbreak subtype was isolated
from unopened MSC. The environmental health assessment identified cross
contamination through poor food-handling practices as a possible contributing
factor. Proper hand-washing techniques and safe food-handling practices were
reviewed with the kitchen supervisor.
PMID- 26540116
TI - The Association of Vitamin D Receptor Polymorphisms with Multiple Sclerosis in a
Case-Control Study from Kuwait.
AB - Vitamin D deficiency is associated with several diseases including multiple
sclerosis (MS). Several factors influence vitamin D levels and its optimal multi
function maintenance. Our objective was to assess quantifiable variables
influencing vitamin D level and metabolism in MS patients from Kuwait. In a case
control study involving 50 MS patients, and 50 healthy control individuals for
which plasma vitamin D levels, supplement use, vitamin D receptor (VDR) variants,
and skin pigmentation indices were ascertained; we found overall vitamin D levels
to be deficient in both groups, and supplement use to be common practice. VDR
variants TaqI and BsmI associated with MS risk, and ApaI associated with low
disease progression. VDR variant FokI associated with higher vitamin D levels in
both groups. We conclude that several quantifiable variables related to vitamin D
associate with MS suggesting a possible clinical immuno-modulatory application of
vitamin D for MS patients in Kuwait.
PMID- 26540117
TI - Perfluorinated carboxylic and sulphonic acids in surface water media from the
regions of Tibetan Plateau: Indirect evidence on photochemical degradation?
AB - Perfluorinated surfactants and repellents are synthetic substances that have
found numerous industrial and customer applications. Due to their persistence, at
least two groups of these substances-perfluorinated carboxylic acids (PFCAs) and
perfluorinated sulfonic acids (PFSAs)-are diffused widely in the environment. It
is hypothesized that the Tibetan Plateau, is one of few unique places on the
Earth, due to its topography, specifically the vast space and high elevation
above sea level, geographic location, climate, high solar radiation, lack of
industry, little urbanization and general lack of significant direct sources of
pollution. There it is believed possible to gain an insight into atmospheric fate
(possible photochemical degradation of higher molecular mass and formation of
lower molecular mass PFCAs and PFSAs) of PFASs under un-disturbed environmental
conditions. Ultratrace analytical method for PFCAs and PFSAs and use of
transportation and field blanks, laboratory blanks and isotopically labelled
surrogates for recovery control has allowed the determination of nine
perfluorinated carboxylic acids and six perfluorinated sulfonic acids at ultra
trace levels in water based samples from the alpine dimension regions of the
Tibetan Plateau, the eastern slope of Minya Konka peak at the eastern edge of the
Tibetan Plateau, and also from the city of Chengdu from the lowland of the
Sichuan Province in China. The specific compositional pattern of PFCAs and PFSAs
and low levels of pollution with those compounds were observed in the central
region of the Tibetan Plateau and in the region adjacent to the peaks of Minya
Konka in the Eastern Tibetan Plateau. The fingerprint of the compositional
pattern of PFCAs and PFSAs in water samples in the central region of the Tibetan
Plateau and in the alpine region adjacent to the peaks of Minya Konka in the
Eastern Tibetan Plateau may be explained by the result of photochemical
degradation with dealkylation of longer chain compounds and formation of shorter
chain compounds, which are more resistant to photochemical degradation.
PMID- 26540118
TI - Timosaponin B-II inhibits lipopolysaccharide-induced acute lung toxicity via
TLR/NF-kappaB pathway.
AB - Timosaponin B-II (TB), a main bioactive compound in Anemarrhena asphodeloides
Bunge, has various kinds of pharmacological activities, the present study aimed
to investigate the protective role of TB on lipopolysaccharide (LPS)-induced
acute lung injury (ALI). ALI was induced in mice by intratracheal instillation of
LPS, and TB (20 and 60 mg/kg) was given orally 1 h prior to LPS administration.
After 6 h, bronchoalveolar lavagefluid (BALF) and lung tissue were collected. TB
decreased LPS-induced evident lung histopathological changes, lung wet-to-dry
weight (W/D) ratio and lung myeloperoxidase (MPO) activity. In addition, TB
inhibited inflammatory cells and cytokines including tumor necrosis factor-alpha
(TNF-alpha), interleukin-1beta (IL-1beta) and interleukin-6 (IL-6) in BALF.
Furthermore, we demonstrated that TB inhibited the Toll-like receptor-2 (TLR2),
Toll-like receptor-4 (TLR4), myeloid differentiation primary response gene-88
(MyD88), nuclear factor-kappaB (NF-kappaB) p65 in LPS-induced ALI. These results
showed that administration of TB prior to LPS improves ALI, possibly mediating
ALI through suppressing TLR/NF-kappaB pathway activation.
PMID- 26540119
TI - Prostaglandin-Associated Enophthalmos: An Observer-Masked Radiological Study of
Patients Treated with Prostaglandin Drops to One Eye Only.
PMID- 26540120
TI - Estimating the Total Pathogenic Allele Frequency of Autosomal Recessive Disorders
in Case of Consanguinity.
AB - OBJECTIVE: Estimating the total allele frequency of all pathogenic alleles of an
autosomal recessive disease is not possible if only mutational data of a sample
of affected individuals are available. However, if the affected individuals come
from a population where consanguinity is not uncommon, this total allele
frequency can be estimated by additionally using the positive individual
inbreeding coefficients or an estimate of the population inbreeding coefficient.
In this paper, we propose two estimators. METHODS/RESULTS: We propose to estimate
the total allele frequency by a conditional maximum likelihood estimator if a
part of the affected individuals in the sample comes from consanguineous
marriages with known inbreeding coefficients. A simulation study shows that this
estimator is unbiased and robust. We propose a second estimator which is based on
an estimate of the population inbreeding coefficient. The method is applied to
mutational data and individual inbreeding coefficients of Tunisian patients with
congenital adrenal hyperplasia. CONCLUSION: Additionally using individual
inbreeding coefficients or an estimate of the population inbreeding coefficient
makes it possible to estimate the total allele frequency. Since consanguinity is
commonly practiced in many parts of the world, the estimators proposed in the
paper are of practical importance.
PMID- 26540121
TI - From Basic Biology to Engineering and Clinical Translation of Stem Cells: Meeting
Report on the 8th International Meeting of the Stem Cell Network North Rhine
Westphalia.
PMID- 26540122
TI - The complete mitochondrial genome of Acrossocheilus beijiangensis (Cyprinidae,
Barbinae).
AB - Acrossocheilus beijiangensis is a species of freshwater fish in the family
Cyprinidae. In this study, the complete mitochondrial genome of A. beijiangensis
has been determined using polymerase chain reaction technique. The genome is 16
600 bp in length, it includes 22 tRNA genes, 13 protein-coding genes, two rRNA
genes, a D-loop control region, and another non-coding region called replication
origin of Light strand (OL). The order of mitochondrial genes was found to be
analogous to other vertebrates. The overall base composition of A. beijiangensis,
mitochondrial DNA, was calculated and determined to consist of 30.6% A, 24.9% T,
28.1% C, and 16.3% G. Additionally it was found that most of the mitochondrial
genes are encoded on the heavy strand except the NADH dehydrogenase subunit 6
(ND6) and eight tRNA genes. The availability of A. beijangesis mitogenome will
provide basic data for the systematic categorization of Acrossocheilus sp., as
well as the phylogenetic analysis of Cyprinid fishes.
PMID- 26540124
TI - Complex strength performance in patients with haemophilia A. Method development
and testing.
AB - The aim of this study was to develop a complex strength measurement method and to
apply this new method for the first time in patients with haemophilia (PwH). 20
PwH with severe haemophilia A and 20 controls were included into the study. All
subjects completed ten measurements of maximum isometric strength. Furthermore,
the 20 control subjects completed re-test-measurements to evaluate the method. As
a result, the method showed a high reliability (ICC 0.764 to 0.934). Between the
two groups significant reductions in PwH between -(19-35%) were detected,
regarding the relative force of the M. triceps brachii (-19%; p = 0.008), M.
biceps brachii (-19%; p = 0.031), M. latissimus dorsi (-17%; p = 0.019), M.
biceps femoris right (-20%; p = 0.036) and M. quadriceps femoris (right: -29%; p
= 0.004; left: -35%; p = 0.002). No differences were found for M. rectus
abdominis and in the hand strength. Thus, there is no general deficit in the
muscle strength in PwH. The most obvious deficits exist in the upper and lower
extremities and in the back muscles. CONCLUSION: PwH should carry out complex
muscle strength training and integrate it early into a comprehensive treatment
concept.
PMID- 26540125
TI - Congenital macrothrombocytopenia associated with a combination of functional
polymorphisms in the TUBB1 gene.
AB - Congenital thrombocytopenia in childhood and adolescence requires an extensive
diagnostic workup to find the underlying reason. We report on a 13-year-old
female patient who was incidentally found to have moderate thrombocytopenia which
was also diagnosed in her father and brother. Within the microscopic evaluation
of a peripheral blood smear macrothrombocytes were found. Immunofluorescence
microscopy of the patient's platelets detected the lack of beta1-tubulin.
Analysis of the TUBB1 gene revealed three known missense variants in heterozygous
state which in combination might explain the beta1-tubulin defect.
PMID- 26540126
TI - Novel point mutation in fibrinogen (Innsbruck; BbetaArg44Gly). Phenotypic
differences compared to another mutation (fibrinogen Nijmegen) at the same
position.
AB - This is a report of a novel fibrinogen point mutation (fibrinogen Innsbruck), a
C/G point mutation at position 220 of exon two of the fibrinogen Bbeta-chain
leading to BbetaArg44Gly. The heterozygous mutation was found in a 16-year-old
adolescent, hospitalized for the management of juvenile depression, who suffered
from multiple epistaxis episodes during his stay at the university hospital in
Innsbruck, Austria. Fibrinogen (based on the Clauss method) and fibrinogen
antigen levels were highly discrepant (86 vs. 223 mg/dl) with thrombin time and
reptilase time being in the respective upper reference ranges. Densitometric
analysis of electrophoretic band pattern showed a reduction of alpha-polymers,
indicating an impaired fibrin polymerization. This is in agreement with
structural analysis, which showed a disturbance of the flexibility and structure
of the region surrounding the fibrinoeptide B cleavage site. Fibrinogen Nijmegen,
a mutation at the same position, is causative for thrombosis, whereas fibrinogen
Innsbruck appears to lead to a bleeding tendency, illustrating that even
mutations at the same position can cause contrary symptoms.
PMID- 26540123
TI - SAM/SAH Analogs as Versatile Tools for SAM-Dependent Methyltransferases.
AB - S-Adenosyl-L-methionine (SAM) is a sulfonium molecule with a structural hybrid of
methionine and adenosine. As the second largest cofactor in the human body, its
major function is to serve as methyl donor for SAM-dependent methyltransferases
(MTases). The resultant transmethylation of biomolecules constitutes a
significant biochemical mechanism in epigenetic regulation, cellular signaling,
and metabolite degradation. Recently, numerous SAM analogs have been developed as
synthetic cofactors to transfer the activated groups on MTase substrates for
downstream ligation and identification. Meanwhile, new compounds built upon or
derived from the SAM scaffold have been designed and tested as selective
inhibitors for important MTase targets. Here, we summarized the recent
development and application of SAM analogs as chemical biology tools for MTases.
PMID- 26540127
TI - A novel fibrinogen gamma chain frameshift deletion (c.637delT) in a patient with
hypodysfibrinogenemia associated with thrombosis.
AB - Inherited fibrinogen (FG) disorders are rare and result in quantitative or/and
qualitative FG deficiency. While the majority of patients with clinically
relevant FG deficiencies demonstrate a bleeding phenotype, a subset of patients
are at increased risk of thrombosis. PATIENTS AND METHODS: We report a 54-years
old man presenting with a thrombophilic phenotype characterized by two episodes
of unprovoked venous thrombosis and a deep vein thrombosis several weeks after
myocardial infarction. Recently, he developed A. carotis communis thrombosis and
died. Coagulation tests were done using standard procedures. FG genes were
screened using direct sequencing. Effect on fibrin clot structure was analyzed by
scanning electron microscopy (SEM) and FG chain polymerization was analysed using
SDS-PAGE. RESULTS: While thrombophilia testing was negative, we found a decreased
concentration of clottable FG (126-148 mg/dl) compared to FG antigen (182-194
mg/dl of normal). The thrombin time was slightly prolonged, while aPTT and
reptilase time were within the normal range. A novel deletion in FGG gene
(c.637delT) resulting in a frameshift and the premature termination of the gamma
chain at amino acid position p.228 was identified. SDS-PAGE showed a time-shift
in gamma-gamma and alpha-alpha cross linking. SEM showed no statistically
significant differences between the patient's and a healthy control's fibrin clot
structure. CONCLUSIONS: In addition to the reduction of FG concentration expected
by the nature of the mutation also a functional defect (hypodysfibrinogenemia)
was found. Moreover this mutation seems to increase the risk of thrombosis
warranting long term anticoagulation possibly in a combination with antiplatelet
drugs.
PMID- 26540128
TI - Neoplasm-induced bleeding in inherited, heterozygous FXIII-A deficiency.
AB - Inherited mild factor XIII deficiency belongs to one of the most underdiagnosed
bleeding disorders so far. This is, because most patients do not develop bleeding
complications in daily life. Patient, methods: A man (age: 64 years) without a
history of bleeding presented with painful swelling of neck, weight loss, anemia
and episodic bleeding from the right tonsil necessitating tonsillectomy.
Histologic and immunohistochemical evaluation revealed cytokeratin-positive
epitheloid angiosarcoma. Blood coagulation status showed significantly elevated D
dimer and decreased FXIII levels (FXIII-activity 35%, FXIIIA-Ag 16-26%). Plasma
mixing studies excluded neutralizing antibodies against FXIII. RESULTS: A novel
heterozygous F13A1 gene nonsense mutation (p.Glu103Ter, c.307G>T) was found
confirming heterozygous FXIII-A deficiency. The same mutation was detected in two
further asymptomatic relatives. For further clinical management the patient was
transfused with FXIII-concentrate and showed an adequate increase of FXIII ruling
out FXIII deficiency to be induced by increased turnover. Despite this
haemostatic management and antifibrinolytic treatment the patient had to undergo
several revisions due to delayed, Hb relevant bleeding after cervical lymph nodes
extirpation and resection of tonsil. Two chemotherapy cycles with paclitaxel and
palliative radiotherapy of the neck area were performed, but the patient died
unfortunately two months after diagnosis. CONCLUSIONS: It is a unique case
showing the combination of a highly aggressive angiosarcoma and presence of
inherited FXIII deficiency. It is also a rare example demonstrating the benefit
of FXIII genotyping besides the expected acquired FXIII deficiency possibly due
to neoplasm induced increased consumption by elevated crosslinking of fibrin
fibers.
PMID- 26540129
TI - Large deletions play a minor but essential role in congenital coagulation factor
VII and X deficiencies.
AB - Congenital factor VII (FVII) and factor X (FX) deficiencies belong to the group
of rare bleeding disorders which may occur in separate or combined forms since
both the F7 and F10 genes are located in close proximity on the distal long arm
of chromosome 13 (13q34). We here present data of 192 consecutive index cases
with FVII and/or FX deficiency. 10 novel and 53 recurrent sequence alterations
were identified in the F7 gene and 5 novel as well as 11 recurrent in the F10
gene including one homozygous 4.35 kb deletion within F7 (c.64+430_131
6delinsTCGTAA) and three large heterozygous deletions involving both the F7 and
F10 genes. One of the latter proved to be cytogenetically visible as a chromosome
13q34 deletion and associated with agenesis of the corpus callosum and
psychomotor retardation. CONCLUSIONS: Large deletions play a minor but essential
role in the mutational spectrum of the F7 and F10 genes. Copy number analyses (e.
g. MLPA) should be considered if sequencing cannot clarify the underlying reason
of an observed coagulopathy. Of note, in cases of combined FVII/FX deficiency, a
deletion of the two contiguous genes might be part of a larger chromosomal
rearrangement.
PMID- 26540130
TI - [Bleedings under NOAC (non Vitamin-K dependent oral anticoagulants). Evidence and
practical management].
AB - The doses of these drugs are barely tested and the potential clinical
thromboembolic risk must be taken into account. Despite the widespread use of
NOAC (non vitamin-K dependent oral anticoagulants) and recommendations of
regulatory agencies and first consensus meeting on handling the bleeding
situation under NOAC, especially in hospitals without a large hemostatic focus,
uncertainty still exists. In case of mild bleeding from a clinical perspective,
the medical care of these patients and the delay of the next dose or
discontinuation is advised. A special laboratory analysis is indicated i.e. in
case of known higher grade liver and kidney failure, which can cause a prolonged
elimination of NOAC. The administration of factor concentrates is not indicated
in this situation. In case of moderate to severe bleeding, the primary measures
focus on the stabilization of the heart and circulatory function and parallel on
the treatment depending on the localization of the bleeding source. According to
experience, mostly gastrointestinal bleeding occurs under the NOAC, which should
be supplied endoscopically. In life-threatening bleeding in addition to the
measures of hemodynamic stabilization usually a special haemostasis management is
required, which should be mainly clinically oriented. After the assessment of
bleeding predictor, the time of the last dose and the dose of NOAC should be
learned, but other causes of bleeding, including Fibrinolysis, should be excluded
or treated. Subsequently, routinely promptly rivaroxaban and/or apixaban
sensitive thromboplastin time (Quick's value) and a thrombin time (thrombin-poor
calibrator) for qualitative assessment can be carried out because only very few
hospitals have specific tests (anti-Xa measurements, bovine thrombin), which
could be promptly done. If there is a significant deviation from the normal range
or to present preliminary value of particular patient, an effect of NOAC most
likely exists. In life-threatening bleeding the use of factor concentrates
(procoagulants) is indicated. The first-line therapy should be PPSB. Only in
exceptional cases, especially when dabigatran is taken, the use of aPPSB
(FEIBA(r)) for prompt haemostasis can be considered. The haemostasis should be
always clinically estimated and not according to coagulation tests. The use of
rFVIIa (Novo Seven(r)) shows different results in the bleeding therapy (reversal)
under Dabigatran. The doses of these drugs are barely tested and the potential
clinical thromboembolic risk must be taken into account. CONCLUSION: The current
concepts of the newly developed antidotes are not clinically validated. First
prospective, clinical registries have been started.
PMID- 26540131
TI - Neuropathic pain in patients with haemophilia, that is the question.
AB - Chronic pain caused by recurrent joint bleedings affects a large number of
patients with haemophilia (PwH). The basis of this pain, nociceptive or
neuropathic, has not been investigated so far. In other pain-related chronic
disorders such as osteoarthritis or rheumatoid arthritis, initial studies showed
nociceptive but also neuropathic pain features. 137 PwH and 33 controls (C)
completed the painDETECT-questionnaire (pDq), which identifies neuropathic
components in a person's pain profile. Based on the pDq results, a neuropathic
pain component is classified as positive, negative or unclear. A positive
neuropathic pain component was found in nine PwH, but not in C. In 20 PwH an
unclear pDq result was observed. In comparison to C the allocation of pDq results
is statistically significant (p<=0.001). Despite various pDq results in PwH and C
a similar appraisal pain quality, but on a different level, was determined.
Summarising the results, there is a potential risk to misunderstand underlying
pain mechanisms in PwH. In chronic pain conditions based on haemophilic
arthopathy, a differential diagnosis seems to be unalterable for comprehensive
and individualised pain management in PwH.
PMID- 26540132
TI - Gelation by supramolecular dimerization of mono(urea)s.
AB - Mono-ureido salicylic acid derivatives form hydrogen bonded dimers that mimic the
gelation behaviour of covalently linked bis(urea)s. The 5-ureido salicylic acid
isomers are much more effective gelators because of the lowered conjugation and
less planar geometry, resulting in stronger urea...urea alpha-tape hydrogen
bonding interactions.
PMID- 26540133
TI - Spectroscopic Analyses on Reaction Intermediates Formed during Chlorination of
Alkanes with NaOCl Catalyzed by a Nickel Complex.
AB - The spectroscopic, electrochemical, and crystallographic characterization of
[((Me,H)PyTACN)Ni(II)(CH3CN)2](OTf)2 (1) ((Me,H)PyTACN = 1-(2-pyridylmethyl)-4,7
dimethyl-1,4,7-triazacyclononane, OTf = CF3SO3) is described together with its
reactivity with NaOCl. 1 catalyzes the chlorination of alkanes with NaOCl,
producing only a trace amount of oxygenated byproducts. The reaction was
monitored spectroscopically and by high resolution electrospray-mass spectrometry
(ESI-MS) with the aim to elucidate mechanistic aspects. NaOCl reacts with 1 in
acetonitrile to form the transient species [(L)Ni(II)-OCl(S)](+) (A) (L =
(Me,H)PyTACN, S = solvent), which was identified by ESI-MS. UV/vis absorption,
electron paramagnetic resonance, and resonance Raman spectroscopy indicate that
intermediate A decays to the complex [(L)Ni(III)-OH(S)](2+) (B) presumably
through homolytic cleavage of the O-Cl bond, which liberates a Cl(*) atom.
Hydrolysis of acetonitrile to acetic acid under the applied conditions results in
the formation of [(L)Ni(III)-OOCCH3(S)](2+) (C), which undergoes subsequent
reduction to [(L)Ni(II)-OOCCH3(S)](2+) (D), presumably via reaction with OCl(-)
or ClO2(-). Subsequent addition of NaOCl to [(L)Ni(II)-OOCCH3(S)](+) (D)
regenerates [(L)Ni(III)-OH(S)](2+) (B) to a much greater extent and at a faster
rate. Addition of acids such as acetic and triflic acid enhances the rate and
extent of formation of [(L)Ni(III)-OH(S)](2+) (B) from 1, suggesting that O-Cl
homolytic cleavage is accelerated by protonation. Overall, these reactions
generate Cl(*) atoms and ClO2 in a catalytic cycle where the nickel center
alternates between Ni(II) and Ni(III). Chlorine atoms in turn react with the C-H
bonds of alkanes, forming alkyl radicals that are trapped by Cl(*) to form alkyl
chlorides.
PMID- 26540134
TI - Plasma cholesterol is related to menstrual status in adolescent girls with eating
disorders and weight loss.
AB - AIM: This study examined the relationship between plasma cholesterol and
circulating triiodothyronine and oestradiol in 561 adolescent girls aged 11-17
with eating disorders. METHODS: Plasma total cholesterol, high-density
lipoprotein cholesterol, serum triodothyronine and oestradiol were measured at
assessment, and historical weight data were obtained from growth charts provided
by the school health services. Cholesterol levels were related to weight change,
menstrual status and serum hormones. RESULTS: Plasma total cholesterol levels of
>5.0 mmol/L were found in 38% of the 77 girls who were premenarcheal, 32% of the
199 with secondary amenorrhoea and 17% of those who were still menstruating.
These cholesterol levels were inversely related to serum oestradiol and
triiodothyronine concentrations, but not weight change, in amenorrhoic girls and
were positively related to body mass index and inversely related to weight loss
and serum triiodothyronine in girls who were still menstruating. CONCLUSION:
Increased plasma total cholesterol was related to amenorrhoea in adolescent girls
with eating disorders and weight loss. Oestrogens appeared to mediate the effect
of starvation on cholesterol, most effectively in premenarcheal girls. Re
establishing menstruation is an important goal in the treatment of eating
disorders, to avoid dyslipidaemia and the risk of future cardiovascular disease.
PMID- 26540135
TI - Gate Controlled Photocurrent Generation Mechanisms in High-Gain In2Se3
Phototransistors.
AB - Photocurrent in photodetectors incorporating van der Waals materials is typically
produced by a combination of photocurrent generation mechanisms that occur
simultaneously during operation. Because of this, response times in these devices
often yield to slower, high gain processes, which cannot be turned off. Here we
report on photodetectors incorporating the layered material In2Se3, which allow
complete modulation of a high gain, photogating mechanism in the ON state in
favor of fast photoconduction in the OFF state. While photoconduction is largely
gate independent, photocurrent from the photogating effect is strongly modulated
through application of a back gate voltage. By varying the back gate, we
demonstrate control over the dominant mechanism responsible for photocurrent
generation. Furthermore, because of the strong photogating effect, these direct
band gap, multilayer phototransistors produce ultrahigh gains of (9.8 +/- 2.5) *
10(4) A/W and inferred detectivities of (3.3 +/- 0.8) * 10(13) Jones, putting
In2Se3 among the most sensitive 2D materials for photodetection studied to date.
PMID- 26540137
TI - Anodal transcranial direct current stimulation enhances the effects of motor
imagery training in a finger tapping task.
AB - Motor imagery (MI) training and anodal transcranial direct current stimulation
(tDCS) applied over the primary motor cortex can independently improve hand motor
function. The main objective of this double-blind, sham-controlled study was to
examine whether anodal tDCS over the primary motor cortex could enhance the
effects of MI training on the learning of a finger tapping sequence. Thirty-six
right-handed young human adults were assigned to one of three groups: (i) who
performed MI training combined with anodal tDCS applied over the primary motor
cortex; (ii) who performed MI training combined with sham tDCS; and (iii) who
received tDCS while reading a book. The MI training consisted of mentally
rehearsing an eight-item complex finger sequence for 13 min. Before (Pre-test),
immediately after (Post-test 1), and at 90 min after (Post-test 2) MI training,
the participants physically repeated the sequence as fast and as accurately as
possible. An anova showed that the number of sequences correctly performed
significantly increased between Pre-test and Post-test 1 and remained stable at
Post-test 2 in the three groups (P < 0.001). Furthermore, the percentage increase
in performance between Pre-test and Post-test 1 and Post-test 2 was significantly
greater in the group that performed MI training combined with anodal tDCS
compared with the other two groups (P < 0.05). As a potential physiological
explanation, the synaptic strength within the primary motor cortex could have
been reinforced by the association of MI training and tDCS compared with MI
training alone and tDCS alone.
PMID- 26540138
TI - Large-scale phenotyping links adult hippocampal neurogenesis to the reaction to
novelty.
AB - The discovery of adult-born neurons in the hippocampus has triggered a wide range
of studies that link the new neurons to various behavioral functions. However,
the role of new neurons in behavior is still equivocal. Conflicting results may
be due to the difficulty in manipulating neurogenesis without off-target effects
as well as the statistical approach used, which fail to account for neurogenesis
independent effects of experimental manipulations on behavior. In this study, we
apply a more comprehensive statistical and conceptual approach. Instead of
between-group analyses, we consider the within-group relationships between
neurogenesis and behavior (ANCOVA and mediation analysis) in a large-scale
experiment, in which distinct age- (3 and 5 months) and strain- (DBA and C57)
related differences in basal levels of neurogenesis in mice are compared with a
large number (~1,500) of behavioral read outs. The analysis failed to detect any
association between anxiety and motor impulsivity with neurogenesis. However,
within-group adult hippocampal neurogenesis is associated with the reaction to
novelty. Specifically, more neurogenesis is associated with a longer latency to
explore and a lower frequency of exploratory actions, overall indicative of a
phenotype where animals with more neurogenesis were slower to explore a novel
environment. This effect is observed in 5-months-old, but not in 3-months-old
mice of both strains. An association between the reaction to novelty and adult
neurogenesis can have a major impact on results from previous studies using
classical behavioral experiments, in which animals are tested in a--for the
animal--novel experimental set-up. The neurogenesis-novelty association found
here is also a necessary link in the relation that has been suggested to exist
between neurogenesis and psychiatric disorders marked by a failure to cope with
novelty.
PMID- 26540136
TI - Vitamin D Supplementation and the Risk of Colds in Patients with Asthma.
AB - RATIONALE: Restoration of vitamin D sufficiency may reduce asthma exacerbations,
events that are often associated with respiratory tract infections and cold
symptoms. OBJECTIVES: To determine whether vitamin D supplementation reduces cold
symptom occurrence and severity in adults with mild to moderate asthma and
vitamin D insufficiency. METHODS: Colds were assessed in the AsthmaNet VIDA
(Vitamin D Add-on Therapy Enhances Corticosteroid Responsiveness) trial, in which
408 adult patients were randomized to receive placebo or cholecalciferol (100,000
IU load plus 4,000 IU/d) for 28 weeks as add-on therapy. The primary outcome was
cold symptom severity, which was assessed using daily scores on the 21-item
Wisconsin Upper Respiratory Symptom Survey. MEASUREMENTS AND MAIN RESULTS: A
total of 203 participants experienced at least one cold. Despite achieving 25
hydroxyvitamin D levels of 41.9 ng/ml (95% confidence interval [CI], 40.1-43.7
ng/ml) by 12 weeks, vitamin D supplementation had no effect on the primary
outcome: the average peak WURSS-21 scores (62.0 [95% CI, 55.1-68.9; placebo] and
58.7 [95% CI, 52.4-65.0; vitamin D]; P = 0.39). The rate of colds did not differ
between groups (rate ratio [RR], 1.2; 95% CI, 0.9-1.5); however, among African
Americans, those receiving vitamin D versus placebo had an increased rate of
colds (RR, 1.7; 95% CI, 1.1-2.7; P = 0.02). This was also observed in a responder
analysis of all subjects achieving vitamin D sufficiency, regardless of treatment
assignment (RR, 1.4; 95% CI, 1.1-1.7; P = 0.009). CONCLUSIONS: Our findings in
patients with mild to moderate asthma undergoing an inhaled corticosteroid dose
reduction do not support the use of vitamin D supplementation for the purpose of
reducing cold severity or frequency.
PMID- 26540139
TI - Supramolecular Construction of Multifluorescent Gels: Interfacial Assembly of
Discrete Fluorescent Gels through Multiple Hydrogen Bonding.
AB - Multifluorescent supramolecular gels with complex structures are constructed from
discrete fluorescent gels, which serve as the building blocks, through hydrogen
bonding interactions at interfaces. The multifluorescent gel can realize rapid
healing within only ~100 s.
PMID- 26540140
TI - Electroactive polyurethane/siloxane derived from castor oil as a versatile
cardiac patch, part I: Synthesis, characterization, and myoblast proliferation
and differentiation.
AB - Tissue-engineered cardiac patch aims at regenerating an infarcted heart by
improving cardiac function and providing mechanical support to the diseased
myocardium. In order to take advantages of electroactivity, a new synthetic
method was developed for the introduction of an electroactive oligoaniline into
the backbone of prepared patches. For this purpose, a series of electroactive
polyurethane/siloxane films containing aniline tetramer (AT) was prepared through
sol-gel reaction of trimethoxysilane functional intermediate polyurethane
prepolymers made from castor oil and poly(ethylene glycol). Physicochemical,
mechanical, and electrical conductivity of samples were evaluated and the
recorded results were correlated to their structural characteristics. The
optimized films were proved to be biodegradable and have tensile properties
suitable for cardiac patch application. The embedded AT moieties in the backbone
of the prepared samples preserved their electroactivity with the electrical
conductivity in the range of 10-4 S/cm. The prepared films were compatible with
proliferation of C2C12 and had potential for enhancing myotube formation even
without external electrical stimulation. (c) 2015 Wiley Periodicals, Inc. J
Biomed Mater Res Part A: 104A: 775-787, 2016.
PMID- 26540142
TI - 2015 ACR/ARHP Annual Meeting Abstract Supplement.
AB - For a searchable version of these abstracts, please visit www.acrabstracts.org.
Please Note: It may take several minutes for this file to download.
PMID- 26540141
TI - The role of motor affordances in immediate and long-term retention of objects.
AB - In line with the embodied cognition perspective stating that cognitive processing
results from the activation of the sensorimotor systems involved in perception
and action (e.g., Glenberg, 1997), recent studies provided evidence that motor
affordances played a role in serial memory for objects (e.g., see Downing-Doucet
& Guerard, 2014). In the present study, we extended this line of research by
investigating whether objects' motor affordances played a role in item memory, in
immediate and long-term retention. Participants had to retain pairs of objects
that were positioned in a way that was congruent for action or not. The results
showed that motor suppression disrupted the retention of congruent pairs, but not
that of incongruent pairs when short lists of six objects had to be retained over
a short period of time (Experiment 1). However, when participants had to retain
lists of 60 pairs, motor suppression had no effect on retention (Experiment 2).
These results suggest that the motor system was recruited for the immediate
retention of objects, but not for their long-term retention.
PMID- 26540143
TI - Two new polyketides from Nocardiopsis lucentensis DSM 44048.
AB - Two new polyketides, namely lucentides A (1) and B (2), together with 19
hydroxyprotylonolide (3) were isolated from Nocardiopsis lucentensis DSM 44048.
Their structures were elucidated by analysis of their high-resolution mass
spectrometry (HR-MS) and 1D, 2D nuclear magnetic resonance (NMR) spectroscopic
data. The antibacterial activities of compounds 1-3 were evaluated.
PMID- 26540144
TI - Recent Progress in Obtaining Semiconducting Single-Walled Carbon Nanotubes for
Transistor Applications.
AB - High purity semiconducting single-walled carbon nanotubes (s-SWCNTs) with a
narrow diameter distribution are required for high-performance transistors.
Achieving this goal is extremely challenging because the as-grown material
contains mixtures of s-SWCNTs and metallic- (m-) SWCNTs with wide diameter
distributions, typically inadequate for integrated circuits. Since 2000, numerous
ex situ methods have been proposed to improve the purity of the s-SWCNTs. The
majority of these techniques fail to maintain the quality and integrity of the s
SWCNTs with a few notable exceptions. Here, the progress in realizing high purity
s-SWCNTs in as-grown and post-processed materials is highlighted. A comparison of
transistor parameters (such as on/off ratio and field-effect mobility) obtained
from test structures establishes the effectiveness of various methods and
suggests opportunities for future improvements.
PMID- 26540145
TI - The complete mitochondrial genome of Octopus bimaculatus Verrill, 1883 from the
Gulf of California.
AB - The complete mitochondrial genome of Octopus bimaculatus is 16 085 bp in length
and includes 13 protein-codes genes, 2 ribosomal RNA genes, 22 transfers RNA
genes, and a control region. The composition of genome is A (40.9%), T (34.7%), C
(16.9%), and G (7.5%). The control region of O. bimaculatus contains a VNTR locus
not present in the genomes from other octopus species. A phylogenetic analysis
shows a closer relationship between the mitogenomes from O. bimaculatus and O.
vulgaris.
PMID- 26540146
TI - Foodborne Pathogens Prevention and Sensory Attributes Enhancement in Processed
Cheese via Flavoring with Plant Extracts.
AB - Cheese contaminations with foodborne bacterial pathogens, and their health
outbreaks, are serious worldwide problems that could happen from diverse sources
during cheese production or storage. Plants, and their derivatives, were always
regarded as the potential natural and safe antimicrobial alternatives for food
preservation and improvement. The extracts from many plants, which are commonly
used as spices and flavoring agents, were evaluated as antibacterial agents
against serious foodborne pathogens, for example Listeria monocytogenes,
Salmonella Typhimurium, Staphylococcus aureus, and Escherichia coli O157:H7,
using qualitative and quantitative assaying methods. Dairy-based media were also
used for evaluating the practical application of plant extracts as antimicrobial
agents. Most of the examined plant extracts exhibited remarkable antibacterial
activity; the extracts of cinnamon, cloves, garden cress, and lemon grass were
the most powerful, either in synthetic or in dairy-based media. Flavoring
processed cheese with plant extracts resulted in the enhancement of cheese
sensory attributes, for example odor, taste, color, and overall quality,
especially in flavored samples with cinnamon, lemon grass, and oregano. It can be
concluded that plant extracts are strongly recommended, as powerful and safe
antibacterial and flavoring agents, for the preservation and sensory enhancement
of processed cheese.
PMID- 26540147
TI - Association Between Childhood Hearing Disorders and Tinnitus in Adulthood.
AB - IMPORTANCE: The association between childhood hearing disorders and adult
tinnitus has not been examined in longitudinal cohort studies. OBJECTIVES: To
determine the association between different types of childhood hearing loss and
tinnitus in adulthood and evaluate whether tinnitus risk is mediated by adult
hearing loss. DESIGN, SETTING, AND PARTICIPANTS: Population-based cohort study of
32 430 adults (aged 20-56 years) who underwent pure-tone audiometry and completed
a tinnitus questionnaire in the Nord-Trondelag Hearing Loss Study, which was a
part of the Nord-Trondelag Health Study 2 (HUNT2). The study was conducted from
January 1, 2014, to April 1, 2015. Data analysis was performed from April 1,
2014, to April 1, 2015. As children, the same individuals had undergone screening
audiometry in a longitudinal primary school hearing investigation, including ear,
nose, and throat examinations when indicated. INTERVENTIONS: Pure-tone
audiometry, questionnaires, and ear, nose, and throat examinations. MAIN OUTCOMES
AND MEASURES: Self-reported tinnitus (yes or no) in adulthood measured by
questionnaires. RESULTS: Adults who had hearing loss at the time of the school
investigation (n = 3026) reported more tinnitus, measured as odds ratio (95% CI),
than did adults with normal childhood hearing (n = 29 404) (1.4 [1.3-1.6]).
Childhood hearing disorders associated with tinnitus in adulthood included
sensorineural hearing loss, chronic suppurative otitis media, and hearing loss
associated with a history of recurrent acute otitis media (2.4 [1.9-3.0], 2.4
[1.5-3.9], and 1.6 [1.3-2.0], respectively). These estimates were adjusted for
age, sex, and noise exposure in adulthood. After further analyses that included
adjustment for adult hearing threshold, none of these childhood hearing disorders
remained positively associated with tinnitus. CONCLUSIONS AND RELEVANCE:
Childhood hearing disorders associated with tinnitus in adulthood include
sensorineural hearing loss, chronic suppurative otitis media, and hearing loss
associated with a history of recurrent acute otitis media. After adjustment for
the adult hearing threshold, none of the childhood hearing disorders was
positively associated with tinnitus. Hence, it appears that these significant
associations are mediated or transmitted through adult hearing loss.
PMID- 26540148
TI - Association between Intraoperative Hypotension and Myocardial Injury after
Vascular Surgery.
AB - BACKGROUND: Postoperative myocardial injury occurs frequently after noncardiac
surgery and is strongly associated with mortality. Intraoperative hypotension
(IOH) is hypothesized to be a possible cause. The aim of this study was to
determine the association between IOH and postoperative myocardial injury.
METHODS: This cohort study included 890 consecutive patients aged 60 yr or older
undergoing vascular surgery from two university centers. The occurrence of
myocardial injury was assessed by troponin measurements as part of a
postoperative care protocol. IOH was defined by four different thresholds using
either relative or absolute values of the mean arterial blood pressure based on
previous studies. Either invasive or noninvasive blood pressure measurements were
used. Poisson regression analysis was used to determine the association between
IOH and postoperative myocardial injury, adjusted for potential clinical
confounders and multiple comparisons. RESULTS: Depending on the definition used,
IOH occurred in 12 to 81% of the patients. Postoperative myocardial injury
occurred in 131 (29%) patients with IOH as defined by a mean arterial pressure
less than 60 mmHg, compared with 87 (20%) patients without IOH (P = 0.001). After
adjustment for potential confounding factors including mean heart rates, a 40%
decrease from the preinduction mean arterial blood pressure with a cumulative
duration of more than 30 min was associated with postoperative myocardial injury
(relative risk, 1.8; 99% CI, 1.2 to 2.6, P < 0.001). Shorter cumulative durations
(less than 30 min) were not associated with myocardial injury. Postoperative
myocardial infarction and death within 30 days occurred in 26 (6%) and 17 (4%)
patients with IOH as defined by a mean arterial pressure less than 60 mmHg,
compared with 12 (3%; P = 0.08) and 15 (3%; P = 0.77) patients without IOH,
respectively. CONCLUSIONS: In elderly vascular surgery patients, IOH defined as a
40% decrease from the preinduction mean arterial blood pressure with a cumulative
duration of more than 30 min was associated with postoperative myocardial injury.
PMID- 26540149
TI - Neuromuscular Blocking Agent Cisatracurium Attenuates Lung Injury by Inhibition
of Nicotinic Acetylcholine Receptor-alpha1.
AB - BACKGROUND: Neuromuscular blocking agents (NMBAs) bind the nicotinic
acetylcholine receptor alpha1 (nAChRalpha1) that also contributes to inflammatory
signaling. Thus, the author hypothesized that the use of NMBA mitigates lung
injury by improving ventilator synchrony and decreasing inflammatory responses.
METHODS: Lung injury was induced by intratracheal instillation of hydrogen
chloride in rats that were randomized to receive no NMBA with evidence of
asynchronous ventilation (noNMBA/aSYNC, n = 10); no NMBA with synchronous
ventilation (noNMBA/SYNC, n = 10); cisatracurium (CIS, n = 10); or pancuronium
(PAN, n = 10). Mechanical ventilation was set at a tidal volume of 6 ml/kg and
positive end-expiratory pressure 8 cm H2O for 3 h. Human lung epithelial,
endothelial, and CD14+ cells were challenged with mechanical stretch,
lipopolysaccharide, lung lavage fluids (bronchoalveolar lavage fluid), or plasma
obtained from patients (n = 5) with acute respiratory distress syndrome, in the
presence or absence of CIS or small-interfering RNA and small hairpin RNA to
attenuate the cell expression of nAChRalpha1. RESULTS: The use of CIS and PAN
improved respiratory compliance (7.2 +/- 0.7 in noNMBA/aSYNC, 6.6 +/- 0.5 in
noNMBA/SYNC, 5.9 +/- 0.3 in CIS, and 5.8 +/- 0.4 cm H2O/l in PAN; P < 0.05),
increased PaO2 (140 +/- 54, 209 +/- 46, 269 +/- 31, and 269 +/- 54 mmHg,
respectively, P < 0.05), and decreased the plasma levels of tumor necrosis factor
alpha (509 +/- 252 in noNMBA, 200 +/- 74 in CIS, and 175 +/- 84 pg/ml in PAN; P <
0.05) and interleukin-6 (5789 +/- 79, 1608 +/- 534, and 2290 +/- 315 pg/ml,
respectively; P < 0.05). The use of CIS and PAN or silencing the receptor
nAChRalpha1 resulted in decreased cytokine release in the human cells in response
to a variety of stimuli mentioned earlier. CONCLUSIONS: The use of NMBA is lung
protective through its antiinflammatory properties by blocking the nAChRalpha1.
PMID- 26540150
TI - Intraoperative Mean Blood Pressure and Outcome: Is 80 (mmHg) the "New" 60?
PMID- 26540151
TI - Searching for Meaningful Topics of Improvement in Anesthesiology.
PMID- 26540152
TI - Learning to Estimate Dynamical State with Probabilistic Population Codes.
AB - Tracking moving objects, including one's own body, is a fundamental ability of
higher organisms, playing a central role in many perceptual and motor tasks.
While it is unknown how the brain learns to follow and predict the dynamics of
objects, it is known that this process of state estimation can be learned purely
from the statistics of noisy observations. When the dynamics are simply linear
with additive Gaussian noise, the optimal solution is the well known Kalman
filter (KF), the parameters of which can be learned via latent-variable density
estimation (the EM algorithm). The brain does not, however, directly manipulate
matrices and vectors, but instead appears to represent probability distributions
with the firing rates of population of neurons, "probabilistic population codes."
We show that a recurrent neural network-a modified form of an exponential family
harmonium (EFH)-that takes a linear probabilistic population code as input can
learn, without supervision, to estimate the state of a linear dynamical system.
After observing a series of population responses (spike counts) to the position
of a moving object, the network learns to represent the velocity of the object
and forms nearly optimal predictions about the position at the next time-step.
This result builds on our previous work showing that a similar network can learn
to perform multisensory integration and coordinate transformations for static
stimuli. The receptive fields of the trained network also make qualitative
predictions about the developing and learning brain: tuning gradually emerges for
higher-order dynamical states not explicitly present in the inputs, appearing as
delayed tuning for the lower-order states.
PMID- 26540153
TI - Interpreting sequence variants in a clinical context.
PMID- 26540154
TI - The promise and peril of genomic screening in the general population.
AB - PURPOSE: Utilization of sequencing to screen the general population for
preventable monogenic conditions is receiving substantial attention because of
its potential to decrease morbidity and mortality. However, the selection of
which variants to return is a serious implementation challenge. Procedures must
be investigated to ensure optimal test characteristics and avoidance of harm from
false-positive test results. METHODS: We scanned exome sequences from 478 well
phenotyped individuals for potentially pathogenic variants in 17 genes
representing 11 conditions that are among the most medically actionable Mendelian
disorders in adults. We developed five variant selection algorithms with
increasing sensitivity and measured their specificity in these 17 genes. RESULTS:
Variant selection algorithms with increasing sensitivity exhibited decreased
specificity, and performance was highly dependent on the genes analyzed. The most
sensitive algorithm ranged from 88.8 to 99.6% specificity among the 17 genes.
CONCLUSION: For conditions with very low prevalence, small reductions in
specificity greatly increase false positives. This inescapable test
characteristic governs the predictive value of genomic sequencing in the general
population. To address this issue, test performance must be evaluated
systematically for each condition so that the false negatives and false positives
can be tailored for optimal outcomes, depending on the downstream clinical
consequences.Genet Med 18 6, 593-599.
PMID- 26540155
TI - Clinical follow-up and breast and ovarian cancer screening of true BRCA1/2
noncarriers: a qualitative investigation.
AB - PURPOSE: Most women from BRCA1/2 mutation-positive families who did not inherit
the familial mutation have breast and ovarian cancer risks similar to those of
women of the same age in the general population. However, recent studies suggest
that some of these noncarriers may exhibit screening practices that may be
considered as excessive compared to general population screening guidelines.
Reasons for such tendencies remain largely unknown. This study aims to better
understand how the implications of a noncarrier status are explained to these
women and how their own realization of this status affects their screening
behaviors. METHODS: A qualitative study was conducted with five focus groups (n =
28) in Quebec City and Montreal, Canada. RESULTS: Thematic analysis of the
discussions highlighted four major themes: (i) acquiring a noncarrier identity
takes place progressively; (ii) noncarriers show a range of opinions about
screening; (iii) noncarriers have mixed feelings about the follow-up by their
physicians and gynecologists; and (iv) noncarriers need more information in a
context where genetics progresses ever more rapidly. CONCLUSION: Our results
provide novel insights regarding the physician-patient interaction and the
organizational aspects of the health-care system that may significantly impact
the cancer screening practices of BRCA1/2 noncarriers.Genet Med 18 6, 627-634.
PMID- 26540156
TI - Participant use and communication of findings from exome sequencing: a mixed
methods study.
AB - PURPOSE: This study investigated how genome sequencing results affect health
behaviors, affect, and communication. METHODS: We report on 29 participants who
received a sequence result in the ClinSeq study, a cohort of well-educated,
postreproductive volunteers. A mixed-methods design was used to explore
respondents' use, communication, and perceived utility of results. RESULTS: Most
participants (72%) shared their result with at least one health-care provider,
and 31% reported subsequent changes in the health care they received.
Participants scored high on the Positive Experiences subscale and low on the
Distress subscale of a modified version of the Multidimensional Impact of Cancer
Risk Assessment. The majority (93%) shared their result with at least one family
member. Participants described deriving personal utility from their results.
CONCLUSION: This article is the first to describe research participants'
reactions to actionable sequencing results. Our findings suggest clinical and
personal benefit from receiving sequencing results, both of which may contribute
to improved health for the recipients. Given the participants' largely positive
or neutral affective responses and disclosure of their results to physicians and
relatives, health-care providers should redirect concern from the potential for
distress and attend to motivating patients to follow their medical
recommendations.Genet Med 18 6, 577-583.
PMID- 26540157
TI - Use of External Distractors and the Role of Imaging Prior to Mandibular
Distraction in Infants With Isolated Pierre Robin Sequence and Stickler Syndrome.
AB - IMPORTANCE: Computed tomographic (CT) scans are often obtained before mandibular
distraction osteogenesis in patients with isolated Pierre Robin sequence. There
is concern regarding the risk of radiation exposure from CT in children.
OBJECTIVE: To evaluate whether preoperative CT is necessary for adequate airway,
feeding, and aesthetic outcomes following mandibular distraction with external
distraction devices in infants with isolated Pierre Robin sequence. DESIGN,
SETTING, AND PARTICIPANTS: In a retrospective review of medical records, infants
who underwent mandibular distraction between January 1, 1998, and September 30,
2014, at 2 tertiary children's hospitals were identified using procedure codes.
Data analysis was conducted December 1, 2014, to March 31, 2015. Fifty-two
patients fit the inclusion criteria of isolated Pierre Robin sequence or Stickler
syndrome, of being younger than 9 months at the time of distraction, and of use
of external distractors. Forty-two of these infants did not receive preoperative
CT imaging. EXPOSURE: Mandibular distraction osteogenesis for isolated Pierre
Robin sequence or Stickler syndrome. MAIN OUTCOME MEASURES: Number of infants who
were able to avoid tracheostomy or achieve decannulation, who were able to avoid
placement or achieve removal of a gastrostomy tube, and in whom there were no
intraoperative complications, no open-bite deformity, no malocclusion, no
asymmetry, and no postoperative complications. RESULTS: In comparison with the 10
infants who underwent preoperative CT, all 42 of the infants (100%) who did not
receive preoperative CT imaging successfully avoided tracheostomy or achieved
decannulation (P = .04) and 40 patients (95%) did not require placement of a
gastrostomy tube or were able to undergo gastrostomy tube removal postoperatively
(P < .001). There were no significant differences between the CT and non-CT
groups in the other 5 outcome measures. Two patients (5%) required postoperative
gastrostomy tube placement, 2 patients (5%) had minor intraoperative
complications that might have been anticipated with CT, 2 patients (5%)
demonstrated malocclusion, and 1 infant (2%) had asymmetry at the end of the
distraction phase. CONCLUSIONS AND RELEVANCE: This series suggests that the
absence of preoperative CT does not compromise functional or aesthetic outcomes
in mandibular distraction with external distraction devices in infants with
isolated Pierre Robin sequence or Stickler syndrome. This finding has
implications for cost containment and reduction of radiation exposure to a
vulnerable population. LEVEL OF EVIDENCE: 4.
PMID- 26540158
TI - Aneurysm Characteristics Associated with the Rupture Risk of Intracranial
Aneurysms: A Self-Controlled Study.
AB - This study analyzed the rupture risk of intracranial aneurysms (IAs) according to
aneurysm characteristics by comparing the differences between two aneurysms in
different locations within the same patient. We utilized this self-controlled
model to exclude potential interference from all demographic factors to study the
risk factors related to IA rupture. A total of 103 patients were diagnosed with
IAs between January 2011 and April 2015 and were enrolled in this study. All
enrolled patients had two IAs. One IA (the case) was ruptured, and the other (the
control) was unruptured. Aneurysm characteristics, including the presence of a
daughter sac, the aneurysm neck, the parent artery diameter, the maximum aneurysm
height, the maximum aneurysm width, the location, the aspect ratio (AR, maximum
perpendicular height/average neck diameter), the size ratio (SR, maximum aneurysm
height/average parent diameter) and the width/height ratio (WH ratio, maximum
aneurysm width/maximum aneurysm height), were collected and analyzed to evaluate
the rupture risks of the two IAs within each patient and to identify the
independent risk factors associated with IA rupture. Multivariate, conditional,
backward, stepwise logistic regression analysis was performed to identify the
independent risk factors associated with IA rupture. The multivariate analysis
identified the presence of a daughter sac (odds ratio [OR], 13.80; 95% confidence
interval [CI], 1.65-115.87), a maximum aneurysm height >=7 mm (OR, 4.80; 95% CI,
1.21-18.98), location on the posterior communicating artery (PCOM) or anterior
communicating artery (ACOM; OR, 3.09; 95% CI, 1.34-7.11) and SR (OR, 2.13; 95%
CI, 1.16-3.91) as factors that were significantly associated with IA rupture. The
presence of a daughter sac, the maximum aneurysm height, PCOM or ACOM locations
and SR (>1.5+/-0.7) of unruptured IAs were significantly associated with IA
rupture.
PMID- 26540159
TI - Development of the SAFE Checklist Tool for Assessing Site-Level Threats to Child
Protection: Use of Delphi Methods and Application to Two Sites in India.
AB - BACKGROUND: The child protection community is increasingly focused on developing
tools to assess threats to child protection and the basic security needs and
rights of children and families living in adverse circumstances. Although
tremendous advances have been made to improve measurement of individual child
health status or household functioning for use in low-resource settings, little
attention has been paid to a more diverse array of settings in which many
children in adversity spend time and how context contributes to threats to child
protection. The SAFE model posits that insecurity in any of the following
fundamental domains threatens security in the others: Safety/freedom from harm;
Access to basic physiological needs and healthcare; Family and connection to
others; Education and economic security. Site-level tools are needed in order to
monitor the conditions that can dramatically undermine or support healthy child
growth, development and emotional and behavioral health. From refugee camps and
orphanages to schools and housing complexes, site-level threats exist that are
not well captured by commonly used measures of child health and well-being or
assessments of single households (e.g., SDQ, HOME). METHODS: The present study
presents a methodology and the development of a scale for assessing site-level
child protection threats in various settings of adversity. A modified Delphi
panel process was enhanced with two stages of expert review in core content areas
as well as review by experts in instrument development, and field pilot testing.
RESULTS: Field testing in two diverse sites in India-a construction site and a
railway station-revealed that the resulting SAFE instrument was sensitive to the
differences between the sites from the standpoint of core child protection
issues.
PMID- 26540160
TI - The Tm7sf2 Gene Deficiency Protects Mice against Endotoxin-Induced Acute Kidney
Injury.
AB - Cholesterol is essential for diverse cellular functions and cellular and whole
body cholesterol homeostasis is highly controlled. Cholesterol can also influence
cellular susceptibility to injury. The connection between cholesterol metabolism
and inflammation is exemplified by the Tm7sf2 gene, the absence of which reveals
an essential role in cholesterol biosynthesis under stress conditions but also
results in an inflammatory phenotype, i.e. NF-kappaB activation and TNFalpha up
regulation. Here, by using Tm7sf2+/+and Tm7sf2-/- mice, we investigated whether
the Tm7sf2 gene, through its role in cholesterol biosynthesis under stress
conditions, is involved in the renal failure induced by the administration of
LPS. We found that the loss of Tm7sf2 gene results in significantly reduced blood
urea nitrogen levels accompanied by decreased renal inflammatory response and
neutral lipid accumulation. The increased expression of fatty acids catabolic
enzymes reduces the need of the renal autophagy, a known crucial nutrient-sensing
pathway in lipid metabolism. Moreover, we observed that the Tm7sf2 insufficiency
is responsible for the inhibition of the NF-kappaB signalling thus dampening the
inflammatory response and leading to a reduced renal damage. These results
suggest a pivotal role for Tm7sf2 in renal inflammatory and lipotoxic response
under endotoxemic conditions.
PMID- 26540161
TI - Systemic Resistance to Powdery Mildew in Brassica napus (AACC) and Raphanus
alboglabra (RRCC) by Trichoderma harzianum TH12.
AB - Trichoderma harzianum TH12 is a microbial pesticide for certain rapeseed
diseases. The mechanism of systemic resistance induced by TH12 or its cell-free
culture filtrate (CF) in Brassica napus (AACC) and Raphanus alboglabra (RRCC) to
powdery mildew disease caused by ascomycete Erysiphe cruciferarum was
investigated. In this study, we conducted the first large-scale global study on
the cellular and molecular aspects of B. napus and R. alboglabra infected with E.
cruciferarum. The histological study showed the resistance of R. alboglabra to
powdery mildew disease. The growth of fungal colonies was not observed on R.
alboglabra leaves at 1, 2, 4, 6, 8, and 10 days post-inoculation (dpi), whereas
this was clearly observed on B. napus leaves after 6 dpi. In addition, the gene
expression of six plant defense-related genes, namely, PR-1, PR-2 (a marker for
SA signaling), PR-3, PDF 1.2 (a marker for JA/ET signaling), CHI620, and CHI570,
for both genotypes were analyzed in the leaves of B. napus and R. alboglabra
after treatment with TH12 or CF and compared with the non-treated ones. The qRT
PCR results showed that the PR-1 and PR-2 expression levels increased in E.
cruciferarum-infected leaves, but decreased in the TH12-treated leaves compared
with leaves treated with CF. The expression levels of PR-3 and PDF1.2 decreased
in plants infected by E. cruciferarum. However, expression levels increased when
the leaves were treated with TH12. For the first time, we disclosed the nature of
gene expression in B. napus and R. alboglabra to explore the resistance pathways
in the leaves of both genotypes infected and non-infected by powdery mildew and
inoculated or non-inoculated with elicitor factors. Results suggested that R.
alboglabra exhibited resistance to powdery mildew disease, and the application of
T. harzianum and its CF are a useful tool to facilitate new protection methods
for resist or susceptible plants.
PMID- 26540162
TI - dRNA-Seq Reveals Genomewide TSSs and Noncoding RNAs of Plant Beneficial
Rhizobacterium Bacillus amyloliquefaciens FZB42.
AB - Bacillus amyloliquefaciens subsp. plantarum FZB42 is a representative of Gram
positive plant-growth-promoting rhizobacteria (PGPR) that inhabit plant root
environments. In order to better understand the molecular mechanisms of bacteria
plant symbiosis, we have systematically analyzed the primary transcriptome of
strain FZB42 grown under rhizosphere-mimicking conditions using differential RNA
sequencing (dRNA-seq). Our analysis revealed 4,877 transcription start sites for
protein-coding genes, identified genes differentially expressed under different
growth conditions, and corrected many previously mis-annotated genes. We also
identified a large number of riboswitches and cis-encoded antisense RNAs, as well
as trans-encoded small noncoding RNAs that may play important roles in the gene
regulation of Bacillus. Overall, our analyses provided a landscape of Bacillus
primary transcriptome and improved the knowledge of rhizobacteria-host
interactions.
PMID- 26540163
TI - Practical Telemedicine for Veterans with Persistently Poor Diabetes Control: A
Randomized Pilot Trial.
AB - BACKGROUND: Telemedicine-based diabetes management improves outcomes versus
clinic care but is seldom implemented by healthcare systems. In order to advance
telemedicine-based management as a practical option for veterans with persistent
poorly controlled diabetes mellitus (PPDM) despite clinic-based care, we
evaluated a comprehensive telemedicine intervention that we specifically designed
for delivery using existing Veterans Health Administration (VHA) clinical
staffing and equipment. MATERIALS AND METHODS: We conducted a 6-month randomized
trial among 50 veterans with PPDM; all maintained hemoglobin A1c (HbA1c) levels
continuously >9.0% for >1 year despite clinic-based management. Participants
received usual care or a telemedicine intervention combining telemonitoring,
medication management, self-management support, and depression management;
existing VHA clinical staff delivered the intervention. Using linear mixed
models, we examined HbA1c, diabetes self-care (measured by the Self-Care
Inventory-Revised questionnaire), depression, and blood pressure. RESULTS: At
baseline, the model-estimated common HbA1c intercept was 10.5%. By 6 months,
estimated HbA1c had improved by 1.3% for intervention participants and 0.3% for
usual care (estimated difference, -1.0%, 95% confidence interval [CI], -2.0%,
0.0%; p = 0.050). Intervention participants' diabetes self-care (estimated
difference, 7.0; 95% CI, 0.1, 14.0; p = 0.047), systolic blood pressure (-7.7 mm
Hg; 95% CI, -14.8, -0.6; p = 0.035), and diastolic blood pressure (-5.6 mm Hg;
95% CI, -9.9, -1.2; p = 0.013) were improved versus usual care by 6 months.
Depressive symptoms were similar between groups. CONCLUSIONS: A comprehensive
telemedicine intervention improved outcomes among veterans with PPDM despite
clinic-based care. Because we specifically designed this intervention with
scalability in mind, it may represent a practical, real-world strategy to reduce
the burden of poor diabetes control among veterans.
PMID- 26540164
TI - ZFX is a Strong Predictor of Poor Prognosis in Renal Cell Carcinoma.
AB - BACKGROUND: This study was designed to assay the expression of zinc finger
protein X-linked (ZFX) in renal cell carcinoma (RCC) tissues and evaluate the
correlation between ZFX expression and prognosis of RCC patients. MATERIAL AND
METHODS: The expressions of ZFX mRNA in 53 RCC tissues and 51 normal tissues were
determined by quantitative real-time polymerase chain reaction (qRT-PCR).
Immunohistochemistry (IHC) technology was used to measure the expression of ZFX
protein. Then chi-square test was conducted to verify the association between ZFX
expression and clinical parameters. Next, we explored the overall survival rate
of RCC patients with Kaplan-Meier analysis. Finally, the correlation between ZFX
expression and the prognosis of RCC patients was evaluated by Cox regression
analysis. RESULTS: The qRT-PCR result showed that the ZFX was significantly up
regulated in RCC tissues. As for the IHC consequence, the positive rate of ZFX
expression in RCC specimens was 79.2%, while that in the normal control tissues
was only 17.6%. Chi-square test showed that ZFX expression shared no close
relationship with age, sex, or smoking (P>0.05), but was tightly associated with
TNM stage, tumor size, and lymph node metastasis (P<0.05). Kaplan-Meier analysis
showed that patients with ZFX positive expression had higher mortality than those
with negative expression (P<0.05). Cox regression analysis revealed that ZFX
expression had tight correlation with prognosis of RCC patients (HR=4.997,
P=0.045, 95%CI=1.033-24.180). CONCLUSIONS: Our findings show that ZFX could be
considered as a predictor for prognosis of RCC patients.
PMID- 26540165
TI - Predictive Factors of Patient Satisfaction with Pharmacy Services in South Korea:
A Cross-Sectional Study of National Level Data.
AB - OBJECTIVES: Patient satisfaction has emerged as a prerequisite to improving
patients' health behaviors leading to better health care outcomes. This study was
to identify predictive determinants for patient satisfaction with pharmacy
services using national-level data. METHODS: A cross-sectional evaluation was
conducted using 2008 Korean National Health and Nutrition Examination Survey
(KNHANES) data. To assess the predictive factors for patient satisfaction with
pharmacy services, an ordinal logistic regression model was conducted adjusting
for patient characteristics, clinical comorbidities, and perception of health.
RESULTS: A total of 9,744 people, a representative sample of 48.2 million
Koreans, participated in the 2008 KNHANES, of whom 2,188 (23.6%) reported visits
to pharmacy within the last 2 weeks prior to the survey. Of the patients who
visited the pharmacy, 74.6% reported to be either "very satisfied" or
"satisfied," and 25.4% responded as being "neutral," "dissatisfied," or "very
dissatisfied." A multivariate ordinal logistic regression analysis with weighted
observations revealed that patients with fair perception of health (adjusted OR
1.32; 95% CI 1.01-1.74; p<0.05) and those with middle to low family incomes
(adjusted OR 1.34; 95% CI 1.02-1.76; p<0.05) were more likely to be satisfied
with pharmacy services, and employment-based insurers were less likely to be
satisfied with pharmacy services (adjusted OR 0.80; 95% CI 0.65-0.97; p<0.05).
CONCLUSION: Our findings indicated that three out of four patients expressed
satisfaction toward pharmacy services. Middle to low family incomes, fair
perception of health, and employee insured individuals were significant
predictors of patient satisfaction with pharmacy services.
PMID- 26540166
TI - Study of Malformin C, a Fungal Source Cyclic Pentapeptide, as an Anti-Cancer
Drug.
AB - Malformin C, a fungal cyclic pentapeptide, has been claimed to have anti-cancer
potential, but no in vivo study was available to substantiate this property.
Therefore, we conducted in vitro and in vivo experiments to investigate its anti
cancer effects and toxicity. Our studies showed Malformin C inhibited Colon 38
and HCT 116 cell growth dose-dependently with an IC50 of 0.27+/-0.07MUM and
0.18+/-0.023MUM respectively. This inhibition was explicated by Malformin C's
effect on G2/M arrest. Moreover, we observed up-regulated expression of phospho
histone H2A.X, p53, cleaved CASPASE 3 and LC3 after Malformin C treatment, while
the apoptosis assay indicated an increased population of necrotic and late
apoptotic cells. In vivo, the pathological study exhibited the acute toxicity of
Malformin C at lethal dosage in BDF1 mice might be caused by an acute yet subtle
inflammatory response, consistent with elevated IL-6 in the plasma cytokine
assay. Further anti-tumor and toxicity experiments proved that 0.3mg/kg injected
weekly was the best therapeutic dosage of Malformin C in Colon 38 xenografted
BDF1 mice, whereas 0.1mg/kg every other day showed no effect with higher
resistance, and 0.9mg/kg per week either led to fatal toxicity in seven-week old
mice or displayed no advantage over 0.3mg/kg group in nine-week old mice.
Overall, we conclude that Malformin C arrests Colon 38 cells in G2/M phase and
induces multiple forms of cell death through necrosis, apoptosis and autophagy.
Malformin C has potent cell growth inhibition activity, but the therapeutic index
is too low to be an anti-cancer drug.
PMID- 26540167
TI - Effect of a Selective Mas Receptor Agonist in Cerebral Ischemia In Vitro and In
Vivo.
AB - Functional modulation of the non-AT1R arm of the renin-angiotensin system, such
as via AT2R activation, is known to improve stroke outcome. However, the
relevance of the Mas receptor, which along with the AT2R forms the protective arm
of the renin-angiotensin system, as a target in stroke is unclear. Here we tested
the efficacy of a selective MasR agonist, AVE0991, in in vitro and in vivo models
of ischemic stroke. Primary cortical neurons were cultured from E15-17 mouse
embryos for 7-9 d, subjected to glucose deprivation for 24 h alone or with test
drugs, and percentage cell death was determined using trypan blue exclusion
assay. Additionally, adult male mice were subjected to 1 h middle cerebral artery
occlusion and were administered either vehicle or AVE0991 (20 mg/kg i.p.) at the
commencement of 23 h reperfusion. Some animals were also treated with the MasR
antagonist, A779 (80 mg/kg i.p.) 1 h prior to surgery. Twenty-four h after MCAo,
neurological deficits, locomotor activity and motor coordination were assessed in
vivo, and infarct and edema volumes estimated from brain sections. Following
glucose deprivation, application of AVE0991 (10-8 M to 10-6 M) reduced neuronal
cell death by ~60% (P<0.05), an effect prevented by the MasR antagonist. By
contrast, AVE0991 administration in vivo had no effect on functional or
histological outcomes at 24 h following stroke. These findings indicate that the
classical MasR agonist, AVE0991, can directly protect neurons from injury
following glucose-deprivation. However, this effect does not translate into an
improved outcome in vivo when administered systemically following stroke.
PMID- 26540168
TI - A Cross-Sectional Retrospective Analysis of the Racial and Geographic Variations
in Cataract Surgery.
AB - BACKGROUND: Cataract surgery is the most common surgery performed on
beneficiaries of Medicare, accounting for more than $3.4 billion in annual
expenditures. The purpose of this study is to examine racial and geographic
variations in cataract surgery rates and determine the association between the
racial composition of the community population and the racial disparity in the
likelihood of receiving necessary cataract surgery. METHODS: Using the national
prevalence rates from the National Institute of Eye Health and the 2010
Healthcare Cost and Utilization Project-Florida State Ambulatory Surgery
Database, we determined the estimated cases of cataract and the actual number of
cataract procedures performed, on four race/gender determined groups aged 65 and
over in the state of Florida in 2010. The utilization rates and disparity ratios
were also calculated for each Florida county. The counties were segmented into
groups based on their racial composition. The association between racial
composition and disparity ratios in receiving necessary cataract surgery was
examined. The Geographic Information System was used to display county-level
geospatial relationships. RESULTS: African-Americans have a lower gender-specific
cataract prevalence (African-American male = 0.246, African-American female =
0.392, white male = 0.368, and white female = 0.457), but they are also less
likely than whites to receive necessary cataract surgery (utilization rate:
African-American male = 7.92%, African-American female = 6.17%, white male =
12.08%, and white female = 10.54%). The statistical results show no overall
differences between the disparity ratios and the racial composition of the
communities. However, our geospatial analyses revealed a concentration of high
racial disparity/high white population counties largely along the West Coast and
South Central portion of the state. CONCLUSIONS: There are racial differences in
the likelihood of receiving necessary cataract surgery. However, there is no
significant statewide association between the racial composition of the community
population and the racial disparity in the likelihood of receiving necessary
cataract surgery. Geospatial techniques did, however, identify subpopulations of
interest which were not otherwise identifiable with typical statistical
approaches, nor consistent with their conclusions.
PMID- 26540169
TI - Mosaic and Intronic Mutations in TSC1/TSC2 Explain the Majority of TSC Patients
with No Mutation Identified by Conventional Testing.
AB - Tuberous sclerosis complex (TSC) is an autosomal dominant tumor suppressor gene
syndrome due to germline mutations in either TSC1 or TSC2. 10-15% of TSC
individuals have no mutation identified (NMI) after thorough conventional
molecular diagnostic assessment. 53 TSC subjects who were NMI were studied using
next generation sequencing to search for mutations in these genes. Blood/saliva
DNA including parental samples were available from all subjects, and skin tumor
biopsy DNA was available from six subjects. We identified mutations in 45 of 53
subjects (85%). Mosaicism was observed in the majority (26 of 45, 58%), and
intronic mutations were also unusually common, seen in 18 of 45 subjects (40%).
Seventeen (38%) mutations were seen at an allele frequency < 5%, five at an
allele frequency < 1%, and two were identified in skin tumor biopsies only, and
were not seen at appreciable frequency in blood or saliva DNA. These findings
illuminate the extent of mosaicism in TSC, indicate the importance of full gene
coverage and next generation sequencing for mutation detection, show that
analysis of TSC-related tumors can increase the mutation detection rate, indicate
that it is not likely that a third TSC gene exists, and enable provision of
genetic counseling to the substantial population of TSC individuals who are
currently NMI.
PMID- 26540171
TI - Degree of Rectal Distension Seen on Prostate Radiotherapy Planning CT Scan Is Not
a Negative Prognostic Factor in the Modern Era of Image-Guided Radiotherapy.
AB - BACKGROUND: Studies have shown that rectal distension has a significant impact on
treatment failure in patients receiving radical radiotherapy for prostate cancer.
A distended rectum contributes to excessive organ movement during treatment,
resulting in significant underdosing of the target volume and higher treatment
failure rates. The increasing use of highly conformal, precise radiotherapy
techniques places greater importance on reducing this risk. We tested whether
imaging during radiotherapy helps minimise the negative impact that rectal
distension has on long-term tumour control. FINDINGS: The rectal diameter
(anterior/posterior and lateral) was prospectively measured at radiotherapy
planning in 172 consecutive patients undergoing radical radiotherapy with three
dimensional conformal radiotherapy. Daily, and then weekly, imaging during
radiotherapy ensured that prostate movement remained within predefined
tolerances. Patients were followed up for a median of 72 months with regular
prostate-specific antigen (PSA) measurements to ascertain biochemical PSA relapse
and survival information. CONCLUSIONS: In this cohort of predominately high-risk
localised prostate cancer, rectal distension had no significant impact on PSA
relapse. We suggest that regular imaging during radiotherapy negates the risk
caused by rectal distension on local treatment failure.
PMID- 26540170
TI - Serum-Free Suspension Culture of MDCK Cells for Production of Influenza H1N1
Vaccines.
AB - Development of serum-free suspension cell culture processes is very important for
influenza vaccine production. Previously, we developed a MDCK suspension cell
line in a serum-free medium. In the present study, the growth kinetics of
suspension MDCK cells and influenza virus production in the serum-free medium
were investigated, in comparison with those of adherent MDCK cells in both serum
containing and serum-free medium. It was found that the serum-free medium
supported the stable subculture and growth of both adherent and suspension cells.
In batch culture, for both cell lines, the growth kinetics in the serum-free
medium was comparable with those in the serum-containing medium and a
commercialized serum-free medium. In the serum-free medium, peak viable cell
density (VCD), haemagglutinin (HA) and median tissue culture infective dose
(TCID50) titers of the two cell lines reached 4.51*106 cells/mL, 2.94Log10(HAU/50
MUL) and 8.49Log10(virions/mL), and 5.97*106 cells/mL, 3.88Log10(HAU/50 MUL), and
10.34Log10(virions/mL), respectively. While virus yield of adherent cells in the
serum-free medium was similar to that in the serum-containing medium, suspension
culture in the serum-free medium showed a higher virus yield than adherent cells
in the serum-containing medium and suspension cells in the commercialized serum
free medium. However, the percentage of infectious viruses was lower for
suspension culture in the serum-free medium. These results demonstrate the great
potential of this suspension MDCK cell line in serum-free medium for influenza
vaccine production and further improvements are warranted.
PMID- 26540172
TI - Next-Generation Sequencing in Oncology in the Era of Precision Medicine.
PMID- 26540174
TI - IFN-gamma Induces Mimic Extracellular Trap Cell Death in Lung Epithelial Cells
Through Autophagy-Regulated DNA Damage.
AB - Treatment of interferon-gamma (IFN-gamma) causes cell growth inhibition and
cytotoxicity in lung epithelial malignancies. Regarding the induction of
autophagy related to IFN-gamma signaling, this study investigated the link
between autophagy and IFN-gamma cytotoxicity. In A549 human lung cancer cells,
IFN-gamma treatment induced concurrent apoptotic and nonapoptotic events.
Unexpectedly, the nonapoptotic cells present mimic extracellular trap cell death
(ETosis), which was regulated by caspase-3 and by autophagy induction through
immunity-related GTPase family M protein 1 and activating transcription factor 6.
Furthermore, IFN-gamma signaling controlled mimic ETosis through a mechanism
involving an autophagy- and Fas-associated protein with death domain-controlled
caspase-8/-3 activation. Following caspase-mediated lamin degradation, IFN-gamma
caused DNA damage-associated ataxia telangiectasia and Rad3-related protein
(ATR)/ataxia telangiectasia mutated (ATM)-regulated mimic ETosis. Upon ATR/ATM
signaling, peptidyl arginine deiminase 4 (PAD4)-mediated histone 3 citrullination
promoted mimic ETosis. Such IFN-gamma-induced effects were defective in
PC14PE6/AS2 human lung cancer cells, which were unsusceptible to IFN-gamma
induced autophagy. Due to autophagy-based caspase cascade activation, IFN-gamma
triggers unconventional caspase-mediated DNA damage, followed by ATR/ATM
regulated PAD4-mediated histone citrullination during mimic ETosis in lung
epithelial malignancy.
PMID- 26540173
TI - Risk factors and model for predicting toxicity-related treatment discontinuation
in patients with metastatic renal cell carcinoma treated with vascular
endothelial growth factor-targeted therapy: Results from the International
Metastatic Renal Cell Carcinoma Database Consortium.
AB - BACKGROUND: Vascular endothelial growth factor (VEGF)-targeted therapies are
standard treatment for metastatic renal cell carcinoma (mRCC); however,
toxicities can lead to drug discontinuation, which can affect patient outcomes.
This study was aimed at identifying risk factors for toxicity and constructing
the first model to predict toxicity-related treatment discontinuation (TrTD) in
mRCC patients treated with VEGF-targeted therapies. METHODS: The baseline
characteristics, treatment outcomes, and toxicity data were collected for 936
mRCC patients receiving first-line VEGF-targeted therapy from the International
Metastatic Renal Cell Carcinoma Database Consortium. A competing risk regression
model was used to identify risk factors for TrTD, and it accounted for other
causes as competing risks. RESULTS: Overall, 198 (23.8%) experienced TrTD.
Sunitinib was the most common VEGF-targeted therapy (77%), and it was followed by
sorafenib (18.4%). The median time on therapy was 7.1 months for all patients and
4.4 months for patients with TrTD. The most common toxicities leading to TrTD
included fatigue, diarrhea, and mucositis. In a multivariate analysis,
significant predictors for TrTD were a baseline age >=60 years, a glomerular
filtration rate (GFR) <30 mL/min/1.73 m(2) , a single metastatic site, and a
sodium level <135 mmol/L. A risk group model was developed that used the number
of patient risk factors to predict the risk of TrTD. CONCLUSIONS: In the largest
series to date, age, GFR, number of metastatic sites, and baseline sodium level
were found to be independent risk factors for TrTD in mRCC patients receiving
VEGF-targeted therapy. Based on the number of risk factors present, a model for
predicting TrTD was built to be used as a tool for toxicity monitoring in
clinical practice.
PMID- 26540175
TI - Hereditary Angioedema in Swedish Adults: Report From the National Cohort.
AB - Hereditary angioedema (HAE) is rare, disabling and sometimes life-threatening.
The aim of this study is to describe its prevalence, symptomatology and treatment
in Sweden. A total of 146 patients were identified; 110 adults and 36 children
with HAE type I (n = 136) or II (n = 10), giving a minimal HAE prevalence of
1.54/100,000. All patients received a written questionnaire followed by a
structured telephone interview. This report focuses on the 102 adults who
responded. Females reported 19 attacks in the previous year vs. 9 for males (p <
0.01), and females reported 10 days of sick leave vs. 4 days for males (p <
0.05). For all treated acute attacks, plasma-derived C1-inhibitor concentrate
(pdC1INH) (used in 27% of patients) had a good effect. For maintenance treatment,
43% used attenuated androgens and 8% used pdC1INH, which reduced their attack
rate by more than 50%. In conclusion, the minimal HAE prevalence in Sweden was
1.54/100,000. HAE affected females more severely. Attenuated androgens and
pdC1INH had a good effect on preventing attacks.
PMID- 26540177
TI - Serologic Evidence of Flavivirus Infections in Peridomestic Rodents in Merida,
Mexico.
AB - We conducted surveillance for flavivirus infection in peridomestic rodents in
Merida, Mexico in 2011-12. We captured 161 rodents inside private residences,
using Sherman traps, including 86 house mice (Mus musculus) and 75 black rats
(Rattus rattus). Serum from each animal was assayed by plaque reduction
neutralization test (PRNT) using two vertebrate-specific flaviviruses (Apoi and
Modoc viruses) and five mosquito-borne flaviviruses (dengue 2, dengue 4, St.
Louis encephalitis virus, West Nile, and yellow fever viruses). Sixty-one (37.9%)
rodents had antibodies that neutralized at least one virus. Prevalences for
flaviviruses were 64.0% and 15.1% for black rats and house mice, respectively.
None of the PRNT90 titers exceeded 80, and often they were highest for Modoc
virus. These data suggest that a subset of rodents had been infected with Modoc
virus or a closely related flavivirus that was not included in the PRNT analysis.
PMID- 26540176
TI - Systemic Problems: A perspective on stem cell aging and rejuvenation.
AB - This review provides balanced analysis of the advances in systemic regulation of
young and old tissue stem cells and suggests strategies for accelerating
development of therapies to broadly combat age-related tissue degenerative
pathologies. Many highlighted recent reports on systemic tissue rejuvenation
combine parabiosis with a "silver bullet" putatively responsible for the positive
effects. Attempts to unify these papers reflect the excitement about this
experimental approach and add value in reproducing previous work. At the same
time, defined molecular approaches, which are "beyond parabiosis" for the
rejuvenation of multiple old organs represent progress toward attenuating or even
reversing human tissue aging.
PMID- 26540178
TI - PAPILLOMAVIRUS IN HEALTHY SKIN AND MUCOSA OF WILD RUMINANTS IN THE ITALIAN ALPS.
AB - We investigated healthy skin and mucosal specimens of wild ruminants in the
Italian Alps. We identified bovine papillomavirus (BPV)-2 DNA in the healthy skin
of wild ruminants and documented coinfection of BPV-1 and Cervus elaphus
papillomavirus (CePV)-1 in a healthy red deer (Cervus elaphus). We also
demonstrated cross-infections of BPVs of the genus Xipapillomavirus, both as
single virus infection and also in association with Deltapapillomavirus types 1
and 2, confirming that host tropism of papillomaviruses is not as species
specific as previously thought. Our results suggest that subclinical infections
could be linked to the presence of domestic ruminants sharing the same habitat
with wild species and that the wildlife may act as a reservoir for
papillomaviruses affecting domestic species.
PMID- 26540179
TI - ECOLOGICAL DETERMINANTS OF AVIAN INFLUENZA VIRUS, WEST NILE VIRUS, AND AVIAN
PARAMYXOVIRUS INFECTION AND ANTIBODY STATUS IN BLUE-WINGED TEAL (ANAS DISCORS) IN
THE CANADIAN PRAIRIES.
AB - The Canadian prairies are one of the most important breeding and staging areas
for migratory waterfowl in North America. Hundreds of thousands of waterfowl of
numerous species from multiple flyways converge in and disperse from this region
annually; therefore this region may be a key area for potential intra- and
interspecific spread of infectious pathogens among migratory waterfowl in the
Americas. Using Blue-winged Teal (Anas discors, BWTE), which have the most
extensive migratory range among waterfowl species, we investigated ecologic risk
factors for infection and antibody status to avian influenza virus (AIV), West
Nile virus (WNV), and avian paramyxovirus-1 (APMV-1) in the three prairie
provinces (Alberta, Saskatchewan, and Manitoba) prior to fall migration. We used
generalized linear models to examine infection or evidence of exposure in
relation to host (age, sex, body condition, exposure to other infections),
spatiotemporal (year, province), population-level (local population densities of
BWTE, total waterfowl densities), and environmental (local pond densities)
factors. The probability of AIV infection in BWTE was associated with host
factors (e.g., age and antibody status), population-level factors (e.g., local
BWTE population density), and year. An interaction between age and AIV antibody
status showed that hatch year birds with antibodies to AIV were more likely to be
infected, suggesting an antibody response to an active infection. Infection with
AIV was positively associated with local BWTE density, supporting the hypothesis
of density-dependent transmission. The presence of antibodies to WNV and APMV-1
was positively associated with age and varied among years. Furthermore, the
probability of being WNV antibody positive was positively associated with pond
density rather than host population density, likely because ponds provide
suitable breeding habitat for mosquitoes, the primary vectors for transmission.
Our findings highlight the importance of spatiotemporal, environmental, and host
factors at the individual and population levels, all of which may influence
dynamics of these and other viruses in wild waterfowl populations.
PMID- 26540180
TI - Mountain Yellow-legged Frogs (Rana muscosa) did not Produce Detectable Antibodies
in Immunization Experiments with Batrachochytrium dendrobatidis.
AB - Chytridiomycosis is a devastating infectious disease of amphibians caused by the
fungal pathogen Batrachochytrium dendrobatidis (Bd). A growing number of studies
have examined the role of amphibian adaptive immunity in response to this
pathogen, with varying degrees of immune activation reported. Here we present
immunologic data for the mountain yellow-legged frog, Rana muscosa, and the
Sierra Nevada yellow-legged frog, Rana sierrae, which are two endangered and
ecologically important species experiencing Bd-inflicted declines. Previous
studies on these species that examined transcriptional response during Bd
infection, and the effective of immunization, provided little evidence of immune
activation to Bd. However, the studies did not directly assay immune effectors in
the frog hosts. We performed experiments to examine antibody production, which is
a hallmark of systemic adaptive immune activation. We used controlled laboratory
experiments and enzyme-linked immunosorbent assays to examine the antibody
response to Bd immunization and live Bd exposure. Rana muscosa and R. sierrae
individuals did not produce detectable antibodies with the capacity to bind to
denatured Bd antigens under our experimental conditions. While we cannot rule out
antibody response to Bd in these species, our results suggest weak, poor, or
inefficient production of antibodies to denatured Bd antigens. Our findings are
consistent with susceptibility to chytridiomycosis in these species and suggest
additional work is needed to characterize the potential for adaptive immunity.
PMID- 26540181
TI - Novel Papillomaviral Sequence Detected within Epidermal Plaques in a Wolf (Canis
lupus).
AB - We describe numerous pale plaques affecting the inguinal skin of a grey wolf
(Canis lupus). Histologically, these were consistent with papillomaviral plaques.
Immunohistochemistry confirmed papillomavirus antigens, and partial sequencing of
the L1 gene suggests this is a novel papillomavirus most-closely related to Canis
familiaris Papillomavirus 5.
PMID- 26540182
TI - Chemical enhancement of fingermark in blood on thermal paper.
AB - Chemical enhancement methods for fingermark in blood deposited on the surface of
a thermal paper substrate were examined. The blood-sensitive reagents compared
were LCV (leuco crystal violet), Amido black and Hungarian red. Fingermark in
blood on the surface of thermal paper can be fixed with 2% 5-sulfosalicylic acid
solution. LCV was found as an inadequate blood staining reagent because of
bubbling, diffusion, and blurring on the surface of thermal paper. Hungarian red
was also an inadequate blood staining reagent because excess Hungarian red on the
surface of thermal paper was not washed away in the de-staining procedure. Amido
black was the best staining reagent among three staining reagents compared. The
maximum dilution ratio visible to the naked eye after Amido black staining was 1
in 80 for the thermally sensitive surface and 1 in 20 for the thermally non
sensitive surface.
PMID- 26540183
TI - Practises and controversies in the management of asymptomatic aneurysms: Results
of an international survey.
AB - BACKGROUND: Asymptomatic aneurysms that are increasingly discovered on cranial
diagnostic imaging are a growing management dilemma. Large-scale studies have
shown that in most instances, conservative management is appropriate for the
majority of patients with aneurysms less than 7 mm in maximum diameter. It is
unclear whether international practise mirrors practise in these large trials.
OBJECTIVE: To determine how neurosurgeons around the world manage patients with
asymptomatic aneurysms. METHODS: Electronic survey of 283 clinicians managing
patients with aneurysms using a 55-item questionnaire detailing characteristics
of their experience, their hospitals and their present and future practises and
insights regarding the management of patients with intracerebral aneurysms.
RESULTS: The 203 neurosurgeons (72%) who responded had a median of 17 years of
practise with aneurysms and managed a median of 25 aneurysms annually. The
majority of neurosurgeons endorsed treatment of all asymptomatic aneurysms
regardless of size. Only four out of 10 neurosurgeons would manage patients with
4 mm anterior communicating artery or middle cerebral artery aneurysms non
surgically, whereas fewer than 2% would conservatively manage asymptomatic
patients with 10 or 16 mm aneurysms. Neurosurgeons were split as to the
recommended techniques for asymptomatic aneurysms of 10 or 16 mm with about half
of them electing clipping and half coiling for ACoA and nearly three quarters
favouring clipping for the MCA aneurysm. Although international differences exist
between Europe, North America and the rest of the world, most state that their
choice of treatment related to decisions around what option would provide the
best neurological outcome and prevention of long-term bleeding. CONCLUSION:
Despite large trials supporting the management of small asymptomatic aneurysms,
most neurosurgeons internationally chooses to treat them with surgery or
endovascular means. Since clinicians use a number of factors beyond the maximum
diameter when considering treatment options, future trials should consider these
factors in their design.
PMID- 26540185
TI - In Vitro Inactivation of Kudoa septempunctata Spores Infecting the Muscle of
Olive Flounder Paralichthys olivaceus.
AB - Kudoa septempunctata, a myxosporean parasite infecting the trunk muscles of olive
flounder (Paralichthys olivaceus), has been recently reported to be the causative
agent of a type of food poisoning in humans. Patients exhibited acute diarrhea
and vomiting after ingestion of the raw flesh of infected flounder. A recent
increase in the number of food-poisoning cases has prompted us to develop a
control strategy of this parasite. In this study, we evaluated the efficacy of
several temperature and chemical treatments for inactivating K. septempunctata
spores in vitro using the vital staining assay with the fluorescent dyes Hoechst
33342 and propidium iodide (PI). Screening tests of treatment methods against K.
septempunctata suggested that 25% ethanol for 5 min, 80 degrees C for 10 s,
limonene at 10 MUL/mL for 5 min, and salinities at 00/00 and 1600/00 for 5 min
were effective for killing spores. To verify toxicity loss in K. septempunctata
spores after the treatments, tight junction barrier integrity assays with Caco-2
cells were conducted. The results of the Caco-2 assays corresponded well with
those of the Hoechst 33342-PI staining assay. Further studies are required to
determine a practical treatment procedure for inactivating spores considering the
treatment application in the production process of cultured olive flounder.
PMID- 26540184
TI - Cattle Sex-Specific Recombination and Genetic Control from a Large Pedigree
Analysis.
AB - Meiotic recombination is an essential biological process that generates genetic
diversity and ensures proper segregation of chromosomes during meiosis. From a
large USDA dairy cattle pedigree with over half a million genotyped animals, we
extracted 186,927 three-generation families, identified over 8.5 million maternal
and paternal recombination events, and constructed sex-specific recombination
maps for 59,309 autosomal SNPs. The recombination map spans for 25.5 Morgans in
males and 23.2 Morgans in females, for a total studied region of 2,516 Mb (986
kb/cM in males and 1,085 kb/cM in females). The male map is 10% longer than the
female map and the sex difference is most pronounced in the subtelomeric regions.
We identified 1,792 male and 1,885 female putative recombination hotspots, with
720 hotspots shared between sexes. These hotspots encompass 3% of the genome but
account for 25% of the genome-wide recombination events in both sexes. During the
past forty years, males showed a decreasing trend in recombination rate that
coincided with the artificial selection for milk production. Sex-specific GWAS
analyses identified PRDM9 and CPLX1 to have significant effects on genome-wide
recombination rate in both sexes. Two novel loci, NEK9 and REC114, were
associated with recombination rate in both sexes, whereas three loci, MSH4, SMC3
and CEP55, affected recombination rate in females only. Among the multiple PRDM9
paralogues on the bovine genome, our GWAS of recombination hotspot usage together
with linkage analysis identified the PRDM9 paralogue on chromosome 1 to be
associated in the U.S. Holstein data. Given the largest sample size ever reported
for such studies, our results reveal new insights into the understanding of
cattle and mammalian recombination.
PMID- 26540187
TI - Increased deaths from gastric cancer in communities living close to waste
landfills.
AB - BACKGROUND: Municipal waste landfills (MWLs) have been linked with some
malignancies, but data about gastric cancer (GC) are still uncertain. METHODS:
Number of deaths from GC, death rates, and odds ratios (ORs) were calculated
considering all residents in the 258 towns in the Apulia Region (4,099,547
subjects, years 2006-2009), living within 3 km from each of the 16 regional MWLs
(n = 716,404) or in control areas (n = 3,383,143). RESULTS: Males living close to
MWLs showed a higher death rate for GC, a twofold higher mean number of GC deaths
and higher adjusted ORs of GC, compared with controls areas. CONCLUSIONS: In a
large population and over a wide time period, an increased risk of death from GC
has been shown in males living in communities close to MWLs. Primary prevention
policies acting through more sustainable waste management might probably
partially reduce deaths from GC in areas with MWLs.
PMID- 26540186
TI - Nitric Oxide Down-Regulates Topoisomerase I and Induces Camptothecin Resistance
in Human Breast MCF-7 Tumor Cells.
AB - Camptothecin (CPT), a topoisomerase I poison, is an important drug for the
treatment of solid tumors in the clinic. Nitric oxide (.NO), a physiological
signaling molecule, is involved in many cellular functions, including cell
proliferation, survival and death. We have previously shown that .NO plays a
significant role in the detoxification of etoposide (VP-16), a topoisomerase II
poison in vitro and in human melanoma cells. .NO/.NO-derived species are reported
to modulate activity of several important cellular proteins. As topoisomerases
contain a number of free sulfhydryl groups which may be targets of .NO/.NO
derived species, we have investigated the roles of .NO/.NO-derived species in the
stability and activity of topo I. Here we show that .NO/.NO-derived species
induces a significant down-regulation of topoisomerase I protein via the
ubiquitin/26S proteasome pathway in human colon (HT-29) and breast (MCF-7) cancer
cell lines. Importantly, .NO treatment induced a significant resistance to CPT
only in MCF-7 cells. This resistance to CPT did not result from loss of
topoisomerase I activity as there were no differences in topoisomerase I-induced
DNA cleavage in vitro or in tumor cells, but resulted from the
stabilization/induction of bcl2 protein. This up-regulation of bcl2 protein in
MCF-7 cells was wtp53 dependent as pifithrine-alpha, a small molecule inhibitor
of wtp53 function, completely reversed CPT resistance, suggesting that wtp53 and
bcl2 proteins played important roles in CPT resistance. Because tumors in vivo
are heterogeneous and contaminated by infiltrating macrophages, .NO-induced down
regulation of topoisomerase I protein combined with bcl2 protein stabilization
could render certain tumors highly resistant to CPT and drugs derived from it in
the clinic.
PMID- 26540188
TI - Effects of sulphamethazine and zinc on the functional diversity of microbial
communities during composting.
AB - The changes in the functional diversity of the microbial community in a compost
matrix with a single or compound addition of zinc (Zn; 0, 600, and 1800 mg/kg)
and sulphamethazine (SM2; 0, 1, and 25 mg/kg) were studied with the Biolog method
during composting. The microbial community was extracted from the compost matrix
comprising swine manure and wheat straw at day 6 (themophilic period) and day 25
(mature period) of composting. Results proved that the Shannon index, average
well-colour development, and substrate utilization significantly decreased as the
concentrations of SM2 and Zn increased on day 6. The negative effect of the
combined addition of SM2 and Zn was lower than that of the individual addition of
SM2 and Zn. On day 25, the inhibition effect disappeared, and microbial metabolic
activities were higher than those on day 6. The effects of SM2 and Zn could be
further differentiated via the principal component analysis (PCA) and cluster
analysis. On day 6, the treatments were divided into three groups by PC1 and PC2.
The separation of the different treatments in the PCA plots became increasingly
apparent on day 25. In conclusion, the effects of SM2 and Zn on the microbial
community during composting became evident in the themophilic period and that the
microbial activity recovered in the mature period. The combination of SM2 and Zn
decreased the inhibition with the addition of individual additive.
PMID- 26540189
TI - Tumor Volume Estimation and Quasi-Continuous Administration for Most Effective
Bevacizumab Therapy.
AB - BACKGROUND: Bevacizumab is an exogenous inhibitor which inhibits the biological
activity of human VEGF. Several studies have investigated the effectiveness of
bevacizumab therapy according to different cancer types but these days there is
an intense debate on its utility. We have investigated different methods to find
the best tumor volume estimation since it creates the possibility for precise and
effective drug administration with a much lower dose than in the protocol.
MATERIALS AND METHODS: We have examined C38 mouse colon adenocarcinoma and HT-29
human colorectal adenocarcinoma. In both cases, three groups were compared in the
experiments. The first group did not receive therapy, the second group received
one 200 MUg bevacizumab dose for a treatment period (protocol-based therapy), and
the third group received 1.1 MUg bevacizumab every day (quasi-continuous
therapy). Tumor volume measurement was performed by digital caliper and small
animal MRI. The mathematical relationship between MRI-measured tumor volume and
mass was investigated to estimate accurate tumor volume using caliper-measured
data. A two-dimensional mathematical model was applied for tumor volume
evaluation, and tumor- and therapy-specific constants were calculated for the
three different groups. The effectiveness of bevacizumab administration was
examined by statistical analysis. RESULTS: In the case of C38 adenocarcinoma,
protocol-based treatment did not result in significantly smaller tumor volume
compared to the no treatment group; however, there was a significant difference
between untreated mice and mice who received quasi-continuous therapy (p =
0.002). In the case of HT-29 adenocarcinoma, the daily treatment with one-twelfth
total dose resulted in significantly smaller tumors than the protocol-based
treatment (p = 0.038). When the tumor has a symmetrical, solid closed shape
(typically without treatment), volume can be evaluated accurately from caliper
measured data with the applied two-dimensional mathematical model. CONCLUSION:
Our results provide a theoretical background for a much more effective
bevacizumab treatment using optimized administration.
PMID- 26540190
TI - Risk Factors for Lobar and Non-Lobar Intracerebral Hemorrhage in Patients with
Vascular Disease.
AB - INTRODUCTION: Lobar and non-lobar non-traumatic intracerebral hemorrhage (ICH)
are presumably caused by different types of small vessel diseases. The aim of
this study was to assess risk factors for ICH according to location. METHODS: In
two large prospective studies, SMART (n = 9088) and ESPRIT (n = 2625), including
patients with manifest cardiovascular, cerebrovascular or peripheral artery
disease or with vascular risk factors, we investigated potential risk factors for
ICH during follow-up according to lobar or non-lobar location by Cox proportional
hazards analyses. RESULTS: During 65,156 patient years of follow up 19 patients
had lobar ICH (incidence rate 29, 95% CI 19-42 per 100,000 person-years) and 24
non-lobar ICH (incidence rate 37, 95% CI 26-51 per 100,000 person-years). Age
significantly increased the risk of lobar ICH (HR per 10 years increase 1.90; 95%
CI 1.17-3.10) in the multivariable analysis, but not of non-lobar hemorrhage.
Anticoagulant medication (HR 3.49; 95% CI 1.20-10.2) and male sex (HR 3.79; 95%
CI 1.13-12.8) increased the risk of non-lobar but not lobar ICH. CONCLUSION: This
study shows an elevated risk of future ICH in patients with manifestations of, or
risk factors for, cardiovascular, cerebrovascular or peripheral artery disease.
Our data suggest that risk factors for ICH vary according to location, supporting
the hypothesis of a differential pathophysiology of lobar and non-lobar ICH.
PMID- 26540191
TI - Detection of sarcastic speech: The role of the right hemisphere in ambiguity
resolution.
AB - Two experiments were conducted to determine if the right hemisphere (RH) plays a
central role in understanding sarcasm. In Experiment 1, 48 participants completed
a target detection task using dichotically presented phrases that were sincere
(message compatible), sarcastic (conflicting semantic and prosodic message), or
neutral (no emotional prosody). Sarcastic phrases presented to the left ear
(LE)/RH produced faster response times than sarcastic phrases presented to the
right ear/left hemisphere. Accuracy results indicated an overall LE/RH advantage
for detecting both sarcastic and sincere phrases. Experiment 2 utilized the same
task with the addition of event-related potential recording. There was a reliable
N400 seen in response to the sarcastic phrases, but only with LE/RH presentation.
These results suggest that the RH is particularly sensitive to the mismatch
between semantic and prosodic information characterized by sarcasm.
PMID- 26540192
TI - Insights into the molecular interactions of thymoquinone with histone
deacetylase: evaluation of the therapeutic intervention potential against breast
cancer.
AB - Many HDAC inhibitors have passed through the gateway of clinical trials. However,
they have limited therapeutic implications due to their pleiotropic
pharmaceutical properties and off-target effects. In view of this, dietary active
phytochemicals were evaluated. Based upon the chemical and structural insights of
HDAC active pockets, thymoquinone (TQ) was investigated to uncover its active
participation in HDAC inhibition. The synergistic analysis of docking and
molecular dynamics simulation disclosed the elementary interaction and stability
of TQ with human HDACs. The in silico findings were corroborated with an in vitro
analysis, demonstrating the efficient role of TQ in the attenuation of global
HDAC activity. Furthermore, TQ also elicited downstream effects of HDAC
inhibition: reactivation of HDAC target genes (p21 and Maspin), induction of the
pro-apoptotic gene Bax, down regulation of the anti-apoptotic gene Bcl-2 and
arrest of the cell cycle at the G2/M phase. Finally, the result of a higher
cytotoxicity of TQ towards MCF-7 breast cancer cells in comparison to normal
cells indicates the potential of TQ to be an anticancer drug.
PMID- 26540193
TI - Carbon Nanotubes with Tailored Density of Electronic States for Electrochemical
Applications.
AB - The density of electronic states (DOS) is an intrinsic electronic property that
works conclusively in the electrochemistry of carbon materials. However, seldom
has it been reported how the DOS at the Fermi level influences the
electrochemical activity. In this work, we synthesized partially and fully
unzipped carbon nanotubes by longitudinally unzipping pristine carbon nanotubes
(CNTs). We then studied the electrochemical activity and biosensitivity of carbon
materials by means of the CNTs and their derivatives to elucidate the effect of
the DOS on their electrochemical performances. Tailoring of the DOS for the CNT
derivatives could be conveniently realized by varying the sp(2)/sp(3) ratio
(i.e., graphite concentration) through manipulating the oxidative unzipping
degree. Despite the diverse electron transfer mechanisms and influence factors of
the four investigated redox probes (IrCl6(2-), [Fe(CN)6](3-), Fe(3+), and
ascorbic acid), the CNT derivatives exhibited consistent kinetic behaviors,
wherein CNTs with a high DOS showed superior electrochemical response compared
with partially and fully unzipped carbon nanotubes. For biological detection, the
CNTs could simultaneously distinguish ascorbic acid, dopamine, and uric acid,
while the three CNT derivatives could all differentiate phenethylamine and
epinephrine existed in the newborn calf serum. Moreover, the three CNT
derivatives all presented wide linear detection ranges with high sensitivities
for dopamine, phenethylamine, and epinephrine.
PMID- 26540194
TI - Masking Release in Children and Adults With Hearing Loss When Using
Amplification.
AB - PURPOSE: This study compared masking release for adults and children with normal
hearing and hearing loss. For the participants with hearing loss, masking release
using simulated hearing aid amplification with 2 different compression speeds
(slow, fast) was compared. METHOD: Sentence recognition in unmodulated noise was
compared with recognition in modulated noise (masking release). Recognition was
measured for participants with hearing loss using individualized amplification
via the hearing-aid simulator. RESULTS: Adults with hearing loss showed greater
masking release than the children with hearing loss. Average masking release was
small (1 dB) and did not depend on hearing status. Masking release was comparable
for slow and fast compression. CONCLUSIONS: The use of amplification in this
study contrasts with previous studies that did not use amplification. The results
suggest that when differences in audibility are reduced, participants with
hearing loss may be able to take advantage of dips in the noise levels, similar
to participants with normal hearing. Although children required a more favorable
signal-to-noise ratio than adults for both unmodulated and modulated noise,
masking release was not statistically different. However, the ability to detect a
difference may have been limited by the small amount of masking release observed.
PMID- 26540195
TI - A European Concern? Genetic Structure and Expansion of Golden Jackals (Canis
aureus) in Europe and the Caucasus.
AB - In the first continent-wide study of the golden jackal (Canis aureus), we
characterised its population genetic structure and attempted to identify the
origin of European populations. This provided a unique insight into genetic
characteristics of a native carnivore population with rapid large-scale
expansion. We analysed 15 microsatellite markers and a 406 base-pair fragment of
the mitochondrial control region. Bayesian-based and principal components methods
were applied to evaluate whether the geographical grouping of samples
corresponded with genetic groups. Our analysis revealed low levels of genetic
diversity, reflecting the unique history of the golden jackal among Europe's
native carnivores. The results suggest ongoing gene flow between south-eastern
Europe and the Caucasus, with both contributing to the Baltic population, which
appeared only recently. The population from the Peloponnese Peninsula in southern
Greece forms a common genetic cluster with samples from south-eastern Europe
(DeltaK approach in STRUCTURE, Principal Components Analysis [PCA]), although the
results based on BAPS and the estimated likelihood in STRUCTURE indicate that
Peloponnesian jackals may represent a distinct population. Moreover, analyses of
population structure also suggest either genetic distinctiveness of the island
population from Samos near the coast of Asia Minor (BAPS, most STRUCTURE, PCA),
or possibly its connection with the Caucasus population (one analysis in
STRUCTURE). We speculate from our results that ancient Mediterranean jackal
populations have persisted to the present day, and have merged with jackals
colonising from Asia. These data also suggest that new populations of the golden
jackal may be founded by long-distance dispersal, and thus should not be treated
as an invasive alien species, i.e. an organism that is "non-native to an
ecosystem, and which may cause economic or environmental harm or adversely affect
human health". These insights into the genetic structure and ancestry of Baltic
jackals have important implications for management and conservation of jackals in
Europe. The golden jackal is listed as an Annex V species in the EU Habitats
Directive and as such, considering also the results presented here, should be
legally protected in all EU member states.
PMID- 26540196
TI - Antagonistic Regulation of Parvalbumin Expression and Mitochondrial Calcium
Handling Capacity in Renal Epithelial Cells.
AB - Parvalbumin (PV) is a cytosolic Ca2+-binding protein acting as a slow-onset Ca2+
buffer modulating the shape of Ca2+ transients in fast-twitch muscles and a
subpopulation of neurons. PV is also expressed in non-excitable cells including
distal convoluted tubule (DCT) cells of the kidney, where it might act as an
intracellular Ca2+ shuttle facilitating transcellular Ca2+ resorption. In
excitable cells, upregulation of mitochondria in "PV-ergic" cells in PV-/- mice
appears to be a general hallmark, evidenced in fast-twitch muscles and cerebellar
Purkinje cells. Using Gene Chip Arrays and qRT-PCR, we identified differentially
expressed genes in the DCT of PV-/- mice. With a focus on genes implicated in
mitochondrial Ca2+ transport and membrane potential, uncoupling protein 2 (Ucp2),
mitocalcin (Efhd1), mitochondrial calcium uptake 1 (Micu1), mitochondrial calcium
uniporter (Mcu), mitochondrial calcium uniporter regulator 1 (Mcur1), cytochrome
c oxidase subunit 1 (COX1), and ATP synthase subunit beta (Atp5b) were found to
be up-upregulated. At the protein level, COX1 was increased by 31 +/- 7%, while
ATP-synthase subunit beta was unchanged. This suggested that these mitochondria
were better suited to uphold the electrochemical potential across the
mitochondrial membrane, necessary for mitochondrial Ca2+ uptake. Ectopic
expression of PV in PV-negative Madin-Darby canine kidney (MDCK) cells decreased
COX1 and concomitantly mitochondrial volume, while ATP synthase subunit beta
levels remained unaffected. Suppression of PV by shRNA in PV-expressing MDCK
cells led subsequently to an increase in COX1 expression. The collapsing of the
mitochondrial membrane potential by the uncoupler CCCP occurred at lower
concentrations in PV-expressing MDCK cells than in control cells. In support, a
reduction of the relative mitochondrial mass was observed in PV-expressing MDCK
cells. Deregulation of the cytoplasmic Ca2+ buffer PV in kidney cells was
counterbalanced in vivo and in vitro by adjusting the relative mitochondrial
volume and modifying the mitochondrial protein composition conceivably to
increase their Ca2+-buffering/sequestration capacity.
PMID- 26540197
TI - Immunization with a Double-Mutant (R192G/L211A) of the Heat-Labile Enterotoxin of
Escherichia coli Offers Partial Protection against Campylobacter jejuni in an
Adult Mouse Intestinal Colonization Model.
AB - We have previously shown that antibodies to cholera toxin (CT) reacted with the
major outer membrane proteins (MOMPs) from Campylobacter jejuni strains on
Western blot. Further, oral immunization with CT significantly protected against
challenge with C. jejuni in an adult mouse colonization model of infection. CT
and the heat-labile enterotoxin (LT) of enterotoxigenic Escherichia coli are
structurally and functionally related. LT and its mutants including the double
mutant LT (R192G/L211A) (dmLT), are powerful mucosal adjuvants. Unlike LT which
is reactogenic, dmLT has been shown to be safe for human use. In the current
study, we determined whether rabbit anti-dmLT antibodies reacted with MOMPs from
C. jejuni strains and whether immunization with dmLT would afford protection
against C. jejuni. On Western blot, the MOMPs from C. jejuni 48 (Penner serotype
O:19), C. jejuni 75 (O:3) and C. jejuni 111 (O:1,44) were probed with rabbit
antibodies to dmLT or LT-E112K (a non-toxic LT mutant), which showed a lack of
reaction. Adult BALB/c mice were orally immunized with dmLT and orally challenged
with C. jejuni 48 or 111. Protection from colonization with the challenge
bacteria was studied by enumerating Campylobacter colonies in feces daily for 9
days. Vaccination produced robust serum and stool antibody responses to dmLT and
no antibody responses to C. jejuni MOMP. Vaccinated mice showed reduced
colonization and excretion of both challenge strains compared to control mice.
However, the differences were not statistically significant. The protective
efficacy of the dmLT vaccine varied from 9.1% to 54.5%. The lack of cross
reaction between the MOMP and dmLT suggests that protection is not mediated by
cross-reacting antibodies, but may be due to activation of innate immunity. As
dmLT is safe for humans, it could be incorporated into a C. jejuni vaccine to
enhance its efficacy.
PMID- 26540199
TI - Correction: Occupational Screening for Tuberculosis and the Use of a Borderline
Zone for Interpretation of the IGRA in German Healthcare Workers.
PMID- 26540198
TI - Thioredoxin-2 Modulates Neuronal Programmed Cell Death in the Embryonic Chick
Spinal Cord in Basal and Target-Deprived Conditions.
AB - Thioredoxin-2 (Trx2) is a mitochondrial protein using a dithiol active site to
reduce protein disulfides. In addition to the cytoprotective function of this
enzyme, several studies have highlighted the implication of Trx2 in cellular
signaling events. In particular, growing evidence points to such roles of redox
enzymes in developmental processes taking place in the central nervous system.
Here, we investigate the potential implication of Trx2 in embryonic development
of chick spinal cord. To this end, we first studied the distribution of the
enzyme in this tissue and report strong expression of Trx2 in chick embryo post
mitotic neurons at E4.5 and in motor neurons at E6.5. Using in ovo
electroporation, we go on to highlight a cytoprotective effect of Trx2 on the
programmed cell death (PCD) of neurons during spinal cord development and in a
novel cultured spinal cord explant model. These findings suggest an implication
of Trx2 in the modulation of developmental PCD of neurons during embryonic
development of the spinal cord, possibly through redox regulation mechanisms.
PMID- 26540200
TI - A New Form of Triple-Stranded Helicate Found in Uranyl Complexes of Aliphatic
alpha,omega-Dicarboxylates.
AB - The reaction of uranyl ions with azelaic or dodecanedioic acids under
solvohydrothermal conditions leads to crystallization of anionic dinuclear cage
compounds with [M(bipy/phen)3](2+) counterions (M = 3d-block cation), while the
smaller suberic acid yields heterometallic metallacycles. Complexes with the
longer aliphatic chains are the first triple-stranded helicates reported in
actinide chemistry.
PMID- 26540201
TI - Zero order and signal processing spectrophotometric techniques applied for
resolving interference of metronidazole with ciprofloxacin in their
pharmaceutical dosage form.
AB - Four rapid, simple, accurate and precise spectrophotometric methods were used for
the determination of ciprofloxacin in the presence of metronidazole as
interference. The methods under study are area under the curve, simultaneous
equation in addition to smart signal processing techniques of manipulating ratio
spectra namely Savitsky-Golay filters and continuous wavelet transform. All the
methods were validated according to the ICH guidelines where accuracy, precision
and repeatability were found to be within the acceptable limits. The selectivity
of the proposed methods was tested using laboratory prepared mixtures and
assessed by applying the standard addition technique. So, they can therefore be
used for the routine analysis of ciprofloxacin in quality-control laboratories.
PMID- 26540202
TI - Asymmetric H2O-Nucleophilic Ring Opening of D-A Cyclopropanes: Catalyst Serves as
a Source of Water.
AB - The first catalytic enantioselective ring-opening reaction of donor-acceptor
cyclopropanes with water is described. By employing Cy-TOX/Cu(II) as catalyst,
the reaction performed very well over a broad range of substrates, leading to the
ring-opening products in 70-96% yields with up to 95% ee under mild conditions.
The current method provides a new approach to direct access to gamma-substituted
GBH derivatives very efficiently. Importantly, Cu(ClO4)2.6H2O proves to serve as
both a Lewis acid and a source of water, which affords a fine system to
controllably release water as a nucleophile in the asymmetric catalysis.
PMID- 26540203
TI - Effect of treatment temperature on the microstructure of asphalt binders:
insights on the development of dispersed domains.
AB - This paper offers important insights on the development of the microstructure in
asphalt binders as a function of the treatment temperature. Different treatment
temperatures are useful to understand how dispersed domains form when different
driving energies for the mobility of molecular species are provided. Small and
flat dispersed domains, with average diameter between 0.02 and 0.70 MUm, were
detected on the surface of two binders at room temperature, and these domains
were observed to grow with an increase in treatment temperature (up to over 2
MUm). Bee-like structures started to appear after treatment at or above 100
degrees C. Moreover, the effect of the binder thickness on its microstructure at
room temperature and at higher treatment temperatures was investigated and is
discussed in this paper. At room temperature, the average size of the dispersed
domains increased as the binder thickness decreased. A hypothesis that
conciliates current theories on the origin and development of dispersed domains
is proposed. Small dispersed domains (average diameter around 0.02 MUm) are
present in the bulk of the binder, whereas larger domains and bee-like structures
develop on the surface, following heat treatment or mechanical disturbance that
reduces the film thickness. Molecular mobility and association are the key
factors in the development of binder microstructure.
PMID- 26540205
TI - Tokophobia, the Fear of Childbirth, and the Decision to Breastfeed.
PMID- 26540204
TI - Spindle-F Is the Central Mediator of Ik2 Kinase-Dependent Dendrite Pruning in
Drosophila Sensory Neurons.
AB - During development, certain Drosophila sensory neurons undergo dendrite pruning
that selectively eliminates their dendrites but leaves the axons intact. How
these neurons regulate pruning activity in the dendrites remains unknown. Here,
we identify a coiled-coil protein Spindle-F (Spn-F) that is required for dendrite
pruning in Drosophila sensory neurons. Spn-F acts downstream of IKK-related
kinase Ik2 in the same pathway for dendrite pruning. Spn-F exhibits a punctate
pattern in larval neurons, whereas these Spn-F puncta become redistributed in
pupal neurons, a step that is essential for dendrite pruning. The redistribution
of Spn-F from puncta in pupal neurons requires the phosphorylation of Spn-F by
Ik2 kinase to decrease Spn-F self-association, and depends on the function of
microtubule motor dynein complex. Spn-F is a key component to link Ik2 kinase to
dynein motor complex, and the formation of Ik2/Spn-F/dynein complex is critical
for Spn-F redistribution and for dendrite pruning. Our findings reveal a novel
regulatory mechanism for dendrite pruning achieved by temporal activation of Ik2
kinase and dynein-mediated redistribution of Ik2/Spn-F complex in neurons.
PMID- 26540206
TI - The complete mitochondrial genome of the navel orangeworm Amyelois transitella
(Insecta: Lepidoptera: Pyralidae).
AB - The complete mitochondrial genome of the navel orangeworm Amyelois transitella
(Insecta: Lepidoptera: Pyralidae) was assembled from Illumina sequencing reads
(Illumina Inc., San Diego, CA). The genome is a double-stranded circular molecule
of 15 205 bp, comprising 22 transfer RNAs (tRNAs), 13 protein-coding genes
(PCGs), two ribosomal RNAs (rRNAs), and one control region. All PCGs are
initiated with ATN codons, except for COX1 with the putative CGA initiation
codon. Six PCGs (COX1, COX2, CYTB, ND2, ND3, and ND4) harbor an incomplete
termination codon T, while all the others are terminated with TAA (ATP6, ATP8,
COX3, ND1, ND4, and ND6) or TAG (ND4L). The base composition is highly biased
(37.9% A, 12.6% C, 7.8% G, and 41.7% T) with an overall A + T content of 79.6%.
Phylogenetic analysis indicated that A. transitella is more phylogenetically
related to its confamilial counterparts than to those from the family Crabidae.
PMID- 26540207
TI - Rational Phosphorus Application Facilitates the Sustainability of the
Wheat/Maize/Soybean Relay Strip Intercropping System.
AB - Wheat (Triticum aestivum L.)/maize (Zea mays L.)/soybean (Glycine max L.) relay
strip intercropping (W/M/S) system is commonly used by the smallholders in the
Southwest of China. However, little known is how to manage phosphorus (P) to
enhance P use efficiency of the W/M/S system and to mitigate P leaching that is a
major source of pollution. Field experiments were carried out in 2011, 2012, and
2013 to test the impact of five P application rates on yield and P use efficiency
of the W/M/S system. The study measured grain yield, shoot P uptake, apparent P
recovery efficiency (PRE) and soil P content. A linear-plateau model was used to
determine the critical P rate that maximizes gains in the indexes of system
productivity. The results show that increase in P application rates aggrandized
shoot P uptake and crops yields at threshold rates of 70 and 71.5 kg P ha-1
respectively. With P application rates increasing, the W/M/S system decreased the
PRE from 35.9% to 12.3% averaged over the three years. A rational P application
rate, 72 kg P ha-1, or an appropriate soil Olsen-P level, 19.1 mg kg-1, drives
the W/M/S system to maximize total grain yield while minimizing P surplus, as a
result of the PRE up to 28.0%. We conclude that rational P application is an
important approach for relay intercropping to produce high yield while mitigating
P pollution and the rational P application-based integrated P fertilizer
management is vital for sustainable intensification of agriculture in the
Southwest of China.
PMID- 26540208
TI - White Matter Changes in Two Leber's Hereditary Optic Neuropathy Pedigrees: 12
Year Follow-Up.
AB - We are presenting two Leber's hereditary optic neuropathy (LHON) pedigrees with
abnormal magnetic resonance imaging (MRI) and proton magnetic resonance
spectroscopy (H-MRS) findings but without neurological manifestation associated
with LHON. The study included 14 LHON patients and 41 asymptomatic family members
from 12 genealogically unrelated families. MRI showed white matter involvement
and H-MRS exhibited metabolic anomalies within 12 LHON families. Main outcome
measures were abnormal MRI and H-MRS findings in two pedigrees. MRI of the
proband of the first pedigree showed a single demyelinating lesion in the right
cerebellar hemisphere, while the proband of the second family displayed multiple
supratentorial and infratentorial lesions, compatible with the demyelinating
process, and both the absolute choline (Cho) concentration and Cho/creatinine
ratio were increased. MRI and H-MRS profiles of both affected and unaffected
mitochondrial DNA mutation carriers suggest more widespread central nervous
involvement in LHON. Although even after 12 years our patients did not develop
neurological symptoms, MRI could still be used to detect possible changes during
the disease progression.
PMID- 26540209
TI - Fungal biodegradation of anthracene-polluted cork: A comparative study.
AB - The efficiency of cork waste in adsorbing aqueous polycyclic aromatic
hydrocarbons (PAHs) has been previously reported. Biodegradation of contaminated
cork using filamentous fungi could be a good alternative for detoxifying cork to
facilitate its final processing. For this purpose, the degradation efficiency of
anthracene by three ligninolytic white-rot fungi (Phanerochaete chrysosporium,
Irpex lacteus and Pleurotus ostreatus) and three non-ligninolytic fungi which are
found in the cork itself (Aspergillus niger, Penicillium simplicissimum and Mucor
racemosus) are compared. Anthracene degradation by all fungi was examined in
solid-phase cultures after 0, 16, 30 and 61 days. The degradation products of
anthracene by P. simplicissimum and I. lacteus were also identified by GC-MS and
a metabolic pathway was proposed for P. simplicissimum. Results show that all the
fungi tested degraded anthracene. After 61 days of incubation, approximately 86%,
40%, and 38% of the initial concentration of anthracene (i.e., 100 uM) was
degraded by P. simplicissimum, P. chrysosporium and I. lacteus, respectively. The
rest of the fungi degraded anthracene to a lesser extent (<30%). As a final
remark, the results obtained in this study indicate that P. simplicissimum, a non
ligninolytic fungi characteristic of cork itself, could be used as an efficient
degrader of PAH-contaminated cork.
PMID- 26540210
TI - Control of Blood Pressure and Risk Attenuation: Post Trial Follow-Up of
Randomized Groups.
AB - BACKGROUND: Evidence on long term effectiveness of public health strategies for
lowering blood pressure (BP) is scarce. In the Control of Blood Pressure and Risk
Attenuation (COBRA) Trial, a 2 x 2 factorial, cluster randomized controlled
trial, the combined home health education (HHE) and trained general practitioner
(GP) intervention delivered over 2 years was more effective than no intervention
(usual care) in lowering systolic BP among adults with hypertension in urban
Pakistan. However, it was not clear whether the effect would be sustained after
the cessation of intervention. We conducted 7 years follow-up inclusive of 5
years of post intervention period of COBRA trial participants to assess the
effectiveness of the interventions on BP during extended follow-up. METHODS: A
total of 1341 individuals 40 years or older with hypertension (systolic BP 140 mm
Hg or greater, diastolic BP 90 mm Hg or greater, or already receiving treatment)
were followed by trained research staff masked to randomization status. BP was
measured thrice with a calibrated automated device (Omron HEM-737 IntelliSense)
in the sitting position after 5 minutes of rest. BP measurements were repeated
after two weeks. Generalized estimating equations (GEE) were used to analyze the
primary outcome of change in systolic BP from baseline to 7- year follow-up. The
multivariable model was adjusted for clustering, age at baseline, sex, baseline
systolic and diastolic BP, and presence of diabetes. FINDINGS: After 7 years of
follow-up, systolic BP levels among those randomised to combined HHE plus trained
GP intervention were significantly lower (2.1 [4.1-0.1] mm Hg) compared to those
randomised to usual care, (P = 0.04). Participants receiving the combined
intervention compared to usual care had a greater reduction in LDL-cholesterol
(2.7 [4.8 to 0.6] mg/dl. CONCLUSIONS: The benefit in systolic BP reduction
observed in the original cohort assigned to the combined intervention was
attenuated but still evident at 7- year follow-up. These findings highlight the
potential for scaling-up simple strategies for cardiovascular risk reduction in
low- and middle- income countries. TRIAL REGISTRATION: ClinicalTrials.gov
NCT00327574.
PMID- 26540211
TI - Effects of Nanoparticle Morphology and Acyl Chain Length on Spontaneous Lipid
Transfer Rates.
AB - We report on studies of lipid transfer rates between different morphology
nanoparticles and lipids with different length acyl chains. The lipid transfer
rate of dimyristoylphosphatidylcholine (di-C14, DMPC) in discoidal "bicelles"
(0.156 h(-1)) is 2 orders of magnitude greater than that of DMPC vesicles (ULVs)
(1.1 * 10(-3) h(-1)). For both bicellar and ULV morphologies, increasing the acyl
chain length by two carbons [going from di-C14 DMPC to di-C16,
dipalmitoylphosphatidylcholine (DPPC)] causes lipid transfer rates to decrease by
more than 2 orders of magnitude. Results from small angle neutron scattering
(SANS), differential scanning calorimetry (DSC), and fluorescence correlation
spectroscopy (FCS) are in good agreement. The present studies highlight the
importance of lipid dynamic processes taking place in different morphology
biomimetic membranes.
PMID- 26540212
TI - Development of antibiotic-loaded silk fibroin/hyaluronic acid polyelectrolyte
film coated CoCrMo alloy.
AB - Bacteria related infections are still a major problem for the implant materials.
Such infections have occurred in nearly 3% of hip and knee replacements resulting
in failure of device. There are two main approaches for inhibiting the bacterial
adhesion to the surface. These involve bactericidal substances and anti-adhesive
coatings. In this study, the efficiency of antibiotic-loaded silk
fibroin/hyaluronic acid polyelectrolyte film coated CoCrMo alloy, prepared by
means of complex coacervate and layer by layer techniques, was investigated. A
medical grade CoCrMo was coated with variable number of silk fibroin/hyaluronic
acid up to 14 layers at room temperature. The morphological evolution during and
after formation of the crystal structure on the coating layer, the resulting
surface roughness, and the corresponding alterations in the coating layer
thicknesses were thoroughly studied using various analytical techniques,
including attenuated total reflectance Fourier transform infrared spectroscopy
(ATR-FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and
atomic force microscopy (AFM). As a result, only 10 layers of silk
fibroin/hyaluronic acid complex coacervate films were found to convey the general
characteristics of the mixture of silk I and II, while layer by layer coated
samples exhibited the mixture of silk I and II. Moreover, regardless of the
preparation method applied, the surface roughness and the coating layer
thicknesses were determined to increase with the increasing number of layers. The
antibacterial test results suggested that the samples loaded with antibiotic
successfully induced a bactericidal resistance against Staphylococcus aureus
bacteria.
PMID- 26540213
TI - Impact of maternal age on delivery outcomes following spontaneous labour at term.
AB - AIM: Pregnancy in women of advancing maternal age is linked to incrementally
worsening perinatal outcome. The aim of this study is to assess the impact of
maternal age on delivery outcome in women that spontaneously labour at term.
METHODS: This was a retrospective study of women that spontaneously labour at
term. Women with singletons in spontaneous onset labour beyond 37 weeks of
gestation were divided into five maternal age groups: <19 years, 20-24 years, 25
29 years, 30-34 years and >35 years by their age at delivery. The main outcome
variables are augmentation of labour, caesarean section, assisted vaginal
delivery, and perineal trauma, while admission of the newborn into the neonatal
unit within 24 h following delivery was the secondary outcome measure. RESULTS: A
total of 30,022 met the inclusion criteria with primiparae and multiparae
accounting for 46 and 54%, respectively. Increasing age in primiparae was
associated with; augmentation of labour OR 2.05 (95% CI 1.73-2.43), second degree
perineal tear 1.35 (1.12-1.61), assisted vaginal delivery 1.92 (1.53-2.41) and
caesarean section 4.23 (3.19-5.12). While that for multiparae; augmentation of
labour OR 1.93 (1.05-3.52), perineal trauma 2.50 (1.85-3.34), assisted vaginal
delivery 4.95 (91.82-13.35) and caesarean section 1.64 (1.13-2.38). The secondary
outcome measure did not reach statistical significance. CONCLUSION: Increasing
maternal age is an independent risk factor for operative delivery, and perineal
trauma. However, maternal age has no significant effect on admission of infants
into the NICU during the first 24 h following delivery.
PMID- 26540214
TI - Fertility treatment and dichorionic discordant twins - are they related?
AB - OBJECTIVE: This prospective cohort study evaluated the relationship between
fertility treatments and the prevalence and outcomes of dichorionic discordant
twins. This issue has gained importance due to twin gestations resulting from
fertility treatments. STUDY DESIGN: Mothers of dichorionic twin pregnancies
achieved in a single fertility clinic by assisted reproduction techniques, who
had completed the first trimester of the pregnancy, were prospectively enrolled.
Pregnancies with major fetal anomalies were excluded. Data regarding concordant
and discordant twins based on the fertility treatments given to achieve the
pregnancies were analyzed to determine the prevalence of discordance and
pregnancy outcomes. RESULTS: A total of 571 dichorionic twin pregnancies were
available for evaluation. Fertility treatments modalities, sperm quality and
implantation of cultured and thawed embryos did not influence the rate and
severity of discordant twins. Increased hospitalization rates were found among
discordant (n=108) compared to concordant (n=463) twins (47.2% vs. 31.3%,
P<0.0001) and higher rates of betamethasone treatment (65.7% vs. 28.9%,
P<0.0001). Secondary analysis of discordant pregnancies with a growth restricted
twin, revealed the same results. We found no association between various
fertility treatments and twin discordance. CONCLUSIONS: Our data suggest that
fertility treatments do not play a major role in the pervasiveness and outcome of
discordant twin pregnancies.
PMID- 26540215
TI - Intertwin estimated fetal weight or crown rump length discordance and adverse
perinatal outcome.
AB - AIM: In the current review study, we present recent data regarding the importance
of intertwin estimated fetal weight (EFW) and crown rump length (CRL) discordance
for the prediction of adverse perinatal outcome both in monochorionic and in
dichorionic diamniotic gestations. RESULTS: Twins with significant weight
disparity are associated with higher rates of perinatal morbidity and mortality,
regardless of gestational age at delivery. However, there is no agreement
regarding as to the cut off value above which the perinatal outcome is
unfavorably affected and the threshold range from 10 to 30%. On the other hand,
CRL discrepancy has proved to be a weak predictor of adverse outcomes, such as
fetal or neonatal death in fetuses without chromosomal and structural
abnormalities. In clinical practice, decisions about obstetric surveillance of
discordant twin gestations, frequency of fetal sonographic monitoring and time of
delivery are usually based on amniotic fluid volume and Doppler assessments on a
weekly basis. CONCLUSION: Significant EFW discordance leads to adverse perinatal
outcome, although the cut-off value has not yet been estimated. CRL discrepancy
is not correlated well with adverse perinatal outcome. However, increased
monitoring of women with EFW and CRL discrepancy is suggested.
PMID- 26540216
TI - Maternal risk: assessment and management.
PMID- 26540217
TI - A longitudinal study of brainstem auditory response from birth to late term in
late preterm babies and abnormal findings in high-risk babies.
AB - OBJECTIVES: The objective of this study was to examine brainstem auditory
function in late preterm babies from birth to late term and detect abnormality in
high-risk babies. METHODS: A total of 125 babies born at 33-36 weeks' gestations
were studied by longitudinally recording brainstem auditory evoked response from
birth to postconceptional age (PCA) 42 weeks. The data were compared between 88
babies who had various perinatal problems (high-risk group) and 37 babies who had
no major perinatal problems (healthy group). RESULTS: As PCA increased from 33 to
42 weeks, all wave latencies and I-V interpeak interval in brainstem auditory
evoked response were decreased, while all wave amplitudes were increased in these
babies. At PCA 33-34 weeks, wave III and V latencies and I-V interval in the high
risk late preterm babies were significantly longer than those in the healthy late
preterm babies (P<0.05-0.01). At PCA 35-36 weeks, both V latency and I-V interval
were significantly longer than those in the healthy babies (P<0.05 and 0.01).
During the term period (PCA 37-42 weeks), these differences became smaller with
increasing age. The wave V latency and I-V interval in the high-risk babies were
significantly longer than those in the healthy babies at PCA 37-38 and 39-40
weeks (all P<0.05), though not significantly at PCA 41-42 weeks. The amplitudes
of waves I, III, and V all tended to be smaller than those in the healthy babies.
CONCLUSIONS: During early life, brainstem auditory function is abnormal in high
risk late preterm babies. The abnormality is most significant at early preterm,
improves with increasing age and approaches normal at late term.
PMID- 26540218
TI - Carotid intima media thickness in obese children: is there an association with
hyperlipidemia?
AB - BACKGROUND: We aimed to evaluate the association between hyperlipidemia and
carotid intima media thickness in obese children, compared to non-obese ones.
METHODS: Two hundred (110 girls, 90 boys) children aged between 6 and 15 years
participated in this study. The obese group included 53 girls, 47 boys whose body
mass indices (BMI) above 95th percentile, the control group included 57 girls, 43
boys who had normal weight. Fasting total cholesterol, triglyceride, low-density
lipoprotein cholesterol (LDL-C), high-density lipoprotein cholesterol (HDL-C)
levels were measured. Carotid intima media thickness (cIMT) was performed with
neck ultrasound. RESULTS: The median thickness of right, left and mean carotis
intima media were statistically higher in the study group, compared to the
control group. The mean cholesterol, triglyceride, HDL-C, LDL-C levels of the
study group were statistically higher, compared to the control group. There was
no relationship between BMI and left carotis intima media thickness, but there
was statistically significant relationship between BMI and right, mean cIMTs in
the study group. There was no correlation between serum lipid levels and cIMTs in
the study group. The mean atherogenic index of the study group was statistically
higher, compared to the control group. There was no statistical correlation
between atherogenic index and cIMTs in both of the groups. CONCLUSIONS: We
observed that obese children had higher carotis intima media thicknesses. Carotis
intima media thickness related to obesity can play an important role in future
pre-atherosclerotic changes. Large population based studies are required to
confirm this associaton.
PMID- 26540219
TI - The functional role of all postsynaptic potentials examined from a first-person
frame of reference.
AB - When assigning a central role to the neuronal firing, a large number of incoming
postsynaptic potentials not utilized during both supra- and subthreshold neuronal
activations are not given any functional significance. Local synaptic potentials
at the apical dendrites get attenuated as they arrive at the soma to nearly a
twentieth of what a synapse proximal to the soma produces. Conservation of these
functions necessitates searching for their functional roles. Potentials induced
at the postsynapses of neurons of all the neuronal orders activated by sensory
inputs carry small bits of sensory information. The activation of these
postsynapses by any means other than the activation from their corresponding
presynaptic terminals, that also contribute to oscillating potentials, induce the
semblance of the arrival of activity from their presynaptic terminals. This is a
candidate mechanism for inducing the first-person internal sensory elements of
various higher brain functions as a systems property. They also contribute to the
firing of subthreshold-activated neurons, including motor neurons. Operational
mechanism of inter-postsynaptic functional LINKs can provide necessary structural
requirements for these functions. The functional independence of the distal
dendritic compartment and recent evidence for in vivo dendritic spikes indicate
their independent role in the formation of internal sensory elements. In these
contexts, a neuronal soma is flanked by a large number of quasi-functional
internal sensory processing units operated using very little energy, even when a
neuron is not firing. A large number of possible combinations of internal sensory
units explains the corresponding number of specific memory retrievals by the
system in response to various cue stimuli.
PMID- 26540220
TI - The role of non-receptor protein tyrosine kinases in the excitotoxicity induced
by the overactivation of NMDA receptors.
AB - Protein tyrosine phosphorylation is one of the primary modes of regulation of N
methyl-d-aspartate (NMDA) receptors. The non-receptor tyrosine kinases are one of
the two types of protein tyrosine kinases that are involved in this process. The
overactivation of NMDA receptors is a primary reason for neuron death following
cerebral ischemia. Many studies have illustrated the important role of non
receptor tyrosine kinases in ischemia insults. This review introduces the roles
of Src, Fyn, focal adhesion kinase, and proline-rich tyrosine kinase 2 in the
excitotoxicity induced by the overactivation of NMDA receptors following cerebral
ischemia.
PMID- 26540222
TI - 1H NMR-based metabolic profiling for evaluating poppy seed rancidity and brewing.
AB - Poppy seeds are widely used in household and commercial confectionery. The aim of
this study was to demonstrate the application of metabolic profiling for
industrial monitoring of the molecular changes which occur during minced poppy
seed rancidity and brewing processes performed on raw seeds. Both forms of poppy
seeds were obtained from a confectionery company. Proton nuclear magnetic
resonance (1H NMR) was applied as the analytical method of choice together with
multivariate statistical data analysis. Metabolic fingerprinting was applied as a
bioprocess control tool to monitor rancidity with the trajectory of change and
brewing progressions. Low molecular weight compounds were found to be
statistically significant biomarkers of these bioprocesses. Changes in
concentrations of chemical compounds were explained relative to the biochemical
processes and external conditions. The obtained results provide valuable and
comprehensive information to gain a better understanding of the biology of
rancidity and brewing processes, while demonstrating the potential for applying
NMR spectroscopy combined with multivariate data analysis tools for quality
control in food industries involved in the processing of oilseeds. This precious
and versatile information gives a better understanding of the biology of these
processes.
PMID- 26540221
TI - Anti-inflammatory effects of the cannabidiol derivative dimethylheptyl
cannabidiol - studies in BV-2 microglia and encephalitogenic T cells.
AB - BACKGROUND: Dimethylheptyl-cannabidiol (DMH-CBD), a non-psychoactive, synthetic
derivative of the phytocannabinoid cannabidiol (CBD), has been reported to be
anti-inflammatory in RAW macrophages. Here, we evaluated the effects of DMH-CBD
at the transcriptional level in BV-2 microglial cells as well as on the
proliferation of encephalitogenic T cells. METHODS: BV-2 cells were pretreated
with DMH-CBD, followed by stimulation with the endotoxin lipopolysaccharide
(LPS). The expression levels of selected genes involved in stress regulation and
inflammation were determined by quantitative real-time PCR. In addition, MOG35-55
reactive T cells (TMOG) were cultured with antigen-presenting cells in the
presence of DMH-CBD and MOG35-55 peptide, and cell proliferation was determined
by measuring [3H]thymidine incorporation. RESULTS: DMH-CBD treatment
downregulated in a dose-dependent manner the mRNA expression of LPS-upregulated
pro-inflammatory genes (Il1b, Il6, and Tnf) in BV-2 microglial cells. The
expression of these genes was also downregulated by DMH-CBD in unstimulated
cells. In parallel, DMH-CBD upregulated the expression of genes related to
oxidative stress and glutathione homeostasis such as Trb3, Slc7a11/xCT, Hmox1,
Atf4, Chop, and p8 in both stimulated and unstimulated microglial cells. In
addition, DMH-CBD dose-dependently inhibited MOG35-55-induced TMOG proliferation.
CONCLUSIONS: The results show that DMH-CBD has similar anti-inflammatory
properties to those of CBD. DMH-CBD downregulates the expression of inflammatory
cytokines and protects the microglial cells by inducing an adaptive cellular
response against inflammatory stimuli and oxidative injury. In addition, DMH-CBD
decreases the proliferation of pathogenic activated TMOG cells.
PMID- 26540223
TI - In silico screening of alleged miRNAs associated with cell competition: an
emerging cellular event in cancer.
AB - Cell competition is identified as a crucial phenomenon for cancer and organ
development. There is a possibility that microRNAs (miRNAs) may play an important
role in the regulation of expression of genes involved in cell competition. In
silico screening of miRNAs is an effort to abridge, economize and expedite the
experimental approaches to identification of potential miRNAs involved in cell
competition, as no study has reported involvement of miRNAs in cell competition
to date. In this study, we used multiple screening steps as follows: (i)
selection of cell competition related genes of Drosophila through a literature
survey; (ii) homology study of selected cell competition related genes; (iii)
identification of miRNAs that target conserved cell competition-related genes
through prediction tools; (iv) sequence conservation analysis of identified
miRNAs with human genome; (v) identification of conserved cell competition miRNAs
using their expression profiles and exploration of roles of their homologous
human miRNAs. This study led to the identification of nine potential cell
competition miRNAs in the Drosophila genome. Importantly, eighteen human homologs
of these nine potential Drosophila miRNAs are well reported for their involvement
in different types of cancers. This confirms their probable involvement in cell
competition as well, because cell competition is well justified for its
involvement in cancer initiation and maintenance.
PMID- 26540224
TI - Homology arms of targeting vectors for gene insertions and CRISPR/Cas9
technology: size does not matter; quality control of targeted clones does.
AB - Clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR
associated 9 (Cas9) technology has brought rapid progress in mammalian genome
editing (adding, disrupting or changing the sequence of specific sites) by
increasing the frequency of targeted events. However, gene knock-in of DNA
cassettes by homologous recombination still remains difficult due to the
construction of targeting vectors possessing large homology arms (from 2 up to 5
kb). Here, we demonstrate that in mouse embryonic stem cells the combination of
CRISPR/Cas9 technology and targeting vectors with short homology arms (~ 0.3 kb)
provides sufficient specificity for insertion of fluorescent reporter cassettes
into endogenous genes with similar efficiency as those with large conventional
vectors. Importantly, we emphasize the necessity of thorough quality control of
recombinant clones by combination of the PCR method, Southern hybridization assay
and sequencing to exclude undesired mutations. In conclusion, our approach
facilitates programmed integration of exogenous DNA sequences at a target locus
and thus could serve as a basis for more sophisticated genome engineering
approaches, such as generation of reporters and conditional knock-out alleles.
PMID- 26540225
TI - Death domain associated protein (Daxx), a multi-functional protein.
AB - Death domain associated protein (Daxx), a multi-functional protein, plays an
important role in transcriptional regulation, cell apoptosis, carcinogenesis,
anti-virus infection and so on. However, its regulatory mechanisms for both cell
survival and apoptosis remain largely obscure. Our review of recent studies shows
that Daxx has many interesting functional dualities and can provide a reference
for further research on Daxx.
PMID- 26540226
TI - Corrigendum to: potential importance of Maackia amurensis agglutinin in non-small
cell lung cancer.
PMID- 26540227
TI - Total error vs. measurement uncertainty: revolution or evolution?
AB - The first strategic EFLM conference "Defining analytical performance goals, 15
years after the Stockholm Conference" was held in the autumn of 2014 in Milan. It
maintained the Stockholm 1999 hierarchy of performance goals but rearranged them
and established five task and finish groups to work on topics related to
analytical performance goals including one on the "total error" theory. Jim
Westgard recently wrote a comprehensive overview of performance goals and of the
total error theory critical of the results and intentions of the Milan 2014
conference. The "total error" theory originated by Jim Westgard and co-workers
has a dominating influence on the theory and practice of clinical chemistry but
is not accepted in other fields of metrology. The generally accepted uncertainty
theory, however, suffers from complex mathematics and conceived impracticability
in clinical chemistry. The pros and cons of the total error theory need to be
debated, making way for methods that can incorporate all relevant causes of
uncertainty when making medical diagnoses and monitoring treatment effects. This
development should preferably proceed not as a revolution but as an evolution.
PMID- 26540228
TI - Cumulative effect of short-term and long-term meditation practice in men and
women on psychophysiological parameters of electrophotonic imaging: a cross
sectional study.
AB - BACKGROUND: Anapanasati is one of the meditation techniques discussed in
Buddhism. In this meditation, one focuses one's attention on bodily sensations
caused by incoming and outgoing breath. This study aims to track the cumulative
effect of long-term meditators (LTM) and short-term meditators (STM) using
electrophotonic imaging (EPI). METHODS: To execute the current study, 432
subjects (264 men and 168 women with mean age of 34.36+/-6.83) were recruited
from two meditation centers. LTM had practiced for more than 60 months (mean of
months 111+/-47.20, hoursperday 1.71+/-1.20). STM had practiced meditation from 6
months to less than 60 months (mean of months 37.17+/-19.44, hoursperday 2.14+/
4.99). A cross-sectional research design was applied and data was collected using
EPI. Scatter plot and Fisher discriminant model were also used for statistical
presentation of values and interdependency of variables with length of practice
between groups. RESULTS: In both LTM and STM, lower values of stress (activation
coefficient) were found in woman meditators as compared to men. In both groups,
highly significant gender-related differences were observed in integral area
parameter, which measures the overall health of an individual. Integral entropy
(index of disorderliness of subtle energy in the body) was fluctuating in both
groups in both directions for both genders. It was increasing in LTM group and
decreasing in STM group with increasing length of practice. CONCLUSIONS: Women of
LTM and STM demonstrated lesser stress than men. Both groups showed cumulative
health-related improvement. Moreover, in gender-related analysis woman meditators
exhibited more positive improvement in EPI parameters than men.
PMID- 26540229
TI - Angular Dependence of Exchange Bias and Magnetization Reversal Controlled by
Electric-Field-Induced Competing Anisotropies.
AB - The combination of exchange-biased systems and ferroelectric materials offers a
simple and effective way to investigate the angular dependence of exchange bias
using one sample with electric-field-induced competing anisotropies. A reversible
electric-field-controlled magnetization reversal at zero magnetic field is also
realized through optimizing the anisotropy configuration, holding promising
applications for ultralow power magnetoelectric devices.
PMID- 26540230
TI - Genomics of Cancer and a New Era for Cancer Prevention.
AB - A primary justification for dedicating substantial amounts of research funding to
large-scale cancer genomics projects of both somatic and germline DNA is that the
biological insights will lead to new treatment targets and strategies for cancer
therapy. While it is too early to judge the success of these projects in terms of
clinical breakthroughs, an alternative rationale is that new genomics techniques
can be used to reduce the overall burden of cancer by prevention of new cases
occurring and also by detecting them earlier. In particular, it is now becoming
apparent that studying the genomic profile of tumors can help to identify new
carcinogens and may subsequently result in implementing strategies that limit
exposure. In parallel, it may be feasible to utilize genomic biomarkers to
identify cancers at an earlier and more treatable stage using screening or other
early detection approaches based on prediagnostic biospecimens. While the
potential for these techniques is large, their successful outcome will depend on
international collaboration and planning similar to that of recent sequencing
initiatives.
PMID- 26540231
TI - Many children aged two to five years have a persistent presence of respiratory
viruses in their nasopharynx.
PMID- 26540232
TI - Cognitive impairment and spontaneous epilepsy in rats with malformations of
cortical development.
AB - PURPOSE: To examine the cognition, spontaneous epilepsy, and
electroencephalography (EEG) characteristics of rats with malformations of
cortical development (MCD) and their use as an animal model for investigating the
pathogenesis of intractable epilepsy and screening novel antiepileptic drugs.
METHODS: An epileptic rat model of MCD was established with the F1 generation of
pregnant rats after X-irradiation with 175 cGy (Group L), 195 cGy (Group M), or
215 cGy (Group H). Long-term video-EEG monitoring was used to record the seizures
in the rats with MCD. Cognition was assessed with the Morris water maze. The EEGs
were recorded and analyzed in the frontal and parietal lobes and hippocampi of
adult rats. Finally, the brain tissues were processed for Nissl staining.
RESULTS: The model groups exhibited markedly prolonged escape latencies and
distinct decrements in the percent distance traveled in the target quadrant and
platform-crossing frequency. These findings were dose-dependent. Frequent
interictal epileptiform discharges were observed in the frontal and parietal
lobes and hippocampi of adult rats, and their incidences were markedly higher in
the model groups compared with that in the normal controls, with Group M having
the highest incidence. Spontaneous seizures were observed in the model groups
(mean incidence, 46.7%). The daily mean frequency of seizures and the incidence
of spontaneous seizures were highest in Group M. Nissl staining revealed a dose
dependent pattern of hippocampal abnormalities, cortical and subcortical nodular
heterotopia, and callosal agenesis in the model groups. CONCLUSION: The 195 cGy
dose was most appropriate for establishing an epileptic model of MCD with X
irradiation.
PMID- 26540233
TI - Facility Death Review of Maternal and Neonatal Deaths in Bangladesh.
AB - OBJECTIVES: To explore the experiences, acceptance, and effects of conducting
facility death review (FDR) of maternal and neonatal deaths and stillbirths at or
below the district level in Bangladesh. METHODS: This was a qualitative study
with healthcare providers involved in FDRs. Two districts were studied:
Thakurgaon district (a pilot district) and Jamalpur district (randomly selected
from three follow-on study districts). Data were collected between January and
November 2011. Data were collected from focus group discussions, in-depth
interviews, and document review. Hospital administrators, obstetrics and
gynecology consultants, and pediatric consultants and nurses employed in the same
departments of the respective facilities participated in the study. Content and
thematic analyses were performed. RESULTS: FDR for maternal and neonatal deaths
and stillbirths can be performed in upazila health complexes at sub-district and
district hospital levels. Senior staff nurses took responsibility for notifying
each death and conducting death reviews with the support of doctors. Doctors
reviewed the FDRs to assign causes of death. Review meetings with doctors,
nurses, and health managers at the upazila and district levels supported the
preparation of remedial action plans based on FDR findings, and interventions
were planned accordingly. There were excellent examples of improved quality of
care at facilities as a result of FDR. FDR also identified gaps and challenges to
overcome in the near future to improve maternal and newborn health. DISCUSSION:
FDR of maternal and neonatal deaths is feasible in district and upazila health
facilities. FDR not only identifies the medical causes of a maternal or neonatal
death but also explores remediable gaps and challenges in the facility. FDR
creates an enabled environment in the facility to explore medical causes of
deaths, including the gaps and challenges that influence mortality. FDRs mobilize
health managers at upazila and district levels to forward plan and improve
healthcare delivery.
PMID- 26540234
TI - Bronchial Smooth Muscle Remodeling in Nonsevere Asthma.
AB - RATIONALE: Increased bronchial smooth muscle (BSM) mass is a key feature of
airway remodeling that classically distinguishes severe from nonsevere asthma.
Proliferation of BSM cells involves a specific mitochondria-dependent pathway in
individuals with severe asthma. However, BSM remodeling and mitochondrial
biogenesis have not been examined in nonsevere asthma. OBJECTIVES: We aimed to
assess whether an increase in BSM mass was also implicated in nonsevere asthma
and its relationship with mitochondria and clinical outcomes. METHODS: We
enrolled 34 never-smoker subjects with nonsevere asthma. In addition, we
recruited 56 subjects with nonsevere asthma and 19 subjects with severe asthma as
comparative groups (COBRA cohort [Cohorte Obstruction Bronchique et Asthme;
Bronchial Obstruction and Asthma Cohort; sponsored by the French National
Institute of Health and Medical Research, INSERM]). A phenotypic characterization
was performed using questionnaires, atopy and pulmonary function testing, exhaled
nitric oxide measurement, and blood collection. Bronchial biopsy specimens were
processed for immunohistochemistry and electron microscopy analysis. After BSM
remodeling assessment, subjects were monitored over a 12-month period.
MEASUREMENTS AND MAIN RESULTS: We identified characteristic features of
remodeling (BSM area >26.6%) and increased mitochondrial number within BSM in a
subgroup of subjects with nonsevere asthma. The number of BSM mitochondria was
positively correlated with BSM area (r = 0.78; P < 0.001). Follow-up analysis
showed that subjects with asthma with high BSM had worse asthma control and a
higher rate of exacerbations per year compared with subjects with low BSM.
CONCLUSIONS: This study reveals that BSM remodeling and mitochondrial biogenesis
may play a critical role in the natural history of nonsevere asthma (Mitasthme
study). Clinical trial registered with www.clinicaltrials.gov (NCT00808730).
PMID- 26540235
TI - Electrospinning as a powerful technique for biomedical applications: a critically
selected survey.
AB - Nowadays, electrospinning has become one of the most versatile, easy, and cost
effective techniques to engineer advanced materials used for many applications,
especially in the biomedical and environmental areas. Like the numerous patents
around the world, the increasing number of papers witnesses the huge potential of
this simple process, and many companies have been emerged during the last years
to exploit its innumerable applications. This article presents a critically
selected overview of polymers that can be used to produce nanofibers, along with
the biomedical applications of the resulting electrospun scaffolds. We have
focused on about seven natural and synthetic polymers, but many more can be found
in the literature, either as their pristine state or as composites with ceramics,
metals, and other polymers. The description of some strategies for nanofiber
production, and the characterization used to evaluate their optimization, has
been discussed. Finally, several polymers have been recognized as highlights for
future work.
PMID- 26540236
TI - Molecular Characterization of Human Respiratory Syncytial Virus in the
Philippines, 2012-2013.
AB - Human respiratory syncytial virus (HRSV) is a major cause of acute lower
respiratory tract infections in infants and children worldwide. We performed
molecular analysis of HRSV among infants and children with clinical diagnosis of
severe pneumonia in four study sites in the Philippines, including Biliran,
Leyte, Palawan, and Metro Manila from June 2012 to July 2013. Nasopharyngeal
swabs were collected and screened for HRSV using real-time polymerase chain
reaction (PCR). Positive samples were tested by conventional PCR and sequenced
for the second hypervariable region (2nd HVR) of the G gene. Among a total of
1,505 samples, 423 samples were positive for HRSV (28.1%), of which 305 (72.1%)
and 118 (27.9%) were identified as HRSV-A and HRSV-B, respectively. Two genotypes
of HRSV-A, NA1 and ON1, were identified during the study period. The novel ON1
genotype with a 72-nucleotide duplication in 2nd HVR of the G gene increased
rapidly and finally became the predominant genotype in 2013 with an evolutionary
rate higher than the NA1 genotype. Moreover, in the ON1 genotype, we found
positive selection at amino acid position 274 (p<0.05) and massive O- and N
glycosylation in the 2nd HVR of the G gene. Among HRSV-B, BA9 was the predominant
genotype circulating in the Philippines. However, two sporadic cases of GB2
genotype were found, which might share a common ancestor with other Asian
strains. These findings suggest that HRSV is an important cause of severe acute
respiratory infection among children in the Philippines and revealed the
emergence and subsequent predominance of the ON1 genotype and the sporadic
detection of the GB2 genotype. Both genotypes were detected for the first time in
the Philippines.
PMID- 26540237
TI - Intra and Inter-Spore Variability in Rhizophagus irregularis AOX Gene.
AB - Arbuscular mycorrhizal fungi (AMF) are root-inhabiting fungi that form
mutualistic symbioses with their host plants. AMF symbiosis improves nutrient
uptake and buffers the plant against a diversity of stresses. Rhizophagus
irregularis is one of the most widespread AMF species in the world, and its
application in agricultural systems for yield improvement has increased over the
last years. Still, from the inoculum production perspective, a lack of
consistency of inoculum quality is referred to, which partially may be due to a
high genetic variability of the fungus. The alternative oxidase (AOX) is an
enzyme of the alternative respiratory chain already described in different taxa,
including various fungi, which decreases the damage caused by oxidative stress.
Nevertheless, virtually nothing is known on the involvement of AMF AOX on
symbiosis establishment, as well on the existence of AOX variability that could
affect AMF effectiveness and consequently plant performance. Here, we report the
isolation and characterisation of the AOX gene of R. irregularis (RiAOX), and
show that it is highly expressed during early phases of the symbiosis with plant
roots. Phylogenetic analysis clustered RiAOX sequence with ancient fungi, and
multiple sequence alignment revealed the lack of several regulatory motifs which
are present in plant AOX. The analysis of RiAOX polymorphisms in single spores of
three different isolates showed a reduced variability in one spore relatively to
a group of spores. A high number of polymorphisms occurred in introns;
nevertheless, some putative amino acid changes resulting from non-synonymous
variants were found, offering a basis for selective pressure to occur within the
populations. Given the AOX relatedness with stress responses, differences in gene
variants amongst R. irregularis isolates are likely to be related with its origin
and environmental constraints and might have a potential impact on inoculum
production.
PMID- 26540238
TI - Challenges in Patient Recruitment, Implementation, and Fidelity in a Mobile
Telehealth Study.
AB - INTRODUCTION: Mobile telehealth (MTH) evaluations in diabetes have been
conducted, but few report details and issues related to recruitment,
implementation (intervention delivery), fidelity, and context. These have
important implications on the interpretation of the findings and effectiveness of
the intervention. This article reports these data from an MTH study and describes
the challenges experienced in running an intervention such as this in an active
clinical environment. MATERIALS AND METHODS: We conducted a mixed-methods MTH
study that included a 9-month randomized controlled trial in people with poorly
controlled diabetes. Detailed recruitment data were recorded during the study.
Data on contacts between MTH participants and the MTH team were collected and
used to report on intervention delivery and fidelity. Meeting and field notes, as
well as communications between research team members during the study, were used
to report on the contextual factors that affected recruitment, implementation,
and fidelity. RESULTS: The recruited sample size represented 6% of the total
clinic population (n = 1,360) and 10.7% of the number of potentially eligible
people at the clinic (n = 802) identified at the beginning of the study.
Contextual factors related to patients, healthcare providers, the institution, or
the recruitment protocol contributed to influence access to study participants
and the number of participants randomized (n = 81). Technical and device-related
aspects of the MTH intervention were delivered successfully, but the expected
education and clinical feedback by the MTH nurse were not delivered according to
the protocol. Although 92.5% of introductory calls were made by the MTH nurses,
only 13.3% of expected educational calls were performed. Changes to the MTH
nursing staff affected intervention participants differently and contributed to
the low fidelity of intervention delivery. CONCLUSIONS: The current article
presents data on the influence of contextual factors on the conduct of this MTH
study and underlines the need for these processes to be assessed and reported
adequately in future MTH research.
PMID- 26540240
TI - The natural history of small bowel angiodysplasia.
AB - BACKGROUND: Small bowel angiodysplasias (SBA) account for 50% of obscure
gastrointestinal bleeding. Lesions bleed recurrently and current treatments are
relatively ineffective at reducing re-bleeding. Little is known about the natural
history of SBA which is needed to guide treatment decisions and counsel patients
on prognosis. AIM: The aim of this study is to describe the natural history of a
cohort of patients with SBA. METHODS: Patients with SBA were identified
retrospectively and clinical and outcome information were collected. Logistic
regression analysis was performed to identify factors associated with re
bleeding. RESULTS: SBAs were found in 86 patients of which 54% (n = 47) were
female, and the average age was 71.6 years. The majority (69%) had multiple
lesions, mean of 2.76/patient, and 65% were located in the jejunum. Follow-up was
available in 65% (n = 56). There was a significant increase in haemoglobin level
from 10.05g/dL to 11.94g/dL, p < 0.001 after mean follow up of 31.9 (6-62)
months. Re-bleeding events occurred in 80% (n = 45), with an average of
2.91/person. The mean interval between diagnosis and the first re-bleeding event
was 10.7 months. Of the group overall, 70% (n = 40) required transfusions during
follow up, and 67% required hospitalisation due to re-bleeding. About 50%
received a directed treatment, including argon plasma coagulation, somatostatin
analogues, or surgical resection. A total of 3.5% (n = 2) died as a direct
consequence of bleeding from SBAs. Multiple lesions (p = 0.048) and valvular
heart disease (p = 0.034) were predictive of re-bleeding. CONCLUSION: Our results
show the significant impact of SBA on patients' morbidity, with high rates of re
bleeding, persistent anaemia and a mortality rate of 3.5%, despite the use of
currently available medical and endoscopic therapies.
PMID- 26540239
TI - Resting-State fMRI Functional Connectivity Is Associated with Sleepiness,
Imagery, and Discontinuity of Mind.
AB - Resting-state functional magnetic resonance imaging (rs-fMRI) is widely used to
investigate the functional architecture of the healthy human brain and how it is
affected by learning, lifelong development, brain disorders or pharmacological
intervention. Non-sensory experiences are prevalent during rest and must arise
from ongoing brain activity, yet little is known about this relationship. Here,
we used two runs of rs-fMRI both immediately followed by the Amsterdam Resting
State Questionnaire (ARSQ) to investigate the relationship between functional
connectivity within ten large-scale functional brain networks and ten dimensions
of thoughts and feelings experienced during the scan in 106 healthy participants.
We identified 11 positive associations between brain-network functional
connectivity and ARSQ dimensions. 'Sleepiness' exhibited significant associations
with functional connectivity within Visual, Sensorimotor and Default Mode
networks. Similar associations were observed for 'Visual Thought' and
'Discontinuity of Mind', which may relate to variation in imagery and thought
control mediated by arousal fluctuations. Our findings show that self-reports of
thoughts and feelings experienced during a rs-fMRI scan help understand the
functional significance of variations in functional connectivity, which should be
of special relevance to clinical studies.
PMID- 26540241
TI - Bisphosphonate-Induced Orbital Inflammation: A Case Series and Review.
AB - INTRODUCTION: To present a series of patients with bisphosphonate induced orbital
inflammation, and to review the clinical presentation, radiological features,
treatment options and outcomes. METHODS: We present a multicentre, retrospective
case series review of patients with a clinico-radiological diagnosis of
bisphosphonate induced orbital inflammation and review all the reported cases of
this complication in the literature. RESULTS: Four new patients with
bisphosphonate induced orbital inflammation were added to the 25 cases in the
literature. Intravenous zoledronate was the commonest precipitant (22/29, 75.9%)
and inflammation occurred 1-28 (mean 3) days post-infusion. Orbital imaging
identified orbital inflammation in 22/29 cases and extra-ocular muscle
enlargement in 8/29. Five patients presented with reduced vision of which one -
with anterior ischaemic optic neuropathy - did not resolve. The vision resolved
in all except one patient, with most requiring steroid treatment. CONCLUSIONS:
Bisphosphonates have a pro-inflammatory effect, which can precipitate orbital
inflammation. This rare, but potentially serious complication of bisphosphonate
treatment should be considered by clinicians using bisphosphonate treatment and
by ophthalmologists seeing patients with orbital inflammatory disease.
PMID- 26540242
TI - Intensity of delivery room resuscitation and neonatal outcomes in infants born at
33 to 36 weeks' gestation.
AB - OBJECTIVE: Examine the relationship between delivery room resuscitation intensity
and mortality, morbidities and resource use in late preterm infants. STUDY
DESIGN: Retrospective cohort study of inborn infants born at 33 to 36 weeks'
gestation and admitted to Canadian neonatal intensive care units during 2010 to
2013. The 13 619 infants were grouped according to delivery room resuscitation
intensity: no or minimal resuscitation (64.5%); continuous positive airway
pressure (10.2%); bag-mask ventilation (21.7%); endotracheal intubation (3.1%);
and cardiopulmonary resuscitation (CPR) (0.6%). RESULTS: Overall mortality, early
mortality, respiratory distress, pneumothorax, late-onset sepsis and resource use
increased with higher intensity resuscitation. Compared with no or minimal
resuscitation, intubation and CPR were associated with increased odds of
mortality (adjusted odds ratio (95% confidence interval): 50 (20 to 125) and 180
(63 to 518), respectively). CONCLUSIONS: Intubation or higher intensity delivery
room resuscitation is associated with increased mortality, morbidities and
resource use in late preterm infants. Extra intensive care is required for such
infants, especially during the first week of life.
PMID- 26540243
TI - Delivery modes and pregnancy outcomes of low birth weight infants in China.
AB - OBJECTIVE: To investigate and analyze the perinatal outcomes of low birth weight
(LBW) infants, thereby selecting the appropriate mode and suitable time of
delivery to improve the adverse pregnancy outcomes. STUDY DESIGN: A retrospective
analysis of 112,441 deliveries (from 39 hospitals of different levels in 14
provinces and autonomous regions in China throughout 2011) were performed in this
study to further evaluate the modes of delivery and pregnancy outcomes of LBW
infants. RESULTS: The rate of cesarean section, stillbirth, neonatal asphyxia and
mortality of LBW were significantly higher than those of normal birth weight
(NBW) infants (odds ratio, 1.24, 56.56, 57.27 and 10.40 times higher,
respectively). Stratified analysis showed that adverse events were reduced with
the increase in gestational weeks, especially at 34 to 36(+6) weeks. However, LBW
infants still had higher risks of adverse events as compared with NBW infants. In
particular, full-term LBW babies had a 23.81- and 26.06-fold higher risk of
stillbirth and neonatal death as compared with term babies with NBW. In addition,
the cesarean delivery rate was 1.24-fold higher for LBW babies than for NBW
babies. With an increase in gestational age in LBW infants, the rate of cesarean
section was also increased. The rates of stillbirth and neonatal mortality of
full-term LBW infants who were delivered via cesarean section (0.5% and 1.0%,
respectively) were significantly lower than in the vaginal-delivery group (5.2%
and 6.9%, respectively). CONCLUSION: LBW is one of the causes of perinatal death
and other adverse pregnancy outcomes and increases the rate of cesarean section.
Individualized analysis according to gestational age and intrauterine fetal
condition should be performed to extend the gestational age to at least 34 weeks
before delivery, cesarean section is a relatively safe mode of delivery, but
cannot completely eliminate complications. The key to improving mother and child
outcomes is to strengthen pregnancy care and reduce low birth weight infants and
premature birth. LBW is one of the causes of adverse pregnancy outcomes in both
premature and full-term infants and increases the rate of cesarean section.
Individualized analysis of the mode of delivery should be performed to extend the
gestational age to 34 weeks and so improve the survival rate.
PMID- 26540244
TI - Failed endotracheal intubation and adverse outcomes among extremely low birth
weight infants.
AB - OBJECTIVE: To quantify the importance of successful endotracheal intubation on
the first attempt among extremely low birth weight (ELBW) infants who require
resuscitation after delivery. STUDY DESIGN: A retrospective chart review was
conducted for all ELBW infants ?1000 g born between January 2007 and May 2014 at
a level IV neonatal intensive care unit. Infants were included if intubation was
attempted during the first 5 min of life or if intubation was attempted during
the first 10 min of life with heart rate <100. The primary outcome was death or
neurodevelopmental impairment. The association between successful intubation on
the first attempt and the primary outcome was assessed using multivariable
logistic regression with adjustment for birth weight, gestational age, gender and
antenatal steroids. RESULTS: The study sample included 88 ELBW infants. Forty
percent were intubated on the first attempt and 60% required multiple intubation
attempts. Death or neurodevelopmental impairment occurred in 29% of infants
intubated on the first attempt, compared with 53% of infants that required
multiple attempts, adjusted odds ratio 0.4 (95% confidence interval 0.1 to 1.0),
P<0.05. CONCLUSION: Successful intubation on the first attempt is associated with
improved neurodevelopmental outcomes among ELBW infants. This study confirms the
importance of rapid establishment of a stable airway in ELBW infants requiring
resuscitation after birth and has implications for personnel selection and role
assignment in the delivery room.
PMID- 26540245
TI - Milk production after preterm, late preterm and term delivery; effects of
different breast pump suction patterns.
AB - OBJECTIVE: This study aimed to compare the effectiveness of two different breast
pump suction patterns (BPSP) during the initiation of lactation in mothers of
term, late preterm and preterm infants. METHODS: Breast pump-dependent mothers
(n=130) of term (n=19), late preterm (n=44) and preterm (n=67) infants were
assigned to either a standard or irregular-BPSP after birth until the onset of
secretory activation. Both groups used the same standard maintenance BPSP
thereafter. Time to secretory activation, time to full milk production and daily
milk output were compared between the standard and I-BPSP groups, and between the
term, late preterm and preterm groups. RESULTS: Mothers using the irregular-BPSP
demonstrated significantly greater daily milk output and established secretory
activation significantly earlier. This effect was observed in mothers of term,
late preterm and preterm infants. CONCLUSION: The irregular-BPSP mimicking
sucking of healthy newborns is more effective at achieving secretory activation
and an earlier adequate milk supply than the standard-BPSP. The irregular-BPSP
can be used successfully for mothers of preterm up to term infants who are breast
pump dependent during the establishment of lactation.
PMID- 26540246
TI - Diminished growth and lower adiposity in hyperglycemic very low birth weight
neonates at 4 months corrected age.
AB - OBJECTIVE: Characterize the relationship between neonatal hyperglycemia and
growth and body composition at 4 months corrected age (CA) in very low birth
weight (VLBW) preterm infants. STUDY DESIGN: A prospective study of VLBW
appropriate-for-gestation infants (N=53). All blood glucose measurements in the
first 14 days and nutritional intake and illness markers until discharge were
recorded. Standard anthropometrics and body composition via air displacement
plethysmography were measured near term CA and 4 months CA. Relationships between
hyperglycemia and anthropometrics and body composition were examined using
multivariate linear regression. RESULTS: Infants with >5 days of hyperglycemia
were lighter (5345 vs 6455 g, P?0.001), shorter (57.9 vs 60.9 cm, P?0.01), had
smaller occipital-frontal head circumference (39.4 vs 42.0 cm, P?0.05) and were
leaner (percent body fat 15.0 vs 23.8, P?0.01) at 4 months CA than those who did
not have hyperglycemia, including after correcting for nutritional and illness
factors. CONCLUSIONS: Neonatal hyperglycemia in VLBW infants is associated with
decreased body size and lower adiposity at 4 months CA independent of nutritional
deficit, insulin use and illness. Downregulation of the growth hormone axis may
be responsible. These changes may influence long-term growth and cognitive
development.
PMID- 26540247
TI - The impact of inadequate gestational weight gain in obese diabetic women.
AB - OBJECTIVE: To determine the effect of inadequate gestational weight gain (GWG) on
neonatal birth weight in diabetic obese women. STUDY DESIGN: Retrospective cohort
study of women with an initial body mass index (BMI) ?30 kg m(-2) and gestational
or type 2 diabetes was conducted. GWG was stratified: inadequate (<11 lbs),
adequate (11 to 20 lbs) or excessive (>20 lbs). The primary outcome was birth
weight. Secondary outcomes included hypertensive disorders, gestational age at
delivery, mode of delivery and Apgar scores. RESULT: A total of 211 obese
diabetic women were identified. Of those, 37% had inadequate GWG, 25% had
adequate GWG and 38% had excessive GWG. Women with inadequate GWG had lower mean
birth weights (P=0.048), as well as lower rates of cesarean delivery (P=0.017)
and lower rates of pregnancy-related hypertensive disorders (P=0.026) compared
with those with adequate and excessive GWG. CONCLUSION: Inadequate GWG was
associated lower mean birth weights, lower rates of cesarean delivery and lower
rates of pregnancy-related hypertensive disorders.
PMID- 26540248
TI - Effect of community-based newborn care on cause-specific neonatal mortality in
Sylhet district, Bangladesh: findings of a cluster-randomized controlled trial.
AB - OBJECTIVES: Community-based maternal and newborn intervention packages have been
shown to reduce neonatal mortality in resource-constrained settings. This
analysis uses data from a large community-based cluster-randomized trial to
assess the impact of a community-based package on cause-specific neonatal
mortality and draws programmatic and policy implications. In addition, the study
shows that cause-specific mortality estimates vary substantially based on the
hierarchy used in assigning cause of death, which also has important implications
for program planning. Therefore, understanding the methods of assigning causes of
deaths is important, as is the development of new methodologies that account for
multiple causes of death. The objective of this study was to estimate the effect
of two service delivery strategies (home care and community care) for a community
based package of maternal and neonatal health interventions on cause-specific
neonatal mortality rates in a rural district of Bangladesh. STUDY DESIGN: Within
the general community of the Sylhet district in rural northeast Bangladesh.
Pregnancy histories were collected from a sample of women in the study area
during the year preceding the study (2002) and from all women who reported a
pregnancy outcome during the intervention in years 2004 to 2005. All families
that reported a neonatal death during these time periods were asked to complete a
verbal autopsy interview. Expert algorithms with two different hierarchies were
used to assign causes of neonatal death, varying in placement of the preterm/low
birth weight category within the hierarchy (either third or last). The main
outcome measure was cause-specific neonatal mortality. RESULT: Deaths because of
serious infections in the home-care arm declined from 13.6 deaths per 1000 live
births during the baseline period to 7.2 during the intervention period according
to the first hierarchy (preterm placed third) and from 23.6 to 10.6 according to
the second hierarchy (preterm placed last). CONCLUSION: This study confirms the
high burden of neonatal deaths because of infection in low resource rural
settings like Bangladesh, where most births occur at home in the absence of
skilled birth attendance and care seeking for newborn illnesses is low. The study
demonstrates that a package of community-based neonatal health interventions,
focusing primarily on infection prevention and management, can substantially
reduce infection-related neonatal mortality.
PMID- 26540249
TI - Pulse oximetry screening for critical congenital heart disease in the neonatal
intensive care unit.
AB - OBJECTIVE: Pulse oximetry screening (POS) is an effective tool to detect critical
congenital heart disease (CCHD) in asymptomatic term infants, but its value in
the neonatal intensive care unit (NICU) requires further clarification. STUDY
DESIGN: A retrospective review of 1005 babies without previously diagnosed CCHD
admitted to a level III NICU was performed to assess the risk for missed CCHD and
performance of POS. RESULT: Of the 1005 NICU patients, 812 had documented POS and
none failed POS. In 812 patients, 547 had delayed POS because of the use of
supplemental oxygen. In 259/812 patients, POS was delayed until the baby was >2
weeks old. CCHD was excluded by echocardiography, irrespective of POS, in
287/1005 patients. CONCLUSION: POS can be performed in the NICU with minimal
adverse effects. However, in many NICU patients CCHD is confirmed or excluded
before POS, and POS will frequently be performed after CCHD would have been
expected to become symptomatic.
PMID- 26540250
TI - Increased monocytes and bands following a red blood cell transfusion.
AB - OBJECTIVE: The objective of this study is to analyze the white blood cell changes
that occur after a transfusion of red blood cells in order to identify a
subclinical inflammatory response in neonates. STUDY DESIGN: Retrospective
analysis of infants who received a red blood cell transfusion in an intensive
care nursery. White blood cell results within 24 h pre- to 48 h post-transfusion
were collected and analyzed. Statistical analysis included ANOVA, T-test, Mann
Whitney U test, Pearson's correlation and multivariable linear regression.
RESULT: Monocytes (P=0.02) and bands (P=0.035) were increased post-transfusion.
There were no differences in monocytes (P=0.46) or bands (P=0.56) between groups
who did or did not have blood cultures obtained. There was no difference in
monocytes between groups who did or did not have sepsis (P=0.88). CONCLUSION: We
identified an elevation in monocytes and bands in the 48 h following a
transfusion in premature infants. Our findings support a possible pro
inflammatory response related to transfusion of red blood cells.
PMID- 26540251
TI - A Review of the Ingredients Contained in Over the Counter (OTC) Cough Syrup
Formulations in Kenya. Are They Harmful to Infants?
AB - BACKGROUND: Cough syrups are widely used in the developing world, but safety of
their use in infants and children less than two years has not been well
documented. Some syrups contain multiple combinations of such drugs as
promethazine, diphenhydramine and ephedrine; which are individually now
contraindicated in children less than two years. Despite this, the syrups are
available as over the counter drugs and may be dispensed to mothers who are
unaware of the potentially hazardous effects to their infants. A descriptive
cross-sectional study was used to investigate suitability of cough syrups sold
within Eldoret municipality for use in children less than two years of age based
on their formulations and available literature. METHODS: Two semi-structured
questionnaires were administered to pharmacy attendants and mothers attending
sick child clinic at a referral hospital to establish whether cough syrups
containing more than one active ingredient of compounds, now contraindicated in
children are administered to infants, and awareness of potential serious adverse
effects. Data from labeled contents of cough syrups from retail pharmacies was
recorded and corroborated with information from literature to determine those
deemed to contain the ingredients. The second questionnaire was administered to
mothers with children less than two years to ascertain whether they had used the
identified syrups. A total of 260 mothers and 55 pharmacy attendants were
interviewed. RESULTS: There was widespread use of the syrups in children,
including infants, with 192 (74%) of the respondents having used identified
syrups and over 90% of these on children less than 2 years including those less
than three months.146 (76%) mothers had administered the syrup at double the
recommended dose. CONCLUSION: The regulatory authorities should make concerted
efforts to discourage use of cough syrups containing ingredients that pose
adverse events to infants, including campaigns to educate pharmacy workers and
mothers.
PMID- 26540253
TI - High Strain Rate Tensile Testing of Silver Nanowires: Rate-Dependent Brittle-to
Ductile Transition.
AB - The characterization of nanomaterials under high strain rates is critical to
understand their suitability for dynamic applications such as nanoresonators and
nanoswitches. It is also of great theoretical importance to explore nanomechanics
with dynamic and rate effects. Here, we report in situ scanning electron
microscope (SEM) tensile testing of bicrystalline silver nanowires at strain
rates up to 2/s, which is 2 orders of magnitude higher than previously reported
in the literature. The experiments are enabled by a microelectromechanical system
(MEMS) with fast response time. It was identified that the nanowire plastic
deformation has a small activation volume (<10b(3)), suggesting dislocation
nucleation as the rate controlling mechanism. Also, a remarkable brittle-to
ductile failure mode transition was observed at a threshold strain rate of 0.2/s.
Transmission electron microscopy (TEM) revealed that along the nanowire,
dislocation density and spatial distribution of plastic regions increase with
increasing strain rate. Furthermore, molecular dynamic (MD) simulations show that
deformation mechanisms such as grain boundary migration and dislocation
interactions are responsible for such ductility. Finally, the MD and experimental
results were interpreted using dislocation nucleation theory. The predicted yield
stress values are in agreement with the experimental results for strain rates
above 0.2/s when ductility is pronounced. At low strain rates, random
imperfections on the nanowire surface trigger localized plasticity, leading to a
brittle-like failure.
PMID- 26540252
TI - Compensatory Response by Late Embryonic Tubular Epithelium to the Reduction in
Pancreatic Progenitors.
AB - Early in pancreatic development, epithelial cells of pancreatic buds function as
primary multipotent progenitor cells (1 degrees MPC) that specify all three
pancreatic cell lineages, i.e., endocrine, acinar and duct. Bipotent "Trunk"
progenitors derived from 1 degrees MPC are implicated in directly regulating the
specification of endocrine progenitors. It is unclear if this specification
process is initiated in the 1 degrees MPC where some 1 degrees MPC become
competent for later specification of endocrine progenitors. Previously we
reported that in Pdx1tTA/+;tetOMafA (bigenic) mice inducing expression of
transcription factor MafA in Pdx1-expressing (Pdx1+) cells throughout embryonic
development inhibited the proliferation and differentiation of 1 degrees MPC
cells, resulting in reduced pancreatic mass and endocrine cells by embryonic day
(E) 17.5. Induction of the transgene only until E12.5 in Pdx1+ 1 degrees MPC was
sufficient for this inhibition of endocrine cells and pancreatic mass at E17.5.
However, by birth (P0), as we now report, such bigenic pups had significantly
increased pancreatic and endocrine volumes with endocrine clusters containing all
pancreatic endocrine cell types. The increase in endocrine cells resulted from a
higher proliferation of tubular epithelial cells expressing the progenitor marker
Glut2 in E17.5 bigenic embryos and increased number of Neurog3-expressing cells
at E19.5. A BrdU-labeling study demonstrated that inhibiting proliferation of 1
degrees MPC by forced MafA-expression did not lead to retention of those
progenitors in E17.5 tubular epithelium. Our data suggest that the forced MafA
expression in the 1 degrees MPC inhibits their competency to specify endocrine
progenitors only until E17.5, and after that compensatory proliferation of
tubular epithelium gives rise to a distinct pool of endocrine progenitors. Thus,
these bigenic mice provide a novel way to characterize the competency of 1
degrees MPC for their ability to specify endocrine progenitors, a critical
limitation in our understanding of endocrine differentiation.
PMID- 26540254
TI - Salmonella Prevalence and Antimicrobial Susceptibility from the National Animal
Health Monitoring System Sheep 2011 Study.
AB - Salmonella is a major cause of foodborne illness and can cause clinical disease
in animals. Understanding the on-farm ecology of Salmonella will be helpful in
decreasing the risk of foodborne transmission. An objective of this study was to
determine the prevalence of Salmonella among fecal samples collected on sheep
operations in the United States. Another objective was to compare the use of
composite fecal samples with fecal samples collected from individual sheep as a
tool for screening sheep flocks for Salmonella. Sheep fecal samples (individual
and composite) were collected on operations in 22 states. Salmonella isolates
were characterized with regard to species, serotype, and antimicrobial
susceptibility profile. Most operations (72.1%) had at least one positive sample
and overall 26.9% of samples were positive. The percentage of positive samples
varied by animal age class. Composite and individual samples gave similar
results. The majority of the isolates (94%) were Salmonella enterica subspecies
diarizonae serotype 61:-:1,5,7. Nearly all of the isolates (91.2%) tested for
antimicrobial susceptibility were susceptible to all antimicrobials in the panel.
The findings suggest that salmonellae typically associated with foodborne disease
transmission are infrequently found on sheep operations in the United States.
PMID- 26540255
TI - Members of the RAD52 Epistasis Group Contribute to Mitochondrial Homologous
Recombination and Double-Strand Break Repair in Saccharomyces cerevisiae.
AB - Mitochondria contain an independently maintained genome that encodes several
proteins required for cellular respiration. Deletions in the mitochondrial genome
have been identified that cause several maternally inherited diseases and are
associated with certain cancers and neurological disorders. The majority of these
deletions in human cells are flanked by short, repetitive sequences, suggesting
that these deletions may result from recombination events. Our current
understanding of the maintenance and repair of mtDNA is quite limited compared to
our understanding of similar events in the nucleus. Many nuclear DNA repair
proteins are now known to also localize to mitochondria, but their function and
the mechanism of their action remain largely unknown. This study investigated the
contribution of the nuclear double-strand break repair (DSBR) proteins Rad51p,
Rad52p and Rad59p in mtDNA repair. We have determined that both Rad51p and Rad59p
are localized to the matrix of the mitochondria and that Rad51p binds directly to
mitochondrial DNA. In addition, a mitochondrially-targeted restriction
endonuclease (mtLS-KpnI) was used to produce a unique double-strand break (DSB)
in the mitochondrial genome, which allowed direct analysis of DSB repair in vivo
in Saccharomyces cerevisiae. We find that loss of these three proteins
significantly decreases the rate of spontaneous deletion events and the loss of
Rad51p and Rad59p impairs the repair of induced mtDNA DSBs.
PMID- 26540256
TI - An improved optical flow tracking technique for real-time MR-guided beam
therapies in moving organs.
AB - Magnetic resonance (MR) guided high intensity focused ultrasound and external
beam radiotherapy interventions, which we shall refer to as beam
therapies/interventions, are promising techniques for the non-invasive ablation
of tumours in abdominal organs. However, therapeutic energy delivery in these
areas becomes challenging due to the continuous displacement of the organs with
respiration. Previous studies have addressed this problem by coupling high
framerate MR-imaging with a tracking technique based on the algorithm proposed by
Horn and Schunck (H and S), which was chosen due to its fast convergence rate and
highly parallelisable numerical scheme. Such characteristics were shown to be
indispensable for the real-time guidance of beam therapies. In its original form,
however, the algorithm is sensitive to local grey-level intensity variations not
attributed to motion such as those that occur, for example, in the proximity of
pulsating arteries.In this study, an improved motion estimation strategy which
reduces the impact of such effects is proposed. Displacements are estimated
through the minimisation of a variation of the H and S functional for which the
quadratic data fidelity term was replaced with a term based on the linear
L(1)norm, resulting in what we have called an L(2)-L(1) functional.The proposed
method was tested in the livers and kidneys of two healthy volunteers under free
breathing conditions, on a data set comprising 3000 images equally divided
between the volunteers. The results show that, compared to the existing
approaches, our method demonstrates a greater robustness to local grey-level
intensity variations introduced by arterial pulsations. Additionally, the
computational time required by our implementation make it compatible with the
work-flow of real-time MR-guided beam interventions.To the best of our knowledge
this study was the first to analyse the behaviour of an L(1)-based optical flow
functional in an applicative context: real-time MR-guidance of beam therapies in
moving organs.
PMID- 26540258
TI - (135)Cs/(137)Cs isotopic composition of environmental samples across Europe:
Environmental transport and source term emission applications.
AB - (135)Cs/(137)Cs isotopic analyses represent an important tool for studying the
fate and transport of radiocesium in the environment; in this work the
(135)Cs/(137)Cs isotopic composition in environmental samples taken from across
Europe is reported. Surface soil and vegetation samples from western Russia,
Ukraine, Austria, and Hungary show consistent aged thermal fission product
(135)Cs/(137)Cs isotope ratios of 0.58 +/- 0.01 (age corrected to 1/1/15), with
the exception of one sample of soil-moss from Hungary which shows an elevated
(135)Cs/(137)Cs ratio of 1.78 +/- 0.12. With the exception of the outlier sample
from Hungary, surface soil/vegetation data are in quantitative agreement with
values previously reported for soils within the Chernobyl exclusion zone,
suggesting that radiocesium at these locations is primarily composed of
homogenous airborne deposition from Chernobyl. Seawater samples taken from the
Irish Sea show (135)Cs/(137)Cs isotope ratios of 1.22 +/- 0.11 (age corrected to
1/1/15), suggesting aged thermal fission product Cs discharged from Sellafield.
The differences in (135)Cs/(137)Cs isotope ratios between Sellafield, Chernobyl,
and global nuclear weapons testing fallout indicate that (135)Cs/(137)Cs isotope
ratios can be utilized to discriminate between and track radiocesium transport
from different nuclear production source terms, including major emission sources
in Europe.
PMID- 26540257
TI - The complete mitochondrial genome of Garra kempi (Teleostei, Cyprinidae, Garra).
AB - Garra kempi, a small-sized economic fish, is mainly distributed in Tibet of
China. In this study, we successfully sequenced the first mitochondrial genome of
G. kempi. The mitogenome is 17 104 bp in length, containing 13 protein-coding
genes, two rRNA genes, 22 tRNA genes, and 2 control regions (D-loop). Unlike the
typical mitochondrial genome organization in vertebrate, the tRNAPro gene of G.
kempi is located between two control regions, and a 246 bp repeat unit is
identified in the second control region. In this paper, we utilized 12 protein
coding genes of G. kempi and other 10 closely species to construct the species
phylogenetic tree to verify the accuracy of G. kempi.
PMID- 26540259
TI - Fluctuations in Pigment Epithelial Detachment and Retinal Fluid Using a Bimonthly
Treatment Regimen with Aflibercept for Neovascular Age-Related Macular
Degeneration.
AB - PURPOSE: To assess the effect of a bimonthly treatment regimen with intravitreal
aflibercept on retinal fluid and pigment epithelial detachment (PED) in patients
with neovascular age-related macular degeneration (AMD). METHODS: Twenty-six
treatment-naive eyes of 26 patients with choroidal neovascularisation secondary
to AMD were included. The patients received three initial monthly (mean 30 days)
intravitreal injections of aflibercept followed by a bimonthly (mean 62 days)
fixed regimen for a total of 1 year. Best-corrected visual acuity (BCVA) and
optical coherence tomography (OCT) measurements were recorded at monthly
intervals. In addition, the presence of intraretinal fluid (IRF) or subretinal
fluid (SRF) or a combination of both as well as serous and fibrovascular PEDs
were assessed. RESULTS: The mean patient age was 80 years (range 54-93). There
were 14 male and 12 female patients. The mean gain in BCVA at 1 year was 9.3
letters (SEM +/-3) with a mean reduction of the central retinal thickness of 154
um (SEM +/-50). After 3 monthly injections of aflibercept, there was resolution
of IRF and SRF in 80% of the treated eyes; the amount of fluid increased at
months 4, 6 and 8 with troughs in between. Whereas fibrovascular PEDs remained
stable after the loading phase, serous PEDs displayed a seesaw pattern. Patients
without retinal pigment epithelium (RPE) atrophy at the end of the 1-year period
had significantly better BCVA compared to patients with RPE atrophy (p = 0.03).
CONCLUSION: Despite significant overall BCVA gain, bimonthly intervals seem
insufficient to maintain the morphological improvements after the initial loading
dose with intravitreal aflibercept.
PMID- 26540261
TI - Effects of Oral Contraceptive Use on Anterior Cruciate Ligament Injury
Epidemiology.
AB - INTRODUCTION/PURPOSE: Anterior cruciate ligament injuries often incur major
consequences for athletes. Elevated estrogen levels are likely increase the risk
for injury. This risk may be partially or fully mitigated by the use of oral
contraceptives. The purpose of this study was to determine if women undergoing
anterior cruciate ligament surgical reconstruction were less likely to use oral
contraceptives than matched noninjured population. METHODS: This is a case
control study utilizing national insurance claims data from 2002 TO 2012.
Participants included women age 15-39 yr. Cases were defined as those receiving
surgical reconstruction of the anterior cruciate ligament between 2002 and 2012.
Controls were matched 3/1 to cases. Exposure to oral contraceptives was defined
as the presence of any prescription fill for oral contraceptives during the
previous 12 months to index date. Conditional multivariate logistic regression
was used to estimate adjusted odds ratios for the use of oral contraceptives.
RESULTS: Women age 15-19 yr undergoing surgical repair of the anterior cruciate
ligament were 18% less likely to use oral contraceptives than matched controls
(adjusted odds ratio, 0.82; 95% CI, 0.75-0.91; P < 0.0001). Cases among two older
age groups, 25-29 and 30-34 yr, were more likely to use oral contraceptives than
controls with adjusted odds ratios of 1.15 (95% CI, 1.02-1.30; P < 0.05) and 1.16
(95% CI, 1.04-1.31; P < 0.05), respectively. CONCLUSIONS: The use of oral
contraceptives potentially modifies anterior cruciate ligament injury risk in
young women. Despite reports that athletes, who are more prone to anterior
cruciate ligament injury, use oral contraceptives at about twice the rate of
nonathletes, these data suggest that women ages 15-19 yr undergoing anterior
cruciate ligament reconstruction used oral contraceptives at a lower rate than
the general population.
PMID- 26540260
TI - Emergence and Characterization of Unusual DS-1-Like G1P[8] Rotavirus Strains in
Children with Diarrhea in Thailand.
AB - The emergence and rapid spread of unusual DS-1-like G1P[8] rotaviruses in Japan
have been recently reported. During rotavirus surveillance in Thailand, three DS
1-like G1P[8] strains (RVA/Human-wt/THA/PCB-180/2013/G1P[8], RVA/Human-wt/THA/SKT
109/2013/G1P[8], and RVA/Human-wt/THA/SSKT-41/2013/G1P[8]) were identified in
stool specimens from hospitalized children with severe diarrhea. In this study,
we sequenced and characterized the complete genomes of strains PCB-180, SKT-109,
and SSKT-41. On whole genomic analysis, all three strains exhibited a unique
genotype constellation including both genogroup 1 and 2 genes: G1-P[8]-I2-R2-C2
M2-A2-N2-T2-E2-H2. This novel genotype constellation is shared with Japanese DS-1
like G1P[8] strains. Phylogenetic analysis revealed that the G/P genes of strains
PCB-180, SKT-109, and SSKT-41 appeared to have originated from human Wa-like
G1P[8] strains. On the other hand, the non-G/P genes of the three strains were
assumed to have originated from human DS-1-like strains. Thus, strains PCB-180,
SKT-109, and SSKT-41 appeared to be derived through reassortment event(s) between
Wa-like G1P[8] and DS-1-like human rotaviruses. Furthermore, strains PCB-180, SKT
109, and SSKT-41 were found to have the 11-segment genome almost
indistinguishable from one another in their nucleotide sequences and phylogenetic
lineages, indicating the derivation of the three strains from a common origin.
Moreover, all the 11 genes of the three strains were closely related to those of
Japanese DS-1-like G1P[8] strains. Therefore, DS-1-like G1P[8] strains that have
emerged in Thailand and Japan were assumed to have originated from a recent
common ancestor. To our knowledge, this is the first report on whole genome-based
characterization of DS-1-like G1P[8] strains that have emerged in an area other
than Japan. Our observations will provide important insights into the
evolutionary dynamics of emerging DS-1-like G1P[8] rotaviruses.
PMID- 26540262
TI - Similar Hemoglobin Mass Response in Hypobaric and Normobaric Hypoxia in Athletes.
AB - PURPOSE: To compare hemoglobin mass (Hb(mass)) changes during an 18-d live high
train low (LHTL) altitude training camp in normobaric hypoxia (NH) and hypobaric
hypoxia (HH). METHODS: Twenty-eight well-trained male triathletes were split into
three groups (NH: n = 10, HH: n = 11, control [CON]: n = 7) and participated in
an 18-d LHTL camp. NH and HH slept at 2250 m, whereas CON slept, and all groups
trained at altitudes <1200 m. Hb(mass) was measured in duplicate with the
optimized carbon monoxide rebreathing method before (pre-), immediately after
(post-) (hypoxic dose: 316 vs 238 h for HH and NH), and at day 13 in HH (230 h,
hypoxic dose matched to 18-d NH). Running (3-km run) and cycling (incremental
cycling test) performances were measured pre and post. RESULTS: Hb(mass)
increased similar in HH (+4.4%, P < 0.001 at day 13; +4.5%, P < 0.001 at day 18)
and NH (+4.1%, P < 0.001) compared with CON (+1.9%, P = 0.08). There was a wide
variability in individual Hb(mass) responses in HH (-0.1% to +10.6%) and NH (
1.4% to +7.7%). Postrunning time decreased in HH (-3.9%, P < 0.001), NH (-3.3%, P
< 0.001), and CON (-2.1%, P = 0.03), whereas cycling performance changed
nonsignificantly in HH and NH (+2.4%, P > 0.08) and remained unchanged in CON
(+0.2%, P = 0.89). CONCLUSION: HH and NH evoked similar Hb(mass) increases for
the same hypoxic dose and after 18-d LHTL. The wide variability in individual
Hb(mass) responses in HH and NH emphasizes the importance of individual Hb(mass)
evaluation of altitude training.
PMID- 26540263
TI - Immediate Effects of Aerobic Exercise on Plasma/Serum Zinc Levels: A Meta
analysis.
AB - BACKGROUND: Zinc is involved in numerous metabolic roles, including energy
metabolism, immunity, and antioxidative effects. Zinc losses during exercise, in
particular through sweat, are well documented. However, conflicting results have
been reported for changes in circulating and tissue zinc concentration as a
result of exercise. The purpose of this article is to quantify the immediate
effect of aerobic exercise on plasma or serum zinc levels, in healthy
participants. METHODS: We conducted a systematic review of peer-reviewed articles
published up to December 20, 2014, to identify studies that investigated the
acute effects of exercise on selected indices of zinc status. Meta-analyses were
conducted to determine the change in serum zinc concentration immediately after a
bout of aerobic exercise. RESULTS: Forty-five studies were included in the
systematic literature review. Of the included studies, sufficient data were
available from 34 studies (providing 46 comparisons) to quantify the change in
serum zinc concentration after exercise. Serum zinc concentration was
significantly higher immediately after exercise (0.45 +/- 0.12 MUmol.L(-1), P <
0.001; mean +/- SE). Secondary analyses showed greater increase in serum zinc for
untrained individuals and exercise sessions that involved running or maximal
intensity. Insufficient data were available to determine the effects of exercise
on urinary, sweat, and erythrocyte zinc. CONCLUSIONS: The present systematic
review and meta-analysis indicated significant increase in serum zinc
concentration immediately after an aerobic exercise session, suggesting acute
perturbations in zinc homeostasis. Further research is required to ascertain the
long-term effects of exercise on zinc metabolism and potential consequences for
dietary zinc requirement for physically active populations.
PMID- 26540264
TI - Effects of Taping and Orthoses on Foot Biomechanics in Adults with Flat-Arched
Feet.
AB - PURPOSE: There is a paucity of evidence on the biomechanical effects of foot
taping and foot orthoses in realistic conditions. This study aimed to determine
the immediate effect and relationships between changes in multisegment foot
biomechanics with foot taping and customized foot orthoses in adults with flat
arched feet. METHODS: Multisegment foot biomechanics were measured in 18 adults
with flat-arched feet (age 25.1 +/- 2.8 yr; height 1.73 +/- .13 m, body mass 70.3
+/- 15.7 kg) during walking in four conditions in random order: neutral athletic
shoe, neutral shoe with tape (low-Dye method and modified method) and neutral
shoe with customized foot orthoses. In-shoe foot biomechanics were compared
between conditions using a purpose developed foot model with three-dimensional
kinematic analysis and inverse dynamics. RESULTS: Foot orthoses significantly
delayed peak eversion compared to the neutral shoe (44% stance vs 39%, P =
0.002). Deformation across the midfoot and medial longitudinal arch was reduced
with both the low-Dye taping (2.4 degrees , P < 0.001) and modified taping
technique (5.5 degrees , P < 0.001). All interventions increased peak
dorsiflexion of the first metatarsophalangeal joint (1.4 degrees -3.2 degrees , P
< 0.001-0.023). Biomechanical responses to taping significantly predicted
corresponding changes to foot orthoses (R2 = 0.08-0.52, P = 0.006 to <0.001).
CONCLUSIONS: Foot orthoses more effectively altered timing of hindfoot motion
whereas taping was superior in supporting the midfoot and medial longitudinal
arch. The biomechanical response to taping was significantly related to the
subsequent change observed with the use of foot orthoses.
PMID- 26540265
TI - Photocatalytic and photoelectrocatalytic reduction of CO2 using heterogeneous
catalysts with controlled nanostructures.
AB - The development of efficient artificial photocatalysts and photoelectrocatalysts
for the reduction of CO2 with H2O to fuels and chemicals has attracted much
attention in recent years. Although the state-of-the-art for the production of
fuels or chemicals from CO2 using solar energy is still far from practical
consideration, rich knowledge has been accumulated to understand the key factors
that determine the catalytic performances. This Feature article highlights recent
advances in the photocatalytic and photoelectrocatalytic reduction of CO2 with
H2O using heterogeneous semiconductor-based catalysts. The effects of structural
aspects of semiconductors, such as crystalline phases, particle sizes,
morphologies, exposed facets and heterojunctions, on their catalytic behaviours
are discussed. The roles of different types of cocatalysts and the impact of
their nanostructures on surface CO2 chemisorption and reduction are also
analysed. The present article aims to provide insights into the rational design
of efficient heterogeneous catalysts with controlled nanostructures for the
photocatalytic and photoelectrocatalytic reduction of CO2 with H2O.
PMID- 26540266
TI - Molecular Characterization and Differential Expression of an Olfactory Receptor
Gene Family in the White-Backed Planthopper Sogatella furcifera Based on
Transcriptome Analysis.
AB - The white-backed planthopper, Sogatella furcifera, a notorious rice pest in Asia,
employs host plant volatiles as cues for host location. In insects, odor
detection is mediated by two types of olfactory receptors: odorant receptors
(ORs) and ionotropic receptors (IRs). In this study, we identified 63 SfurORs and
14 SfurIRs in S. furcifera based on sequences obtained from the head
transcriptome and bioinformatics analysis. The motif-pattern of 130 hemiptera ORs
indicated an apparent differentiation in this order. Phylogenetic trees of the
ORs and IRs were constructed using neighbor-joining estimates. Most of the ORs
had orthologous genes, but a specific OR clade was identified in S. furcifera,
which suggests that these ORs may have specific olfactory functions in this
species. Our results provide a basis for further investigations of how S.
furcifera coordinates its olfactory receptor genes with its plant hosts, thereby
providing a foundation for novel pest management approaches based on these genes.
PMID- 26540268
TI - Correction: Improved Detection of Common Variants Associated with Schizophrenia
and Bipolar Disorder Using Pleiotropy-Informed Conditional False Discovery Rate.
PMID- 26540267
TI - Bimanual motor coordination controlled by cooperative interactions in intrinsic
and extrinsic coordinates.
AB - Although strong motor coordination in intrinsic muscle coordinates has frequently
been reported for bimanual movements, coordination in extrinsic visual
coordinates is also crucial in various bimanual tasks. To explore the bimanual
coordination mechanisms in terms of the frame of reference, here we characterized
implicit bilateral interactions in visuomotor tasks. Visual perturbations (finger
cursor gain change) were applied while participants performed a rhythmic tracking
task with both index fingers under an in-phase or anti-phase relationship in
extrinsic coordinates. When they corrected the right finger's amplitude, the left
finger's amplitude unintentionally also changed [motor interference (MI)],
despite the instruction to keep its amplitude constant. Notably, we observed two
specificities: one was large MI and low relative-phase variability (PV) under the
intrinsic in-phase condition, and the other was large MI and high PV under the
extrinsic in-phase condition. Additionally, using a multiple-interaction model,
we successfully decomposed MI into intrinsic components caused by motor
correction and extrinsic components caused by visual-cursor mismatch of the right
finger's movements. This analysis revealed that the central nervous system
facilitates MI by combining intrinsic and extrinsic components in the condition
with in-phases in both intrinsic and extrinsic coordinates, and that under
additivity of the effects is explained by the brain's preference for the
intrinsic interaction over extrinsic interaction. In contrast, the PV was
significantly correlated with the intrinsic component, suggesting that the
intrinsic interaction dominantly contributed to bimanual movement stabilization.
The inconsistent features of MI and PV suggest that the central nervous system
regulates multiple levels of bilateral interactions for various bimanual tasks.
PMID- 26540269
TI - The mTOR Inhibitor Rapamycin Mitigates Perforant Pathway Neurodegeneration and
Synapse Loss in a Mouse Model of Early-Stage Alzheimer-Type Tauopathy.
AB - The perforant pathway projection from layer II of the entorhinal cortex to the
hippocampal dentate gyrus is especially important for long-term memory formation,
and is preferentially vulnerable to developing a degenerative tauopathy early in
Alzheimer's disease (AD) that may spread over time trans-synaptically. Despite
the importance of the perforant pathway to the clinical onset and progression of
AD, a therapeutic has not been identified yet that protects it from tau-mediated
toxicity. Here, we used an adeno-associated viral vector-based mouse model of
early-stage AD-type tauopathy to investigate effects of the mTOR inhibitor and
autophagy stimulator rapamycin on the tau-driven loss of perforant pathway
neurons and synapses. Focal expression of human tau carrying a P301L mutation but
not eGFP as a control in layer II of the lateral entorhinal cortex triggered
rapid degeneration of these neurons, loss of lateral perforant pathway synapses
in the dentate gyrus outer molecular layer, and activation of neuroinflammatory
microglia and astroglia in the two locations. Chronic systemic rapamycin
treatment partially inhibited phosphorylation of a mechanistic target of
rapamycin substrate in brain and stimulated LC3 cleavage, a marker of autophagic
flux. Compared with vehicle-treated controls, rapamycin protected against the tau
induced neuronal loss, synaptotoxicity, reactive microgliosis and astrogliosis,
and activation of innate neuroimmunity. It did not alter human tau mRNA or total
protein levels. Finally, rapamycin inhibited trans-synaptic transfer of human tau
expression to the dentate granule neuron targets for the perforant pathway,
likely by preventing the synaptic spread of the AAV vector in response to pathway
degeneration. These results identify systemic rapamycin as a treatment that
protects the entorhinal cortex and perforant pathway projection from tau-mediated
neurodegeneration, axonal and synapse loss, and neuroinflammatory reactive
gliosis. The findings support the potential for slowing the progression of AD by
abrogating tau-mediated neurotoxicity at its earliest neuropathological stages.
PMID- 26540270
TI - Transcriptomic Analysis of Myocardial Ischemia Using the Blood of Rat.
AB - Myocardial ischemia is a pathological state of heart with reduced blood flow to
heart and abnormal myocardial energy metabolism. This disease occurs commonly in
middle aged and elderly people. Several studies have indicated that the rat was
an appropriate animal model used to study myocardial ischemia. In this study, in
order to gain insights into the pathogenesis of myocardial ischemia, we sequenced
the transcriptomes of three normal rats as control and the same number of
myocardial ischemia rats. We sequenced the genomes of 6 rats, including 3 cases
(myocardial ischemia) and 3 controls using Illumina HiSeq 2000. Then we
calculated the gene expression values and identified differentially expressed
genes based on reads per kilobase transcriptome per million (RPKM). Meanwhile we
performed a GO enrichment analysis and predicted novel transcripts. In our study,
we found that 707 genes were up-regulated and 21 genes were down-regulated in
myocardial ischemia rats by at least 2-fold compared with controls. By the
distribution of reads and the annotation of reference genes, we found 1,703 and
1,552 novel transcripts in cases and controls, respectively. At the same time, we
refined the structure of 9,587 genes in controls and 10,301 in cases. According
to the results of GO term and pathway analysis of differentially expressed genes,
we found that the immune response, stimulus response, response to stress and some
diseases may be associated with myocardial ischemia. Since many diseases,
especially immune diseases, are associated with myocardial ischemia, we should
pay more attention to the complications which might result from myocardial
ischemia.
PMID- 26540271
TI - Carbon Catabolite Repression and the Related Genes of ccpA, ptsH and hprK in
Thermoanaerobacterium aotearoense.
AB - The strictly anaerobic, Gram-positive bacterium, Thermoanaerobacterium
aotearoense SCUT27, is capable of producing ethanol, hydrogen and lactic acid by
directly fermenting glucan, xylan and various lignocellulosically derived sugars.
By using non-metabolizable and metabolizable sugars as substrates, we found that
cellobiose, galactose, arabinose and starch utilization was strongly inhibited by
the existence of 2-deoxyglucose (2-DG). However, the xylose and mannose
consumptions were not markedly affected by 2-DG at the concentration of one-tenth
of the metabolizable sugar. Accordingly, T. aotearoense SCUT27 could consume
xylose and mannose in the presence of glucose. The carbon catabolite repression
(CCR) related genes, ccpA, ptsH and hprK were confirmed to exist in T.
aotearoense SCUT27 through gene cloning and protein characterization. The highly
purified Histidine-containing Protein (HPr) could be specifically phosphorylated
at Serine 46 by HPr kinase/phosphatase (HPrK/P) with no need to add fructose-1,6
bisphosphate (FBP) or glucose-6-phosphate (Glc-6-P) in the reaction mixture. The
specific protein-interaction of catabolite control protein A (CcpA) and
phosphorylated HPr was proved via affinity chromatography in the absence of
formaldehyde. The equilibrium binding constant (KD) of CcpA and HPrSerP was
determined as 2.22 +/- 0.36 nM by surface plasmon resonance (SPR) analysis,
indicating the high affinity between these two proteins.
PMID- 26540272
TI - DNA barcoding identification of Dermestidae species.
AB - Dermestidae species are important stored product insects. The traditional
morphological identification has its limitation. The purpose of the study is to
explore the effectiveness of identification by DNA barcoding technology in
Dermestidae. The COI gene sequences of 39 samples from 17 species of Dermestidae
were analyzed, and we evaluated the identification ability of the sequences by
the tree building method and the distance evaluation method in this study. The
COI sequences whose length was 356 bp had a large difference between intra
specific and inter-specific and a significant barcoding gap. The success rate of
identification was 92.5%. The results showed a certain feasibility to identify
the most species of Dermestidae by this segment of COI.
PMID- 26540273
TI - Historical Perspectives on the Epidemiology of Human Chagas Disease in Texas and
Recommendations for Enhanced Understanding of Clinical Chagas Disease in the
Southern United States.
AB - Chagas disease (Trypanosoma cruzi infection) has recently been identified as an
important neglected tropical disease in the United States. Anecdotally referred
to as a "silent killer," it leads to the development of potentially fatal cardiac
disease in approximately 30% of those infected. In an attempt to better
understand the potential of Chagas disease as a significant underlying cause of
morbidity in Texas, we performed a historical literature review to assess disease
burden. Human reports of triatomine bites and disease exposure were found to be
prevalent in Texas. Despite current beliefs that Chagas disease is a recently
emerging disease, we report historical references dating as far back as 1935.
Both imported cases and autochthonous transmission contribute to the historical
disease burden in Texas. We end by discussing the current knowledge gaps, and
recommend priorities for advancing further epidemiologic studies and their policy
implications.
PMID- 26540274
TI - Sparse/Low Rank Constrained Reconstruction for Dynamic PET Imaging.
AB - In dynamic Positron Emission Tomography (PET), an estimate of the radio activity
concentration is obtained from a series of frames of sinogram data taken at
ranging in duration from 10 seconds to minutes under some criteria. So far, all
the well-known reconstruction algorithms require known data statistical
properties. It limits the speed of data acquisition, besides, it is unable to
afford the separated information about the structure and the variation of shape
and rate of metabolism which play a major role in improving the visualization of
contrast for some requirement of the diagnosing in application. This paper
presents a novel low rank-based activity map reconstruction scheme from emission
sinograms of dynamic PET, termed as SLCR representing Sparse/Low Rank Constrained
Reconstruction for Dynamic PET Imaging. In this method, the stationary background
is formulated as a low rank component while variations between successive frames
are abstracted to the sparse. The resulting nuclear norm and l1 norm related
minimization problem can also be efficiently solved by many recently developed
numerical methods. In this paper, the linearized alternating direction method is
applied. The effectiveness of the proposed scheme is illustrated on three data
sets.
PMID- 26540275
TI - Self-assembly of phospholipids on flat supports.
AB - The current study deals with the self-assembly of phospholipids on flat supports
using the Martini coarse grain model. We reported here the effect of the
hydrophilic and hydrophobic nature of the solid supports on the lipid self
assembly. The hydrophilic and hydrophobic supports were modeled on the basis of
water droplet simulations. The present work addresses the self-assembly mechanism
of lipids on eight different supports with different strengths of hydrophilicity
and hydrophobicity. We demonstrated how interplay between the interactions of
lipid and water with the support can guide the lipid self-assembly process.
Thereafter, we calculated the energetics of the components of the system to
quantify the competitions between water and a lipid head-group with hydrophilic
supports. Finally, the properties of the self-assembled bilayers were also
analyzed and reported here.
PMID- 26540276
TI - New Applications for Traditional Drugs in Inflammatory Bowel Disease: What Do
Cochrane Reviews Tell Us?
AB - Although multiple innovative treatments of inflammatory bowel disease have become
available, research continues to refine the value of existing drug therapies for
Crohn's disease and ulcerative colitis. What can Cochrane reviews tell us about
evolving applications for traditional agents in inflammatory bowel disease? A
Cochrane Collaboration symposium held at the 2014 Digestive Diseases Week annual
meeting addressed this question. This article reviews the data presented at that
session.
PMID- 26540277
TI - Reduced Numbers and Proapoptotic Features of Mucosal-associated Invariant T Cells
as a Characteristic Finding in Patients with Inflammatory Bowel Disease.
PMID- 26540278
TI - Reply: To PMID 25946569.
PMID- 26540280
TI - Error in Data.
PMID- 26540279
TI - Effects of Small Molecule Calcium-Activated Chloride Channel Inhibitors on
Structure and Function of Accessory Cholera Enterotoxin (Ace) of Vibrio cholerae.
AB - Cholera pathogenesis occurs due to synergistic pro-secretory effects of several
toxins, such as cholera toxin (CTX) and Accessory cholera enterotoxin (Ace)
secreted by Vibrio cholerae strains. Ace activates chloride channels stimulating
chloride/bicarbonate transport that augments fluid secretion resulting in
diarrhea. These channels have been targeted for drug development. However, lesser
attention has been paid to the interaction of chloride channel modulators with
bacterial toxins. Here we report the modulation of the structure/function of
recombinant Ace by small molecule calcium-activated chloride channel (CaCC)
inhibitors, namely CaCCinh-A01, digallic acid (DGA) and tannic acid. Biophysical
studies indicate that the unfolding (induced by urea) free energy increases upon
binding CaCCinh-A01 and DGA, compared to native Ace, whereas binding of tannic
acid destabilizes the protein. Far-UV CD experiments revealed that the alpha
helical content of Ace-CaCCinh-A01 and Ace-DGA complexes increased relative to
Ace. In contrast, binding to tannic acid had the opposite effect, indicating the
loss of protein secondary structure. The modulation of Ace structure induced by
CaCC inhibitors was also analyzed using docking and molecular dynamics (MD)
simulation. Functional studies, performed using mouse ileal loops and Ussing
chamber experiments, corroborate biophysical data, all pointing to the fact that
tannic acid destabilizes Ace, inhibiting its function, whereas DGA stabilizes the
toxin with enhanced fluid accumulation in mouse ileal loop. The efficacy of
tannic acid in mouse model suggests that the targeted modulation of Ace structure
may be of therapeutic benefit for gastrointestinal disorders.
PMID- 26540281
TI - Treatment of Retinal Vein Occlusion with Ranibizumab in Clinical Practice: Longer
Term Results and Predictive Factors of Functional Outcome.
AB - PURPOSE: To evaluate long-term results and predictors of efficacy in patients
with macular edema due to retinal vein occlusion (RVO) treated with intravitreal
ranibizumab in a clinical practice setting. METHODS: The clinical records of
patients with a minimum follow-up of 3 years were retrospectively analyzed.
Sixteen eyes with branch RVO (BRVO) and 16 with central RVO (CRVO) were included.
All patients performed cross-sectional evaluation with best-corrected visual
acuity (BCVA), spectral domain optical coherence tomography and fluorescein
angiography. The foveal avascular zone (FAZ) was assessed and microstructural
morphology of the retina was characterized. RESULTS: Follow- up was 42.9 +/- 9.0
and 44.8 +/- 8.0 months in the CRVO and BRVO groups, respectively. Patients with
CRVO received on average 6.9 injections, with a final VA gain of 8.3 +/- 15.0
letters (p = 0.05). BRVO eyes had on average 5.9 injections, with a final VA gain
of 1.6 +/- 21.0 letters (p > 0.05). The FAZ area remained stable in both groups
(p > 0.05). Baseline BCVA and disruption of the retinal pigment epithelium (RPE)
were predictors of final BCVA (p = 0.001 and 0.011, respectively). CONCLUSION:
Although functional outcomes were inferior to those reported in clinical trials,
ranibizumab was satisfactory in the long-term treatment of macular edema
secondary to RVO and was not associated with increased macular ischemia. Final
BCVA depends on baseline BCVA and RPE integrity.
PMID- 26540283
TI - Seizures in Children and Adolescents Aged 6-17 Years - United States, 2010-2014.
PMID- 26540282
TI - Genomic DNA Copy Number Aberrations, Histological Diagnosis, Oral Subsite and
Aneuploidy in OPMDs/OSCCs.
AB - Oral potentially malignant disorders (OPMDs) characterized by the presence of
dysplasia and DNA copy number aberrations (CNAs), may reflect chromosomal
instability (CIN) and predispose to oral squamous cell carcinoma (OSCC). Early
detection of OPMDs with such characteristics may play a crucial role in OSCC
prevention. The aim of this study was to explore the relationship between CNAs,
histological diagnosis, oral subsite and aneuploidy in OPMDs/OSCCs. Samples from
OPMDs and OSCCs were processed by high-resolution DNA flow cytometry (hr DNA-FCM)
to determine the relative nuclear DNA content. Additionally, CNAs were obtained
for a subset of these samples by genome-wide array comparative genomic
hybridization (aCGH) using DNA extracted from either diploid or aneuploid nuclei
suspension sorted by FCM. Our study shows that: i) aneuploidy, global genomic
imbalance (measured as the total number of CNAs) and specific focal CNAs occur
early in the development of oral cancer and become more frequent at later stages;
ii) OPMDs limited to tongue (TNG) mucosa display a higher frequency of aneuploidy
compared to OPMDs confined to buccal mucosa (BM) as measured by DNA-FCM; iii) TNG
OPMDs/OSCCs show peculiar features of CIN compared to BM OPMDs/OSCCs given the
preferential association with total broad and specific focal CNA gains. Follow-up
studies are warranted to establish whether the presence of DNA aneuploidy and
specific focal or broad CNAs may predict cancer development in non-dysplastic
OPMDs.
PMID- 26540285
TI - Dissecting Long-Term Glucose Metabolism Identifies New Susceptibility Period for
Metabolic Dysfunction in Aged Mice.
AB - Metabolic disorders, like diabetes and obesity, are pathogenic outcomes of
imbalance in glucose metabolism. Nutrient excess and mitochondrial imbalance are
implicated in dysfunctional glucose metabolism with age. We used conplastic mouse
strains with defined mitochondrial DNA (mtDNA) mutations on a common nuclear
genomic background, and administered a high-fat diet up to 18 months of age. The
conplastic mouse strain B6-mtFVB, with a mutation in the mt-Atp8 gene, conferred
beta-cell dysfunction and impaired glucose tolerance after high-fat diet. To our
surprise, despite of this functional deficit, blood glucose levels adapted to
perturbations with age. Blood glucose levels were particularly sensitive to
perturbations at the early age of 3 to 6 months. Overall the dynamics consisted
of a peak between 3-6 months followed by adaptation by 12 months of age. With the
help of mathematical modeling we delineate how body weight, insulin and leptin
regulate this non-linear blood glucose dynamics. The model predicted a second
rise in glucose between 15 and 21 months, which could be experimentally confirmed
as a secondary peak. We therefore hypothesize that these two peaks correspond to
two sensitive periods of life, where perturbations to the basal metabolism can
mark the system for vulnerability to pathologies at later age. Further
mathematical modeling may perspectively allow the design of targeted periods for
therapeutic interventions and could predict effects on weight loss and insulin
levels under conditions of pre-diabetic obesity.
PMID- 26540284
TI - Identification of Putative Olfactory Genes from the Oriental Fruit Moth
Grapholita molesta via an Antennal Transcriptome Analysis.
AB - BACKGROUND: The oriental fruit moth, Grapholita molesta, is an extremely
important oligophagous pest species of stone and pome fruits throughout the
world. As a host-switching species, adult moths, especially females, depend on
olfactory cues to a large extent in locating host plants, finding mates, and
selecting oviposition sites. The identification of olfactory genes can facilitate
investigation on mechanisms for chemical communications. METHODOLOGY/PRINCIPAL
FINDING: We generated transcriptome of female antennae of G.molesta using the
next-generation sequencing technique, and assembled transcripts from RNA-seq
reads using Trinity, SOAPdenovo-trans and Abyss-trans assemblers. We identified
124 putative olfactory genes. Among the identified olfactory genes, 118 were
novel to this species, including 28 transcripts encoding for odorant binding
proteins, 17 chemosensory proteins, 48 odorant receptors, four gustatory
receptors, 24 ionotropic receptors, two sensory neuron membrane proteins, and one
odor degrading enzyme. The identified genes were further confirmed through semi
quantitative reverse transcription PCR for transcripts coding for 26 OBPs and 17
CSPs. OBP transcripts showed an obvious antenna bias, whereas CSP transcripts
were detected in different tissues. CONCLUSION: Antennal transcriptome data
derived from the oriental fruit moth constituted an abundant molecular resource
for the identification of genes potentially involved in the olfaction process of
the species. This study provides a foundation for future research on the
molecules involved in olfactory recognition of this insect pest, and in
particular, the feasibility of using semiochemicals to control this pest.
PMID- 26540286
TI - Treatment burden in patients with at least one class IV or V CFTR mutation.
AB - CFTR mutations are grouped according to disease-causing mechanism. Several
studies demonstrated that patients having at least one mutation of class IV/V,
present with a milder phenotype, but little is known about their relative
treatment burden. We compared treatment burden between patients with two class I,
II, or III mutations and patients with at least one mutation of class IV/V in the
2010 database of the Belgian CF Registry. We calculated a "Treatment Burden
Index" (TBI) by assigning long term therapies to categories low, medium and high
intensity, for differential weighing in the total score. There were 779 patients
with two known class I/II/III mutations and 94 patients with at least one class
IV/V mutation. Compared to class I/II/III, class IV/V patients had a lower median
number of clinic visits (4 vs. 5; P < 0.001), a lower risk of hospitalization
(24.7% vs. 50.8%; P < 0.001) and intravenous antibiotic treatment (23.5% vs.
46.0%; P < 0.001) and a lower median TBI (6 vs. 9; P < 0.001). These differences
remained significant when only class IV/V patients with pancreatic insufficiency
(n = 31) were considered. This study clearly demonstrates the significantly lower
treatment burden in patients with CF and at least one class IV/V mutation
compared to patients with two class I/II/III mutations and contributes to
providing better individual counseling at time of diagnosis.
PMID- 26540288
TI - Wearable Self-Charging Power Textile Based on Flexible Yarn Supercapacitors and
Fabric Nanogenerators.
AB - A novel and scalable self-charging power textile is realized by combining yarn
supercapacitors and fabric triboelectric nanogenerators as energy-harvesting
devices.
PMID- 26540287
TI - Sprouty2 and -4 hypomorphism promotes neuronal survival and astrocytosis in a
mouse model of kainic acid induced neuronal damage.
AB - Sprouty (Spry) proteins play a key role as negative feedback inhibitors of the
Ras/Raf/MAPK/ERK pathway downstream of various receptor tyrosine kinases. Among
the four Sprouty isoforms, Spry2 and Spry4 are expressed in the hippocampus. In
this study, possible effects of Spry2 and Spry4 hypomorphism on neurodegeneration
and seizure thresholds in a mouse model of epileptogenesis was analyzed. The
Spry2/4 hypomorphs exhibited stronger ERK activation which was limited to the CA3
pyramidal cell layer and to the hilar region. The seizure threshold of Spry2/4(+/
) mice was significantly reduced at naive state but no difference to wildtype
mice was observed 1 month following KA treatment. Histomorphological analysis
revealed that dentate granule cell dispersion (GCD) was diminished in Spry2/4(+/
) mice in the subchronic phase after KA injection. Neuronal degeneration was
reduced in CA1 and CA3 principal neuron layers as well as in scattered neurons of
the contralateral CA1 and hilar regions. Moreover, Spry2/4 reduction resulted in
enhanced survival of somatostatin and neuropeptide Y expressing interneurons.
GFAP staining intensity and number of reactive astrocytes markedly increased in
lesioned areas of Spry2/4(+/-) mice as compared with wildtype mice. Taken
together, although the seizure threshold is reduced in naive Spry2/4(+/-) mice,
neurodegeneration and GCD is mitigated following KA induced hippocampal lesions,
identifying Spry proteins as possible pharmacological targets in brain injuries
resulting in neurodegeneration. The present data are consistent with the
established functions of the ERK pathway in astrocyte proliferation as well as
protection from neuronal cell death and suggest a novel role of Spry proteins in
the migration of differentiated neurons.
PMID- 26540289
TI - Silk fibroin protein-based nonwoven mats incorporating baicalein Chinese herbal
extract: preparation, characterizations, and in vivo evaluation.
AB - In this study, we demonstrated a natural silk fibroin protein (SFP) that was
blended with a Chinese herbal extract (baicalein, BAI) to obtain an effective
combination for producing electrospun nonwoven mats with anti-inflammatory and
antibacterial functions. A series of SFP-based electrospun nonwoven mats with
additives of varying compositions were produced and investigated. Performance
comparisons showed that the SFP/polyvinylpyrrolidone (PVP)/BAI nonwoven mat is
the optimal one. In vitro, SFP/PVP/BAI nonwoven mat is effective in inhibiting
the formation of nitrite in lipopolysaccharide (LPS)-induced nitrite formation in
Raw 264.7 macrophages model and the growth of Staphylococcus aureus (S. aureus).
Especially in the case of SFP/PVP/BAI nonwoven mat, Bai has been proved to reach
their maximum amount of releases of approximately 64.8% within 24 h of contact
with water-based environment as compared to the SFP/BAI nonwoven mat (only 30.1%
of release within 24 h). For in vivo experiments, a 1.2 cm * 1.2 cm wound area
was created on the back of mice and seeded with 1 * 107 CFU/mL of S. aureus to
induce an infected wound model. The experimental results show significant
acceleration of the wound closure process in mice treated with SFP/PVP/BAI
nonwoven mat (4 days of reduction as compared to the untreated group), reduction
in infiltration of neutrophils, nitrite formation, and inhibition of growth of
wound bacteria. Histological images of the group treated with SFP/PVP/BAI
nonwoven mat showed a compete repair of skin hierarchy, increasing production of
collagen fibers, and enhancement of angiogenesis. This may bring a better
recovery of skin appearance after treatment. (c) 2015 Wiley Periodicals, Inc. J
Biomed Mater Res Part B: Appl Biomater, 105B: 420-430, 2017.
PMID- 26540290
TI - Multiscale Characterization of Impact of Infarct Size on Myocardial Remodeling in
an Ovine Infarct Model.
AB - The surviving myocardium initially compensates the loss of injured myocardium
after myocardial infarction (MI) and gradually becomes progressively
dysfunctional. There have been limited studies on the effect of infarct size on
temporal and spatial alterations in the myocardium during progressive myocardial
remodeling. MI with three infarct sizes, i.e. 15, 25 and 35% of the left
ventricular (LV) wall, was created in an ovine infarction model. The progressive
LV remodeling over a 12-week period was studied. Echocardiography,
sonomicrometry, and histological and molecular analyses were carried out to
evaluate cardiac function, regional tissue contractile function, structural
remodeling and cardiomyocyte hypertrophy, and calcium handling proteins. Twelve
weeks after MI, the 15, 25 and 35% MI groups had normalized LV end diastole
volumes of 1.4 +/- 0.2, 1.7 +/- 0.3 and 2.0 +/- 0.4 ml/kg, normalized end systole
volumes of 1.0 +/- 0.1, 1.0 +/- 0.2 and 1.3 +/- 0.3 ml/kg and LV ejection
fractions of 43 +/- 3, 42 +/- 6 and 34 +/- 4%, respectively. They all differed
from the sham group (p < 0.05). All the three MI groups exhibited larger wall
areal expansion (remodeling strain), larger cardiomyocyte size and altered
expression of calcium handing proteins in the adjacent myocardium compared to the
remote counterpart from the infarct. A significant correlation was found between
cardiomyocyte size and remodeling strain in the adjacent zone. A comparative
analysis among the three MI groups showed that a larger infarct size (35 vs. 15%
MI) was associated with larger remodeling strain, more serious impairment in the
cellular structure and composition, and regional contractile function at regional
tissue level and LV function at organ level.
PMID- 26540292
TI - High-quality imaging in environmental scanning electron microscopy--optimizing
the pressure limiting system and the secondary electron detection of a
commercially available ESEM.
AB - In environmental scanning electron microscopy applications in the kPa regime are
of increasing interest for the investigation of wet and biological samples,
because neither sample preparation nor extensive cooling are necessary.
Unfortunately, the applications are limited by poor image quality. In this work
the image quality at high pressures of a FEI Quanta 600 (field emission gun) and
a FEI Quanta 200 (thermionic gun) is greatly improved by optimizing the pressure
limiting system and the secondary electron (SE) detection system. The scattering
of the primary electron beam strongly increases with pressure and thus the image
quality vanishes. The key to high-image quality at high pressures is to reduce
scattering as far as possible while maintaining ideal operation conditions for
the SE-detector. The amount of scattering is reduced by reducing both the
additional stagnation gas thickness (aSGT) and the environmental distance (ED). A
new aperture holder is presented that significantly reduces the aSGT while
maintaining the same field-of-view (FOV) as the original design. With this
aperture holder it is also possible to make the aSGT even smaller at the expense
of a smaller FOV. A new blade-shaped SE-detector is presented yielding better
image quality than usual flat SE-detectors. The electrode of the new SE detector
is positioned on the sample table, which allows the SE-detector to operate at
ideal conditions regardless of pressure and ED.
PMID- 26540291
TI - Determinants and Effects of Voice Disorders among Secondary School Teachers in
Peninsular Malaysia Using a Validated Malay Version of VHI-10.
AB - OBJECTIVES: To establish the prevalence of voice disorder using the Malay-Voice
Handicap Index 10 (Malay-VHI-10) and to study the determinants, quality of life,
depression, anxiety and stress associated with voice disorder among secondary
school teachers in Peninsular Malaysia. METHODS: This study was divided into two
phases. Phase I tested the reliability of the Malay-VHI-10 while Phase II was a
cross-sectional study with two-stage sampling. In Phase II, a self-administered
questionnaire was used to collect socio-demographic and teaching characteristics,
depression, anxiety and stress scale (Malay version of DASS-21); and health
related quality of life (Malay version of SF12-v2). Complex sample analysis was
conducted using multivariate Poisson regression with robust variance. RESULTS: In
Phase I, the Spearman correlation coefficient and Cronbach alpha for total VHI-10
score was 0.72 (p < 0.001) and 0.77 respectively; showing good correlation and
internal consistency. The ICCs ranged from 0.65 to 0.78 showing fair to good
reliability and demonstrating the subscales to be reliable and stable. A total of
6039 teachers participated in Phase II. They were primarily Malays, females,
married, had completed tertiary education and aged between 30 to 50 years. A
total of 10.4% (95% CI 7.1, 14.9) of the teachers had voice disorder (VHI-10
score > 11). Compared to Malays, a greater proportion of ethnic Chinese teachers
reported voice disorder while ethnic Indian teachers were less likely to report
this problem. There was a higher prevalence ratio (PR) of voice disorder among
single or divorced/widowed teachers. Teachers with voice disorder were more
likely to report higher rates of absenteeism (PR: 1.70, 95% CI 1.33, 2.19), lower
quality of life with lower SF12-v2 physical (0.98, 95% CI 0.96, 0.99) and mental
(0.97, 95% CI 0.96, 0.98) component summary scales; and higher anxiety levels
(1.04, 95% CI 1.02, 1.06). CONCLUSIONS: The Malay-VHI-10 is valid and reliable.
Voice disorder was associated with increased absenteeism, marginally associated
with reduced health-related quality of life as well as increased anxiety among
teachers.
PMID- 26540293
TI - Analysis of PIK3CA Mutations and Activation Pathways in Triple Negative Breast
Cancer.
AB - BACKGROUND: Triple Negative Breast Cancer (TNBC) accounts for 12-24% of all
breast carcinomas, and shows worse prognosis compared to other breast cancer
subtypes. Molecular studies demonstrated that TNBCs are a heterogeneous group of
tumors with different clinical and pathologic features, prognosis, genetic
molecular alterations and treatment responsivity. The PI3K/AKT is a major pathway
involved in the regulation of cell survival and proliferation, and is the most
frequently altered pathway in breast cancer, apparently with different biologic
impact on specific cancer subtypes. The most common genetic abnormality is
represented by PIK3CA gene activating mutations, with an overall frequency of 20
40%. The aims of our study were to investigate PIK3CA gene mutations on a large
series of TNBC, to perform a wider analysis on genetic alterations involving
PI3K/AKT and BRAF/RAS/MAPK pathways and to correlate the results with clinical
pathologic data. MATERIALS AND METHODS: PIK3CA mutation analysis was performed by
using cobas(r) PIK3CA Mutation Test. EGFR, AKT1, BRAF, and KRAS genes were
analyzed by sequencing. Immunohistochemistry was carried out to identify PTEN
loss and to investigate for PI3K/AKT pathways components. RESULTS: PIK3CA
mutations were detected in 23.7% of TNBC, whereas no mutations were identified in
EGFR, AKT1, BRAF, and KRAS genes. Moreover, we observed PTEN loss in 11.3% of
tumors. Deregulation of PI3K/AKT pathways was revealed by consistent activation
of pAKT and p-p44/42 MAPK in all PIK3CA mutated TNBC. CONCLUSIONS: Our data shows
that PIK3CA mutations and PI3K/AKT pathway activation are common events in TNBC.
A deeper investigation on specific TNBC genomic abnormalities might be helpful in
order to select patients who would benefit from current targeted therapy
strategies.
PMID- 26540294
TI - Metabolomic Quantitative Trait Loci (mQTL) Mapping Implicates the Ubiquitin
Proteasome System in Cardiovascular Disease Pathogenesis.
AB - Levels of certain circulating short-chain dicarboxylacylcarnitine (SCDA), long
chain dicarboxylacylcarnitine (LCDA) and medium chain acylcarnitine (MCA)
metabolites are heritable and predict cardiovascular disease (CVD) events. Little
is known about the biological pathways that influence levels of most of these
metabolites. Here, we analyzed genetics, epigenetics, and transcriptomics with
metabolomics in samples from a large CVD cohort to identify novel genetic markers
for CVD and to better understand the role of metabolites in CVD pathogenesis.
Using genomewide association in the CATHGEN cohort (N = 1490), we observed
associations of several metabolites with genetic loci. Our strongest findings
were for SCDA metabolite levels with variants in genes that regulate components
of endoplasmic reticulum (ER) stress (USP3, HERC1, STIM1, SEL1L, FBXO25, SUGT1)
These findings were validated in a second cohort of CATHGEN subjects (N = 2022,
combined p = 8.4x10-6-2.3x10-10). Importantly, variants in these genes
independently predicted CVD events. Association of genomewide methylation
profiles with SCDA metabolites identified two ER stress genes as differentially
methylated (BRSK2 and HOOK2). Expression quantitative trait loci (eQTL) pathway
analyses driven by gene variants and SCDA metabolites corroborated perturbations
in ER stress and highlighted the ubiquitin proteasome system (UPS) arm. Moreover,
culture of human kidney cells in the presence of levels of fatty acids found in
individuals with cardiometabolic disease, induced accumulation of SCDA
metabolites in parallel with increases in the ER stress marker BiP. Thus, our
integrative strategy implicates the UPS arm of the ER stress pathway in CVD
pathogenesis, and identifies novel genetic loci associated with CVD event risk.
PMID- 26540295
TI - A Case of Urogenital Human Schistosomiasis from a Non-endemic Area.
PMID- 26540296
TI - Application of the Repetitive Behavior Scale-Revised--Italian version--in
preschoolers with autism spectrum disorder.
AB - Restricted repetitive and stereotyped patterns of behavior, interests, and
activities (RRB) are mandatory features for a diagnosis of Autism Spectrum
Disorder (ASD) according to the Diagnostic and Statistical Manual of mental
disorders-fifth edition (DSM-5). Despite the strong diagnostic role of RRB, their
expressiveness and their relationship with other clinical/demographic features in
ASD is not fully elucidated. The Italian version of the Repetitive Behavior Scale
Revised (RBS-R) was applied to a relatively large sample of preschool-aged
children with ASD who underwent a comprehensive clinical assessment. The
relationship between RRB and sex, age, non-verbal IQ, autism severity, as well as
the diagnostic accuracy of the RBS-R were explored. Stereotyped and
Ritualistic/Sameness behaviors were the most common RRB in preschoolers with ASD,
without widespread differences between males and females. No significant
correlations between RRB and chronological age, or non-verbal IQ were detected.
The expressiveness of ritualistic/sameness behaviors positively correlated with
autism severity, assessed through the Calibrated Severity Score (CSS) derived
from the Autism Diagnostic Observation Schedule (ADOS). Receiver Operator
Characteristic (ROC) analysis showed high diagnostic accuracy using the Global
Rating Score, which represents the judgment of the parents of as the RRB affect
the child's life. However, while the Global Rating Score performed well, the
remaining subscales did not. This investigation extends the limited research on
early pattern and associated features of RRB in young children with ASD. The use
of the RBS-R may increase the knowledge of the RRB complexity and variability and
in turn improve the diagnostic and therapeutic procedures within the autistic
spectrum.
PMID- 26540297
TI - Later learning stages in procedural memory are impaired in children with Specific
Language Impairment.
AB - BACKGROUND: According to the Procedural Deficit Hypothesis (PDH), difficulties in
the procedural memory system may contribute to the language difficulties
encountered by children with Specific Language Impairment (SLI). Most studies
investigating the PDH have used the sequence learning paradigm; however these
studies have principally focused on initial sequence learning in a single
practice session. AIMS: The present study sought to extend these investigations
by assessing the consolidation stage and longer-term retention of implicit
sequence-specific knowledge in 42 children with or without SLI. METHODS AND
PROCEDURES: Both groups of children completed a serial reaction time task and
were tested 24h and one week after practice. OUTCOMES AND RESULTS: Results showed
that children with SLI succeeded as well as children with typical development
(TD) in the early acquisition stage of the sequence learning task. However, as
training blocks progressed, only TD children improved their sequence knowledge
while children with SLI did not appear to evolve any more. Moreover, children
with SLI showed a lack of the consolidation gains in sequence knowledge displayed
by the TD children. CONCLUSIONS AND IMPLICATIONS: Overall, these results were in
line with the predictions of the PDH and suggest that later learning stages in
procedural memory are impaired in SLI.
PMID- 26540299
TI - Generation of a glucose de-repressed mutant of Trichoderma reesei using disparity
mutagenesis.
AB - We obtained a novel glucose de-repressed mutant of Trichoderma reesei using
disparity mutagenesis. A plasmid containing DNA polymerase delta lacking
proofreading activity, and AMAI, an autonomously replicating sequence was
introduced into T. reesei ATCC66589. The rate of mutation evaluated with 5
fluoroorotic acid resistance was approximately 30-fold higher than that obtained
by UV irradiation. The transformants harboring incompetent DNA polymerase delta
were then selected on 2-deoxyglucose agar plates with hygromycin B. The pNP
lactoside hydrolyzing activities of mutants were 2 to 5-fold higher than the
parent in liquid medium containing glucose. Notably, the amino acid sequence of
cre1, a key gene involved in glucose repression, was identical in the mutant and
parent strains, and further, the cre1 expression levels was not abolished in the
mutant. Taken together, these results demonstrate that the strains of T. reesei
generated by disparity mutagenesis are glucose de-repressed variants that contain
mutations in yet-unidentified factors other than cre1.
PMID- 26540298
TI - Proteasome function is not impaired in healthy aging of the lung.
AB - Aging is the progressive loss of cellular function which inevitably leads to
death. Failure of proteostasis including the decrease in proteasome function is
one hallmark of aging. In the lung, proteasome activity was shown to be impaired
in age-related diseases such as chronic obstructive pulmonary disease. However,
little is known on proteasome function during healthy aging. Here, we
comprehensively analyzed healthy lung aging and proteasome function in wildtype,
proteasome reporter and immunoproteasome knockout mice. Wildtype mice
spontaneously developed senile lung emphysema while expression and activity of
proteasome complexes and turnover of ubiquitinated substrates was not grossly
altered in lungs of aged mice. Immunoproteasome subunits were specifically
upregulated in the aged lung and the caspase-like proteasome activity
concomitantly decreased. Aged knockout mice for the LMP2 or LMP7 immunoproteasome
subunits showed no alteration in proteasome activities but exhibited typical lung
aging phenotypes suggesting that immunoproteasome function is dispensable for
physiological lung aging in mice. Our results indicate that healthy aging of the
lung does not involve impairment of proteasome function. Apparently, the reserve
capacity of the proteostasis systems in the lung is sufficient to avoid severe
proteostasis imbalance during healthy aging.
PMID- 26540301
TI - An Assessment of the Effect of Rotenone on Selected Non-Target Aquatic Fauna.
AB - Rotenone, a naturally occurring ketone, is widely employed for the management of
invasive fish species. The use of rotenone poses serious challenges to
conservation practitioners due to its impacts on non-target organisms including
amphibians and macroinvertebrates. Using laboratory studies, we investigated the
effects of different rotenone concentrations (0, 12.5, 25, 37.5, 50, 100 MUg L-1)
on selected invertebrate groups; Aeshnidae, Belostomatids, Decapods,
Ephemeroptera, Pulmonata and zooplankton over a period of 18 hours. Based on
field observations and body size, we hypothesized that Ephemeropterans and
zooplankton would be more susceptible to rotenone than Decapods, Belostomatids
and snails. Experimental results supported this hypothesis and mortality and
behaviour effects varied considerably between taxa, ranging from no effect (crab
Potamonuates sidneyi) to 100% mortality (Daphnia pulex and Paradiaptomus
lamellatus). Planktonic invertebrates were particularly sensitive to rotenone
even at very low concentrations. Future research should investigate the recovery
time of invertebrate communities after the application of rotenone and conduct
field assessments assessing the longer term effects of rotenone exposure on the
population dynamics of those less sensitive organisms.
PMID- 26540300
TI - Two Novel Y-Type High Molecular Weight Glutenin Genes in Chinese Wheat Landraces
of the Yangtze-River Region.
AB - High molecular weight glutenin subunits (HMW-GSs) are key determinants for the
end-use quality of wheat. Chinese wheat landraces are an important resource for
exploring novel HMW-GS genes to improve the wheat baking quality. Two novel Glu
1Dy HMW-GSs (designated as 1Dy12.6 and 1Dy12.7) were identified and cloned from
two Chinese wheat landraces Huazhong830 and Luosimai. The 1Dy12.6 and 1Dy12.7
subunits were deposited as the NCBInr Acc. No KR262518, and KR262519,
respectively. The full open reading frames (ORFs) of 1Dy12.6 and 1Dy12.7 were
2022 bp and 1977 bp, encoding for proteins of 673 and 658 amino acid residues,
respectively. Each contains four typical primary regions of HMW-GSs (a signal
peptide, N- and C-terminal regions, and a central repetitive region). Their
deduced molecular masses (70,165 Da and 68,400 Da) were strikingly consistent
with those identified by MALDI-TOF-MS (69,985Da and 68,407 Da). The 1Dy12.6 is
the largest 1Dy glutenin subunits cloned in common wheat up to date, containing
longer repetitive central domains than other 1Dy encoded proteins. In comparison
with the most similar active 1Dy alleles previously reported, the newly
discovered alleles contained a total of 20 SNPs and 3 indels. The secondary
structure prediction indicated that 1Dy12.6 and 1Dy12.7 have similar proportion
of alpha-helix, beta-turn, and beta-bend to those of 1Dy10 (X12929). The
phylogenetic analysis illustrated that the x- and y-type subunits of glutenins
were well separated, but both 1Dy12.6 and 1Dy12.7 were clustered with the other
Glu-1Dy alleles. Our results revealed that the 1Dy12.6 and 1Dy12.7 subunit have
potential to strengthen gluten polymer interactions, and are valuable genetic
resources for wheat quality improvement.
PMID- 26540303
TI - Wet Interface of Benzylhexadecyldimethylammonium Chloride Reverse Micelle
Revealed by Excited State Proton Transfer of a Localized Probe.
AB - Excited state proton transfer (ESPT) of an anionic photoacid 8-hydroxypyrene
1,3,6-trisulfonic acid (HPTS or pyranine) has been studied inside a cationic
reverse micelle (RM), water/benzylhexadecyldimethylammonium chloride
(BHDC)/benzene, using steady-state and time-resolved fluorescence spectroscopy.
The observed ESPT behavior is found to be remarkably different from the known
ESPT trend of HPTS inside anionic AOT and cationic CTAB RMs; the ESPT dynamics
approaches that of bulk water at higher w0 (>=10) inside AOT RM while no ESPT was
observed for CTAB reverse micelle [ Sedgwick J. Am. Chem. Soc. 2012 , 134 , 11904
- 11907 ]. The ESPT dynamics inside BHDC RM is remarkably slower compared to that
of water at all w0 (= [water]/[surfactant]) values and relatively much less
sensitive to w0 variation compared to AOT RM. 2D NOESY and fluorescence
anisotropy measurements reveal that the probe (HPTS) is embedded inside the
positive interface of BHDC RM. Despite its trapped location, HPTS is able to
undergo ESPT due to significant penetration of water molecules into the
interface. Furthermore, facile ESPT at higher w0 is consistent with higher degree
of interface hydration as predicted by a recent MD simulation [ Agazzi Langmuir
2014 , 30 , 9643 - 9653 ]. The study shows that ESPT dynamics inside RM varies
not only with the interface charge but also on the nature of the headgroup and
solvation.
PMID- 26540302
TI - Improvement in Quality of Life after Therapy for Mycobacterium abscessus Group
Lung Infection. A Prospective Cohort Study.
AB - RATIONALE: Mycobacterium abscessus group lung infection is characterized by low
cure rates. Improvement in quality of life may be a reasonable treatment goal.
OBJECTIVES: The objective of this study was to evaluate change in quality of life
in response to therapy, predictors of improvement in quality of life, and
association of quality of life with traditional outcome measures. METHODS: Forty
seven patients were treated for Mycobacterium abscessus group lung infection
(including one with Mycobacterium chelonae) and were followed prospectively for 2
years between December 2009 and May 2012. St. George's Respiratory Questionnaire
(SGRQ) was administered, chest computed tomography (CT) imaging was carried out,
and culture data were collected at multiple time points. Predictors of
improvement in the SGRQ total score greater than or equal to a minimal clinically
important difference (MCID) at 12 months were evaluated. MEASUREMENTS AND MAIN
RESULTS: Patients were 85% female and 94% white, with a mean age of 65 years.
Nine (20%) had a genetic diagnosis of cystic fibrosis (none F508del homozygous).
Coinfection with Mycobacterium avium complex occurred in 28% and Pseudomonas in
26%. Chest CT imaging universally indicated bronchiectasis and nodules; 51% had
lung cavities. Treatment included a mean of 17 months of antibiotics, and lung
resection in 34%. Seventeen patients with M. avium complex (36%) and one with
Mycobacterium kansasii were treated for coinfection. The mean SGRQ total score
(SD) at baseline was 35 (20). At all follow-up time points, the mean SGRQ total
score (SD) was significantly lower (better) than at baseline: 27 (17) at 3
months, P < 0.01; 27 (19) at 6 months, P < 0.01; 27 (20) at 12 months, P < 0.01;
and 30 (22) at 24 months, P = 0.02. At 12 and 24 months, respectively, 60% and
56% had improvement greater than or equal to the MCID in SGRQ total score.
Improvement greater than or equal to the MCID at 12 months was positively
associated with a history of respiratory exacerbation, isolate susceptible to
imipenem-cilastatin, and lung resection surgery, and negatively associated with
nodules >4 mm in diameter on chest CT imaging, but these associations were not
statistically significant in multivariable analysis. At 24 months, 16 patients
(48%) with complete data were culture negative for 1 year and had discontinued M.
abscessus group treatment. CONCLUSIONS: Quality of life was a sensitive indicator
of treatment response and has the potential to be a useful parameter to guide
treatment.
PMID- 26540304
TI - Online virtual isocenter based radiation field targeting for high performance
small animal microirradiation.
AB - Advances in precision microirradiators for small animal radiation oncology
studies have provided the framework for novel translational radiobiological
studies. Such systems target radiation fields at the scale required for small
animal investigations, typically through a combination of on-board computed
tomography image guidance and fixed, interchangeable collimators. Robust
targeting accuracy of these radiation fields remains challenging, particularly at
the millimetre scale field sizes achievable by the majority of microirradiators.
Consistent and reproducible targeting accuracy is further hindered as collimators
are removed and inserted during a typical experimental workflow. This
investigation quantified this targeting uncertainty and developed an online
method based on a virtual treatment isocenter to actively ensure high performance
targeting accuracy for all radiation field sizes. The results indicated that the
two-dimensional field placement uncertainty was as high as 1.16 mm at isocenter,
with simulations suggesting this error could be reduced to 0.20 mm using the
online correction method. End-to-end targeting analysis of a ball bearing target
on radiochromic film sections showed an improved targeting accuracy with the
three-dimensional vector targeting error across six different collimators reduced
from [Formula: see text] mm (mean +/- SD) to [Formula: see text] mm for an
isotropic imaging voxel size of 0.1 mm.
PMID- 26540305
TI - Release and re-hook: a novel method with combined use of clips and nylon snare to
close a colonic defect after endoscopic mucosal resection.
PMID- 26540306
TI - Endoscopic ultrasound-guided fine-needle aspiration of a pulmonary artery
malignant thrombus.
PMID- 26540307
TI - Squamous metaplasia without atypia.
PMID- 26540308
TI - Patients' and physicians' experiences of atrial fibrillation consultations and
anticoagulation decision-making: A multi-perspective IPA design.
AB - OBJECTIVE: To explore patients' and physicians' experiences of atrial
fibrillation (AF) consultations and oral anticoagulation decision-making. DESIGN:
Multi-perspective interpretative phenomenological analyses. METHODS: Participants
included small homogeneous subgroups: AF patients who accepted (n = 4), refused
(n = 4) or discontinued (n = 3) warfarin, and four physician subgroups (n = 4
each group): consultant cardiologists, consultant general physicians, general
practitioners and cardiology registrars. Semi-structured interviews were
conducted. Transcripts were analysed using multi-perspective interpretative
phenomenological analysis (IPA) analyses to attend to individuals within
subgroups and making comparisons within and between groups. RESULTS: Three themes
represented patients' experiences: Positioning within the physician-patient dyad,
Health-life balance, and Drug myths and fear of stroke. Physicians' accounts
generated three themes: Mechanised metaphors and probabilities, Navigating toward
the 'right' decision and Negotiating systemic factors. CONCLUSIONS: This multi
perspective IPA design facilitated an understanding of the diagnostic
consultation and treatment decision-making which foregrounded patients' and
physicians' experiences. We drew on Habermas' theory of communicative action to
recommend broadening the content within consultations and shifting the focus to
patients' life contexts. Interventions including specialist multidisciplinary
teams, flexible management in primary care and multifaceted interventions for
information provision may enable the creation of an environment that supports
genuine patient involvement and participatory decision-making.
PMID- 26540310
TI - The Influence of Phonotactic Probability on Nonword Repetition and Fast Mapping
in 3-Year-Olds With a History of Expressive Language Delay.
AB - PURPOSE: The purpose of this study was to examine the influence of phonotactic
probability on sublexical (phonological) and lexical representations in 3-year
olds who had a history of being late talkers in comparison with their peers with
typical language development. METHOD: Ten 3-year-olds who were late talkers and
10 age-matched typically developing controls completed nonword repetition and
fast mapping tasks; stimuli for both experimental procedures differed in
phonotactic probability. RESULTS: Both participant groups repeated nonwords
containing high phonotactic probability sequences more accurately than nonwords
containing low phonotactic probability sequences. Participants with typical
language showed an early advantage for fast mapping high phonotactic probability
words; children who were late talkers required more exposures to the novel words
to show the same advantage for fast mapping high phonotactic probability words.
CONCLUSIONS: Children who were late talkers showed similar sensitivities to
phonotactic probability in nonword repetition and word learning when compared
with their peers with no history of language delay. However, word learning in
children who were late talkers appeared to be slower when compared with their
peers.
PMID- 26540309
TI - The Murine Bladder Supports a Population of Stromal Sca-1+/CD34+/lin- Mesenchymal
Stem Cells.
AB - Bladder fibrosis is an undesired end point of injury of obstruction and often
renders the smooth muscle layer noncompliant. In many cases, the long-term effect
of bladder fibrosis is renal failure. Despite our understanding of the
progression of this disease, little is known about the cellular mechanisms that
lead to a remodeled bladder wall. Resident stem (progenitor) cells have been
identified in various organs such as the brain, heart and lung. These cells
function normally during organ homeostasis, but become dysregulated after organ
injury. Here, we aimed to characterize a mesenchymal progenitor cell population
as a first step in understanding its role in bladder fibrosis. Using fluorescence
activated cell sorting (FACS), we identified a Sca-1+/ CD34+/ lin- (PECAM-: CD45
: Ter119-) population in the adult murine bladder. These cells were localized to
the stromal layer of the adult bladder and appeared by postnatal day 1. Cultured
Sca-1+/ CD34+/ lin- bladder cells self-renewed, formed colonies and spontaneously
differentiated into cells expressing smooth muscle genes. These cells
differentiated into other mesenchymal lineages (chondrocytes, adipocytes and
osteocytes) upon culture in induction medium. Both acute and partial obstruction
of the bladder reduced expression of CD34 and changed localization of Sca-1 to
the urothelium. Partial obstruction resulted in upregulation of fibrosis genes
within the Sca-1+/CD34+/lin- population. Our data indicate a resident,
mesenchymal stem cell population in the bladder that is altered by bladder
obstruction. These findings provide new information about the cellular changes in
the bladder that may be associated with bladder fibrosis.
PMID- 26540311
TI - Can activated sludge treatments and advanced oxidation processes remove
organophosphorus flame retardants?
AB - This study aims to determine the occurrence of 10 OPFRs (including chlorinated,
nonchlorinated alkyl and aryl compounds) in influent, effluent wastewaters and
partitioning into sludge of 5 wastewater treatment plants (WWTP) in Catalonia
(Spain). All target OPFRs were detected in the WWTPs influents, and the total
concentration ranged from 3.67 ug L(-1) to 150 ug L(-1). During activated sludge
treatment, most OPFRs were accumulated in the sludge at concentrations from 35.3
to 9980 ng g(-1) dw. Chlorinated compounds tris(2-chloroethyl) phosphate (TCEP),
tris(2-chloroisopropyl) phosphate (TCIPP) and tris(2,3-dichloropropyl) phosphate
(TDCPP) were not removed by the conventional activated sludge treatment and they
were released by the effluents at approximately the same inlet concentration. On
the contrary, aryl compounds tris(methylphenyl) phosphate (TMPP) and 2-ethylhexyl
diphenyl phosphate (EHDP) together with alkyl tris(2-ethylhexyl) phosphate (TEHP)
were not detected in any of the effluents. Advanced oxidation processes (UV/H2O2
and O3) were applied to investigate the degradability of recalcitrant OPFRs in
WWTP effluents. Those detected in the effluent sample (TCEP, TCIPP, TDCPP,
tributyl phosphate (TNBP), tri-iso-butyl phosphate (TIBP) and tris(2-butoxyethyl)
phosphate (TBOEP)) had very low direct UV-C photolysis rates. TBOEP, TNBP and
TIBP were degraded by UV/H2O2 and O3. Chlorinated compounds TCEP, TDCPP and TCIPP
were the most recalcitrant OPFR to the advanced oxidation processes applied. The
study provides information on the partitioning and degradability pathways of OPFR
within conventional activated sludge WWTPs.
PMID- 26540312
TI - Epithelial Cell-Derived Cytokines Contribute to the Pathophysiology of
Eosinophilic Chronic Rhinosinusitis.
AB - The epithelial cell-derived cytokines, thymic stromal lymphopoietin (TSLP),
interleukin (IL)-25, and IL-33 induce T helper 2 type immune responses. In the
present study, we investigate the role of these cytokines in the pathophysiology
of eosinophilic chronic rhinosinusitis (ECRS). Nasal tissue specimens from
chronic rhinosinusitis patients were assayed for the expression of TSLP, IL-25,
IL-33, protease-activated receptor (PAR)-2, and P2Y2 receptor (P2Y2R). Cytokine
production in cultured nasal epithelial cells (PNECs) was also examined. The mRNA
levels of TSLP and IL-25 and the concentrations of IL-25 and IL-33 increased in
PNECs from ECRS patients. Immunohistological staining demonstrated that TSLP, IL
25, and IL-33 were localized in the epithelial cells of nasal polyps, and that
their expression was increased in ECRS. The mRNA levels of TSLP and IL-25
correlated with the clinical severity of ECRS, as indicated by the computed
tomography score. The TSLP mRNA levels and IL-33 protein concentration correlated
with the number of eosinophils in the nasal polyps of patients with ECRS.
Airborne allergen-induced cytokine production increased in PNECs of these
patients. Expression levels of the PAR-2 and P2Y2R increased in cultured PNECs
and nasal polyps from patients with ECRS. The results indicate that increased
induction and expression of TSLP, IL-25, and IL-33 from nasal epithelial cells
contribute to the pathophysiology of ECRS.
PMID- 26540313
TI - The verbal facilitation effect: re-reading person descriptions as a system
variable to improve identification performance.
AB - When witnesses report a crime, police usually ask for a description of the
perpetrator. Several studies suggested that verbalising faces leads to a
detriment in identification performance (verbal overshadowing effect [VOE]) but
the effect has been difficult to replicate. Here, we sought to reverse the VOE by
inducing context reinstatement as a system variable through re-reading one's own
description before an identification task. Participants (N = 208) watched a video
film and were then dismissed (control group), only described the perpetrator, or
described and later re-read their own descriptions before identification in
either target-present or target-absent lineups after a 2-day or a 5-week delay.
Identification accuracy was significantly higher after re-reading (85.0%) than in
the no description control group (62.5%) irrespective of target presence. Data
were internally replicated using a second target and corroborated by several
small meta-analyses. Identification accuracy was related to description quality.
Moreover, there was a tendency towards a verbal facilitation effect (VFE) rather
than a VOE. Receiver operating characteristic (ROC) curve analyses confirm that
our findings are not due to a shift in response bias but truly reflect
improvement of recognition performance. Differences in the ecological validity of
study paradigms are discussed.
PMID- 26540314
TI - Panitumumab in combination with gemcitabine and oxaliplatin does not prolong
survival in wild-type KRAS advanced biliary tract cancer: A randomized phase 2
trial (Vecti-BIL study).
AB - BACKGROUND: Biliary tract cancer (BTC) is a rare and lethal disease with few
therapeutic options. Preclinical data suggest that the epidermal growth factor
receptor (EGFR) pathway could be involved in its progression. METHODS: This open
label, randomized phase 2 trial recruited chemotherapy-naive patients with
advanced BTC displaying a wild-type (WT) KRAS status. Patients were randomized to
gemcitabine (1000 mg/m(2) ) and oxaliplatin (100 mg/m(2) ) with (arm A) or
without (arm B) panitumumab (6 mg/kg) for up to 12 cycles. The primary endpoint
was progression-free survival (PFS) analyzed in an intention-to-treat fashion.
RESULTS: Eighty-nine patients (45 in arm A and 44 in arm B) were enrolled between
June 2010 and September 2013. After a median follow-up of 10.1 months, the median
PFS was 5.3 months (95% confidence interval, 3.3-7.2 months) in arm A and 4.4
months (95% confidence interval, 2.6-6.2 months) in arm B (P = .27). No survival
differences were observed: the median overall survival was 9.9 months in arm A
and 10.2 months in arm B (P = .42). In a subgroup analysis, no differences in PFS
according to the site of the primary tumor were observed; patients with
intrahepatic cholangiocarcinoma treated with panitumumab may have had a survival
benefit in comparison with the control group (15.1 vs 11.8 months, P = .13). As
for safety, skin toxicity was the main adverse event in arm A (80% of the
patients). A higher incidence of diarrhea (55.5% vs 31.8%), mucositis (22.2% vs
13.6%), and constipation (24.4% vs 15.9%) was seen in arm A. CONCLUSIONS: These
results confirm the marginal role of anti-EGFR therapy even for WT KRAS-selected
BTC.
PMID- 26540315
TI - The effect of Health Savings Accounts on group health insurance coverage.
AB - This paper presents new empirical evidence on the impact of tax subsidies for
Health Savings Accounts (HSAs) on group insurance coverage. HSAs are tax-free
health care expenditure savings accounts. Coupled with high deductible health
insurance plans (HDHPs), they together represent new health insurance options.
The tax advantage of HSAs expands the group health insurance market by making
health care more affordable. Using individual level data from the Current
Population Survey and exploiting policy variation by state and year from 2004 to
2012, I find that HSA tax subsidies increase small-group coverage by a
statistically significant 2.5 percentage points, although not coverage in larger
firms. Moreover, if the tax price of HSA contribution decreases by 10 cents,
small-group insurance coverage increases by almost 2 percentage points. I also
find that for older workers or less-educated workers, HSA subsidies are
associated with 2-3 percentage point increase in their group insurance coverage.
PMID- 26540317
TI - A graphene meta-interface for enhancing the stretchability of brittle oxide
layers.
AB - Oxide materials have recently attracted much research attention for applications
in flexible and stretchable electronics due to their excellent electrical
properties and their compatibility with established silicon semiconductor
processes. Their widespread uptake has been hindered, however, by the intrinsic
brittleness and low stretchability. Here we investigate the use of a graphene
meta-interface to enhance the electromechanical stretchability of fragile oxide
layers. Electromechanical tensile tests of indium tin oxide (ITO) layers on
polymer substrates were carried out with in situ observations using an optical
microscope. It was found that the graphene meta-interface reduced the strain
transfer between the ITO layer and the substrate, and this behavior was well
described using a shear lag model. The graphene meta-interface provides a novel
pathway for realizing flexible and stretchable electronic applications based on
oxide layers.
PMID- 26540316
TI - Modification of Structural and Luminescence Properties of Graphene Quantum Dots
by Gamma Irradiation and Their Application in a Photodynamic Therapy.
AB - Herein, the ability of gamma irradiation to enhance the photoluminescence
properties of graphene quantum dots (GQDs) was investigated. Different doses of
gamma-irradiation were used on GQDs to examine the way in which their structure
and optical properties can be affected. The photoluminescence quantum yield was
increased six times for the GQDs irradiated with high doses compared to the
nonirradiated material. Both photoluminescence lifetime and values of optical
band gap were increased with the dose of applied gamma irradiation. In addition,
the exploitation of the gamma-irradiated GQDs as photosensitizers was examined by
monitoring the production of singlet oxygen under UV illumination. The main
outcome was that the GQDs irradiated at lower doses act as better photoproducers
than the ones irradiated at higher doses. These results corroborate that the
structural changes caused by gamma irradiation have a direct impact on GQD
ability to produce singlet oxygen and their photostability under prolonged UV
illumination. This makes low-dose irradiated GQDs promising candidates for
photodynamic therapy.
PMID- 26540319
TI - To err is human, but....
PMID- 26540318
TI - Mitigation of Tumor-Associated Fibroblast-Facilitated Head and Neck Cancer
Progression With Anti-Hepatocyte Growth Factor Antibody Ficlatuzumab.
AB - IMPORTANCE: Ficlatuzumab can be used to treat head and neck squamous cell
carcinoma (HNSCC) by inhibiting c-Met receptor-mediated cell proliferation,
migration, and invasion. OBJECTIVE: To understand the effect of ficlatuzumab on
HNSCC proliferation, migration, and invasion. DESIGN, SETTING, AND PARTICIPANTS:
The effects of ficlatuzumab on HNSCC proliferation, invasion, and migration were
tested. Mitigation of c-Met and downstream signaling was assessed by
immunoblotting. The tumor microenvironment has emerged as an important factor in
HNSCC tumor progression. The most abundant stromal cells in HNSCC tumor
microenvironment are tumor-associated fibroblasts (TAFs). We previously reported
that TAFs facilitate HNSCC growth and metastasis. Furthermore, activation of the
c-Met tyrosine kinase receptor by TAF-secreted hepatocyte growth factor (HGF)
facilitates tumor invasion. Ficlatuzumab is a humanized monoclonal antibody that
sequesters HGF, preventing it from binding to and activating c-Met. We
hypothesized that targeting the c-Met pathway with ficlatuzumab will mitigate TAF
mediated HNSCC proliferation, migration, and invasion. Representative HNSCC cell
lines HN5, UM-SCC-1, and OSC-19 were used in these studies. EXPOSURES FOR
OBSERVATIONAL STUDIES: The HNSCC cell lines were treated with ficlatuzumab, 0 to
100 ug/mL, for 24 to 72 hours. MAIN OUTCOMES AND MEASURES: Ficlatuzumab inhibited
HNSCC progression through c-Met and mitogen-activated protein kinase (MAPK)
signaling pathway. RESULTS: Ficlatuzumab significantly reduced TAF-facilitated
HNSCC cell proliferation (HN5, P < .001; UM-SCC-1, P < .001), migration (HN5, P =
.002; UM-SCC-1, P = .01; and OSC-19, P = .04), and invasion (HN5, P = .047; UM
SCC-1, P = .03; and OSC-19, P = .04) through a 3-dimensional peptide-based
hydrogel (PGmatrix). In addition, ficlatuzumab also inhibited the phosphorylation
of c-Met at Tyr1234/1235 and p44/42 MAPK in HNSCC cells exposed to recombinant
HGF. CONCLUSIONS AND RELEVANCE: We demonstrate that neutralizing TAF-derived HGF
with ficlatuzumab effectively mitigates c-Met signaling and decreases HNSCC
proliferation, migration, and invasion. Thus, ficlatuzumab effectively mitigates
stromal influences on HNSCC progression.
PMID- 26540320
TI - Should all patients with significant proteinuria take a renin-angiotensin
inhibitor?
PMID- 26540321
TI - Stellate ulceration in a nonuremic patient.
PMID- 26540322
TI - The color purple.
PMID- 26540323
TI - Noncosmetic uses of botulinum toxin in otolaryngology.
AB - Botulinum toxin has several noncosmetic uses in otolaryngology. It is the primary
treatment for spasmodic dysphonia and may be effective in select patients who
have essential tremor of the voice. It may also be used to treat swallowing
difficulties caused by cricopharyngeal dysfunction.
PMID- 26540324
TI - Pancreas transplant for diabetes mellitus.
AB - Pancreas transplant is an option for patients with type 1 diabetes and for some
patients with type 2 diabetes and advanced diabetic kidney disease. The procedure
has a high success rate, and performing it earlier in the course of diabetes
could help prevent or reverse the long-term complications of diabetes.
PMID- 26540325
TI - An elderly woman with 'heart failure': cognitive biases and diagnostic error.
AB - Errors in diagnosis can arise from the clinician's cognitive biases as well as
from problems in the healthcare system. Here the authors review a case with a bad
outcome to analyze what went wrong and why.
PMID- 26540326
TI - Women's health 2015: an update for the internist.
AB - The field of women's health is varied and dynamic. Major studies in 2014 and the
first half of 2015 suggest that selective serotonin reuptake inhibitors are not
strongly associated with congenital heart defects, that paroxetine 7.5 mg is
effective for treating menopausal symptoms, and that women with heart failure may
benefit more from cardiac resynchronization therapy than men.
PMID- 26540327
TI - Recreational cannabis use: pleasures and pitfalls.
AB - Cannabis is widely used for a variety of reasons, and its changing legal status
may foster more new users. Although the acute clinical effects of cannabis are
generally benign, clinicians should be aware of health complications and testing
limitations.
PMID- 26540328
TI - Common infectious complications of liver transplant.
AB - Major improvements in the care of liver transplant recipients have mitigated but
not eliminated the risk of potentially life-threatening infectious complications.
This review provides general information about risk factors, prophylactic
strategies, diagnostic workup, and therapy for some of the most commonly
encountered infections after liver transplant.
PMID- 26540329
TI - Robust Manipulation of Magnetism in Dilute Magnetic Semiconductor (Ga,Mn)As by
Organic Molecules.
AB - Surface adsorption of organic molecules provides a new method for the robust
manipulation of ferromagnetism in (Ga,Mn)As. Electron acceptor and donor
molecules yield significant enhancement and suppression, respectively, of
ferromagnetism with modulation of the Curie temperature spanning 36 K. Dip-pen
nanolithography is employed to directly pattern monolayers on (Ga,Mn)As, which is
presented as a novel pathway toward producing magnetic nanostructures.
PMID- 26540330
TI - Mechanistic Insights into the H2S-Mediated Reduction of Aryl Azides Commonly Used
in H2S Detection.
AB - Hydrogen sulfide (H2S) is an important biological mediator and has been at the
center of a rapidly expanding field focused on understanding the biogenesis and
action of H2S as well as other sulfur-related species. Concomitant with this
expansion has been the development of new chemical tools for H2S research. The
use of H2S-selective fluorescent probes that function by H2S-mediated reduction
of fluorogenic aryl azides has emerged as one of the most common methods for H2S
detection. Despite this prevalence, the mechanism of this important reaction
remains under-scrutinized. Here we present a combined experimental and
computational investigation of this mechanism. We establish that HS(-), rather
than diprotic H2S, is the active species required for aryl azide reduction. The
hydrosulfide anion functions as a one-electron reductant, resulting in the
formation of polysulfide anions, such as HS2(-), which were confirmed and trapped
as organic polysulfides by benzyl chloride. The overall reaction is first-order
in both azide and HS(-) under the investigated experimental conditions with
DeltaS(?) = -14(2) eu and DeltaH(?) = 13.8(5) kcal/mol in buffered aqueous
solution. By using NBu4SH as the sulfide source, we were able to observe a
reaction intermediate (lambda(max) = 473 nm), which we attribute to formation of
an anionic azidothiol intermediate. Our mechanistic investigations support that
this intermediate is attacked by HS(-) in the rate-limiting step of the reduction
reaction. Complementing our experimental mechanistic investigations, we also
performed DFT calculations at the B3LYP/6-31G(d,p), B3LYP/6-311++G(d,p),
M06/TZVP, and M06/def2-TZVPD levels of theory applying the IEF-PCM water and MeCN
solvation models, all of which support the experimentally determined reaction
mechanism and provide cohesive mechanistic insights into H2S-mediated aryl azide
reduction.
PMID- 26540331
TI - HTP-OligoDesigner: An Online Primer Design Tool for High-Throughput Gene Cloning
and Site-Directed Mutagenesis.
AB - Following burgeoning genomic and transcriptomic sequencing data, biochemical and
molecular biology groups worldwide are implementing high-throughput cloning and
mutagenesis facilities in order to obtain a large number of soluble proteins for
structural and functional characterization. Since manual primer design can be a
time-consuming and error-generating step, particularly when working with hundreds
of targets, the automation of primer design process becomes highly desirable. HTP
OligoDesigner was created to provide the scientific community with a simple and
intuitive online primer design tool for both laboratory-scale and high-throughput
projects of sequence-independent gene cloning and site-directed mutagenesis and a
Tm calculator for quick queries.
PMID- 26540333
TI - Brain, Craniofacial, and Dental Lesions of a Free-ranging Gray Wolf (Canis lupus)
Implicated in a Human Attack in Minnesota, USA.
AB - We describe significant brain, craniofacial, and dental lesions in a free-ranging
wolf (Canis lupus) involved in a human attack. On postmortem examination, the
wolf presented asymmetric atrophy and bone remodeling affecting the mandible,
incisive, maxilla, lacrimal, palatine, frontal, and ethmoid bones. There was an
asymmetrical skeletal malocclusion and dental abnormalities including rotated,
malpositioned, partially erupted teeth, and an odontogenic cyst associated with
an unerupted canine tooth. Brain changes were bilateral loss and atrophy of
extensive cortex regions including olfactory bulb, peduncles, and tract, and the
frontal lobe. We highlight the relevance of a thorough postmortem examination of
wildlife to elucidate disease-based abnormal behavior as the reason for human
animal conflict.
PMID- 26540335
TI - Anti-Brucella Antibodies in Moose (Alces alces gigas), Muskoxen (Ovibos
moschatus), and Plains Bison (Bison bison bison) in Alaska, USA.
AB - We used an indirect enzyme-linked immunosorbent assay (iELISA) and the rose
bengal test (RBT) to test for anti-Brucella antibodies in moose (Alces alces
gigas), muskoxen (Ovibos moschatus), and plains bison (Bison bison bison) from
various game management units (GMUs) in Alaska, US, sampled from 1982 to 2010. A
portion of the sera had previously been tested with the standard plate test
(SPT), the buffered Brucella antigen (BBA) card test, and the card test (CARD).
No antibody-positive plains bison were identified. Anti-Brucella antibodies were
detected in moose (iELISA, n=4/87; RBT, n=4/87; SPT, n=4/5; BBA, n=4/4) from GMU
23 captured in 1992, 1993, and 1995 and in muskoxen (iELISA, n=4/52; RBT, n=4/52;
CARD, n=4/35) from GMUs 26A and 26B captured in 2004, 2006, and 2007. A negative
effect of infection on the health of individuals of these species is probable.
The presence of antibody-positive animals from 1992 to 2007 suggests presence of
brucellae over time. The antibody-positive animals were found in northern Alaska,
an area with a historically higher prevalence of Brucella-positive caribou, and a
spillover of Brucella suis biovar 4 from caribou may have occurred. Brucella suis
biovar 4 causes human brucellosis, and transmission from consumption of moose and
muskoxen is possible.
PMID- 26540334
TI - Antibodies to Rickettsia spp. and Borrelia burgdorferi in Spanish Wild Red Foxes
(Vulpes vulpes).
AB - We examined 314 red foxes (Vulpes vulpes) from the province of Soria, Spain, for
Rickettsia typhi, Rickettsia slovaca, and Borrelia burgdorferi infection.
Immunofluorescence assays showed 1.9% had antibodies to R. typhi, 6.7% had
antibodies to R. slovaca, and 8.3% had antibodies to B. burgdorferi. Serostatus
was not correlated with sex or age. Because red foxes can be infected by
Rickettsiae and B. burgdorferi, presence of red foxes may be and indicator for
the presence of these pathogens.
PMID- 26540336
TI - West Nile Virus in Resident Birds from Yucatan, Mexico.
AB - West Nile virus (WNV) in the Americas is thought to be transported at large
spatial scales by migratory birds and locally spread and amplified by resident
birds. Local processes, including interspecific interactions and dominance of
passerine species recognized as competent reservoirs, may boost infection and
maintain endemic cycles. Change in species composition has been recognized as an
important driver for infection dynamics. Due to migration and changes in species
diversity and composition in wintering grounds, changes in infection prevalence
are expected. To these changes, we used PCR to estimate the prevalence of WNV in
wild resident birds during the dry and rainy seasons of 2012 in Yucatan, Mexico.
Serum samples were obtained from 104 wild birds, belonging to six orders and 35
species. We detected WNV in 14 resident birds, representing 11 species and three
orders. Prevalences by order was Passeriformes (27%), Columbiformes (6%), and
Piciformes (33%). Resident birds positive to WNV from Yucatan may be indicative
of local virus circulation and evidence of past virus transmission activity.
PMID- 26540337
TI - Mother's Milk as a Source of Enterobacter cloacae Sepsis in a Preterm Infant.
PMID- 26540338
TI - Trisubstituted Thieno[3,2-b]pyrrole 5-Carboxamides as Potent Inhibitors of
Alphaviruses.
AB - Chikungunya virus (CHIKV) is a re-emerging vector-borne alphavirus and is
transmitted to humans by Aedes mosquitoes. Despite the re-emergence of CHIKV as
an epidemic threat, there is no approved effective antiviral treatment currently
available for CHIKV. Herein, we report the synthesis and structure-activity
relationship studies of a class of thieno[3,2-b]pyrroles and the discovery of a
trisubstituted thieno[3,2-b]pyrrole 5-carboxamide 15c that exhibits potent
inhibitory activity against in vitro CHIKV infection. Compound 15c displayed low
micromolar activity (EC50 value of ca. 2 MUM) and limited cytotoxic liability
(CC50 > 100 MUM) therefore furnishing a selectivity index of greater than 32.
Notably, 15c not only controlled viral RNA production, but efficiently inhibited
the expression of CHIKV nsP1, nsP3, capsid, and E2 proteins at a concentration as
low as 2.5 MUM. More importantly, 15c also demonstrated broad spectrum antiviral
activity against other clinically important alphaviruses such as O'nyong-nyong
virus and Sindbis virus.
PMID- 26540340
TI - Effect of methylation on the side-chain pKa value of arginine.
AB - Arginine methylation is important in biological systems. Recent studies link the
deregulation of protein arginine methyltransferases with certain cancers. To
assess the impact of methylation on interaction with other biomolecules, the pKa
values of methylated arginine variants were determined using NMR data. The pKa
values of monomethylated, symmetrically dimethylated, and asymmetrically
dimethylated arginine are similar to the unmodified arginine (14.2 +/- 0.4).
Although the pKa value has not been significantly affected by methylation,
consequences of methylation include changes in charge distribution and steric
effects, suggesting alternative mechanisms for recognition.
PMID- 26540339
TI - Effect of Dietary Docosahexaenoic Acid Supplementation on the Participation of
Vasodilator Factors in Aorta from Orchidectomized Rats.
AB - Benefits of n-3 polyunsaturated fatty acids (PUFAs) against cardiovascular
diseases have been reported. Vascular tone regulation is largely mediated by
endothelial factors whose release is modulated by sex hormones. Since the
incidence of cardiovascular pathologies has been correlated with decreased levels
of sex hormones, the aim of this study was to analyze whether a diet supplemented
with the specific PUFA docosahexaenoic acid (DHA) could prevent vascular changes
induced by an impaired gonadal function. For this purpose, control and
orchidectomized rats were fed with a standard diet supplemented with 5% (w/w)
sunflower oil or with 3% (w/w) sunflower oil plus 2% (w/w) DHA. The lipid
profile, the blood pressure, the production of prostanoids and nitric oxide (NO),
and the redox status of biological samples from control and orchidectomized rats,
fed control or DHA-supplemented diet, were analyzed. The vasodilator response and
the contribution of NO, prostanoids and hyperpolarizing mechanisms were also
studied. The results showed that orchidectomy negatively affected the lipid
profile, increased the production of prostanoids and reactive oxygen species
(ROS), and decreased NO production and the antioxidant capacity, as well as the
participation of hyperpolarizing mechanisms in the vasodilator responses. The DHA
supplemented diet of the orchidectomized rats decreased the release of
prostanoids and ROS, while increasing NO production and the antioxidant capacity,
and it also improved the lipid profile. Additionally, it restored the
participation of hyperpolarizing mechanisms by activating potassium. Since the
modifications induced by the DHA-supplemented diet were observed in the
orchidectomized, but not in the healthy group, DHA seems to exert
cardioprotective effects in physiopathological situations in which vascular
dysfunction exists.
PMID- 26540341
TI - Dynamics and Diffusion Mechanism of Low-Density Liquid Silicon.
AB - A first-order phase transition from a high-density liquid to a low-density liquid
has been proposed to explain the various thermodynamic anomies of water. It also
has been proposed that such liquid-liquid phase transition would exist in
supercooled silicon. Computer simulation studies show that, across the
transition, the diffusivity drops roughly 2 orders of magnitude, and the
structures exhibit considerable tetrahedral ordering. The resulting phase is a
highly viscous, low-density liquid silicon. Investigations on the atomic
diffusion of such a novel form of liquid silicon are of high interest. Here we
report such diffusion results from molecular dynamics simulations using the
classical Stillinger-Weber (SW) potential of silicon. We show that the atomic
diffusion of the low-density liquid is highly correlated with local tetrahedral
geometries. We also show that atoms diffuse through hopping processes within
short ranges, which gradually accumulate to an overall random motion for long
ranges as in normal liquids. There is a close relationship between dynamical
heterogeneity and hopping process. We point out that the above diffusion
mechanism is closely related to the strong directional bonding nature of the
distorted tetrahedral network. Our work offers new insights into the complex
behavior of the highly viscous low density liquid silicon, suggesting similar
diffusion behaviors in other tetrahedral coordinated liquids that exhibit liquid
liquid phase transition such as carbon and germanium.
PMID- 26540342
TI - An hTERT/ZEB1 complex directly regulates E-cadherin to promote epithelial-to
mesenchymal transition (EMT) in colorectal cancer.
AB - In human cancer, high telomerase expression is correlated with tumor
aggressiveness and metastatic potential. Telomerase activation occurs through
telomerase reverse transcriptase (hTERT) induction, which contributes to
malignant transformation by stabilizing telomeres. Previous studies have shown
that hTERT can promote tumor invasion and metastasis of gastric cancer, liver
cancer and esophageal cancer. Epithelial-to-mesenchymal transition (EMT), a
requirement for tumor invasion and metastasis, plays a key role in cancer
progression. Although hTERT promotes EMT through Wnt signaling in several
cancers, it is unknown if other signaling pathways are involved. In the present
study, we found that hTERT and ZEB1 form a complex, which directly binds to the E
cadherin promoter, and then inhibits E-cadherin expression and promots EMT in
colorectal cancer cells. hTERT overexpression in HCT116 and SW480 cells could
induce E-cadherin down-regulation. However, E-cadherin expression was recovered
when ZEB1 function was impaired even during hTERT overexpression. Taken together,
our findings suggest that hTERT can promote cancer metastasis by stimulating EMT
through the ZEB1 pathway and therefore inhibiting them may prevent cancer
progression.
PMID- 26540343
TI - LINC00152 promotes proliferation in hepatocellular carcinoma by targeting EpCAM
via the mTOR signaling pathway.
AB - Hepatocellular carcinoma (HCC) is well known as the sixth most common malignant
tumor and the third leading cause of cancer-related deaths globally. LINC00152
was documented as an important long non-coding RNA (lncRNA) involved in the
pathogenesis of gastric cancer; however, the detailed mechanism of action of
LINC00152 remains unknown. Here, based on the increased level of LINC00152 in HCC
tissues, we found that LINC00152 could promote cell proliferation in vitro and
tumor growth in vivo. Furthermore, microarray-based analysis indicated that
LINC00152 could activate the mechanistic target of rapamycin(mTOR) pathway by
binding to the promoter of EpCAM through a cis-regulation, as confirmed by Gal4
lambdaN/BoxB reporter system. Thus, LINC00152 might be involved in the
oncogenesis of HCC by activating the mTOR signaling pathway and might be a novel
index for clinical diagnosis in the future.
PMID- 26540345
TI - Cancer-testis antigen MAGE-C2 binds Rbx1 and inhibits ubiquitin ligase-mediated
turnover of cyclin E.
AB - Cancer-testis antigen MAGE-C2 is normally expressed in testis but aberrantly
expressed in various kinds of tumors. Its functions in tumor cells are mostly
unknown. Here, we show that MAGE-C2 binds directly to the RING domain protein
Rbx1, and participates in Skp1-Cullin1-F box protein (SCF) complex. Furthermore,
MAGE-C2 can inhibit the E3 ubiquitin ligase activity of SCF complex. Ablation of
endogenous MAGE-C2 decreases the level of cyclin E and accelerates cyclin E
turnover by inhibiting ubiquitin-mediated proteasome degradation. Overexpression
of MAGE-C2 increases the level of cyclin E and promotes G1-S transition and cell
proliferation, and the results are further confirmed by knockdown of MAGE-C2.
Overall, the study indicates that MAGE-C2 is involved in SCF complex and
increases the stability of cyclin E in tumor cells.
PMID- 26540344
TI - Ell3 stabilizes p53 following CDDP treatment via its effects on ubiquitin
dependent and -independent proteasomal degradation pathways in breast cancer
cells.
AB - The tumor suppressor protein p53 is unstable in quiescent cells and undergoes
proteosomal degradation. Under conditions of cellular stress, p53 is rapidly
stabilized by post-translational modification, thereby escaping degradation and
translocating to the nucleus where it activates genes related to cell cycle
arrest or apoptosis. Here, we report that the transcription elongation factor
Ell3 sensitizes luminal type-cancer cell line, MCF7, which have wild-type p53, to
the chemotherapeutic agent cis-diamminedichloroplatinum(II) (CDDP) by stabilizing
p53. Overexpression of Ell3 in MCF7 cells suppressed the MDM2-mediated ubiquitin
dependent degradation pathway. In addition, Ell3 promoted binding of p53 to NADH
quinone oxidoreductase 1, which is linked to the ubiquitin-independent
degradation of p53. We found that Ell3 activates interleukin-20 (IL20)
expression, which is linked to the ERK1/2 signaling pathway. Chemical inhibition
of ERK1/2 signaling or molecular suppression of IL20 revealed that the ERK1/2
signaling pathway and IL20 are the main causes of p53 stabilization in Ell3
overexpressing MCF7 cells. These findings suggest that the ERK1/2 pathway can be
targeted in the rational development of therapies to induce chemosensitization of
breast cancer cells.
PMID- 26540346
TI - Nucleolin antagonist triggers autophagic cell death in human glioblastoma primary
cells and decreased in vivo tumor growth in orthotopic brain tumor model.
AB - Nucleolin (NCL) is highly expressed in several types of cancer and represents an
interesting therapeutic target. It is expressed at the plasma membrane of tumor
cells, a property which is being used as a marker for several human cancer
including glioblastoma. In this study we investigated targeting NCL as a new
therapeutic strategy for the treatment of this pathology. To explore this
possibility, we studied the effect of an antagonist of NCL, the multivalent
pseudopeptide N6L using primary culture of human glioblastoma cells. In this
system, N6L inhibits cell growth with different sensitivity depending to NCL
localization. Cell cycle analysis indicated that N6L-induced growth reduction was
due to a block of the G1/S transition with down-regulation of the expression of
cyclin D1 and B2. By monitoring autophagy markers such as p62 and LC3II, we
demonstrate that autophagy is enhanced after N6L treatment. In addition, N6L
treatment of mice bearing tumor decreased in vivo tumor growth in orthotopic
brain tumor model and increase mice survival. The results obtained indicated an
anti-proliferative and pro-autophagic effect of N6L and point towards its
possible use as adjuvant agent to the standard therapeutic protocols presently
utilized for glioblastoma.
PMID- 26540347
TI - Knock out CD44 in reprogrammed liver cancer cell C3A increases CSCs stemness and
promotes differentiation.
AB - CD44 is a widely known cancer stem cells marker in various cancers and validated
to function in tumor growth, survival and tumor metastasis. In this study, we
first established C3A-derived liver cancer stem cells by OSKM method [OCT4, SOX2,
KLF4, and c-MYC], termed C3A-induced cancer stem cells (C3A-iCSCs) which acquired
self-renewal and stemness abilities. Then we found CD44 was positive in C3A-iCSCs
and mainly located in cell nuclear. Chromatin immunoprecipitation-quantitative
PCR (ChIP-qPCR) results showed nuclear CD44 combined promoter regions of c-MYC
and SOX2. These results suggested that CD44 participated in C3A-iCSCs
transcriptional regulation. To explore CD44 overall influence in liver cancer
stem cells, CD44 was knocked out in C3A-iCSCs using CRISPR/Cas9 technology. Our
results showed a dramatic increase in the expression of stem cell markers OCT4,
SOX2 and NANOG in CD44- C3A-iCSCs compared with that in CD44+ C3A-iCSCs. Tumor
derived from CD44- C3A-iCSCs also displayed well-differentiated tumor cells
compared to CD44+ C3A-iCSCs, which suggested CD44- C3A-iCSCs derived tumor cells
exhibited lower malignant degree. Our data indicated nuclear CD44 in liver cancer
stem cells is responsible for the poorly differentiated highly malignant tumor
cells by maintenance of low stemness state.
PMID- 26540349
TI - Single-molecule perspectives on helicase mechanisms and functions.
AB - Helicases are a diverse group of molecular motors that utilize energy derived
from the hydrolysis of nucleoside triphosphates (NTPs) to unwind and translocate
along nucleic acids. These enzymes play critical roles in nearly all aspects of
nucleic acid metabolism, and consequently, a detailed understanding of helicase
mechanisms at the molecular level is essential. Over the past few decades, single
molecule techniques, such as optical tweezers, magnetic tweezers, laminar flow,
fluorescence resonance energy transfer (FRET), and DNA curtains, have proved to
be powerful tools to investigate the functional properties of both DNA and RNA
helicases. These approaches allow researchers to manipulate single helicase
molecules, perturb their free energy landscape to probe the chemo-mechanical
activities of these motors, and to detect the conformational changes of helicases
during unwinding. Furthermore, these techniques also provide the capability to
distinguish helicase heterogeneity and monitor helicase motion at nanometer
spatial and millisecond temporal resolutions, ultimately providing new insights
into the mechanisms that could not be resolved by ensemble assays. This review
outlines the single-molecule techniques that have been utilized for measurements
of helicase activities and discusses helicase mechanisms with a focus on
functional and mechanistic insights revealed through single-molecule
investigations in the past five years.
PMID- 26540348
TI - LIM kinase inhibitors disrupt mitotic microtubule organization and impair tumor
cell proliferation.
AB - The actin and microtubule cytoskeletons are critically important for cancer cell
proliferation, and drugs that target microtubules are widely-used cancer
therapies. However, their utility is compromised by toxicities due to dose and
exposure. To overcome these issues, we characterized how inhibition of the actin
and microtubule cytoskeleton regulatory LIM kinases could be used in drug
combinations to increase efficacy. A previously-described LIMK inhibitor (LIMKi)
induced dose-dependent microtubule alterations that resulted in significant
mitotic defects, and increased the cytotoxic potency of microtubule
polymerization inhibitors. By combining LIMKi with 366 compounds from the GSK
Published Kinase Inhibitor Set, effective combinations were identified with
kinase inhibitors including EGFR, p38 and Raf. These findings encouraged a drug
discovery effort that led to development of CRT0105446 and CRT0105950, which
potently block LIMK1 and LIMK2 activity in vitro, and inhibit cofilin
phosphorylation and increase alphaTubulin acetylation in cells. CRT0105446 and
CRT0105950 were screened against 656 cancer cell lines, and rhabdomyosarcoma,
neuroblastoma and kidney cancer cells were identified as significantly sensitive
to both LIMK inhibitors. These large-scale screens have identified effective LIMK
inhibitor drug combinations and sensitive cancer types. In addition, the LIMK
inhibitory compounds CRT0105446 and CRT0105950 will enable further development of
LIMK-targeted cancer therapy.
PMID- 26540350
TI - Soybean agglutinin-conjugated silver nanoparticles nanocarriers in the treatment
of breast cancer cells.
AB - Silver nanoparticles (AgNPs) induce diverse cell-death mechanisms, similar to
those promoted by anticancer chemotherapeutics; however, they have not been
tested in vivo because their action is not limited to cancer cells. Therefore, in
vivo evaluations of their effectiveness should be developed with targeting
systems. Breast cancer shows changes in the sugar expression patterns on cell
surfaces, related to cancer progression and metastases; those changes have been
identified previously by the specific binding of soybean agglutinin (SBA). Here
is proposed the use of SBA to target the AgNP activity in breast cancer. For
that, the present work reports the synthesis of AgNPs (3.89 +/- 0.90 nm) through
the polyol method, the generation of AgNP nanocarriers, and the bioconjugation
protocol of the nanocarrier with SBA. The free AgNPs, the AgNP nanocarriers, and
the SBA-bioconjugated AgNP nanocarriers were tested for cytotoxicity in breast
cancerous (MDA-MB-231and MCF7) and non cancerous (MCF 10A) cells, using the MTT
assay. AgNPs demonstrated cytotoxic activity in vitro, the non cancerous cells
(MCF 10A) being more sensible than the cancerous cells (MDA-MB-231 and MCF7)
showing LD(50) values of 128, 205, and 319 MUM Ag, respectively; the
nanoencapsulation decreased the cytotoxic effect of AgNPs in non cancerous cells,
maintaining or increasing the effect on the cancer-derived cells, whereas the SBA
bioconjugation allowed AgNP cytotoxic activity with a similar behavior to the
nanocarriers. Future experiments need to be developed to evaluate the targeting
effect of the SBA-bioconjugated AgNP nanocarriers to study their functionality in
vivo.
PMID- 26540351
TI - Surgical Decompression of Arachnoid Cysts Leads to Improved Quality of Life: A
Prospective Study.
AB - BACKGROUND: There is no previous prospective study on the outcome of surgical
decompression of intracranial arachnoid cysts (AC). OBJECTIVE: To investigate if
surgical fenestration for AC leads to change in patients' health-related quality
of life. METHODS: Prospective study including 76 adult patients operated for AC.
Patients responded to Short Form-36 and Glasgow Benefit Inventory quality of life
questionnaires, and to visual analogue scales, assessing headache and dizziness
pre- and postoperatively. Patient scores were compared with those of a large
sample of healthy individuals. RESULTS: Preoperatively, 84.2% of the patients
experienced headache and 70.1% dizziness. Mean pre- versus postoperative Visual
Analogue Scale scores for headache and dizziness dropped from 45.6 to 25.7 and
from 35.2 to 12.2, respectively. Preoperative Short Form-36 scores were
significantly below age norms in all subscales, but improved after surgery into
normal range in 7 out of 8 subscales for middle-aged and older patients. Younger
patients' scores remained lower than age norm in 6 out of 8 subscales. A
significant postoperative improvement was seen in 3 out of 4 Glasgow Benefit
Inventory subscales. Improvement in headache and/or dizziness, but not
preoperative cyst size or reduction in cyst volume, correlated with improvement
in 6 out of 8 Short Form-36 subscales and 3 out of 4 Glasgow Benefit Inventory
subscales. Only 1 patient experienced a severe complication causing permanent
invalidity. CONCLUSION: Surgery for AC can be performed with a fairly low risk of
complications and yields significant improvement in quality of life correlated to
postoperative improvement in headache and dizziness. These findings may justify a
more liberal approach to surgical treatment for AC.
PMID- 26540353
TI - Triolein Emulsion Infusion Into the Carotid Artery Increases Brain Permeability
to Anticancer Agents.
AB - BACKGROUND: Triolein emulsion infusion into the carotid artery has been reported
to induce temporary and reversible opening of the blood-brain barrier by
increasing vascular permeability. OBJECTIVE: To evaluate the effect of triolein
emulsion infusion on brain permeance by anticancer agents. METHODS: In the
doxorubicin study. 2.4 mg/kg doxorubicin was injected immediately after triolein
emulsion (1%, 1.5%, and 2%) infusion into rabbit carotid arteries. Two hours
later, bilateral hemispheres and eyeballs were harvested, and doxorubicin
concentrations were measured fluorometrically. Doxorubicin ratios of
ipsilateral/contralateral hemispheres were compared with those of doxorubicin
controls by use of the Kruskal-Wallis test followed by the Dunn test. In the
cisplatin study, 10 mg/kg cisplatin was injected immediately after 2% triolein
emulsion infusion into rat carotid arteries. Ipsilateral hemispheres were
harvested 2, 6, 12, 24, and 36 hours after treatment. Time-dependent cisplatin
concentrations were determined by liquid chromatography/electrospray ionization
tandem mass spectrometry/mass spectrometry. RESULTS: Doxorubicin concentrations
were significantly higher in ipsilateral hemispheres and eyeballs in all 3
triolein treatment groups than in doxorubicin controls. In the cisplatin study,
cisplatin concentrations in the ipsilateral hemispheres peaked at 6 hours after
infusion of cisplatin. CONCLUSION: Brain permeance to anticancer agents was
increased by triolein emulsion infusion, which suggests that triolein infusion
might be a useful adjuvant treatment for brain tumors.
PMID- 26540357
TI - Lower Risk of Intracranial Arteriovenous Malformation Hemorrhage in Patients With
Hereditary Hemorrhagic Telangiectasia.
AB - BACKGROUND: Patients diagnosed with hereditary hemorrhagic telangiectasia (HHT)
are at risk of developing intracranial arteriovenous malformations (AVM).
However, the clinical manifestations and natural history of HHT-related AVMs
remain unclear due to the rarity of these lesions. OBJECTIVE: To clarify the
clinical characteristics and hemorrhagic risk in HHT-related AVMs. METHODS: We
performed a retrospective review of all patients diagnosed with both HHT and
intracranial AVMs who were evaluated at our institution from 1990 to 2013.
Patients with missing data or lost to follow-up were excluded. Baseline
characteristics and subsequent hemorrhagic risk were evaluated. RESULTS: In an
AVM database of 531 patients with 542 AVMs, a total of 12 HHT patients (2.3%)
with 23 AVMs were found. Mean age at diagnosis was 36.5 years, with 41.7% male.
Compared to patients with sporadic AVMs, patients with HHT were less likely to
present with ruptured AVM (P = .04), headaches (P = .02), and seizures (P = .02),
and presented with better modified Rankin scores (P < .01). HHT-related AVMs were
smaller in size (P < .01), of lower Spetzler-Martin grade (P = .01), and had less
temporal lobe involvement (P = .02) compared to sporadic AVMs. Six HHT patients
(50.0%) were found with multiple intracranial AVMs. One hemorrhage was found
during an observation period of 149.6 patient-years and 297.5 lesion-years,
translating to 1.3% per patient per year or 0.7% per AVM per year. CONCLUSION:
HHT-related AVMs are smaller in size with lower Spetzler-Martin grade and less
temporal lobe involvement than sporadic AVMs. Patients with HHT frequently
present with multiple intracranial AVMs. Conservative management is generally
recommended due to lesion multiplicity and relatively low hemorrhagic risk.
PMID- 26540358
TI - Commentary: Preclinical Validation of Multilevel Intraparenchymal Stem Cell
Therapy in the Porcine Spinal Cord.
PMID- 26540359
TI - Asymptomatic Intraocular Mass.
PMID- 26540360
TI - Radiological mapping of Kelantan, Malaysia, using terrestrial radiation dose
rate.
AB - Measurements of the environmental terrestrial gamma radiation dose rate (TGRD) in
each district of Kelantan state, Malaysia, were carried out using a portable hand
held radiation survey meter and global positioning system. The measurements were
done based on geology and soil types of the area. The mean TGRD was found to be
209 nGy h(-1). Few areas of relatively enhanced activity were observed in Pasir
Mas, Tanah Merah and Jeli districts, which have a mean TGRD between 300 and 500
nGy h(-1). An isodose map of the area was produced using ArcGIS software version
9.3.
PMID- 26540361
TI - The complete mitochondrial genome of Triplophysa sp. (Teleostei: Cypriniformes:
Balitoridae).
AB - The complete mitochondrial genome sequence of balitorid fish, Triplophysa sp.,
which was from Qinling mountains, central China, has been sequenced by the long
polymerase chain with primer walking method. The mitochondrial genome of
Triplophysa sp. is similar to those of the typical vertebrates, 16 570 bp in
length, including 13 protein-coding genes, two ribosomal RNA genes, 22 transfer
RNA genes, and one control region (D-loop). Most mitochondrial genes are encoded
on the heavy strand, except for ND6 and eight tRNA genes which are encoded on the
light strand. The overall base composition of the heavy strand of the Triplophysa
sp. mitogenome is A: 28.0%, T: 28.0%, C: 25.8%, and G: 18.2%. Combined with the
complete mitogenome sequences of other 15 balitorids, the topology demonstrated
that Triplophysa sp. has a close relationship with the cluster consisting of
Triplophysa yarkandensis, Triplophysa siluroides, and Triplophysa robusta with
high support values. The genera Triplophysa and Barbatula formed a sister-group
relationship. Further investigations with more Triplophysa species included need
to be performed to better understand the evolutionary history of this intriguing
genus.
PMID- 26540362
TI - Comparative Microstrain Study of Internal Hexagon and Plateau Design of Short
Implants Under Vertical Loading.
AB - PURPOSE: To quantify microstrain development during axial loading using strain
gauge analysis for short implants, varying the type of fixture-abutment joint and
thread design. MATERIALS AND METHODS: An internal hexagon implant (4 * 8 mm) and
a plateau design implant (4 * 8 mm) were embedded on the center of 10
polyurethane blocks with dimensions of 190 * 30 * 12 mm. The respective abutments
were screwed onto the implants. Four strain gauges (SGs) were bonded onto the
surface of each block, and 4 vertical SGs were bonded onto the side of each
block. Axial load of 30 kgf was applied for 10 seconds in the center of each
implant. RESULTS: The data were analyzed statistically by analysis of variance
for repeated measures and Tukey test (P < 0.05). The interaction between implant
and region factors have been statistically significant (P = 0.0259). Tukey test
revealed a difference on plateau's horizontal region. The cervical region
presented higher microstrain values, when compared with the medium and apical
regions of the implants. CONCLUSION: Within the purpose of the study, the type of
fixture-abutment joint is a relevant factor to affect the amount of stress/strain
in bone simulation. The microstrain development was concentrated on the cervical
region of the implant.
PMID- 26540364
TI - The Onward March of Implant-Related Technology.
PMID- 26540363
TI - Effect of Microthread Design on Marginal Bone Level Around Dental Implants Placed
in Fresh Extraction Sockets.
AB - PURPOSE: This study was designed to compare radiographically the effect of
microthread on the coronal portion of the fixture on marginal bone level (MBL)
around immediately placed dental implants in human subjects. MATERIAL AND
METHODS: Forty-one roughened surface screw type Dentium oral implants (Dentium)
were inserted in fresh extraction sockets of the anterior segment of maxilla of
30 patients. The implants were selected randomly using either microthread design
on coronal portion of the fixture (Implantium) (test group) or without
microthread thread design (Superline) (control group). MBL was measured using
digital subtraction radiography technique after 3, 6, and 12 months. RESULTS: At
month 3, the microthread groups have been associated with more marginal bone loss
than the control group (P = 0.04). At months 6 and 12, both groups had comparable
bone levels (P = 0.21). CONCLUSION: The microthread design of the implant collar
could not have a positive effect in maintaining the MBL around implants placed in
fresh extraction socket in anterior maxilla.
PMID- 26540365
TI - Effects of Hard Thin-Film Coatings on Adhesion of Early Colonizer Bacteria Over
Titanium Surfaces.
AB - PURPOSE: The purpose of this in vitro study was to evaluate the effect of diamond
like carbon (DLC) and titanium (Ti) nitride coatings over Ti surfaces on the
adhesion of early colonizer bacteria. MATERIALS AND METHODS: Specimens were
divided into 3 groups (n = 10) according to different surface modifications:
titanium nitride (TiN)-coated Ti discs (experimental group 1), DLC-coated Ti
discs (experimental group 2), and uncoated polished Ti discs (control group).
Discs were incubated in bacterial cell suspension (Streptococcus mutans and
Streptococcus sanguis) for 1 hour, and the single colonies formed by adhering
bacteria were counted by fluorescence microscopy. Surface roughness and
topography were examined by atomic force microscopy. RESULTS: The surface
roughness of DLC was lower than TiN coating and the control group. Statistically
significant reduction of the number of adherent bacteria was observed on DLC
coated surfaces. CONCLUSIONS: DLC coating over Ti surfaces strongly inhibits the
adhesion of early colonizer oral bacteria.
PMID- 26540366
TI - Oligomer Molecules for Efficient Organic Photovoltaics.
AB - Solar cells, a renewable, clean energy technology that efficiently converts
sunlight into electricity, are a promising long-term solution for energy and
environmental problems caused by a mass of production and the use of fossil
fuels. Solution-processed organic solar cells (OSCs) have attracted much
attention in the past few years because of several advantages, including easy
fabrication, low cost, lightweight, and flexibility. Now, OSCs exhibit power
conversion efficiencies (PCEs) of over 10%. In the early stage of OSCs, vapor
deposited organic dye materials were first used in bilayer heterojunction devices
in the 1980s, and then, solution-processed polymers were introduced in bulk
heterojunction (BHJ) devices. Relative to polymers, vapor-deposited small
molecules offer potential advantages, such as a defined molecular structure,
definite molecular weight, easy purification, mass-scale production, and good
batch-to-batch reproducibility. However, the limited solubility and high
crystallinity of vapor-deposited small molecules are unfavorable for use in
solution-processed BHJ OSCs. Conversely, polymers have good solution-processing
and film-forming properties and are easily processed into flexible devices,
whereas their polydispersity of molecular weights and difficulty in purification
results in batch to batch variation, which may hamper performance reproducibility
and commercialization. Oligomer molecules (OMs) are monodisperse big molecules
with intermediate molecular weights (generally in the thousands), and their sizes
are between those of small molecules (generally with molecular weights <1000) and
polymers (generally with molecular weights >10000). OMs not only overcome
shortcomings of both vapor-deposited small molecules and solution-processed
polymers, but also combine their advantages, such as defined molecular structure,
definite molecular weight, easy purification, mass-scale production, good batch
to-batch reproducibility, good solution processability, and film-forming
properties. Therefore, OMs are a good choice for solution-processed reproducible
OSCs toward scalable commercialized applications. Considerable efforts have been
dedicated to developing new OM electron donors and electron acceptors for OSCs.
So far, the highest PCEs of solution-processed OSCs based on OM donors and
acceptors are 9-10% and 6-7%, respectively. OM materials have become promising
alternatives to polymer and/or fullerene materials for efficient and stable OSCs.
In this Account, we present a brief survey of the recent developments in solution
processable OM electron donors and acceptors and their application in OSCs.
Rational design of OMs with star- and linear-shaped structures based on
triphenylamine, benzodithiophene, and indacenodithiophene units and their impacts
on device performance are discussed. Structure-property relationships are also
proposed. Furthermore, the remaining challenges and the key research directions
in the near future are also addressed. In the next years, an interdisciplinary
approach involving novel OM materials, especially electron acceptor materials,
accurate morphology optimization, and advanced device technologies will probably
bring high-efficiency and stable OSCs to final commercialization.
PMID- 26540367
TI - Gestational Weight Gain - United States, 2012 and 2013.
PMID- 26540368
TI - Patellar resurfacing in total knee arthroplasty: functional outcome differs with
different outcome scores: A randomized, double-blind study of 129 knees with 3
years of follow-up.
AB - BACKGROUND AND PURPOSE: Recent research on outcomes after total knee arthroplasty
(TKA) has raised the question of the ability of traditional outcome measures to
distinguish between treatments. We compared functional outcomes in patients
undergoing TKA with and without patellar resurfacing, using the knee injury and
osteoarthritis outcome score (KOOS) as the primary outcome and 3 traditional
outcome measures as secondary outcomes. PATIENTS AND METHODS: 129 knees in 115
patients (mean age 70 (42-82) years; 67 female) were evaluated in this single
center, randomized, double-blind study. Data were recorded preoperatively, at 1
year, and at 3 years, and were assessed using repeated-measures mixed models.
RESULTS: The mean subscores for the KOOS after surgery were statistically
significantly in favor of patellar resurfacing: sport/recreation, knee-related
quality of life, pain, and symptoms. No statistically significant differences
between the groups were observed with the Knee Society clinical rating system,
with the Oxford knee score, and with visual analog scale (VAS) for patient
satisfaction. INTERPRETATION: In the present study, the KOOS--but no other
outcome measure used--indicated that patellar resurfacing may be beneficial in
TKA.
PMID- 26540369
TI - Remote Health Monitoring for Older Adults and Those with Heart Failure: Adherence
and System Usability.
AB - BACKGROUND: Remote health monitoring technology has been suggested as part of an
early intervention and prevention care model. Older adults with a chronic health
condition have been shown to benefit from remote monitoring but often have
challenges with complex technology. The current study reports on the usability of
and adherence with an integrated, real-time monitoring system over an extended
period of time by older adults with and without a chronic health condition.
MATERIALS AND METHODS: Older adults 55 years of age and over with and without
heart failure participated in a study in which a telehealth system was used for 6
months each. The system consisted of a wireless wristwatch-based monitoring
device that continuously collected temperature and motion data. Other health
information was collected daily using a weight scale, blood pressure cuff, and
tablet that participants used for health surveys. Data were automatically
analyzed and summarized by the system and presented to study nurses. RESULTS:
Forty-one older adults participated. Seventy-one percent of surveys, 75% of blood
pressure readings, and 81% of daily weight measurements were taken. Participants
wore the watch monitor 77% of the overall 24/7 time requested. The weight scale
had the highest usability rating in both groups. The groups did not otherwise
differ on device usage. CONCLUSIONS: The findings indicate that a health
monitoring system designed for older adults can and will be used for an extended
period of time and may help older adults with chronic conditions reside longer in
their own homes in partnership with the healthcare system.
PMID- 26540370
TI - Addressing the Retrolingual Space in Obstructive Sleep Apnea: Outcomes Stratified
by Friedman Stage in Patients Undergoing Transoral Robotic Surgery.
AB - BACKGROUND/AIMS: To stratify outcomes in patients with moderate to severe
obstructive sleep apnea-hypopnea syndrome (OSAHS) undergoing transoral robotic
surgery (TORS) +/- multilevel procedures according to Friedman stage. METHODS: A
total of 118 patients with moderate to severe OSAHS between 2010 and 2013 were
stratified preoperatively by Friedman stage. All patients had TORS-assisted
lingual tonsillectomy, either stand-alone or in combination with palatal surgery.
Apnea-hypopnea index (AHI) was measured preoperatively and 3 months
postoperatively. Success was defined as a decrease in AHI by 50% and AHI <20.
RESULTS: The average pre- and postoperative AHI was 43.0 and 22.6, respectively,
and the overall success rate was 63%. When stratifying by Friedman stage, success
was seen in 75% of stage I, 70% of stage II, 66% of stage III, and 10% of stage
IV patients. When stratifying by preoperative BMI, success was seen in 75% of
stage II and 72% of stage III patients with BMI <30, compared to 58% of stage II
and 56% of stage III patients with BMI >30. CONCLUSIONS: TORS-assisted lingual
tonsillectomy +/- multilevel procedures can be successful in treating patients
with moderate to severe OSAHS with Friedman stage I-III anatomy. Success rates
are even greater if patients are stratified according to preoperative BMI, as
those with BMI <30 are more likely to achieve success even with Friedman stage II
III anatomy.
PMID- 26540371
TI - Complete mitochondrial genome of Australian spiny lobster, Panulirus cygnus
(George, 1962) (Crustacea: Decapoda: Palinuridae) from coast of Australia.
AB - We characterized the total mitochondrial genome of Australian spiny lobster,
Panulirus cygnus (George, 1962), which is found along the western coast of
Australia. Total mitochondrial genome length of P. cygnus was 15 724 bp, in which
13 proteins, 2 ribosomal RNAs, 22 transfer RNAs, and a putative control region
were encoded. Nine and four protein-coding genes are encoded on the H-strand and
on the L-strand, respectively. According to the phylogenetic analysis, P. cygnus
was most closely related to Panulirus japonicus among the compared six species
belonging to Palinuridae. Although overall gene organization was the same, the
putative control region (between SrRNA gene and tRNAIlel) is least similar to one
another among mitochondrial genomes from the compared six species belonging to
Palinuridae.
PMID- 26540372
TI - Short- and long-term outcomes of endoscopic resection of rectal neuroendocrine
tumours: analyses according to the WHO 2010 classification.
AB - OBJECTIVE: Although the World Health Organisation (WHO) defined a novel
classification of gastroenteropancreatic neuroendocrine tumours (NETs) in 2010,
indications for endoscopic resection of rectal NETs in the guidelines were based
on evidence accumulated for carcinoid tumours defined by a previous
classification. This study was designed to clarify indications for endoscopic
resection of rectal NETs corresponding to the new WHO classifications. MATERIAL
AND METHODS: One hundred-seventy rectal NETs resected endoscopically from April
2001 to March 2012 were histologically re-classified according to the WHO 2010
criteria. The clinicopathological features of these lesions were analysed, and
the short- and long-term outcomes of endoscopic resection were evaluated.
RESULTS: Of the 170 rectal NETs, 166 were histopathologically diagnosed as NET G1
and four as NET G2. Thirty-eight tumours (22.4%) were positive for lymphovascular
invasion, a percentage higher than expected. Although the curative resection rate
was low (65.3%), en bloc (98.8%) and complete (85.9%) resection rates were high.
Modified endoscopic mucosal resection (88.0%) and endoscopic submucosal
dissection (92.2%) resulted in significantly higher complete resection rates than
conventional endoscopic mucosal resection (36.4%). No patient experienced tumour
recurrence, despite the low curative resection rate. CONCLUSION: Despite the low
curative resection rate, prognosis after endoscopic resection of rectal NETs was
excellent. Prospective large-scale, long-term studies are required to determine
whether NET G2 and tumours >1 cm should be included in the indication for
endoscopic resection and whether tumours with lymphovascular invasion can be
followed up without additional surgery.
PMID- 26540373
TI - The complete mitochondrial genome of the Xenocatantops brachycerus (Orthoptera:
Catantopidae).
AB - Xenocatantops brachycerus (Orthoptera: Catantopidae), which is widely distributed
through China and Southeast Asia, is harmful for the crops. The complete
mitochondrial genome of X. brachycerus is 15 605 bp in length and consists of 13
protein-coding genes, 22 tRNA genes, 2 rRNA genes and 1 A + T-rich region. The
gene order of the mitogenome is identical with most orthopteran insects. Most
protein-coding genes start with typical ATN codon except for cox1, which
initiates with ACC codon instead. While all PCGs use complete stop codons (TAA
and TAG). In addition, 13 related species and 2 outgroup taxa were used to
construct the phylogenetic tree to further validate the mitogenome of X.
brachycerus and research the phylogenetic relationships of Catantopidae.
PMID- 26540375
TI - Association between air pollution and hemoptysis.
PMID- 26540374
TI - Intravoxel incoherent motion MRI for predicting early response to induction
chemotherapy and chemoradiotherapy in patients with nasopharyngeal carcinoma.
AB - BACKGROUND: To investigate the value of intravoxel incoherent motion (IVIM)
diffusion-weighted magnetic resonance imaging (DW-MRI) in predicting the early
response to induction chemotherapy (IC) and chemoradiotherapy (CRT) in
nasopharyngeal carcinoma (NPC). METHODS: Fifty NPC patients who received IC and
CRT underwent an IVIM DW-MRI on a 1.5-Tesla MRI scanner. The pretreatment and
posttreatment (20 days after IC initiation) IVIM-based parameters (ADC, D, D*,
and f), and their percentage changes (?%), were compared between the effective
(complete response or partial response) and ineffective (stable disease) groups
based on RECIST 1.1, and between the residual and nonresidual groups. RESULTS:
None of the perfusion-related parameter' values showed significant differences
between the effective and ineffective groups (p values for pref, postf, ?%f,
preD*, postD*, and ?%D* were 0.364, 0.129, 0.792, 0.804, 0.167, and 0.428,
respectively), or between the residual and nonresidual groups (P values for pref,
postf, ?%f, preD*, postD*, and ?%D* were 0.328, 0.776, 0.546, 0.558, 0.214, and
0.414, respectively). The ineffective group exhibited higher preADC, higher preD
and lower ?%D values than the effective group (all P < 0.001). The nonresidual
group had lower preD, lower preADC and higher ?%D values (all P < 0.05) than the
residual group. ?%D had the highest area under curve (0.859) in predicting the
response to IC, whereas preD had the highest area under curve (0.841) in
predicting tumor residue after CRT. CONCLUSION: Diffusion-related IVIM-based
parameters might be more helpful than perfusion-related parameters in predicting
the early effects of IC and CRT for NPC.
PMID- 26540376
TI - Patient isolation precautions: Are they worth it?
PMID- 26540377
TI - Unimolecular Submersible Nanomachines. Synthesis, Actuation, and Monitoring.
AB - Unimolecular submersible nanomachines (USNs) bearing light-driven motors and
fluorophores are synthesized. NMR experiments demonstrate that the rotation of
the motor is not quenched by the fluorophore and that the motor behaves in the
same manner as the corresponding motor without attached fluorophores. No photo or
thermal decomposition is observed. Through careful design of control molecules
with no motor and with a slow motor, we found using single molecule fluorescence
correlation spectroscopy that only the molecules with fast rotating speed (MHz
range) show an enhancement in diffusion by 26% when the motor is fully activated
by UV light. This suggests that the USN molecules give ~9 nm steps upon each
motor actuation. A non-unidirectional rotating motor also results in a smaller,
10%, increase in diffusion. This study gives new insight into the light actuation
of motorized molecules in solution.
PMID- 26540378
TI - Sharing data to save lives.
PMID- 26540379
TI - Driving CARs: as 'living drugs', T cell therapies face dose standardization woes.
PMID- 26540380
TI - Delivering the goods: scientists seek a way to make CRISPR-Cas gene editing more
targeted.
PMID- 26540381
TI - Model network: Canadian program aims to generate models for rare disease.
PMID- 26540383
TI - A pathway to HIV-1 neutralization breadth.
PMID- 26540384
TI - A TGF-beta pathway associated with cancer cachexia.
PMID- 26540385
TI - Personalizing pancreatic cancer organoids with hPSCs.
PMID- 26540386
TI - Targeting Toll-like receptors to treat chronic pain.
PMID- 26540387
TI - The emerging role of lncRNAs in cancer.
AB - It is increasingly evident that many of the genomic mutations in cancer reside
inside regions that do not encode proteins. However, these regions are often
transcribed into long noncoding RNAs (lncRNAs). The recent application of next
generation sequencing to a growing number of cancer transcriptomes has indeed
revealed thousands of lncRNAs whose aberrant expression is associated with
different cancer types. Among the few that have been functionally characterized,
several have been linked to malignant transformation. Notably, these lncRNAs have
key roles in gene regulation and thus affect various aspects of cellular
homeostasis, including proliferation, survival, migration or genomic stability.
This review aims to summarize current knowledge of lncRNAs from the cancer
perspective. It discusses the strategies that led to the identification of cancer
related lncRNAs and the methodologies and challenges involving the study of these
molecules, as well as the imminent applications of these findings to the clinic.
PMID- 26540388
TI - Genipin diffusion and reaction into a gelatin matrix for tissue engineering
applications.
AB - Genipin is a natural low-toxic cross-linker for molecules with primary amino
groups, and its use with collagen and gelatin has shown a great potential in
tissue engineering applications. The fabrication of scaffolds with a well
organized micro and macro topology using additive manufacturing systems requires
an accurate control of working parameters, such as reaction rate, gelling time,
and diffusion constant. A polymeric system of 5% w/v gelatin in PBS with 2 mg/mL
collagen solutions in a 1:1 weight ratio was used as template to perform
measurements varying genipin concentration in a range of 0.1-1.5% w/w with
respect to gelatin. In the first part of this work, the reaction rate of the
polymeric system was estimated using a new colorimetric analysis of the reaction.
Then its workability time, closely related to the gelling time, was evaluated
thanks to rheological analysis: finally, the quantification of static and dynamic
diffusion constants of genipin across nonreacting and reacting membranes, made
respectively by agarose and gelatin, was performed. It was shown that the
colorimetric analysis is a good indicator of the reaction progress. The gelling
time depends on the genipin concentration, but a workability window of 40 min
guaranteed up to 0.5% w/w genipin. The dynamic diffusion constant of genipin in
the proposed polymeric system is in the order of magnitude of 10-7 . The obtained
results indicated the possibility to use the genipin, gelatin, and collagen, in
the proposed concentrations, to build well-defined hydrogel scaffolds with both
extrusion-based and 3D ink-jet system. (c) 2015 Wiley Periodicals, Inc. J Biomed
Mater Res Part B: Appl Biomater, 105B: 473-480, 2017.
PMID- 26540389
TI - Lower Extremity Edema, Anxiety, and Cyanosis During Chemoradiation Therapy for
Glioblastoma.
PMID- 26540390
TI - Flexible Organic Tribotronic Transistor Memory for a Visible and Wearable Touch
Monitoring System.
AB - A new type of flexible organic tribotronic transistor memory is proposed, which
can be written and erased by externally applied touch actions as an active
memory. By further coupling with an organic light-emitting diode (OLED), a
visible and wearable touch monitoring system is achieved, in which touch
triggering can be memorized and shown as the emission from the OLED.
PMID- 26540391
TI - Detection and Antimicrobial Resistance of Vibrio Isolates in Aquaculture
Environments: Implications for Public Health.
AB - The aim of this study was to evaluate the presence of Vibrio isolates recovered
from four different fish pond facilities in Benin City, Nigeria, determine their
antibiogram profiles, and evaluate the public health implications of these
findings. Fish pond water samples were collected from four sampling sites between
March and September 2014. A total of 56 samples were collected and screened for
the isolation of Vibrio species using standard culture-based methods. Polymerase
chain reaction (PCR) was used to confirm the identities of the Vibrio species
using the genus-specific and species-specific primers. Vibrio species were
detected at all the study sites at a concentration on the order of 10(3) and
10(6) CFU/100 ml. A total of 550 presumptive Vibrio isolates were subjected to
PCR confirmation. Of these isolates, 334 isolates tested positive, giving an
overall Vibrio prevalence rate of 60.7%. The speciation of the 334 Vibrio
isolates from fish ponds yielded 32.63% Vibrio fluvialis, 20.65% Vibrio
parahaemolyticus, 18.26% Vibrio vulnificus, and 28.44% other Vibrio species. In
all, 167 confirmed Vibrio isolates were selected from a pool of 334 confirmed
Vibrio isolates for antibiogram profiling. The susceptibility profiles of 20
antimicrobial agents on the isolates revealed a high level of resistance for
AMP(R), ERY(R), NAL(R), SUL(R), TMP(R), SXT(R), TET(R), OTC(R), and CHL(R). The
percentage of multiple drug resistance Vibrio isolates was 67.6%. The multiple
antibiotic resistance index mean value of 0.365 for the Vibrio isolates found in
this study indicated that the Vibrio isolates were exposed to high-risk sources
of contamination when antibiotics were frequently used. The resistant Vibrio
strains could be transmitted through the food chain to humans and therefore
constitutes a risk to public health.
PMID- 26540392
TI - Seropositivity among Korean Young Adults Approximately 2 Years after a Single
Dose Vaccination against Hepatitis A Virus.
AB - We previously observed 80.7% seropositivity and a significant interaction between
gender and hepatitis A virus (HAV) vaccine type (Havrix vs. Epaxal) on the
seropositivity approximately 11 months after single-dose HAV vaccinations in
Korean young adults. Our objective was to evaluate seropositivity approximately 2
years after a single-dose HAV vaccination and the influence of demographic
characteristics on seropositivity, including the interaction between gender and
vaccine type. Seronegative medical school students were randomly vaccinated with
Havrix or Epaxal. Based on a total serum anti-HAV antibody titer cutoff of 20
IU/mL, 338 participants (76.0%) of the 445 vaccinees were seropositive 20-25
months after a single-dose HAV vaccination. The seropositive rates were similar
after vaccination with Havrix (77.0%) and Epaxal (74.9%). Univariate analysis
indicated that female (p = 0.052) and less obese (p < 0.001) participants had a
higher seropositive rate, whereas other characteristics such as age, alcohol use,
smoking history, vaccine type, and follow-up duration were not associated with
seropositivity. Multivariate analysis indicated that women (p = 0.026) and
participants with moderate alcohol use (p < 0.001) showed significantly higher
seropositive rates than men and participants with no or low alcohol use,
respectively. The seropositive rates after vaccination with Havrix and Epaxal
were 70.9% and 67.5% in men and 87.7% and 91.3% in women, respectively (p for
interaction = 0.304). Compared with the seropositive rate approximately 11 months
after vaccination, the seropositive rate decreased substantially only in men in
the Havrix group (11.0% points), and consequently, the interaction between gender
and vaccine type disappeared while seropositivity remained high (87.7% and 91.3%
in Havrix and Epaxal groups, respectively) among women approximately 2 years
after vaccination. Further studies are needed to assess whether the seropositive
rate would be maintained in all groups more than 2 years after a single-dose HAV
vaccination.
PMID- 26540394
TI - The Effects of Saline Water Drip Irrigation on Tomato Yield, Quality, and Blossom
End Rot Incidence --- A 3a Case Study in the South of China.
AB - Saline water resources are abundant in the coastal areas of south China. Most of
these resources still have not been effectively utilized. A 3-year study on the
effects of saline water irrigation on tomato yield, quality and blossom-end rot
(BER) was conducted at different lower limits of soil matric potential (-10 kPa,
20 kPa, -30 kPa, -40 kPa and -50 kPa). Saline water differing in electrical
conductivity (EC) (3 dS/m, 4 dS/m, 4.5 dS/m, 5 dS/m and 5.5 dS/m) was supplied to
the plant after the seedling establishment. In all three years, irrigation water
with 5.5 dS/m salinity reduced the maximum leaf area index (LAIm) and chlorophyll
content the most significantly when compared with other salinity treatments.
However, compared with the control treatment (CK), a slight increase in LAIm and
chlorophyll content was observed with 3~4 dS/m salinity. Saline water improved
tomato quality, including fruit density, soluble solid, total acid, vitamin C and
the sugar-acid ratio. There was a positive relationship between the overall
tomato quality and salinity of irrigation water, as analyzed by principal
component analysis (PCA). The tomato yield decreased with increased salinity. The
5.5 dS/m treatment reduced the tomato yield (Yt) by 22.4~31.1%, 12.6~28.0% and
11.7~27.3%, respectively in 2012, 2013 and 2014, compared with CK. Moreover, a
significant (P<=0.01) coupling effect of salinity and soil matric potential on Yt
was detected. Saline water caused Yt to increase more markedly when the lower
limit of soil matric potential was controlled at a relatively lower level. The
critical salinity level that produced significant increases in the BERi was 3
dS/m~4 dS/m. Following the increase in BERi under saline water irrigation,
marketable tomato yield (Ym) decreased by 8.9%~33.8% in 2012, 5.1%~30.4% in 2013
and 10.1%~32.3% in 2014 compared with CK. In terms of maintaining the Yt and Ym,
the salinity of irrigation water should be controlled under 4 dS/m, and the lower
limit of soil matric potential should be greater than -20 kPa.
PMID- 26540393
TI - A Putative Non-Canonical Ras-Like GTPase from P. falciparum: Chemical Properties
and Characterization of the Protein.
AB - During its development the malaria parasite P. falciparum has to adapt to various
different environmental contexts. Key cellular mechanisms involving G-protein
coupled signal transduction chains are assumed to act at these interfaces.
Heterotrimeric G-proteins are absent in Plasmodium. We here describe the first
cloning and expression of a putative, non-canonical Ras-like G protein (acronym
PfG) from Plasmodium. PfG reveals an open reading frame of 2736 bp encoding a
protein of 912 amino acids with a theoretical pI of 8.68 and a molecular weight
of 108.57 kDa. Transcript levels and expression are significantly increased in
the erythrocytic phase in particular during schizont and gametocyte formation.
Most notably, PfG has GTP binding capacity and GTPase activity due to an EngA2
domain present in small Ras-like GTPases in a variety of Bacillus species and
Mycobacteria. By contrast, plasmodial PfG is divergent from any human alpha
subunit. PfG was expressed in E. coli as a histidine-tagged fusion protein and
was stable only for 3.5 hours. Purification was only possible under native
conditions by Nickel-chelate chromatography and subsequent separation by Blue
Native PAGE. Binding of a fluorescent GTP analogue BODIPY(r) FL guanosine 5'O
(thiotriphosphate) was determined by fluorescence emission. Mastoparan stimulated
GTP binding in the presence of Mg2+. GTPase activity was determined
colorimetrically. Activity expressed as absolute fluorescence was 50% higher for
the human paralogue than the activity of the parasitic enzyme. The PfG protein is
expressed in the erythrocytic stages and binds GTP after immunoprecipitation.
Immunofluorescence using specific antiserum suggests that PfG localizes to the
parasite cytosol. The current data suggest that the putitative, Ras-like G
protein might be involved in a non-canonical signaling pathway in Plasmodium.
Research on the function of PfG with respect to pathogenesis and antimalarial
chemotherapy is currently under way.
PMID- 26540395
TI - Studying the Motivated Agent Through Time: Personal Goal Development During the
Adult Life Span.
AB - This research examined the rank-order and mean-level consistency of personal
goals at two periods in the adult life span. Personal goal continuity was
considered among a group of young adults (N = 145) who reported their goals three
times over a 3-year period and among a group of midlife adults (N = 163) who
specified their goals annually over a 4-year period. Goals were coded for a
series of motive-based (viz., achievement, affiliation, intimacy, power) and
domain-based (viz., finance, generativity, health, travel) categories. In both
samples, we noted a moderate degree of rank-order consistency across assessment
periods. In addition, the majority of goal categories exhibited a high degree of
mean-level consistency. The results of this research suggest that (a) the content
of goals exhibits a modest degree of rank-order consistency and a substantial
degree of mean-level consistency over time, and (b) considering personality
continuity and development as manifest via goals represents a viable strategy for
personality psychologists.
PMID- 26540396
TI - The Effect of Dexamethasone on Symptoms of Posttraumatic Stress Disorder and
Depression After Cardiac Surgery and Intensive Care Admission: Longitudinal
Follow-Up of a Randomized Controlled Trial.
AB - OBJECTIVE: Cardiac surgery and postoperative admission to the ICU may lead to
posttraumatic stress disorder and depression. Perioperatively administered
corticosteroids potentially alter the risk of development of these psychiatric
conditions, by affecting the hypothalamic-pituitary-adrenal axis. However,
findings of previous studies are inconsistent. We aimed to assess the effect of a
single dose of dexamethasone compared with placebo on symptoms of posttraumatic
stress disorder and depression and health-related quality of life after cardiac
surgery and ICU admission. DESIGN: Follow-up study of a randomized clinical
trial. SETTING: Five Dutch heart centers. PATIENTS: Cardiac surgery patients (n =
1,244) who participated in the Dexamethasone for Cardiac Surgery trial.
INTERVENTIONS: A single intraoperative IV dose of dexamethasone or placebo was
administered in a randomized, double-blind way. MEASUREMENTS AND MAIN RESULTS:
Symptoms of posttraumatic stress disorder, depression, and health-related quality
of life were assessed with validated questionnaires 1.5 years after
randomization. Data were available for 1,125 patients (90.4%); of which 561
patients received dexamethasone and 564 patients received placebo. Overall, the
prevalence of psychopathology was not influenced by dexamethasone. Posttraumatic
stress disorder and depression were present in, respectively, 52 patients (9.3%)
and 69 patients (12.3%) who received dexamethasone and in 66 patients (11.7%) and
78 patients (13.8%) who received placebo (posttraumatic stress disorder: odds
ratio, 0.82; 95% CI, 0.55-1.20; p = 0.30; depression: odds ratio, 0.92; 95% CI,
0.64-1.31; p = 0.63). Subgroup analysis revealed a lower prevalence of
posttraumatic stress disorder (odds ratio, 0.23; 95% CI, 0.07-0.72; p < 0.01) and
depression (odds ratio, 0.29; 95% CI, 0.11-0.77; p < 0.01) in female patients
after dexamethasone administration. Health-related quality of life did not differ
between groups and was not associated with psychopathology. CONCLUSIONS: Overall,
our findings suggest that exogenous administration of the glucocorticoid receptor
agonist dexamethasone-compared with placebo-during cardiac surgery does not
positively or negatively affect the prevalence of posttraumatic stress disorder
and depression. However, in female patients, beneficial effects on the occurrence
of posttraumatic stress disorder and depression may be present.
PMID- 26540397
TI - Preventing ICU Subsyndromal Delirium Conversion to Delirium With Low-Dose IV
Haloperidol: A Double-Blind, Placebo-Controlled Pilot Study.
AB - OBJECTIVE: To compare the efficacy and safety of scheduled low-dose haloperidol
versus placebo for the prevention of delirium (Intensive Care Delirium Screening
Checklist >= 4) administered to critically ill adults with subsyndromal delirium
(Intensive Care Delirium Screening Checklist = 1-3). DESIGN: Randomized, double
blind, placebo-controlled trial. SETTING: Three 10-bed ICUs (two medical and one
surgical) at an academic medical center in the United States. PATIENTS: Sixty
eight mechanically ventilated patients with subsyndromal delirium without
complicating neurologic conditions, cardiac surgery, or requiring deep sedation.
INTERVENTIONS: Patients were randomly assigned to receive IV haloperidol 1 mg or
placebo every 6 hours until delirium occurred (Intensive Care Delirium Screening
Checklist >= 4 with psychiatric confirmation), 10 days of therapy had elapsed, or
ICU discharge. MEASUREMENTS AND MAIN RESULTS: Baseline characteristics were
similar between the haloperidol (n = 34) and placebo (n = 34) groups. A similar
number of patients given haloperidol (12/34 [35%]) and placebo (8/34 [23%])
developed delirium (p = 0.29). Haloperidol use reduced the hours per study day
spent agitated (Sedation Agitation Scale >= 5) (p = 0.008), but it did not
influence the proportion of 12-hour ICU shifts patients spent alive without coma
(Sedation Agitation Scale <= 2) or delirium (p = 0.36), the time to first
delirium occurrence (p = 0.22), nor delirium duration (p = 0.26). Days of
mechanical ventilation (p = 0.80), ICU mortality (p = 0.55), and ICU patient
disposition (p = 0.22) were similar in the two groups. The proportion of patients
who developed corrected QT-interval prolongation (p = 0.16), extrapyramidal
symptoms (p = 0.31), excessive sedation (p = 0.31), or new-onset hypotension (p =
1.0) that resulted in study drug discontinuation was comparable between the two
groups. CONCLUSIONS: Low-dose scheduled haloperidol, initiated early in the ICU
stay, does not prevent delirium and has little therapeutic advantage in
mechanically ventilated, critically ill adults with subsyndromal delirium.
PMID- 26540398
TI - Economic Evaluation of Telemedicine for Patients in ICUs.
AB - OBJECTIVE: Despite telemedicine's potential to improve patients' health outcomes
and reduce costs in the ICU, hospitals have been slow to introduce telemedicine
in the ICU due to high up-front costs and mixed evidence on effectiveness. This
study's first aim was to conduct a cost-effectiveness analysis to estimate the
incremental cost-effectiveness ratio of telemedicine in the ICU, compared with
ICU without telemedicine, from the healthcare system perspective. The second aim
was to examine potential cost saving of telemedicine in the ICU through
probabilistic analyses and break-even analyses. DESIGN: Simulation analyses
performed by standard decision models. SETTING: Hypothetical ICU defined by the
U.S. literature. PATIENTS: Hypothetical adult patients in ICU defined by the U.S.
literature. INTERVENTIONS: The intervention was the introduction of telemedicine
in the ICU, which was assumed to affect per-patient per-hospital-stay ICU cost
and hospital mortality. Telemedicine in the ICU operation costs included the
telemedicine equipment-installation (start-up) costs with 5-year depreciation,
maintenance costs, and clinician staffing costs. Telemedicine in the ICU
effectiveness was measured by cumulative quality-adjusted life years for 5 years
after ICU discharge. MEASUREMENTS AND MAIN RESULTS: The base case cost
effectiveness analysis estimated telemedicine in the ICU to extend 0.011 quality
adjusted life years with an incremental cost of $516 per patient compared with
ICU without telemedicine, resulting in an incremental cost-effectiveness ratio of
$45,320 per additional quality-adjusted life year (= $516/0.011). The
probabilistic cost-effectiveness analysis estimated an incremental cost
effectiveness ratio of $50,265 with a wide 95% CI from a negative value
(suggesting cost savings) to $375,870. These probabilistic analyses projected
that cost saving is achieved 37% of 1,000 iterations. Cost saving is also
feasible if the per-patient per-hospital-stay operational cost and physician cost
were less than $422 and less than $155, respectively, based on break-even
analyses. CONCLUSIONS: Our analyses suggest that telemedicine in the ICU is cost
effective in most cases and cost saving in some cases. The thresholds of cost and
effectiveness, estimated by break-even analyses, help hospitals determine the
impact of telemedicine in the ICU and potential cost saving.
PMID- 26540399
TI - Comparison Between Neurally Adjusted Ventilatory Assist and Pressure Support
Ventilation Levels in Terms of Respiratory Effort.
AB - OBJECTIVES: To understand the potential equivalence between neurally adjusted
ventilatory assist and pressure support ventilation levels in terms of
respiratory muscle unloading. To compare the respiratory pattern, variability,
synchronization, and neuromuscular coupling within comparable ranges of
assistance. DESIGN: Prospective single-center physiologic study. SETTING: A 13
bed university medical ICU. PATIENTS: Eleven patients recovering from respiratory
failure. INTERVENTIONS: The following levels of assistance were consecutively
applied in a random order: neurally adjusted ventilatory assist levels: 0.5, 1,
1.5, 2, 2.5, 3, 4, 5, and 7 cm H2O/MUvolt; pressure support levels: 7, 10, 15,
20, and 25 cm H2O. MEASUREMENTS AND MAIN RESULTS: Flow, airway pressure,
esophageal pressures, and peak electrical activity of the diaphragm were
continuously recorded. Breathing effort was calculated. To express the percentage
of assist assumed by the ventilator, the total pressure including muscular and
ventilator pressure was calculated. The median percentage of assist ranged from
33% (24-47%) to 82% (72-90%) between pressure support 7 and 25 cm H2O. Similar
levels of unloading were observed for neurally adjusted ventilatory assist levels
from 0.5 cm H2O/MUvolt (46% [40-51%]) to 2.5 cm H2O/MUvolt (80% [74-84%]). Tidal
variability was higher during neurally adjusted ventilatory assist and
ineffective efforts appeared only in pressure support. In neurally adjusted
ventilatory assist, double triggering occurred sometimes when electrical activity
of the diaphragm signal depicted a biphasic aspect, and an abnormal oscillatory
pattern was frequently observed from 4 cm H2O/MUvolt. For both modes, the
relationship between peak electrical activity of the diaphragm and muscle
pressure depicted a curvilinear profile. CONCLUSIONS: In patients recovering from
acute respiratory failure, levels of neurally adjusted ventilatory assist between
0.5 and 2.5 cm H2O/MUvolt are comparable to pressure support levels ranging from
7 to 25 cm H2O in terms of respiratory muscle unloading. Neurally adjusted
ventilatory assist provides better patient-ventilator interactions but can be
sometimes excessively sensitive to electrical activity of the diaphragm in terms
of triggering.
PMID- 26540400
TI - A Dysregulated Balance of Proinflammatory and Anti-Inflammatory Host Cytokine
Response Early During Therapy Predicts Persistence and Mortality in
Staphylococcus aureus Bacteremia.
AB - OBJECTIVES: The contribution of individual immune response to Staphylococcus
aureus bacteremia on outcome has not been well studied. The objective was to
relate the host cytokine response to outcome of Staphylococcus aureus bacteremia.
DESIGN: Prospective observational study. SETTING: Three U.S. university
affiliated medical centers. PATIENTS: Adult patients infected with Staphylococcus
aureus bacteremia hospitalized between July 2012 and August 2014. INTERVENTIONS:
Blood specimens were obtained at Staphylococcus aureus bacteremia onset and 72
hours after therapy initiation. Levels of tissue necrosis factor, interleukin-6,
interleukin-8, interleukin-17A, and interleukin-10 were measured by enzyme-linked
immunosorbent assay at each time point and compared between those with persistent
bacteremia (>= 4 d) and resolving bacteremia. Primary outcome was persistent
bacteremia after 4 days of effective therapy. Secondary outcomes were 30-day
mortality and 30-day recurrence. MEASUREMENTS AND MAIN RESULTS: A total of 196
patients were included (mean age, 59 yr); of them, 33% had methicillin-resistant
Staphylococcus aureus bacteremia. Forty-seven percent of the methicillin
resistant Staphylococcus aureus strains were staphylococcal cassette chromosome
mec IV. Persistent bacteremia occurred in 24% of patients (47/196); they were
more likely to die than resolving bacteremia group (28% vs 5%; p < 0.001).
Compared with resolving bacteremia group, persistent bacteremia patients had
higher initial median levels of tissue necrosis factor (44.73 vs 21.68 pg/mL; p <
0.001), interleukin-8 (124.76 vs 47.48 pg/mL; p = 0.028), and interleukin-10
(104.31 vs 29.72 pg/mL; p < 0.001). Despite 72 hours of treatment, levels
remained higher for the persistent bacteremia group than for the resolving
bacteremia group (tissue necrosis factor: 26.95 vs 18.38 pg/mL, p = 0.02;
interleukin-8: 70.75 vs 27.86 pg/mL, p = 0.002; interleukin-6: 67.50 vs 21.81
pg/mL, p = 0.005; and interleukin-10: 30.98 vs 12.60 pg/mL, p < 0.001).
Interleukin-17A levels were similar between groups at both time points. After
controlling for confounding variables by multivariate analysis, interleukin
10/tissue necrosis factor ratio at 72 hours most significantly predicted
persistence (odds ratio, 2.98; 95% CI, 1.39-6.39; p = 0.005) and mortality (odds
ratio, 9.87; 95% CI, 2.64-36.91; p < 0.001) at values more than 1.00 and more
than 2.56, respectively. CONCLUSIONS: Sustained elevation of interleukin
10/tissue necrosis factor ratio at 72 hours suggests a dysregulated immune
response and may be used to guide management to improve outcomes.
PMID- 26540401
TI - Interleukin-17A Is Associated With Alveolar Inflammation and Poor Outcomes in
Acute Respiratory Distress Syndrome.
AB - OBJECTIVE: Interleukin-17A is a proinflammatory cytokine known to play a role in
host defense and pathologic inflammation in murine models of lung injury. The
relationship between interleukin-17A and inflammation in human lung injury is
unknown. Our primary objective was to determine whether interleukin-17A levels
are associated with alveolar measures of inflammation and injury in patients with
acute respiratory distress syndrome. Our secondary objective was to test whether
interleukin-17A levels are associated with acute respiratory distress syndrome
related outcomes. DESIGN: Observational study. SETTING: Six North American
medical centers. PATIENTS: We studied two groups of patients with acute
respiratory distress syndrome: 1) patients previously enrolled in a placebo
controlled clinical trial of omega-3 fatty acids performed at five North American
medical centers (n = 86, acute respiratory distress syndrome 1), and 2) patients
with systemic inflammatory response syndrome admitted to an ICU who developed
acute respiratory distress syndrome (n = 140, acute respiratory distress syndrome
2). In acute respiratory distress syndrome 1, we used paired serum and
bronchoalveolar lavage fluid samples obtained within 48 hours of acute
respiratory distress syndrome onset, whereas in acute respiratory distress
syndrome 2, we used plasma obtained within the first 24 hours of ICU admission.
INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: We measured circulating
interleukin-17A in acute respiratory distress syndrome 1 and acute respiratory
distress syndrome 2. We also measured interleukin-17A, neutrophil counts, and
total protein in bronchoalveolar lavage fluid from acute respiratory distress
syndrome 1. We found that bronchoalveolar lavage interleukin-17A was strongly
associated with higher bronchoalveolar lavage percent neutrophils (p < 0.001) and
bronchoalveolar lavage total protein (p < 0.01) in acute respiratory distress
syndrome1. In both acute respiratory distress syndrome 1 and acute respiratory
distress syndrome 2, elevated interleukin-17A was associated with higher
Sequential Organ Failure Assessment scores (p < 0.05). CONCLUSIONS: Elevated
circulating and alveolar levels of interleukin-17A are associated with increased
percentage of alveolar neutrophils, alveolar permeability, and organ dysfunction
in acute respiratory distress syndrome.
PMID- 26540403
TI - Nod-like receptor pyrin containing 3 (NLRP3) in the post-mortem frontal cortex
from patients with bipolar disorder: A potential mediator between mitochondria
and immune-activation.
AB - Mitochondrial complex I dysfunction, oxidative stress and immune-activation are
consistently reported in bipolar disorder (BD). Mitochondrial production of
reactive oxygen species was recently linked to activation of an inflammatory
redox sensor, the nod-like receptor family pyrin domain-containing 3 (NLRP3).
Upon its activation, NLRP3 recruits apoptosis-associated speck-like protein (ASC)
and caspase-1 to form the NLRP3-inflammasome, activating IL-1beta. This study
aimed to examine if immune-activation may be a downstream target of complex I
dysfunction through the NLRP3-inflammasome in BD. Post-mortem frontal cortex from
patients with BD (N = 9), schizophrenia (N = 10), and non-psychiatric controls (N
= 9) were donated from the Harvard Brain Tissue Resource Center. Levels of NLRP3,
ASC and caspase-1 were measured by western blotting, ELISA and Luminex. While we
found no effects of age, sex or post-mortem delay, lower levels of complex I
(F2,25 = 3.46, p < 0.05) and NDUFS7, a subunit of complex I (F2,25 = 4.13, p <
0.05), were found in patients with BD. Mitochondrial NLRP3 (F2,25 = 3.86, p <
0.05) and ASC (F2,25 = 4.61, p < 0.05) levels were higher in patients with BD.
However, levels of caspase 1 (F2,25 = 4.13, p < 0.05 for both), IL-1beta (F2,25 =
7.05, p < 0.01), IL-6 (F2,25 = 5.48, p < 0.05), TNFalpha (F2,25 = 7.14, p < 0.01)
and IL-10 (F2,25 = 5.02, p < 0.05) were increased in both BD and schizophrenia.
These findings suggest that immune-activation in the frontal cortex may occur
both in patients with BD and schizophrenia, while complex I dysfunction and NLRP3
inflammasome activation may be more specific to BD.
PMID- 26540402
TI - Evaluation Following Staggered Implementation of the "Rethinking Critical Care"
ICU Care Bundle in a Multicenter Community Setting.
AB - OBJECTIVES: To evaluate process metrics and outcomes after implementation of the
"Rethinking Critical Care" ICU care bundle in a community setting. DESIGN:
Retrospective interrupted time-series analysis. SETTING: Three hospitals in the
Kaiser Permanente Northern California integrated healthcare delivery system.
PATIENTS: ICU patients admitted between January 1, 2009, and August 30, 2013.
INTERVENTIONS: Implementation of the Rethinking Critical Care ICU care bundle
which is designed to reduce potentially preventable complications by focusing on
the management of delirium, sedation, mechanical ventilation, mobility,
ambulation, and coordinated care. Rethinking Critical Care implementation
occurred in a staggered fashion between October 2011 and November 2012.
MEASUREMENTS AND MAIN RESULTS: We measured implementation metrics based on
electronic medical record data and evaluated the impact of implementation on
mortality with multivariable regression models for 24,886 first ICU episodes in
19,872 patients. After implementation, some process metrics (e.g., ventilation
start and stop times) were achieved at high rates, whereas others (e.g.,
ambulation distance), available late in the study period, showed steep increases
in compliance. Unadjusted mortality decreased from 12.3% to 10.9% (p < 0.01)
before and after implementation, respectively. The adjusted odds ratio for
hospital mortality after implementation was 0.85 (95% CI, 0.73-0.99) and for 30
day mortality was 0.88 (95% CI, 0.80-0.97) compared with before implementation.
However, the mortality rate trends were not significantly different before and
after Rethinking Critical Care implementation. The mean duration of mechanical
ventilation and hospital stay also did not demonstrate incrementally greater
declines after implementation. CONCLUSIONS: Rethinking Critical Care
implementation was associated with changes in practice and a 12-15% reduction in
the odds of short-term mortality. However, these findings may represent an
evaluation of changes in practices and outcomes still in the midimplementation
phase and cannot be directly attributed to the elements of bundle implementation.
PMID- 26540404
TI - Conditional risk for posttraumatic stress disorder in an epidemiological study of
a Brazilian urban population.
AB - INTRODUCTION: Conditional risk for PTSD is the risk of developing PTSD after
exposure to traumatic events. This epidemiological study of the general urban
population from the two largest cities in Brazil reports exposure to traumatic
events; conditional risk for PTSD; and proportion/estimated number of PTSD cases
secondary to each type of traumatic event. METHOD: Cross-sectional study of
general population (15-75 y.o.) from Rio de Janeiro and Sao Paulo. PTSD was
assessed through Composite International Diagnostic Interview 2.1. RESULTS: Our
main findings, from 3744 participants, were: 1) high prevalence of traumatic
events (86%), urban violence being the most common; 2) conditional risk for PTSD
was 11.1%; 3) women (15.9%) have overall conditional risk 3 times higher than men
(5.1%); 4) war-related trauma (67.8%), childhood sexual abuse (49.1%) and adult
sexual violence (44.1%) had the highest conditional risks; 5) 35% of PTSD cases
(estimated 435,970 individuals) were secondary to sudden/unexpected death of a
close person, and 40% secondary to interpersonal violence. CONCLUSIONS: Brazilian
urban population is highly exposed to urban violence, and overall conditional
risk for PTSD was 11.1%. Violence prevention and enhancement of resilience should
be part of public policies, and mental health sequelae of trauma should be better
recognized and treated.
PMID- 26540405
TI - Decreased mRNA expression for the two subunits of system xc(-), SLC3A2 and
SLC7A11, in WBC in patients with schizophrenia: Evidence in support of the hypo
glutamatergic hypothesis of schizophrenia.
AB - BACKGROUND: The cystine/glutamate antiporter system xc(-), playing a critical
role in the regulation of glutamate release, might be implicated in the
pathogenesis of schizophrenia. This study examined whether peripheral expressions
of the system xc(-) subunits are characteristic of schizophrenia. METHODS:
Expression of system xc(-) genes including SLC3A2 and SLC7A11 in peripheral WBCs
of patients with schizophrenia and healthy individuals were measured using
quantitative PCR. Both psychotropic-free and medicated patients with
schizophrenia were recruited. RESULTS: A total of 96 schizophrenia patients (48
medicated and 48 drug-free) and 96 healthy individuals were enrolled. The mRNA
expression levels using the 2(-DeltaDeltaC)T Method of both SLC3A2 and SLC7A11 in
WBCs of schizophrenia patients were markedly lower than that of healthy
individuals (0.22 and 0.48, respectively, the mRNA expression level of normal
controls was normalized to 1). There was no significant difference between
medicated and drug-free patients in the mRNA expressions of both SLC3A2 and
SLC7A11. The Receiver Operating Characteristics (ROC) analysis of SLC3A2 mRNA
levels using DeltaDeltaCT values for drug-free schizophrenia patients vs. healthy
controls determined an optimal cutoff value, 0.801, with high sensitivity (1.000)
and modest specificity (0.694) (area under curve of ROC = 0.794). CONCLUSION:
This is the first study indicating that the peripheral mRNA expression levels of
SLC7A11 and SLC3A2 may be lower in patients with schizophrenia than healthy
individuals. The finding supports the hypo-glutamatergic neurotransmission
hypothesis in schizophrenia. Whether mRNA expression of system xc(-) subunits
genes, particularly SLC3A2, could serve as a potential biomarker of schizophrenia
needs further studies.
PMID- 26540406
TI - X chromosome reactivation in reprogramming and in development.
AB - Dramatic epigenetic changes take place during mammalian differentiation from the
naive pluripotent state including the silencing of one of the two X chromosomes
in female cells through X chromosome inactivation. Conversely, reprogramming of
somatic cells to naive pluripotency is coupled to X chromosome reactivation
(XCR). Recent studies in the mouse system have shed light on the mechanisms of
XCR by uncovering the timing and steps of XCR during reprogramming to induced
pluripotent stem cells (iPSCs), allowing the generation of testable hypotheses
during embryogenesis. In contrast, analyses of the X chromosome in human iPSCs
have revealed important differences between mouse and human reprogramming
processes that can partially be explained by the establishment of distinct
pluripotent states and impact disease modeling and the application of human
pluripotent stem cells. Here, we review recent literature on XCR as a readout and
determinant of reprogramming to pluripotency.
PMID- 26540408
TI - Socioeconomic Disparities and Prevalence of Autism Spectrum Disorders and
Intellectual Disability.
AB - BACKGROUND AND OBJECTIVES: Study of the impact of socioeconomic status on autism
spectrum disorders (ASD) and severe intellectual disabilities (ID) has yielded
conflicting results. Recent European studies suggested that, unlike reports from
the United States, low socioeconomic status is associated with an increased risk
of ASD. For intellectual disabilities, the links with socioeconomic status vary
according to the severity. We wished to clarify the links between socioeconomic
status and the prevalence of ASD (with or without ID) and isolated severe ID.
METHODS: 500 children with ASD and 245 children with severe ID (IQ <50) aged 8
years, born 1995 to 2004, were recruited from a French population-based registry.
Inclusions were based on clinical diagnoses reported in medical records according
to the International Classification of Diseases, 10th Revision. Socioeconomic
status was measured by indicators available at block census level which
characterize the population of the child's area of residence. Measures of
deprivation, employment, occupation, education, immigration and family structure
were used. Prevalences were compared between groups of census units defined by
the tertiles of socioeconomic level in the general population. RESULTS:
Prevalence of ASD with associated ID was higher in areas with the highest level
of deprivation and the highest percentage of unemployed adults, persons with no
diploma, immigrants and single-parent families. No association was found when
using occupational class. Regarding ASD without associated ID, a higher
prevalence was found in areas with the highest percentage of immigrants. No
association was found for other socioeconomic indicators. The prevalence of
isolated severe ID was likely to be higher in the most disadvantaged groups
defined by all indicators. CONCLUSION: The prevalence of ASD with associated ID
and of severe isolated ID is more likely to be higher in areas with the highest
level of deprivation.
PMID- 26540407
TI - The p53 tumor suppressor protein protects against chemotherapeutic stress and
apoptosis in human medulloblastoma cells.
AB - Medulloblastoma (MB), a primitive neuroectodermal tumor, is the most common
malignant childhood brain tumor and remains incurable in about a third of
patients. Currently, survivors carry a significant burden of late treatment
effects. The p53 tumor suppressor protein plays a crucial role in influencing
cell survival in response to cellular stress and while the p53 pathway is
considered a key determinant of anti-tumor responses in many tumors, its role in
cell survival in MB is much less well defined. Herein, we report that the
experimental drug VMY-1-103 acts through induction of a partial DNA damage-like
response as well induction of non-survival autophagy. Surprisingly, the genetic
or chemical silencing of p53 significantly enhanced the cytotoxic effects of both
VMY and the DNA damaging drug, doxorubicin. The inhibition of p53 in the presence
of VMY revealed increased late stage apoptosis, increased DNA fragmentation and
increased expression of genes involved in apoptosis, including CAPN12 and TRPM8,
p63, p73, BIK, EndoG, CIDEB, P27Kip1 and P21cip1. These data provide the
groundwork for additional studies on VMY as a therapeutic drug and support
further investigations into the intriguing possibility that targeting p53
function may be an effective means of enhancing clinical outcomes in MB.
PMID- 26540409
TI - Synchronization of Spontaneous Active Motility of Hair Cell Bundles.
AB - Hair cells of the inner ear exhibit an active process, believed to be crucial for
achieving the sensitivity of auditory and vestibular detection. One of the
manifestations of the active process is the occurrence of spontaneous hair bundle
oscillations in vitro. Hair bundles are coupled by overlying membranes in vivo;
hence, explaining the potential role of innate bundle motility in the generation
of otoacoustic emissions requires an understanding of the effects of coupling on
the active bundle dynamics. We used microbeads to connect small groups of hair
cell bundles, using in vitro preparations that maintain their innate
oscillations. Our experiments demonstrate robust synchronization of spontaneous
oscillations, with either 1:1 or multi-mode phase-locking. The frequency of
synchronized oscillation was found to be near the mean of the innate frequencies
of individual bundles. Coupling also led to an improved regularity of entrained
oscillations, demonstrated by an increase in the quality factor.
PMID- 26540411
TI - Siglec-9 modulated IL-4 responses in the macrophage cell line RAW264.
AB - Siglecs, an immunoglobulin-like lectin family that recognizes the sialic acid
moiety, regulate various aspects of immune responses. In the present study, we
investigated the effects of Siglecs on the macrophage cell line RAW264, which was
stimulated with interleukin-4 (IL-4). The induction of arginase-1 (Arg1) by IL-4
was stronger in Siglec-9-expressing cells than in mock cells. Mutations in the
cytoplasmic tyrosine-based inhibitory motifs in Siglec-9 markedly reduced the
expression of Arg1. The phosphorylation of Akt by IL-4 and extracellular signal
regulated kinase (ERK) without IL-4 was stronger in Siglec-9-expressing cells,
indicating the enhanced activation of the phosphatidylinositol 3 kinase (PI-3K)
and mitogen-activated protein kinase kinase (MEK)/ERK pathways, respectively. The
enhanced expression of Arg1 was inhibited by MEK inhibitors, but not by PI-3K
inhibitor. These results indicate that Siglec-9 affects several different
signaling pathways in IL-4-stimulated macrophages, which resulted in enhanced
induction of Arg1 in Siglec-9-expressing RAW264 cells.
PMID- 26540413
TI - Ligand Based Dual Fluorescence and Phosphorescence Emission from BODIPY Platinum
Complexes and Its Application to Ratiometric Singlet Oxygen Detection.
AB - Four new 4,4-difluoro-4-bora-3a,4a-diaza-s-indacen-8-yl (BODIPY) platinum(II)
complexes of the type cis-/trans-Pt(BODIPY)Br(PR3)2 (R = Et or Ph) were
synthesized and characterized by NMR, electronic absorption, and luminescence
spectroscopy. Three of the complexes were also studied by single crystal X-ray
diffraction. The absorption profiles of the four complexes feature intense HOMO
> LUMO pi -> pi* transitions with molar extinction coefficients epsilon of ca. 50
000 M(-1)cm(-1) at around 475 nm and vibrational progressions that are
characteristic of BODIPYs. Most remarkably, most complexes exhibit dual emissions
through fluorescence at ca. 490 nm and phosphorescence at ca. 650 nm that
originate from Pt-perturbed BODIPY-centered (1)pipi* or (3)pipi* states,
respectively. Electronic absorption and luminescence spectroscopy data are in
good agreement with our TD-DFT calculations. While the emission of the cis
complexes is dominated by fluorescence, their trans-isomers emit predominantly
through phosphorescence with a phosphorescence quantum yield for trans
Pt(BODIPY)Br(PEt3)2 (trans-1) of 31.2%. trans-1 allows for ratiometric one
component oxygen sensing in fluid solution up to atmospheric concentration levels
and exhibits a remarkably high Stern-Volmer constant for the quenching of the
excited triplet state by oxygen of ca. 350 bar(-1) as determined by changes in
phosphorescence intensity and lifetime.
PMID- 26540412
TI - Mass Administration of Ivermectin for the Elimination of Onchocerciasis
Significantly Reduced and Maintained Low the Prevalence of Strongyloides
stercoralis in Esmeraldas, Ecuador.
AB - OBJECTIVES: To evaluate the effect of ivermectin mass drug administration on
strongyloidiasis and other soil transmitted helminthiases. METHODS: We conducted
a retrospective analysis of data collected in Esmeraldas (Ecuador) during surveys
conducted in areas where ivermectin was annually administered to the entire
population for the control of onchocerciasis. Data from 5 surveys, conducted
between 1990 (before the start of the distribution of ivermectin) and 2013 (six
years after the interruption of the intervention) were analyzed. The surveys also
comprised areas where ivermectin was not distributed because onchocerciasis was
not endemic. Different laboratory techniques were used in the different surveys
(direct fecal smear, formol-ether concentration, IFAT and IVD ELISA for
Strongyloides stercoralis). RESULTS: In the areas where ivermectin was
distributed the strongyloidiasis prevalence fell from 6.8% in 1990 to zero in
1996 and 1999. In 2013 prevalence in children was zero with stool examination and
1.3% with serology, in adult 0.7% and 2.7%. In areas not covered by ivermectin
distribution the prevalence was 23.5% and 16.1% in 1996 and 1999, respectively.
In 2013 the prevalence was 0.6% with fecal exam and 9.3% with serology in
children and 2.3% and 17.9% in adults. Regarding other soil transmitted
helminthiases: in areas where ivermectin was distributed the prevalence of T.
trichiura was significantly reduced, while A. lumbricoides and hookworms were
seemingly unaffected. CONCLUSIONS: Periodic mass distribution of ivermectin had a
significant impact on the prevalence of strongyloidiasis, less on trichuriasis
and apparently no effect on ascariasis and hookworm infections.
PMID- 26540414
TI - Bronchial Thermoplasty: Targeting Structural Cells in Severe Persistent Asthma.
PMID- 26540410
TI - Pleiotropic Effects of Immune Responses Explain Variation in the Prevalence of
Fibroproliferative Diseases.
AB - Many diseases are differentially distributed among human populations.
Differential selection on genetic variants in ancestral environments that
coincidentally predispose to disease can be an underlying cause of these unequal
prevalence patterns. Selected genes may be pleiotropic, affecting multiple
phenotypes and resulting in more than one disease or trait. Patterns of
pleiotropy may be helpful in understanding the underlying causes of an array of
conditions in a population. For example, several fibroproliferative diseases are
more prevalent and severe in populations of sub-Saharan ancestry. We propose that
this disparity is due to selection for an enhanced Th2 response that confers
resistance to helminthic infections, and concurrently increases susceptibility to
fibrosis due to the profibrotic action of Th2 cytokines. Many studies on
selection of Th2-related genes for host resistance to helminths have been
reported, but the pleiotropic impact of this selection on the distribution of
fibrotic disorders has not been explicitly investigated. We discuss the
disproportionate occurrence of fibroproliferative diseases in individuals of
African ancestry and provide evidence that adaptation of the immune system has
shaped the genetic structure of these human populations in ways that alter the
distribution of multiple fibroproliferative diseases.
PMID- 26540415
TI - The Challenge and the Promise of Studying Mitochondrial Dysfunction in Humans
with Sepsis.
PMID- 26540416
TI - Variation and Cost-effectiveness of Quality Measurement Programs. The Case of
Sepsis Bundles.
PMID- 26540417
TI - Editors' Introduction to "Ultrasound Reflections".
PMID- 26540418
TI - Keeping Hope: My 10-Year Journey with Cancer.
PMID- 26540419
TI - ATS Core Curriculum 2015: Part III. Pediatric Pulmonary Medicine.
PMID- 26540420
TI - Responding to Requests for Potentially Inappropriate Treatments in Intensive Care
Units.
PMID- 26540421
TI - Implications of Marijuana Decriminalization on the Practice of Pulmonary,
Critical Care, and Sleep Medicine. A Report of the American Thoracic Society
Marijuana Workgroup.
PMID- 26540422
TI - A Cryptic Consolidation.
PMID- 26540423
TI - Bronchoscopic Management of a Benign Obstructing Pedunculated Tumor.
PMID- 26540424
TI - Shock in a Man with HIV Infection and a Large Mediastinal Mass.
PMID- 26540425
TI - Ultrasound Diagnosis of Dyspnea in a Patient with Systemic Lupus Erythematosus.
PMID- 26540426
TI - Apnea in New-Onset Heart Failure.
PMID- 26540427
TI - Rare Becomes More Common: Recognizing Neuroendocrine Cell Hyperplasia of Infancy
in Everyday Pulmonary Consultations.
PMID- 26540428
TI - Endobronchial Ultrasound-guided Transbronchial Needle Aspiration while Receiving
Aspirin and Clopidogrel: Is It Always Safe?
PMID- 26540429
TI - Enhancing Endobronchial Ultrasound Images Using a Water-based Lubricant
Technique.
PMID- 26540430
TI - Treatment of Malignant Airway Obstruction with Intratumoral Injection of
Chemotherapy with Cisplatin.
PMID- 26540431
TI - Reply: Treatment of Malignant Airway Obstruction with Intratumoral Injection of
Chemotherapy with Cisplatin.
PMID- 26540432
TI - Getting the Full Diagnostic Picture in Intensive Care Medicine: A Plea for
"Physiological Examination".
PMID- 26540433
TI - Reply: Getting the Full Diagnostic Picture in Intensive Care Medicine: A Plea for
"Physiological Examination".
PMID- 26540435
TI - Role of Bai-Shao towards the antidepressant effect of Chaihu-Shu-Gan-San using
metabonomics integrated with chemical fingerprinting.
AB - Chaihu-Shu-Gan-San (CSGS) is a classical traditional Chinese medicine formula for
the treatment of depression. As one of the single herbs in CSGS, Bai-Shao
displayed antidepressant effect. In order to explore the role of Bai-Shao towards
the antidepressant effect of CSGS, the metabolic regulation and chemical profiles
of CSGS with and without Bai-Shao (QBS) were investigated using metabonomics
integrated with chemical fingerprinting. At first, partial least squares
regression (PLSR) analysis was applied to characterize the potential biomarkers
associated with chronic unpredictable mild stress (CUMS)-induced depression.
Among 46 differential metabolites found in the ultra-performance liquid
chromatography quadrupole time of flight mass spectrometry (UPLC-Q-TOF/MS) and
(1)H NMR-based urinary metabonomics, 20 were significantly correlated with the
preferred sucrose consumption observed in behavior experiments and were
considered as biomarkers to evaluate the antidepressant effect of CSGS. Based on
differential regulation on CUMS-induced metabolic disturbances with CSGS and QBS
treatments, we concluded that Bai-Shao made crucial contribution to CSGS in the
improvement of the metabolic deviations of six biomarkers (i.e., glutamate,
acetoacetic acid, creatinine, xanthurenic acid, kynurenic acid, and N
acetylserotonin) disturbed in CUMS-induced depression. While the chemical
constituents of Bai-Shao contributed to CSGS were paeoniflorin, albiflorin,
isomaltopaeoniflorin, and benzoylpaeoniflorin based on the multivariate analysis
of the UPLC-Q-TOF/MS chemical profiles from CSGS and QBS extracts. These findings
suggested that Bai-Shao played an indispensable role in the antidepressant effect
of CSGS.
PMID- 26540436
TI - Serum metabolomics in rats models of ketamine abuse by gas chromatography-mass
spectrometry.
AB - This study aims to evaluate the effect of ketamine on rats by examining the
differences in serum metabolites between ketamine abuse group (Ket-group) and
control group (Con-group). Compared to the Con-group, the level of phosphate,
propanoic acid, ribitol and d-fructose of the Ket-group increased after
continuous intraperitoneal administration of ketamine for 7 days, while the level
of alanine, glycine, butanoic acid, valine, l-serine, l-proline, mannonic acid,
octadecanoic acid and cholesterol decreased. After 14 days' administration, the
level of alanine, butanoic acid, valine, l-leucine, phosphate, l-serine, l
threonine, propanetricarboxylic acid, hexadecanoic acid and oleic acid of the
ketamine group increased while the level of mannonic acid, octadecanoic acid and
cholesterol decreased. After stopping ketamine administration for 2 days, the
level of butanoic acid, phosphate, aminomalonic acid, gluconic acid, hexadecanoic
acid, oleic acid and arachidonic acid of Ket-group increased, while the level of
glycine, l-lysine and cholesterol decreased. This study can provide invaluable
information for the metabolites changes due to ketamine abuse.
PMID- 26540438
TI - Surfactant Behavior of Sodium Dodecylsulfate in Deep Eutectic Solvent Choline
Chloride/Urea.
AB - Deep eutectic solvents (DES) resemble ionic liquids but are formed from an ionic
mixture instead of being a single ionic compound. Here we present some results
that demonstrate that surfactant sodium dodecyl sulfate (SDS) remains surface
active and shows self-assembly phenomena in the most commonly studied DES,
choline chloride/urea. X-ray reflectivity (XRR) and small angle neutron
scattering (SANS) suggest that the behavior is significantly different from that
in water. Our SANS data supports our determination of the critical micelle
concentration using surface-tension measurements and suggests that the micelles
formed in DES do not have the same shape and size as those seen in water.
Reflectivity measurements have also demonstrated that the surfactants remain
surface-active below this concentration.
PMID- 26540437
TI - High performance liquid chromatography tandem mass spectrometry assay for the
determination of cobinamide in pig plasma.
AB - Liquid chromatography-tandem mass spectrometry (LC-MS/MS) has been widely
utilized for the analysis of compounds in biological matrices due to its
selectivity and sensitivity. This study describes the application of an LC-MS/MS
based approach toward the analysis of cobinamide in Yorkshire pig plasma. The
selectivity, accuracy, precision, recovery, linearity, range, carryover,
sensitivity, matrix effect, interference, stability, reproducibility, and
ruggedness of the method were investigated in pig plasma. The accuracy and
precision of the method was determined to be within 10% over three different days
over a range of concentrations (25-10,000ng/mL) that spanned more than two orders
of magnitude. The lower limit of quantitation (LLOQ) for dicyanocobinamide was
determined to be 25ng/mL in pig plasma. Carryover was acceptable, as the area
response of the carryover blanks were <=15% of the area response of the nearest
LLOQ standard for the analyte, while it was nonexistent for the internal
standard. Specificity was ensured using six different lots of pig plasma. While
the matrix effects of dicyanocobinamide in plasma were enhanced, ginsenoside Rb1
experienced signal suppression under the described conditions. The absolute
recovery results for both compounds were consistent, precise, and reproducibly
lower than expected at ~60% for dicyanocobinamide and ~22% for ginsenoside Rb1,
confirming that a matrix standard curve was required for accurate quantitation.
Cobinamide was shown to be very stable in matrix at various storage conditions
including room temperature, refrigerated, and frozen at time intervals of 20h, 4
days, and 60 days respectively. This method was demonstrated to be sensitive,
reproducible, stable, and rugged, and it should be applicable to the analysis of
cobinamide in other biological matrices and species.
PMID- 26540439
TI - Correction: Lactobacillus acidophilus Alleviates Platelet-Activating Factor
Induced Inflammatory Responses in Human Intestinal Epithelial Cells.
PMID- 26540440
TI - IMGT/HighV-QUEST Statistical Significance of IMGT Clonotype (AA) Diversity per
Gene for Standardized Comparisons of Next Generation Sequencing Immunoprofiles of
Immunoglobulins and T Cell Receptors.
AB - The adaptive immune responses of humans and of other jawed vertebrate species
(gnasthostomata) are characterized by the B and T cells and their specific
antigen receptors, the immunoglobulins (IG) or antibodies and the T cell
receptors (TR) (up to 2.1012 different IG and TR per individual). IMGT, the
international ImMunoGeneTics information system (http://www.imgt.org), was
created in 1989 by Marie-Paule Lefranc (Montpellier University and CNRS) to
manage the huge and complex diversity of these antigen receptors. IMGT built on
IMGT-ONTOLOGY concepts of identification (keywords), description (labels),
classification (gene and allele nomenclature) and numerotation (IMGT unique
numbering), is at the origin of immunoinformatics, a science at the interface
between immunogenetics and bioinformatics. IMGT/HighV-QUEST, the first web
portal, and so far the only one, for the next generation sequencing (NGS)
analysis of IG and TR, is the paradigm for immune repertoire standardized outputs
and immunoprofiles of the adaptive immune responses. It provides the
identification of the variable (V), diversity (D) and joining (J) genes and
alleles, analysis of the V-(D)-J junction and complementarity determining region
3 (CDR3) and the characterization of the 'IMGT clonotype (AA)' (AA for amino
acid) diversity and expression. IMGT/HighV-QUEST compares outputs of different
batches, up to one million nucleotide sequencesfor the statistical module. These
high throughput IG and TR repertoire immunoprofiles are of prime importance in
vaccination, cancer, infectious diseases, autoimmunity and lymphoproliferative
disorders, however their comparative statistical analysis still remains a
challenge. We present a standardized statistical procedure to analyze IMGT/HighV
QUEST outputs for the evaluation of the significance of the IMGT clonotype (AA)
diversity differences in proportions, per gene of a given group, between NGS IG
and TR repertoire immunoprofiles. The procedure is generic and suitable for
evaluating significance of the IMGT clonotype (AA) diversity and expression per
gene, and for any IG and TR immunoprofiles of any species.
PMID- 26540441
TI - Correlation between the signal-to-noise ratio improvement factor (KSNR) and
clinical image quality for chest imaging with a computed radiography system.
AB - This work assessed the appropriateness of the signal-to-noise ratio improvement
factor (KSNR) as a metric for the optimisation of computed radiography (CR) of
the chest. The results of a previous study in which four experienced image
evaluators graded computer simulated chest images using a visual grading analysis
scoring (VGAS) scheme to quantify the benefit of using an anti-scatter grid were
used for the clinical image quality measurement (number of simulated patients =
80). The KSNR was used to calculate the improvement in physical image quality
measured in a physical chest phantom. KSNR correlation with VGAS was assessed as
a function of chest region (lung, spine and diaphragm/retrodiaphragm), and as a
function of x-ray tube voltage in a given chest region. The correlation of the
latter was determined by the Pearson correlation coefficient. VGAS and KSNR image
quality metrics demonstrated no correlation in the lung region but did show
correlation in the spine and diaphragm/retrodiaphragmatic regions. However, there
was no correlation as a function of tube voltage in any region; a Pearson
correlation coefficient (R) of -0.93 (p = 0.015) was found for lung, a
coefficient (R) of -0.95 (p = 0.46) was found for spine, and a coefficient (R)
of -0.85 (p = 0.015) was found for diaphragm. All demonstrate strong negative
correlations indicating conflicting results, i.e. KSNR increases with tube
voltage but VGAS decreases. Medical physicists should use the KSNR metric with
caution when assessing any potential improvement in clinical chest image quality
when introducing an anti-scatter grid for CR imaging, especially in the lung
region. This metric may also be a limited descriptor of clinical chest image
quality as a function of tube voltage when a grid is used routinely.
PMID- 26540442
TI - Influence of Immobilization Time on Functional Outcome in Radial Neck Fractures
in Children.
AB - Background Radial neck fractures represent 20 to 30% of elbow fractures in
children. Incorrect treatment can lead to significant permanent functional
impairment. Posttraumatic avascular necrosis may cause a deformity of the radial
head and neck. Deformation of the radial head and neck can be more severe after
open rather than closed reduction or orthopedic treatment without reduction. The
aim of our study was to analyze the influence of immobilization time on
functional outcome. Patients and Methods Retrospective, descriptive study of all
children who had been treated for a radial neck fracture between 1999 and 2013 at
the University Children's Hospital Basel. Patients were allocated to two groups
(group 1: patients treated between 1999 and 2008, group 2: patients treated
between 2009 and 2013). The fractures were classified according to the
classification of Metaizeau. The primary endpoint was the percentage of patients
who reached the full range of elbow motion at the end of the treatment period or
the last follow-up. Secondary endpoints were immobilization time and number of
patients with persistent physical restrictions of the elbow range of motion as
well as the type of restrictions and subjective complaints. Results A total of 67
patients treated for radial neck fracture were included in the first group (1999
2008). A total of 47 patients were allocated to the second group (2009-2013).
Overall, 59 patients in group 1 and 39 patients in group 2 were treated
nonoperatively. Average immobilization time was 22.7 days (range, 6-60 days) in
group 1 and 13.2 days (range, 0-27 days) in group 2. Full range of motion was
observed in 50 to 72.7% of patients in group 1 and in 71.4 to 92% of patients in
group 2, depending on the grade of fracture displacement. Overall, 21 patients
(31%) of group 1 showed a persistent functional restriction. In group 2, only six
patients (12%) suffered from a persistent functional restriction of the elbow
range of motion. Conclusion Aside from the severity of fracture displacement and
treatment modality (conservative vs. operative, closed vs. open reduction), the
duration of immobilization may also influence the functional outcome. Further
prospective studies are required to confirm our results.
PMID- 26540443
TI - The Role of Activin Receptor-Like Kinase 1 Signaling in the Pulmonary Vasculature
of Experimental Diaphragmatic Hernia.
AB - AIM: The high morbidity and mortality in newborn infants diagnosed with
congenital diaphragmatic hernia (CDH) is widely recognized to be due to pulmonary
hypoplasia and persistent pulmonary hypertension (PH). The underlying structural
and molecular pathomechanisms causing PH are not fully understood. Recently,
activin receptor-like kinase 1 (ALK-1), an endothelial cell (EC) receptor, has
been implicated in the pathogenesis of PH. ALK-1 transmits signals via a Smad
pathway stimulating EC proliferation and migration leading to structural lung
remodeling consecutively resulting in PH. Increased pulmonary expression of ALK-1
has been reported in patients with severe PH as well as in experimental models of
PH. We designed this study to investigate the hypothesis that pulmonary ALK-1
expression is increased in nitrofen-induced CDH. METHODS: Pregnant rats were
exposed to nitrofen or vehicle on D9. Fetuses were sacrificed on D21 and divided
into nitrofen (n = 16) and control group (n = 16). Quantitative real-time
polymerase chain reaction, Western blotting, and confocal-immunofluorescence
microscopy were performed to determine pulmonary gene and protein expression as
well as vascular localization of expressed ALK-1. RESULTS: Pulmonary gene
expression levels of ALK-1 were significantly upregulated in nitrofen-treated
lung tissue compared with controls. Western blotting showed increased pulmonary
protein expression for ALK-1 in the CDH group when compared with control lung
tissue. Confocal microscopy demonstrated markedly increased medial and
adventitial thickness of pulmonary arteries in the CDH group and revealed
increased ALK-1 protein expression of the pulmonary vasculature of CDH pups
compared with controls. CONCLUSION: Upregulated gene and increased protein
expression of ALK-1 in the pulmonary vasculature of nitrofen-induced CDH suggest
that increased expression of ALK-1 may play a crucial role in the molecular
pathogenesis of vascular remodeling induced PH in experimental CDH.
PMID- 26540444
TI - Pediatric Bulbar and Posterior Urethral Injuries: Operative Outcomes and Long
Term Follow-Up.
AB - AIM: The aim of this study was to analyze complications and outcomes of end-to
end urethral anastomosis performed for posttraumatic bulbar strictures or
posterior urethral injuries in pediatric patients. METHODS: The records of 15
boys, age 18 years and below, admitted to our tertiary trauma center with
urethral injuries from 1989 to 2014 were reviewed retrospectively. Out of these
15 boys, 7 were excluded (2 for iatrogenic trauma, 2 for minor straddle injuries
who were not operated on, 2 for incomplete records, and 1 lost to follow-up) and
8 analyzed patients were operated for bulbar or posterior urethral injury. The
mean follow-up after the operation was 4.5 years (range 0.5-10). To obtain up-to
date follow-up information, all the analyzed patients were contacted by a letter
and telephone in January 2015 and asked about lower urinary tract or erectile
dysfunction (ED) using the International Index of Erectile Function-5
questionnaire. RESULTS: Mean age at the time of injury was 12.3 years (range 5
17). Four patients with pelvic fracture had complete posterior urethra
disruption, three patients after straddle injury developed obliterating stricture
of the bulbar urethra and one patient had torn his bulbar urethra apart by a
sharp hook. Except for the immediate exploration of the open perineal wound, all
patients were operated via perineal approach 1 to 6 months after initial
suprapubic catheter insertion. Five patients needed a cystotomy to identify the
proximal urethral stump by a probe, and two patients had partial pubectomy to
gain urethral length. Postoperative complications included stricture in
anastomosis in six patients (all reoperated, four more than once including
attempts of endoscopic internal urethrotomy). Six days after surgery, one patient
developed massive external bleeding around a permanent urinary catheter due to a
posttraumatic ruptured arterial aneurysm that was later stopped by urgent
angiography and coil insertion. After discharge, three patients had transient
stress incontinence. All patients had uroflowmetry maximum flow above 20 mL/s on
their last follow-up except for two (12 and 15 mL/s). None have any lower urinary
tract dysfunction symptoms in adulthood; one suffers from mild ED and two report
moderate ED due to penile shortening. CONCLUSION: Delayed end-to-end anastomosis
for pediatric urethral injury is a safe operational option. However, high rate of
short-term complications and reoperations should be expected. Penile shortening
is one of the most severe long-term complications.
PMID- 26540445
TI - Interspecies quantitative structure-activity relationships (QSARs) for eco
toxicity screening of chemicals: the role of physicochemical properties.
AB - In addition to molecular structure profiles, descriptors based on physicochemical
properties are useful for explaining the eco-toxicities of chemicals. In a
previous study we reported that a criterion based on the difference between the
partition coefficient (log POW) and distribution coefficient (log D) values of
chemicals enabled us to identify aromatic amines and phenols for which
interspecies relationships with strong correlations could be developed for fish
daphnid and algal-daphnid toxicities. The chemicals that met the log D-based
criterion were expected to have similar toxicity mechanisms (related to membrane
penetration). Here, we investigated the applicability of log D-based criteria to
the eco-toxicity of other kinds of chemicals, including aliphatic compounds. At
pH 10, use of a log POW - log D > 0 criterion and omission of outliers resulted
in the selection of more than 100 chemicals whose acute fish toxicities or algal
growth inhibition toxicities were almost equal to their acute daphnid toxicities.
The advantage of log D-based criteria is that they allow for simple, rapid
screening and prioritizing of chemicals. However, inorganic molecules and
chemicals containing certain structural elements cannot be evaluated, because
calculated log D values are unavailable.
PMID- 26540446
TI - Estimating PM2.5 Concentrations in Xi'an City Using a Generalized Additive Model
with Multi-Source Monitoring Data.
AB - Particulate matter with an aerodynamic diameter <2.5 MUm (PM2.5) represents a
severe environmental problem and is of negative impact on human health. Xi'an
City, with a population of 6.5 million, is among the highest concentrations of
PM2.5 in China. In 2013, in total, there were 191 days in Xi'an City on which
PM2.5 concentrations were greater than 100 MUg/m3. Recently, a few studies have
explored the potential causes of high PM2.5 concentration using remote sensing
data such as the MODIS aerosol optical thickness (AOT) product. Linear regression
is a commonly used method to find statistical relationships among PM2.5
concentrations and other pollutants, including CO, NO2, SO2, and O3, which can be
indicative of emission sources. The relationships of these variables, however,
are usually complicated and non-linear. Therefore, a generalized additive model
(GAM) is used to estimate the statistical relationships between potential
variables and PM2.5 concentrations. This model contains linear functions of SO2
and CO, univariate smoothing non-linear functions of NO2, O3, AOT and
temperature, and bivariate smoothing non-linear functions of location and wind
variables. The model can explain 69.50% of PM2.5 concentrations, with R2 = 0.691,
which improves the result of a stepwise linear regression (R2 = 0.582) by 18.73%.
The two most significant variables, CO concentration and AOT, represent 20.65%
and 19.54% of the deviance, respectively, while the three other gas-phase
concentrations, SO2, NO2, and O3 account for 10.88% of the total deviance. These
results show that in Xi'an City, the traffic and other industrial emissions are
the primary source of PM2.5. Temperature, location, and wind variables also non
linearly related with PM2.5.
PMID- 26540447
TI - Racial Variations in Velopharyngeal and Craniometric Morphology in Children: An
Imaging Study.
AB - PURPOSE: The purpose of this study is to examine craniometric and velopharyngeal
anatomy among young children (4-8 years of age) with normal anatomy across Black
and White racial groups. METHOD: Thirty-two healthy children (16 White and 16
Black) with normal velopharyngeal anatomy participated and successfully completed
the magnetic resonance imaging scans. Measurements included 11 craniofacial and 9
velopharyngeal measures. RESULTS: Two-way analysis of covariance was used to
determine the effects of race and sex on velopharyngeal measures and all
craniometric measures except head circumference. Head circumference was included
as a covariate to control for overall cranial size. Sex did not have a
significant effect on any of the craniometric measures. Significant racial
differences were demonstrated for face height. A significant race effect was also
observed for mean velar length, velar thickness, and velopharyngeal ratio.
CONCLUSION: The present study provides separate craniofacial and velopharyngeal
values for young Black and White children. Data from this study can be used to
examine morphological variations with respect to race and sex.
PMID- 26540448
TI - Children teach methods they could not discover for themselves.
AB - Across three studies (N=100), we explored whether and, if so, under what
circumstances children's self-discovered knowledge impacts their transmission of
taught information. All participants were taught one of several methods for
extracting rewards from a box. Half of the participants were also given an
opportunity to discover their own method prior to receiving such instruction.
Across studies, we varied the transparency of the taught method relative to the
method children could discover on their own. When asked to teach a naive pupil
about the box, children who did not explore the box always transmitted what they
were taught. Children in the Exploration+Instruction condition were also likely
to transmit what they had been taught, but they were especially likely to do so
when the taught method was more opaque than the method they had discovered for
themselves. Thus, children faithfully transmit what they have been taught, but
only when that information is difficult to discover.
PMID- 26540449
TI - Protective Effect of Areca catechu Leaf Ethanol Extract Against Ethanol-Induced
Gastric Ulcers in ICR Mice.
AB - Gastric ulcer is a common digestive disorder that results in considerable
suffering. Hence, this digestive pathology has been the focus of a number of
recent studies. Although numerous drugs have been developed to treat gastric
ulcers, therapeutic approaches for many of the complications associated with
these drugs remain to be identified. For this reason, many natural compounds have
been explored as alternatives for these drugs. In this study, we have
investigated the effectiveness of Areca catechu leaf ethanol extract (ACE) for
treating ethanol-induced gastric ulcers in mice. We performed histological as
well as immunohistochemical examinations to explore the therapeutic properties of
ACE. We also examined the levels of inflammatory signaling molecules to confirm
the anti-inflammatory effects of ACE. The histochemical data demonstrate that ACE
can protect the mucosal epithelium as well as the vascular supply in the gastric
tract. Furthermore, ACE significantly reduced the expression levels of tumor
necrosis factor-alpha (TNF-alpha), interleukin-6 receptor (IL-6R), inducible NO
synthase (iNOS), cyclooxygenase 2 (COX2), and nuclear factor-kappa B (NF-kappaB).
Taken together, these data suggest that ACE administration may have the potential
as an alternative treatment for gastric ulcer because of its cytoprotective and
anti-inflammatory effects and ability to promote the rejuvenation and
revascularization of the damaged gastric epithelium.
PMID- 26540450
TI - Quantitative Shear-Wave US Elastography of the Supraspinatus Muscle: Reliability
of the Method and Relation to Tendon Integrity and Muscle Quality.
AB - PURPOSE: To evaluate the reliability of ultrasonographic (US) elastography of the
supraspinatus (SSP) muscle, define normal shear-wave velocity (SWV) values, and
correlate findings with tendon integrity and muscle quality. MATERIALS AND
METHODS: The study was approved by the local ethics committee, and written
informed consent was obtained from all patients. SSP SWV (in meters per second)
was prospectively assessed twice in 22 asymptomatic volunteers (mean age +/-
standard deviation, 53.8 years +/- 15.3; 11 women and 11 men) by two independent
examiners by using shear-wave elastography. Forty-four patients (mean age, 51.9
years +/- 15.0; 22 women and 22 men) were prospectively included. SWV findings
were compared with tendon integrity, tendon retraction (Patte classification),
fatty muscle infiltration (Goutallier stages 0-IV), and muscle volume atrophy
(tangent sign) on magnetic resonance (MR) images. Descriptive statistics,
Spearman correlation, analysis of variance, two-sample t test, and intraclass
correlation coefficient (ICC) were used. RESULTS: Test-retest reliability for
mean total SWV (MTSWV) was good for examiner 1 (ICC = 0.70; 95% confidence
interval [CI]: 0.30, 0.87; P = .003) and excellent for examiner 2 (ICC = 0.80;
95% CI: 0.53, 0.92; P < .001). Interexaminer reliability was excellent (ICC =
0.89; 95% CI: 0.64, 0.96; P < .001). MTSWV in volunteers (3.0 m/sec +/- 0.5) was
significantly higher than that in patients (2.5 m/sec +/- 0.5; P = .001). For
tendon integrity, no significant difference in MTSWV was found. For tendon
retraction, MTSWV varies significantly between patients with different degrees of
retraction (P = .047). No significant differences were found for Goutallier
subgroups. MTSWV was significantly lower with a positive tangent sign (P = .015;
n = 10). CONCLUSION: Shear-wave elastography is reproducible for assessment of
the SSP muscle. Mean normal SSP SWV is 3.0 m/sec +/- 0.5. SWV decreases with
increasing fat content (Goutallier stage 0-III) and increases in the final stage
of fatty infiltration (Goutallier stage IV).
PMID- 26540451
TI - Expectant management of veterans with early-stage prostate cancer.
AB - BACKGROUND: For certain men with low-risk prostate cancer, aggressive treatment
results in marginal survival benefits while exposing them to urinary and sexual
side effects. Nevertheless, expectant management has been underused. In the
current study, the authors evaluated the association between various factors and
expectant management use among veterans diagnosed with prostate cancer. METHODS:
The authors identified men diagnosed with prostate cancer in 2008. The outcome of
interest was use of expectant management, based on documentation captured through
an in-depth chart review. Multivariable regression models were fit to examine
associations between use of expectant management and patient demographics, cancer
severity, and facility characteristics. The authors assessed variation across 21
tertiary care regions and 52 facilities by generating predicted probabilities for
receipt of expectant management. RESULTS: Expectant management was more common
among patients aged >=75 years (40% vs 27% for those aged < 55 years; odds ratio,
2.57) and those with low-risk tumors (49% vs 20% for patients with high-risk
tumors; odds ratio, 5.35). There was no association noted between patient
comorbidity and receipt of expectant management (P = .90). There were also no
associations found between facility factors and use of expectant management (all
P>.05). Among ideal candidates for expectant management, receipt of expectant
management varied considerably across individual facilities (0%-85%; P<.001).
CONCLUSIONS: Patient age and tumor risk were found to be more strongly associated
with use of expectant management than patient comorbidity. Although use of
expectant management appears broadly appropriate, there was variation in
expectant management noted between hospitals that was apparently not attributable
to facility factors. Research determining the basis of this variation, with a
focus on providers, will be critical to help optimize prostate cancer treatment
for veterans.
PMID- 26540453
TI - Motor impairment among different psychiatric disorders: Can patterns be
identified?
AB - The aim of this study was to explore motor impairment in male adolescents
suffering from psychiatric conditions. Taking into account the heterogeneity of a
clinical population, motor profiles of distinctive diagnostic groups were
evaluated. Whether or not motor ability discriminates between several diagnostic
categories was investigated. The Bruininks-Oseretsky Test of Motor Proficiency,
Second Edition (BOT-2) was administered to examine a detailed motor profile. The
motor abilities of a clinical population (n=144) were compared to those of
typically developing peers (n=87), using independent t-tests. To account for
differences in intellectual functioning, a one-way ANCOVA was performed. To
investigate the extent to which a specific diagnosis contributes to variation in
motor scores a stepwise linear regression approach was applied. Results indicated
that the clinical group performed significantly worse in comparison to the
control group on all BOT-2 scales, even after controlling for IQ. The constructed
models indicated that diagnostic categories accounted for a significant amount of
the variance in motor ability scores. The results imply that motor ability of
adolescents with a psychiatric disorder is in need of attention, regardless of
the diagnosis and support the notion that objective motor assessment should be
part of routine clinical practice.
PMID- 26540454
TI - Kinematic and ground reaction force accommodation during weighted walking.
AB - Weighted walking is a functional activity common in daily life and can influence
risks for musculoskeletal loading, injury and falling. Much information exists
about weighted walking during military, occupational and recreational tasks, but
less is known about strategies used to accommodate to weight carriage typical in
daily life. The purposes of the study were to examine the effects of weight
carriage on kinematics and peak ground reaction force (GRF) during walking, and
explore relationships between these variables. Twenty subjects walked on a
treadmill while carrying 0, 44.5 and 89 N weights in front of the body. Peak GRF,
sagittal plane joint/segment angular kinematics, stride length and center of mass
(COM) vertical displacement were measured. Changes in peak GRF and displacement
variables between weight conditions represented accommodation. Effects of weight
carriage were tested using analysis of variance. Relationships between peak GRF
and kinematic accommodation variables were examined using correlation and
regression. Subjects were classified into sub-groups based on peak GRF responses
and the correlation analysis was repeated. Weight carriage increased peak GRF by
an amount greater than the weight carried, decreased stride length, increased
vertical COM displacement, and resulted in a more extended and upright posture,
with less hip and trunk displacement during weight acceptance. A GRF increase was
associated with decreases in hip extension (|r|=.53, p=.020) and thigh anterior
rotation (|r|=.57, p=.009) displacements, and an increase in foot anterior
rotation displacement (|r|=.58, p=.008). Sub-group analysis revealed that greater
GRF increases were associated with changes at multiple sites, while lesser GRF
increases were associated with changes in foot and trunk displacement. Weight
carriage affected walking kinematics and revealed different accommodation
strategies that could have implications for loading and stability.
PMID- 26540452
TI - Spatio-Temporal Gene Expression Profiling during In Vivo Early Ovarian
Folliculogenesis: Integrated Transcriptomic Study and Molecular Signature of
Early Follicular Growth.
AB - BACKGROUND: The successful achievement of early ovarian folliculogenesis is
important for fertility and reproductive life span. This complex biological
process requires the appropriate expression of numerous genes at each
developmental stage, in each follicular compartment. Relatively little is known
at present about the molecular mechanisms that drive this process, and most gene
expression studies have been performed in rodents and without considering the
different follicular compartments. RESULTS: We used RNA-seq technology to explore
the sheep transcriptome during early ovarian follicular development in the two
main compartments: oocytes and granulosa cells. We documented the differential
expression of 3,015 genes during this phase and described the gene expression
dynamic specific to these compartments. We showed that important steps occurred
during primary/secondary transition in sheep. We also described the in vivo
molecular course of a number of pathways. In oocytes, these pathways documented
the chronology of the acquisition of meiotic competence, migration and cellular
organization, while in granulosa cells they concerned adhesion, the formation of
cytoplasmic projections and steroid synthesis. This study proposes the
involvement in this process of several members of the integrin and BMP families.
The expression of genes such as Kruppel-like factor 9 (KLF9) and BMP binding
endothelial regulator (BMPER) was highlighted for the first time during early
follicular development, and their proteins were also predicted to be involved in
gene regulation. Finally, we selected a data set of 24 biomarkers that enabled
the discrimination of early follicular stages and thus offer a molecular
signature of early follicular growth. This set of biomarkers includes known genes
such as SPO11 meiotic protein covalently bound to DSB (SPO11), bone morphogenetic
protein 15 (BMP15) and WEE1 homolog 2 (S. pombe)(WEE2) which play critical roles
in follicular development but other biomarkers are also likely to play
significant roles in this process. CONCLUSIONS: To our knowledge, this is the
first in vivo spatio-temporal exploration of transcriptomes derived from early
follicles in sheep.
PMID- 26540455
TI - Dynamic Peptide Library for the Discovery of Charge Transfer Hydrogels.
AB - Coupling of peptide self-assembly to dynamic sequence exchange provides a useful
approach for the discovery of self-assembling materials. In here, we demonstrate
the discovery and optimization of aqueous, gel-phase nanostructures based on
dynamically exchanging peptide sequences that self-select to maximize charge
transfer of n-type semiconducting naphthalenediimide (NDI)-dipeptide
bioconjugates with various pi-electron-rich donors (dialkoxy/hydroxy/amino
naphthalene or pyrene derivatives). These gel-phase peptide libraries are
characterized by spectroscopy (UV-vis and fluorescence), microscopy (TEM), HPLC,
and oscillatory rheology and it is found that, of the various peptide sequences
explored (tyrosine Y-NDI with tyrosine Y, phenylalanine F, leucine L, valine V,
alanine A or glycine G-NH2), the optimum sequence is tyrosine-phenylalanine in
each case; however, both its absolute and relative yield amplification is
dictated by the properties of the donor component, indicating cooperativity of
peptide sequence and donor/acceptor pairs in assembly. The methodology provides
an in situ discovery tool for nanostructures that enable dynamic interfacing of
supramolecular electronics with aqueous (biological) systems.
PMID- 26540456
TI - A novel Co-Li2O@Si core-shell nanowire array composite as a high-performance
lithium-ion battery anode material.
AB - We report a novel material of Co-Li2O@Si core-shell nanowire array synthesized
via the lithiation of pre-synthesized CoO@Si core-shell nanowire arrays during
the first cycle. When the potential window versus lithium was controlled between
0.01-1.2 V, the coated Si shell could be electrochemically active, while the Co
Li2O nanowire core could function as a stable mechanical support and an efficient
electron conducting pathway during the charge-discharge process. The Co-Li2O@Si
core-shell nanowire array anodes exhibit good cyclic stability and high power
capability compared to planar Si film electrodes.
PMID- 26540457
TI - The Ethics of Disclosure and Counseling of Patients With Thyroid Cancer.
PMID- 26540459
TI - The DynDom3D Webserver for the Analysis of Domain Movements in Multimeric
Proteins.
AB - DynDom3D is a program for the analysis of domain movements in multimeric
proteins. Its inputs are two structure files that indicate a possible domain
movement, but the onus has been on the user to process the files so that there is
the necessary one-to-one equivalence between atoms in the two atom lists. This is
often a prohibitive task to carry out manually, which has limited the application
of DynDom3D. Here we report on a webserver with a preprocessor that automatically
creates an equivalence between atoms using sequence alignment methods. The
processed structure files are passed to DynDom3D and the results are presented on
a webpage that includes molecular graphics for easy visualization.
PMID- 26540460
TI - Prevalence and Characteristics of Infant's Unexplained Breast Preference for
Nursing One Breast: A Self-Administered Survey.
AB - BACKGROUND: Breastfeeding is recommended by international bodies as the only
source of infant nutrition during the first 6 months of life. Sometimes infants
prefer to nurse on one breast for no obvious reason (hereafter called infant's
unexplained breast preference [IUBP]). IUBP might reduce the rate of exclusive
breastfeeding. The prevalence of IUBP is unknown because most of the literature
on IUBP so far has been anecdotal. This study's objective was to investigate the
prevalence and characteristics of IUBP among healthy infants in Al-Ahsa, Saudi
Arabia. MATERIALS AND METHODS: We conducted a population-based, cross-sectional
study between March and August 2013 in the Al-Ahsa area, Eastern Province, Saudi
Arabia. Healthy infants who had been born at full term (>=37 weeks of gestation)
and were 2-24 months of age were included. We distributed 600 self-administered
surveys to mothers who attended vaccination clinics in nine primary healthcare
centers. RESULTS: Of 478 mothers who responded to the survey, 121 (25.3%)
reported unilateral breastfeeding. IUBP was the most common reason for unilateral
breastfeeding, with a prevalence of 13.6% (65/478). IUBP developed at a median
age of 1 month (range, 1 day-9 months) and was familial in 42.9% of cases. It was
the only reason for formula feeding during the first 6 months of life in 18.5% of
cases. CONCLUSIONS: IUBP is common, develops very early in life, and can be
familial and a reason for formula feeding. However, these findings need to be
confirmed in other studies of other populations.
PMID- 26540461
TI - GENEDIA Multi Influenza Ag Rapid Test for detection and H1, H3, and H5 subtyping
of influenza viruses.
AB - BACKGROUND: Rapid identification and subtype determination of influenza virus is
important in managing infected patients. Rapid influenza diagnostic tests (RIDTs)
are widely used in this manner, but most can only detect influenza A and B
viruses without subtyping. A new RIDT, GENEDIA Multi Influenza Ag Rapid Test
(GENEDIA), was developed for detection of influenza A and B viruses and also
subtyping of influenza A to H1, H3, H5 which has not been possible with other
RIDTs. OBJECTIVES: Assess the performance of GENEDIA. STUDY DESIGN:
Nasopharyngeal swabs were collected from 274 clinically suspected patients
(influenza A/H1N1/2009 (n=50), influenza A/H3 (n=50), influenza B (n=73) and
influenza-negative (n=101)) and analyzed with the real-time RT-PCR, GENEDIA, SD
Bioline Influenza Ag, and Alere BinaxNow Influenza A&B Card. Also, 46 fecal
specimens (H5N2 (n=3), H5N3 (n=3)) of spot-billed duck were analyzed with RT-PCR
and GENEDIA. RESULTS: Compared to real-time RT-PCR, the sensitivities of GENEDIA,
SD Bioline Influenza Ag, and Alere BinaxNow Influenza A&B Card were 73.0%, 57.0%,
58.0% for influenza A, respectively, and 68.5%, 65.8%, 57.5% for influenza B,
respectively. Specifically, the sensitivity of GENEDIA was 70.0% for influenza
A/H1N1/2009 and 76.0% for influenza A/H3. From the avian influenza samples,
GENEDIA detected all six H5 subtype without any cross-reactions. CONCLUSION: The
GENEDIA Multi Influenza Ag Rapid Test was sensitive in detecting influenza
viruses compared with other commercial RIDTs and also useful for rapid subtype
determination of influenza A.
PMID- 26540462
TI - Characterisation of nosocomial and community-acquired influenza in a large
university hospital during two consecutive influenza seasons.
AB - BACKGROUND: Nosocomial influenza is increasingly recognized as an important
public health threat causing considerable morbidity and mortality each year.
However, data on nosocomial influenza is usually collected during outbreaks only
and clinical information of nosocomial influenza is sparsely available.
OBJECTIVES: To systematically analyse the distribution of nosocomial and
community-acquired influenza and epidemiological characteristics in a tertiary
care unit in two consecutive seasons. STUDY DESIGN: A retrospective observational
study was conducted to identify and characterise cases of nosocomial and
community-acquired influenza at Freiburg University hospital from 1 January 2013
to 30 April 2014. A validated multiplex RT-PCR to detect influenza virus and
other respiratory pathogens was used throughout. Clinical information was
retrieved from the hospital-based information system. RESULTS: Overall, 218
patients with laboratory-confirmed influenza were included (179 in the first, 39
patients in the second season). A rate of 20% of nosocomial influenza was
observed throughout. A fatal outcome was recorded for 9% of nosocomial cases,
which were mainly associated with influenza virus A(H1N1)pdm09. Nosocomial
influenza occurred in all age groups, but fatalities were only observed in
patients >=18 years. Patients with nosocomial influenza were significantly older,
underwent therapy for blood malignancies and immunosuppressive regimens more
frequently, and received solid organ transplantation more often compared to
community-acquired patients. CONCLUSIONS: Despite the different distribution of
virus subtypes and epidemiological properties between both influenza seasons, the
rate of nosocomial cases remained similar. Systematic detection and targeted
prevention measures seem mandatory to minimize nosocomial influenza.
PMID- 26540463
TI - Dimers of Melampomagnolide B Exhibit Potent Anticancer Activity against
Hematological and Solid Tumor Cells.
AB - Novel carbamate (7a-7h) and carbonate (7i, 7j, and 8) dimers of melampomagnolide
B have been synthesized by reaction of the melampomagnolide-B-triazole carbamate
synthon 6 with various terminal diamino- and dihydroxyalkanes. Dimeric carbamate
products 7b, 7c, and 7f exhibited potent growth inhibition (GI50 = 0.16-0.99 MUM)
against the majority of cell lines in the NCI panel of 60 human hematological and
solid tumor cell lines. Compound 7f and 8 exhibited anticancer activity that was
300-fold and 1 * 10(6)-fold more cytotoxic than DMAPT, respectively, at a
concentration of 10 MUM against rat 9L-SF gliosarcoma cells. Compounds 7a-7j and
8 were also screened against M9-ENL1 and acute myelogenous leukemia (AML) primary
cell lines and exhibited 2- to 10-fold more potent antileukemic activity against
M9-ENL1 cells (EC50 = 0.57-2.90 MUM) when compared to parthenolide (EC50 = 6.0)
and showed potent antileukemic activity against five primary AML cell lines (EC50
= 0.76-7.3 MUM).
PMID- 26540465
TI - The Gender Gap in Second Language Acquisition: Gender Differences in the
Acquisition of Dutch among Immigrants from 88 Countries with 49 Mother Tongues.
AB - Gender differences were analyzed across countries of origin and continents, and
across mother tongues and language families, using a large-scale database,
containing information on 27,119 adult learners of Dutch as a second language.
Female learners consistently outperformed male learners in speaking and writing
proficiency in Dutch as a second language. This gender gap remained remarkably
robust and constant when other learner characteristics were taken into account,
such as education, age of arrival, length of residence and hours studying Dutch.
For reading and listening skills in Dutch, no gender gap was found. In addition,
we found a general gender by education effect for all four language skills in
Dutch for speaking, writing, reading, and listening. Female language learners
turned out to profit more from higher educational training than male learners do
in adult second language acquisition. These findings do not seem to match nurture
oriented explanatory frameworks based for instance on a human capital approach or
gender-specific acculturation processes. Rather, they seem to corroborate a
nature-based, gene-environment correlational framework in which language
proficiency being a genetically-influenced ability interacting with environmental
factors such as motivation, orientation, education, and learner strategies that
still mediate between endowment and acquiring language proficiency at an adult
stage.
PMID- 26540464
TI - Single fluorescence probes along the reactive center loop reveal site-specific
changes during the latency transition of PAI-1.
AB - The serine protease inhibitor (serpin), plasminogen activator inhibitor-1 (PAI
1), is an important biomarker for cardiovascular disease and many cancers. It is
therefore a desirable target for pharmaceutical intervention. However, to date,
no PAI-1 inhibitor has successfully reached clinical trial, indicating the
necessity to learn more about the mechanics of the serpin. Although its kinetics
of inhibition have been extensively studied, less is known about the latency
transition of PAI-1, in which the solvent-exposed reactive center loop (RCL)
inserts into its central beta-sheet, rendering the inhibitor inactive. This
spontaneous transition is concomitant with a large translocation of the RCL, but
no change in covalent structure. Here, we conjugated the fluorescent probe, NBD,
to single positions along the RCL (P13-P5') to detect changes in solvent exposure
that occur during the latency transition. The results support a mousetrap-like
RCL-insertion that occurs with a half-life of 1-2 h in accordance with previous
reports. Importantly, this study exposes unique transitions during latency that
occur with a half-life of ~5 and 25 min at the P5' and P8 RCL positions,
respectively. We hypothesize that the process detected at P5' represents s1C
detachment, while that at P8 results from a steric barrier to RCL insertion.
Together, these findings provide new insights by characterizing multiple steps in
the latency transition.
PMID- 26540466
TI - New tumor regression grade for rectal cancer after neoadjuvant therapy and
radical surgery.
AB - In this retrospective study, we defined a new tumor regression grade (NTRG),
which we used to evaluate the prognosis of patients with locally advanced rectal
cancer who received neoadjuvant therapy and then underwent radical surgery
between June 2004 and October 2011. Calculated as the TRG plus a lymph node
score, the NTRG was determined for 347 patients: NTRG 0, 46 patients (13.3%);
NTRG 1, 63 (18.2%); NTRG 2, 183 (52.7%); NTRG 3, 30 (8.6%); NTRG 4, 25 (7.2%).
Among this group, 45 (97.8%) NTRG 0, 56 (88.9%) NTRG 1, 148 (80.9%) NTRG 2, 24
(66.7%) NTRG 3, and 10 (40.0%) NTRG 4 patients experienced 5-year disease-free
survival. We also found that NTRG is significantly associated with 5-year local
recurrence, distant metastasis and disease-free survival (P = 0.004, 0.007 and
0.039, respectively). The NTRG may thus be an independent prognostic factor for
oncologic outcomes in rectal cancer patients after neoadjuvant therapy and
radical surgery, but this conclusion must be validated in randomized trials.
PMID- 26540467
TI - Long non-coding RNA expression profiles of hepatitis C virus-related dysplasia
and hepatocellular carcinoma.
AB - Recently, long non-coding RNAs (lncRNAs) were found to be implicated in cancer
progression. However, the contributions of lncRNAs to Hepatitis C virus-related
hepatocellular carcinoma (HCC) remain largely unknown. Here, we characterized
lncRNA expression in 73 tissue samples from several different developmental
stages of HCV-related hepatocarcinogenesis by repurposing microarray data sets.
We found that the expression of 7 lncRNAs in preneoplastic lesions and HCC was
significantly different. Among these significantly differently expressed lncRNAs,
the lncRNA LINC01419 transcripts were expressed at higher levels in early stage
HCC compared to dysplasia and as compared with early stage HCC, lncRNA AK021443
level increase in advanced stage HCC while lncRNA AF070632 level decrease in
advanced stage HCC. Using quantitative real-time reverse-transcription PCR, we
validated that LINC01419 was significantly overexpressed in HBV-related and HCV
related HCC when compared with matched non-tumor liver tissues. Moreover,
functional predictions suggested that LINC01419 and AK021443 regulate cell cycle
genes, whereas AF070632 is associated with cofactor binding, oxidation-reduction
and carboxylic acid catabolic process. These findings provide the first large
scale survey of lncRNAs associated with the development of hepatocarcinogenesis
and may offer new diagnostic biomarkers and therapeutic targets for HCV-related
HCC.
PMID- 26540468
TI - Screening key microRNAs for castration-resistant prostate cancer based on
miRNA/mRNA functional synergistic network.
AB - High-throughput methods have been used to explore the mechanisms by which
androgen-sensitive prostate cancer (ASPC) develops into castration-resistant
prostate cancer (CRPC). However, it is difficult to interpret cryptic results by
routine experimental methods. In this study, we performed systematic and
integrative analysis to detect key miRNAs that contribute to CRPC development.
From three DNA microarray datasets, we retrieved 11 outlier microRNAs (miRNAs)
that had expression discrepancies between ASPC and CRPC using a specific
algorithm. Two of the miRNAs (miR-125b and miR-124) have previously been shown to
be related to CRPC. Seven out of the other nine miRNAs were confirmed by
quantitative PCR (Q-PCR) analysis. MiR-210, miR-218, miR-346, miR-197, and miR
149 were found to be over-expressed, while miR-122, miR-145, and let-7b were
under-expressed in CRPC cell lines. GO and KEGG pathway analyses revealed that
miR-218, miR-197, miR-145, miR-122, and let-7b, along with their target genes,
were found to be involved in the PI3K and AKT3 signaling network, which is known
to contribute to CRPC development. We then chose five miRNAs to verify the
accuracy of the analysis. The target genes of each miRNA were altered
significantly upon transfection of specific miRNA mimics in the C4-2 CRPC cell
line, which was consistent with our pathway analysis results. Finally, we
hypothesized that miR-218, miR-145, miR-197, miR-149, miR-122, and let-7b may
contribute to the development of CRPC through the influence of Ras, Rho proteins,
and the SCF complex. Further investigation is needed to verify the functions of
the identified novel pathways in CRPC development.
PMID- 26540469
TI - Parasomnias are more frequent in shift workers than in day workers.
AB - The aim of this study was to investigate whether different shift work schedules
were associated with nonrapid eye movement (NREM)- and/or REM-related
parasomnias. A total of 2198 nurses with different work schedules participated in
a longitudinal cohort study. The parasomnia questions were included in the fourth
wave of the data collection, with a response rate of 74.1%. Logistic regression
analyses with the different parasomnias as dependent variables were conducted.
Nurses working two shift (day and evening) and nurses working three shift (day,
evening and night) rotational schedules had increased risk of confusional
arousal, a NREM-related parasomnia, compared to nurses working daytime only (odds
ratios = 2.10 and 1.71, respectively). Similarly, nurses working two and three
shift rotational schedules had increased risk of nightmares, a REM-related
parasomnia (odds ratios = 1.64 and 1.57, respectively). The other parasomnias
were not significantly associated with work schedule. Working night shifts only
was not associated with any of the parasomnias. In conclusion, confusional
arousal and nightmares were more commonly reported by nurses working rotational
shift work schedules compared to nurses working daytime only. This is likely
related to the circadian rhythm misalignment and sleep deprivation caused by such
shift schedules.
PMID- 26540472
TI - Changes of Accommodative Power in Vitrectomized Eyes with Crystalline Lenses.
AB - PURPOSE: To evaluate changes of accommodative power in phakic eyes after
uneventful pars plana vitrectomy (PPV) in patients aged younger than 45 years
without presbyopia. METHODS: We investigated patients aged younger than 45 years
who underwent PPV without crystalline lens extraction because of vitreoretinal
disorders. Twelve weeks after vitrectomy, the near point of accommodation, high
frequency component of accommodative microfluctuation, axial length, and anterior
chamber depth of vitrectomized and contralateral nonvitrectomized eyes were
examined. RESULTS: Ten eyes of 10 patients were included. The average patient age
was 39.8 (+/-4.3) years. None experienced cataract progression in the
vitrectomized eye up to 12 weeks after surgery. Near point of accommodation was
significantly lower in the vitrectomized eye than in the opposite eye at 12 weeks
after vitrectomy (5.23 [+/-1.39] diopters vs. 5.91 [+/-1.83] diopters, p <
0.001). The high-frequency components in the vitrectomized eyes were
significantly greater than those in the contralateral eyes (p = 0.01). However,
anterior chamber depth and axial length were similar in value to the preoperative
observations. CONCLUSIONS: Uneventful PPV in relatively young patients without
presbyopia reduced accommodative power during the early postoperative period with
no cataract progression.
PMID- 26540471
TI - Individual Letter Contrast Thresholds: Effect of Object Frequency and Noise.
AB - PURPOSE: To compare differences in contrast threshold among individual Sloan
letters presented in additive white luminance noise and in the absence of noise.
METHODS: Contrast threshold for letter identification was measured for three
visually normal subjects (aged 22, 25, and 34 years) using letters from the Sloan
set (C, D, H, K, N, O, R, S, V, and Z). The letter size was equivalent to 1.5
logMAR (logarithm of the minimum angle of resolution), and the letters were
either unfiltered or band-pass filtered to limit the object frequency content
(cycles per letter) to a one-octave wide band centered at 1.25, 2.5, 5, and 10
cycles per letter. Letters were presented for an unlimited duration against a
uniform adapting field or in the presence of additive white luminance noise.
Contrast threshold for each letter was determined using a 10-alternative forced
choice interleaved staircase procedure. RESULTS: For standard unfiltered Sloan
letters presented against a uniform field, contrast threshold for individual
letters differed by as much as a factor of 1.5, consistent with a previous
report. When measured in luminance noise, the individual letters differed by as
much as a factor of 1.8. Band-pass filtering the letters to include only low
object frequencies increased the differences in contrast threshold among the
individual letters (about a factor of 3) compared with unfiltered letters and
letters filtered into high object frequency bands. CONCLUSIONS: The addition of
white luminance noise had relatively small effects on interletter contrast
threshold differences, whereas band-pass filtering had large effects on
interletter threshold differences, greatly increasing variation among the letters
that contained only low object frequencies. Letters that contain only high object
frequencies may be useful in the design of letter charts because the interletter
threshold differences are relatively small for these optotypes and the object
frequency information mediating identification is known.
PMID- 26540473
TI - Morning Glory Syndrome with Carotid and Middle Cerebral Artery Vasculopathy.
AB - PURPOSE: To report a case of incidental asymptomatic atypical morning glory
syndrome (MGS) with concomitant ipsilateral carotid and middle cerebral
dysgenesis. CASE REPORT: A 6-year-old child was discovered to have incidental
findings of MGS, with atypia. All visual functions were normal including vision
and stereopsis. Neuroimaging revealed ipsilateral carotid and middle cerebral
vascular narrowing without associated collateral vessels or cerebral ischemia
commonly seen in Moyamoya disease. Subsequent annual examinations have been
stable, without signs of progression. CONCLUSIONS: This case demonstrates
disparity between structural aberrations and final visual and neurological
function and reinforces the association between MGS and intracranial vascular
disruption. Full ancillary ophthalmic and neuroimaging studies should be
performed in all patients with MGS with interval reassessments, even when the
patient is asymptomatic and functionally intact.
PMID- 26540470
TI - Fatherhood, marriage and HIV risk among young men in rural Uganda.
AB - Compared to a large body of work on how gender may affect young women's
vulnerability to HIV, we know little about how masculine ideals and practices
relating to marriage and fertility desires shape young men's HIV risk. Using life
history interview data with 30 HIV-positive and HIV-negative young men aged 15-24
years, this analysis offers an in-depth perspective on young men's transition
through adolescence, the desire for fatherhood and experience of sexual
partnerships in rural Uganda. Young men consistently reported the desire for
fatherhood as a cornerstone of masculinity and transition to adulthood. Ideally
young men wanted children within socially sanctioned unions. Yet, most young men
were unable to realise their marital intentions. Gendered expectations to be
economic providers combined with structural constraints, such as limited access
to educational and income-generating opportunities, led some young men to engage
in a variety of HIV-risk behaviours. Multiple partnerships and limited condom use
were at times an attempt by some young men to attain some part of their
aspirations related to fatherhood and marriage. Our findings suggest that young
men possess relationship and parenthood aspirations that - in an environment of
economic scarcity - may influence HIV-related risk.
PMID- 26540474
TI - Foster Kennedy Syndrome: An Atypical Presentation.
AB - PURPOSE: To describe an unusual presentation of Foster Kennedy syndrome (FKS;
unilateral optic nerve atrophy with optic nerve edema in the other eye) with
optic nerve atrophy and retinal vein occlusion. It is an example of how common
clinical features can hide a rare condition or presentation of a disease. Foster
Kennedy syndrome is uncommon. Therefore, a space-occupying lesion should be
suspected when there is optic atrophy associated with acute pathology of the
other eye. CASE REPORT: A 56-year-old man presented with hemiretinal retinal vein
occlusion in his right eye. He had previous optic nerve atrophy in his left eye
attributed to nonarteritic anterior ischemic optic neuropathy. He lacked
cardiovascular or prothrombotic risk factors. Consideration was given whether the
presence of contralateral optic atrophy was associated with the retinal vein
occlusion. A computed tomographic scan revealed a suprasellar mass. The tumor was
excised and identified as meningioma. CONCLUSIONS: Although typical FKS would
present with optic nerve atrophy and contralateral optic nerve edema secondary to
an intracranial mass, in this case, edema was replaced by a hemiretinal vein
occlusion. In optic atrophy that does not show characteristic visual field
alterations, typical symptoms, or the classic evolution of a given disease,
diagnostic imaging may reveal the etiology. As FKS is uncommon, when there is
optic atrophy associated with acute pathology of the other eye, a space-occupying
lesion should be suspected.
PMID- 26540475
TI - Effect of Ultraviolet Exposure on Impact Resistance of Ophthalmic Lenses.
AB - PURPOSE: To investigate the effect of ultraviolet radiation (UVR) on the impact
resistance of organic ophthalmic lens materials. METHODS: Plano power CR39,
Phoenix, Trilogy, and polycarbonate lenses with various scratch-resistant (SR)
and/or antireflection (AR) coatings were obtained in batches of 40 units. All
lenses had a nominal thickness of 2 mm. Half of each batch was conditioned
following the European Standard EN 168 protocol for the test of resistance to UVR
(exposed group). The remaining lenses comprised an unexposed group for that
combination of lens substrate and coating treatment. Each group was subjected to
ballistic impact with 6-mm steel balls following the ZEST protocol to determine
its mean breakage velocity. The difference in mean breakage velocity between
exposed and unexposed groups of each combination of lens substrate and coating
was assessed for statistical significance. RESULTS: Exposed uncoated CR39 showed
a reduction in fracture velocity of 10.3 m/s whereas CR39 with ultra hard coat
had a reduction of 3.5 m/s and CR39 with AR and SR coating had a reduction of 4.1
m/s. Scratch-resistant coated Phoenix had a reduction of 4.8 m/s whereas AR
coated Phoenix had a reduction of 3.7 m/s. The corresponding reductions for
Trilogy were 3.9 and 17.8 m/s. All differences were significant at the p level of
less than 0.05. Although we were unable to break unexposed SR-coated
polycarbonate lenses with our test apparatus, exposed SR-coated polycarbonate had
a mean breakage velocity of 142 m/s. CONCLUSIONS: Our data suggest that extended
UVR exposure causes a significant reduction in the impact resistance of the
ophthalmic lens substrates commonly used for occupational eye protectors.
Protective lenses that have been exposed to high levels of UVR for extended
periods should be replaced regularly to maintain optimal impact protection, even
if they do not show visible damage owing to wear and tear.
PMID- 26540476
TI - In Vivo Confocal Microscopy Use in Endotheliitis.
AB - PURPOSE: The use of in vivo confocal microscopy has been valuable in detecting
and managing corneal pathology. This case study documents endotheliitis using in
vivo confocal microscopy where apparent resolution of endothelial edema on
clinical examination resulted in the discovery of subclinical findings with
confocal scanning. The purpose of this case study was to discuss a rare corneal
pathology and the clinical value of confocal scanning. CASE REPORT: A 30-year-old
Asian Indian woman presented with unilateral endotheliitis and trabeculitis of
presumed varicella zoster virus etiology. She was treated successfully with oral
antiviral and topical corticosteroid therapy. Subclinical endotheliitis was
detected using in vivo confocal microscopy, prompting the continuation of
prophylactic, low-dose, topical corticosteroid therapy and topical hyperosmotics.
CONCLUSIONS: Further research is warranted to better understand the role of
confocal microscopy in endotheliitis therapeutic management, endothelial cell
count and morphology, and keratic precipitate characterization. To date,
prophylactic oral antivirals and/or topical corticosteroids may play a role in
immune suppression of the herpes virus, although prospective, randomized,
controlled clinical trials have not focused specifically on endotheliitis cases.
PMID- 26540477
TI - Distortion Correction of Visante Optical Coherence Tomography Cornea Images.
AB - PURPOSE: Quantitative biometry measurements from uncorrected anterior segment
optical coherence tomography (AS-OCT) images are inaccurate because of spatial
and optical distortions. Prior reported distortion correction equations for the
Visante AS-OCT were not reproducible. The goal was to calculate the distortions
and provide equations to correct corneal parameters for the Visante AS-OCT to get
a central corneal radius of curvature from young and older subjects. METHODS:
Five contact lenses (CLs) of known front and back radii of curvature and central
thickness were imaged using the Visante AS-OCT (Carl Zeiss, Dublin, CA). Contact
lens surface coordinates from Visante images were identified and fitted with a
circle using custom Matlab image analysis software. Spatial and optical
distortions of the Visante image of the CL radii of curvature and thickness were
calculated and corrected. Visante images were also captured from 24 younger (aged
21 to 36 years) and 30 older (aged 36 to 48 years) human subjects. Corneal radii
of curvature and thickness measurements from these subjects were corrected, and
intrasession and intersession repeatabilities of the corneal parameters were
calculated. RESULTS: Root mean square error of radius and power of the CL
surfaces after distortion correction were 0.02 mm and 0.18D for the front and
0.011 mm and 0.11D for the back, respectively. Intraclass correlation coefficient
for intrasession and intersession repeatability for all the corneal parameters
from the human subjects was greater than 0.88 in both age groups. CONCLUSIONS: A
distortion correction algorithm was developed for the Visante AS-OCT and applied
to extract human corneal radius of curvature measurements.
PMID- 26540478
TI - Evaluation of the SVOne: A Handheld, Smartphone-Based Autorefractor.
AB - PURPOSE: The SVOne is a portable Hartmann-Shack wavefront aberrometer that can be
attached to a smartphone to determine the refractive error of the eye
objectively. The aim of the present study was to compare the findings of the
SVOne with retinoscopy, subjective refraction, and two commercially available
autorefractors (Topcon KR-1W and Righton Retinomax-3). METHODS: Refractive error
was assessed both with and without cycloplegia in 50 visually normal, young
adults using the five techniques described above. Further, to assess
repeatability of the instruments, the entire procedure was repeated in a subgroup
of 10 subjects. All data were analyzed in terms of power vectors (M, J0, and
J45). RESULTS: No significant difference was observed between the mean values of
M (spherical equivalent) for the different techniques. However, a significantly
higher mean value of precyclopegic J0 was recorded for the SVOne, which also had
the highest limits of agreement for both the J0 and J45 astigmatic components.
Retinoscopy and subjective refraction showed the best repeatability (in terms of
M values) for precycloplegic and postcycloplegic measurements, respectively. High
and significant linear correlations were observed between the subjective findings
and the other four techniques. CONCLUSIONS: The results indicate that the SVOne
handheld aberrometer provides measurements of refractive error in normal, young
individuals that are not significantly different from other subjective and
objective procedures. This instrument is valuable for vision screenings, as well
as examinations taking place outside the clinical office. It may also serve as an
adjunct in the standard optometric examination.
PMID- 26540479
TI - Patient and Physician Convenience and the Choice of Intravitreal Anti-Vascular
Endothelial Growth Factor Medications.
PMID- 26540480
TI - Agronomic, metabolomic and lipidomic characterisation of Sicilian Origanum
vulgare (L.) ecotypes.
AB - Although Origanum vulgare (L.) has been deeply analysed at phytochemical level,
poor knowledge is available regarding non-volatile compounds such as lipids. The
aim of this work was to characterise five wild Sicilian Origanum ecotypes from an
agronomic, metabolomic and lipidomic perspective. Serradifalco presented higher
dry weight and inflorescences/plant than the others while Favara had a
significantly higher number of branches per plant and more extensive flowered
stratum. Metabolomic analysis, performed with LC-MS-TOF, allowed a preliminary
characterisation of the non-volatile metabolome of the five oregano ecotypes
Origanum vulgare ssp. hirtum. Twenty-five metabolites were identified belonging
to organic acids, amino acids, lysophosphatidylcholines, carnithines, nucleic
bases and lysophosphatidylethanolamines. Lipidomic analysis identified 115 polar
plant membrane glycerolipid species. Thirteen of them were differentially present
in the two chosen ecotypes. The role of these metabolites in plant physiology
from a qualitative and pharmacological point of view was discussed.
PMID- 26540481
TI - The complete chloroplast genome sequence of wild cucumber (Cucumis sativus var.
Hardwickii).
AB - The complete chloroplast genome sequence of wild cucumber (Cucumis sativus var.
hardwickii) was generated by de novo assembly with low-coverage whole-genome
sequence data. The 155 277 bp genome containing a pair of inverted repeats (IRs)
of 25 198 bp separated by a large single-copy region of 86 618 bp and a small
single-copy region of 18 263 bp. The chloroplast genome contains 130 known genes,
including 89 protein-coding genes, eight rRNA genes (four kinds), and 37 tRNA
genes (30 kinds). Eighteen genes are duplicated in the inverted repeat regions,
16 genes contain one intron, two genes, and one ycf contain two introns.
Phylogenomic analysis showed that C. sativus var. Hardwickii is closely related
to C. sativus and C. hystrix.
PMID- 26540482
TI - Lanthanum Hexaboride As Novel Interlayer for Improving the Thermal Stability of
P3HT:PCBM Organic Solar Cells.
AB - For efficient organic photovoltaic (OPV) solar cells, a low work function
electrode is necessary to enhance the built-in voltage of the active layer,
thereby improving the overall efficiency. Calcium is often used for this purpose
in the laboratory; however, its development on a larger scale is impaired by its
high reactivity with oxygen and water and the resulting low stability of solar
cells under operation. The influence of a novel interlayer, lanthanum hexaboride
(LaB6), on the electronic properties of OPV is studied in this work. Similarly to
calcium, when LaB6 is used as an interlayer, it enhances the built-in voltage in
the device, leading to a higher fill factor (FF) and optimal open circuit voltage
(V(oc)). As a result, optimized LaB6-based devices present significantly improved
power conversion efficiencies. More importantly, while calcium/aluminum (Ca/Al)
and aluminum (Al) cathodes lose their capacity to enhance the internal electrical
field during thermal aging, the LaB6/aluminum (LaB6/Al) electrode remains stable.
This remarkable effect results in a highly stable V(oc) and flat-band potential
during aging.
PMID- 26540483
TI - Vital Signs: Multistate Foodborne Outbreaks - United States, 2010-2014.
AB - INTRODUCTION: Millions of U.S. residents become ill from foodborne pathogens each
year. Most foodborne outbreaks occur among small groups of persons in a localized
area. However, because many foods are distributed widely and rapidly, and because
detection methods have improved, outbreaks that occur in multiple states and that
even span the entire country are being recognized with increasing frequency.
METHODS: This report analyzes data from CDC's Foodborne Disease Outbreak
Surveillance System to describe multistate foodborne outbreaks that occurred in
the United States during 2010-2014. RESULTS: During this 5-year period, 120
multistate foodborne disease outbreaks (with identified pathogen and food or
common setting) were reported to CDC. These multistate outbreaks accounted for 3%
(120 of 4,163) of all reported foodborne outbreaks, but were responsible for 11%
(7,929 of 71,747) of illnesses, 34% (1,460 of 4,247) of hospitalizations, and 56%
(66 of 118) of deaths associated with foodborne outbreaks. Salmonella (63
outbreaks), Shiga toxin-producing E. coli (34), and Listeria monocytogenes (12)
were the leading pathogens. Fruits (17), vegetable row crops (15), beef (13),
sprouts (10), and seeded vegetables (nine) were the most commonly implicated
foods. Traceback investigations to identify the food origin were conducted for 87
outbreaks, of which 55 led to a product recall. Imported foods were linked to 18
multistate outbreaks. CONCLUSIONS: Multistate foodborne disease outbreaks account
for a disproportionate number of outbreak-associated illnesses, hospitalizations,
and deaths relative to their occurrence. Working together, food industries and
public health departments and agencies can develop and implement more effective
ways to identify and to trace contaminated foods linked to multistate outbreaks.
Lessons learned during outbreak investigations can help improve food safety
practices and regulations, and might prevent future outbreaks.
PMID- 26540484
TI - Value of remote ischaemic preconditioning in rat dorsal skin flaps and clamping
time.
AB - OBJECTIVE: According to previous reports, remote ischaemic preconditioning (RIPC)
is a "delay" procedure that is highly likely to be useful for preventing skin
flap necrosis. Differences in the extent of necrosis in rat dorsal skin flaps
when different clamping times were used in RIPC were compared among the four
groups described below. METHODS: Group A was a control group in which no prior
ischaemic area was created, and both back legs were devascularised for 15 min in
Group B, 30 min in Group C, and 60 min in Group D. The experiments were performed
on 10 rats in each group, and the surviving area was measured. One-way analysis
of variance (ANOVA) and Tukey's multiple comparison test were used for analysis,
with p < 0.05 regarded as significant. RESULTS: The surviving area of the skin
flap was 15.4 +/- 1.8 cm(2) in Group A, 15.4 +/- 2.0 cm(2) in Group B, 17.9 +/-
2.0 cm(2) in Group C, and 19.2 +/- 3.4 cm(2) in Group D, with significant
differences between Groups A and D and between Groups B and D. CONCLUSIONS: RIPC
consisting of 60 min of ischaemic preconditioning may be clinically useful as a
method of preventing skin flap necrosis.
PMID- 26540485
TI - Development and Efficacy Testing of a Social Network-Based Competitive
Application for Weight Loss.
AB - INTRODUCTION: Although a lot of people continuously try to lose weight, the
obesity rate has remained high: 36.9% of males and 38.0% of females worldwide in
2013. This suggests the need for a new intervention. MATERIALS AND METHODS: In
this study, we designed a smartphone application, With U, to aid weight loss by
using an offline social network of friends and an online social network,
Facebook. To determine the effects of With U, this study was designed as a one
group pretest-posttest design. Overweight, obese, and severely obese adults 20-40
years old, along with their friends, participated in this study. A total of 10
pairs attempted to lose weight for 4 weeks. We used a questionnaire to measure
general characteristics, motivation, and intent to continue to use With U, and
the Inbody720 (Biospace, Seoul, Republic of Korea) body composition analyzer was
used to measure physical characteristics. In addition, we briefly interviewed the
participants about their experience. RESULTS: We observed statistically
significant effects in terms of motivation to lose weight and the amount of
weight loss. Changes in physical characteristics beyond weight loss also showed
positive trends. Also, we discovered some interesting facts during the
interviews. The weight loss effect was greater when the team members met more and
the relationship between the challengers was more direct and intimate.
CONCLUSIONS: The application With U, designed and developed to allow friends to
challenge each other to lose weight, affected both motivation to lose weight and
the amount of weight loss. In the future, effects of smartphone applications for
health management with social networks need to be studied further.
PMID- 26540486
TI - Four complete mitochondrial genomes of the genera Candidia, Opsariichthys, and
Zacco (Cypriniformes: Cyprinidae).
AB - Members of Candidia, Nipponcrysis, Parazacco, Opsariichthys, and Zacco are a
group of East Asian freshwater fishes, and these five genera form a monophyletic
group within the subfamily Oxygastrinae (Cypriniformes: Cyprinidae). In this
study, we first determined complete mitogenomes of Candidia pingtungensis,
Opsariichthys acutipinnis, O. chengtui, and Zacco acanthogenys. The four
mitogenomes with the length of 16 611-16 615 bp displayed the same patterns in
gene arrangements and the use of start and stop codons for protein-coding genes.
Our phylogeny divided Candidia, Nipponcrysis, Parazacco, Opsariichthys, and Zacco
into two major groups that the former three genera consisted of a group and the
latter two genera formed another group. The phylogeny also revealed that C.
pingtungensis was nested within Nipponcrysis. Our findings indicate that the
taxonomy status of Candidia and Nipponcrysis is still needed to be confirmed.
PMID- 26540487
TI - A Comparison of the Penetration and Permeation of Caffeine into and through Human
Epidermis after Application in Various Vesicle Formulations.
AB - BACKGROUND/AIMS: A range of vesicles is now widely used to carry various solutes
into and through the epidermis. These usually have the active solute encapsulated
within and may be modified to confer flexibility and skin penetration
enhancement. Here, we compared the ability of five different vesicle systems to
deliver a model hydrophilic drug, caffeine, into and through excised human skin.
METHODS: In addition to lipids, the vesicle excipients included eucalyptol or
oleic acid as penetration enhancers, and decyl polyglucoside as a non-ionic
surfactant. Vesicle particle sizes ranged between 135 and 158 nm, and caffeine
encapsulation efficiencies were between 46 and 66%. Caffeine penetration and
permeation were measured using high-performance liquid chromatography. RESULTS:
We found that niosomes, which are liposomes containing a non-ionic surfactant,
and transferosomes (ultraflexible vesicles) showed significantly greater
penetration into the skin and permeation across the stratum corneum. Significant
enhancement of caffeine penetration into hair follicles was found for
transferosomes and those liposomes containing oleic acid. CONCLUSIONS: We
conclude that targeted delivery of the hydrophilic drug caffeine into the skin
compartments can be modified using optimized vesicular formulations.
PMID- 26540489
TI - Genetic variations of Turkish bank vole, Myodes glareolus (Mammalia: Rodentia)
inferred from mtDNA.
AB - The bank vole, Myodes glareolus, lives in deciduous forests throughout the
Palearctic region. In Turkey, this species is distributed only in northern
Anatolia (the Black Sea region) where these forests exist. This study reveals
genetic differentiation among bank vole populations based on two regions of
mitochondrial DNA (cytochrome b and D-loop). Populations in northern Anatolia are
divided into two genetic lineages (the "eastern" and "western Black Sea"
lineages) by the Kizilirmak Valley. While the western Black Sea lineage is close
to the Balkan lineage, in accordance with their geographical proximities,
surprisingly, the Uludag lineage, also situated in Western Turkey appears related
to the eastern Black Sea population. The divergence time analyses suggest a
separation between the Balkan and Turkish groups around 0.26 Mya, whereas the
split between the eastern and western Black sea lineages appeared a little bit
later (0.20 Mya). Our results suggest that regional refuges existed for this
species in Turkey and that small-scale habitat fragmentations led to genetic
differentiations between Myodes populations.
PMID- 26540488
TI - Localised hyperthermia in rodent models using an MRI-compatible high-intensity
focused ultrasound system.
AB - PURPOSE: Localised hyperthermia in rodent studies is challenging due to the small
target size. This study describes the development and characterisation of an MRI
compatible high-intensity focused ultrasound (HIFU) system to perform localised
mild hyperthermia treatments in rodent models. MATERIAL AND METHODS: The
hyperthermia platform consisted of an MRI-compatible small animal HIFU system,
focused transducers with sector-vortex lenses, a custom-made receive coil, and
means to maintain systemic temperatures of rodents. The system was integrated
into a 3T MR imager. Control software was developed to acquire images, process
temperature maps, and adjust output power using a proportional-integral
derivative feedback control algorithm. Hyperthermia exposures were performed in
tissue-mimicking phantoms and in a rodent model (n = 9). During heating, an ROI
was assigned in the heated region for temperature control and the target
temperature was 42 degrees C; 30 min mild hyperthermia treatment followed by a
10-min cooling procedure was performed on each animal. RESULTS: 3D-printed sector
vortex lenses were successful at creating annular focal regions which enables
customisation of the heating volume. Localised mild hyperthermia performed in
rats produced a mean ROI temperature of 42.1 +/- 0.3 degrees C. The T10 and T90
percentiles were 43.2 +/- 0.4 degrees C and 41.0 +/- 0.3 degrees C,
respectively. For a 30-min treatment, the mean time duration between 41-45
degrees C was 31.1 min within the ROI. CONCLUSIONS: The MRI-compatible HIFU
system was successfully adapted to perform localised mild hyperthermia treatment
in rodent models. A target temperature of 42 degrees C was well-maintained in a
rat thigh model for 30 min.
PMID- 26540490
TI - Photoinduced C-S Bond Cleavage of Thioglycosides and Glycosylation.
AB - A glycosyl coupling reaction via photoinduced direct activation of thioglycosides
and subsequent O-glycosylation in the absence of photosensitizer was developed
for the first time. This reaction underwent a selectively homolytic cleavage of a
C-S bond to generate a glycosyl radical, which was oxidized to an oxacarbenium
ion by Cu(OTf)2, and a sequential O-glycosylation. A wide range of glycosides
were synthesized in moderate to excellent yield using sugars, amino acids, or
cholesterol as the acceptors.
PMID- 26540491
TI - Safety and efficacy of tiotropium Respimat versus HandiHaler in patients naive to
treatment with inhaled anticholinergics: a post hoc analysis of the TIOSPIR
trial.
AB - BACKGROUND: Patients with chronic obstructive pulmonary disease (COPD) who were
naive to anticholinergics before the TIOtropium Safety and Performance In
Respimat (TIOSPIR) trial may reflect patients seen in practice, in particular in
primary care. In addition, investigating safety in these patients avoids the
potential bias in patients who previously received anticholinergics and may be
tolerant of their effects. AIMS: The aim of this study was to evaluate whether
patients naive to anticholinergic therapy who were treated with tiotropium
Respimat 2.5 or 5 MUg had different safety and efficacy outcomes than patients
treated with tiotropium HandiHaler 18 MUg. METHODS: A post hoc analysis of
patients who were not receiving anticholinergics before TIOSPIR (N=6,966/17,135)
was conducted. Primary end points were risk of death from any cause and risk of
COPD exacerbation. Secondary outcomes included severe exacerbation and major
adverse cardiovascular events (MACE). Additional analysis of exacerbations was
carried out in anticholinergic-naive patients with moderate (GOLD II) disease.
RESULTS: Anticholinergic-naive patients had less severe disease than the total
TIOSPIR population. Discontinuations because of anticholinergic side effects were
infrequent (0.9% overall). Similar to the primary study, patients in the
tiotropium Respimat groups had no difference in the risk of death or risk of any
or severe exacerbation than patients treated with tiotropium HandiHaler. Risk of
MACE was similar across the Respimat and HandiHaler groups. Rates of
exacerbations in the subgroup of patients with moderate disease were similar
across the Respimat and HandiHaler groups. CONCLUSIONS: Tiotropium Respimat and
HandiHaler have similar safety and efficacy profiles in patients who are naive to
anticholinergic therapy.
PMID- 26540493
TI - Trastuzumab and Cardiac Outcomes in Breast Cancer: A Story We Know by Heart?
PMID- 26540492
TI - Evaluation and management of spinal epidural abscess.
AB - Spinal epidural abscess (SEA) is an uncommon and potentially catastrophic
condition. SEA often presents a diagnostic challenge, as the "classic triad" of
fever, spinal pain, and neurological deficit is evident in only a minority of
patients. When diagnosis is delayed, irreversible neurological damage may ensue.
To minimize morbidity, an appropriate level of suspicion and an understanding of
the diagnostic evaluation are essential. Infection should be suspected in
patients presenting with axial pain, fever, or elevated inflammatory markers.
Although patients with no known risk factors can develop SEA, clinical concern
should be heightened in the presence of diabetes, intravenous drug use, chronic
renal failure, immunosuppressant therapy, or a recent invasive spine procedure.
When the clinical profile is consistent with the diagnosis of SEA, gadolinium
enhanced magnetic resonance imaging of the spinal column should be obtained on an
emergent basis to delineate the location and neural compressive effect of the
abscess. Rapid diagnosis allows for efficient treatment, which optimizes the
potential for a positive outcome.
PMID- 26540494
TI - Anti-HIV-1 activity of a tripodal receptor that recognizes mannose oligomers.
AB - The glycoprotein gp120 of the HIV-1 viral envelope has a high content in mannose
residues, particularly alpha-1,2-mannose oligomers. Compounds that interact with
these high-mannose type glycans may disturb the interaction between gp120 and its
(co)receptors and are considered potential anti-HIV agents. Previously, we
demonstrated that a tripodal receptor (1), with a central scaffold of 1,3,5
triethylbenzene substituted with three 2,3,4-trihydroxybenzoyl groups,
selectively recognizes alpha-1,2-mannose polysaccharides. Here we present
additional studies to determine the anti-HIV-1 activity and the mechanism of
antiviral activity of this compound. Our studies indicate that 1 shows anti-HIV-1
activity in the low micromolar range and has pronounced gp120 binding and HIV-1
integrase inhibitory capacity. However, gp120 binding rather than integrase
inhibition seems to be the primary mechanism of antiviral activity of 1.
PMID- 26540495
TI - The Prevalence of Atopic Dermatitis in Korean Children.
PMID- 26540496
TI - Update on Advances in Research on Idiosyncratic Drug-Induced Liver Injury.
AB - Drug-induced liver injury (DILI) is a major concern for public health, as well as
for drug development in the pharmaceutical industry, since it can cause liver
failure and lead to drug withdrawal from the market and black box warnings. Thus,
it is important to identify biomarkers for early prediction to increase our
understanding of mechanisms underlying DILI that will ultimately aid in the
exploration of novel therapeutic strategies to prevent or manage DILI. DILI can
be subdivided into 'intrinsic' and 'idiosyncratic' categories, although the
validity of this classification remains controversial. Idiosyncratic DILI occurs
in a minority of susceptible individuals with a prolonged latency, while
intrinsic DILI results from drug-induced direct hepatotoxicity over the course of
a few days. The rare occurrence of idiosyncratic DILI requires multicenter
collaborative investigations and phenotype standardization. Recent progress in
research on idiosyncratic DILI is based on key developments in 3 areas: (1) newly
developed high-throughput genotyping across the whole genome allowing for the
identification of genetic susceptibility markers, (2) new mechanistic concepts on
the pathogenesis of DILI revealing a key role of drug-responsive T lymphocytes in
the immunological response, and (3) broad multidisciplinary approaches using
different platform "-omics" technologies that have identified novel biomarkers
for the prediction of DILI. An association of a specific human leukocyte antigen
(HLA) allele with DILI has been reported for several drugs. HLA-restricted T-cell
immune responses have also been investigated using lymphocytes and T-cell clones
isolated from patients. A microRNA, miR-122, has been discovered as a promising
biomarker for the early prediction of DILI. In this review, we summarize recent
advances in research on idiosyncratic DILI with an understanding of the key role
of adaptive immune systems.
PMID- 26540497
TI - Exhaled NO: Determinants and Clinical Application in Children With Allergic
Airway Disease.
AB - Nitric oxide (NO) is endogenously released in the airways, and the fractional
concentration of NO in exhaled breath (FeNO) is now recognized as a surrogate
marker of eosinophilic airway inflammation that can be measured using a
noninvasive technique suitable for young children. Although FeNO levels are
affected by several factors, the most important clinical determinants of
increased FeNO levels are atopy, asthma, and allergic rhinitis. In addition, air
pollution is an environmental determinant of FeNO that may contribute to the high
prevalence of allergic disease. In this review, we discuss the mechanism for
airway NO production, methods for measuring FeNO, and determinants of FeNO in
children, including host and environmental factors such as air pollution. We also
discuss the clinical utility of FeNO in children with asthma and allergic
rhinitis and further useful directions using FeNO measurement.
PMID- 26540498
TI - Prevalence, Severity, and Treatment of Recurrent Wheezing During the First Year
of Life: A Cross-Sectional Study of 12,405 Latin American Infants.
AB - PURPOSE: This study aimed to determine the prevalence and severity of recurrent
wheezing (RW) defined as >=3 episodes of wheezing, risk factors, and treatments
prescribed during the first year of life in Latin American infants. METHODS: In
this international, cross-sectional, and community-based study, parents of 12,405
infants from 11 centers in 6 South American countries (Argentina, Brazil, Chile,
Colombia, Peru, and Uruguay) completed a questionnaire about wheezing and
associated risk/protective factors, asthma medications, and the frequency of and
indications for the prescription of antibiotics and paracetamol during the first
year of life. RESULTS: The prevalence of RW was 16.6% (95% CI 16.0-17.3); of the
12,405 infants, 72.7% (95% CI 70.7-74.6) visited the Emergency Department for
wheezing, and 29.7% (27.7-31.7) was admitted. Regarding treatment, 49.1% of RW
infants received inhaled corticosteroids, 55.7% oral corticosteroids, 26.3%
antileukotrienes, 22.9% antibiotics >=4 times mainly for common colds, wheezing,
and pharyngitis, and 57.5% paracetamol >=4 times. Tobacco smoking during
pregnancy, household income per month <1,000 USD, history of parental asthma,
male gender, and nursery school attendance were significant risk factors for
higher prevalence and severity of RW, whereas breast-feeding for at least 3
months was a significant protective factor. Pneumonia and admissions for
pneumonia were significantly higher in infants with RW as compared to the whole
sample (3.5-fold and 3.7-fold, respectively). CONCLUSIONS: RW affects 1.6 out of
10 infants during the first year of life, with a high prevalence of severe
episodes, frequent visits to the Emergency Department, and frequent admissions
for wheezing. Besides the elevated prescription of asthma medications, there is
an excessive use of antibiotics and paracetamol in infants with RW and also in
the whole sample, which is mainly related to common colds.
PMID- 26540499
TI - GIS-based Association Between PM10 and Allergic Diseases in Seoul: Implications
for Health and Environmental Policy.
AB - PURPOSE: The role of PM10 in the development of allergic diseases remains
controversial among epidemiological studies, partly due to the inability to
control for spatial variations in large-scale risk factors. This study aims to
investigate spatial correspondence between the level of PM10 and allergic
diseases at the sub-district level in Seoul, Korea, in order to evaluate whether
the impact of PM10 is observable and spatially varies across the subdistricts.
METHODS: PM10 measurements at 25 monitoring stations in the city were
interpolated to 424 sub-districts where annual inpatient and outpatient count
data for 3 types of allergic diseases (atopic dermatitis, asthma, and allergic
rhinitis) were collected. We estimated multiple ordinary least square regression
models to examine the association of the PM10 level with each of the allergic
diseases, controlling for various sub-district level covariates. Geographically
weighted regression (GWR) models were conducted to evaluate how the impact of
PM10 varies across the sub-districts. RESULTS: PM10 was found to be a significant
predictor of atopic dermatitis patient count (P<0.01), with greater association
when spatially interpolated at the sub-district level. No significant effect of
PM10 was observed on allergic rhinitis and asthma when socioeconomic factors were
controlled for. GWR models revealed spatial variation of PM10 effects on atopic
dermatitis across the sub-districts in Seoul. The relationship of PM10 levels to
atopic dermatitis patient counts is found to be significant only in the Gangbuk
region (P<0.01), along with other covariates including average land value,
poverty rate, level of education and apartment rate (P<0.01). CONCLUSIONS: Our
findings imply that PM10 effects on allergic diseases might not be consistent
throughout Seoul. GIS-based spatial modeling techniques could play a role in
evaluating spatial variation of air pollution impacts on allergic diseases at the
sub-district level, which could provide valuable guidelines for environmental and
public health policymakers.
PMID- 26540500
TI - The Interaction Between Prenatal Exposure to Home Renovation and Reactive Oxygen
Species Genes in Cord Blood IgE Response is Modified by Maternal Atopy.
AB - PURPOSE: Although home renovation exposure during childhood has been identified
as a risk factor for the development of allergy, there is limited information on
the association between prenatal exposure to home renovation and cord blood (CB)
IgE response. The aims of this study were to identify the effect of prenatal
exposure to home renovation on CB IgE levels, and to investigate whether this
exposure interacts with neonatal genes and whether the effect can be modified by
maternal atopy. METHODS: This study included 1,002 mother-neonate pairs from the
COhort for Childhood Origin of Asthma and allergic diseases (COCOA). Prenatal
environmental factors were collected using a questionnaire. The levels of CB IgE
were measured by the ImmunoCAP system, and DNA was extracted from CB. RESULTS:
Exposure to home renovation during the prenatal period was associated with
significantly higher levels of CB IgE only in neonates from atopic mothers, and
the effect of renovation exposure on CB IgE levels persisted from 31 months
before birth. Furthermore, prenatal exposure to home renovation increased the
risk of CB IgE response interacting with polymorphisms of NRF2 and GSTP1 genes
only in neonates from atopic mothers. CONCLUSIONS: Maternal atopy modified the
effect of prenatal exposure to home renovation on CB serum IgE response as well
as the interaction between the exposure and neonatal genes involved in the
oxidative stress pathway. These findings suggest that the genetically susceptible
offspring of atopic mothers may be more vulnerable to the effect of prenatal
exposure to home renovation on the development of allergy.
PMID- 26540501
TI - Relationship Between Allergic Rhinitis and Mental Health in the General Korean
Adult Population.
AB - PURPOSE: This study was conducted to evaluate the association between AR and
mental health status in the general Korean adult population and to investigate
the relative burden of AR on mental health using the Allergic Rhinitis and Its
Impact on Asthma (ARIA) classification. METHODS: A cross-sectional study was
performed by using data from 11,154 individuals, 19 years old or older in the
Korean National Health and Nutrition Examination Survey 2011-2012. Univariate
analysis was conducted in the healthy AR groups with weighted prevalence of
demographic characteristics, socioeconomic status, and comorbid diseases.
Subanalysis that classified AR severity according to the ARIA classification was
carried out to evaluate the relationship of AR severity with mental health. The
odds ratios (ORs) for each component representing mental health status were
estimated by multiple logistic regression analysis with confounder adjustment.
RESULTS: Univariate analysis with the chi-square test after adjustment for age,
sex, body mass index, smoking status, alcohol use status, and exercise status,
components representing mental health status showed a linear relationship with
the severity of AR according to the ARIA classification. Stress, depressive mood,
suicidal thoughts, and psychological consultation factors were correlated with AR
after adjustment for demographic characteristics and socioeconomic status. Even
after adjustment for comorbid allergic diseases, the correlation remained
significant with stress, depressive mood, and psychological consultation factors
(OR [95% CI]; 1.227 [1.042, 1.445], 1.368 [1.095, 1.71], 1.804 [1.096, 2.969],
respectively). CONCLUSIONS: Patients with AR appear to be at higher risk of
mental disorders in the general Korean adult population. Moreover, persistent or
severe AR was correlated with poor mental health. Therefore, better control of AR
may be conducive to better mental health, and more attention should be paid to
the psychological status of AR patients.
PMID- 26540502
TI - Effect of Amino Acid Polymorphisms of House Dust Mite Der p 2 Variants on
Allergic Sensitization.
AB - PURPOSE: The sequence variations of the Der p 2 allergen of Dermatophagoides
pteronyssinus diverge along 2 pathways with particular amino acid substitutions
at positions 40,47,111, and 114. The environmental prevalence and IgE binding to
Der p 2 variants differ among regions. To compare IgE binding to Der p 2 variants
between sera from Bangkok, Thailand and Perth, Western Australia with different
variants and to determine the variant-specificity of antibodies induced by
vaccination with recombinant variants. METHODS: The structures of recombinant
variants produced in yeast were compared by circular dichroism and 1
anilinonaphthalene 8-sulfonic acid staining of their lipid-binding cavity. Sera
from subjects in Bangkok and Perth where different variants are found were
compared by the affinity (IC50) of IgE cross-reactivity to different variants and
by direct IgE binding. Mice were immunized with the variants Der p 2.0101 and Der
p 2.0110, and their IgG binding to Der p 2.0103, 2.0104, and 2.0109 was measured.
RESULTS: The secondary structures of the recombinant variants resembled the
natural allergen but with differences in ANS binding. The IC50 of Der p 2.0101
required 7-fold higher concentrations to inhibit IgE binding to the high-IgE
binding Der p 2.0104 than for homologous inhibition in sera from Bangkok where it
is absent, while in sera from Perth that have both variants the IC50 was the same
and low. Reciprocal results were obtained for Der p 2.0110 not found in Perth.
Direct binding revealed that Der p 2.0104 was best for detecting IgE in both
regions, followed by Der p 2.0101 with binding to other variants showing larger
differences. Mouse anti-Der p 2.0101 antibodies had a high affinity of cross
reactivity but bound poorly to other variants. CONCLUSIONS: The affinity of IgE
antibody cross-reactivity, the direct IgE binding, and the specificities of
antibodies induced by vaccination show that measures of allergic sensitization
and therapeutic strategies could be optimized with knowledge of Der p 2 variants.
PMID- 26540503
TI - Alternaria Induces Production of Thymic Stromal Lymphopoietin in Nasal
Fibroblasts Through Toll-like Receptor 2.
AB - PURPOSE: Chronic rhinosinusitis with nasal polyps is a chronic inflammatory
disease with markedly increased eosinophils, Th2-type lymphocytes, fibroblasts,
and goblet cells. Fungi are commonly associated with airway inflammatory
diseases, and thymic stromal lymphopoietin (TSLP) is important in the development
of Th2 inflammatory responses. The aim of this study was to investigate the
interaction between airborne fungi and nasal fibroblasts in TSLP mRNA and protein
expression. METHODS: Inferior turbinate and nasal polyp fibroblasts were
stimulated with Alternaria and Aspergillus, respectively, for 48 hours, and TSLP
mRNA and protein expressions were measured. The reverse transcriptase polymerase
chain reaction was performed for the Toll-like receptor (TLR) mRNA expression of
the nasal fibroblasts. To determine the role of TLR in the induction of TSLP, the
fibroblasts were transfected with siRNA against TLR2 and TLR5. RESULTS:
Alternaria induced TSLP mRNA and protein expression in both inferior turbinate
and nasal polyp fibroblasts. The nasal polyp fibroblasts responded more strongly
to the fungi. TLR2 and TLR5 mRNA expressions were significantly increased with
fungal stimulation and TSLP production was significantly inhibited by siRNA
against TLR2. CONCLUSIONS: The results of this study show that TSLP expression
could be induced in nasal fibroblasts by exposure to Alternaria and that TLR2 may
be involved in the process. The promotion of TSLP production in nasal fibroblasts
by airborne fungi may facilitate the development or exacerbation of Th2-type
nasal inflammation, especially in CRS with nasal polyps.
PMID- 26540504
TI - Alternative Method for Primary Nasal Epithelial Cell Culture Using Intranasal
Brushing and Feasibility for the Study of Epithelial Functions in Allergic
Rhinitis.
AB - PURPOSE: Although differentiated normal human nasal epithelial (NHNE) cells can
be used to study the role of human nasal epithelium, there is a need for
effective culture models of nasal epithelium in sinonasal disease status,
including allergic rhinitis (AR). We aimed to examine the feasibility of
intranasal brushing for culture of nasal epithelial cells in AR patients and to
verify the hypothesis that allergic nasal epithelial (ARNE) cells differ in
histologic and physiologic characteristics. METHODS: We established a system for
isolating (via intranasal brushing) and culturing (with air-liquid interface,
ALI) nasal epithelial cells from healthy volunteers (n=8) and AR patients (n=8).
We used this system to compare the histologic findings and physiologic
characteristics of NHNE and ARNE. RESULTS: The histology results showed that
fully differentiated ALI culture was obtained at least 14 days after confluence
and that both ciliated and secretory cells were well differentiated in ALI
culture using nasal brushing. The histology results of ARNE culture were
significantly different from NHNE. The number of ciliated cells was lower, and
secretory cells were more dominant in ARNE cell culture compared to NHNE cells.
We also observed, by electron microscopy, loose tight junctions and short cilia
in cultured ARNE cells. In addition, the mRNA level of TSLP which was one of the
epithelial-derived allergic cytokines was significantly higher, and the
expressions of genes involved in ciliogenesis were lower in cultured ARNE cells
without allergen stimulation. CONCLUSIONS: Our findings suggest that ALI culture
of ARNE cells using intranasal brushing may be an alternative method for
epithelial cell culture in AR patients and that cultured ARNE cells will be
useful for in vitro studies of the mechanisms at play during AR because they
maintain unique allergic characteristics.
PMID- 26540505
TI - Prevalence of Atopic Dermatitis in Korean Children Based on Data From the 2008
2011 Korean National Health and Nutrition Examination Survey.
AB - Population-based studies of atopic dermatitis (AD) in Korean children are
lacking. Thus, the purpose of this study was to determine the prevalence,
geographic distribution, and risk factors of AD in the Korean pediatric
population. We examined AD prevalence using data from the 2008-2011 Korea
National Health and Nutrition Examination Survey (KNHANES), which was a cross
sectional survey of 8,947 children up to age 18 throughout the country. Overall,
13.50% of children reported a diagnosis of AD. The age-standardized prevalence
ranged from 9.13% to 17.67% between cities and provinces, with the highest
prevalence-observed in many of the larger cities at low latitudes, as well as
Jeju-do. After adjusting for confounders, high economic status was found to be a
significant factor for predicting increased prevalence of AD, with an odds ratio
of 1.35 (95% confidence interval of 1.02-1.79, P=0.0034). Urban living (odds
ratio 1.24, 95% confidence interval of 1.00-1.53, P=0.0526) was also associated
with a higher prevalence of AD. In this first large scale, nationwide study in
Korean children, we found that the overall prevalence of AD depends on age,
household income, and geographic distribution.
PMID- 26540506
TI - Inflammation Markers and FEF25-75: A Relevant Link in Children With Asthma.
PMID- 26540507
TI - Cow's Milk Allergy: the Relevance of IgE.
PMID- 26540508
TI - The Hydrolysis of Diclofenac Esters: Synthetic Prodrug Building Blocks for
Biodegradable Drug-Polymer Conjugates.
AB - Degradation reactions on diclofenac-monoglycerides (3a,b), diclofenac-(p
hydroxybenzoate)-2-monoglyceride (3c), diclofenac (1), and diclofenac lactam (4)
were performed at 37 degrees C in isotonic buffer solutions (apparent pH range 1
8) containing varying concentrations of acetonitrile (ACN). The concentration
remaining of each analyte was measured versus time. Diclofenac-monoglycerides and
diclofenac-(p-hydroxybenzoate)-2-monoglyceride (3c) were both found to undergo
facile and complete hydrolysis in pH 7.4 isotonic phosphate buffer/10% ACN. Under
mildly acidic, neutral or alkaline conditions, diclofenac-(p-hydroxybenzoate)-2
monoglyceride (3c) had the fastest hydrolysis rate (t1/2 = 3.23 h at pH 7.4),
with simultaneous formation of diclofenac lactam (4) and diclofenac (1).
Diclofenac-monoglycerides (3a,b) hydrolyzed more slowly under the same
conditions, to again yield both diclofenac (1) and diclofenac lactam (4). There
was also transesterification of diclofenac-2-monoglyceride (3b) to its
regioisomer, diclofenac-1-monoglyceride (3a) across the pH range. Diclofenac was
shown to be stable in neutral or alkaline conditions but cyclized to form the
lactam (4) in acidic conditions. Conversely, the lactam (4) was stable under
acidic conditions but was converted to an unknown species under alkaline or
neutral conditions.
PMID- 26540509
TI - Life cycle assessment as development and decision support tool for wastewater
resource recovery technology.
AB - Life cycle assessment (LCA) has been increasingly used in the field of wastewater
treatment where the focus has been to identify environmental trade-offs of
current technologies. In a novel approach, we use LCA to support early stage
research and development of a biochemical system for wastewater resource
recovery. The freshwater and nutrient content of wastewater are recognized as
potential valuable resources that can be recovered for beneficial reuse. Both
recovery and reuse are intended to address existing environmental concerns, for
example, water scarcity and use of non-renewable phosphorus. However, the
resource recovery may come at the cost of unintended environmental impacts. One
promising recovery system, referred to as TRENS, consists of an enhanced
biological phosphorus removal and recovery system (EBP2R) connected to a
photobioreactor. Based on a simulation of a full-scale nutrient and water
recovery system in its potential operating environment, we assess the potential
environmental impacts of such a system using the EASETECH model. In the
simulation, recovered water and nutrients are used in scenarios of agricultural
irrigation-fertilization and aquifer recharge. In these scenarios, TRENS reduces
global warming up to 15% and marine eutrophication impacts up to 9% compared to
conventional treatment. This is due to the recovery and reuse of nutrient
resources, primarily nitrogen. The key environmental concerns obtained through
the LCA are linked to increased human toxicity impacts from the chosen end use of
wastewater recovery products. The toxicity impacts are from both heavy metals
release associated with land application of recovered nutrients and production of
AlCl3, which is required for advanced wastewater treatment prior to aquifer
recharge. Perturbation analysis of the LCA pinpointed nutrient substitution and
heavy metals content of algae biofertilizer as critical areas for further
research if the performance of nutrient recovery systems such as TRENS is to be
better characterized. Our study provides valuable feedback to the TRENS
developers and identifies the importance of system expansion to include impacts
outside the immediate nutrient recovery system itself. The study also show for
the first time the successful evaluation of urban-to-agricultural water systems
in EASETECH.
PMID- 26540510
TI - 10-Year Overview of the Hospital-Based Prevalence and Treatment of Congenital
Cataracts: The CCPMOH Experience.
AB - A review of 6 years of hospitalization charts from Zhongshan Ophthalmic Center
(ZOC) revealed that congenital cataracts (CC) accounted for 2.39% of all cataract
in-patient cases and that the age at surgery was decreasing before the
establishment of the Childhood Cataract Program of the Chinese Ministry of Health
(CCPMOH) in December 2010. We aimed to investigate data from the 4 years (January
2011 to December 2014) following the establishment of the CCPMOH, compared, and
combined with data from the previous study period (January 2005 to December 2010)
to generate a 10-year overview of the hospital-based prevalence and treatment of
CC. In the 4-year period after CCPMOH establishment, the prevalence of CC was
2.01% in all hospitalizations, and was 2.78% in all cataract in-patients. Most of
the eligible CC in-patients (71%) lived in south China. The ratio of boys to
girls was 1.42:1. Nearly 2/3 of the patients underwent cataract extraction with
primary intraocular lens (IOL) implantation at a mean age of 78.40+/-51.45
months, and cataract extraction surgeries without IOL implantation were performed
in the remaining 1/3 of patients at a mean age of 10.03+/-15.92 months. After
CCPMOH establishment, an increased incidence of CC was revealed, and the CC in
patients were younger than the patients in the previous period. The 10-year
overview (2421 CC in-patients from 206630 hospitalizations) revealed upward
trends in both the number and the prevalence of CC and a further reduction in age
at surgery. In conclusion, the data from 4-year period after CCPMOH establishment
and the 10-year overview showed upward trends in the hospital-based prevalence of
CC cases and a further reduction in age at surgery, likely reflecting the effects
of the CCPMOH establishment and providing useful information for further CC
studies and a valuable foundation for the prevention and treatment of this cause
of childhood blindness.
PMID- 26540511
TI - A Euploid Line of Human Embryonic Stem Cells Derived from a 43,XX,dup(9q),+12,
14,-15,-18,-21 Embryo.
AB - Aneuploid embryos diagnosed by FISH-based preimplantation genetic screening (PGS)
have been shown to yield euploid lines of human embryonic stem cells (hESCs) with
a relatively high frequency. Given that the diagnostic procedure is usually based
on the analysis of 1-2 blastomeres of 5 to 10-cell cleavage-stage embryos,
mosaicism has been a likely explanation for the phenomena. However, FISH-based
PGS can have a significant rate of misdiagnosis, and therefore some of those
lines may have been derived from euploid embryos misdiagnosed as aneuploid. More
recently, coupling of trophectoderm (TE) biopsy at the blastocyst stage and array
CGH lead to a more informative form of PGS. Here we describe the establishment of
a new line of hESCs from an embryo with a 43,XX,dup(9q),+12,-14,-15,-18,-21
chromosomal content based on array-CGH of TE biopsy. We show that, despite the
complex chromosomal abnormality, the corresponding hESC line BR-6 is euploid
(46,XX). Single nucleotide polymorphism analysis showed that the embryo's missing
chromosomes were not duplicated in BR-6, suggesting the existence of extensive
mosaicism in the TE lineage.
PMID- 26540512
TI - Cellular traffic cops: the interplay between lipids and proteins regulates
vesicular formation, trafficking, and signaling in mammalian cells.
AB - Protein secretion and vesicular trafficking in mammalian cells rely on several
key lipids including sphingolipids, phospholipids, and neutral lipids crucial to
protein processing and other intracellular events. Proteins interact with these
lipids to alter the shape of lipid bilayer, thereby playing a pivotal role in
cellular sorting. Although some efforts have elucidated the role of these
components, extensive studies are needed to further decipher the protein-lipid
interactions along with the effect of membrane curvature and rafts in sorting of
proteins. The regulatory role of proteins in subcellular localization and
metabolism of lipids also needs to be described. Recent studies on the role of
lipid-protein interactions in modulating membrane shape, signal transduction, and
vesicular trafficking are presented in this review.
PMID- 26540516
TI - Intracellular accumulation of trehalose and glycogen in an extreme oligotroph,
Rhodococcus erythropolis N9T-4.
AB - An extreme oligotroph, Rhodococcus erythropolis N9T-4, showed intracellular
accumulation of trehalose and glycogen under oligotrophic conditions. No
trehalose accumulation was observed in cells grown on the rich medium. Deletion
of the polyphosphate kinase genes enhanced the trehalose accumulation and
decreases the intracellular glycogen contents, suggesting an oligotrophic
relationship between among the metabolic pathways of trehalose, glycogen, and
inorganic polyphosphate biosyntheses.
PMID- 26540514
TI - Patterns of Circulating Fibroblast Growth Factor 21 in Subjects with and without
Type 2 Diabetes.
AB - BACKGROUND: Fibroblast growth factor 21 (FGF21) exerts wide-range effects on
carbohydrate and lipid metabolism. However, its perturbation in type 2 diabetes
mellitus (T2DM) remains elusive. Besides, previous human studies in T2DM simply
investigated fasting or stimulated levels of FGF21. The current study sought to
evaluate the temporal changes of circulating FGF21 in subjects with and without
T2DM. METHODS: Ten patients with T2DM and 16 normal controls (NC) were recruited.
Participants were categorized as obese (BMI>=25 kg/m2) or lean (BMI<25 kg/m2).
Blood samples were drawn every 30 min within 7 hours (8 a.m.-3 p.m.). Serum
FGF21, blood glucose, insulin, free fatty acids (FFAs) and adiponectin were
measured in all subjects. RESULTS: The peak levels of FGF21 were observed in the
fasting state (8 a.m.) both in T2DM and NC groups (267.35 +/-158.72 ng/L vs.
178.93+/-121.37 ng/L, P = 0.096). FGF21 AUC did not differ significantly between
the two groups (T2DM: 949.4+/-471.47 ng/L; NC: 883.13+/-561.40 ng/L, P = 0.770).
Obese subjects had higher FGF21 levels than lean ones in patients either with or
without T2DM. The pattern of FFAs closely resembled that of FGF21. Correlation
analysis showed that temporal levels of FGF21 were significantly related to FFAs
(r = 0.749, P = 0.002),but not blood glucose, insulin or adiponectin (all P>
0.05). CONCLUSIONS: These findings suggest that the pattern of circulating FGF21
does not differ significantly between T2DM and NC,although T2DM patients showed a
trend toward higher fasting FGF21 than healthy subjects. The pattern of
circulating FFAs is significantly associated with that of FGF21.
PMID- 26540513
TI - Mitochondrial Hormesis links nutrient restriction to improved metabolism in fat
cell.
AB - Fasting promotes longevity by reprogramming metabolic and stress resistance
pathways. However, although the impact on adipose tissue physiology through
hormonal inputs is well established, the direct role of fasting on adipose cells
is poorly understood. Herein we show that white and beige adipocytes, as well as
mouse epididymal and subcutaneous adipose depots, respond to nutrient scarcity by
acquiring a brown-like phenotype. Indeed, they improve oxidative metabolism
through modulating the expression of mitochondrial- and nuclear-encoded oxidative
phosphorylation genes as well as mitochondrial stress defensive proteins (UCP1,
SOD2). Such adaptation is placed in a canonical mitohormetic response that
proceeds via mitochondrial reactive oxygen species ((mt)ROS) production and
redistribution of FoxO1 transcription factor into nucleus. Nuclear FoxO1
((n)FoxO1) mediates retrograde communication by inducing the expression of
mitochondrial oxidative and stress defensive genes. Collectively, our findings
describe an unusual white/beige fat cell response to nutrient availability
highlighting another health-promoting mechanism of fasting.
PMID- 26540517
TI - Understanding Intrinsic Light Absorption Properties of UiO-66 Frameworks: A
Combined Theoretical and Experimental Study.
AB - A combined theoretical and experimental study is performed in order to elucidate
the effects of linker functional groups on the photoabsorption properties of UiO
66-X materials. This study, in which both mono- and difunctionalized linkers
(with X = OH, NH2, or SH) are investigated, aims to obtain a more complete
picture of the choice of functionalization. Static time-dependent density
functional theory calculations combined with molecular dynamics simulations are
performed on the linkers, and the results are compared to experimental UV/vis
spectra in order to understand the electronic effects governing the absorption
spectra. The disubstituted linkers show larger shifts than the monosubstituted
variants, making them promising candidates for further study as photocatalysts.
Next, the interaction between the linker and the inorganic part of the framework
is theoretically investigated using a cluster model. The proposed ligand-to-metal
charge transfer is theoretically observed and is influenced by the differences in
functionalization. Finally, the computed electronic properties of the periodic
UiO-66 materials reveal that the band gap can be altered by linker
functionalization and ranges from 4.0 down to 2.2 eV. Study of the periodic
density of states allows the band gap modulations of the framework to be
explained in terms of a functionalization-induced band in the band gap of the
original UiO-66 host.
PMID- 26540519
TI - Nanomechanical characterization and molecular mechanism study of nanoparticle
reinforced and cross-linked chitosan biopolymer.
AB - Chitosan (CS) is a biomaterial that offers many sophisticated and innovative
applications in the biomedical field owing to its excellent characteristics of
biodegradability, biocompatibility and non-toxicity. However, very low mechanical
properties of chitosan polymer impose restriction on its further development.
Cross-linking and nanoparticle reinforcement are the two possible methods to
improve the mechanical properties of chitosan films. In this research, these two
methods are adopted individually by using tripolyphosphate as cross-linker and
nano-hydroxyapatite as particle reinforcement. The nanomechanical
characterizations under static loading conditions are performed on these modified
chitosan films. It is observed that nanoparticle reinforcement provided necessary
mechanical properties such as ductility and modulus. The mechanisms involved in
improvement of mechanical properties due to particle reinforcement are studied by
molecular dynamics (MD). Further, improvement in mechanical properties due to
combination of particle reinforcement and cross-linking agent with chitosan is
investigated. The stress relaxation behavior for all these types of films is
characterized under dynamic loading conditions using dynamic mechanical analysis
(nanoDMA) experiment. A viscoelastic solid like response is observed for all
types of film with modulus relaxing by 3-6% of its initial value. A suitable
generalized Maxwell model is fitted with the obtained viscoelastic response of
these films. The response to nano-scratch behavior is also studied for particle
reinforced composite films.
PMID- 26540518
TI - Effects of Mountain Ultra-Marathon Running on ROS Production and Oxidative Damage
by Micro-Invasive Analytic Techniques.
AB - PURPOSE: Aiming to gain a detailed insight into the physiological mechanisms
involved under extreme conditions, a group of experienced ultra-marathon runners,
performing the mountain Tor des Geants(r) ultra-marathon: 330 km trail-run in
Valle d'Aosta, 24000 m of positive and negative elevation changes, was monitored.
ROS production rate, antioxidant capacity, oxidative damage and inflammation
markers were assessed, adopting micro-invasive analytic techniques. METHODS:
Forty-six male athletes (45.04+/-8.75 yr, 72.6+/-8.4 kg, 1.76+/-0.05 m) were
tested. Capillary blood and urine were collected before (Pre-), in the middle
(Middle-) and immediately after (Post-) Race. Samples were analyzed for: Reactive
Oxygen Species (ROS) production by Electron Paramagnetic Resonance; Antioxidant
Capacity by Electrochemistry; oxidative damage (8-hydroxy-2-deoxy Guanosine: 8-OH
dG; 8-isoprostane: 8-isoPGF2alpha) and nitric oxide metabolites by enzymatic
assays; inflammatory biomarkers (plasma and urine interleukin-6: IL-6-P and IL-6
U) by enzyme-linked immunosorbent assays (ELISA); Creatinine and Neopterin by
HPLC, hematologic (lactate, glucose and hematocrit) and urine parameters by
standard analyses. RESULTS: Twenty-five athletes finished the race, while twenty
one dropped out of it. A significant increase (Post-Race vs Pre) of the ROS
production rate (2.20+/-0.27 vs 1.65+/-0.22 MUmol.min-1), oxidative damage
biomarkers (8-OH-dG: 6.32+/-2.38 vs 4.16+/-1.25 ng.mg-1 Creatinine and 8
isoPGF2alpha: 1404.0+/-518.30 vs 822.51+/-448.91 pg.mg-1Creatinine), inflammatory
state (IL-6-P: 66.42+/-36.92 vs 1.29+/-0.54 pg.mL-1 and IL-6-U: 1.33+/-0.56 vs
0.71+/-0.17 pg.mL1) and lactate production (+190%), associated with a decrease of
both antioxidant capacity (-7%) and renal function (i.e. Creatinine level +76%)
was found. CONCLUSIONS: The used micro-invasive analytic methods allowed us to
perform most of them before, during and immediately after the race directly in
the field, by passing the need of storing and transporting samples for further
analysis. Considered altogether the investigated variables showed up that
exhaustive and prolonged exercise not only promotes the generation of ROS but
also induces oxidative stress, transient renal impairment and inflammation.
PMID- 26540520
TI - Solvent Controlled Structural Transition of KI4K Self-Assemblies: from Nanotubes
to Nanofibrils.
AB - The structural modulation of peptide and protein assemblies under well-controlled
conditions is of both fundamental and practical significance. In spite of
extensive studies, it remains hugely challenging to tune the self-assembled
nanostructures in a controllable manner because the self-assembly processes are
dictated by various noncovalent interactions and their interplay. We report here
how to manipulate the self-assembly of a designed, symmetric amphiphilic peptide
(KI4K) via the solvent-controlled structural transition. Structural transition
processes were carefully followed by the combination of transmission electronic
microscopy (TEM), atomic force microscopy (AFM), circular dichroism (CD), Fourier
transform infrared spectroscopy (FTIR), and small angle neutron scattering
(SANS). The results show that the introduction of acetonitrile into water
significantly affected the hydrophobic interactions among hydrophobic side chains
while imposing little impact on the beta-sheet hydrogen bonding between peptide
backbones. A structural transition occurred from nanotubes to helical/twisted
ribbons and then to thin fibrils with the addition of acetonitrile due to the
reduced hydrophobic interactions and the consequent weakening of the lateral
stacking between KI4K beta-sheets. The increased intermolecular electrostatic
repulsions among lysine side chain amino groups had little effect on the lateral
stacking of KI4K beta-sheets due to the molecular symmetry. Complementary
molecular dynamic (MD) simulations also indicated the solvation of acetonitrile
molecules into the hydrophobic domains weakening the coherence between the
neighboring sheets.
PMID- 26540521
TI - [Euthanasia in Belgium - what about the mentally ill?].
PMID- 26540522
TI - [The future of psychiatry and psychiatrists].
PMID- 26540523
TI - [How many people in Germany are seriously mentally ill?].
AB - OBJECTIVE: Severe and chronic mental illness (SMI) is associated with complex
care needs. Mental health care planning requires exact estimates of the number of
SMI patients. METHODS: Systematic search for conceptual and epidemiological work
on SMI prevalence (age group 18 - 65 years) in Medline, Embase and PsycInfo.
RESULTS: To date there is no international consensus on the definition of severe
mental illness, however, there are a number of international studies allowing an
estimate for Germany. The number of those affected with a severe mental illness
based on the present findings is estimated at 1% to 2% of adults between 18 and
65 years. This means, that in Germany, about 500,000 to 1 million people are in
need for complex mental health care interventions. CONCLUSIONS: The numbers
estimated may serve as a first orientation in the field. Further research on SMI
prevalence is urgently needed in order to inform a more precise mental health
care planning process for people with SMI in Germany.
PMID- 26540524
TI - [Reports from the working group of executive physicians of psychiatric and
psychotherapy clinics of general hospitals in Germany].
PMID- 26540525
TI - [Reports from the Federal Directors' Conference].
PMID- 26540526
TI - Assessment of in silico models for acute aquatic toxicity towards fish under
REACH regulation.
AB - We evaluated the performance of eight QSAR in silico modelling packages
(ACD/ToxSuiteTM, ADMET PredictorTM, DEMETRA, ECOSAR, TerraQSARTM, Toxicity
Estimation Software Tool, TOPKATTM and VEGA) for acute aquatic toxicity towards
two species of fish: Fathead Minnow and Rainbow Trout. For the Fathead Minnow, we
compared model predictions for 567 substances with the corresponding experimental
values for 96-h median lethal concentrations (LC50). Some models gave good
results, with r2 up to 0.85. We also classified the predictions of all the models
into four toxicity classes defined by CLP. This permitted us to assess other
parameters, such as the percentage of correct predictions for each class. Then we
used a set of 351 substances with toxicity data towards Rainbow Trout (96-h
LC50). In this case the predictability was unacceptable for all the in silico
models. The calculated r2 gave poor correlations (<=0.53). Another analysis was
performed according to chemical classes and for mode of action. In the first
case, all the classes show a high percentage of correct predictions, in the
second case only narcotics and polar narcotics were predicted with good
confidence. The results indicate the possibility of using in silico methods to
estimate aquatic toxicity within REACH regulation, after careful evaluation.
PMID- 26540527
TI - Flexible engineering designs for urban water management in Lusaka, Zambia.
AB - Urban water systems are often designed using deterministic single values as
design parameters. Subsequently the different design alternatives are compared
using a discounted cash flow analysis that assumes that all parameters remain as
predicted for the entire project period. In reality the future is unknown and at
best a possible range of values for design parameters can be estimated. A Monte
Carlo simulation could then be used to calculate the expected Net Present Value
of project alternatives, as well as so-called target curves (cumulative frequency
distribution of possible Net Present Values). The same analysis could be done
after flexibilities were incorporated in the design, either by using decision
rules to decide about the moment of capacity increase, or by buying Real Options
(in this case land) to cater for potential capacity increases in the future. This
procedure was applied to a sanitation and wastewater treatment case in Lusaka,
Zambia. It included various combinations of on-site anaerobic baffled reactors
and off-site waste stabilisation ponds. For the case study, it was found that the
expected net value of wastewater treatment systems can be increased by 35-60% by
designing a small flexible system with Real Options, rather than a large
inflexible system.
PMID- 26540528
TI - Enzyme-linked immunosorbent assay for triclocarban in aquatic environments.
AB - A sensitive, competitive indirect enzyme-linked immunosorbent assay (ELISA) was
developed for the detection of triclocarban (TCC) in waters and sediments.
Haptens were synthesized by derivatizing the paraposition of a phenyl moiety of
TCC. The synthesized hapten was then coupled to bovine thyroglobulin to be used
as an immunogen, based on which, a high affinity monoclonal antibody 4D5 was
produced with the hybridoma technique. Under the optimized conditions, using the
monoclonal antibody, excellent performances of the assay were obtained:
satisfactory sensitivity (IC50 (50% inhibition concentration) value, 0.43 ng/mL;
limit of detection, 0.05 ng/mL); good linear range (0.05-10 ng/mL); and
satisfactory accuracy (recoveries 70.7-107% in waters; 74.8-98.3% in sediments).
Furthermore, TCC was found with the concentration ranging from not detected to
422.12 ng/L in waters and from 6.68 ng/g to 78.67 ng/g in sediments in Yunliang
River, Ancient Canal and Hongqiao Port in Zhenjiang City. In conclusion ELISA
could be applied for monitoring TCC in aquatic environments.
PMID- 26540529
TI - Considering microbial and aggregate heterogeneity in biofilm reactor models: how
far do we need to go?
AB - A model describing a given system should be as simple as possible - but not
simpler. The appropriate level of complexity depends both on the type of system
and on the intended use of the model. This paper addresses the critical question
of which purposes justify increased complexity of biofilm (reactor) models.
Additional model features compared to conventional models considered are: (1) the
inclusion of microbial diversity, distinguishing between different species
performing the same function; and (2) the distinction between flocs and granules
in putatively granular sludge reactors. With a multispecies model considering
interspecies diversity, it was demonstrated that a given macroscopic reactor
performance does not necessarily reflect steady state conditions on the
microscale. In a second case study, it was shown that the addition of a small
level of flocs can have a significant impact on macroscale process performance
and on microbial population and activity distributions in granular sludge
reactors. It was concluded that increased complexity in biofilm models,
concerning microbial diversity or mesoscale aggregate architecture, is likely
more useful when the focus is on understanding fundamental microscale outputs,
but under specific conditions, these additional model features can be critically
informative for bulk reactor behavior prediction and general understanding.
PMID- 26540530
TI - Study on mechanism of algal inactivation and pollution removal by Fe-ACF electro
Fenton-like process.
AB - Algae inactivation and algal metabolic pollutant removal of Chlorella and
Duneliella salina from seawater by the Fe-ACF electro Fenton-like process has
been studied. The experiments were conducted at neutral condition of pH 8.3 (raw
water) and 6.2 to break through the limit of strong acidic conditions.
Experimental results indicated that the Fe-ACF electro Fenton-like process has a
good effect for algae inactivation and for pollutant removal in neutral
conditions, and pH has no obvious effect for the inactivation of algae. At
optimum conditions, the inactivation efficiency for algae could reach up to 98%.
A pH of 8.3 is more favorable for pollutant removal. Under neutral pH conditions,
the coupling effect of indirect oxidation of *OH, direct oxidation of free
chlorine and coagulation and adsorption of ferric and ferrous hydroxy complexes
in the electro Fenton-like process was achieved, and the coupling effect promotes
the inactivation of algae and the removal of metabolic pollutants.
PMID- 26540531
TI - Changes of toxic metals during biological stabilization and their potential
ecological risk assessment.
AB - With various disadvantages of pollution control technologies for toxic metal
contaminated soil, we mixed contaminated soil with sludge for in situ composting
to stabilize toxic metals, so plants are enriched to take up the toxic metals.
When simulating the above, we added toxic metal solution into sewage sludge, and
then composed it with steel slag to determine inhibition of the availability of
toxic metals. When toxic metals were added into sludge, the potential ecological
index and geoaccumulation index of Cd became high while Zn was low. Steel slag
had an inhibited availability of Cd, and when the adjunction of steel slag was
7%, the availability of Cd was lowest. Steel slag promoted the availability of
Zn, and when the adjunction of steel slag was 27%, the availability of Zn was
highest. Results showed that during composting, with increasing steel slag, Cd
stabilizing time was reached sooner but Zn stabilizing time was slower, and the
availability of all metals became lower. In the end, composting inhibited the
potential ecological index of Cd, but it promoted the potential ecological index
of Zn. Steel slag promoted the stability of Cd and Zn as Fe/Mn oxide-bound and
residual species. Therefore, composting sludge and steel slag could be used as an
effective inhibitor of Zn and Cd pollution.
PMID- 26540532
TI - Algae biomass cultivation in nitrogen rich biogas digestate.
AB - Because microalgae are known for quick biomass growth and nutrient uptake, there
has been much interest in their use in research on wastewater treatment methods.
While many studies have concentrated on the algal treatment of wastewaters with
low to medium ammonium concentrations, there are several liquid waste streams
with high ammonium concentrations that microalgae could potentially treat. The
aim of this paper was to test ammonium tolerance of the indigenous algae
community of Lake Malaren and to use this mixed consortia of algae to remove
nutrients from biogas digestate. Algae from Lake Malaren were cultivated in
Jaworski's Medium containing a range of ammonium concentrations and the resulting
algal growth was determined. The algae were able to grow at NH4-N concentrations
of up to 200 mg L(-1) after which there was significant inhibition. To test the
effectiveness of the lake water algae on the treatment of biogas digestate,
different pre-cultivation set-ups and biogas digestate concentrations were
tested. It was determined that mixing pre-cultivated suspension algae with 25% of
biogas digestate by volume, resulting in an ammonium concentration of around 300
mg L(-1), produced the highest algal growth. The algae were effective in removing
72.8+/-2.2% of NH4-N and 41.4+/-41.4% of PO4-P.
PMID- 26540534
TI - The cost of a small membrane bioreactor.
AB - The individual cost contributions to the mechanical components of a small
membrane bioreactor (MBR) (100-2,500 m3/d flow capacity) are itemised and
collated to generate overall capital and operating costs (CAPEX and OPEX) as a
function of size. The outcomes are compared to those from previously published
detailed cost studies provided for both very small containerised plants (<40
m3/day capacity) and larger municipal plants (2,200-19,000 m3/d). Cost curves, as
a function of flow capacity, determined for OPEX, CAPEX and net present value
(NPV) based on the heuristic data used indicate a logarithmic function for OPEX
and a power-based one for the CAPEX. OPEX correlations were in good quantitative
agreement with those reported in the literature. Disparities in the calculated
CAPEX trend compared with reported data were attributed to differences in
assumptions concerning cost contributions. More reasonable agreement was obtained
with the reported membrane separation component CAPEX data from published
studies. The heuristic approach taken appears appropriate for small-scale MBRs
with minimal costs associated with installation. An overall relationship of net
present value=(a tb)Q(-c lnt+d) was determined for the net present value where
a=1.265, b=0.44, c=0.00385 and d=0.868 according to the dataset employed for the
analysis.
PMID- 26540533
TI - Cross-sectoral optimization and visualization of transformation processes in
urban water infrastructures in rural areas.
AB - Predicted demographic, climatic and socio-economic changes will require
adaptations of existing water supply and wastewater disposal systems. Especially
in rural areas, these new challenges will affect the functionality of the present
systems. This paper presents a joint interdisciplinary research project with the
objective of developing an innovative software-based optimization and decision
support system for the implementation of long-term transformations of existing
infrastructures of water supply, wastewater and energy. The concept of the
decision support and optimization tool is described and visualization methods for
the presentation of results are illustrated. The model is tested in a rural case
study region in the Southwest of Germany. A transformation strategy for a
decentralized wastewater treatment concept and its visualization are presented
for a model village.
PMID- 26540535
TI - Physical design optimization of an urban runoff treatment system using Stormwater
Management Model (SWMM).
AB - The study presented the application of Stormwater Management Model (SWMM) in
determining the optimal physical design properties of an established low impact
development (LID) system treating road runoff. The calibration of the model was
based on monitored storm events occurring from May 2010 to July 2013. It was
found that the total suspended solids was highly correlated with stormwater
runoff volume and dominant heavy metal constituents in stormwater runoff, such
lead, zinc and copper, with a Pearson correlation coefficient ranging from 0.88
to 0.95 (P<0.05). Reducing the original ratio of the storage volume to surface
area (SV/SA) of the facility and depth by 25% could match the satisfactory
performance efficiency achieved in the original design. The smaller SV/SA and
depth would mean a less costly system, signifying the importance of optimization
in designing LID systems.
PMID- 26540536
TI - Evaluating the application of Microbacterium sp. strain BR1 for the removal of
sulfamethoxazole in full-scale membrane bioreactors.
AB - Microbacterium sp. strain BR1 is a bacterial strain that recently received
attention for its capability to mineralize sulfamethoxazole (SMX) and other
sulfonamides. In this study, the survival of Microbacterium sp. in municipal
sludge waters was tested in batch experiments to explore optimal process
conditions. Inoculation of Microbacterium sp. was subsequently performed in a
pilot membrane bioreactor (MBR) operated in two configurations: treating full
scale MBR permeate (post-treatment) and treating raw municipal wastewater. SMX
removal by Microbacterium sp. could not be proved in any of the configurations,
except for SMX concentrations far higher than the ones normally found in
municipal wastewater. By use of molecular tools (fluorescence in situ
hybridization analysis) a low capability to survive in activated sludge systems
was assessed. After inoculation, Microbacterium sp. was reduced to a small
fraction of the viable biomass. The observed growth rate appeared to be many
times lower than the one of typical activated sludge micro-organisms.
Possibilities of application in full-scale municipal wastewater treatment are
scarce.
PMID- 26540537
TI - Dynamic simulation of water resources in an urban wetland based on coupled water
quantity and water quality models.
AB - Water quality in wetlands plays a huge role in maintaining the health of the
wetland ecosystem. Water quality should be controlled by an appropriate water
allocation policy for the protection of the wetlands. In this paper, models of
rainfall/runoff, non-point source pollution load, water quantity/quality, and
dynamic pollutant-carrying capacity were established to simulate the water
quantity/quality of Xixi-wetland river network (in the Taihu basin, China). The
simulation results showed a satisfactory agreement with field observations.
Furthermore, a 'node-river-node' algorithm that adjusts to the 'Three Steps
Method' was adopted to improve the dynamic pollutant-carrying capacity model and
simulate the pollutant-carrying capacity in benchmark years. The simulation
result shows that the water quality of the river network could reach class III
stably all year round if the anthropogenic pollution is reduced to one-third of
the current annual amount. Further investigation estimated the minimum amount of
water diversion in benchmark years under the reasonable water quantity-regulating
rule to keep water quality as class III. With comparison of the designed scale,
the water diversion can be reduced by 184 million m3 for a dry year, 191 million
m3 for a normal year, and 198 million m3 for a wet year.
PMID- 26540538
TI - Cultivation of an Arthrospira platensis with digested piggery wastewater.
AB - An Arthrospira platensis strain ZJWST-S1 was isolated in Jiaxing City, China,
which proved able to proliferate quickly in undiluted digested piggery wastewater
(DPW), and the protein content in the algal biomass was high. Single factor
experiments showed that the strain was able to quickly grow in a Zarrouk medium
as the dosage of sodium bicarbonate, nitrate-nitrogen and phosphate-phosphorus
was not less than 4.0 mg.L(-1), 40 mg.L(-1) and 10 mg.L(-1), respectively. No
growth inhibition was observed when the culturing medium contained nitrite
nitrogen of 0-120 mg.L(-1) and ammonium nitrogen of below 20 mg.L(-1). Five runs
of semi-continuous cultivation with DPW as the culturing medium in a 250 L
raceway pond showed that the biomass yield in a 9-day semi-continuous culturing
was up to 45.2-64.7 g.m(-2).d(-1), higher than the yields obtained by other
researchers, and the crude protein content in biomass was over 50%, meeting the
national animal feed grade standard. Total nitrogen (TN) and total phosphorus
(TP) were removed from DPW at a rate of 10.9-14.0 mg.L(-1).d(-1) and 1.3-1.8
mg.L(-1).d(-1), respectively. The mass balance revealed that 80-93% of TN and 84
98% of TP reduced from DPW were converted to A. platensis biomass.
PMID- 26540539
TI - Selective electrochemical detection of 2,4,6-trinitrotoluene (TNT) in water based
on poly(styrene-co-acrylic acid) PSA/SiO2/Fe3O4/AuNPs/lignin-modified glassy
carbon electrode.
AB - A new versatile electrochemical sensor based on poly(styrene-co-acrylic acid)
PSA/SiO2/Fe3O4/AuNPs/lignin (L-MMS) modified glassy carbon electrode (GCE) was
developed for the selective detection of trace trinitrotoluene (TNT) from aqueous
media with high sensitivity. The fabricated magnetic microspheres were
characterized by transmission electron microscopy (TEM), energy-dispersive X-ray
spectroscopy (EDS), and X-ray photoelectron spectroscopy (XPS). L-MMS films were
cast on the GCE surface to fabricate the TNT sensing electrode. The limit of
detection (LOD) of TNT determined by the amperometric i-t curve reached 35 pM.
The lignin film and well packed Fe3O4/AuNPs facilitated the pre-concentration of
trace TNT on the electrode surface resulting in a fast amperometric response of 3
seconds near the detection limit. The high sensitivity and excellent catalytic
activity of the modified electrode could be attributed to the lignin layer and
highly packed Fe3O4/AuNPs on the electrode surface. The total recovery of TNT
from tapwater and seawater matrices was 98% and 96%, respectively. The electrode
film was highly stable after five repeated adsorption/desorption cycles. The new
electrochemical sensing scheme provides a highly selective, sensitive and
versatile assay for the in-situ detection of TNT in complex water media.
PMID- 26540540
TI - Bayesian modeling of virus removal efficiency in wastewater treatment processes.
AB - Left-censored datasets of virus density in wastewater samples make it difficult
to evaluate the virus removal efficiency in wastewater treatment processes. In
the present study, we modeled the probabilistic distribution of virus removal
efficiency in a wastewater treatment process with a Bayesian approach, and
investigated how many detect samples in influent and effluent are necessary for
accurate estimation. One hundred left-censored data of virus density in
wastewater (influent and effluent) were artificially generated based on assumed
log-normal distributions and the posterior predictive distribution of virus
density, and the log-ratio distribution were estimated. The estimation accuracy
of distributions was quantified by Bhattacharyya coefficient. When it is assumed
that the accurate estimation of posterior predictive distributions is possible
when a 100% positive rate is obtained for 12 pairs of influent and effluent, 11
out of 144, 60 out of 324, and 201 out of 576 combinations of detect samples gave
an accurate estimation at the significant level of 0.01 in a Kruskal-Wallis test
when the total sample number was 12, 18, and 24, respectively. The combinations
with the minimum number of detect samples were (12, 9), (16, 10), and (21, 8)
when the total sample number was 12, 18, and 24, respectively.
PMID- 26540541
TI - Malt house wastewater treatment with settleable algal-bacterial flocs.
AB - This paper deals with biological treatment of malt house wastewater using algal
bacterial flocs. During three months of testing, optimisation of growth
conditions and biomass separation leads to maximisation of biomass production,
improved flocs settleability and increased pollutant removal efficiency while
maintaining low energy demand. At a high food to microorganism ratio (0.16 to
0.29 kg BOD5 kg(-1) TSS d(-1)), the biological oxygen demand (BOD5), chemical
oxygen demand (CODCr), total phosphorus (Ptot) and total suspended solids (TSS)
removal efficiencies were all higher than 90%. At a food to microorganism ratio
of 0.06 kg BOD5 kg(-1) TSS d(-1), BOD5, CODCr, total nitrogen (Ntot), Ptot and
TSS removal efficiencies of 99.5%, 97.6%, 91.5%, 97.8% and 98.4%, respectively,
were achieved. The study also proved a strong dependence of removal efficiencies
on solar radiation. The results suggest the algae-bacteria system is suitable for
treatment of similar wastewater in locations with available land and sufficient
solar radiation and temperature during the whole year.
PMID- 26540542
TI - Effect of interaction between anionic surfactants and poly(piperazine-amide)
nanofiltration membranes used for chromium(III) recovery from saline solution.
AB - The effect of the anionic surfactant on the permeation properties of the
nanofiltration (NF) membranes used for chromium(III) recovery from saline
solution at low pH have been presented in this paper. The membrane surface layer
performance periodically modified by sodium dodecyl sulphate (SDS) solution has
been studied with measurements of zeta potential, atomic force microscopy (AFM)
and permeability coefficient of tested membranes. It was found that the membrane
surface layer modification by SDS caused a substantial reduction in the
possibility of separation of loose NF membrane characterized by a high density of
positively charged groups activating under the effect of the low pH of the saline
solutions (HL membrane). On the other hand, in the case of dense NF membranes
characterized by a strong negatively charged surface (DL membrane) constituting
used the SDS improves the separation of chloride and chromium(III) ions. In this
case, the surfactant solution also provides a high membrane permeability
coefficient behavior over a long period of use. DL membrane modification by SDS
allowed both to retain the stable membrane working for a long period and to limit
the frequency of the chemical cleaning of this membrane.
PMID- 26540543
TI - Validation of computational non-Newtonian fluid model for membrane bioreactor.
AB - Membrane bioreactor (MBR) systems are often considered as the wastewater
treatment method of the future due to their high effluent quality. One of the
main problems with such systems is a relative large energy consumption, compared
to conventional activated sludge (CAS) systems, which has led to further research
in this specific area. A powerful tool for optimizing MBR-systems is
computational fluid dynamics (CFD) modelling, which gives researchers the ability
to describe the flow in the systems. A parameter which is often neglected in such
models is the non-Newtonian properties of active sludge, which is of great
importance for MBR systems since they operate at sludge concentrations up to a
factor of 10 compared to CAS systems, resulting in strongly shear thinning
liquids. A CFD-model is validated against measurements conducted in a system with
rotating cross-flow membranes submerged in non-Newtonian liquids, where
tangential velocities are measured with a Laser Doppler Anemometer (LDA). The CFD
model is found to be capable of modelling the correct velocities in a range of
setups, making CFD models a powerful tool for optimization of MBR systems.
PMID- 26540544
TI - Preparation of N-doped ZnO-loaded halloysite nanotubes catalysts with high solar
light photocatalytic activity.
AB - N-doped ZnO nanoparticles were successfully assembled into hollow halloysite
nanotubes (HNTs) by using the impregnation method. The catalysts based on N-doped
ZnO-loaded HNTs nanocomposites (N-doped ZnO/HNTs) were characterized by X-ray
diffraction (XRD), transmission electron microscopy-energy dispersive X-ray (TEM
EDX), scanning electron microscopy-energy dispersive X-ray (SEM-EDX), UV-vis and
Fourier transform infrared spectroscopy (FT-IR) techniques. The XRD pattern
showed ZnO nanoparticles with hexagonal structure loaded on HNTs. The TEM-EDX
analysis indicated ZnO particles with the crystal size of ca.10 nm scattered in
hollow structure of HNTs, and furthermore the concentration of N atom in
nanocomposites was up to 2.31%. The SEM-EDX verified most of N-ZnO nanoparticles
existing in hollow nanotubes of HNTs. Besides containing an obvious ultraviolet
absorbance band, the UV-vis spectra of the N-doped ZnO/HNTs catalysts showed an
available visible absorbance band by comparing to HNTs and non-doped ZnO/HNTs.
The photocatalytic activity of the N-doped ZnO/HNTs catalysts was evaluated by
the degradation of methyl orange (MO) solution with the concentration of 20 mg/L
under the simulated solar-light irradiation. The result showed that the N-doped
ZnO/HNTs catalyst exhibited a desirable solar-light photocatalytic activity.
PMID- 26540545
TI - Photodegradation of microcystin-LR catalyzed by metal phthalocyanines immobilized
on TiO2-SiO2 under visible-light irradiation.
AB - Microcystins (MCs) are a group of monocyclic heptapeptide toxins produced by
species of cyanobacteria. Since MCs exhibit acute and chronic effects on humans
and wildlife by damaging the liver, they are of increasing concern worldwide. In
this study, we investigated the ability of the phthalocyanine compound (ZnPc-TiO2
SiO2) to degrade microcystin-LR (MC-LR) in the presence of visible light. X-ray
diffraction (XRD) and UV-Visible diffuse reflectance spectra (UV-Vis DRS) were
utilized to characterize the crystalline phase and the absorption behavior of
this catalyst. According to the results, XRD spectra of ZnPc-TiO2-SiO2 powders
taken in the 2theta configuration exhibited the peaks characteristic of the
anatase phase. UV-Vis DRS showed that the absorption band wavelength shifted to
the visible range when ZnPc was supported on the surface of TiO2-SiO2.
Subsequently, several parameters including catalyst dose, MC-LR concentrations
and pH were investigated. The MC-LR was quantified in each sample through high
performance liquid chromatography (HPLC). The maximum MC-LR degradation rate of
80.2% can be obtained within 300 minutes under the following conditions: catalyst
dose of 7.50 g/L, initial MC-LR concentration of 17.35 mg/L, pH 6.76 and the
first cycling run of the photocatalytic reaction. Moreover, the degradation
process fitted well with the pseudo-first-order kinetic model.
PMID- 26540546
TI - Detection of boron removal capacities of different microorganisms in wastewater
and effective removal process.
AB - In this study boron removal capacities of different microorganisms were tested.
Candida tropicalis, Rhodotorula mucilaginosa, Micrococcus luteus, Bacillus
thuringiensis, Bacillus cereus, Bacillus megaterium, Bacillus pumilus,
Pseudomonas aeruginosa and Aspergillus versicolor were examined for their boron
bioaccumulation capacities in simulated municipal wastewater. A. versicolor and
B. cereus were found as the most boron-tolerant microorganisms in the
experiments. Also boron bioaccumulation yield of A. versicolor was 49.25% at 15
mg/L boron concentration. On the other hand biosorption experiments revealed that
A. versicolor was more capable of boron removal in inactive form at the highest
boron concentrations. In this paper maximum boron bioaccumulation yield was
detected as 39.08% at 24.17 mg/L and the maximum boron biosorption yield was
detected as 41.36% at 24.01 mg/L boron concentrations.
PMID- 26540547
TI - Environmental performance of an integrated fixed-film activated sludge (IFAS)
reactor treating actual municipal wastewater during start-up phase.
AB - The present study summarizes the start-up performance and lessons learned during
the start-up and optimization of a pilot-scale plant employing integrated fixed
film activated sludge (IFAS) process treating actual municipal wastewater. A
comprehensive start-up was tailored and implemented to cater for all the
challenges and problems associated with start-up. After attaining desired
suspended biomass (2,000-3,000 mg/L) and sludge age (~7 days), the average
biological oxygen demand (BOD) and chemical oxygen demand (COD) removals were
observed as 77.3 and 70.9%, respectively, at optimized conditions, i.e. hydraulic
retention time (HRT), 6.9 h; return sludge rate, 160%. The influent
concentrations of COD, BOD, total suspended solids, NH3-N, total nitrogen and
total phosphorus were found to be in the range of 157-476 mg/L, 115-283 mg/L, 152
428 mg/L, 23.2-49.3 mg/L, 30.1-52 mg/L and 3.6-7.8 mg/L, respectively, and the
minimum effluent concentrations were achieved as ~49 mg/L, 23 mg/L, 35 mg/L, 2.2
mg/L, 3.4 mg/L and 2.8 mg/L, respectively, at optimum state. The present system
was found effective in the removal of pathogenic bacteria (Escherichia coli, 79%;
Salmonella spp., 97.5%; Shigella spp., 92.9%) as well as coliforms (total
coliforms, 97.65%; faecal coliforms, 80.35%) without any disinfection unit.
Moreover it was observed that the time required for the stabilization of the
plant was approximately 3 weeks if other parameters (sludge age, HRT and
dissolved oxygen) are set to optimized values.
PMID- 26540548
TI - Groundwater and stream E. coli concentrations in coastal plain watersheds served
by onsite wastewater and a municipal sewer treatment system.
AB - The goal of this study was to determine if onsite wastewater treatment systems
(OWS) were influencing groundwater and surface water Escherichia coli
concentrations in a coastal plain watershed. Piezometers for groundwater
monitoring were installed at four residences served by OWS and five residences
served by a municipal wastewater treatment system (MWS). The residences were
located in two different, but nearby (<3 km), watersheds. Effluent from the four
septic tanks, groundwater from piezometers, and the streams draining the OWS and
MWS watersheds were sampled on five dates between September 2011 and May 2012.
Groundwater E. coli concentrations and specific conductivity were elevated within
the flow path of the OWS and near the stream, relative to other groundwater
sampling locations in the two watersheds. Groundwater discharge in the OWS
watershed could be a contributor of E. coli to the stream because E. coli
concentrations in groundwater at the stream bank and in the stream were similar.
Stream E. coli concentrations were higher for the OWS in relation to MWS
watersheds on each sampling date. Water quality could be improved by ensuring OWS
are installed and operated to maintain adequate separation distances to water
resources.
PMID- 26540549
TI - Adsorption of sunset yellow FCF from aqueous solution by chitosan-modified
diatomite.
AB - Sunset yellow (SY) FCF is a hazardous azo dye pollutant found in food processing
effluent. This study investigates the use of diatomaceous earth with chitosan
(DE@C) as a modified adsorbent for the removal of SY from wastewater. Fourier
transform infrared spectroscopy results indicate the importance of functional
groups during the adsorption of SY. The obtained N2 adsorption-desorption
isotherm values accord well with IUPAC type II. Our calculations determined a
surface area of 69.68 m2 g(-1) for DE@C and an average pore diameter of 4.85 nm.
Using response surface methodology, optimized conditions of process variables for
dye adsorption were achieved. For the adsorption of SY onto DE@C, this study
establishes mathematical models for the optimization of pH, contact time and
initial dye concentration. Contact time plays a greater role in the adsorption
process than either pH or initial dye concentration. According to the adjusted
correlation coefficient (adj-R2>0.97), the models used here are suitable for
illustration of the adsorption process. Theoretical experimental conditions
included a pH of 2.40, initial dye concentration of 113 mg L(-1) and 30.37
minutes of contact time. Experimental values for the adsorption rate (92.54%)
were close to the values predicted by the models (95.29%).
PMID- 26540550
TI - Use of the ferrates (FeIV-VI) in combination with hydrogen peroxide for rapid and
effective remediation of water--laboratory and pilot study.
AB - In recent years, particles of iron in higher oxidation states (Fe(IV-VI)),
commonly called ferrates, have been presented theoretically as very effective
oxidants. They can potentially be used for elimination of a wide range of organic
and inorganic contaminants. However, so far the majority of applications have
been carried out only as laboratory tests using model samples in many cases. The
application of ferrates in remediation programs has so far proved to be more
complicated with results failing to meet expectations. Therefore there is a
necessity to consider the suitability of their use or consider their possible
combination with other agents in order to reach required removal efficiencies in
remediation. This study is focused on laboratory experiments using industrial
groundwater leading to the proposal of a pilot field application realized as an
ex-situ remediation. The combination of ferrates with hydrogen peroxide was used
in this study in order to enhance the removal efficiency during pilot remediation
of groundwater strongly contaminated by a wide range of organic contaminants.
This combination has been shown to be very effective. During the 24-hour reaction
time the majority of detected contaminants were removed by approximately 60-80%.
Moreover, the unpleasant odor of the water was suppressed and suspended particles
were removed by the flocculation effect of ferric sludge.
PMID- 26540551
TI - An inexact multi-objective programming model for water resources management in
industrial parks of Binhai New Area, China.
AB - In recent years, Binhai New Area of Tianjin has been suffering severe water
shortage due to climate change and industrial activities. Integrated and
effective water resources management approaches are urgent for the sustainable
development of industrial parks in Binhai New Area. However, uncertainties exist
in many aspects of the water resources system and are inevitably problematic for
water resources planning and policy-making. To address these uncertainties, an
interval multiple-objective programming model was developed here to support the
long-term planning of industrial water resources management in Binhai New Area,
Tianjin, China. The model incorporated both multiple-objective programming and
interval linear programming into a general programming framework. The developed
model could handle the uncertainties and complexities of the water management
system, and also allowed decision makers to adjust fuzzy objective control
decision variables to satisfy multiple holistic and interactive objectives. The
solutions are useful for planning adjustments of the existing water allocation
patterns in Binhai New Area.
PMID- 26540552
TI - Correction: Hydronephrotic Urine in the Obstructed Kidney Promotes Urothelial
Carcinoma Cell Proliferation, Migration, Invasion through the Activation of
mTORC2-AKT and ERK Signaling Pathways.
PMID- 26540553
TI - The Effects of Age and Preoral Sensorimotor Cues on Anticipatory Mouth Movement
During Swallowing.
AB - PURPOSE: The aim of this study was to investigate the effects of preoral
sensorimotor cues on anticipatory swallowing/eating-related mouth movements in
older and younger adults. It was hypothesized that these cues are essential to
timing anticipatory oral motor patterns, and these movements are delayed in older
as compared with younger adults. METHOD: Using a 2 * 2 repeated-measures design,
eating-related lip, jaw, and hand movements were recorded from 24 healthy older
(ages 70-85 years) and 24 healthy younger (ages 18-30 years) adults under 4
conditions: typical self-feeding, typical assisted feeding (proprioceptive loss),
sensory-loss self-feeding (auditory and visual loss/degradation), and sensory
loss assisted feeding (loss/degradation of all cues). RESULTS: All participants
demonstrated anticipatory mouth opening. The absence of proprioception delayed
lip-lowering onset, and sensory loss more negatively affected offset. Given at
least 1 preoral sensorimotor cue, older adults initiated movement earlier than
younger adults. CONCLUSIONS: Preoral sensorimotor information influences
anticipatory swallowing/eating-related mouth movements, highlighting the
importance of these cues. Earlier movement in older adults may be a compensation,
facilitating safe swallowing given other age-related declines. Further research
is needed to determine if the negative impact of cue removal may be further
exacerbated in a nonhealthy system (e.g., presence of dysphagia or disease),
potentially increasing swallowing- and eating-related risks.
PMID- 26540554
TI - Immediate Intraocular Pressure Changes Following Intravitreal Dexamethasone
Implant.
AB - PURPOSE: To evaluate the immediate intraocular pressure (IOP) changes following
intravitreal dexamethasone implantation. METHODS: Thirty-four eyes of 34
consecutive patients (16 females and 18 males; mean age, 59.9 +/- 9.0 years) with
diagnosis of macular edema secondary to diabetic retinopathy (20 eyes) or retinal
vein occlusion (14 eyes) scheduled for intravitreal dexamethasone implantation
(Ozurdex) were included in the study. IOP at baseline, minute 1, minute 10, hour
1, and day 1 was measured using Tono-Pen XL. As a subgroup, the eyes with visible
reflux after the implantation were analyzed separately for IOP change. RESULTS:
Overall, the only significant change in relation to baseline was observed
immediately after the implantation (16.5 +/- 3.4 mmHg at baseline vs. 13.5 +/-
5.7 mmHg at minute 1, P = 0.004). In eyes with reflux (N = 9), the mean IOP was
15.4 +/- 2.4, 6.3 +/- 4.2, 10.1 +/- 4.4, 15.8 +/- 2.9, and 15.6 +/- 2.2 mmHg at
baseline, minute 1, minute 10, hour 1, and day 1, respectively. The mean IOP was
significantly lower at minute 1 (P = 0.008) and minute 10 (P = 0.013). In eyes
without reflux (N = 25), the mean IOP was not significantly altered at any time
point (for all P > 0.05). The rate of hypotony (IOP <6 mmHg) at minute 1, minute
10, hour 1, and day 1 was 8.8%, 2.9%, 0%, and 0%, respectively. Wound leakage and
choroidal detachment were not observed in any of the eyes. CONCLUSIONS: The
injection procedure of the Ozurdex implant itself does not affect IOP, except in
the case of observable reflux, in which case the IOP temporarily decreases.
PMID- 26540555
TI - Serum 25-Hydroxyvitamin D and Osteoarthritis in Older People: The Progetto Veneto
Anziani Study.
AB - Recent work has shown that low 25-hydroxyvitamin D (25OHD) levels are associated
with the presence of osteoarthritis (OA), but these studies focused on
radiographical changes of OA, investigated only one joint, and did not consider
the association with OA-related pain. In this study, we aimed to examine the
relationship between 25OHD levels and any presence of OA and pain in a cohort of
older people. This study was part of the Progetto Veneto Anziani (Pro.V.A), a
population-based cohort study in older people. In this cross-sectional work, we
considered 2756 subjects (1102 males and 1654 females) with a mean age of 74.2 +/
7.1 years. OA and OA-related pain were defined using a standardized algorithm
investigating disease history, medical documentation, symptoms, and physical
examination of the joints. On logistic regression analysis, taking those in the
highest 25OHD quartile for reference, those in the lowest quartile had
significantly higher odds of OA involving the hands (odds ratio [OR] = 1.26, 95%
confidence interval [CI] 1.15-1.38 in the sample as whole; 1.36, 95% CI 1.15-1.60
in men and 1.22, 95% CI 1.09-1.37 in women), and pain (OR = 1.18, 95% CI 1.06
1.32 in the sample as whole; 1.52, 95% CI 1.21-1.90 in men and 1.15, 95% CI 1.03
1.29 in women). Similar results were found for the hip. For the knee, low 25OHD
levels were associated with the presence of OA in the sample as a whole, and in
women, and with the presence of pain in the sample as a whole. In conclusion, low
25OHD levels are associated with the presence of OA and with OA-related pain,
particularly when the hand and hip are involved.
PMID- 26540556
TI - The CD68(+)/H-ferritin(+) cells colonize the lymph nodes of the patients with
adult onset Still's disease and are associated with increased extracellular level
of H-ferritin in the same tissue: correlation with disease severity and
implication for pathogenesis.
AB - In this work, we aimed to evaluate the levels of ferritin enriched in H subunits
(H-ferritin) and ferritin enriched in L subunits (L-ferritin) and the cells
expressing these two molecules in the lymph node (LN) biopsies obtained from
adult-onset Still's disease (AOSD) patients, and the possible correlation among
these data and the severity of the disease. Ten patients with AOSD underwent LN
biopsy. All the samples were stained by immunofluorescence. A statistical
analysis was performed to estimate the possible correlation among both H-ferritin
and L-ferritin tissue expression and the clinical picture of the disease.
Furthermore, the same analysis was performed to evaluate the possible correlation
among the number of CD68(+)/H-ferritin(+) or CD68(+)/L-ferritin(+) cells and the
clinical picture. Immunofluorescence analysis demonstrated an increased tissue H
ferritin expression in the LNs of AOSD patients. This increased expression
correlated with the severity of the disease. An increased number of CD68
macrophages expressing H-ferritin was observed in the LN samples of our patients.
Furthermore, we observed that the number of CD68(+)/H-ferritin(+) cells
correlated significantly with the severity of the clinical picture. Our data
showed an imbalance between the levels of H- and L-ferritin in LNs of AOSD
patients and the evidence of an increased number of CD68(+)/H-ferritin(+) cells
in the same organs. Furthermore, a correlation among both the tissue H-ferritin
levels and the CD68(+)/H-ferritin(+) cells and the clinical picture was observed.
PMID- 26540557
TI - Versatile Cellulose-Based Carbon Aerogel for the Removal of Both Cationic and
Anionic Metal Contaminants from Water.
AB - Hydrothermal carbonization of cellulose in the presence of the globular protein
ovalbumin leads to the formation of nitrogen-doped carbon aerogel with a
fibrillar continuous carbon network. The protein plays here a double role: (i) a
natural source of nitrogen functionalities (2.1 wt %) and (ii) structural
directing agent (S(BET) = 38 m(2)/g). The applicability in wastewater treatment,
namely, for heavy metal removal, was examined through adsorption of Cr(VI) and
Pb(II) ion solely and in a mixed bicomponent aqueous solutions. This cellulose
based carbogel shows an enhanced ability to remove both Cr(VI) (~68 mg/g) and
Pb(II) (~240 mg/g) from the targeted solutions in comparison to other carbon
materials reported in the literature. The presence of competing ions showed
little effect on the adsorption efficiency toward Cr(VI) and Pb(II).
PMID- 26540559
TI - The National Academy of Medicine's Vision: Leadership, Innovation, and Impact for
a Healthier Future.
PMID- 26540558
TI - Au25 cluster functionalized metal-organic nanostructures for magnetically
targeted photodynamic/photothermal therapy triggered by single wavelength 808 nm
near-infrared light.
AB - Near-infrared (NIR) light-induced cancer therapy has gained considerable
interest, but pure inorganic anti-cancer platforms usually suffer from
degradation issues. Here, we designed metal-organic frameworks (MOFs) of
Fe3O4/ZIF-8-Au25 (IZA) nanospheres through a green and economic procedure. The
encapsulated Fe3O4 nanocrystals not only produce hyperthemal effects upon NIR
light irradiation to effectively kill tumor cells, but also present targeting and
MRI imaging capability. More importantly, the attached ultrasmall Au25(SR)18(-)
clusters (about 2.5 nm) produce highly reactive singlet oxygen ((1)O2) to cause
photodynamic effects through direct sensitization under NIR light irradiation.
Furthermore, the Au25(SR)18(-) clusters also give a hand to the hyperthemal
effect as photothermal fortifiers. This nanoplatform exhibits high
biocompatibility and an enhanced synergistic therapeutic effect superior to any
single therapy, as verified by in vitro and in vivo assay. This image-guided
therapy based on a metal-organic framework may stimulate interest in developing
other kinds of metal-organic materials with multifunctionality for tumor
diagnosis and therapy.
PMID- 26540560
TI - A Novel Method to Predict Highly Expressed Genes Based on Radius Clustering and
Relative Synonymous Codon Usage.
AB - Recombinant proteins play an important role in many aspects of life and have
generated a huge income, notably in the industrial enzyme business. A gene is
introduced into a vector and expressed in a host organism-for example, E. coli-to
obtain a high productivity of target protein. However, transferred genes from
particular organisms are not usually compatible with the host's expression system
because of various reasons, for example, codon usage bias, GC content, repetitive
sequences, and secondary structure. The solution is developing programs to
optimize for designing a nucleotide sequence whose origin is from peptide
sequences using properties of highly expressed genes (HEGs) of the host organism.
Existing data of HEGs determined by practical and computer-based methods do not
satisfy for qualifying and quantifying. Therefore, the demand for developing a
new HEG prediction method is critical. We proposed a new method for predicting
HEGs and criteria to evaluate gene optimization. Codon usage bias was weighted by
amplifying the difference between HEGs and non-highly expressed genes (non-HEGs).
The number of predicted HEGs is 5% of the genome. In comparison with Puigbo's
method, the result is twice as good as Puigbo's one, in kernel ratio and kernel
sensitivity. Concerning transcription/translation factor proteins (TF), the
proposed method gives low TF sensitivity, while Puigbo's method gives moderate
one. In summary, the results indicated that the proposed method can be a good
optional applying method to predict optimized genes for particular organisms, and
we generated an HEG database for further researches in gene design.
PMID- 26540561
TI - Looking Upstream: Findings from Focus Groups on Public Perceptions of Source
Water Quality in British Columbia, Canada.
AB - In association with the development of new microbial tests for source water
quality (SWQ), focus groups with members of the public were conducted to gain
insight into their perceptions of SWQ, behaviours and contaminants they think
pose the greatest threat to its quality, and what/how they want to know about
SWQ. Discussions revealed a low concern about SWQ in general, and in particular
about microbial contamination. Participants identified behaviours that threaten
SWQ, barriers to changing behaviour and suggestions for inducing change. A strong
desire was expressed for water quality information to be interpreted and
communicated in terms of how SWQ may impact human health and how their actions
should be altered in response to test results. The information can be used to
inform communication strategies and possibly impact policies associated with
water quality testing and implementation of new tests. More broadly, awareness of
the public's understanding and beliefs about source water can be used in working
with the public to adopt water-friendly behaviours, influence the content and
methods of communicating with the public about water issues and water quality,
and could contribute to the direction of future research and investment into
water technologies to align with the public's priorities.
PMID- 26540562
TI - Defining Vitamin D Deficiency and Its Relationship to Hypertension in
Postmenopausal Korean Women.
AB - BACKGROUND: Inconsistent results regarding the association between low vitamin D
level and hypertension (HTN) have led to uncertainty in clinical practice as to
the use of vitamin D cutoff value. The aims of this study were to investigate the
association between vitamin D deficiency and HTN in postmenopausal women and to
determine the clinically significant cutoff value for vitamin D deficiency.
METHODS: We analyzed data from the Korean National Health and Nutrition
Examination Survey 5 database (4107 postmenopausal women, aged 50-79 years). The
cutoff value for vitamin D deficiency was based on the changes in parathyroid
hormone (PTH) level according to serum 25(OH)D value. RESULTS: PTH levels were
significantly higher in groups with serum 25(OH)D <15 ng/mL (p < 0.001). When a
serum 25(OH)D value of 15 ng/mL was used as a cutoff value, 35.2% of the subjects
were found to be vitamin D deficient. Although the association between 25(OH)D
level <15 ng/mL and systolic blood pressure did not remain significant after
adjusting for season (p = 0.30), multiple logistic regression analysis revealed
that 25(OH)D level <15 ng/mL was an independent risk factor for HTN (adjusted
odds ratio [OR] 1.285, 95% confidence interval [CI] 1.024-1.614, p = 0.031).
CONCLUSIONS: Using serum PTH level, we defined vitamin D deficiency as 25(OH)D
<15 ng/mL in postmenopausal women. Vitamin D deficiency [25(OH)D <15 ng/mL] was a
significant risk factor for HTN in postmenopausal women.
PMID- 26540563
TI - Biodegradation of direct blue 129 diazo dye by Spirodela polyrrhiza: An
artificial neural networks modeling.
AB - Phytoremediation potential of the aquatic plant Spirodela polyrrhiza was examined
for direct blue 129 (DB129) azo dye. The dye removal efficiency was optimized
under the variable conditions of the operational parameters including removal
time, initial dye concentration, pH, temperature and amount of plant. The study
reflected the significantly enhanced dye removal efficiency of S. polyrrhiza by
increasing the temperature, initial dye concentration and amount of plant.
Intriguingly, artificial neural network (ANN) predicted the removal time as the
most dominant parameter on DB129 removal efficiency. Furthermore, the effect of
dye treatment on some physiologic indices of S. polyrrhiza including growth rate,
photosynthetic pigments content, lipid peroxidation and antioxidant enzymes were
studied. The results revealed a reduction in photosynthetic pigments content and
in multiplication of fronds after exposure to dye solution. In contrast,
malondialdehyde content as well as catalase (CAT) and peroxidase (POD) activities
significantly increased that was probably due to the ability of plant to overcome
oxidative stress. As a result of DB129 biodegradation, a number of intermediate
compounds were identified by gas chromatography-mass spectroscopy (GC-MS)
analysis. Accordingly, the probable degradation pathway of DB129 in S. polyrrhiza
was postulated.
PMID- 26540564
TI - Discovery of N-[5-(6-Chloro-3-cyano-1-methyl-1H-indol-2-yl)-pyridin-3-ylmethyl]
ethanesulfonamide, a Cortisol-Sparing CYP11B2 Inhibitor that Lowers Aldosterone
in Human Subjects.
AB - Human clinical studies conducted with LCI699 established aldosterone synthase
(CYP11B2) inhibition as a promising novel mechanism to lower arterial blood
pressure. However, LCI699's low CYP11B1/CYP11B2 selectivity resulted in blunting
of adrenocorticotropic hormone-stimulated cortisol secretion. This property of
LCI699 prompted its development in Cushing's disease, but limited more extensive
clinical studies in hypertensive populations, and provided an impetus for the
search for cortisol-sparing CYP11B2 inhibitors. This paper summarizes the
discovery, pharmacokinetics, and pharmacodynamic data in preclinical species and
human subjects of the selective CYP11B2 inhibitor 8.
PMID- 26540565
TI - High-throughput identification of protein mutant stability computed from a double
mutant fitness landscape.
AB - The effect of a mutation on protein stability is traditionally measured by
genetic construction, expression, purification, and physical analysis using low
throughput methods. This process is tedious and limits the number of mutants able
to be examined in a single study. In contrast, functional fitness effects can be
measured in a high-throughput manner by various deep mutational scanning tools.
Using protein GB 1, we have recently demonstrated the feasibility of estimating
the mutational stability effect ( DeltaDeltaG) of single-substitution based on
the functional fitness profile of all double-substitutions. The principle is to
identify genetic backgrounds that have an exhausted stability margin. The
functional effect of an additional substitution on these genetic backgrounds can
then be used to compute the mutational DeltaDeltaG based on the biophysical
relationship between functional fitness and thermodynamic stability. However, to
identify such genetic backgrounds, the approach described in our previous study
required a benchmark dataset, which is a set of known mutational DeltaDeltaG. In
this study, a benchmark-independent approach is developed. The genetic
backgrounds of interest are identified using k-means clustering with the
integration of structural information. We further demonstrated that a reasonable
approximation of DeltaDeltaG can also be obtained without taking structural
information into account. In summary, this study describes a novel method for
computing DeltaDeltaG from double-substitution functional fitness profiles alone,
without relying on any known mutational DeltaDeltaG as a benchmark.
PMID- 26540566
TI - Tumor suppressive effect of PARP1 and FOXO3A in gastric cancers and its clinical
implications.
AB - Poly (ADP-ribose) polymerase1 (PARP1) has been reported as a possible target for
chemotherapy in many cancer types. However, its action mechanisms and clinical
implications for gastric cancer survival are not yet fully understood. Here, we
investigated the effect of PARP1 inhibition in the growth of gastric cancer
cells. PARP1 inhibition by Olaparib or PARP1 siRNA could significantly attenuate
growth and colony formation of gastric cancer cells, and which were mediated
through induction of G2/M cell cycle arrest but not apoptosis. FOXO3A expression
was induced by PARP1 inhibition, suggesting that FOXO3A might be one of
downstream target of the PARP1 effect on gastric cancer cell growth. In addition,
by performing tissue microarrays on the 166 cases of gastric cancer patients, we
could observe that the expression status of PARP1 and FOXO3A were significantly
associated with overall survival (OS) and relapse-free survival (RFS).
Strikingly, combined expression status of PARP1 and FOXO3A showed better
prediction for patient's clinical outcomes. The patient group with PARP1+/FOXO3A-
expression had the worst prognosis while the patient group with PARP1-/FOXO3A+
had the most favorable prognosis (OS: P = 6.0 * 10(-9), RFS: P = 2.2 * 10(-8)).
In conclusion, we suggest that PARP1 and FOXO3A play critical roles in gastric
cancer progression, and might have therapeutic and/or diagnostic potential in
clinic.
PMID- 26540567
TI - Bone marrow stroma-induced resistance of chronic lymphocytic leukemia cells to
arsenic trioxide involves Mcl-1 upregulation and is overcome by inhibiting the
PI3Kdelta or PKCbeta signaling pathways.
AB - CLL remains an incurable disease in spite of the many new compounds being
studied. Arsenic trioxide (ATO) induces apoptosis in all CLL cell types and could
constitute an efficient therapy. To further explore this, we have studied the
influence of stromal cells, key components of the CLL microenvironment, on the
response of CLL cells to ATO. Bone marrow stromal cells induced CLL cell
resistance to 2 MUM ATO and led to activation of Lyn, ERK, PI3K and PKC, as well
as NF-kappaB and STAT3. Mcl-1, Bcl-xL, and Bfl-1 were also upregulated after the
co-culture. Inhibition experiments indicated that PI3K and PKC were involved in
the resistance to ATO induced by stroma. Moreover, idelalisib and sotrastaurin,
specific inhibitors for PI3Kdelta and PKCbeta, respectively, inhibited Akt
phosphorylation, NF-kappaB/STAT3 activation and Mcl-1 upregulation, and rendered
cells sensitive to ATO. Mcl-1 was central to the mechanism of resistance to ATO,
since: 1) Mcl-1 levels correlated with the CLL cell response to ATO, and 2)
blocking Mcl-1 expression or function with specific siRNAs or inhibitors overcame
the protecting effect of stroma. We have therefore identified the mechanism
involved in the CLL cell resistance to ATO induced by bone marrow stroma and show
that idelalisib or sotrastaurin block this mechanism and restore sensibility to
ATO. Combination of ATO with these inhibitors may thus constitute an efficient
treatment for CLL.
PMID- 26540568
TI - Invasive oral cancer stem cells display resistance to ionising radiation.
AB - There is a significant amount of evidence to suggest that human tumors are driven
and maintained by a sub-population of cells, known as cancer stem cells (CSC). In
the case of head and neck cancer, such cells have been characterised by high
expression levels of CD44 cell surface glycoprotein, while we have previously
shown the presence of two diverse oral CSC populations in vitro, with different
capacities for cell migration and proliferation. Here, we examined the response
of oral CSC populations to ionising radiation (IR), a front-line measure for the
treatment of head and neck tumors. We show that oral CSC initially display
resistance to IR-induced growth arrest as well as relative apoptotic resistance.
We propose that this is a result of preferential activation of the DNA
damagerepair pathway in oral CSC with increased activation of ATM and BRCA1,
elevated levels of DNA repair proteins RAD52, XLF, and a significantly faster
rate of DNA double-strand-breaks clearance 24 hours following IR. By visually
identifying CSC sub-populations undergoing EMT, we show that EMT-CSC represent
the majority of invasive cells, and are more radio-resistant than any other
population in re-constructed 3D tissues. We provide evidence that IR is not
sufficient to eliminate CSC in vitro, and that sensitization of CD44hi/ESAlow
cells to IR, followed by secondary EMT blockade, could be critical in order to
reduce primary tumor recurrence, but more importantly to be able to eradicate
cells capable of invasion and distant metastasis.
PMID- 26540570
TI - Combinatorial BTK and MALT1 inhibition augments killing of CD79 mutant diffuse
large B cell lymphoma.
AB - Survival of activated B cell-subtype (ABC) of diffuse large B cell lymphoma
(DLBCL) is driven by chronic B cell receptor (BCR) signaling that activates the
canonical NF-kappaB pathway. Inhibition of BTK by Ibrutinib has been shown to
kill ABC DLBCL cells that carry activating mutations in the BCR adaptor CD79.
However, mutations in BTK or in downstream components such as CARMA1/CARD11 can
render lymphomas Ibrutinib resistant. Therefore, we assessed here the
simultaneous inhibition of BTK and the protease MALT1 that acts downstream of
CARMA1 and is essential for ABC DLBCL tumor growth. We show that in CD79 mutant
cells BTK is a crucial upstream regulator of MALT1, but dispensable in CARMA1
mutant ABC DLBCL. Combined inhibition of BTK by Ibrutinib and MALT1 by S-Mepazine
additively impaired MALT1 cleavage activity and expression of NF-kappaB pro
survival factors. Thereby, combinatorial Ibrutinib and S-Mepazine treatment
enhanced killing of CD79 mutant ABC DLBCL cells. Moreover, while expression of
oncogenic CARMA1 in CD79 mutant cells conferred Ibrutinib resistance, double
mutant cells were still sensitive to MALT1 inhibition by S-Mepazine. Thus, based
on the genetic background combinatorial BTK and MALT1 inhibition may improve
effectiveness of therapeutic treatment and reduce the chances for the development
of drug resistances.
PMID- 26540569
TI - Differentiation between genetic mutations of breast cancer by breath
volatolomics.
AB - Mapping molecular sub-types in breast cancer (BC) tumours is a rapidly evolving
area due to growing interest in, for example, targeted therapy and screening high
risk populations for early diagnosis. We report a new concept for profiling BC
molecular sub-types based on volatile organic compounds (VOCs). For this purpose,
breath samples were collected from 276 female volunteers, including healthy,
benign conditions, ductal carcinoma in situ (DCIS) and malignant lesions. Breath
samples were analysed by gas chromatography mass spectrometry (GC-MS) and
artificially intelligent nanoarray technology. Applying the non-parametric
Wilcoxon/Kruskal-Wallis test, GC-MS analysis found 23 compounds that were
significantly different (p < 0.05) in breath samples of BC patients with
different molecular sub-types. Discriminant function analysis (DFA) of the
nanoarray identified unique volatolomic signatures between cancer and non-cancer
cases (83% accuracy in blind testing), and for the different molecular sub-types
with accuracies ranging from 82 to 87%, sensitivities of 81 to 88% and
specificities of 76 to 96% in leave-one-out cross-validation. These results
demonstrate the presence of detectable breath VOC patterns for accurately
profiling molecular sub-types in BC, either through specific compound
identification by GC-MS or by volatolomic signatures obtained through statistical
analysis of the artificially intelligent nanoarray responses.
PMID- 26540571
TI - Social inequalities and cancer: can the European deprivation index predict
patients' difficulties in health care access? a pilot study.
AB - CONTEXT: The European Deprivation Index (EDI), is a new ecological estimate for
Socio-Economic Status (SES). This study postulates that Time-To-Treatment could
be used as a cancer quality-of -care surrogate in order to identify the
association between cancer patient's SES and quality of care in a French
comprehensive cancer center. METHODS: retrospective mono-centered cohort study.
All consecutive incoming adult patients diagnosed for breast cancer (BC),
prostate cancer (PC), colorectal cancer (CRC), lung cancer (LC) or sarcoma (S)
were included between January 2013 and December 2013. The association of EDI and
Time-To-Diagnosis (TTD), as well as Time-To-Treatment (TTT) was analyzed using a
cox regression, and a strata analysis per tumor site was performed. RESULTS: 969
patients were included. Primitive tumor site was 505 BC (52%), 169 PC (17%), 145
LC (15%), 116 CRC (12%), and 34 S (4%). Median TTD was 1.41 months (Q1-Q3 0.5 to
3.5 months). Median TTT was 0.9 months (0.4 - 1.4). In a multivariate analysis,
we identified the tumor site as a predictive factor to influence TTD, shorter for
BC (0.75 months, [0.30- 1.9]) than PC (4.69 months [1.6-29.7]), HR 0.27 95%CI =
[0.22-0.34], p < 0.001. TTT was also shorter for BC (0.75 months [0.4-1.1]) than
PC (2.02 [0.9-3.2]), HR 0.32 95%CI = [0.27-0.39], p < 0.001. EDI quintiles were
not found associated with either TTT or TTD. CONCLUSIONS: Deprivation estimated
by the EDI does not appear to be related to an extension of the Time-to-Diagnosis
or Time-to-Treatment in our real-life population. Further research should be done
to identify other frailty-sensitive factors that could be responsible for delays
in care.
PMID- 26540572
TI - Intermittent high-dose treatment with erlotinib enhances therapeutic efficacy in
EGFR-mutant lung cancer.
AB - Treatment with EGFR kinase inhibitors improves progression-free survival of
patients with EGFR-mutant lung cancer. However, all patients with initial
response will eventually acquire resistance and die from tumor recurrence. We
found that intermittent high-dose treatment with erlotinib induced apoptosis more
potently and improved tumor shrinkage significantly than the established low
doses. In mice carrying EGFR-mutant xenografts intermittent high-dose treatment
(200 mg/kg every other day) was tolerable and prolonged progression-free survival
and reduced the frequency of acquired resistance. Intermittent EGFR-targeted high
dose schedules induce more profound as well as sustained target inhibition and
may afford enhanced therapeutic efficacy.
PMID- 26540573
TI - Changes in autophagy, proteasome activity and metabolism to determine a specific
signature for acute and chronic senescent mesenchymal stromal cells.
AB - A sharp definition of what a senescent cell is still lacking since we do not have
in depth understanding of mechanisms that induce cellular senescence. In
addition, senescent cells are heterogeneous, in that not all of them express the
same genes and present the same phenotype. To further clarify the classification
of senescent cells, hints may be derived by the study of cellular metabolism,
autophagy and proteasome activity. In this scenario, we decided to study these
biological features in senescence of Mesenchymal Stromal Cells (MSC). These cells
contain a subpopulation of stem cells that are able to differentiate in
mesodermal derivatives (adipocytes, chondrocytes, osteocytes). In addition, they
can also contribute to the homeostatic maintenance of many organs, hence, their
senescence could be very deleterious for human body functions. We induced MSC
senescence by oxidative stress, doxorubicin treatment, X-ray irradiation and
replicative exhaustion. The first three are considered inducers of acute
senescence while extensive proliferation triggers replicative senescence also
named as chronic senescence. In all conditions, but replicative and high IR dose
senescence, we detected a reduction of the autophagic flux, while proteasome
activity was impaired in peroxide-treated and irradiated cells. Differences were
observed also in metabolic status. In general, all senescent cells evidenced
metabolic inflexibility and prefer to use glucose as energy fuel. Irradiated
cells with low dose of X-ray and replicative senescent cells show a residual
capacity to use fatty acids and glutamine as alternative fuels, respectively. Our
study may be useful to discriminate among different senescent phenotypes.
PMID- 26540575
TI - Chronotypes in patients with nonseasonal depressive disorder: Distribution,
stability and association with clinical variables.
AB - The individual's chronotype is regarded as rather stable trait with substantial
heritability and normal distribution of the "morningness-eveningness" dimension
in the general population. Eveningness has been related to the risk of developing
affective, particularly depressive, disorders. However, age and other
sociobiological factors may influence chronotypes. The present study investigated
the distribution, stability, and clinical correlates of chronotype and
morningness-eveningness in hospitalized patients with affective disorder.
Chronotype was assessed with the morningness-eveningness questionnaire (MEQ) in
93 patients with nonseasonal depressive syndrome (85% major depression; 15%
depressive adjustment disorder) after admission, and in 19 patients again before
discharge. Distribution, stability and correlations of MEQ scores with clinical
variables were calculated. Additionally, a literature analysis of chronotype
distributions in samples of nondepressed persons and patients with nonseasonal
depression was carried out. MEQ scores (mean 49 +/- 11, range 23-75, higher
scores indicate morningness) in 93 acutely depressed inpatients (age 41 +/- 14
years, range 18-75 years; 63% women; hospitalization 48 +/- 22 days; BDI-II 32 +/
11) were normally distributed (Shapiro-Wilk test; W = 0.993, p = 0.920) with
59.1% intermediate types, 19.4% evening types, and 21.5% morning types. MEQ
change scores from admission to discharge were nonsignificant (-1.3 +/- 5.0;
paired t-test, t18 = -1.09; p = 0.29) despite significantly improved depression
scores (-19.4 +/- 7.6; paired t-test, t18 = 11.2, p < 0.001). Age (r = 0.24), and
depression scores (r = -0.21) correlated significantly (p < 0.05) with MEQ
scores; associations with sex and hospitalization duration were nonsignificant.
The present study and literature findings revealed that the frequency of evening
types is not clearly elevated in depression, but morning types are less frequent
compared to healthy samples (p < 0.001). Morningness-eveningness scores were
normally distributed and stable in depressive inpatients. In line with previous
findings, but contrary to theoretical assumptions, evening types were not
overrepresented in depressed patients. Additionally, relatively less morning
types and more intermediate types were found in depressed patients. Future
studies should focus on transitions from morning to intermediate types as a
tentative risk or correlate of emerging depression.
PMID- 26540576
TI - Proteomic Analysis of Macular Fluid Associated With Advanced Glaucomatous
Excavation.
PMID- 26540577
TI - Chemical composition of the essential oil from Thapsia garganica L. (Apiaceae)
grown wild in Sicily and its antimicrobial activity.
AB - In this study, the chemical composition of the essential oil from flowers and
leaves of Thapsia garganica L. collected in Sicily was evaluated by GC and GC-MS.
The main components of T. garganica flower oil (T.f.) were chamazulene (58.3%),
humulene oxide II (9.0%), tricosane (8.2%) and pentacosane (8.2%). Also the oil
from leaves (T.l.) was characterised by high content of chamazulene (49.2%).
Other abundant metabolites were 1,4-dimethylazulene (18.5%), (E)-phytol (6.3%)
and neophytadiene (5.1%). The comparison with other studied oils of genus Thapsia
is discussed. Antimicrobial activity against several micro-organisms, including
some ones infesting historical art craft, was also determined.
PMID- 26540574
TI - A double feedback loop mediated by microRNA-23a/27a/24-2 regulates M1 versus M2
macrophage polarization and thus regulates cancer progression.
AB - In response to microenvironmental signals, macrophages undergo different types of
activation, including the "classic" pro-inflammatory phenotype (also called M1)
and the "alternative" anti-inflammatory phenotype (also called M2). Macrophage
polarized activation has profound effects on immune and inflammatory responses,
but mechanisms underlying the various types of macrophage is still in its
infancy. In this study, we reported that M1-type stimulation could down-regulate
miR-23a/27a/24-2 cluster transcription through the binding of NF-kappaB to this
cluster's promoter and that miR-23a in turn activated the NF-kappaB pathway by
targeting A20 and thus promoted the production of pro-inflammatory cytokines.
Furthermore, STAT6 occupied the miR-23a/27a/24-2 cluster promoter and activated
their transcription in IL-4-stimulated macrophages. In addition, miR-23a in turn
suppressed the JAK1/STAT-6 pathway and reduced the production of M2 type
cytokines by targeting JAK1 and STAT-6 directly, while miR-27a showed the same
phenotype by targeting IRF4 and PPAR-gamma. The miR-23a/27a/24-2 cluster was
shown to be significantly decreased in TAMs of breast cancer patients, and
macrophages overexpressing the miR-23a/27a/24-2 cluster inhibited tumor growth in
vivo. Taken together, these data integrated microRNA expression and function into
macrophage polarization networks and identified a double feedback loop consisting
of the miR-23a/27a/24-2 cluster and the key regulators of the M1 and M2
macrophage polarization pathway. Moreover, miR-23a/27a/24-2 regulates the
polarization of tumor-associated macrophages and thus promotes cancer
progression.
PMID- 26540578
TI - The complete mitochondrial genome of Colobus angolensis palliatus.
AB - Colobus angolensis palliatus (C.a. palliatus), known as the angolan black-and
white colobus monkey, is an Old World monkey, belonging to the Colobus genus. It
is a subspecies of C. angolensis. Here, we describe the complete mitochondrial
genome (mitogenome) sequence of C.a. palliatus. The genome is 16 850 bp in
length, comprising 13 protein-coding genes, 22 tRNAs, 2 rRNAs, and a major non
coding region. Phylogenic tree was constructed based on the complete mitogenome
of C.a. palliatus and closely related 13 colobinae species to estimate their
phylogenic relationship. We present an important genetic resource for the black
and-white colobus monkeys.
PMID- 26540579
TI - Primary Amebic Meningoencephalitis Associated with Hot Spring Exposure During
International Travel - Seminole County, Florida, July 2014.
PMID- 26540580
TI - Neutrophil-lymphocyte ratio predicts cardiovascular and all-cause mortality in
hemodialysis patients.
AB - Neutrophil-lymphocyte ratio (NLR) is a marker of systemic inflammation that has
been shown to predict mortality in patients with malignancies, ischemic heart
disease and peripheral vascular disease. Its prognostic value in hemodialysis
patients is unclear. The aims of this study were to: (i) explore the relationship
between NLR and other biochemical parameters and (ii) to examine the value of NLR
as a predictor of cardiovascular and all-cause mortality in hemodialysis
patients. The study included all the incident hemodialysis patients from a single
center between 2007 and 2012. NLR was calculated using samples obtained 3 months
after commencing hemodialysis. One hundred seventy hemodialysis patients were
included with a median follow-up of 37 months. There were 54 deaths (32%). NLR
was positively correlated with C-reactive protein (r = 0.24, p = 0.0023) and
negatively correlated with hemoglobin (r = -0.27, p = 0.00048), albumin (r =
0.23, p = 0.0034) and total cholesterol (r = -0.17, p = 0.049) levels. In
multivariate Cox regression, NLR was independently associated with both all-cause
mortality (adjusted hazard ratio [HR] 1.4; 95% confidence interval [CI], 1.2-1.6;
p <= 0.0001) and cardiovascular death (HR 1.3, 95% CI 1.1-1.6, p = 0.0032). Other
predictors of all-cause mortality were age (HR 1.6 per decade; 95% CI, 1.2-2.1; p
= 0.0017), body mass index (HR 0.93; 95% CI, 0.88-0.98; p = 0.0047), albumin (HR
0.91; 95% CI, 0.86-0.97; p = 0.0035) and peripheral vascular disease (HR 2.7; 95%
CI, 1.4-5.1; p = 0.0023). NLR is a practical, cost-efficient and easy to use
predictor of cardiovascular and all-cause mortality in incident hemodialysis
patients.
PMID- 26540581
TI - Movies and Water-How Does Telehealth Fit?
PMID- 26540582
TI - Pursuit of Value in Connected Healthcare.
AB - INTRODUCTION: Potential for direct patient care through remote exchange of health
related information has expanded enormously with the proliferation of
technologies leveraging ubiquitous connectivity, but implementation of connected
care has been slow and controversial. MATERIALS AND METHODS: This review
demonstrates that controversy regarding connected care arises largely from the
fact that proponents and critics are generally considering distinctly different
care models. Differences are highlighted to mitigate controversy and to
distinguish capacities of these different models. RESULTS: Distinguishing
capacities is essential for establishing the evidence base supporting safety,
effectiveness, and efficiency. In care of a particular patient's problem, value
is achieved when resources allocated meet requirements for diagnosis and
intervention but do not exceed them. Robust evidence supports the value of some
well-defined connected care models, exemplified by the Health-e-Access
Telemedicine Model. CONCLUSIONS: The pursuit of value in connected care is
fundamentally the same as with in-person care. Provider organizations,
legislators, regulators, and payers face not only a complex task in defining
standards and enabling appropriate use, but also a heavy burden of responsibility
for unleashing connected care that will benefit the entire community.
PMID- 26540583
TI - Complete mitochondrial genome of the yellowbelly threadfin bream, Nemipterus
bathybius (Perciformes, Nemipteridae).
AB - The complete mitogenome of the yellowbelly threadfin bream, Nemipterus bathybius,
has been reported for the first time in this study. The circular mtDNA molecule
was 17 353 bp in size and the overall nucleotide composition of H-strand was
29.0% A, 28.3% T, 16.5% G, and 26.2% C, with an A + T bias. The complete
mitogenome encoded 13 protein-coding genes, 2 rRNAs, 22 tRNAs, and 2 non-coding
regions, as found in other typical vertebrate mitogenomes. The D-loop located
between tRNAPro and tRNAPhe genes and the length was 1603 bp, rich in A + T
(73.0%). The phylogenetic tree of complete mitogenome sequences of 12 families
and 26 species showed that the family Nemipteridae was in the basal position of
the suborder Percoidei, whereas its two associated families (Sparidae and
Lethrinidae) were clustered together with other 7 families, which supported a non
monophyly of superfamily Sparoidea.
PMID- 26540584
TI - Telemental health for children and adolescents.
AB - Most children and adolescents across the USA fail to receive adequate mental
health services, especially in rural or underserved communities. The supply of
child and adolescent psychiatrists is insufficient for the number of children in
need of services and is not anticipated to grow. This calls for novel approaches
to mental health care. Telemental health (TMH) offers one approach to increase
access. TMH programmes serving young people are developing rapidly and available
studies demonstrate that these services are feasible, acceptable, sustainable and
likely as effective as in-person services. TMH services are utilized in clinical
settings to provide direct care and consultation to primary care providers
(PCPs), as well as in non-traditional settings, such as schools, correctional
facilities and the home. Delivery of services to young people through TMH
requires several adjustments to practice with adults regarding the model of care,
cultural values, participating adults, rapport-building, pharmacotherapy and
psychotherapy. Additional infrastructure accommodations at the patient site
include space and staffing to conduct developmentally appropriate evaluations and
treatment planning with parents, other providers, and community services. For TMH
to optimally impact young people's access to mental health care, collaborative
models of care are needed to support PCPs as frontline mental health-care
providers, thereby effectively expanding the child and adolescent mental health
workforce.
PMID- 26540585
TI - Mycobacterium avium complex disseminated infection in a kidney transplant
recipient.
AB - Mycobacterium avium-intracellulare complex (MAC) infections are well known in
immunocompromised patients, notably in human immunodeficiency virus infection,
but remain scarcely described in kidney transplantation. Moreover, cutaneous
involvement in this infection is very unusual. We describe here a disseminated
infection caused by MAC in a kidney transplant recipient revealed by cutaneous
lesions. This case highlights the need for an exhaustive, iterative microbiologic
workup in the context of an atypical disease presentation in a renal transplant
patient, regardless of the degree of immunosuppression.
PMID- 26540586
TI - The complete mitochondrial DNA genome of a Chloridea (Heliothis) subflexa
(Lepidoptera: Noctuidae) morpho-species.
AB - We investigate the complete mitogenome of a pheromone-trapped morpho-species of
Chloridea subflexa from Brazil (initially identified by the Sanger sequencing of
partial mtCOI gene) as 15 323 bp (KT598688) via next generation sequencing
platform. The mitogenome has an A/T rich base composition (A: 40.4%; T: 40.3%; C:
11.5%; G: 7.8%), and included 13 protein-coding genes (PCGs), 22 tRNAs, 2
ribosomal RNAs and a putative replication region (ca. 323 bp). All PCGs start
with a methionine (M) amino acid except the COI gene which has an arginine (R).
The trnL2 and trn-Lys genes were partially embedded within the COII gene, while
the trn-His gene was completely embedded within the ND4 gene. All PCGs ends with
the "TAA" stop codon except ND3 which has a "TAG" stop codon.
PMID- 26540588
TI - Imaging fluorescence (cross-) correlation spectroscopy in live cells and
organisms.
AB - Single-plane illumination (SPIM) or total internal reflection fluorescence (TIRF)
microscopes can be combined with fast and single-molecule-sensitive cameras to
allow spatially resolved fluorescence (cross-) correlation spectroscopy (FCS or
FCCS, hereafter referred to FCS/FCCS). This creates a powerful quantitative
bioimaging tool that can generate spatially resolved mobility and interaction
maps with hundreds to thousands of pixels per sample. These massively parallel
imaging schemes also cause less photodamage than conventional single-point
confocal microscopy-based FCS/FCCS. Here we provide guidelines for imaging
FCS/FCCS measurements on commercial and custom-built microscopes (including
sample preparation, setup calibration, data acquisition and evaluation), as well
as anticipated results for a variety of in vitro and in vivo samples. For a
skilled user of an available SPIM or TIRF setup, sample preparation, microscope
alignment, data acquisition and data fitting, as described in this protocol, will
take ~1 d, depending on the sample and the mode of imaging.
PMID- 26540589
TI - Direct conversion of human amniotic cells into endothelial cells without
transitioning through a pluripotent state.
AB - Endothelial cells (ECs) have essential roles in organ development and
regeneration, and therefore they could be used for regenerative therapies.
However, generation of abundant functional endothelium from pluripotent stem
cells has been difficult because ECs generated by many existing strategies have
limited proliferative potential and display vascular instability. The latter
difficulty is of particular importance because cells that lose their identity
over time could be unsuitable for therapeutic use. Here, we describe a 3-week
platform for directly converting human mid-gestation lineage-committed amniotic
fluid-derived cells (ACs) into a stable and expandable population of vascular ECs
(rAC-VECs) without using pluripotency factors. By transient expression of the ETS
transcription factor ETV2 for 2 weeks and constitutive expression the ETS
transcription factors FLI1 and ERG1, concomitant with TGF-beta inhibition for 3
weeks, epithelial and mesenchymal ACs are converted, with high efficiency, into
functional rAC-VECs. These rAC-VECs maintain their vascular repertoire and
morphology over numerous passages in vitro, and they form functional vessels when
implanted in vivo. rAC-VECs can be detected in recipient mice months after
implantation. Thus, rAC-VECs can be used to establish a cellular platform to
uncover the molecular determinants of vascular development and heterogeneity and
potentially represent ideal ECs for the treatment of regenerative disorders.
PMID- 26540591
TI - Laterally Ordered Sub-10 nm Features Obtained From Directed Self-Assembly of Si
Containing Block Copolymer Thin Films.
AB - Laterally ordered sub-10 nm features are produced from the directed self-assembly
of poly(1,1-dimethyl silacyclo-butane)-block-poly(methyl methacrylate) (PDMSB-b
PMMA) thin films on sinusoidal azobenzene-containing patterns. The use of
sinusoidal surface relief grating enables the formation of very large grain areas
(over several um(2) ) consisting of out-of-plane PMMA cylinders.
PMID- 26540590
TI - Single-cell Hi-C for genome-wide detection of chromatin interactions that occur
simultaneously in a single cell.
AB - Hi-C is a powerful method that provides pairwise information on genomic regions
in spatial proximity in the nucleus. Hi-C requires millions of cells as input
and, as genome organization varies from cell to cell, a limitation of Hi-C is
that it only provides a population average of genome conformations. We developed
single-cell Hi-C to create snapshots of thousands of chromatin interactions that
occur simultaneously in a single cell. To adapt Hi-C to single-cell analysis, we
modified the protocol to include in-nucleus ligation. This enables the isolation
of single nuclei carrying Hi-C-ligated DNA into separate tubes, followed by
reversal of cross-links, capture of biotinylated ligation junctions on
streptavidin-coated magnetic beads and PCR amplification of single-cell Hi-C
libraries. The entire laboratory protocol can be carried out in 1 week, and
although we have demonstrated its use in mouse T helper (TH1) cells, it should be
applicable to any cell type or species for which standard Hi-C has been
successful. We also developed an analysis pipeline to filter noise and assess the
quality of data sets in a few hours. Although the interactome maps produced by
single-cell Hi-C are sparse, the data provide useful information to understand
cellular variability in nuclear genome organization and chromosome structure.
Standard wet and dry laboratory skills in molecular biology and computational
analysis are required.
PMID- 26540592
TI - Oral Cancer Chemoprevention--The End of EPOC, the Beginning of an Epoch of
Molecular Selection.
PMID- 26540593
TI - Confirmation of etiology in fetal hydrops by sonographic evaluation of fluid
allocation patterns.
AB - OBJECTIVE: To evaluate patterns of fluid allocations in different etiologies of
hydrops fetalis. STUDY DESIGN: This report is a retrospective cohort study on
20,395 fetal sonographic evaluations in a single tertiary center from 2000 to
2014. Special emphasis was placed on the exact description of the distinct fluid
allocation sites in each fetus. Postmortem/postnatal records were evaluated
additionally. Mean follow up of the surviving neonates was 34 days (10-60 days).
RESULTS: There seem to be distinctive patterns of fluid allocation in some
etiologies leading to fetal hydrops including aneuploidies and Parvovirus B19
related infections. CONCLUSION: Due to the allocation patterns of fluid filled
sites in fetuses with hydrops fetalis the spectrum of possible etiologies may be
narrowed already during initial ultrasound scan. It can contribute substantially
to diagnostic accuracy as well as to parental counseling. This knowledge may also
help to omit delay in diagnostic routines.
PMID- 26540594
TI - Comparison of bidirectional barbed suture Stratafix and conventional suture with
intracorporeal knots in laparoscopic myomectomy by office transvaginal
hydrolaparoscopic follow-up: a preliminary report.
AB - OBJECTIVES: To compare surgical outcomes of different types of intracorporeal
sutures for laparoscopic posterior myomectomy, by a prospective randomized study.
STUDY DESIGN: Prospective randomized study on 47 patients with single posterior
intramural myoma (4-7cm in diameter) undergoing laparoscopic myomectomy. The
patients enrolled were divided into two groups, one operated using conventional
suture in double strand, and the other with bidirectional barbed suture
Stratafix. The main outcome measures were suturing time, peri-operative
variables, and post-operative adhesions in both groups. RESULTS: The mean
operative time was shorter with the StratafixTM than with the conventional suture
(66.3+/-8.2 vs. 73+/-8min; p=0.005). Suturing time was significantly shorter in
the StratafixTM than in the control group (8.8+/-2.4 vs. 15.5+/-2.8min; p=0.001).
Intraoperative bleeding was significantly less in the Stratafix group (p=0.0012).
Conversely, there was no statistically significant difference for postoperative
adhesions between the two groups (26.7% vs. 21.4% p=0.5). CONCLUSIONS: The use of
barbed suture may reduce operative time, suturing time, and blood loss. No
difference in post operative adhesions was found.
PMID- 26540595
TI - Constitutive Triglyceride Turnover into the Mesenteric Lymph Is Unable to Support
Efficient Lymphatic Transport of a Biomimetic Triglyceride Prodrug.
AB - The triglyceride (TG) mimetic prodrug (1,3-dipalmitoyl-2-mycophenoloyl glycerol,
2-MPA-TG) biochemically integrates into intestinal lipid transport and
lipoprotein assembly pathways and thereby promotes the delivery of mycophenolic
acid (MPA) into the lymphatic system. As lipoprotein (LP) formation occurs
constitutively, even in the fasted state, the current study aimed to determine
whether lymphatic transport of 2-MPA-TG was dependent on coadministered exogenous
lipid. In vitro incubation of the prodrug with rat digestive fluid and in situ
intestinal perfusion experiments revealed that hydrolysis and absorption of the
prodrug were relatively unaffected by the quantity of lipid in formulations. In
vivo studies in rats, however, showed that the lymphatic transport of TG and 2
MPA-TG was significantly higher following administration with higher quantities
of lipid and that oleic acid (C18:1) was more effective in promoting prodrug
transport than lipids with higher degrees of unsaturation. The recovery of 2-MPA
TG and TG in lymph correlated strongly (R(2) = 0.99) and more than 97% of the
prodrug was associated with chylomicrons. Inhibition of LP assembly by Pluronic
L81 simultaneously inhibited the lymphatic transport of 2-MPA-TG and TG. In
conclusion, although the TG mimetic prodrug effectively incorporates into TG
resynthetic pathways, lipid coadministration is still required to support
efficient lymphatic transport.
PMID- 26540596
TI - Cyclic Cationic Peptides Containing Sugar Amino Acids Selectively Distinguishes
and Inhibits Maturation of Pre-miRNAs of the Same Family.
AB - The discovery of microRNAs (miRNAs) has added a new dimension to the gene
regulatory networks, making aberrantly expressed miRNAs as therapeutically
important targets. Small molecules that can selectively target and modulate miRNA
levels can thus serve as lead structures. Cationic cyclic peptides containing
sugar amino acids represent a new class of small molecules that can target miRNA
selectively. Upon treatment of these small molecules in breast cancer cell line,
we profiled 96 therapeutically important miRNAs associated with cancer and
observed that these peptides can selectively target paralogous miRNAs of the same
seed family. This selective inhibition is of prime significance in cases when
miRNAs of the same family have tissue-specific expression and perform different
functions. During these conditions, targeting an entire miRNA family could lead
to undesired adverse effects. The selective targeting is attributable to the
difference in the three-dimensional structures of precursor miRNAs. Hence, the
core structure of these peptides can be used as a scaffold for designing more
potent inhibitors of miRNA maturation and hence function.
PMID- 26540597
TI - Characteristics and Risk Factors of Spinal Fractures in Recreational Snowboarders
Attending an Emergency Department in Japan.
AB - OBJECTIVE: The purpose of this study was to elucidate the characteristics of
spinal fractures during recreational snowboarding and to determine the risk
factors for these fractures. DESIGN: Case series study. SETTING: The Oku-mino ski
area during the 7-year period between the 2005 to 2006 and 2011 to 2012 skiing
seasons. PARTICIPANTS: Eight thousand seven hundred twenty-three snowboarders
with injures. INTERVENTIONS: Cases involved snowboarders with spinal fractures;
controls were snowboarders without spinal fractures. MAIN OUTCOME MEASURES: The
characteristics of spinal fractures were assessed using a standard form and
patient records, including radiographs. Multivariate regression analysis was
performed to investigate risk factors for spinal fractures, including age, type
of slope, snow condition, accident cause, self-reported skill level, experience
level, and the use of protective equipment. RESULTS: Of 8723 snowboarders with
injuries, 431 snowboarders presented with spinal fractures (4.9%). The most
common spinal fracture was isolated transverse process fracture in the lumbar
spine (33.2%, n = 143), followed by compression type fracture in the lumbar spine
(25.1%, n = 108). Age (20-39 years), terrain slopes (half-pipe/box/kicker/rail),
and jump-landing failure were associated with a significantly high risk of spinal
fracture. CONCLUSIONS: Among the recreational snowboarders, isolated transverse
process fracture in the lumbar spine was the most frequent spinal fracture. Age
(20-39 year old), terrain slopes, and jump-landing failure were found to be risk
factors for spinal fracture. CLINICAL RELEVANCE: Identification of
characteristics and risk factors for spinal fractures during snowboarding is
useful information to create a preventive strategy for the fractures and make
snowboarding a safer sport.
PMID- 26540598
TI - Content, Delivery, and Effectiveness of Concussion Education for US College
Coaches.
AB - OBJECTIVE: The primary objective of this study was to examine the proportion of
US college coaches who receive annual concussion education from their institution
and to describe the content and delivery modalities of this education. This study
also tested the hypothesis that coaches receiving concussion education from their
institution will have greater knowledge about concussions independent of other
individual and institutional characteristics. DESIGN: Cross-sectional online
survey. SETTING: US college sport. PARTICIPANTS: College coaches in National
Collegiate Athletic Association Division I, II, and III (n = 1818). INDEPENDENT
VARIABLES: Self-reported receipt of education from institution, sex, sport
coached, division of competition. MAIN OUTCOME MEASURES: Concussion
identification and management knowledge. RESULTS: Two-thirds of coaches reported
receiving informational materials about concussion from their institution. The
content of the education most frequently referred to symptoms of a concussion and
information about proper management of a concussion. Coaches who received
educational materials from their institution were better able to identify
symptoms and had more conservative responses to concussion management scenarios.
Male coaches of male contact or collision teams less frequently endorsed safe or
correct response as compared with female coaches of noncontact or collision
teams. CONCLUSIONS: Not all US college coaches receive concussion education from
their institution. Male Division I coaches of male contact/collision sport are a
population for whom targeted educational outreach may be particularly valuable.
CLINICAL RELEVANCE: Education for coaches, delivered by clinicians at many
institutions, is an important component of ensuring that coaches are prepared to
be informed partners in supporting concussion safety.
PMID- 26540599
TI - Prophylactic Valacyclovir to Prevent Outbreaks of Primary Herpes Gladiatorum at a
28-Day Wrestling Camp: A 10-Year Review.
AB - OBJECTIVE: To determine efficacy of using oral antiviral medication to reduce
herpes gladiatorum (HG) at summer high-school wrestling camps. DESIGN: Usage of
antiviral medication hypothetically reduces the likelihood of HG outbreaks. This
is an observational study examining the effectiveness of oral antiviral
medications in reducing outbreaks of HG because of Herpes Simplex type-1 virus
(HSV). SETTING: A 28-day high-school summer wrestling camp at the University of
Minnesota from 2003 to 2012. PARTICIPANTS: Each summer approximately 300 high
school wrestlers, age 13 to 18 years of age, participated in this camp.
INTERVENTIONS: All athletes were recommended to take valacyclovir 1 g once a day
for the duration of the camp. Athletes who did not use any antiviral medication
comprised the comparison group for this study. Individuals were screened daily
and those with outbreaks of HG were withheld from practice for 120 hours in
accordance with National Collegiate Athletic Association/National Federation of
State High School Associations guidelines. MAIN OUTCOME MEASURES: To measure
viral outbreaks of HG due to HSV-1, determine level of compliance, and determine
efficacy of antiviral medication in reducing the occurrence of HG at this 28-day
wrestling camp. RESULTS: Of the 2793 athletes who completed camp, 1995 (71%) used
antiviral medication, and 36 outbreaks occurred. Eighty-four athletes had a known
history of HG/recurrent herpes labialis. Overall, prophylactic antiviral
medication resulted in an 84.7% decrease in the probability of an outbreak.
Prophylactic valacyclovir (1 g daily) lowered the incidence of individual
outbreaks by 89.5%. CONCLUSIONS: Prophylactic use of valacyclovir 1 g once a day
is efficacious in lowering the incidence of HSV outbreaks among adolescents at a
28-day wrestling camp.
PMID- 26540600
TI - No Effect of Acupuncture in the Relief of Delayed-Onset Muscle Soreness: Results
of a Randomized Controlled Trial.
AB - BACKGROUND: Delayed-onset muscle soreness (DOMS) is a common symptom in people
participating in exercise, sport, or recreational physical activities. Several
remedies have been proposed to prevent and alleviate DOMS. DESIGN AND METHODS: A
five-arm randomized controlled study was conducted to examine the effects of
acupuncture on eccentric exercise-induced DOMS of the biceps brachii muscle.
Participants were recruited through convenience sampling of students and general
public. Participants were randomly allocated to needle, laser, sham needle, sham
laser acupuncture, and no intervention. Outcome measures included pressure pain
threshold (PPT), pain intensity (visual analog scale), and maximum isometric
voluntary force. RESULTS: Delayed-onset muscle soreness was induced in 60
participants (22 females, age 23.6 +/- 2.8 years, weight 66.1 +/- 9.6 kg, and
height 171.6 +/- 7.9 cm). Neither verum nor sham interventions significantly
improved outcomes within 72 hours when compared with no treatment control (P >
0.05). CONCLUSIONS: Acupuncture was not effective in the treatment of DOMS. From
a mechanistic point of view, these results have implications for further studies:
(1) considering the high-threshold mechanosensitive nociceptors of the muscle,
the cutoff for PPT (5 kg/cm) chosen to avoid bruising might have led to ceiling
effects; (2) the traditional acupuncture regimen, targeting muscle pain, might
have been inappropriate as the DOMS mechanisms seem limited to the muscular unit
and its innervation. Therefore, a regionally based regimen including an
intensified intramuscular needling (dry needling) should be tested in future
studies, using a higher cutoff for PPT to avoid ceiling effects.
PMID- 26540601
TI - Young Athletes' Concerns About Sport-Related Concussion: The Patient's
Perspective.
AB - OBJECTIVE: Few studies have examined the experience and concerns of the concussed
athlete. The purpose of this study was to identify the most pressing concerns of
athletes with concussion. DESIGN: Cross-sectional survey of athletes who
presented for evaluation of a new sport-related concussion during an 8-month
period. SETTING: Tertiary-level sports medicine division of a large academic
pediatric medical center. PARTICIPANTS: One hundred twenty one patients (67 male,
54 female) aged 8 to 18 years who had sustained a sport-related concussion
participated in the study by responding to "What is the worst thing for you about
having a concussion?" on the study questionnaire. Questionnaires were completed
in the clinic waiting room before the visit with a provider. INTERVENTION:
Inductive content analysis was used to identify themes in the responses to the
study question. MAIN OUTCOME MEASURES: Age, sex, sport played at the time of the
current injury, history of previous concussion, known contacts with concussion,
and subjective report of worst aspect of concussion. RESULTS: Seventy respondents
(57.9%) cited symptoms, and 68 (56.2%) reported loss of activity as the worst
part of concussion, including 17 (14.0%) who listed both symptoms and loss of
activity. CONCLUSIONS: Over half of concussed athletes indicate that the most
distressing part of the injury is loss of activities, which may result from
symptoms of the injury itself and/or the prescribed treatment. CLINICAL
RELEVANCE: Health care providers should not underestimate the degree to which
symptoms and loss of activities affect young athletes' general well-being. In
addition to the negative impact of concussion symptoms, there is an obvious cost
of physical, cognitive, and social activity restrictions for patients recovering
from sport-related concussions that should be explicitly addressed.
PMID- 26540602
TI - Greenhouse gases emission from soils under major crops in Northwest India.
AB - Quantification of greenhouse gases (GHGs) emissions from agriculture is necessary
to prepare the national inventories and to develop the mitigation strategies.
Field experiments were conducted during 2008-2010 at the experimental farm of the
Indian Agricultural Research Institute, New Delhi, India to quantify nitrous
oxide (N2O), methane (CH4), and carbon dioxide (CO2) emissions from soils under
cereals, pulses, millets, and oilseed crops. Total cumulative N2O emissions were
significantly different (P>0.05) among the crop types. Emission of N2O as
percentage of applied N was the highest in pulses (0.67%) followed by oilseeds
(0.55%), millets (0.43%) and cereals (0.40%). The emission increased with
increasing rate of N application (r(2)=0.74, P<0.05). The cumulative flux of CH4
from the rice crop was 28.64+/-4.40 kg ha(-1), while the mean seasonal integrated
flux of CO2 from soils ranged from 3058+/-236 to 3616+/-157 kg CO2 ha(-1) under
different crops. The global warming potential (GWP) of crops varied between 3053
kg CO2 eq. ha(-1) (pigeon pea) and 3968 kg CO2 eq. ha(-1) (wheat). The carbon
equivalent emission (CEE) was least in pigeon pea (833 kg C ha(-1)) and largest
in wheat (1042 kg C ha(-1)). The GWP per unit of economic yield was the highest
in pulses and the lowest in cereal crops. The uncertainties in emission values
varied from 4.6 to 22.0%. These emission values will be useful in updating the
GHGs emission inventory of Indian agriculture.
PMID- 26540603
TI - Airport take-off noise assessment aimed at identify responsible aircraft classes.
AB - Assessment of aircraft noise is an important task of nowadays airports in order
to fight environmental noise pollution given the recent discoveries on the
exposure negative effects on human health. Noise monitoring and estimation around
airports mostly use aircraft noise signals only for computing statistical
indicators and depends on additional data sources so as to determine required
inputs such as the aircraft class responsible for noise pollution. In this sense,
the noise monitoring and estimation systems have been tried to improve by
creating methods for obtaining more information from aircraft noise signals,
especially real-time aircraft class recognition. Consequently, this paper
proposes a multilayer neural-fuzzy model for aircraft class recognition based on
take-off noise signal segmentation. It uses a fuzzy inference system to build a
final response for each class p based on the aggregation of K parallel neural
networks outputs Op(k) with respect to Linear Predictive Coding (LPC) features
extracted from K adjacent signal segments. Based on extensive experiments over
two databases with real-time take-off noise measurements, the proposed model
performs better than other methods in literature, particularly when aircraft
classes are strongly correlated to each other. A new strictly cross-checked
database is introduced including more complex classes and real-time take-off
noise measurements from modern aircrafts. The new model is at least 5% more
accurate with respect to previous database and successfully classifies 87% of
measurements in the new database.
PMID- 26540604
TI - A review of the work of the EU Reference Laboratory supporting the authorisation
process of feed additives in the EU. [corrected].
AB - This paper describes the operation of the European Union Reference Laboratory for
Feed Additives (EURL) and its role in the authorisation procedure of feed
additives in the European Union. Feed additives are authorised according to
Regulation (EC) No. 1831/2003, which introduced a completely revised
authorisation procedure and also established the EURL. The regulations
authorising feed additives contain conditions of use such as legal limits of the
feed additives, which require the availability of a suitable method of analysis
for official control purposes under real world conditions. It is the task of the
EURL to evaluate the suitability of analytical methods as proposed by the
industry for this purpose. Moreover, the paper shows that one of the major
challenges is the huge variety of the methodology applied in feed additive
analysis, thus requiring expertise in quite different analytical areas. In order
to cope with this challenge, the EURL is supported by a network of national
reference laboratories (NRLs) and only the merged knowledge of all NRLs allows
for a scientifically sound assessment of the analytical methods.
PMID- 26540605
TI - Excess body weight increases the burden of age-associated chronic diseases and
their associated health care expenditures.
AB - Aging and excessive adiposity are both associated with an increased risk of
developing multiple chronic diseases, which drive ever increasing health costs.
The main aim of this study was to determine the net (non-estimated) health costs
of excessive adiposity and associated age-related chronic diseases. We used a
prevalence-based approach that combines accurate data from the Health Search CSD
LPD, an observational dataset with patient records collected by Italian general
practitioners and up-to-date health care expenditures data from the SiSSI
Project. In this very large study, 557,145 men and women older than 18 years were
observed at different points in time between 2004 and 2010. The proportion of
younger and older adults reporting no chronic disease decreased with increasing
BMI. After adjustment for age, sex, geographic residence, and GPs heterogeneity,
a strong J-shaped association was found between BMI and total health care costs,
more pronounced in middle-aged and older adults. Relative to normal weight, in
the 45-64 age group, the per-capita total cost was 10% higher in overweight
individuals, and 27 to 68% greater in patients with obesity and very severe
obesity, respectively. The association between BMI and diabetes, hypertension and
cardiovascular disease largely explained these elevated costs.
PMID- 26540606
TI - Water-soluble ferulic acid derivatives improve amyloid-beta-induced neuronal cell
death and dysmnesia through inhibition of amyloid-beta aggregation.
AB - Ferulic acid (FA) has been reported to exhibit protective effects against amyloid
beta (Abeta)-induced neurodegeneration in vitro and in vivo. Recently, we
developed two water-soluble FA derivatives: 1-feruloyl glycerol and 1-feruloyl
diglycerol. In this study, we examined the neuroprotective effects of these water
soluble FA derivatives on Abeta-induced neurodegeneration both in vitro and in
vivo. FA and water-soluble FA derivatives inhibited Abeta aggregation and
destabilized pre-aggregated Abeta to a similar extent. Furthermore, water-soluble
FA derivatives, as well as FA, inhibited Abeta-induced neuronal cell death in
cultured neuronal cells. In in vivo experiments, oral administration of water
soluble FA derivatives to mice improved Abeta-induced dysmnesia assessed by
contextual fear conditioning test and protected hippocampal neurons against Abeta
induced neurotoxicity. This study provides useful evidence suggesting that water
soluble FA derivatives are expected to be effective neuroprotective agents.
PMID- 26540607
TI - Survival rate and prognostic factors of conventional osteosarcoma in Northern
Thailand: A series from Chiang Mai University Hospital.
AB - BACKGROUND: Osteosarcoma is a common and aggressive primary malignant bone tumor
occurring in children and adolescents. It is one of the most aggressive human
cancers and the most common cause of cancer-associated limb loss. As treatment in
Thailand has produced a lower survival rate than in developed countries;
therefore, this study identified survival rate and the poor prognostic factors of
osteosarcoma in Northern Thailand. METHODS: The retrospective cases of
osteosarcoma, diagnosis between 1 January 1996 and 31 December 2013, were
evaluated. Five and ten year overall survival rates were analyzed using time-to
event analysis. Potential prognostic factors were identified by multivariate
regression analysis. RESULTS: There were 208 newly diagnosed osteosarcomas during
that period, and 144 cases met the criteria for analysis. The majority of the
osteosarcoma cases (78.5%) were aged 0-24 years. The overall 5- and 10-year
survival rates were 37.9% and 33.6%, respectively. Presence of metastasis at
initial examination, delayed and against treatment co-operation, and axial
skeletal location were identified as independent prognostic factors for survival,
with hazard ratios of 4.3, 2.5 and 3.8, and 3.1, respectively. CONCLUSIONS: This
osteosarcoma cohort had a relatively poor overall survival rate. The prognostic
factors identified would play a critical role in modifying survival rates of
osteosarcoma patients; as rapid disease recognition, a better treatment
counselling, as well as improving of chemotherapeutic regimens were found to be
important in improving the overall survival rate in Thailand.
PMID- 26540608
TI - Glassy Behavior of a Tin Dioxide Nanoparticle Suspension.
AB - Dilute suspensions of charged colloidal particles with a short-range attraction
and long-range repulsion can exhibit a variety of arrested states. In many
applications using suspensions of charged nanoparticles, the optimization of the
process requires the understanding of the mechanism underlying the stability and
the rheological properties of the suspensions. In an attempt to clarify the
solidification mechanism for dilute suspensions of tin dioxide (SnO2)
nanoparticles, we present dynamic viscoelasticity, dynamic and static light
scattering, and small-angle X-ray scattering experiments on a SnO2 nanoparticle
suspension with a nanoparticle concentration of 25.0 wt % (volume fraction phi =
0.045). The behaviors of the observed dynamic and static structure factors reveal
that the aging of SnO2 nanoparticles is Wigner glassy rather than gel-like.
PMID- 26540609
TI - Molecular and Clinical Investigation of Cystinuria in the Greek-Cypriot
Population.
AB - BACKGROUND AND AIMS: Cystinuria represents 3% of nephrolithiasis in humans. Two
genes have been identified as the main genetic causes of cystinuria, SLC3A1 and
SLC7A9, with an autosomal recessive mode of inheritance. In the present study, we
studied for the first time, genetically and clinically, all the cystinuric
families identified so far in the Greek-Cypriot population. METHODS: Discovery of
mutations was performed through polymerase chain reaction (PCR)-single analysis
and DNA resequencing. New families were investigated through PCR-RFLPs. Clinical
data were collected through the hospital patients' records and analytical follow
up of the families. RESULTS AND DISCUSSION: We found a total of five mutations in
28 Greek-Cypriot cystinuric patients belonging in 12 families. The most frequent
mutation among the 28 Greek-Cypriot patients is the SLC3A1-p.T216M, which is also
the second most frequent mutation in Europe, representing a genetic founder
effect. Sixteen of the 28 patients are homozygous for this mutation. Even though
a consanguinity loop was obvious in only one family, other patients were from
families in small villages where endogamy was practiced for many centuries.
Timely clinical and genetic diagnosis, accompanied by early treatment, is
significant for the good health of most of our patients. Only ~14% of them
developed chronic renal failure, and only one reached end-stage renal disease
(ESRD). CONCLUSION: Five SLC3A1 and SLC7A9 mutations appear to be responsible for
the genetic basis of cystinuria in the Greek-Cypriot patients; having such a
limited number of causative mutations will simplify diagnostics for this
population.
PMID- 26540610
TI - 2015 Eberhard F. Mammen Award announcements: part II-Young Investigator Awards.
PMID- 26540611
TI - Current issues in hemophilia: recognizing clinical heterogeneity, replacement
therapy, and outcome assessment.
PMID- 26540612
TI - Sleep-Disordered Breathing and Vascular Function in Patients With Chronic
Mountain Sickness and Healthy High-Altitude Dwellers.
AB - BACKGROUND: Chronic mountain sickness (CMS) is often associated with vascular
dysfunction, but the underlying mechanism is unknown. Sleep-disordered breathing
(SDB) frequently occurs at high altitude. At low altitude, SDB causes vascular
dysfunction. Moreover, in SDB, transient elevations of right-sided cardiac
pressure may cause right-to-left shunting in the presence of a patent foramen
ovale (PFO) and, in turn, further aggravate hypoxemia and pulmonary hypertension.
We speculated that SDB and nocturnal hypoxemia are more pronounced in patients
with CMS compared with healthy high-altitude dwellers, and are related to
vascular dysfunction. METHODS: We performed overnight sleep recordings, and
measured systemic and pulmonary artery pressure in 23 patients with CMS (mean +/-
SD age, 52.8 +/- 9.8 y) and 12 healthy control subjects (47.8 +/- 7.8 y) at 3,600
m. In a subgroup of 15 subjects with SDB, we assessed the presence of a PFO with
transesophageal echocardiography. RESULTS: The major new findings were that in
patients with CMS, (1) SDB and nocturnal hypoxemia was more severe (P < .01) than
in control subjects (apnea-hypopnea index [AHI], 38.9 +/- 25.5 vs 14.3 +/- 7.8
number of events per hour [nb/h]; arterial oxygen saturation, 80.2% +/- 3.6% vs
86.8% +/- 1.7%, CMS vs control group), and (2) AHI was directly correlated with
systemic blood pressure (r = 0.5216; P = .001) and pulmonary artery pressure (r =
0.4497; P = .024). PFO was associated with more severe SDB (AHI, 48.8 +/- 24.7 vs
14.8 +/- 7.3 nb/h; P = .013, PFO vs no PFO) and hypoxemia. CONCLUSIONS: SDB and
nocturnal hypoxemia are more severe in patients with CMS than in control subjects
and are associated with systemic and pulmonary vascular dysfunction. The presence
of a PFO appeared to further aggravate SDB. Closure of the PFO may improve SDB,
hypoxemia, and vascular dysfunction in patients with CMS. TRIAL REGISTRY:
ClinicalTrials.gov; No.: NCT01182792; URL: www.clinicaltrials.gov.
PMID- 26540613
TI - Retinal Toxicity of Intravitreal Polyethylene Glycol 400.
AB - PURPOSE: Polyethylene glycol (PEG)-400 is a clear, colorless viscous liquid that
readily dissolves many hydrophobic drugs. It is a widely used commercial solvent
and vehicle for many pharmaceutical and cosmetic products. The purpose of this
study is to investigate the intravitreal safety of PEG-400 to determine its
suitability as a potential vehicle for intraocular drug delivery. METHODS: Six
healthy, male, Dutch Belted rabbits, weighing between 2.0 and 3.0 kg, were used
for this experiment. The left eye of each animal received a single 0.1 mL
intravitreal injection of PEG-400. Complete eye exams and dark- and light-adapted
electroretinograms (ERG) were obtained at baseline and at 1 and 4 weeks after
injection. After the 4-week ERG was completed, animals were euthanized, eyes were
enucleated, and histology and transmission electron microscopy (TEM) were
performed. RESULTS: Ophthalmic examinations demonstrated diffuse signs of retinal
degeneration and cataract formation in all 6 eyes injected with PEG-400, which
was apparent at 1 week, but more evident by 4 weeks. Photopic and scotopic ERG
studies demonstrated significant reduction in amplitudes at 1 and 4 weeks after
injection compared to baseline. Histopathological and TEM analysis of eyes
demonstrated both inner and outer retinal atrophy. CONCLUSION: Intravitreal
injection of PEG-400 resulted in severe ocular toxicity.
PMID- 26540614
TI - Correction: Body Fat Patterning, Hepatic Fat and Pancreatic Volume of Non-Obese
Asian Indians with Type 2 Diabetes in North India: A Case-Control Study.
PMID- 26540616
TI - Optimization of a Cemented Femoral Prosthesis Considering Bone Remodeling.
AB - The study presents a numerical methodology for minimizing the bone loss in human
femur submitted to total hip replacement (THR) procedure with focus on cemented
femoral stem. Three-dimensional computational models were used to describe the
femoral bone behavior. An optimization procedure using the genetic algorithm (GA)
method was applied in order to minimize the bone loss, considering the geometry
and the material of the prosthesis as well as the design of the stem. Internal
and external bone remodeling were analyzed numerically. The numerical method
proposed here showed that the bone mass loss could be reduced by 24%, changing
the design parameters.
PMID- 26540615
TI - Propagation of Neuronal Damage to Embryonic Grafts Transplanted in the
Hippocampus of Murine Models of Alzheimer's Disease.
AB - Alzheimer's disease (AD) is the most common form of dementia, characterized by
the presence of two principal hallmarks-amyloid plaques and neurofibrillary
tangles. The primary cause of the majority of AD cases is not known. Likewise,
the mechanisms underlying the propagation of the pathology from affected tissue
to neighboring healthy neurons are largely unknown, but knowledge about them
could be helpful to design strategies aimed at halting the progression of the
disease. To throw light on the mechanisms of propagation of neuronal damage to
healthy tissue, wild-type (WT) hippocampal solid tissue chunks derived from green
fluorescent protein (GFP)-positive embryos were grafted into the hippocampus of 6
month-old WT and 3xTg-AD mice, a triple-transgenic mouse model that exhibits both
amyloid-beta (Abeta) and tau protein pathology. The histological and
morphological alterations of the grafted tissues were assessed 3 months post
transplantation. Tissues grafted in 3xTg-AD hosts, compared to those grafted in
WT recipients, presented a significant decrease in neurite outgrowth (35.4%) and
dendritic spine density (41.3%), mainly due to a reduction of stubby and thin
shaped spines. Moreover, some cells of the tissue transplanted in 3xTg-AD hosts
accumulated intracellular amyloid peptide deposits similar to the cells of the
host. Furthermore, the immunohistochemical examination of reactive astrocytes and
microglia revealed the presence of more inflammation in the grafted tissues
hosted in 3xTg-AD compared to WT recipients. These results show a propagation of
neuronal damage to initially healthy embryonic grafts, validating this
methodology for future studies on the mechanisms of the progression of AD
pathology to surrounding regions.
PMID- 26540617
TI - Quinone-Modified Mn-Doped ZnS Quantum Dots for Room-Temperature Phosphorescence
Sensing of Human Cancer Cells That Overexpress NQO1.
AB - Early detection of cancer cells in a rapid and sensitive approach is one of the
great challenges in modern clinical cancer care. This study has demonstrated the
first example of a rapid, selective, and sensitive phosphorescence probe based on
phosphorescence energy transfer (PET) for cancer-associated human NAD(P)H:
quinone oxidoreductase isozyme 1 (NQO1). An efficient room-temperature
phosphorescence NQO1 probe was constructed by using Mn-doped ZnS quantum dots
(Mn:ZnS QDs) as donors and trimethylquinone propionic acids as acceptors.
Phosphorescence quenching of Mn:ZnS QDs from the Mn:ZnS QDs to a covalently
bonded quinone was achieved through PET. Phosphorescence of Mn:ZnS QDs was turned
on by the rapid reduction-initiated removal of the quinone quencher by NQO1. This
probe shows low cellular toxicity and can rapidly distinguish between NQO1
expressing and -nonexpressing cancer cell lines through phosphorescence imaging.
PMID- 26540619
TI - Effective and novel enantioselective preparation of pyranopyrazoles and
pyranocoumarins that is catalyzed by a quinine-derived primary amine.
AB - In this study, we executed an effective and novel enantioselective
Michael/cyclodehydration sequential reaction between pyrazolin-5-one (or 4
hydroxy-2-pyrone) and chalcones that is catalyzed by a quinine-derived primary
amine L7 in the presence of Boc-D-Phg-OH. Chiral pyranopyrazoles and
pyranocoumarins were obtained in excellent enantioselectivities (up to 93%) with
moderate yields and moderate enantioselectivities with high yields (up to 84%).
PMID- 26540618
TI - Clinical efficacy of a new CD28-targeting antagonist of T cell co-stimulation in
a non-human primate model of collagen-induced arthritis.
AB - T cells have a central pathogenic role in the aetiopathogenesis of rheumatoid
arthritis (RA), and are therefore a favoured target of immunotherapy aiming at
physical or functional elimination. Here we report an efficacy test of FR104, a
new co-stimulation inhibitor directly targeting CD28 on T cells, in a
translationally relevant model, the rhesus monkey model of collagen-induced
arthritis (CIA). As a relevant comparator we used abatacept [cytotoxic T
lymphocyte antigen immunoglobulin (CTLA Ig)], an antagonist of CTLA-4 binding to
CD80/86 clinically approved for treatment of RA. Treatment with either compound
was started at the day of CIA induction. Although FR104 previously demonstrated a
higher control of T cell responses in vitro than abatacept, both compounds were
equally potent in the suppression of CIA symptoms and biomarkers, such as the
production of C-reactive protein (CRP) and interleukin (IL)-6 and anti-collagen
type II (CII) serum antibody (IgM/IgG). However, in contrast to abatacept, FR104
showed effective suppression of CII-induced peripheral blood mononuclear cell
(PBMC) proliferation. The current study demonstrates a strong potential of the
new selective CD28 antagonist FR104 for treatment of RA.
PMID- 26540620
TI - Selenide-Based Electrocatalysts and Scaffolds for Water Oxidation Applications.
AB - Selenide-based electrocatalysts and scaffolds on carbon cloth are successfully
fabricated and demonstrated for enhanced water oxidation applications. A max-imum
current density of 97.5 mA cm(-2) at an overpotential of a mere 300 mV and a
small Tafel slope of 77 mV dec(-1) are achieved, suggesting the potential of
these materials to serve as advanced oxygen evolution reaction catalysts.
PMID- 26540621
TI - Enzymatic Glycosylation of Phenolic Antioxidants: Phosphorylase-Mediated
Synthesis and Characterization.
AB - Although numerous biologically active molecules exist as glycosides in nature,
information on the activity, stability, and solubility of glycosylated
antioxidants is rather limited to date. In this work, a wide variety of
antioxidants were glycosylated using different phosphorylase enzymes. The
resulting antioxidant library, containing alpha/beta-glucosides, different
regioisomers, cellobiosides, and cellotriosides, was then characterized.
Glycosylation was found to significantly increase the solubility and stability of
all evaluated compounds. Despite decreased radical-scavenging abilities, most
glycosides were identified to be potent antioxidants, outperforming the commonly
used 2,6-bis(1,1-dimethylethyl)-4-methylphenol (BHT). Moreover, the point of
attachment, the anomeric configuration, and the glycosidic chain length were
found to influence the properties of these phenolic glycosides.
PMID- 26540622
TI - Discontent and Confusion: Primary Care Providers' Opinions and Understanding of
Current Cervical Cancer Screening Recommendations.
AB - BACKGROUND: In 2012, new cervical cancer screening guidelines were published by
three widely recognized entities which advocate delayed onset of testing, fewer
screenings, selective use of human papilloma virus co-testing, and no further
screening in women over age 65 years. Early observations report that these
recommendations are not being followed and overscreening is common. This study
seeks to understand why primary care providers might not adhere to these new
'best practice' health policy protocols. METHODS: A total of 4,909 randomly
selected primary care providers (physicians, nurse practitioners, and physician
assistants) practicing in California were mailed a study questionnaire.
Participants were asked if they consider current published screening guidelines
to be authoritative, reliable, and/or clinically appropriate. Clinical vignettes
captured individual provider beliefs on timing and method of cervical cancer
screening in women within the four key age groups embedded in current screening
guidelines. RESULTS: Of the 4,909 surveys mailed, 1,268 (25.8%) qualified
responses were received. Fundamentally, 35.0% of all primary care providers do
not believe current guidelines are clinically appropriate, with 58.6% of
obstetrician/gynecologist physicians having this same skepticism. Even among
those who affirmatively believe current guidelines are authoritative, reliable,
and clinically appropriate, only 15.3% recommend screening intervals and
methodology of testing in women of four differing ages consistent with that of
current policy guidelines. CONCLUSION: Among the primary care providers surveyed,
distrust and confusion likely limit adherence to current evidence-based cervical
cancer screening health policy recommendations, and contribute to the current
high rates of overscreening that have been observed.
PMID- 26540623
TI - Effect of temperature and water activity on gene expression and aflatoxin
biosynthesis in Aspergillus flavus on almond medium.
AB - Almonds are among the commodities at risk of aflatoxin contamination by
Aspergillus flavus. Temperature and water activity are the two key determinants
in pre and post-harvest environments influencing both the rate of fungal spoilage
and aflatoxin production. Varying the combination of these parameters can
completely inhibit or fully activate the biosynthesis of aflatoxin, so it is
fundamental to know which combinations can control or be conducive to aflatoxin
contamination. Little information is available about the influence of these
parameters on aflatoxin production on almonds. The objective of this study was to
determine the influence of different combinations of temperature (20 degrees C,
28 degrees C, and 37 degrees C) and water activity (0.90, 0.93, 0.96, 0.99 aw)
on growth, aflatoxin B1 (AFB1) production and expression of the two regulatory
genes, aflR and aflS, and two structural genes, aflD and aflO, of the aflatoxin
biosynthetic cluster in A. flavus grown on an almond medium solidified with agar.
Maximum accumulation of fungal biomass and AFB1 production was obtained at 28
degrees C and 0.96 aw; no fungal growth and AFB1 production were observed at 20
degrees C at the driest tested conditions (0.90 and 0.93 aw). At 20 degrees and
37 degrees C AFB1 production was 70-90% lower or completely suppressed,
depending on aw. Reverse transcriptase quantitative PCR showed that the two
regulatory genes (aflR and aflS) were highly expressed at maximum (28 degrees C)
and minimum (20 degrees C and 37 degrees C) AFB1 production. Conversely the two
structural genes (aflD and aflO) were highly expressed only at maximum AFB1
production (28 degrees C and 0.96-0.99 aw). It seems that temperature acts as a
key factor influencing aflatoxin production which is strictly correlated to the
induction of expression of structural biosynthesis genes (aflD and aflO), but not
to that of aflatoxin regulatory genes (aflR and aflS), whose functional products
are most likely subordinated to other regulatory processes acting at post
translational level. The results of this study are useful to select conditions
that could be used in the almond processing chain to suppress aflatoxin
production in this important product.
PMID- 26540625
TI - Feasibility of amlodipine besylate, chloroquine phosphate, dapsone, phenytoin,
pyridoxine hydrochloride, sulfadiazine, sulfasalazine, tetracycline
hydrochloride, trimethoprim and zonisamide in SyrSpend((r)) SF PH4 oral
suspensions.
AB - The objective of this study was to evaluate the feasibility of 10 commonly used
active pharmaceutical ingredients (APIs) compounded in oral suspensions using an
internationally used suspending vehicle (SyrSpend((r)) SF PH4 liquid): (i)
amlodipine, (as besylate) 1.0mg/mL; (ii) chloroquine phosphate,15.0 mg/mL; (iii)
dapsone, 2.0 mg/mL; (iv) phenytoin, 15.0 mg/mL; (v) pyridoxine hydrochloride,
50.0 mg/mL; (vi) sulfadiazine, 100.0 mg/mL; (vii) sulfasalazine, 100.0 mg/mL;
(viii) tetracycline hydrochloride, 25.0 mg/mL; (ix) trimethoprim, 10.0 mg/mL; and
(x) zonisamide, 10.0 mg/mL. All suspensions were stored both at controlled
refrigeration (2-8 degrees C) and controlled room temperature (20-25 degrees
C). Feasibility was assessed by measuring the percent recovery at varying time
points throughout a 90-day period. API quantification was performed by high
performance liquid chromatography (HPLC-UV), via a stability-indicating method.
Given the percentage of recovery of the APIs within the suspensions, the
expiration date of the final products (API+vehicle) was at least 90 days for all
suspensions with regard to both the controlled temperatures. This suggests that
the vehicle is stable for compounding APIs from different pharmacological
classes.
PMID- 26540626
TI - Characterizations of the hydrolyzed products of ginkgolide A and ginkgolide B by
liquid chromatography coupled with mass spectrometry.
AB - Ginkgolides are diterpenoid trilactones responsible for the neuromodulatory
properties of Ginkgo biloba extracts. They are to be hydrolyzed in aqueous
solutions as mixed carboxylate forms potentially including three
monocarboxylates, three dicarboxylates and one tricarboxylate. Characterizations
of the hydrolyzed products are challenging because there is no way to prepare
them individually. In this work, the major hydrolyzed products of ginkgolide A
(GA) and ginkgolide B (GB) including all three monocarboxyaltes have been
produced in buffers and subjected to liquid chromatography coupled with triple
quadrupole MS and LTQ Orbitrap MS analysis. With the comparative analysis of the
trilactone of GA and GB, it was highlighted a unique charge-driven fragmentation
pathway of twice neutral losses of CO on the lactone-C. The monocarboxylates were
accordingly identified based on the construction of their fragmentation pathways
cross-linked with those of the trilactone. In brief, the lactone-C hydrolyzed
product is characteristic of the absence of product ions between [M-H](-) and [M
H-C2H2O3](-) (m/z 351 for GA and m/z 367 for GB). The featured fragmentation
pathway of the lactone-F hydrolyzed product is the cleavage of ring-A, yielding a
fragment (m/z 295 for GA and m/z 309 for GB) followed with twice (GA) or triple
(GB) neutral losses of CO. The most characteristic fragment of the lactone-E
hydrolyzed product is [M-H-H2O-CO2-2CO](-) (m/z 307 for GA and m/z 323 for GB) in
contrast to the other two monocarboxylates. The knowledge gained in this work was
of special uses to investigate the biological fates and the corresponding
pharmacological mechanisms of ginkgolides.
PMID- 26540627
TI - Liquid chromatography-tandem mass spectrometric assay for the simultaneous
determination of the irreversible BTK inhibitor ibrutinib and its dihydrodiol
metabolite in plasma and its application in mouse pharmacokinetic studies.
AB - A validated simple, fast and sensitive bio-analytical assay for ibrutinib and its
dihydrodiol metabolite in human and mouse plasma was set up. Sample preparation
was performed by protein precipitation, and addition of the respective deuterated
internal standards, followed by LC-MS/MS analysis. Separation was performed on a
3.5 MUm particle-size, bridged ethylene hybrid column with gradient elution by
0.1% v/v formic acid and acetonitrile. The full eluate was transferred to an
electrospray interface in positive ionization mode, and subsequently analyzed by
a triple quadrupole mass spectrometer by selected reaction monitoring. The assay
was validated in a 5-5000 ng/ml calibration range. Both ibrutinib and dihydrodiol
ibrutinib were deemed stable under refrigerated or frozen storage conditions. At
room temperature, ibrutinib showed a not earlier described instability, and
revealed rapid degradation at 37 degrees C. Finally, the assay was used for a
pharmacokinetic study of plasma levels in treated FVB mice.
PMID- 26540628
TI - Quantification of reactive carbonyl compounds in icodextrin-based peritoneal
dialysis fluids by combined UHPLC-DAD and -MS/MS detection.
AB - During heat sterilization of peritoneal dialysis (PD) fluids, the glucose
component is partially degraded. The formed glucose degradation products impair
biocompatibility and limit the long-term application of PD fluids. As an
alternative to glucose, icodextrin, a polyglucose, is used as osmotic agent in PD
fluids. After targeted screening for reactive carbonyl compounds, NMR- and MS
analyses very recently revealed 4-deoxyglucosone (4-DG), 3-deoxyglucosone (3-DG),
3-deoxygalactosone (3-DGal), 3,4-dideoxypentosone (3,4-DDPS), and 5
hydroxymethylfurfural (5-HMF) as main polyglucose degradation products (pGDPs) in
icodextrin-based PD fluids. Now, the present study established and validated a
UHPLC method with DAD as well as a UHPLC-MS/MS method for the first-time
quantification of those five major pGDPs in commercial icodextrin PD fluids after
derivatization with o-phenylenediamine. Thus, 4-DG was identified to be the main
degradation product (in concentrations up to 20 MUM). In contrast to the values
measured in glucose-based products, the concentration of 3-DGal (<= 16 MUM) was
higher than the concentration of 3-DG (<= 7 MUM) indicating different reaction
pathways starting from polyglucose compared to glucose. The compounds 3,4-DDPS
and 5-HMF were present in minor quantities (<= 0.3 MUM each).
PMID- 26540629
TI - Palbociclib inhibits epithelial-mesenchymal transition and metastasis in breast
cancer via c-Jun/COX-2 signaling pathway.
AB - Palbociclib, a highly selective CDK4/6 inhibitor, has been shown to be a novel
anti-tumor agent that suppresses breast cancer cell proliferation. However, its
anti-metastasis activity remains controversial. In the present study, we
evaluated whether palbociclib prevented breast cancer cell metastasis and
revealed its regulatory mechanism. We found that palbociclib inhibited migration
and invasion in the breast cancer cells MDA-MB-231 and T47D. The epithelial
mesenchymal transition (EMT) markers, vimentin and Snail, were down-regulated
with palbociclib treatment. Moreover, we revealed that this inhibition was
mediated by the c-Jun/COX-2 pathway. COX-2 was decreased after palbociclib
treatment. The production of PGE2 was also reduced along with COX-2.
Additionally, our data showed that c-Jun, a crucial transcriptional regulator of
COX-2, was down-regulated by palbociclib. We found that palbociclib weakened the
COX-2 promoter binding activity of c-Jun and prevented its translocation from the
cytoplasm to cell nuclei. Bioluminescence imaging and tail intravenous injection
were used to evaluate the anti-metastasis effect of palbociclib in vivo. The data
demonstrated that palbociclib reduced breast cancer metastasis to the lung. These
results therefore demonstrated that the anti-metastasis activity of palbociclib
is mediated via the c-Jun/COX-2 signaling pathway by inhibiting EMT in breast
cancer cells.
PMID- 26540630
TI - Insulin-like growth factor-independent insulin-like growth factor binding protein
3 promotes cell migration and lymph node metastasis of oral squamous cell
carcinoma cells by requirement of integrin beta1.
AB - Frequent metastasis to the cervical lymph nodes leads to poor survival of
patients with oral squamous cell carcinoma (OSCC). To understand the underlying
mechanisms of lymph node metastasis, two sublines were successfully isolated from
cervical lymph nodes of nude mice through in vivo selection, and identified as
originating from poorly metastatic parental cells. These two sublines
specifically metastasized to cervical lymph nodes in 83% of mice, whereas OEC-M1
cells did not metastasize after injection into the oral cavity. After gene
expression analysis, we identified insulin-like growth factor binding protein 3
(IGFBP3) as one of the significantly up-regulated genes in the sublines in
comparison with their parental cells. Consistently, meta-analysis of the public
microarray datasets and IGFBP3 immunohistochemical analysis revealed increased
both levels of IGFBP3 mRNA and protein in human OSCC tissues when compared to
normal oral or adjacent nontumorous tissues. Interestingly, the up-regulated
IGFBP3 mRNA expression was significantly associated with OSCC patients with lymph
node metastasis. IGFBP3 knockdown in the sublines impaired and ectopic IGFBP3
expression in the parental cells promoted migration, transendothelial migration
and lymph node metastasis of orthotopic transplantation. Additionally, ectopic
expression of IGFBP3 with an IGF-binding defect sustained the IGFBP3-enhanced
biological functions. Results indicated that IGFBP3 regulates metastasis-related
functions of OSCC cells through an IGF-independent mechanism. Furthermore,
exogenous IGFBP3 was sufficient to induce cell motility and extracellular signal
regulated kinase (ERK) activation. The silencing of integrin beta1 was able to
impair exogenous IGFBP3-mediated migration and ERK phosphorylation, suggesting a
critical role of integrin beta1 in IGFBP3-enchanced functions.
PMID- 26540631
TI - Integrative analysis to select cancer candidate biomarkers to targeted
validation.
AB - Targeted proteomics has flourished as the method of choice for prospecting for
and validating potential candidate biomarkers in many diseases. However,
challenges still remain due to the lack of standardized routines that can
prioritize a limited number of proteins to be further validated in human samples.
To help researchers identify candidate biomarkers that best characterize their
samples under study, a well-designed integrative analysis pipeline, comprising MS
based discovery, feature selection methods, clustering techniques, bioinformatic
analyses and targeted approaches was performed using discovery-based proteomic
data from the secretomes of three classes of human cell lines (carcinoma,
melanoma and non-cancerous). Three feature selection algorithms, namely, Beta
binomial, Nearest Shrunken Centroids (NSC), and Support Vector Machine-Recursive
Features Elimination (SVM-RFE), indicated a panel of 137 candidate biomarkers for
carcinoma and 271 for melanoma, which were differentially abundant between the
tumor classes. We further tested the strength of the pipeline in selecting
candidate biomarkers by immunoblotting, human tissue microarrays, label-free
targeted MS and functional experiments. In conclusion, the proposed integrative
analysis was able to pre-qualify and prioritize candidate biomarkers from
discovery-based proteomics to targeted MS.
PMID- 26540632
TI - SOX2 boosts major tumor progression genes in prostate cancer and is a functional
biomarker of lymph node metastasis.
AB - Critical issues in prostate cancer (PC) are a. identification of molecular
drivers of the highly aggressive neuroendocrine differentiation (NED) in
adenocarcinoma, and b. early assessment of disease progression. The SRY (sex
determining region Y)-box 2 gene, SOX2, is an essential embryonic stem cell gene
involved in prostate tumorigenesis. Here we assessed its implications in NED and
progression of PC and its diagnostic and prognostic value. Laser microdissection,
qRT-PCR, quantitative Methylation-Specific PCR and immunohistochemistry were used
to analyze SOX2 gene expression and regulation in 206 PC samples. Results were
examined according to the patient's clinical pathological profile and follow-ups.
Functional studies were performed using PC cells transfected to overexpress or
silence SOX2. SOX2 was consistently downregulated in PC, except in cell clusters
lying within lymph node (LN)-positive PC. Multivariate analysis revealed that
SOX2 mRNA expression in the primary tumor was significantly associated with LN
metastasis. When SOX2 mRNA levels were >=1.00, relative to (XpressRef) Universal
Total RNA, adjusted Odds Ratio was 24.4 (95% CI: 7.54-79.0), sensitivity 0.81
(95% CI: 0.61-0.93) and specificity 0.87 (95% CI: 0.81-0.91). Patients
experiencing biochemical recurrence had high median levels of SOX2 mRNA. In both
PC and LN metastasis, SOX2 and NED marker, Chromogranin-A, were primarily co
expressed. In PC cells, NED genes were upregulated by SOX2 overexpression and
downregulated by its silencing, which also abolished SNAI2/Slug dependent NED.
Moreover, SOX2 upregulated neural CAMs, neurotrophins/neurotrophin receptors,
pluripotency and epithelial-mesenchymal transition transcription factors, growth,
angiogenic and lymphangiogenic factors, and promoted PC cell invasiveness and
motility. This study discloses novel SOX2 target genes driving NED and spread of
PC and proposes SOX2 as a functional biomarker of LN metastasization for PC.
PMID- 26540635
TI - Core-Shell, Ultrasmall Particles, Monoliths, and Other Support Materials in High
Performance Liquid Chromatography.
PMID- 26540634
TI - Circannual variation of efficacy outcomes in patients with newly diagnosed
metastatic colorectal cancer and treated with first-line chemotherapy.
AB - Seasonal variation of baseline diagnosis (or clinical suspect) of stage I-III
colorectal cancer patients has been repeatedly reported as an independent
variable influencing overall survival. However, data are conflicting and no
information is available about such a rhythm in advanced stage patients. To test
whether a circannual rhythm of efficacy outcomes can be detected in this setting,
we collected data about response rate (RR), progression-free survival (PFS), and
overall survival (OS) to first-line chemotherapy of 1610 newly diagnosed
metastatic patients treated at four independent centers. Responses to first-line
chemotherapy were available for 1495 patients. A strong circannual rhythm in RR
was evident, with the higher proportion of responding patients in the subgroup
diagnosed in January (acrophase). At the time of data cutoff, 1322 patients
progressed and 986 died, with median PFS and OS of 11 and 25.6 months,
respectively. A circannual rhythmicity of the proportion of patients progressing
at 6 months and surviving at 1 year was demonstrated, with acrophases located
both in winter (February and January, respectively), similar to what reported for
RR. Several interpretations about the genesis of this cyclic variation could be
claimed: the rhythm in sunlight exposure and, as a consequence, of vitamin D
serum levels and folate degradation, the variability in toxic effect intensity of
chemotherapy, and the rhythm in the biological behavior of tumor cells. This
observation is worth of further investigation both in preclinical and in clinical
settings in order to better elucidate the underlying mechanisms.
PMID- 26540633
TI - Long non-coding RNA HULC promotes tumor angiogenesis in liver cancer by up
regulating sphingosine kinase 1 (SPHK1).
AB - Highly up-regulated in liver cancer (HULC) is a long non-coding RNA (lncRNA). We
found that HULC up-regulated sphingosine kinase 1 (SPHK1), which is involved in
tumor angiogenesis. Levels of HULC were positively correlated with levels of
SPHK1 and its product, sphingosine-1-phosphate (S1P), in patients HCC samples.
HULC increased SPHK1 in hepatoma cells. Chicken chorioallantoic membrane (CAM)
assays revealed that si-SPHK1 remarkably blocked the HULC-enhanced angiogenesis.
Mechanistically, HULC activated the promoter of SPHK1 in hepatoma cells through
the transcription factor E2F1. Chromatin immunoprecipitation (ChIP) and
electrophoretic mobility shift assay (EMSA) further showed that E2F1 was capable
of binding to the E2F1 element in the SPHK1 promoter. HULC increased the
expression of E2F1 in hepatoma cells and levels of HULC were positively
correlated with those of E2F1 in HCC tissues. Intriguingly, HULC sequestered miR
107, which targeted E2F1 mRNA 3'UTR, by complementary base pairing. Functionally,
si-SPHK1 remarkably abolished the HULC-enhanced tumor angiogenesis in vitro and
in vivo. Taken together, we conclude that HULC promotes tumor angiogenesis in
liver cancer through miR-107/E2F1/SPHK1 signaling. Our finding provides new
insights into the mechanism of tumor angiogenesis.
PMID- 26540636
TI - Periorbital Necrotizing Fasciitis Following Dexamethasone Intravitreal Implant
Injection.
PMID- 26540637
TI - The complete mitochondrial DNA genome of Gymnodiptychus dybowskii (Cypriniformes:
Cyprinidae: Schizothoracinae).
AB - The complete nucleotide sequence of Gymnodiptychus dybowskii mitogenome (16 677
bp) has been determined, containing 13 protein-coding genes, two ribosomal RNA
genes, 22 tRNA genes, and one non-coding control region. The base composition is
28.04% A, 26.92% T, 18.94% G, 26.10% C, with an AT bias of 54.97%. The origin of
light-strand replication (OL) is found between tRNAAsn and tRNACys, which has the
potential to fold in a step-loop secondary structure with a stem formed by 11
pairs of nucleotides and a loop of 14 nucleotides. The phylogenetic analysis
indicates close relationship between genus Gymnodiptychus and Diptychus.
PMID- 26540638
TI - World Pneumonia Day - November 12, 2015.
PMID- 26540639
TI - Increased microalbuminuria prevalence among patients with nonalcoholic fatty
liver disease.
AB - AIM: To determine the prevalence of microalbuminuria, that is an indirect
predictor of coronary artery disease, among non-obese and non-diabetic patients
with fatty liver disease. MATERIAL AND METHOD: This retrospective study was
carried out on non-obese (body mass index (BMI) < 30) and non-diabetic 290 female
and 189 male, totally 479 cases. All subjects underwent liver ultrasonography
scanning to determine the presence and stage of fatty liver disease. RESULTS: The
subjects were grouped according to the ultrasound findings as follows: 182
(37.9%) cases without any fat accumulation in liver were regarded as control
group; and among remaining cases, 124 (25.8%) had mild, 93 (19.4%) had moderate,
and 80 (16.7%) had severe fatty liver disease. There was not any statistically
significant difference between groups in regards to the age, gender, liver
function tests, renal function tests or glomerular filtration rate. However
urinary protein/creatinine ratio was statistically significantly higher in severe
nonalcoholic fatty liver disease (NAFLD) group than the other three groups. In
moderate and severe NAFLD groups, microalbuminuria was statistically
significantly more common compared with the control and mild NAFLD groups.
Regarding the results of multiple logistic regression analysis, presence of fatty
liver disease increased the risk of microalbuminuria for 1.87 times independently
from increased BMI and increased HOMA-IR values. CONCLUSION: We have determined
that microalbuminuria is more prevalent among NAFLD cases compared with control
cases and microalbuminuria prevalence was increasing with the advanced stages of
NAFLD although two main etiologic factors of microalbuminuria, type 2 diabetes,
and obesity were excluded.
PMID- 26540640
TI - Investigation of the index case herd and identification of the genotypes of
Theileria orientalis associated with outbreaks of bovine anaemia in New Zealand
in 2012.
AB - CASE HISTORY AND CLINICAL FINDINGS: On 7 September 2012 the Ministry for Primary
Industries was notified of a dairy cow with regenerative anaemia (haematocrit
(HCT) 0.08 L/L) in a herd of 465 Jersey-Friesian cross cows (index case herd) in
the Northland region of New Zealand. Organisms consistent with Theileria spp.
were present in red blood cells on a blood smear. No other causes of anaemia were
detected following examination of affected cows. Blood samples collected from 29
randomly selected cows on 26 September 2012 showed that 24 (83%) were anaemic
(HCT<=0.24 L/L) and therefore fitted the case definition for bovine anaemia
associated with Theileria orientalis infection. LABORATORY FINDINGS: Using a T.
orientalis type-specific PCR assay that targeted the single subunit rRNA gene,
all of six animals tested were positive for T. orientalis type Ikeda. Blood
samples collected from clinically affected cattle in 11 subsequent outbreaks from
throughout the North Island showed that T. orientalis Ikeda type was a common
finding, but mixed infections with Chitose type were also identified. In
addition, using a PCR assay that targeted the major piroplasm surface gene, T.
orientalis type 5 was detected in one cow from the Waikato region. DIAGNOSIS: The
presence of T. orientalis type Ikeda, as well as type 5, was confirmed in cattle
from outbreaks of bovine anaemia in herds throughout the North Island of New
Zealand. CLINICAL RELEVANCE: Two new types of T. orientalis were identified in
this investigation, that were associated with a sudden rise in cases of bovine
anaemia. The body of evidence showed that the Ikeda type was implicated as the
cause of disease observed in this epidemic.
PMID- 26540641
TI - Epidemiology and molecular mechanism of frontotemporal lobar
degeneration/amyotrophic lateral sclerosis with repeat expansion mutation in
C9orf72.
AB - GGGGCC hexanucleotide repeat expansions in C9orf72 were identified in 2011 as the
genetic cause of frontotemporal lobar degeneration (FTLD)/amyotrophic lateral
sclerosis (ALS) linked to chromosome 9. Since then, a number of studies have been
conducted to delineate the molecular epidemiology of the repeat expansions and
the molecular pathophysiology of the disease. The frequency of the repeat
expansions considerably varied among countries. The frequency of the repeat
expansions was high in European populations and populations of European descent
and a substantial proportion of sporadic FTLD or ALS patients also have the
mutations in these populations. On the other hand, the frequency was extremely
low in Asia or Oceania except for limited regions including Kii Peninsula of
Japan. A founder effect seems to strongly influence the regional differences in
the frequency, but there is no definitive evidence that supports the notion that
the repeat expansions arose in a single founder or multiple founders. As a
disease-causing mechanism, several molecular mechanisms have been proposed,
including conformational changes of DNA (G-quadruplex formation and
hypermethylation) or RNA (G-quadruplex formation) molecules, altered
transcriptional levels of C9orf72, sequestration of RNA-binding proteins,
bidirectional transcription, formation of RNA foci, and neurotoxicity of
dipeptide repeat proteins generated by repeat-associated non-ATG-initiated
translation. Further investigations on the molecular mechanisms of
neurodegeneration are expected to lead to the development of therapeutic
interventions for this disease as well as for other diseases associated with non
coding repeat expansions.
PMID- 26540642
TI - A framework for telepsychiatric training and e-health: Competency-based
education, evaluation and implications.
AB - Telepsychiatry (TP; video; synchronous) is effective, well received and a
standard way to practice. Best practices in TP education, but not its desired
outcomes, have been published. This paper proposes competencies for trainees and
clinicians, with TP situated within the broader landscape of e-mental health (e
MH) care. TP competencies are organized using the US Accreditation Council of
Graduate Medical Education framework, with input from the CanMEDS framework.
Teaching and assessment methods are aligned with target competencies, learning
contexts, and evaluation options. Case examples help to apply concepts to
clinical and institutional contexts. Competencies can be identified, measured and
evaluated. Novice or advanced beginner, competent/proficient, and expert levels
were outlined. Andragogical (i.e. pedagogical) methods are used in clinical care,
seminar, and other educational contexts. Cross-sectional and longitudinal
evaluation using quantitative and qualitative measures promotes skills
development via iterative feedback from patients, trainees, and faculty staff. TP
and e-MH care significantly overlap, such that institutional leaders may use a
common approach for change management and an e-platform to prioritize resources.
TP training and assessment methods need to be implemented and evaluated.
Institutional approaches to patient care, education, faculty development, and
funding also need to be studied.
PMID- 26540643
TI - Human papillomavirus detected in viral warts of renal transplant recipients.
AB - OBJECTIVES: Few studies have been conducted in South America regarding the
detection and genotyping of human papillomavirus (HPV) in viral warts of renal
transplant recipients (RTRs). The characterization of the population most
susceptible to the development of warts and the knowledge of the main HPV types
in this environment prompted this study, which focuses on the detection and
typing of HPV in RTRs in Brazil. METHODS: Fifty-eight patients with viral warts
from the Hospital Sao Paulo/Federal University of Sao Paulo were included in this
study. HPV was detected by polymerase chain reaction (PCR) using combinations of
the following primers: PGMY 09/11, RK 91, CP 65/70, and CP 66/69. Restriction
fragment length polymorphism and automated sequencing techniques were used for
HPV typing. RESULTS: HPV was detected by PCR in 89.7% of viral wart samples. The
most frequently detected HPV types included 57, 27, 1a, 2a, and 20. Other types
of HPV-epidermodysplasia verruciformis were also detected, including 14, 15, 19,
20, 21, 23, 36, and 38. Rare HPV types were also detected in our environment,
including RTR X1, RTR X7, and 100. The time after transplant was correlated with
an increased number of lesions and beta papillomavirus genus infection.
CONCLUSIONS: The HPV types detected in the RTR population were similar to those
described in immunocompetent populations. However, the diversity of the HPV types
identified and the number of lesions were increased in the RTR population.
PMID- 26540644
TI - Complete mitochondrial genome of Camptochilus aurea (Lepidoptera: Thyrididae).
AB - The complete mitochondrial genome of Camptochilus aurea (Butler, 1881) has been
determined. The entire mitochondrial genome of C. aurea is 15 362bp in length
which contains 13 protein-coding genes (PCGs), 22 transfer RNA genes, two
ribosomal RNA genes and a control region. Twelve PCGs of C. aurea start with ATN
codon, but the COI gene uses CGA as the start codon. Inferred phylogenetic
relationships based on the data of 25 Lepidoptera species using Bayesian
inference method indicated Thyrididae had a close relationship with Gelechioidea.
PMID- 26540646
TI - Resistive Switching of Individual, Chemically Synthesized TiO2 Nanoparticles.
AB - Resistively switching devices are considered promising for next-generation
nonvolatile random-access memories. Today, such memories are fabricated by means
of "top-down approaches" applying thin films sandwiched between nanoscaled
electrodes. In contrast, this work presents a "bottom-up approach" disclosing for
the first time the resistive switching (RS) of individual TiO2 nanoparticles
(NPs). The NPs, which have sizes of 80 and 350 nm, respectively, are obtained by
wet chemical synthesis and thermally treated under oxidizing or vacuum conditions
for crystallization, respectively. These NPs are deposited on a Pt/Ir bottom
electrode and individual NPs are electrically characterized by means of a
nanomanipulator system in situ, in a scanning electron microscope. While
amorphous NPs and calcined NPs reveal no switching hysteresis, a very interesting
behavior is found for the vacuum-annealed, crystalline TiO(2-x) NPs. These NPs
reveal forming-free RS behavior, dominantly complementary switching (CS) and, to
a small degree, bipolar switching (BS) characteristics. In contrast, similarly
vacuum-annealed TiO2 thin films grown by atomic layer deposition show standard BS
behavior under the same conditions. The interesting CS behavior of the TiO(2-x)
NPs is attributed to the formation of a core-shell-like structure by re-oxidation
of the reduced NPs as a unique feature.
PMID- 26540645
TI - Mind-Body Approaches to Treating Mental Health Symptoms Among Disadvantaged
Populations: A Comprehensive Review.
AB - Mind-body approaches are commonly used to treat a variety of chronic health
conditions, including depression and anxiety. A substantial proportion of
individuals with depression and anxiety disorders do not receive conventional
treatment; disadvantaged individuals are especially unlikely to receive
treatment. Mind-body approaches offer a potentially more accessible and
acceptable alternative to conventional mental health treatment for disadvantaged
individuals, who may not otherwise receive mental health treatment. This review
examines evidence for the efficacy of mind-body interventions for mental health
symptoms among disadvantaged populations. While rates of utilization were
relatively lower for racial/ethnic minorities, evidence suggests that significant
proportions of racial/ethnic minorities are using complementary health approaches
as health treatments, especially prayer/healers and natural or herbal remedies.
This review of studies on the efficacy of mind-body interventions among
disadvantaged populations found evidence for the efficacy of mind-body approaches
for several mental and physical health symptoms, functioning, self-care, and
overall quality of life.
PMID- 26540647
TI - Postmastectomy Radiation Therapy and Breast Reconstruction--Marching Forward
Together or Apart?
PMID- 26540649
TI - In situ analysis of copper electrodeposition reaction using unilateral NMR
sensor.
AB - The uses of high-resolution NMR spectroscopy and imaging (MRI) to study
electrochemical reactions in situ have greatly increased in the last decade.
However, most of these applications are limited to specialized NMR laboratories
and not feasible for routine analysis. Recently we have shown that a bench top,
time domain NMR spectrometer can be used to monitor in situ copper
electrodeposition reaction and the effect of Lorentz force in the reaction rate.
However these spectrometers limit the cell size to the magnet gap and cannot be
used with standard electrochemical cells. In this paper we are demonstrating that
unilateral NMR sensor (UNMR), which does not limit sample size/volume, can be
used to monitor electrodeposition of paramagnetic ions in situ. The copper
electrodeposition reaction was monitored remotely and in situ, placing the
electrochemical cell on top of the UNMR sensor. The Cu(2+) concentration was
measured during three hours of the electrodeposition reactions, by using the
transverse relaxation rate (R2) determined with the Carr-Purcell-Meiboom-Gill
pulse sequence. The reaction rate increased fourfold when the reaction was
performed in the presence of a magnetic field (in situ), in comparison to the
reactions in the absence of the magnetic field (ex situ). The increase of
reaction rate, in the presence of the UNMR magnet, was related to the magneto
hydrodynamic force (FB) and magnetic field gradient force (F?B). F?B was
calculated to be one order of magnitude stronger than FB. The UNMR sensor has
several advantages for in situ measurements when compared to standard NMR
spectrometers. It is a low cost, portable, open system, which does not limit
sample size/volume and can be easily be adapted to standard electrochemical cells
or large industrial reactors.
PMID- 26540650
TI - Transport and imaging of brute-force (13)C hyperpolarization.
AB - We demonstrate transport of hyperpolarized frozen 1-(13)C pyruvic acid from its
site of production to a nearby facility, where a time series of (13)C images was
acquired from the aqueous dissolution product. Transportability is tied to the
hyperpolarization (HP) method we employ, which omits radical electron species
used in other approaches that would otherwise relax away the HP before reaching
the imaging center. In particular, we attained (13)C HP by 'brute-force', i.e.,
using only low temperature and high-field (e.g., T<~2K and B~14T) to pre-polarize
protons to a large Boltzmann value (~0.4% (1)H polarization). After polarizing
the neat, frozen sample, ejection quickly (<1s) passed it through a low field
(B<100G) to establish the (1)H pre-polarization spin temperature on (13)C via the
process known as low-field thermal mixing (yielding ~0.1% (13)C polarization). By
avoiding polarization agents (a.k.a. relaxation agents) that are needed to
hyperpolarize by the competing method of dissolution dynamic nuclear polarization
(d-DNP), the (13)C relaxation time was sufficient to transport the sample for
~10min before finally dissolving in warm water and obtaining a (13)C image of the
hyperpolarized, dilute, aqueous product (~0.01% (13)C polarization, a >100-fold
gain over thermal signals in the 1T scanner). An annealing step, prior to
polarizing the sample, was also key for increasing T1~30-fold during transport.
In that time, HP was maintained using only modest cryogenics and field (T~60K and
B=1.3T), for T1((13)C) near 5min. Much greater time and distance (with much
smaller losses) may be covered using more-complete annealing and only slight
improvements on transport conditions (e.g., yielding T1~5h at 30K, 2T), whereas
even intercity transfer is possible (T1>20h) at reasonable conditions of 6K and
2T. Finally, it is possible to increase the overall enhancement near d-DNP levels
(i.e., 10(2)-fold more) by polarizing below 100mK, where nanoparticle agents are
known to hasten T1 buildup by 100-fold, and to yield very little impact on T1
losses at temperatures relevant to transport.
PMID- 26540648
TI - Combining CRISPR/Cas9 and rAAV Templates for Efficient Gene Editing.
AB - Altering endogenous genes in cells is an integral tool of modern cell biology.
The ease-of-use of the CRISPR/Cas9 system to introduce genomic DNA breaks at
specific sites in vivo has led to its rapid and wide adoption. In the absence of
a DNA template, the lesion is repaired by nonhomologous end joining resolving as
internal deletions. However, in the presence of a homologous DNA template,
homology-directed repair occurs with variable efficiencies. Recent work has
demonstrated that highly efficient gene targeting can be induced by combining
CRISPR/Cas9 targeting of genomic loci with recombinant adeno-associated virus
(rAAV) to provide a single-stranded homologous DNA template. Here we review the
current state of CRISPR/Cas-based gene editing and provide a practical guide to
applying the CRISPR/Cas and rAAV system for highly efficient, time- and cost
effective gene targeting.
PMID- 26540652
TI - Do the Effects of Transcutaneous Electrical Nerve Stimulation on Knee
Osteoarthritis Pain and Function Last?
AB - Transcutaneous electrical nerve stimulation (TENS) has been shown to decrease
pain associated with knee osteoarthritis, which potentially leads to better
function, improved quality of life, and postpones the need for surgical
intervention. The purpose of this study was to perform a 1-year follow-up of a
previous prospective group of patients with knee osteoarthritis, randomized to
TENS or standard of care, who were asked to rate their changes in: (1) patient
pain perception; (2) subjective medication use; (3) subjective functional
abilities; (4) quality of life; (5) device use; and (6) conversion to TKA. A
population of 70 patients were randomized to receive either a TENS device or a
standard conservative therapy regimen. Patients were evaluated based on various
subjective outcomes at minimum 1-year (mean, 19 months) follow-up. The TENS
cohort had lower visual analog pain scores compared with the matching cohort.
Subjective functional outcomes, as well as functional and activity scores, were
also greater in the TENS cohort. Patients in TENS cohort showed significant
improvements in their subjective and functional outcomes as compared with their
initial status, while the control group did not show significant change. A
majority of the TENS patients were able to reduce the amount of pain medications.
Additionally, a large portion of the patients assigned to the TENS group continue
to use the device, after completion of the trial. This study demonstrated the
benefit of TENS for improving subjective outcomes in patients with pain due to
knee osteoarthritis, compared with standard conservative treatments. The results
of the study suggest that TENS is a safe and effective adjunct as part of the
spectrum of current nonoperative treatment methods for knee osteoarthritis.
PMID- 26540651
TI - Association Between KCNQ1 Genetic Variants and Type 2 Diabetes in the Uyghur
Population.
AB - OBJECTIVE: To investigate the association between KCNQ1 gene polymorphisms and
type 2 diabetes (T2D) in an admixed ethnic minority, Uyghur population, living in
the Northwest region of China. MATERIALS AND METHODS: We genotyped three tagging
single-nucleotide polymorphisms rs2283171, rs11023485, and rs2283208 of the KCNQ1
gene in 1006 T2D participants and 1004 controls and conducted association
analysis. RESULTS: The frequencies of the AG and GG genotypes and the G allele of
rs2283171 were higher in the control group (51.4%, 22%, and 47.7%, respectively)
than in the case group (49%, 17.6%, and 42.1%, respectively). The minor G allele
decreased the risk of T2D with a per-allele odds ratio of 0.79 (95% CI: 0.70
0.90) for the additive genetic model in univariate analysis (p = 0.0001). After
adjustment for the covariates of age, gender, smoking, alcohol use, systolic
blood pressure (SBP), diastolic blood pressure (DBP), body mass index (BMI),
triglyceride (TG), and total cholesterol (TC), the diabetic protective effect of
the rs2283171-G allele remained. No difference was observed in the frequency
distributions of the rs11023485 and rs2283208 genotypes between the two groups.
CONCLUSION: We identified a novel association between rs2283171 of KCNQ1 and T2D
in the Uyghur population. Further association and functional studies are required
to identify the causal functional variant that is in linkage disequilibrium with
this polymorphism.
PMID- 26540653
TI - Impact of Use of Intramedullary and Extramedullary Guides on Tibial Component
Geometry in Total Knee Replacements: A Systematic Review and Meta-Analysis.
AB - Mechanical guides in total knee arthroplasty are divided into intramedullary and
extramedullary systems, designed to give accurate reference, to enable the
surgeon to perform a tibial cut which is perpendicular to the mechanical axis. We
conducted a systematic review and meta-analysis of levels 1 and 2 published data
which directly compares the two methods of alignment, with outcomes of interest
being the mean tibial component angle to the mechanical axis and the number of
outliers from the optimal range. The PRISMA (preferred reporting items for
systematic reviews and meta-analysis) guidance was followed. A search was
conducted of online databases Medline PubMed; EMBASE; ISI Web of Science, and the
Cochrane library, using the Boolean search string ([intramedullary OR
extramedullary] AND knee AND [arthroplasty OR replacement]). Numerical data
pertaining to tibial component alignment (TCA), the mechanical tibiofemoral
angle, the tibial slope, and the number of outliers from optimal TCA were
collated, and used to establish pooled results. No constraints on the search in
terms of year of publication or language were instituted. Intrastudy bias was
assessed using the Jadad score for randomized controlled trials and the Newcastle
Ottawa score for prospective cohort studies. A total of 1,896 titles were
reviewed. Following abstract review and full review of relevant articles, 10
publications were included for analysis, of which 8 were suitable to include for
meta-analysis. No trials showed a significant difference in the mean TCA. Two
trials showed an increased number of outliers in the extramedullary group and two
studies showed an increased number of outliers in the intramedullary group.
Pooled data from studies which included these outcomes showed no advantage for
either system in limiting the number of outliers from the optimal TCA (relative
risk, 0.99; 95% confidence interval [CI], 0.87-1.14; p = 0.004), and no
significant difference in mean TCA (standardized mean difference, -0.07; 95% CI,
0.22 to 0.08; p = 0.000). Based on our results, no advantage can be attributed to
the type of mechanical guide used in obtaining an adequate tibial cut.
PMID- 26540655
TI - Objective Analysis of Performance of Activities of Daily Living in People With
Central Field Loss.
AB - PURPOSE: People with central visual field loss (CFL) adopt various strategies to
complete activities of daily living (ADL). Using objective movement analysis, we
compared how three ADLs were completed by people with CFL compared with age
matched, visually healthy individuals. METHODS: Fourteen participants with CFL
(age 81 +/- 10 years) and 10 age-matched, visually healthy (age 75 +/- 5 years)
participated. Three ADLs were assessed: pick up food from a plate, pour liquid
from a bottle, and insert a key in a lock. Participants with CFL completed each
ADL habitually (as they would in their home). Data were compared with visually
healthy participants who were asked to complete the tasks as they would normally,
but under specified experimental conditions. Movement kinematics were compared
using three-dimension motion analysis (Vicon). Visual functions (distance and
near acuities, contrast sensitivity, visual fields) were recorded. RESULTS: All
CFL participants were able to complete each ADL. However, participants with CFL
demonstrated significantly (P < 0.05) longer overall movement times, shorter
minimum viewing distance, and, for two of the three ADL tasks, needed more online
corrections in the latter part of the movement. CONCLUSIONS: Results indicate
that, despite the adoption of various habitual strategies, participants with CFL
still do not perform common daily living tasks as efficiently as healthy
subjects. Although indices suggesting feed-forward planning are similar, they
made more movement corrections and increased time for the latter portion of the
action, indicating a more cautious/uncertain approach. Various kinematic indices
correlated significantly to visual function parameters including visual acuity
and midperipheral visual field loss.
PMID- 26540654
TI - Human Adult Retinal Pigment Epithelial Stem Cell-Derived RPE Monolayers Exhibit
Key Physiological Characteristics of Native Tissue.
AB - PURPOSE: We tested what native features have been preserved with a new culture
protocol for adult human RPE. METHODS: We cultured RPE from adult human eyes.
Standard protocols for immunohistochemistry, electron microscopy,
electrophysiology, fluid transport, and ELISA were used. RESULTS: Confluent
monolayers of adult human RPE cultures exhibit characteristics of native RPE.
Immunohistochemistry demonstrated polarized expression of RPE markers. Electron
microscopy illustrated characteristics of native RPE. The mean transepithelial
potential (TEP) was 1.19 +/- 0.24 mV (mean +/- SEM, n = 31), apical positive, and
the mean transepithelial resistance (RT) was 178.7 +/- 9.9 Omega.cm2 (mean +/-
SEM, n = 31). Application of 100 MUM adenosine triphosphate (ATP) apically
increased net fluid absorption (Jv) by 6.11 +/- 0.53 MUL.cm2.h-1 (mean +/- SEM, n
= 6) and TEP by 0.33 +/- 0.048 mV (mean +/- SEM, n = 25). Gene expression of
cultured RPE was comparable to native adult RPE (n = 5); however, native RPE RNA
was harvested between 24 and 40 hours after death and, therefore, may not
accurately reflect healthy native RPE. Vascular endothelial growth factor
secreted preferentially basally 2582 +/- 146 pg/mL/d, compared to an apical
secretion of 1548 +/- 162 pg/mL/d (n = 14, P < 0.01), while PEDF preferentially
secreted apically 1487 +/- 280 ng/mL/d compared to a basolateral secretion of 864
+/- 132 ng/mL/d (n = 14, P < 0.01). CONCLUSIONS: The new culture model preserves
native RPE morphology, electrophysiology, and gene and protein expression
patterns, and may be a useful model to study RPE physiology, disease, and
transplantation.
PMID- 26540656
TI - Corneal Epithelial Immune Dendritic Cell Alterations in Subtypes of Dry Eye
Disease: A Pilot In Vivo Confocal Microscopic Study.
AB - PURPOSE: To evaluate density and morphology of corneal epithelial immune
dendritic cells (DCs) in different subtypes of dry eye disease (DED) using in
vivo confocal microscopy (IVCM). METHODS: This retrospective study included 59
eyes of 37 patients with DED and 40 eyes of 20 age-matched healthy controls.
Based on clinical tests, eyes with DED were categorized into two subtypes:
aqueous-deficient (n = 35) and evaporative (n = 24). For all subjects, images of
laser scanning in vivo confocal microscopy (IVCM) of the central cornea were
analyzed for DC density and DC morphology (DC size, number of dendrites, and DC
field). These DC parameters were compared among all dry eye and control groups.
RESULTS: Compared with the controls, patients with DED had significantly higher
DC density, larger DC size, higher number of dendrites, and larger DC field (all
P < 0.001). Comparison between aqueous-deficient and evaporative subtypes
demonstrated that DC density was significantly higher in aqueous-deficient
subtype (189.8 +/- 36.9 vs. 58.9 +/- 9.4 cells/mm2, P = 0.001). However, there
were no significant differences in morphologic parameters between DED subtypes.
When aqueous-deficient DED with underlying systemic immune disease (Sjogren's
syndrome and graft versus host disease) were compared with nonimmune conditions,
the immunologic subgroup showed significantly higher DC density, DC size, and
number of dendrites (all P < 0.05). CONCLUSIONS: Corneal IVCM demonstrated
differential changes in DC density and morphologic DC parameters between subtypes
of DED. These changes, which reflect the degree of immune activation and
inflammation in DED, can be used for clinical practice and endpoints in clinical
trials.
PMID- 26540658
TI - Active Maintenance of the Gradient of Refractive Index Is Required to Sustain the
Optical Properties of the Lens.
AB - PURPOSE: To determine whether the cellular physiology of the lens actively
maintains the optical properties of the lens and whether inhibition of lens
transport affects overall visual quality. METHODS: One lens from a pair of bovine
lenses was cultured in artificial aqueous humor (AAH), while the other was
cultured in either AAH-High-K+ or AAH + 0.1 mM ouabain for 4 hours. Lens pairs or
whole enucleated eyes were then imaged in 4.7 Tesla (T) high-field small animal
magnet. Lens surface curvatures, T1 measurements of water content, and T2
measurements of water/protein ratios were extracted from cultured lenses, while
the geometrical parameters that define the optical pathway were obtained from
whole eyes. Gradients of refractive index (GRIN), calculated from T2
measurements, and the extracted geometric parameters were inputted into optical
models of the isolated lens and the whole bovine eye. RESULTS: Inhibiting
circulating fluxes by inhibiting the Na/K-ATPase with ouabain or depolarization
of the lens potential by High K+ caused changes to lens water content, the
water/protein ratio (GRIN) and surface geometry that manifested as an increase in
optical power and a decrease in negative spherical aberration in cultured lenses.
Changes to optical properties of the lens resulted in a myopic shift that
impaired vision quality in the optical model of the bovine eye. CONCLUSIONS: The
cellular physiology of the lens actively maintains its optical properties and
inhibiting the Na/K/ATPase induces a myopic shift in vision similar to that
observed clinically in patients who go on to develop cataract.
PMID- 26540657
TI - Contrast Sensitivity With a Subretinal Prosthesis and Implications for Efficient
Delivery of Visual Information.
AB - PURPOSE: To evaluate the contrast sensitivity of a degenerate retina stimulated
by a photovoltaic subretinal prosthesis, and assess the impact of low contrast
sensitivity on transmission of visual information. METHODS: We measure ex vivo
the full-field contrast sensitivity of healthy rat retina stimulated with white
light, and the contrast sensitivity of degenerate rat retina stimulated with a
subretinal prosthesis at frequencies exceeding flicker fusion (>20 Hz). Effects
of eye movements on retinal ganglion cell (RGC) activity are simulated using a
linear-nonlinear model of the retina. RESULTS: Retinal ganglion cells adapt to
high frequency stimulation of constant intensity, and respond transiently to
changes in illumination of the implant, exhibiting responses to ON-sets, OFF
sets, and both ON- and OFF-sets of light. The percentage of cells with an OFF
response decreases with progression of the degeneration, indicating that OFF
responses are likely mediated by photoreceptors. Prosthetic vision exhibits
reduced contrast sensitivity and dynamic range, with 65% contrast changes
required to elicit responses, as compared to the 3% (OFF) to 7% (ON) changes with
visible light. The maximum number of action potentials elicited with prosthetic
stimulation is at most half of its natural counterpart for the ON pathway. Our
model predicts that for most visual scenes, contrast sensitivity of prosthetic
vision is insufficient for triggering RGC activity by fixational eye movements.
CONCLUSIONS: Contrast sensitivity of prosthetic vision is 10 times lower than
normal, and dynamic range is two times below natural. Low contrast sensitivity
and lack of OFF responses hamper delivery of visual information via a subretinal
prosthesis.
PMID- 26540659
TI - MicroRNA-184 Regulates Corneal Lymphangiogenesis.
AB - PURPOSE: MicroRNAs are a class of small noncoding RNAs that negatively regulate
gene expression by binding to complimentary sequences of target messenger RNA.
Their roles in corneal lymphangiogenesis are largely unknown. This study was to
investigate the specific role of microRNA-184 (mir-184) in corneal
lymphangiogenesis (LG) in vivo and lymphatic endothelial cells (LECs) in vitro.
METHODS: Standard murine suture placement model was used to study the
expressional change of mir-184 in corneal inflammatory LG and the effect of
synthetic mir-184 mimic on this process. Additionally, a human LEC culture system
was used to assess the effect of mir-184 overexpression on cell functions in
vitro. RESULTS: Expression of mir-184 was significantly downregulated in corneal
LG and, accordingly, its synthetic mimic suppressed corneal lymphatic growth in
vivo. Furthermore, mir-184 overexpression in LECs inhibited their functions of
adhesion, migration, and tube formation in vitro. CONCLUSIONS: These novel
findings indicate that mir-184 is involved critically in LG and potentially could
be used as an inhibitor of the process. Further investigation holds the promise
for divulging new therapies for LG disorders, which occur inside and outside the
eye.
PMID- 26540661
TI - Progressive Thinning of Regional Macular Thickness After Epiretinal Membrane
Surgery.
AB - PURPOSE: To determine the long-term changes in the regional macular thickness
after idiopathic epiretinal membrane (ERM) excision and to determine whether
there were correlations between the pre- and postoperative central macular
thickness and the best-corrected visual acuity (BCVA). METHODS: This was a
prospective, interventional case series study of 53 eyes of 53 patients that
underwent ERM removal with internal limiting membrane (ILM) peeling. Examinations
were performed before, 1, 2, 3, 6, 9, 12, 24, 36, 48, and 60 months after the
surgery. The average macular thicknesses in nine sectors outlined by the Early
Treatment Diabetic Retinopathy Study were measured by spectral-domain optical
coherence tomography. The final macular thicknesses of nine sectors of the 35
patients were compared with that of the normal fellow eyes. RESULTS: All patients
were followed for 36 months, and 21 patients were followed for 48 months. The
thicknesses of all sectors progressively decreased for 48 months. The macula at
48 months was thinner than at 36 months (P < 0.0001-0.037) in all sectors. The
final central and nasal sectors were significantly thicker than that of the
fellow eyes. The final inner and outer temporal sectors were significantly
thinner compared with the fellow eyes. There was no significant difference in the
other sectors. The pre- and postoperative central macular thickness was
significantly correlated with the postoperative BCVA at each examination.
CONCLUSIONS: A progressive thinning of the macula occurs with regional
differences for at least 48 months. The temporal sector becomes thinner than the
normal thickness.
PMID- 26540660
TI - Treatment of Inherited Eye Defects by Systemic Hematopoietic Stem Cell
Transplantation.
AB - PURPOSE: Cystinosis is caused by a deficiency in the lysosomal cystine
transporter, cystinosin (CTNS gene), resulting in cystine crystal accumulation in
tissues. In eyes, crystals accumulate in the cornea causing photophobia and
eventually blindness. Hematopoietic stem progenitor cells (HSPCs) rescue the
kidney in a mouse model of cystinosis. We investigated the potential for HSPC
transplantation to treat corneal defects in cystinosis. METHODS: We isolated
HSPCs from transgenic DsRed mice and systemically transplanted irradiated Ctns-/-
mice. A year posttransplantation, we investigated the fate and function of HSPCs
by in vivo confocal and fluorescence microscopy (IVCM), quantitative RT-PCR (RT
qPCR), mass spectrometry, histology, and by measuring the IOP. To determine the
mechanism by which HSPCs may rescue disease cells, we transplanted Ctns-/- mice
with Ctns-/- DsRed HSPCs virally transduced to express functional CTNS-eGFP
fusion protein. RESULTS: We found that a single systemic transplantation of wild
type HSPCs prevented ocular pathology in the Ctns-/- mice. Engraftment-derived
HSPCs were detected within the cornea, and also in the sclera, ciliary body,
retina, choroid, and lens. Transplantation of HSPC led to substantial decreases
in corneal cystine crystals, restoration of normal corneal thickness, and lowered
IOP in mice with high levels of donor-derived cell engraftment. Finally, we found
that HSPC-derived progeny differentiated into macrophages, which displayed
tunneling nanotubes capable of transferring cystinosin-bearing lysosomes to
diseased cells. CONCLUSIONS: To our knowledge, this is the first demonstration
that HSPCs can rescue hereditary corneal defects, and supports a new potential
therapeutic strategy for treating ocular pathologies.
PMID- 26540663
TI - Outcome of conservative management vs. assist device implantation in patients
with advanced refractory heart failure.
AB - BACKGROUND: In patients with advanced refractory heart failure (HF) cardiac
transplantation (HTX), conservative medical management and the implantation of a
ventricular assist device (VAD) represent valuable options. The determination of
the best therapeutic destination strategy for the individual patient remains a
challenge. The aim of this study was to assess the clinical outcome in advanced
refractory HF patients either managed conservatively receiving optimal
contemporary medical therapy ('conservative'), or who who underwent pulsatile
flow VAD ('pVAD') or continuous-flow VAD ('contVAD') implantation. MATERIALS AND
METHODS: A total of 118 patients with INTERMACS profile >1 at baseline, who died,
or fully completed a 24-month follow-up free from HTX were included into this
retrospective analysis. All-cause mortality at 24 months was assessed and
compared between the three groups. RESULTS: Fifty (42%) patients were managed
conservatively, 25 (21%) received a pVAD and 43 (36%) a contVAD. NT-proBNP values
were comparable between the three groups (median 4402 (IQR 2730-13390) pg/mL,
3580 (1602-6312) pg/mL and 3693 (2679-8065) pg/mL, P = 0.256). Mean survival was
18.6 (95% CI 16.2-21.0) months for patients managed conservatively, 7.0 (3.9
10.0) for pVAD and 20.5 (18.2-22.8) for contVAD (overall log-rank test P <
0.001). Conservatively managed patients spent a mean of 22.4 (95% CI 22.1-22.8),
pVAD 17.7 (15.4-20.1) and contVAD 21.6 (21.2-22.1) months out of hospital
(conservative vs. pVAD P < 0.001; conservative vs. contVAD P = 0.015; pVAD vs.
contVAD P < 0.001). CONCLUSIONS: In accordance with the literature, contVAD
resulted in a significantly better clinical outcome than pVAD implantation.
However, conservative management with current optimal medical therapy appears to
remain a valuable option for patients with advanced HF.
PMID- 26540664
TI - Systematic investigation of non-Boussinesq effects in variable-density
groundwater flow simulations.
AB - The validity of three mathematical models describing variable-density groundwater
flow is systematically evaluated: (i) a model which invokes the Oberbeck
Boussinesq approximation (OB approximation), (ii) a model of intermediate
complexity (NOB1) and (iii) a model which solves the full set of equations
(NOB2). The NOB1 and NOB2 descriptions have been added to the HydroGeoSphere
(HGS) model, which originally contained an implementation of the OB description.
We define the Boussinesq parameter epsilonrho=betaomega Deltaomega where
betaomega is the solutal expansivity and Deltaomega is the characteristic
difference in solute mass fraction. The Boussinesq parameter epsilonrho is used
to systematically investigate three flow scenarios covering a range of free and
mixed convection problems: 1) the low Rayleigh number Elder problem (Van Reeuwijk
et al., 2009), 2) a convective fingering problem (Xie et al., 2011) and 3) a
mixed convective problem (Schincariol et al., 1994). Results indicate that small
density differences (epsilonrho<= 0.05) produce no apparent changes in the total
solute mass in the system, plume penetration depth, center of mass and mass flux
independent of the mathematical model used. Deviations between OB, NOB1 and NOB2
occur for large density differences (epsilonrho>0.12), where lower description
levels will underestimate the vertical plume position and overestimate mass flux.
Based on the cases considered here, we suggest the following guidelines for
saline convection: the OB approximation is valid for cases with epsilonrho<0.05,
and the full NOB set of equations needs to be used for cases with
epsilonrho>0.10. Whether NOB effects are important in the intermediate region
differ from case to case.
PMID- 26540662
TI - Emergence of Pathogenicity in Lagoviruses: Evolution from Pre-existing
Nonpathogenic Strains or through a Species Jump?
PMID- 26540665
TI - Breath Activity Monitoring With Wearable UWB Radars: Measurement and Analysis of
the Pulses Reflected by the Human Body.
AB - OBJECTIVE: Measurements of ultrawideband (UWB) pulses reflected by the human body
are conducted to evidence the differences in the received signal time behaviors
due to respiration phases, and to experimentally verify previously obtained
numerical results on the body's organs responsible for pulse reflection. METHODS:
Two experimental setups are used. The first one is based on a commercially
available impulse radar system integrated on a single chip, while the second one
implements an indirect time-domain reflectometry technique using a vector network
analyzer controlled by a LabVIEW virtual instrument running on a laptop. RESULTS:
When the UWB source is placed close to the human body, a small reflection due to
the lung boundaries is present in the received pulse well distanced in time from
the reflection due to the air-skin interface; this reflection proved to be linked
to the different respiration phases. CONCLUSIONS: The changes in the reflected
pulse could be used to detect, through wearable radar systems, lung movements
associated with the breath activity. SIGNIFICANCE: The development of a wearable
radar system is of great importance because it allows the breath activity sensing
without interfering with the subject daily activities.
PMID- 26540667
TI - Monitoring of Postoperative Bone Healing Using Smart Trauma-Fixation Device With
Integrated Self-Powered Piezo-Floating-Gate Sensors.
AB - OBJECTIVE: Achieving better surgical outcomes in cases of traumatic bone
fractures requires postoperative monitoring of changes in the growth and
mechanical properties of the tissue and bones during the healing process. While
current in-vivo imaging techniques can provide a snapshot of the extent of bone
growth, it is unable to provide a history of the healing process, which is
important if any corrective surgery is required. Monitoring the time evolution of
in-vivo mechanical loads using existing technology is a challenge due to the need
for continuous power while maintaining patient mobility and comfort. METHODS:
This paper investigates the feasibility of self-powered monitoring of the bone
healing process using our previously reported piezo-floating-gate (PFG) sensors.
The sensors are directly integrated with a fixation device and operate by
harvesting energy from microscale strain variations in the fixation structure.
RESULTS: We show that the sensors can record and store the statistics of the
strain evolution during the healing process for offline retrieval and analysis.
Additionally, we present measurement results using a biomechanical phantom
comprising of a femur fracture fixation plate; bone healing is emulated by
inserting different materials, with gradually increasing elastic moduli, inside a
fracture gap. CONCLUSION: The PFG sensor can effectively sense, compute, and
record continuously evolving statistics of mechanical loading over a typical
healing period of a bone, and the statistics could be used to differentiate
between different bone-healing conditions. SIGNIFICANCE: The proposed sensor
presents a reliable objective technique to assess bone-healing progress and help
decide on the removal time of the fixation device.
PMID- 26540668
TI - A Dataset for Breast Cancer Histopathological Image Classification.
AB - Today, medical image analysis papers require solid experiments to prove the
usefulness of proposed methods. However, experiments are often performed on data
selected by the researchers, which may come from different institutions,
scanners, and populations. Different evaluation measures may be used, making it
difficult to compare the methods. In this paper, we introduce a dataset of 7909
breast cancer histopathology images acquired on 82 patients, which is now
publicly available from http://web.inf.ufpr.br/vri/breast-cancer-database. The
dataset includes both benign and malignant images. The task associated with this
dataset is the automated classification of these images in two classes, which
would be a valuable computer-aided diagnosis tool for the clinician. In order to
assess the difficulty of this task, we show some preliminary results obtained
with state-of-the-art image classification systems. The accuracy ranges from 80%
to 85%, showing room for improvement is left. By providing this dataset and a
standardized evaluation protocol to the scientific community, we hope to gather
researchers in both the medical and the machine learning field to advance toward
this clinical application.
PMID- 26540666
TI - Inherent Structure-Based Multiview Learning With Multitemplate Feature
Representation for Alzheimer's Disease Diagnosis.
AB - Multitemplate-based brain morphometric pattern analysis using magnetic resonance
imaging has been recently proposed for automatic diagnosis of Alzheimer's disease
(AD) and its prodromal stage (i.e., mild cognitive impairment or MCI). In such
methods, multiview morphological patterns generated from multiple templates are
used as feature representation for brain images. However, existing multitemplate
based methods often simply assume that each class is represented by a specific
type of data distribution (i.e., a single cluster), while in reality, the
underlying data distribution is actually not preknown. In this paper, we propose
an inherent structure-based multiview leaning method using multiple templates for
AD/MCI classification. Specifically, we first extract multiview feature
representations for subjects using multiple selected templates and then cluster
subjects within a specific class into several subclasses (i.e., clusters) in each
view space. Then, we encode those subclasses with unique codes by considering
both their original class information and their own distribution information,
followed by a multitask feature selection model. Finally, we learn an ensemble of
view-specific support vector machine classifiers based on their, respectively,
selected features in each view and fuse their results to draw the final decision.
Experimental results on the Alzheimer's Disease Neuroimaging Initiative database
demonstrate that our method achieves promising results for AD/MCI classification,
compared to the state-of-the-art multitemplate-based methods.
PMID- 26540669
TI - A comparison of small-area hospitalisation rates, estimated morbidity and
hospital access.
AB - Published data on hospitalisation rates tend to reveal marked spatial variations
within a city or region. Such variations may simply reflect corresponding
variations in need at the small-area level. However, they might also be a
consequence of poorer accessibility to medical facilities for certain communities
within the region. To help answer this question it is important to compare these
variable hospitalisation rates with small-area estimates of need. This paper
first maps hospitalisation rates at the small-area level across the region of
Yorkshire in the UK to show the spatial variations present. Then the Health
Survey of England is used to explore the characteristics of persons with heart
disease, using chi-square and logistic regression analysis. Using the most
significant variables from this analysis the authors build a spatial
microsimulation model of morbidity for heart disease for the Yorkshire region. We
then compare these estimates of need with the patterns of hospitalisation rates
seen across the region.
PMID- 26540671
TI - Effect of Regulatory Requirement for Patient-Specific Prescriptions for Off-Label
Medications on the Use of Intravitreal Bevacizumab.
AB - IMPORTANCE: Requirements regulating pharmaceutical prescriptions can affect
physicians' choice of therapy in a clinical setting. OBJECTIVE: To evaluate the
change in bevacizumab use after the regulatory requirement for patient-specific
prescriptions (PSPs) for off-label medications in Ohio. DESIGN, SETTING, AND
PARTICIPANTS: This study retrospectively reviewed the aggregate data from the
billing records of patients receiving 1.25-mg injections of bevacizumab, 0.3- or
0.5-mg injections of ranibizumab, or 2.0-mg injections of aflibercept for age
related macular degeneration or diabetic macular edema in a 9-member retinal
specialty private practice. The review assessed 4488 intravitreal injections in
the 3-month period before (May 1 to July 30, 2012) and 5253 injections in the 3
month period after (May 1 to July 30, 2013) the Ohio Board of Pharmacy's
requirement of PSPs for bevacizumab. Relative proportions of the drugs used for
intravitreal injections were calculated and frequencies were compared. A Likert
scale survey was conducted among the 9 physicians to identify reasons for their
change in prescription of bevacizumab. The survey inquired about (1) the burden
of PSPs, (2) concern about differences in efficacy, and (3) concern about
differences in safety. MAIN OUTCOMES AND MEASURES: Difference in drug use before
and after the PSP requirement for bevacizumab and the physicians' reasons for
change in their drug use. RESULTS: Bevacizumab use decreased from 2752 of 4488
pre-PSP injections (61.3%) to 1503 of 5253 post-PSP injections (28.6%), a change
of -32.7% (95% CI, -34.6% to -30.8%; P < .001). Use of 0.5-mg ranibizumab
injections increased from 1122 of 4488 pre-PSP injections (25.0%) to 1838 of 5253
post-PSP injections (35.0%), a change of 10.0% (95% CI, 8.2% to 11.8%; P < .001).
Use of 0.3-mg ranibizumab injections increased from 0 of 4488 (before US Food and
Drug Administration approval) to 429 of 5253 post-PSP injections (8.2%), a change
of 8.2% (95% CI, 7.4% to 8.9%; P < .001). Use of aflibercept injections increased
from 614 of 4488 pre-PSP injections (13.7%) to 1483 of 5253 post-PSP injections
(28.2%), a change of 14.6% (95% CI, 13.0%-16.1%; P < .001). In the survey of the
9 physicians concerning their reasons for decreased use of bevacizumab, 7 (78%)
strongly agreed and 1 (11%) agreed that the burden of PSPs changed their choice
of drug used for injection. CONCLUSIONS AND RELEVANCE: Use of bevacizumab was
reduced by 32.7% 1 year after the regulatory requirement for PSPs for compounded
(repackaged) medications. This change seemed to have more association with the
requirement for PSPs than with a known change in efficacy or safety concerns.
Although this study was based on a single US practice, regulation of repackaged
medication for safety concerns should also consider the evaluation of treatment
burden, cost, and adherence.
PMID- 26540673
TI - Discriminative Unsupervised Feature Learning with Exemplar Convolutional Neural
Networks.
AB - Deep convolutional networks have proven to be very successful in learning task
specific features that allow for unprecedented performance on various computer
vision tasks. Training of such networks follows mostly the supervised learning
paradigm, where sufficiently many input-output pairs are required for training.
Acquisition of large training sets is one of the key challenges, when approaching
a new task. In this paper, we aim for generic feature learning and present an
approach for training a convolutional network using only unlabeled data. To this
end, we train the network to discriminate between a set of surrogate classes.
Each surrogate class is formed by applying a variety of transformations to a
randomly sampled 'seed' image patch. In contrast to supervised network training,
the resulting feature representation is not class specific. It rather provides
robustness to the transformations that have been applied during training. This
generic feature representation allows for classification results that outperform
the state of the art for unsupervised learning on several popular datasets (STL
10, CIFAR-10, Caltech-101, Caltech-256). While features learned with our approach
cannot compete with class specific features from supervised training on a
classification task, we show that they are advantageous on geometric matching
problems, where they also outperform the SIFT descriptor.
PMID- 26540670
TI - Multifunctional MRI/PET Nanobeacons Derived from the in Situ Self-Assembly of
Translational Polymers and Clinical Cargo through Coalescent Intermolecular
Forces.
AB - Novel multifunctional platforms are needed for oncology in order to assist
physicians during surgery and chemotherapy. In the present study, we show that
polymeric nanobeacons, consisting of the glucose-based polymer dextran, can be
used to guide surgery and improve drug delivery. For imaging, the nanobeacons
stably retained the positron emitter 89-zirconium and the MRI contrast agent
gadolinium, without the need of a chelator. In addition to using them for PET
imaging, the (89)Zr-nanobeacons guided the surgical resection of sentinel lymph
nodes, utilizing their inherent Cerenkov luminescence. Through weak electrostatic
interactions, the nanoparticles carried combinations of chemotherapeutics for the
simultaneous inhibition of oncogenic pathways, resulting in enhanced tumor
regression. The nanobeacons also allowed monitoring of drug release via MRI,
through the quenching of the gadolinium signal by the coloaded drug, making them
a new multifunctional theranostic nanotechnology platform for the clinic.
PMID- 26540672
TI - Non-syndromic cleft lip with or without cleft palate in Asian populations:
Association analysis on three gene polymorphisms of the folate pathway.
AB - OBJECTIVE: Orofacial clefts (OFCs) are one of the most common birth defects in
humans. They are the subject of a number of investigations aimed at elucidating
the bases of their complex mode of inheritance involving both genetic and
environmental factors. Genes belonging to the folate pathway have been among the
most studied. The aim of the investigation was to replicate previous studies
reporting evidence of association between polymorphisms of folate related genes
and the occurrence of non-syndromic cleft lip with or without cleft palate
(NSCL/P), using three independent samples of different ancestry: from Tibet,
Bangladesh and Iran, respectively. DESIGN: Specifically, the polymorphisms
rs1801133 of MTHFR, rs1801198 of TCN2, and rs4920037 of CBS, were tested.
RESULTS: A decreased risk of NSCL/P was observed in patients presenting the C677T
variant at MTHFR gene (relative risk for heterozygotes=0.53; 95% confidence
interval [C.I.]=0.32-0.87). The investigated polymorphisms mapping at TCN2 and
CBS genes did not provide any evidence of association. CONCLUSION: Overall, these
results indicate that NSCL/P risk factors differ among populations and confirm
the importance of testing putative susceptibility variants in different genetic
backgrounds.
PMID- 26540674
TI - Principal Curves on Riemannian Manifolds.
AB - Euclidean statistics are often generalized to Riemannian manifolds by replacing
straight-line interpolations with geodesic ones. While these Riemannian models
are familiar-looking, they are restricted by the inflexibility of geodesics, and
they rely on constructions which are optimal only in Euclidean domains. We
consider extensions of Principal Component Analysis (PCA) to Riemannian
manifolds. Classic Riemannian approaches seek a geodesic curve passing through
the mean that optimizes a criteria of interest. The requirements that the
solution both is geodesic and must pass through the mean tend to imply that the
methods only work well when the manifold is mostly flat within the support of the
generating distribution. We argue that instead of generalizing linear Euclidean
models, it is more fruitful to generalize non-linear Euclidean models.
Specifically, we extend the classic Principal Curves from Hastie & Stuetzle to
data residing on a complete Riemannian manifold. We show that for elliptical
distributions in the tangent of spaces of constant curvature, the standard
principal geodesic is a principal curve. The proposed model is simple to compute
and avoids many of the pitfalls of traditional geodesic approaches. We
empirically demonstrate the effectiveness of the Riemannian principal curves on
several manifolds and datasets.
PMID- 26540675
TI - Probabilistic Social Behavior Analysis by Exploring Body Motion-Based Patterns.
AB - Understanding human behavior through nonverbal-based features, is interesting in
several applications such as surveillance, ambient assisted living and human
robot interaction. In this article in order to analyze human behaviors in social
context, we propose a new approach which explores interrelations between body
part motions in scenarios with people doing a conversation. The novelty of this
method is that we analyze body motion-based features in frequency domain to
estimate different human social patterns: Interpersonal Behaviors (IBs) and a
Social Role (SR). To analyze the dynamics and interrelations of people's body
motions, a human movement descriptor is used to extract discriminative features,
and a multi-layer Dynamic Bayesian Network (DBN) technique is proposed to model
the existent dependencies. Laban Movement Analysis (LMA) is a well-known human
movement descriptor, which provides efficient mid-level information of human body
motions. The mid-level information is useful to extract the complex
interdependencies. The DBN technique is tested in different scenarios to model
the mentioned complex dependencies. The study is applied for obtaining four IBs
(Interest, Indicator, Empathy and Emphasis) to estimate one SR (Leading).The
obtained results give a good indication of the capabilities of the proposed
approach for people interaction analysis with potential applications in human
robot interaction.
PMID- 26540676
TI - Real-Time Lexicon-Free Scene Text Localization and Recognition.
AB - An end-to-end real-time text localization and recognition method is presented.
Its real-time performance is achieved by posing the character detection and
segmentation problem as an efficient sequential selection from the set of
Extremal Regions. The ER detector is robust against blur, low contrast and
illumination, color and texture variation. In the first stage, the probability of
each ER being a character is estimated using features calculated by a novel
algorithm in constant time and only ERs with locally maximal probability are
selected for the second stage, where the classification accuracy is improved
using computationally more expensive features. A highly efficient clustering
algorithm then groups ERs into text lines and an OCR classifier trained on
synthetic fonts is exploited to label character regions. The most probable
character sequence is selected in the last stage when the context of each
character is known. The method was evaluated on three public datasets. On the
ICDAR 2013 dataset the method achieves state-of-the-art results in text
localization; on the more challenging SVT dataset, the proposed method
significantly outperforms the state-of-the-art methods and demonstrates that the
proposed pipeline can incorporate additional prior knowledge about the detected
text. The proposed method was exploited as the baseline in the ICDAR 2015 Robust
Reading competition, where it compares favourably to the state-of-the art.
PMID- 26540677
TI - SALSA: A Novel Dataset for Multimodal Group Behavior Analysis.
AB - Studying free-standing conversational groups (FCGs) in unstructured social
settings (e.g., cocktail party ) is gratifying due to the wealth of information
available at the group (mining social networks) and individual (recognizing
native behavioral and personality traits) levels. However, analyzing social
scenes involving FCGs is also highly challenging due to the difficulty in
extracting behavioral cues such as target locations, their speaking activity and
head/body pose due to crowdedness and presence of extreme occlusions. To this
end, we propose SALSA, a novel dataset facilitating multimodal and Synergetic
sociAL Scene Analysis, and make two main contributions to research on automated
social interaction analysis: (1) SALSA records social interactions among 18
participants in a natural, indoor environment for over 60 minutes, under the
poster presentation and cocktail party contexts presenting difficulties in the
form of low-resolution images, lighting variations, numerous occlusions,
reverberations and interfering sound sources; (2) To alleviate these problems we
facilitate multimodal analysis by recording the social interplay using four
static surveillance cameras and sociometric badges worn by each participant,
comprising the microphone, accelerometer, bluetooth and infrared sensors. In
addition to raw data, we also provide annotations concerning individuals'
personality as well as their position, head, body orientation and F-formation
information over the entire event duration. Through extensive experiments with
state-of-the-art approaches, we show (a) the limitations of current methods and
(b) how the recorded multiple cues synergetically aid automatic analysis of
social interactions. SALSA is available at http://tev.fbk.eu/salsa.
PMID- 26540679
TI - An Efficient and Configurable Preprocessing Algorithm to Improve Stability
Analysis.
AB - The Allan variance (AVAR) is widely used to measure the stability of experimental
time series. Specifically, AVAR is commonly used in space applications such as
monitoring the clocks of the global navigation satellite systems (GNSSs). In
these applications, the experimental data present some peculiar aspects which are
not generally encountered when the measurements are carried out in a laboratory.
Space clocks' data can in fact present outliers, jumps, and missing values, which
corrupt the clock characterization. Therefore, an efficient preprocessing is
fundamental to ensure a proper data analysis and improve the stability estimation
performed with the AVAR or other similar variances. In this work, we propose a
preprocessing algorithm and its implementation in a robust software code (in
MATLAB language) able to deal with time series of experimental data affected by
nonstationarities and missing data; our method is properly detecting and removing
anomalous behaviors, hence making the subsequent stability analysis more
reliable.
PMID- 26540678
TI - Superpixel-Based Segmentation for 3D Prostate MR Images.
AB - This paper proposes a method for segmenting the prostate on magnetic resonance
(MR) images. A superpixel-based 3D graph cut algorithm is proposed to obtain the
prostate surface. Instead of pixels, superpixels are considered as the basic
processing units to construct a 3D superpixel-based graph. The superpixels are
labeled as the prostate or background by minimizing an energy function using
graph cut based on the 3D superpixel-based graph. To construct the energy
function, we proposed a superpixel-based shape data term, an appearance data
term, and two superpixel-based smoothness terms. The proposed superpixel-based
terms provide the effectiveness and robustness for the segmentation of the
prostate. The segmentation result of graph cuts is used as an initialization of a
3D active contour model to overcome the drawback of the graph cut. The result of
3D active contour model is then used to update the shape model and appearance
model of the graph cut. Iterations of the 3D graph cut and 3D active contour
model have the ability to jump out of local minima and obtain a smooth prostate
surface. On our 43 MR volumes, the proposed method yields a mean Dice ratio of
89.3 +/-1.9%. On PROMISE12 test data set, our method was ranked at the second
place; the mean Dice ratio and standard deviation is 87.0+/-3.2%. The
experimental results show that the proposed method outperforms several state-of
the-art prostate MRI segmentation methods.
PMID- 26540680
TI - A Low Frequency Broadband Flextensional Ultrasonic Transducer Array.
AB - In this paper, we propose the design and the fabrication of a multicell,
piezoelectrically actuated, flextensional transducer array structure,
characterized by a low mechanical impedance, thus allowing wideband and high
sensitivity immersion operation in the low ultrasonic frequency range. The
transducer structure, consisting of a plurality of circular elementary cells
orderly arranged according to a periodic hexagonal tiling, features a high
flexibility in the definition of the active area shape and size. We investigate,
by finite element modeling (FEM), the influence of different piezoelectric and
elastic materials for the flexural plate, for the plate support and for the
backing, on the transducer electroacoustic behavior. We carry out the
dimensioning of the transducer components and cell layout, in terms of materials
and geometry, respectively, by aiming at a circular active area of 80-mm diameter
and broadband operation in the 30-100-kHz frequency range in immersion. PZT-5H
ceramic disks and a calibrated thickness stainless steel plate are chosen for the
vibrating structure, and FR-4 laminates and a brass plate, respectively, for the
plate support and the backing. The diameter of the individual cells is set to 6
mm resulting in 121 cells describing a quasi-circular area, and the total
thickness of the transducer is less than 10 mm. We report on the fabrication
process flow for the accurate assembly of the transducer, based, respectively, on
epoxy resin and wire bonding for the mechanical and electrical interconnection of
the individual parts. The results of the electrical impedance and transmit
pressure field characterization are finally reported and discussed.
PMID- 26540681
TI - Application of the Allan Variance to Time Series Analysis in Astrometry and
Geodesy: A Review.
AB - The Allan variance (AVAR) was introduced 50 years ago as a statistical tool for
assessing the frequency standards deviations. For the past decades, AVAR has
increasingly been used in geodesy and astrometry to assess the noise
characteristics in geodetic and astrometric time series. A specific feature of
astrometric and geodetic measurements, as compared with clock measurements, is
that they are generally associated with uncertainties; thus, an appropriate
weighting should be applied during data analysis. In addition, some physically
connected scalar time series naturally form series of multidimensional vectors.
For example, three station coordinates time series X, Y, and Z can be combined to
analyze 3-D station position variations. The classical AVAR is not intended for
processing unevenly weighted and/or multidimensional data. Therefore, AVAR
modifications, namely weighted AVAR (WAVAR), multidimensional AVAR (MAVAR), and
weighted multidimensional AVAR (WMAVAR), were introduced to overcome these
deficiencies. In this paper, a brief review is given of the experience of using
AVAR and its modifications in processing astrogeodetic time series.
PMID- 26540682
TI - PVDF Multielement Lamb Wave Sensor for Structural Health Monitoring.
AB - The characteristics of Lamb waves, which are multimodal and dispersive, provide
both challenges and opportunities for structural health monitoring (SHM). Methods
for nondestructive testing with Lamb waves are well established. For example,
mode content can be determined by moving a sensor to different positions and then
transforming the spatial-temporal data into the wavenumber-frequency domain. This
mode content information is very useful because at every frequency each mode has
a unique wavestructure, which is largely responsible for its sensitivity to
material damage. Furthermore, mode conversion occurs when the waves interact with
damage, making mode content an excellent damage detection feature. However, in
SHM, the transducers are typically at fixed locations and are immovable. Here, an
affixed polyvinylidene fluoride (PVDF) multielement sensor is shown to provide
these same capabilities. The PVDF sensor is bonded directly to the waveguide
surface, conforms to curved surfaces, has low mass, low profile, low cost, and
minimal influence on passing Lamb waves. While the mode receivability is dictated
by the sensor being located on the surface of the waveguide, both symmetric and
antisymmetric modes can be detected and group velocities measured.
PMID- 26540683
TI - A Prototype PZT Matrix Transducer With Low-Power Integrated Receive ASIC for 3-D
Transesophageal Echocardiography.
AB - This paper presents the design, fabrication, and experimental evaluation of a
prototype lead zirconium titanate (PZT) matrix transducer with an integrated
receive ASIC, as a proof of concept for a miniature three-dimensional (3-D)
transesophageal echocardiography (TEE) probe. It consists of an array of 9 *12
piezoelectric elements mounted on the ASIC via an integration scheme that
involves direct electrical connections between a bond-pad array on the ASIC and
the transducer elements. The ASIC addresses the critical challenge of reducing
cable count, and includes front-end amplifiers with adjustable gains and micro
beamformer circuits that locally process and combine echo signals received by the
elements of each 3 *3 subarray. Thus, an order-of-magnitude reduction in the
number of receive channels is achieved. Dedicated circuit techniques are employed
to meet the strict space and power constraints of TEE probes. The ASIC has been
fabricated in a standard 0.18-MUm CMOS process and consumes only 0.44 mW/channel.
The prototype has been acoustically characterized in a water tank. The ASIC
allows the array to be presteered across +/-37 degrees while achieving an
overall dynamic range of 77 dB. Both the measured characteristics of the
individual transducer elements and the performance of the ASIC are in good
agreement with expectations, demonstrating the effectiveness of the proposed
techniques.
PMID- 26540685
TI - Hyperspectral Super-Resolution of Locally Low Rank Images From Complementary
Multisource Data.
AB - Remote sensing hyperspectral images (HSIs) are quite often low rank, in the sense
that the data belong to a low dimensional subspace/manifold. This has been
recently exploited for the fusion of low spatial resolution HSI with high spatial
resolution multispectral images in order to obtain super-resolution HSI. Most
approaches adopt an unmixing or a matrix factorization perspective. The derived
methods have led to state-of-the-art results when the spectral information lies
in a low-dimensional subspace/manifold. However, if the subspace/manifold
dimensionality spanned by the complete data set is large, i.e., larger than the
number of multispectral bands, the performance of these methods mainly decreases
because the underlying sparse regression problem is severely ill-posed. In this
paper, we propose a local approach to cope with this difficulty. Fundamentally,
we exploit the fact that real world HSIs are locally low rank, that is, pixels
acquired from a given spatial neighborhood span a very low-dimensional
subspace/manifold, i.e., lower or equal than the number of multispectral bands.
Thus, we propose to partition the image into patches and solve the data fusion
problem independently for each patch. This way, in each patch the
subspace/manifold dimensionality is low enough, such that the problem is not ill
posed anymore. We propose two alternative approaches to define the hyperspectral
super-resolution through local dictionary learning using endmember induction
algorithms. We also explore two alternatives to define the local regions, using
sliding windows and binary partition trees. The effectiveness of the proposed
approaches is illustrated with synthetic and semi real data.
PMID- 26540684
TI - An Automatic 3D Facial Landmarking Algorithm Using 2D Gabor Wavelets.
AB - In this paper, we present a novel approach to automatic 3D facial landmarking
using 2D Gabor wavelets. Our algorithm considers the face to be a surface and
uses map projections to derive 2D features from raw data. Extracted features
include texture, relief map, and transformations thereof. We extend an
established 2D landmarking method for simultaneous evaluation of these data. The
method is validated by performing landmarking experiments on two data sets using
21 landmarks and compared with an active shape model implementation. On average,
landmarking error for our method was 1.9 mm, whereas the active shape model
resulted in an average landmarking error of 2.3 mm. A second study investigating
facial shape heritability in related individuals concludes that automatic
landmarking is on par with manual landmarking for some landmarks. Our algorithm
can be trained in 30 min to automatically landmark 3D facial data sets of any
size, and allows for fast and robust landmarking of 3D faces.
PMID- 26540686
TI - Multimodal Task-Driven Dictionary Learning for Image Classification.
AB - Dictionary learning algorithms have been successfully used for both
reconstructive and discriminative tasks, where an input signal is represented
with a sparse linear combination of dictionary atoms. While these methods are
mostly developed for single-modality scenarios, recent studies have demonstrated
the advantages of feature-level fusion based on the joint sparse representation
of the multimodal inputs. In this paper, we propose a multimodal task-driven
dictionary learning algorithm under the joint sparsity constraint (prior) to
enforce collaborations among multiple homogeneous/heterogeneous sources of
information. In this task-driven formulation, the multimodal dictionaries are
learned simultaneously with their corresponding classifiers. The resulting
multimodal dictionaries can generate discriminative latent features (sparse
codes) from the data that are optimized for a given task such as binary or
multiclass classification. Moreover, we present an extension of the proposed
formulation using a mixed joint and independent sparsity prior, which facilitates
more flexible fusion of the modalities at feature level. The efficacy of the
proposed algorithms for multimodal classification is illustrated on four
different applications--multimodal face recognition, multi-view face recognition,
multi-view action recognition, and multimodal biometric recognition. It is also
shown that, compared with the counterpart reconstructive-based dictionary
learning algorithms, the task-driven formulations are more computationally
efficient in the sense that they can be equipped with more compact dictionaries
and still achieve superior performance.
PMID- 26540687
TI - Tasking on Natural Statistics of Infrared Images.
AB - Natural scene statistics (NSSs) provide powerful, perceptually relevant tools
that have been successfully used for image quality analysis of visible light
images. Since NSS capture statistical regularities that arise from the physical
world, they are relevant to long wave infrared (LWIR) images, which differ from
visible light images mainly by the wavelengths captured at the imaging sensors.
We show that NSS models of bandpass LWIR images are similar to those of visible
light images, but with different parameterizations. Using this difference, we
exploit the power of NSS to successfully distinguish between LWIR images and
visible light images. In addition, we study distortions unique to LWIR and find
directional models useful for detecting the halo effect, simple bandpass models
useful for detecting hotspots, and combinations of these models useful for
measuring the degree of non-uniformity present in many LWIR images. For local
distortion identification and measurement, we also describe a method for
generating distortion maps using NSS features. To facilitate our evaluation, we
analyze the NSS of LWIR images under pristine and distorted conditions, using
four databases, each captured with a different IR camera. Predicting human
performance for assessing distortion and quality in LWIR images is critical for
task efficacy. We find that NSS features improve human targeting task performance
prediction. Furthermore, we conducted a human study on the perceptual quality of
noise-and blur-distorted LWIR images and create a new blind image quality
predictor for IR images.
PMID- 26540688
TI - Matching Images With Multiple Descriptors: An Unsupervised Approach for Locally
Adaptive Descriptor Selection.
AB - With the aim to improve the performance of feature matching, we present an
unsupervised approach for adaptive description selection in the space of
homographies. Inspired by the observation that the homographies of correct
feature correspondences vary smoothly along the spatial domain, our approach
stands on the unsupervised nature of feature matching, and can choose a good
descriptor locally for matching each feature point, instead of using one global
descriptor. To this end, the homography space serves as the domain for selecting
various heterogeneous descriptors. Correspondences obtained by any descriptors
are considered as points in the space, and their geometric coherence and spatial
continuity are measured via computing the geodesic distances. In this way, mutual
verification across different descriptors is allowed, and correct correspondences
will be highlighted with a high degree of consistency short geodesic distances
here. It follows that one-class SVM can be applied to identifying these correct
correspondences, and achieves adaptive descriptor selection. The proposed
approach is comprehensively compared with the state-of-the-art approaches, and
evaluated on five benchmarks of image matching. The promising results manifest
its effectiveness.
PMID- 26540689
TI - Micro-Expression Recognition Using Color Spaces.
AB - Micro-expressions are brief involuntary facial expressions that reveal genuine
emotions and, thus, help detect lies. Because of their many promising
applications, they have attracted the attention of researchers from various
fields. Recent research reveals that two perceptual color spaces (CIELab and
CIELuv) provide useful information for expression recognition. This paper is an
extended version of our International Conference on Pattern Recognition paper, in
which we propose a novel color space model, tensor independent color space
(TICS), to help recognize micro-expressions. In this paper, we further show that
CIELab and CIELuv are also helpful in recognizing micro-expressions, and we
indicate why these three color spaces achieve better performance. A micro
expression color video clip is treated as a fourth-order tensor, i.e., a four
dimension array. The first two dimensions are the spatial information, the third
is the temporal information, and the fourth is the color information. We
transform the fourth dimension from RGB into TICS, in which the color components
are as independent as possible. The combination of dynamic texture and
independent color components achieves a higher accuracy than does that of RGB. In
addition, we define a set of regions of interests (ROIs) based on the facial
action coding system and calculated the dynamic texture histograms for each ROI.
Experiments are conducted on two micro-expression databases, CASME and CASME 2,
and the results show that the performances for TICS, CIELab, and CIELuv are
better than those for RGB or gray.
PMID- 26540690
TI - A Novel Motion Field Anchoring Paradigm for Highly Scalable Wavelet-Based Video
Coding.
AB - Existing video coders anchor motion fields at frames that are to be predicted. In
this paper, we demonstrate how changing the anchoring of motion fields to
reference frames has some important advantages over conventional anchoring. We
work with piecewise-smooth motion fields, and use breakpoints to signal
discontinuities at moving object boundaries. We show how discontinuity
information can be used to resolve double mappings arising when motion is warped
from reference to target frames. We present an analytical model that allows to
determine weights for texture, motion, and breakpoints to guide the rate
allocation for scalable encoding. Compared with the conventional way of anchoring
motion fields, the proposed scheme requires fewer bits for the coding of motion;
furthermore, the reconstructed video frames contain fewer ghosting artefacts. The
experimental results show the superior performance compared with the traditional
anchoring, and demonstrate the high scalability attributes of the proposed
method.
PMID- 26540691
TI - An Automated System for the Analysis of Newborns' Oral-Motor Behavior.
AB - The assessment of oral-motor behavior (OMB) represents one the earliest
noninvasive ways to evaluate newborns' well-being and neuromotor behavior. This
work aimed at developing a new low-cost, easy-to-use and noninvasive system for a
technology-aided assessment of newborns' OMB during bottle feeding. A SUcking
MOnitoring Device (SUMOD) was designed and developed to be easily integrated on a
typical feeding bottle. A software system was developed to automatically treat
and analyze the acquired data: proper algorithms for a fully automatic
segmentation and features extraction are proposed and implemented. A set of
measures of motor control and coordination are introduced and implemented for the
specific application to the OMB analysis. Experimental data were collected on two
groups of newborns (healthy versus low birth weight) with the SUMOD in a clinical
setting.
PMID- 26540692
TI - Discovering Gene Regulatory Elements Using Coverage-Based Heuristics.
AB - Data mining algorithms and sequencing methods (such as RNA-seq and ChIP-seq) are
being combined to discover genomic regulatory motifs that relate to a variety of
phenotypes. However, motif discovery algorithms often produce very long lists of
putative transcription factor binding sites, hindering the discovery of phenotype
related regulatory elements by making it difficult to select a manageable set of
candidate motifs for experimental validation. To address this issue, the authors
introduce the motif selection problem and provide coverage-based search
heuristics for its solution. Analysis of 203 ChIP-seq experiments from the
ENCyclopedia of DNA Elements project shows that our algorithms produce motifs
that have high sensitivity and specificity and reveals new insights about the
regulatory code of the human genome. The greedy algorithm performs the best,
selecting a median of two motifs per ChIP-seq transcription factor group while
achieving a median sensitivity of 77 percent.
PMID- 26540693
TI - Time-Dependent Gene Network Modelling by Sequential Monte Carlo.
AB - Most existing methods used for gene regulatory network modeling are dedicated to
inference of steady state networks, which are prevalent over all time instants.
However, gene interactions evolve over time. Information about the gene
interactions in different stages of the life cycle of a cell or an organism is of
high importance for biology. In the statistical graphical models literature, one
can find a number of methods for studying steady-state network structures while
the study of time varying networks is rather recent. A sequential Monte Carlo
method, namely particle filtering (PF), provides a powerful tool for dynamic time
series analysis. In this work, the PF technique is proposed for dynamic network
inference and its potentials in time varying gene expression data tracking are
demonstrated. The data used for validation are synthetic time series data
available from the DREAM4 challenge, generated from known network topologies and
obtained from transcriptional regulatory networks of S. cerevisiae. We model the
gene interactions over the course of time with multivariate linear regressions
where the parameters of the regressive process are changing over time.
PMID- 26540694
TI - A Bio-Inspired AER Temporal Tri-Color Differentiator Pixel Array.
AB - This article investigates the potential of a bio-inspired vision sensor with
pixels that detect transients between three primary colors. The in-pixel color
processing is inspired by the retinal color opponency that are found in mammalian
retinas. Color transitions in a pixel are represented by voltage spikes, which
are akin to a neuron's action potential. These spikes are conveyed off-chip by
the Address Event Representation (AER) protocol. To achieve sensitivity to three
different color spectra within the visual spectrum, each pixel has three stacked
photodiodes at different depths in the silicon substrate. The sensor has been
fabricated in the standard TSMC 90 nm CMOS technology. A post-processing method
to decode events into color transitions has been proposed and implemented as a
custom interface to display real-time color changes in the visual scene.
Experimental results are provided. Color transitions can be detected at high
speed (up to 2.7 kHz). The sensor has a dynamic range of 58 dB and a power
consumption of 22.5 mW. This type of sensor can be of use in industrial,
robotics, automotive and other applications where essential information is
contained in transient emissions shifts within the visual spectrum.
PMID- 26540695
TI - Cognitive Aging: Progress in Understanding and Opportunities for Action.
PMID- 26540696
TI - Global Health and the U.S. Department of Defense: A Call for an Evidence Base.
PMID- 26540698
TI - When, If Ever, Should Military Physicians Violate a Military Order to Give
Medical Obligations Higher Priority?
AB - Military care providers may face ethical conflicts when they must treat their own
and enemy soldiers during combat and their resources are limited. Legally under
the Geneva Convention, they are instructed to treat enemy soldiers equally, but
in practice, providers still have some discretion. This article discusses this
discretion and ethical frameworks and uncertainties that bear on these decisions.
A case is presented in which this conflict arose. How the provider resolved this
is reported.
PMID- 26540697
TI - More Than "The Fighting Doctor": Brigadier General Bernard J. D. Irwin.
PMID- 26540699
TI - Unmasking Burnout-Duality.
PMID- 26540700
TI - Military Graduate Medical Education: Training the Military Health System into a
High-Reliability Organization.
PMID- 26540701
TI - Timing of Intimate Partner Violence in Relationship to Military Service Among
Women Veterans.
AB - Women U.S. military veterans report higher rates of lifetime intimate partner
violence (IPV) compared with women who have never served in the military.
However, we know little about the timing of IPV exposure relative to military
service. To begin to understand the relationship between military service and IPV
experience, we conducted surveys with 249 women military veterans seeking care at
a Veterans Affairs medical center about experiences of physical, psychological,
and sexual IPV before, during, and after military service. Additionally, we
examined the association between deployment and IPV experience during and after
military service. Findings indicated that women experienced IPV during each time
period (before/during/after military service), with significant overlap of
experiencing IPV during more than one time period and one-third (34.6%)
experiencing IPV during all three time periods. Compared to those who were not
deployed, women who had been deployed reported increased odds of experiencing
psychological, but not physical or sexual, IPV during (but not after) military
service. Implications of study findings for theory, research, and practice are
discussed.
PMID- 26540702
TI - A Retrospective Review of Screening Labs for Medical Clearance in a Military
Population.
AB - STUDY OBJECTIVE: We determine the incidence of clinically significant findings
within mandatory screening studies during medical clearance of patients for
psychiatric care. METHODS: This is a retrospective review of emergency department
patients medically cleared for psychiatric care over 11 months. All patients
evaluated for behavioral health-related issues are recorded on a daily report
which was used to locate subjects. Laboratory studies were reviewed during that
visit for the presence of abnormalities. If abnormalities were noted, the
individual chart was reviewed. Our primary outcome was the incidence of
clinically significant findings that warranted admission to a medical or surgical
unit. RESULTS: 204 psychiatric patient reports were reviewed. 191 of these
patients had screening studies performed. Seven patients were admitted to a
nonpsychiatric unit. These admissions were all for elevated ethanol levels. These
patients were admitted until their ethanol level decreased, and then transferred
to a psychiatric facility. The total screening lab cost during this study period
was $27,893. CONCLUSIONS: Routine screening has limited utility in this
population and comes at significant cost. Further research should be directed to
determine which patients may benefit from screening studies.
PMID- 26540703
TI - The Cost of Deploying a Role 2 Medical Asset to Afghanistan.
AB - OBJECTIVES: The costs of military assets, including medical resources, are
necessary for military planners when determining their force make up. The
monetary cost of operating a Role 3 unit, the most comprehensive medical asset in
the combat theater, has been determined. The cost of operating a Role 2 (R2)
facility-the less comprehensive but more common asset-has not been assessed. Here
we estimate the cost of operating an R2 medical asset in Afghanistan. METHODS:
Personnel costs were assessed by combining the U.S. Department of Defense
estimate for personnel cost with the replacement costs for deployed staff.
Manning was for a U.S. Marine Corps Shock Trauma Platoon and Forward
Resuscitative Surgical System. RESULTS: It costs $2,956,873 a month to operate an
R2 medical facility in Afghanistan. It also takes the place of a rifle platoon
and disrupts the domestic military health care mission. CONCLUSION: The costs of
operating an R2 medical facility are significant and should be considered when
the medical benefits of an R2 are unclear.
PMID- 26540704
TI - Airsickness: Etiology, Treatment, and Clinical Importance-A Review.
AB - Airsickness is one of the forms of motion sickness, and is of significance in
both commercial and military flight. Whereas commercial airline passengers may
simply feel poorly, the effect of airsickness on military aircrew may lead to a
decrement in performance and adversely affect the mission. This is of major
importance in the case of flight safety, when a pilot who is incapacitated may
endanger the aircraft. The problem is particularly evident in pilot training,
because of the high incidence of airsickness at this stage in the pilot's career.
The majority of aircrew undergo habituation to airsickness during their service,
with a reduction in symptoms and improved function. Although airsickness is a
wellknown problem in aviation, we were unable to locate a review of this topic in
the literature. This review focuses on the characteristics, clinical evaluation,
and treatment of airsickness. It also presents the experience of the Israeli
flight academy, and our solution for Navy pilots who have to contend with the
risk of seasickness before taking to the air.
PMID- 26540705
TI - Occupational Medicine Model and Asthma Military Recruitment.
AB - Medical evidence hints that asymptomatic recruits with a history of childhood
asthma, quiescent since their 13th birthday, are still at risk for adverse
changes in their clinical status following unfavorable environmental exposures
during military deployment or combat. Asthmatic persons, claiming none or few
symptoms, may still manifest airflow obstruction and display biomarkers of airway
inflammation even when they are relatively asymptomatic and experience few if any
respiratory complaints. The occupational medicine model offers a credible
foundation for acknowledging the importance of personal susceptibility in the
pathogenesis of military-associated asthma. It is appropriate to re-explore the
current military standard for recruits with asymptomatic childhood asthma (>=12
months) not prescribed antiasthma medications. Raising the acceptance age for
these recruits may be a consideration. Unfortunately, there is no effectual
screening test that recognizes such susceptible soldiers at risk for future
asthma attacks. Nevertheless, there is general support for evidence-based,
scientifically valid medical screening that judges fitness for military service.
Screening tests comprising asthma biomarkers and genetic indices may better
verify vulnerable soldiers destined to suffer future asthma reactivation.
PMID- 26540706
TI - Types, Subtypes, and Severity of Substantiated Child Neglect in U.S. Army
Communities.
AB - BACKGROUND: Neglect has been linked to short-term and long-term deleterious
outcomes in children, but has received little attention in the research
literature. OBJECTIVE: Identify types, subtypes, and severity of child neglect in
a sample of substantiated cases at 4 U.S. Army installations. Describe
demographic correlates of victims and offenders by type and subtype.
PARTICIPANTS: Data were collected from archived clinical records. A stratified
random sample of 100 substantiated child neglect case files were selected per
site (N = 400). Data from a single child per case file were used. RESULTS: 5
types and 17 subtypes of neglect were represented, singly or in combination, with
varying severity. Lack of Supervision was most common (n = 177, 35.3%), followed
by Emotional Neglect (n = 159, 31.8%), Failure to Provide Physical Needs (n =
131, 26.2%), Moral-Legal Neglect (n = 20, 4%), and Educational Neglect (n = 13;
2.6%). Child neglect occurred mostly among young children and in young enlisted
families. CONCLUSIONS: Current results highlight the need to focus on types,
subtypes, and severity of neglect incidents that provide specific understanding
of child risk to better inform policy. Further study should examine specific risk
factors and their relationship to neglect types and severity outcomes.
PMID- 26540707
TI - Active Duty Women's Perceptions of Breast-Feeding Support in the Military
Setting.
AB - BACKGROUND: The purpose of this study is to evaluate the current perceptions of
breast-feeding support for active duty women serving in the U.S. Armed Forces.
METHODS: An online survey based on the Workplace Breastfeeding Support Scale
(WBSS) was used to collect data from active duty military mothers. Data were
collected and analyzed using SPSS software to evaluate active duty women's
perceptions of breast-feeding support in the military. RESULTS: 318 active duty
women participated in the online survey. The average WBSS scores for active duty
women was 50.20 (SD = 15.75). Comparing WBSS scores and branch of service, women
in the Army had significantly lower WBSS scores (M = 45.85) then women in the Air
Force (M = 53.96, p < 0.001). Enlisted women had significantly lower scores (M =
47.12) than officers (M = 54.62, p < 0.001). Also noted were significantly lower
scores of active duty women who were Hispanic (M = 44.30) and women who had lower
levels of education (M = 46.90, p = 0.006). CONCLUSIONS: The Department of
Defense may be able to improve breast-feeding rates for all active duty mothers
by implementing and adhering to lactation policies and focusing support efforts
for enlisted women in all branches of service.
PMID- 26540708
TI - Surveyed Enrollees in Veterans Affairs Health Care: How They Differ From Eligible
Veterans Surveyed by BRFSS.
AB - OBJECTIVES: We described differences in demographic and socioeconomic
characteristics between Veterans enrolled in the Veterans Health Administration
(VHA) and Veterans eligible to enroll for Veterans Affairs health care. Knowledge
of these differences is important in planning better services for Veterans who
enroll and in encouraging additional enrollment. METHODS: We compared
characteristics of enrollees and eligible Veterans in 2012. To describe
enrollees, we used aggregate data from administrative records and results from
VHA's Survey of Veteran Enrollees' Health and Reliance Upon VA. To describe
eligible Veterans, we analyzed individual-level data from the Behavioral Risk
Factor Surveillance System. RESULTS: Elderly individuals are more heavily
represented among enrollees than eligible Veterans, and elderly enrollees are
less likely to describe their health as good to excellent. Enrollees are more
than twice as likely as eligible Veterans to have annual household incomes below
$16,000. Representation of minorities is roughly the same among enrollees as
eligible Veterans. CONCLUSIONS: Our results are consistent with VHA as a safety
net provider with respect to income, age, and disease burden.
PMID- 26540709
TI - Evaluation of the Effectiveness of the 2008 Postsplenectomy Vaccination Joint
Theater Trauma System Clinical Practice Guideline.
AB - OBJECTIVES: Postsplenectomy vaccination (PSV) in an austere environment to
minimize overwhelming postsplenectomy infection is challenging. We evaluated the
clinical impact of a March 2008 clinical practice guideline (CPG) dictating
immediate PSV at North American Treaty Organization Role 3 medical treatment
facilities and subsequent complications. METHODS: Utilizing U.S. military medical
databases, we characterized all U.S. patients with a splenic injury from November
2002 to January 2012 by their surgical management: laparotomy with splenectomy
(LWS), laparotomy without splenectomy, or nonoperative management. Relevant data
including demographics, vaccinations, and documented bacterial and fungal
isolates were obtained. RESULTS: LWS comprised 63.6% of the 409 patients with a
splenic injury from 2002 to 2012. The implementation of the PSV CPG improved
overall vaccination compliance from 48.9% pre-PSV CPG to 86.9% post-PSV CPG (p <
0.01). It was found that 1.3% (2/159) of completely vaccinated LWS patients
compared with 0% (0/101) of the incompletely vaccinated LWS patients had
Streptococcus pneumoniae isolates in 391.0 and 251.4 follow-up years,
respectively (p = 0.52). No Neisseria meningitidis or Haemophilus influenzae
isolates were identified. CONCLUSIONS: PSV CPG implementation improved theater
vaccination without increasing the incidence of encapsulated organisms.
PMID- 26540710
TI - Impact of Arm Immersion Cooling During Ranger Training on Exertional Heat Illness
and Treatment Costs.
AB - Ranger training includes strenuous physical activities and despite heat
mitigations strategies, numerous cases of serious exertional heat illness (EHI)
occur. We developed an Arm Immersion Cooling (AIC) system that is not
logistically burdensome and may be easily employed in training environments.
PURPOSE: To examine the effect of AIC on EHI incidence, severity, and treatment
costs during Ranger School. METHODS: The training program was standardized for
physical exertion and heat stress factors throughout the study period. AIC was
employed summer months of 2010-2012 (n = 3,930 Soldiers) and Control (CON; n =
6,650 Soldiers) data were obtained for summer months of 2007-2009. Descriptive
characteristics of all EHI casualties were obtained, including hospitalization
status (treated and released [Treat], evacuated [Evac] or admitted [Admit] to the
hospital), which served as proxy indicator of illness/injury severity. Medical
cost savings were calculated from hospital records. RESULTS: Incidence rates were
not different (CON 4.06 vs. AIC 4.00/1,000 person-days). Treat increased during
AIC (18.43 vs. 4.84/1,000 person-days) accompanied by marked but non-significant
decreases in Evac and Admit rates. AIC use was associated with a medical cost
savings of $1,719 per casualty. CONCLUSIONS: AIC implementation during strenuous
physical training in summer months can reduce EHI severity and associated medical
treatment costs.
PMID- 26540711
TI - A 20-Year Epidemiological Review of Testis Cancer at a French Military Hospital.
AB - OBJECTIVES: To determine if the epidemiology of testis cancer in military service
has followed worldwide trends and if the end of conscription in 2000 in France
marked an epidemiologic turn. METHODS: All of the patients who had an orchiectomy
for a testis germ tumor from January 1990 to January 2011 were studied. The
patients were divided into two groups: orchiectomy before 2000 and after 2000.
RESULTS: 289 patients were included, with a mean age of 30.8. The mean age at
diagnosis increased significantly as well as the proportion of stage 1 seminomas,
whereas stage 1 nonseminomatous germ cell tumors (NSGCT) slightly decreased. For
stage 1 seminomas, there was an increase in the surveillance (10% vs. 31%) and in
the number of chemotherapies (19% vs. 22%); for stage 1 NSGCT, surveillance also
increased (53% vs. 64%). The specific 5-year survival was 98.3%. CONCLUSIONS: We
noted an increase in the number of stage 1 seminomas, the surveillance of located
germ tumors, and an excellent survival rate. However, the population was younger
with regard to national data, and the number of stage 1 NSGCT decreased in favor
of advanced metastatic tumors.
PMID- 26540712
TI - Control of Junctional Hemorrhage in a Consensus Swine Model With Hemostatic Gauze
Products Following Minimal Training.
AB - OBJECTIVE: Uncontrolled hemorrhage from junctional wounds that cannot be
controlled by traditional tourniquets accounts for one in five preventable
battlefield exsanguination deaths. Products for treating these wounds are costly
and require special training. However, chemically treated gauze products are
inexpensive, potentially effective, and require only minimal training. This study
was designed to assess the efficacy of three hemostatic gauze products following
brief training, using a consensus swine groin injury model. METHODS: After
viewing a 15-minute PowerPoint presentation, without demonstration or practice,
24 U.S. Navy Corpsmen, most with little to no live tissue or hemostatic agent
experience, applied one of three hemostatic agents: QuikClot Combat Gauze, Celox
Trauma Gauze, or Hemcon ChitoGauze. Animals were resuscitated and monitored for
150 minutes to assess initial hemostasis, blood loss, rebleeding, and survival.
Participants completed a survey before training and following testing. RESULTS:
Products were similar in initial hemostasis, blood loss, and rebleeding. Twenty
three swine survived (96%). Ease of use and perceived efficacy of training
ratings were high. Comfort level with application improved following training.
CONCLUSIONS: Hemostatic gauze can potentially be effective for treating
junctional wounds following minimal training, which has important implications
for corpsmen, self-aid/buddy-aid, civilian providers, and Tactical Combat
Casualty Care guidelines.
PMID- 26540713
TI - The Occupational Impact of Single-Level Cervical Disc Arthroplasty in an Active
Duty Military Population.
AB - INTRODUCTION: Degenerative cervical disease is a common condition affecting the
general population. This process can have a detrimental effect on operational
readiness and is a concern for military spine surgeons. Cervical disc
arthroplasty (CDA) is a relatively new surgical treatment for cervical disease.
OBJECTIVE: To evaluate the occupational impact of CDA used to treat single-level
cervical disease in a high demand military population. METHODS: Case series of 34
patients with prospective follow-up who had undergone single-level CDA at our
institution. RESULTS: Average follow-up was 21.4 months. Noncommissioned officer
was the most common rank. C5-C6 was the most common operated level. 30/34 (88.2%)
returned to active duty service. 4/34 (11.8%) went on to medical evaluation board
for separation from active duty service. 28/30 (93.3%) returned to duty patients
vs. 2/4 (50%) medical board patients reported relief with surgery. Average return
to duty time was 8.5 weeks. All patients who returned to duty were able to
maintain preoperative military occupational specialty. 8/30 (26.7%) were able to
deploy postoperatively. CONCLUSION: CDA has the potential to allow return to high
demand preoperative occupational activities in active duty military patients with
single-level cervical disease.
PMID- 26540714
TI - Virtual Anatomy-1900.
PMID- 26540715
TI - Robust Adaptive Dynamic Programming of Two-Player Zero-Sum Games for Continuous
Time Linear Systems.
AB - In this brief, an online robust adaptive dynamic programming algorithm is
proposed for two-player zero-sum games of continuous-time unknown linear systems
with matched uncertainties, which are functions of system outputs and states of a
completely unknown exosystem. The online algorithm is developed using the policy
iteration (PI) scheme with only one iteration loop. A new analytical method is
proposed for convergence proof of the PI scheme. The sufficient conditions are
given to guarantee globally asymptotic stability and suboptimal property of the
closed-loop system. Simulation studies are conducted to illustrate the
effectiveness of the proposed method.
PMID- 26540716
TI - Cooperative Strategy for Optimal Management of Smart Grids by Wavelet RNNs and
Cloud Computing.
AB - Advanced smart grids have several power sources that contribute with their own
irregular dynamic to the power production, while load nodes have another dynamic.
Several factors have to be considered when using the owned power sources for
satisfying the demand, i.e., production rate, battery charge and status, variable
cost of externally bought energy, and so on. The objective of this paper is to
develop appropriate neural network architectures that automatically and
continuously govern power production and dispatch, in order to maximize the
overall benefit over a long time. Such a control will improve the fundamental
work of a smart grid. For this, status data of several components have to be
gathered, and then an estimate of future power production and demand is needed.
Hence, the neural network-driven forecasts are apt in this paper for renewable
nonprogrammable energy sources. Then, the produced energy as well as the stored
one can be supplied to consumers inside a smart grid, by means of digital
technology. Among the sought benefits, reduced costs and increasing reliability
and transparency are paramount.
PMID- 26540717
TI - LQR-Based Optimal Distributed Cooperative Design for Linear Discrete-Time
Multiagent Systems.
AB - In this paper, a novel linear quadratic regulator (LQR)-based optimal distributed
cooperative design method is developed for synchronization control of general
linear discrete-time multiagent systems on a fixed, directed graph. Sufficient
conditions are derived for synchronization, which restrict the graph eigenvalues
into a bounded circular region in the complex plane. The synchronizing speed
issue is also considered, and it turns out that the synchronizing region reduces
as the synchronizing speed becomes faster. To obtain more desirable synchronizing
capacity, the weighting matrices are selected by sufficiently utilizing the
guaranteed gain margin of the optimal regulators. Based on the developed LQR
based cooperative design framework, an approximate dynamic programming technique
is successfully introduced to overcome the (partially or completely) model-free
cooperative design for linear multiagent systems. Finally, two numerical examples
are given to illustrate the effectiveness of the proposed design methods.
PMID- 26540718
TI - A Unified Framework for Representation-Based Subspace Clustering of Out-of-Sample
and Large-Scale Data.
AB - Under the framework of spectral clustering, the key of subspace clustering is
building a similarity graph, which describes the neighborhood relations among
data points. Some recent works build the graph using sparse, low-rank, and l2
norm-based representation, and have achieved the state-of-the-art performance.
However, these methods have suffered from the following two limitations. First,
the time complexities of these methods are at least proportional to the cube of
the data size, which make those methods inefficient for solving the large-scale
problems. Second, they cannot cope with the out-of-sample data that are not used
to construct the similarity graph. To cluster each out-of-sample datum, the
methods have to recalculate the similarity graph and the cluster membership of
the whole data set. In this paper, we propose a unified framework that makes the
representation-based subspace clustering algorithms feasible to cluster both the
out-of-sample and the large-scale data. Under our framework, the large-scale
problem is tackled by converting it as the out-of-sample problem in the manner of
sampling, clustering, coding, and classifying. Furthermore, we give an estimation
for the error bounds by treating each subspace as a point in a hyperspace.
Extensive experimental results on various benchmark data sets show that our
methods outperform several recently proposed scalable methods in clustering a
large-scale data set.
PMID- 26540719
TI - Adaptive Filter Design Using Type-2 Fuzzy Cerebellar Model Articulation
Controller.
AB - This paper aims to propose an efficient network and applies it as an adaptive
filter for the signal processing problems. An adaptive filter is proposed using a
novel interval type-2 fuzzy cerebellar model articulation controller (T2FCMAC).
The T2FCMAC realizes an interval type-2 fuzzy logic system based on the structure
of the CMAC. Due to the better ability of handling uncertainties, type-2 fuzzy
sets can solve some complicated problems with outstanding effectiveness than type
1 fuzzy sets. In addition, the Lyapunov function is utilized to derive the
conditions of the adaptive learning rates, so that the convergence of the
filtering error can be guaranteed. In order to demonstrate the performance of the
proposed adaptive T2FCMAC filter, it is tested in signal processing applications,
including a nonlinear channel equalization system, a time-varying channel
equalization system, and an adaptive noise cancellation system. The advantages of
the proposed filter over the other adaptive filters are verified through
simulations.
PMID- 26540720
TI - Enhancing Heart-Beat-Based Security for mHealth Applications.
AB - In heart-beat-based security, a security key is derived from the time difference
between consecutive heart beats (the inter-pulse interval, IPI), which may,
subsequently, be used to enable secure communication. While heart-beat-based
security holds promise in mobile health (mHealth) applications, there currently
exists no work that provides a detailed characterization of the delivered
security in a real system. In this paper, we evaluate the strength of IPI-based
security keys in the context of entity authentication. We investigate several
aspects that should be considered in practice, including subjects with reduced
heart-rate variability (HRV), different sensor-sampling frequencies, intersensor
variability (i.e., how accurate each entity may measure heart beats) as well as
average and worst-case-authentication time. Contrary to the current state of the
art, our evaluation demonstrates that authentication using multiple, less
entropic keys may actually increase the key strength by reducing the effects of
intersensor variability. Moreover, we find that the maximal key strength of a 60
bit key varies between 29.2 bits and only 5.7 bits, depending on the subject's
HRV. To improve security, we introduce the inter-multi-pulse interval (ImPI), a
novel method of extracting entropy from the heart by considering the time
difference between nonconsecutive heart beats. Given the same authentication
time, using the ImPI for key generation increases key strength by up to 3.4 *
(+19.2 bits) for subjects with limited HRV, at the cost of an extended key
generation time of 4.8 * (+45 s).
PMID- 26540721
TI - On the Analysis of Diseases and Their Related Geographical Data.
AB - Electronic medical records (EMRs) store data related to patients information
enrolled during their stay in health structures. Data stored into EMRs span from
data crawled from biological laboratories to textual description of diseases and
diagnostic device results (e.g., biomedical images). Each EMR is related to a
diagnosis related group (DRG) record. A DRG record is a record associated with a
citizen that has been cured in a hospital. It contains a code, called major
diagnostic category (MDC), which summarizes the treated disease and allows to
reimburse costs related to patient treatments during his staying in health
structures. DRGs are used for administrative process (e.g., costs and
reimbursement management) as well as disease monitoring. Associating diagnostic
codes with external information (such as environmental and geographical data) and
with information filtered from EMRs (e.g., biological results or analytes values)
can be useful to monitor citizens wellness status. We propose a methodology to
analyze such data based on a multistep process. First, we cross reference data by
using a semantics-based clustering procedure, extract information from EMRs, and
then, cluster them by looking for similar patterns of diseases. Then, biological
records in each disease cluster are analyzed to evaluate intracluster similarity
by selecting analytes typologies and values. Finally, biological data is related
to diagnosis codes and geometrically projected in areas of interest in order to
map calculated outlier patients. We applied the methodology on two case studies:
1) diagnosis codes and biochemical analytes of 20 000 biological analyses about
hospitalized patients during one observation year and 2) the correlation between
cardiovascular diseases and water quality in a southern Italian region.
Preliminary findings show the effectiveness of our method.
PMID- 26540722
TI - An Empirical Human Controller Model for Preview Tracking Tasks.
AB - Real-life tracking tasks often show preview information to the human controller
about the future track to follow. The effect of preview on manual control
behavior is still relatively unknown. This paper proposes a generic operator
model for preview tracking, empirically derived from experimental measurements.
Conditions included pursuit tracking, i.e., without preview information, and
tracking with 1 s of preview. Controlled element dynamics varied between gain,
single integrator, and double integrator. The model is derived in the frequency
domain, after application of a black-box system identification method based on
Fourier coefficients. Parameter estimates are obtained to assess the validity of
the model in both the time domain and frequency domain. Measured behavior in all
evaluated conditions can be captured with the commonly used quasi-linear operator
model for compensatory tracking, extended with two viewpoints of the previewed
target. The derived model provides new insights into how human operators use
preview information in tracking tasks.
PMID- 26540723
TI - Inference With Collaborative Model for Interactive Tumor Segmentation in Medical
Image Sequences.
AB - Segmenting organisms or tumors from medical data (e.g., computed tomography
volumetric images, ultrasound, or magnetic resonance imaging images/image
sequences) is one of the fundamental tasks in medical image analysis and
diagnosis, and has received long-term attentions. This paper studies a novel
computational framework of interactive segmentation for extracting liver tumors
from image sequences, and it is suitable for different types of medical data. The
main contributions are twofold. First, we propose a collaborative model to
jointly formulate the tumor segmentation from two aspects: 1) region partition
and 2) boundary presence. The two terms are complementary but simultaneously
competing: the former extracts the tumor based on its appearance/texture
information, while the latter searches for the palpable tumor boundary. Moreover,
in order to adapt the data variations, we allow the model to be discriminatively
trained based on both the seed pixels traced by the Lucas-Kanade algorithm and
the scribbles placed by the user. Second, we present an effective inference
algorithm that iterates to: 1) solve tumor segmentation using the augmented
Lagrangian method and 2) propagate the segmentation across the image sequence by
searching for distinctive matches between images. We keep the collaborative model
updated during the inference in order to well capture the tumor variations over
time. We have verified our system for segmenting liver tumors from a number of
clinical data, and have achieved very promising results. The software developed
with this paper can be found at http://vision.sysu.edu.cn/projects/med
interactive-seg/.
PMID- 26540724
TI - Data Randomization and Cluster-Based Partitioning for Botnet Intrusion Detection.
AB - Botnets, which consist of remotely controlled compromised machines called bots,
provide a distributed platform for several threats against cyber world entities
and enterprises. Intrusion detection system (IDS) provides an efficient
countermeasure against botnets. It continually monitors and analyzes network
traffic for potential vulnerabilities and possible existence of active attacks. A
payload-inspection-based IDS (PI-IDS) identifies active intrusion attempts by
inspecting transmission control protocol and user datagram protocol packet's
payload and comparing it with previously seen attacks signatures. However, the PI
IDS abilities to detect intrusions might be incapacitated by packet encryption.
Traffic-based IDS (T-IDS) alleviates the shortcomings of PI-IDS, as it does not
inspect packet payload; however, it analyzes packet header to identify
intrusions. As the network's traffic grows rapidly, not only the detection-rate
is critical, but also the efficiency and the scalability of IDS become more
significant. In this paper, we propose a state-of-the-art T-IDS built on a novel
randomized data partitioned learning model (RDPLM), relying on a compact network
feature set and feature selection techniques, simplified subspacing and a
multiple randomized meta-learning technique. The proposed model has achieved
99.984% accuracy and 21.38 s training time on a well-known benchmark botnet
dataset. Experiment results demonstrate that the proposed methodology outperforms
other well-known machine-learning models used in the same detection task, namely,
sequential minimal optimization, deep neural network, C4.5, reduced error pruning
tree, and randomTree.
PMID- 26540725
TI - Creating Discriminative Models for Time Series Classification and Clustering by
HMM Ensembles.
AB - Classification of temporal data sequences is a fundamental branch of machine
learning with a broad range of real world applications. Since the dimensionality
of temporal data is significantly larger than static data, and its modeling and
interpreting is more complicated, performing classification and clustering on
temporal data is more complex as well. Hidden Markov models (HMMs) are well-known
statistical models for modeling and analysis of sequence data. Besides, ensemble
methods, which employ multiple models to obtain the target model, revealed good
performances in the conducted experiments. All these facts are a high level of
motivation to employ HMM ensembles in the task of classification and clustering
of time series data. So far, no effective classification and clustering method
based on HMM ensembles has been proposed. Moreover, employing the limited
existing HMM ensemble methods has trouble separating models of distinct classes
as a vital task. In this paper, according to previous points a new framework
based on HMM ensembles for classification and clustering is proposed. In addition
to its strong theoretical background by employing the Renyi entropy for ensemble
learning procedure, the main contribution of the proposed method is addressing
HMM-based methods problem in separating models of distinct classes by considering
the inverse emission matrix of the opposite class to build an opposite model. The
proposed algorithms perform more effectively compared to other methods especially
other HMM ensemble-based methods. Moreover, the proposed clustering framework,
which derives benefits from both similarity-based and model-based methods,
together with the Renyi-based ensemble method revealed its superiority in several
measurements.
PMID- 26540726
TI - The cerebral cavernous malformation proteins CCM2L and CCM2 prevent the
activation of the MAP kinase MEKK3.
AB - Three genes, CCM1, CCM2, and CCM3, interact genetically and biochemically and are
mutated in cerebral cavernous malformations (CCM). A recently described member of
this CCM family of proteins, CCM2-like (CCM2L), has high homology to CCM2. Here
we show that its relative expression in different tissues differs from that of
CCM2 and, unlike CCM2, the expression of CCM2L in endothelial cells is regulated
by density, flow, and statins. In vitro, both CCM2L and CCM2 bind MEKK3 in a
complex with CCM1. Both CCM2L and CCM2 interfere with MEKK3 activation and its
ability to phosphorylate MEK5, a downstream target. The in vivo relevance of this
regulation was investigated in zebrafish. A knockdown of ccm2l and ccm2 in
zebrafish leads to a more severe "big heart" and circulation defects compared
with loss of function of ccm2 alone, and also leads to substantial body axis
abnormalities. Silencing of mekk3 rescues the big heart and body axis phenotype,
suggesting cross-talk between the CCM proteins and MEKK3 in vivo. In endothelial
cells, CCM2 deletion leads to activation of ERK5 and a transcriptional program
that are downstream of MEKK3. These findings suggest that CCM2L and CCM2
cooperate to regulate the activity of MEKK3.
PMID- 26540727
TI - Plant Raf-like kinase integrates abscisic acid and hyperosmotic stress signaling
upstream of SNF1-related protein kinase2.
AB - Plant response to drought and hyperosmosis is mediated by the phytohormone
abscisic acid (ABA), a sesquiterpene compound widely distributed in various
embryophyte groups. Exogenous ABA as well as hyperosmosis activates the sucrose
nonfermenting 1 (SNF1)-related protein kinase2 (SnRK2), which plays a central
role in cellular responses against drought and dehydration, although the details
of the activation mechanism are not understood. Analysis of a mutant of the moss
Physcomitrella patens with reduced ABA sensitivity and reduced hyperosmosis
tolerance revealed that a protein kinase designated "ARK" (for "ABA and abiotic
stress-responsive Raf-like kinase") plays an essential role in the activation of
SnRK2. ARK encoded by a single gene in P. patens belongs to the family of group
B3 Raf-like MAP kinase kinase kinases (B3-MAPKKKs) mediating ethylene, disease
resistance, and salt and sugar responses in angiosperms. Our findings indicate
that ARK, as a novel regulatory component integrating ABA and hyperosmosis
signals, represents the ancestral B3-MAPKKKs, which multiplied, diversified, and
came to have specific functions in angiosperms.
PMID- 26540728
TI - Single-molecule visualization of RecQ helicase reveals DNA melting, nucleation,
and assembly are required for processive DNA unwinding.
AB - DNA helicases are motor proteins that unwind double-stranded DNA (dsDNA) to
reveal single-stranded DNA (ssDNA) needed for many biological processes. The RecQ
helicase is involved in repairing damage caused by DNA breaks and stalled
replication forks via homologous recombination. Here, the helicase activity of
RecQ was visualized on single molecules of DNA using a fluorescent sensor that
directly detects ssDNA. By monitoring the formation and progression of individual
unwinding forks, we observed that both the frequency of initiation and the rate
of unwinding are highly dependent on RecQ concentration. We establish that
unwinding forks can initiate internally by melting dsDNA and can proceed in both
directions at up to 40-60 bp/s. The findings suggest that initiation requires a
RecQ dimer, and that continued processive unwinding of several kilobases involves
multiple monomers at the DNA unwinding fork. We propose a distinctive model
wherein RecQ melts dsDNA internally to initiate unwinding and subsequently
assembles at the fork into a distribution of multimeric species, each
encompassing a broad distribution of rates, to unwind DNA. These studies define
the species that promote resection of DNA, proofreading of homologous pairing,
and migration of Holliday junctions, and they suggest that various functional
forms of RecQ can be assembled that unwind at rates tailored to the diverse
biological functions of RecQ helicase.
PMID- 26540729
TI - Diversity of sharp-wave-ripple LFP signatures reveals differentiated brain-wide
dynamical events.
AB - Sharp-wave-ripple (SPW-R) complexes are believed to mediate memory reactivation,
transfer, and consolidation. However, their underlying neuronal dynamics at
multiple scales remains poorly understood. Using concurrent hippocampal local
field potential (LFP) recordings and functional MRI (fMRI), we study local
changes in neuronal activity during SPW-R episodes and their brain-wide
correlates. Analysis of the temporal alignment between SPW and ripple components
reveals well-differentiated SPW-R subtypes in the CA1 LFP. SPW-R-triggered fMRI
maps show that ripples aligned to the positive peak of their SPWs have enhanced
neocortical metabolic up-regulation. In contrast, ripples occurring at the trough
of their SPWs relate to weaker neocortical up-regulation and absent subcortical
down-regulation, indicating differentiated involvement of neuromodulatory
pathways in the ripple phenomenon mediated by long-range interactions. To our
knowledge, this study provides the first evidence for the existence of SPW-R
subtypes with differentiated CA1 activity and metabolic correlates in related
brain areas, possibly serving different memory functions.
PMID- 26540730
TI - On artifacts in single-molecule force spectroscopy.
AB - In typical force spectroscopy experiments, a small biomolecule is attached to a
soft polymer linker that is pulled with a relatively large bead or cantilever. At
constant force, the total extension stochastically changes between two (or more)
values, indicating that the biomolecule undergoes transitions between two (or
several) conformational states. In this paper, we consider the influence of the
dynamics of the linker and mesoscopic pulling device on the force-dependent rate
of the conformational transition extracted from the time dependence of the total
extension, and the distribution of rupture forces in force-clamp and force-ramp
experiments, respectively. For these different experiments, we derive analytic
expressions for the observables that account for the mechanical response and
dynamics of the pulling device and linker. Possible artifacts arise when the
characteristic times of the pulling device and linker become comparable to, or
slower than, the lifetimes of the metastable conformational states, and when the
highly anharmonic regime of stretched linkers is probed at high forces. We also
revisit the problem of relating force-clamp and force-ramp experiments, and
identify a linker and loading rate-dependent correction to the rates extracted
from the latter. The theory provides a framework for both the design and the
quantitative analysis of force spectroscopy experiments by highlighting, and
correcting for, factors that complicate their interpretation.
PMID- 26540731
TI - Distinct functionality of neutrophils in multiple sclerosis and neuromyelitis
optica.
AB - BACKGROUND: In contrast to multiple sclerosis (MS), lesions in neuromyelitis
optica (NMO) frequently contain neutrophils. However, the phenotypic profile of
neutrophils in these two distinct pathologies remains unknown. OBJECTIVE: Our aim
is to better understand the potential contribution of neutrophils to NMO and MS
pathology. METHODS: We performed the first functional analysis of blood
neutrophils in NMO and MS, including evaluation of neutrophil immune response
(fMLP receptor, TLR2), chemotaxis and migration (CXCR1, CD62L, CD43), regulation
of complement (CD46, CD55, CD59), respiratory burst, phagocytosis and
degranulation. RESULTS: Compared with healthy controls (HC), neutrophils in NMO
and MS show an activated phenotype characterized by an increased surface
expression of TLR2 and fMLP receptor. However, contrary to MS neutrophils, NMO
neutrophils show reduced adhesion and migratory capacity as well as decreased
reduced production of reactive oxygen species (respiratory burst) and
degranulation. CONCLUSION: Although NMO and MS neutrophils display an activated
phenotype in comparison with HC, NMO neutrophils show a compromised functionality
when compared with MS patients. These results suggest a distinct functional
profile of neutrophils in MS and NMO.
PMID- 26540732
TI - Baseline clinical status as a predictor of methylprednisolone response in
multiple sclerosis relapses.
AB - BACKGROUND: To date, there are no available factors to predict the outcome after
multiple sclerosis relapse. AIM: To investigate factors that may be useful for
predicting response to methylprednisolone treatment, following a relapse of
multiple sclerosis (MS). METHODS: The study included 48 MS patients enrolled in a
double-blind multicenter trial to receive intravenous versus oral high-dose
methylprednisolone treatment. Associations were sought between the disability
status prior to relapse and the relapse severity, determined by changes in the
Expanded Disability Status Scale (EDSS) score, as well as the improvements after
treatment. We also analyzed the relationships between the number of magnetic
resonance imaging (MRI) gadolinium-enhancing lesions (Gd+) and improvement.
RESULTS: A higher EDSS score before relapse was associated with more severe
relapses (p = 0.04) and less marked improvement (odds ratio (OR) 1.8; 95% CI (1.2
2.2); p = 0.05) after methylprednisolone treatment. Relapse severity (p = 0.29)
and the number of Gd+ lesions at relapse (p = 0.41) were not related with
improvement. CONCLUSIONS: Clinical baseline status prior to MS relapse is a
predictor of response to methylprednisolone treatment.
PMID- 26540733
TI - Why does multiple sclerosis only affect human primates?
AB - BACKGROUND: Multiple sclerosis (MS) develops exclusively in humans. Non-human
primates are resistant against MS, although they are highly susceptible to the MS
animal model, experimental autoimmune encephalomyelitis (EAE). Unravelling of the
cause(s) underlying this discrepancy is highly relevant as insights might be
gained into the elusive event(s) that trigger(s) MS. A well-established
difference between the human primate (Homo sapiens) and non-human primates is
that humans are unable to synthesize the sialic acid N-glycolylneuraminic acid
(Neu5Gc). VIEWPOINT: We propose the concept that long-term ingestion by human
primates of the foreign Neu5Gc, via red meat consumption, is an ignored
environmental risk factor for MS. Conceptually, incorporation of dietary Neu5Gc
into vital regions of the central nervous system, such as the blood-brain barrier
(BBB) and the axon-myelin unit, creates targets for binding of de novo
synthesized heterophilic anti-NeuGc antibodies. Binding of the antibodies can
cause BBB leakage and destabilization of the axon-myelin coupling. The ensuing
cytodegeneration and release of self-antigens could be a start of the
characteristic pathological features of MS.
PMID- 26540734
TI - Force-Velocity Relationship of Upper Body Muscles: Traditional Versus Ballistic
Bench Press.
AB - This study aimed to (1) evaluate the linearity of the force-velocity
relationship, as well as the reliability of maximum force (F0), maximum velocity
(V0), slope (a), and maximum power (P0); (2) compare these parameters between the
traditional and ballistic bench press (BP); and (3) determine the correlation of
F0 with the directly measured BP 1-repetition maximum (1RM). Thirty-two men
randomly performed 2 sessions of traditional BP and 2 sessions of ballistic BP
during 2 consecutive weeks. Both the maximum and mean values of force and
velocity were recorded when loaded by 20-70% of 1RM. All force-velocity
relationships were strongly linear (r > .99). While F0 and P0 were highly
reliable (ICC: 0.91-0.96, CV: 3.8-5.1%), lower reliability was observed for V0
and a (ICC: 0.49-0.81, CV: 6.6-11.8%). Trivial differences between exercises were
found for F0 (ES: < 0.2), however the a was higher for the traditional BP (ES:
0.68-0.94), and V0 (ES: 1.04-1.48) and P0 (ES: 0.65-0.72) for the ballistic BP.
The F0 strongly correlated with BP 1RM (r: 0.915-0.938). The force-velocity
relationship is useful to assess the upper body maximal capabilities to generate
force, velocity, and power.
PMID- 26540735
TI - To What Extent Can the Use of a Mobility Assistance Dog Reduce Upper Limb Efforts
When Manual Wheelchair Users Ascend a Ramp?
AB - Biomechanical evidence is needed to determine to what extent the use of a
mobility assistance dog (AD(Mob)) may minimize mechanical loads and muscular
demands at the upper limbs among manual wheelchair users. This study quantified
and compared upper limb efforts when propelling up a ramp with and without an
AD(Mob) among manual wheelchair users. Ten manual wheelchair users with a spinal
cord injury who own an AD(Mob) ascended a ramp with and without their AD(Mob).
The movements of the wheelchair and upper limbs were captured and the forces
applied at the pushrims were recorded to compute shoulder mechanical loading.
Muscular demand of the pectoralis major, anterior deltoid, biceps, and the
triceps was normalized against the maximum electromyographic values. The traction
provided by the AD(Mob) significantly reduced the total force applied at the
pushrim and its tangential component while the mechanical effectiveness remained
similar. The traction provided by the AD(Mob) also resulted in a significant
reduction in shoulder flexion, internal rotation, and adduction moments. The
muscular demands of the anterior deltoid, pectoralis major, biceps, and triceps
were significantly reduced by the traction provided by the AD(Mob). The use of
AD(Mob) represents a promising mobility assistive technology alternative to
minimize upper limb mechanical loads and muscular demands and optimize
performance during wheelchair ramp ascent.
PMID- 26540736
TI - Biomechanical Testing of Distal Radius Fracture Treatments: Boundary Conditions
Significantly Affect the Outcome of In Vitro Experiments.
AB - The variety of experimental setups used during in vitro testing of distal radius
fracture treatments impairs interstudy comparison and might lead to contradictory
results. Setups particularly differ with respect to their boundary conditions,
but the influence on the experimental outcome is unknown. The aim of this
biomechanical study was to investigate the effects of 2 common boundary
conditions on the biomechanical properties of an extra-articular distal radius
fracture treated using volar plate osteosynthesis. Uniaxial compression tests
were performed on 10 synthetic radii that were randomized into a proximally
constrained group (ProxConst) or proximally movable group (ProxMove). The load
was applied distally through a ball joint to enable distal fragment rotation. A
significantly larger (ProxConst vs ProxMove) stiffness (671.6 +/- 118.9 N.mm(-1)
vs 259.6 +/- 49.4 N.mm(-1)), elastic limit (186.2 +/- 24.4 N vs 75.4 +/- 20.2 N),
and failure load (504.9 +/- 142.5 N vs 200.7 +/- 49.0 N) were found for the
ProxConst group. The residual tilt did not differ significantly between the 2
groups. We concluded that the boundary conditions have a profound impact on the
experimental outcome and should be considered more carefully in both study design
and interstudy comparison.
PMID- 26540737
TI - The Effects of Pilates Training on Balance Control and Self-Reported Health
Status in Community-Dwelling Older Adults: A Randomized Controlled Trial.
AB - OBJECTIVES: To evaluate the effect of a group-based Pilates training program on
balance control and health status in healthy older adults. DESIGN: A single
blind, randomized, controlled trial. SETTING: General community. PARTICIPANTS: A
total of 88 community-dwelling older adults (age 71.15 +/- 4.30 years), without
evidence of functional balance impairment, were recruited and allocated at random
to a Pilates intervention group (n = 44) or a control group (n = 44).
INTERVENTION: The Pilates intervention group received 36 training sessions over
three months (3 sessions a week), while the control group did not receive any
intervention. OUTCOME MEASURES: Standing upright postural stability, performance
based measures of balance, and self-reported health status was assessed in both
groups at baseline and at the end of the intervention period. RESULTS: Compared
with the control group, the Pilates intervention did not improve postural
stability, baseline functional measures of balance, or health status.
CONCLUSIONS: The results suggest that because Pilates training is not task
specific, it does not improve balance control or balance function in independent
older adults.
PMID- 26540738
TI - Poor Muscle Strength and Low Physical Activity Are the Most Prevalent Frailty
Components in Community-Dwelling Older Adults.
AB - OBJECTIVE: To determine the prevalence of five physical frailty phenotype
components and to assess the relationship between them and other clinical
factors. METHOD: A population-based cross-sectional study was performed. Subjects
75 years and older were randomly selected from primary care databases (with
sampling stratified by gender). Physical frailty phenotypes were assessed using
Fried's criteria. Sociodemographic data, comorbidities, nutritional status, and
functional capacity were assessed. RESULTS: 126 subjects were recruited (47%
women). Prevalence rates were poor muscle strength: 50%; low physical activity:
29%; slow gait: 28%; exhaustion: 27%; and weight loss: 5%. Prefrailty and frailty
prevalence rates were 35.7% and 29.4%, respectively. Poor muscle strength and low
physical activity showed a close relationship and concordance (kappa = 0.92).
Most frailty components were associated with outdoor activity, hours walked
daily, and certain comorbidities. CONCLUSIONS: Poor muscle strength was the most
prevalent frailty component and was closely associated with physical activity,
suggesting that training programs may revert or prevent the frailty process.
PMID- 26540739
TI - [Neck pain].
PMID- 26540741
TI - The Science and the Future of the Research Track at ONS Congress.
PMID- 26540742
TI - Performance of transgenic TgTau-P301L mice in a 5-choice serial reaction time
task (5-CSRTT) as a model of Alzheimer's disease.
AB - Alzheimer's disease is increasing to epidemic levels with an estimated 36 million
people affected worldwide (Wimo 2010). The aetiology of the disease is not known,
which is hindering the progression of the treatment. This study is a longitudinal
investigation into the performance of TgTauP301L mice as an animal model of
Alzheimer's disease on the computer automated touchscreen 5-choice serial
reaction time task (5-CSRTT). TgTauP301L mice have a single tau mutation in the
P301L gene and develop the tau pathology that represents the observed tauopathy
in patients with Alzheimer's disease. The aim of the investigation is to observe
if tau pathology in the TgTauP301L mice causes a cognitive impairment in
attention and executive function and at what stage this can be identified by the
5-CSRTT task. This will establish if the animals can be used as a therapeutic
model for pre-clinical drug trials and help to identify an early indicator and
intervention point in patients with Alzheimer's disease. The animals have
previously been studied at 5-months and no differences between performances of
the TgTauP301L mice and wild type mice were found (unpublished data). This study
measured the performance of the animals at 7-months which is when the tauopathy
begins to develop in TgTauP301L mice (Murakami 2005). The results of this study
showed that there was no deficit in the performance of the TgTauP301L compared to
the wild type mice and there had been no change in the animals' performance
compared to at 5-months. The animals will be retested at 12-months once the
pathology has extensively spread to see if the tauopathy causes a deficit in
performance.
PMID- 26540740
TI - Marine Compounds and Cancer: Where Do We Stand?
PMID- 26540743
TI - Authors' reply.
PMID- 26540744
TI - Authors' reply.
PMID- 26540745
TI - Connecting care.
PMID- 26540746
TI - Preventing hospital readmissions. How to be part of the solution.
PMID- 26540747
TI - HIPAA BREACH. Secure data & prevent fines--here's how.
PMID- 26540748
TI - OFFICE OF CIVIL RIGHTS: HIPAA AUDITS.
PMID- 26540749
TI - Strengthen your staff: Six strategies to inspire accountability and effort.
PMID- 26540751
TI - Financing practice improvements the right way.
PMID- 26540750
TI - Three ways to avoid employee disputes.
PMID- 26540752
TI - CAN THIS ENCOUNTER BE BILLED INCIDENT-TO?
PMID- 26540753
TI - Hiring an NP or PA for your medical practice.
PMID- 26540754
TI - Clinical Economics. Rheumatoid Arthritis.
PMID- 26540755
TI - FOUR HEALTHCARE CONSEQUENCES OF KING V. BURWELL DECISION.
PMID- 26540756
TI - Message from the Editors.
PMID- 26540757
TI - Hans Helge, MD, PhD (1935-2015).
PMID- 26540758
TI - Lawson Wilkins, MD, 1894-1963: A Founding Father of Pediatric Endocrinology.
PMID- 26540759
TI - Bone Age Estimation for Whom by Whom? By Which Method?
AB - Bone (skeletal) age determination is the simplest and most used index for the
assessment of developmental and physiological age in healthy children and those
with growth disorders. At present the test is done by manual or automated reading
of the hand and wrist X-rays, necessitating two visits by the child: to the
pediatrician and radiology departments. A newly developed simple quantitative
ultrasound technique (QUST) using several hand and wrist bones, which can be
performed in the pediatrician's office could combine the child's growth and
biological age evaluation in one visit.
PMID- 26540760
TI - Chromosomal Microarray Analysis (CMA) a Clinical Diagnostic Tool in the Prenatal
and Postnatal Settings.
AB - Chromosomal microarray analysis (CMA) is a technology used for the detection of
clinically-significant microdeietions or duplications, with a high sensitivity
for submicroscopic aberrations. It is able to detect changes as small as 5-10Kb
in size - a resolution up to 1000 times higher than that of conventional
karyotyping. CMA is used for uncovering copy number variants (CNVs) thought to
play an important role in the pathogenesis of a variety of disorders, primarily
neurodevelopmental disorders and congenital anomalies. CMA may be applied in the
prenatal or postnatal setting, with unique benefits and limitations in each
setting. The growing use of CMA makes it essential for practicing physicians to
understand the principles of this technology and be aware of its powers and
limitations.
PMID- 26540761
TI - Association of Turner Syndrome and Growth Hormone Deficiency: A Review.
AB - Turner syndrome (TS) is an important cause of short stature in girls. Patients
with TS most often do not have growth hormone deficiency (GHD). Testing GH
secretion is not indicated despite the presence of short stature. In the last 20
years only three cases were reported with this association in Pubmed. We describe
a case of an 11 year old girl with short stature and karyotype confirmed TS:
45,X(16)46,X,i(X)(ql0)(13). Because her growth velocity was low (-3 SD), we
evaluated the GH response with stimulating tests and the results were under the
normal range. These findings were compatible with GHD. It is important to check
for GHD in patients with TS whenever the growth velocity is low for age and sex.
PMID- 26540762
TI - Precocious Puberty Following Traumatic Brain Injury in Early Childhood: A Review
of the Literature.
AB - AIMS OF THE REVIEW: The intent of the current manuscript is to review the cases
of central precocious puberty (CPP) in early childhood following traumatic brain
injury (TBI). SEARCH OF THE LITERATURE: The MEDLINE database was accessed through
PubMed in April 2015. Results were not restricted to the date and language of the
articles. For the first search we utilized MeSH terms "precocious puberty" in
conjunction with "traumatic brain injury" and with "endocrine consequences".
Reference lists were reviewed and relevant papers were also consulted to find
additional studies and data. In selected cases the corresponding author was
contacted by email. RESULTS: In our systematic review, only a few case reports or
small case series have highlighted a link between TBI and hypothalamic-pituitary
hormone abnormalities. Fourteen reported children were females and 8 were males.
The majority of patients reported had severe TBI, assessed by Glasgow Coma Scale
or structural injury (skull fractures, intracranial hemorrhage or cerebral
injury) reported on computerized tomography or magnetic resonance imaging scans.
The pathogenic mechanism of precocious puberty has not yet been determined. An
increased pressure on the hypothalamic-pituitary area with loss of normal
childhood hypothalamic inhibition of pituitary gonadotropins could be one of the
factors responsible for CPP after TBI. CONCLUSIONS: The current review highlights
the importance of close clinical follow-up to evaluate the rate of linear growth
and pubertal development after TBI. Although, precocious puberty appears to be
rare after TBI, prevalence should ideally be assessed by longitudinal follow-up
of a large population. Therefore, further multicenter and multidisciplinary
studies are required to explore in detail the true incidence and the possible
mechanisms of CPP after TBI. Because precocious puberty can be detected on
clinical assessment during childhood, a pragmatic approach would be for family
physicians to monitor growth and development in children after TBI. Inasmuch as
precocity is mediated through the hypothalamic-pituitary pathways, use of LH-RH
analogue therapy should be effective in arresting pubertal progression.
PMID- 26540763
TI - Should Patients with Trichorhinophalangeal Syndrome be Tested for Growth Hormone
Deficiency?
AB - Type 1 Trichorhinophalangeal syndrome (TRPS) is characterized by typical facial
and skeletal abnormalities. These patients frequently exhibit short stature;
however, only one case with growth hormone (GH) deficiency can be found in the
literature. Our patient is a 10-year-old girl with two novel nonsense pathogenic
mutations in the TRPS1 gene, both in heterozygosity: c. 1198C>T (p. Gln400X) and
c.2086C>T (p. Arg696X). She has an additional GH deficiency. The patient is short
in stature, with a growth velocity of 1.5 cm per year (SDS - 4.07), a bone age of
4.5 years, and she shows no response to the GH stimulation tests. According to a
previous report of an identical case, catch-up growth will occur after beginning
GH treatment. We believe that GH stimulation tests should be performed on
patients with TRPS1 exhibiting a growth velocity below the normal range expected
for their age and sex. If the result is subnormal, then GH therapy should be
attempted.
PMID- 26540764
TI - Nephrolithiasis and Nephrocalcinosis in Children - Metabolic and Genetic Factors.
AB - Diagnosis and management of pediatric nephrolithiasis/nephrocalcinosis is a very
complex and challenging task for every pediatrician. It is based on correct.
disease history taking, which may guide to the mode of inheritance (dominant,
recessive, x-linked). Ethnicity and consanguinity should also be investigated
since they predispose to high prevalence of certain disorders. One should always
begin with cheap and available screening tests. Herein we will review clinical,
biochemical, metabolic and genetic characteristics of the inherited diseases
which lead to nephrolithiasis/nephrocalcinosis, such as: idiopathic
hypercalciuria, renal hypophosphatemia, renal tubular acidosis, idiopathic
infantile hypercalcemia, Dent disease, familial hypomagnesemia with
hypercalciuria and nephrocalcinosis, hypocitraturia, cystinuria, primary
hyperoxaluria and renal hypouricemia. Modern genetic techniques such as next
generation sequencing enable nowadays diagnosis of rare disease using only a
blood sample, trough massive parallel resequencing of many genes. This is very
helpful for anuric patients or on dialysis where blood and urine biochemistry are
not informative. Genetic testing also replaces invasive liver biopsy or
unpleasant acidification tests and enables prenatal or early postnatal diagnosis.
PMID- 26540765
TI - Response to "Propranolol Induced Hypoglycemia" by Amir Horev, MD, Alon Haim, MD,
Alex Zvulunov, MD.
PMID- 26540766
TI - Reply of the authors.
PMID- 26540767
TI - 2015 Annual Meeting of the Pediatric Endocrine Society San Diego, CA (April 25
27, 2015) Selected Highlights.
PMID- 26540768
TI - Key mission for military EHR contract: Lead the way to interoperability.
PMID- 26540769
TI - Advocates split with health plans, states over Medicaid managed-care rules.
PMID- 26540770
TI - Providers back bill alerting Medicare patients about observation stays.
PMID- 26540771
TI - Insurers, PBMs continue to fight for bigger, better drug price discounts.
PMID- 26540772
TI - Capella sale to REIT shows hospitals seek to monetize real estate.
PMID- 26540773
TI - Behavioral health behind bars and beyond.
PMID- 26540774
TI - 'SWIVEL CHAIR' INTEROPERABILITY.
PMID- 26540776
TI - On Medicare's 50th birthday, Blues plans remain key to the programs success.
PMID- 26540775
TI - The benefits of rate regulation.
PMID- 26540777
TI - Giving newborns distinct name cuts errors.
PMID- 26540778
TI - Meet the sheriff who runs one of America's largest psych facilities.
PMID- 26540779
TI - Largest home-health companies. Ranked by most-recent total net revenue based on
federal filings.
PMID- 26540780
TI - CORPORATE WELLNESS PROGRAMS MAKE US UNWELL .
PMID- 26540781
TI - The last lesson.
PMID- 26540782
TI - FIGHTING BACK. PART 2. The Quest for Independence. Stake your claim to medical
practice freedom.
PMID- 26540783
TI - PRIME YOUR FEE SCHEDULE: FINDING HIDDEN REVENUE.
PMID- 26540784
TI - PHYSICIAN RIGHTS IN THE OPEN PAYMENTS PROGRAM.
PMID- 26540785
TI - Is meaningful use worth it?
PMID- 26540786
TI - The new role physicians can't afford to ignore.
PMID- 26540787
TI - Taking on risk: Understanding the new world of payments.
PMID- 26540788
TI - LAST MINUTE ICD-10 CONVERSION TIPS.
PMID- 26540789
TI - 5 STRATEGIES TO MAXIMIZE YOUR EHR.
PMID- 26540790
TI - THE OPI0ID ABUSE EPIDEMIC. PROTECT YOURSELF FROM LIABILITY.
PMID- 26540791
TI - THE POWER OF NURSES WORKING TOGETHER.
PMID- 26540793
TI - The Change.
PMID- 26540792
TI - HOUSE OF DELEGATES LAUNCHES A BRIGHT FUTURE FOR TNA.
PMID- 26540794
TI - Foreword. Medical Philately--Introduction.
PMID- 26540795
TI - Lord Dhanvantari--Physician of Gods and God of Ayurvedic Medicine (Vedic period).
PMID- 26540796
TI - Hippocrates--Father of Medicine.
PMID- 26540797
TI - Dioscorides (CA 54-68 AD).
PMID- 26540798
TI - Aretaeus (130-200 CE).
PMID- 26540799
TI - Claudius Galen (130-201 A.D.).
PMID- 26540800
TI - Chorea-St. Vitus, Sydenham or Pandas?
PMID- 26540801
TI - Rhazes (835-925 A.D).
PMID- 26540802
TI - Avecenna (980-1037 A.D).
PMID- 26540803
TI - Maimonides (1135-1204).
PMID- 26540804
TI - Ibn-al-Nafis (1210-1288 AD) Originator of Pulmonary Circulation.
PMID- 26540805
TI - Leonardo Da Vinci (1452-1519).
PMID- 26540806
TI - Paracelsus (1493-1541).
PMID- 26540807
TI - Garcia Da Orta (1502-1568).
PMID- 26540808
TI - Michael Servetus--Discoverer of Pulmonary Circulation and a Heretic.
PMID- 26540809
TI - Ambroise Pare (1510-1590).
PMID- 26540810
TI - Andreas Vesalius (1514-1564).
PMID- 26540811
TI - William Harvey.
PMID- 26540812
TI - Nicolaas Tulp (1593-1674).
PMID- 26540813
TI - Marcello Malpighi (1628-1694).
PMID- 26540814
TI - Anton Van Leeuwenhoek (1632-1723).
PMID- 26540815
TI - Niels Stensen (1638-1686).
PMID- 26540816
TI - Hermann Boerhaave (1668-1738).
PMID- 26540817
TI - James Lind (1716-1794) & Captain Cook (1728-1779).
PMID- 26540818
TI - Munchhausen Syndrome, Karl Frederic Von Munchhausen (1720 - 1797).
PMID- 26540819
TI - Joseph Leopold Auenbrugger--Founder of Percussion Technique.
PMID- 26540820
TI - Antoine Lavoisier--Father of Modern Chemistry.
PMID- 26540821
TI - Lazzaro Spallanzani (1729-1799).
PMID- 26540822
TI - Joseph Priestly--Discoverer of Oxygen.
PMID- 26540823
TI - Anaesthesia and Critical Care--Oxygen Discovery.
PMID- 26540824
TI - Edward Jenner--Father of Immunology.
PMID- 26540825
TI - Dominique J Larrey (1766-1842).
PMID- 26540826
TI - Jean Corvisart (1755-1821).
PMID- 26540827
TI - RT Laennec (1781 - 1826).
PMID- 26540829
TI - Christian Doppler (1803-1853).
PMID- 26540828
TI - Johannes Purkinje (1787-1869).
PMID- 26540830
TI - Carl Rokitansky, A Premier Pathologist.
PMID- 26540831
TI - Josef Dietl (1804 - 1878)--Medical Reformer and "His Crisis".
PMID- 26540832
TI - Joseph Skoda (1805-1881).
PMID- 26540833
TI - Alfred de Musset's Sign.
PMID- 26540834
TI - James Young Simpson & Painless Labour.
PMID- 26540835
TI - Claude Bernard (1813-1878).
PMID- 26540836
TI - Gerhard Armauer Hansen (1814-1912).
PMID- 26540837
TI - Discovery of Anaesthesia- Intriguing Story.
PMID- 26540838
TI - Ferdinand Von Hebra--Founder of Classical Dermatology.
PMID- 26540839
TI - Brown-Sequard & His Syndrome.
PMID- 26540840
TI - Pettenkofer--Father of Experimental Hygiene.
PMID- 26540841
TI - Ignaz Semmelweis--Hand washing Pioneer.
PMID- 26540842
TI - Donders--Father of Clinical Refraction.
PMID- 26540843
TI - Caventou, Pelletier &--History Of Quinine.
PMID- 26540844
TI - Rudolf Virchow (1821-1902).
PMID- 26540845
TI - H.L. Helmholtz (1821-1894).
PMID- 26540846
TI - John Gregor Mendel (1822-1884).
PMID- 26540847
TI - Louis Pasteur (1822 - 1895).
PMID- 26540848
TI - Theodor Bilharz--(1825-1862).
PMID- 26540849
TI - J.M. Charcot (1825 - 1893).
PMID- 26540850
TI - Lord Lister (1827-1912).
PMID- 26540851
TI - Alfred Nobel (1833-1896).
PMID- 26540852
TI - Camillo Golgi and Ramon Y Cajal who Peeped into the Mysterious World of Nervous
System.
PMID- 26540853
TI - Paul Ehrlich (1854-1915).
PMID- 26540854
TI - Dr. Victor Babes, Discoverer of Babesia.
PMID- 26540855
TI - Christiaan Eijkman (1858-1930).
PMID- 26540856
TI - Discovery of Colloids.
PMID- 26540857
TI - Dr. Piraja Da Silva (1873-1961).
PMID- 26540858
TI - Egaz Moniz.
PMID- 26540859
TI - Robert Barany Father of Otoneurology and Vestibular Functions.
PMID- 26540860
TI - Bidhan Chandra Roy & National Doctors Day.
PMID- 26540861
TI - Sir Ram Nath Chopra (1882-1973).
PMID- 26540862
TI - George Nicholas Papanicolaou, Father of Modern Cytopathology.
PMID- 26540863
TI - Corticosteroids.
PMID- 26540864
TI - Anaesthesia and Critical Care.
PMID- 26540865
TI - Raman Effect in Medicine and its Future.
PMID- 26540866
TI - Dr. Yellapragada Subbarow (1895-1948).
PMID- 26540867
TI - Georg Von Bekesy--Visualisation of Hearing.
PMID- 26540868
TI - Dr. Dwarkanath Kotnis.
PMID- 26540869
TI - Virginia Apgar (1909-1974).
PMID- 26540870
TI - Discovery of Immunoglobulins (Antibodies).
PMID- 26540871
TI - Discovery of Mediators of Sepsis.
PMID- 26540872
TI - Kidney Transplantation.
PMID- 26540873
TI - Luc Montagnier--Discoverer of HIV Virus.
PMID- 26540874
TI - Diabetes: From Ancient Egypt to the 18th Century.
PMID- 26540875
TI - Diabetes : Modern Times.
PMID- 26540876
TI - Diabetes : Modern Times.
PMID- 26540877
TI - Diabetes : Modern Times.
PMID- 26540878
TI - Thyroid: From Hellenistic Greece to Renaissance.
PMID- 26540879
TI - Thyroid: From 16th to 20th Century.
PMID- 26540880
TI - Genitalia and Infertility.
PMID- 26540881
TI - Adrenal Gland.
PMID- 26540882
TI - Genitalia and Infertility.
PMID- 26540883
TI - Pituitary.
PMID- 26540884
TI - Obesity.
PMID- 26540885
TI - Application of Diagnostic Techniques to Endocrinology.
PMID- 26540886
TI - Application of Diagnostic Techniques to Endocrinology.
PMID- 26540887
TI - Endocrine International Congresses.
PMID- 26540888
TI - Modern Plague--HIV Infection.
PMID- 26540889
TI - [The conflict of the three "E's"].
PMID- 26540890
TI - [ARCSTERILE: A COST-EFFECTIVE LUXURY].
AB - OBJECTIVE: The aim of this study is to evaluate the safety, economic
profitability, and cost-effectiveness of the controlled ambient surgical cabin
ArcSterile. MATERIALS AND METHODS: Retrospective observational study comparing
the profitability of surgical procedures using the ArcSterile* with those using
the operating room throughout a 12-month period by analysing the following
variables: total number of treated patients, delay in surgical assistance delay
and the cost per procedure. RESULTS: Throughout a 12-month period, a total number
of 2011 surgical procedures were performed with the ArcSterile, and 1736 surgical
procedures were performed in the conventional operating room. Minor ocular
surgeries including chalazia, pterigium, intravitreal injections and others were
considered, whereas cataract and vitrectomy surgeries were disregarded. The use
of the ArcSterile* was associated with an increase of 14% in the number of
surgeries. The cost per hour of the use of the ArcSterile* was 30.75 euro,
whereas it was 142.78 euro for the coriventional operating room. CONCLUSIONS: The
ArcSterile* may allow to treat more patients and to treat them earlier compared
with the conventional operating room, optimizing the use of the latest for
patients who need a more complex surgery. We estimated an economic impact of 134
121.39 euro savings during the 12-month period of analysis. The use of the
ArcSterile* surgical cabin for outpatient ocularsurgery may represent an
effective and efficient alternative to the operating room with many clinical and
economic benefits.
PMID- 26540891
TI - [MANAGEMENT OF HEALTHCARE WASTE IN THE HOSPITAL SETTING. UNDERSTANDING RISK
MANAGEMENT].
AB - The sanitary waste represents a potential hazard for health workers. Given the
high risk of infection in labor accidents, the correct management of sanitary
waste minimizes this risk and improves labor and environment conditions.
OBJECTIVE: To identify risk perception with health professionals in relation to
the advanced sorting and management of healthcare waste (HW). METHODOLOGY: The
current study is a descriptive, cross-sectional. The sample size was 177 health
workers (nurse assistants, nurses, physicians, lab technicians) from three
hospitals in Barcelona (Catalonia). Homemade questionnaire and questions with a
free and spontaneous association and incomplete sentences were used to analyze
labor variables, perception of risk and personal security through a Likert scale.
RESULTS: Using a score from 1 (the lowest perception of risk) to 5 (the high
perception of risk) to assess the risk perception, the average value for nurse
assistants, nurses, physicians, and lab technicians was 3.71, 3.75, 3.83 and
4.03, respectively. Referring to items with free and spontaneous response
association, 44.8% of workers consider HW as a biohazard, 29.6% consider it as
waste material, 22.1% state that it must be managed properly and 3.5% described
it as unknown residues. CONCLUSION: The results suggest that all health
professionals generally have a perception of high risk. The lab technicians have
a higher perception of the real risk of inadequate management of HW A 63.2%
report that everyone has to make a proper management to preserve their
occupational health; the 59% consider that the HW are a biological risk to the
general population and only the 47.8% that are harmful to public health. Although
it should be noted that only 44.8% think that HW are toxic and dangerous.
PMID- 26540893
TI - [Four testimonies and a fiction].
PMID- 26540892
TI - [Nurses in war situations].
PMID- 26540894
TI - [PREVALENCE OF SNUFF IN PATIENTS TREATED IN HOSPITAL CONSULTATION DAY AND
RHEUMATOLOGY NURSING].
AB - OBJECTIVES: To assess the prevalence of tobacco consumption in patients with
inflammatory arthritis treated in our department and to raise awareness against
tobacco in order to reduce the maximum number of active smokers. METHOD: A
tobacco consumption survey was conducted to patients with inflammatory arthritis
treated at the department of Rheumatology. Variables assessed: demographics,
diagnosis, treatment, and current smoking. In smokers and former smokers
patients: onset age of smoking, number of cigarettes per day, time exposure to
tobacco and if they were active smokers before the diagnosis of their disease.
All patients were also asked if received information about tobacco as a risk
factor for inflammatory disease; and current to the active smokers if they wanted
to stop. Awareness activities against tobacco were conducted. RESULTS: Patients
were included 198. The most prevalent diagnosis was rheumatoid arthritis (58.1%).
Most patients were treated with biological therapy. Fifty percent of patients
were non-smokers, 31% former smokers and 19% active smokers. Ninety-two percent
of smokers and 89% former smokers already smoked before diagnosis of the disease.
Thirty-five percent of all patients had received information about the risks of
tobacco. Eighty percent of current smokers wanted to stop smoking. CONCLUSIONS:
Active smoking was reported in 19% of patients with inflammatory arthropathies
visited in our Arthritis. Department patients were willing to receive tobacco
education. These results indicate the need to provide advice against tobacco in a
systematic and structured manner.
PMID- 26540895
TI - [EMOTIONAL MANAGEMENT AND CRITICAL THINKING IN THE AID RELATIONSHIP OF THE
HOLISTIC CARE OF PALLIATIVE PATIENTS].
AB - To care for palliative patients is essential that healthcare professionals
develop emotional competencies. This means acquiring the habit of self reflection
and be emphatic with other people, in order to be able to identify the personal
emotions of patients, family and team. Reflection involves a continuing effort to
reason about aspects of professional practice, especially on issues as complex as
suffering and death. Both reflective reasoning and emotional management are vital
in an Aid Relationship. For nursing healthcare professionals, to care the
emotional aspects means becoming aware of their own and others feelings, and get
to understand and accept to handle them properly. Nursing actions involves many
qualities of social competence, such as empathy, understanding, communication
skills, honesty, flexibility and adaptability to the individual needs of people
cared. In the context of palliative care patients and their families all these
aspects are fundamental and are part of the same philosophy. Emotional education
still remains a challenge in our profession both in the initial and continuing
training.
PMID- 26540896
TI - [GENERIC DRUGS: IS BIOEQUIVALENCE SUFFICIENT TO ENSURE QUALITY, EFFICACY AND
SAFETY?].
AB - This article is focusing on the current debate that prescription of generic drugs
is producing among patients and healthcare professionals. Following European
Medicine Agency (EMA) recommendations, a number of generic medicines have
recently been withdrawn from the market in Spain. The authorization for these
generic drugs was primarily based on clinical studies conducted at GVK
Biosciences in Hyderabad, India. The EMA inspection of GVK revealed data
manipulation of electrocardiograms during the development of some studies of
generic medicines. These manipulations had taken place over a period of at least
five years. The article is also dealing with the consideration that
bioavailability and bioequivalence studies receive as a cornerstone to approve
generic drugs, and the discrepancies between the national regulatory agencies of
medicines to implement guidelines of approval. Likewise, in the last few years,
the rapid expansion of clinical trial activity regarding generic medicines and
other drugs in emerging markets, is often leading to doubt on the integrity of
the way trials were performed and on the reliability of data obtained from these
studies.
PMID- 26540897
TI - [AN EDUCATIONAL EXPERIENCE BASED ON CLICKERS].
AB - Active learning or self-learning increases the student's participation and
commitment to his studies; these conditions are necessary to improve academic
performance. An intervention has been designed based on the experience in the use
of clickers in other universities, but without the actual technology. This work
has been performed in the School of Nursing affiliated to the University of
Malaga (UMA) on students enrolled in their second year of Degree in Adult Nursing
Course I. Three sessions of multiple-choice questions were scheduled on the
subject "distance learning" in which master classes were not taught. The answers
were collected on paper templates. We wanted to determine the degree of
relationship between the attendance of sessions and the results obtained by
students in the final examination of the subject, as well as, the questions
dedicated to assess the "distance learning" matter. The results support a
significant statistical difference in the correct answers by students according
to the number of sessions attended. These differences are highest among students
who did not attend any session and those who attended the three planned sessions.
PMID- 26540898
TI - [LOXOCELES BITE WOUND TREATMENT WITH SYNTHETIC POLYMER MATRIX].
AB - Loxocelism is a toxic condition produces by the venom inoculated by the bit of
the recluse spider (genus Loxosceles). In can appear in two clinical forms:
cutaneous loxocelism and viscerocutaneous Ioxocelim. The species Loxoceles
rufescens, found in Spain, is responsible of cases of cutaneous loxocelism.
Cutaneous loxocelism starts with an itch, later giving rise to intense pain, and
it later takes either a more or less severe necrotic form or an edematous form.
The latter, with a better prognosis, is the one we focus on here. The diagnosis
is clinical. The systemic treatment consists in analgesics, antihistamines,
corticosteroids, broad-spectrum antibiotics, and dapsone in severe cases. The
local treatment is based on the cleaning and debridement of the wound, and in
advanced treatments for complex wounds. It is not uncommon that such wounds
require skin grafting. We present the case of an 18-year female bitten by a
loxosceles on the dorsal area of the foot. She developed a deep tissue necrosis
in the area. She presented systemic complications such as leucocitosis, fever,
local infection and cellulitis. Systemic treatment and hospitalization were
required, as well as advanced therapeutic care. Finally, cutaneous integrity was
restored after 84 days.
PMID- 26540899
TI - [Celebration of the World Day of Endometriosis].
PMID- 26540900
TI - [XV Conference on Ethics "Ethics Issues in Pediatrics"].
PMID- 26540901
TI - [First edition of nursing award 2014 on applications of a synthetic matrix of
polymers powder formulated for wound healing].
PMID- 26540902
TI - [Nursing advocates the prevention of pressure ulcers].
PMID- 26540903
TI - [University postgraduate education in nursing].
PMID- 26540905
TI - [DRAINAGE OF POSTOPERATIVE SEROMA IN BREAST SURGERY WITH SEROMASET].
AB - Breast cancer is the most common malignancy in women. The surgical approach is
one of the cornerstones in the treatment of this disease. One of the
complications of surgery is postoperative seroma. Despite not being a serious
complication, for women it is, and involves discomfort, more hospital visits and
sometimes delay of adjuvants treatments.
PMID- 26540904
TI - [THE IMPORTANCE OF PROPER ADHERENCE TO ANTI-TUBERCULOSIS TREATMENT].
AB - INTRODUCTION: Tuberculosis (TB) remains a major cause of morbidity and mortality
in many countries. This involves a serious public health problem. Adherence to TB
treatment is a cornerstone for the control of this disease. DEVELOPMENT:
Globally, there are major differences between countries as to the prevalence,
incidence and mortality tuberculosis. Spain has a incidence rate higher than that
of other countries in their socio-economic background. Treatment is prolonged,
with significant side effects. Adherence to treatment is essential to be
effective, prevent drug resistance and disease control. Children are more
vulnerable to developing the disease than the rest of the population. Several
direct and indirect methods measure the adherence to treatment, but none is
ideal. Some socio-demographic risk factors that influence on adherence are
described (immigration...). In addition, there are another more specific child
factors to have been add. CONCLUSIONS: The literature reviewed highlights the
importance of proper monitoring of patients to increase adherence to TB
treatment. The role of the nurse and their interventions are very important.
PMID- 26540906
TI - [SUPPORT TO FAMILIES OF CRITICALLY ILL PATIENTS].
AB - INTRODUCTION: The hospitalization of the sick person in the family creates
anxiety, stress, etc... The families in this situation have no tools to face
reality and ignore their needs. OBJECTIVE: To identify the relationship between
family needs and nursing interventions. METHODS: We conducted a literature review
in the databases Pub-Med, CINAHL, Cuidatge, Cuiden and ScienceDirect. After
including items that meet the selection criteria were selected 18 items RESULTS
AND DISCUSSION: In selected items were analyzed the necessities generated by the
hospitalization of a family member in the ICU, performances, interventions and
proposals for improvement that are proposed to meet the needs and constraints and
reluctance that occur in practice. CONCLUSIONS: Although the literature
identifies the needs of the family, the problems encountered and solutions
proposed, the nurses do not integrate these needs into their operations.
PMID- 26540907
TI - [EVALUATION OF THE EFFICIENCY OF CLEANING THE AIR BETWEEN TWO METHODS OF MAKING
IN PATIENTS WITH AEROSOL CHRONIC OBSTRUCTIVE PULMONARY DISEASE].
AB - INTRODUCTION: Chronic Obstructive Pulmonary Disease (COPD) is a condition which
requires, among others, the administration of bronchodilators and anti
inflammatory drugs to control the disease. They help to keep the airways clear
and prevent the buildup of fluid and mucus. Inhalation is the most widely used
form of administrating the medication because of its local and rapid action which
normally is done by aerosol therapy. The objective of this study is to evaluate
the effectiveness of two aerosol methods in clearing the airways of COPD
patients, admitted with an exacerbation due to their disease. It also aims to
evaluate its effects on the length of stay, oxygen saturation, dyspnea, autonomy
and complications. METHODS: Randomized clinical trial. Traditional method
(control group) and positive expiratory vibrating device (intervention group):
two ways to deliver aerosol were compared. The following outcome variables were
considered: length of stay, days of fever, oxygen saturation, need for NIV or VM,
the basic activities of daily living index autonomy (Barthel), dyspnea (Borg
scale) and peak-flow. RESULTS: 39 patients were included. Regarding hospital
stay, patients in the intervention group spend an average of one day less in
hospital. Also in this group there were fewer readmissions. No statistically
significant differences were found in the remaining variables. CONCLUSIONS: The
inhalation treatment with a vibrating device with positive exhalation, appears to
reduce the length of stay and prevent readmissions. It is important to continue
research on non-pharmacological interventions as to achieve the prevention of
relapses.
PMID- 26540908
TI - [NURSING PROFESSIONALS: EXISTENCE OR NON-GENDER BIAS AND ITS IMPACT ON THE HEALTH
OF WOMEN].
AB - The aim of this article is known through literature review the existence or
absence of gender bias in the exercise of the nursing profession in two specific
problems such as cardiovascular disease (CVD) and panic attacks. We want to know
how these biases might be impacting clinical diagnosis and health care received
by men and women. A search was performed in Medline, Psicodoc, Psycinfo and
Cuiden under keywords: "gender bias", "gender differences", "nursing",
"healthcare", acardiovascular disease), "anxiety", selected from a total of25
items are allowed to offer a global view on possibles gender bias in the
performance of the health professions in the two pathology understudy
PMID- 26540909
TI - [PHARMACOLOGICAL TREATMENT IN PALLIATIVE CARE. DRUG ADMINISTRATION ROUTE,
CONTINUOUS SUBCUTANEOUS INFUSION, ADVERSE SIDE EFFECTS, SYMPTOM MANAGEMENT].
AB - OBJECTVE: To achieve well-being in patients in Palliative Care is required to
know which are the most common symptoms, which are the drugs used for relief,
which are the routes of administration of drugs that are suitable, how effective
the drugs are and what incompatibilities, interactions and adverse effects occur.
The aim of this article is to review the relevant issues in the management of the
drugs commonly used by nursing in Palliative Care and presenting recommendations
to clinical practice. METHODOLOGY: Management interventions drugs for nurses in
Palliative Care recommended by the scientific literature after a search of
Scopus, CINAHL, Medline, PubMed, UpToDate and Google Scholar are selected.
RESULTS: The oral route is the choice for patients in palliative situation and
subcutaneous route when the first is not available. The symptoms, complex,
intense and moody, should be systematically reevaluated by the nurse, to predict
when a possible decompensation of it needing extra dose of medication.
DISCUSSION: Nurses must be able to recognize the imbalance of well-being and act
quickly and effectively, to get relief to some unpleasant situations for the
patient as the pain symptoms, dyspnea or delirium. For the proper administration
of rescue medication, the nurse should know the methods of symptomatic
evaluation, pharmacokinetics and pharmacodynamics of drugs, the time intervals to
elapse between different rescues and nccocc rocnnnco t thocm
PMID- 26540910
TI - [The study of siRNA interference after laryngeal cancer Hep-2 cells to cisplatin
sensitivity of beta-catenin gene expression].
AB - OBJECTIVE: To investigate the changes of laryngeal cancer Hep-2 cells to
cisplatin chemosensitivity after the interference of siRNA of beta-catenin gene
expression. METHOD: Using a small interference RNA (siRNA) technology interfere
beta-catenin gene of Hep-2 cells . The mRNA and protein levels of beta-catenin in
the Hep-2 cells of different groups were detected by qPCR and Western blot. It
was divided into siRNA-beta-catenin-Hep-2 siRNA group, beta-catenin-Neg negative
control group and blank control group. Cell proliferation inhibition rate of
different concentrations of cisplatin on three groups was detected by MTT assay.
Calculate the 50% inhibitory effective concentration IC50 value. Check the change
of three groups of cells' apoptosis rate by flow cytometry after the same
concentrations of cisplatin stimulation. RESULT: beta-catenin-siRNA interference
fragment can specifically reduce the expression levels of beta-catenin mRNA and
protein. qPCR illustrated the expression of mRNA in beta-catenin-siR-NA-Hep-2
interference group decreased 70% (P < 0.05) compared with the control group,
Western blot results showed that the beta-catenin protein expression of
interference group (0. 545 +/- 0.111) decreased significantly compared with blank
control group (1.507 +/- 0.139) and negative control group (1.429 +/- 0.089), P <
0.05. The IC50 calculation software showed that IC50 of cisplatin on beta-catenin
siRNA IC50 interference group is (5.81 +/- 0.46)MUg/ml, the blank control group
is (10.10 +/- 1.01) MUg/ml, the difference between the two groups has statistical
signifi- cance (P < 0.01). Cell apoptosis rate of beta-catenin-siRNA interference
group was (26.15 +/- 0.60)%, significantly higher than the control group (14.16
+/- 0.05)%, P < 0.05. CONCLUSION: To interfere the expression of beta-catenin can
effectively enhance the sensitivity of laryngeal cancer cells to chemotherapeutic
drugs cisplatin. It provides a theoretical support for the reduction of laryngeal
cancer chemotherapy drug cisplatin dosage.
PMID- 26540911
TI - [The expression of Nrf2 and Keap1 in laryngeal carcinoma and its clinical
indication].
AB - OBJECTIVE: To investigate the role of Keap1-Nrf2-ARE pathway in laryngeal
carcinoma occurrence and development. METHOD: Thirty-five laryngeal carcinoma
samples and para-carcinoma tissues were taken from the patients who accepted
operation treatment in our hospital from Feb 2012 to Sep 2013. The expression
levels of Nrf2 and Keap1 were detected in 35 cases of laryngeal carcinoma with SP
immunohistochemical methods. The data were analyzed by the SPSS 13.0 statistical
software. RESULT: The expression of Nrf2 in the 35 cases of laryngeal carcinoma
was 77.14%, which in the para-carcinoma tissues was 8.57%, the difference between
these two groups was statistically significant (P < 0.01). The expression of
Keap1 in the 35 cases of laryngeal carcinoma was 71.43%, which in the para
carcinoma tissues was 31.43%, the difference between these two groups was
statistically significant (P < 0.01). The expression of Nrf2 in I -II stage was
65.00% and in III-IV stage was 93.33%, the difference was significant (P < 0.05).
The expression of Keap1 in I-II stage was 55.00% and in III-IV stage was 93.33%,
the difference was significant (P < 0.05). Of the 35 cases, the positive
expression rate of Nrf2 and Keap1 in laryngeal carcinoma with lymphnode
metastasis were both 100.00%, compared with those without lymph nodes metastasis
68.00% and 60.00%, the difference was significant (P < 0.05). The expression of
Nrf2 and Keap1 had no relationship with tumor differentiation, smoking and
patient age (P > 0.05). CONCLUSION: Keap1-Nrf2-ARE pathway may play an important
role in progression of laryngeal squamous cell carcinoma.
PMID- 26540912
TI - [The expression of PKM2 and HIF-1alpha in laryngeal squamous cell carcinoma and
its clinical indication].
AB - OBJECTIVE: The purpose of this study was to investigate the expression of PKM2
and HIF-1alpha in laryngeal squamous cell carcinoma and to analyze their
correlation in laryngeal squamous cell carcinoma. METHOD: Total 37 laryngeal
carcinoma samples and para-carcinoma tissues were taken from the patients who
accepted operation treatment in the Second Hospital of HeBei Medical University
from 06/2013 to 06/2014. The protein expression levels of PKM2 and HIF-1alpha
were detected with SP immunohistochemical methods. The data were analyzed by the
SPSS 13.0 statistical software. RESULT: The positive expression of PKM2 in
laryngeal carcinoma tissues and adjacent tissues were 62.16% and 13.15%. The
difference was statistically significant (P < 0.01). The positive expression of
HIF-1alpha in laryngeal carcinoma tissues and adjacent tissues were 64.86% and
21.62%. The difference was statistically significant (P < 0.01). The positive
expression of PKM2 and HIF-1alpha in well differentiated laryngeal squamous cell
carcinoma were both 47.83%, while in medium and poorly differentiated laryngeal
squamous cell carcinoma were 85.71% and 92.86% respectively. The difference was
statistically significant (P < 0.05). The positive expression of PKM2 and HIF
1alpha in patients with lymph metastasis were 90.00% and 100.00% respectively,
51.85% in those without lymph metastasis, the difference was statistically
significant (P < 0.05). The rate of HIF-1alpha positive expression in I-II stage
was 53.85%, 90.91% in III-IV stage. The difference between the two groups was
statistically significant (P < 0.05). The expression of PKM2 and HIF-1alpha had
no relationship with the age and smoking (P > 0.05). The expression of PKM2 was
positively related with HIF-1alpha in laryngeal squamous cell carcinoma (P <
0.01). CONCLUSION: The expression of PKM2 and HIF-1alpha are related with the
proliferation, invasion and metastasis of laryngeal squamous cell carcinoma. It
provides a certain theoretical basis for laryngeal cancer diagnosis and screening
to measure the expression of PKM2 and HIF-1alpha as biological indicators.
PMID- 26540913
TI - [The expression of Endophilin II and VEGF-C in human laryngeal cancer and
connection with clinical treatment].
AB - OBJECTIVE: To study the expression of Endophilin II and VEGF-C in human Laryngeal
cancer and its relationship with the clinical treatment effect. METHOD: The
expression and distribution of Endophilin II and VEGF-C protein were checked in
47 patients with laryngeal carcinoma in our hospital in 2006-09-2009-10 and 23
samples of normal tissues around the cancer by immunohistochemical staining SP
method. After an appropriate surgical treatment, patients accepted a 5-year
followup to evaluate their recurrence situation and 5-year survival rate. RESULT:
Immunohistochemistry showed that Endophilin II protein was strongly positive
expressed in normal tissues around the cancer (positive rate 82.61%). And the
expression level was dependent on the lymph metastasis, T stage and lymph
invasion (P < 0.05). Patients with positive Endophilin II expression had a higher
survival rate and better recurrence situation. Expression of VEGF-C was
significantly higher in laryngeal carcinoma than in normal tissue around the
cancer (positive rate 51.06% and 13.04%). the expression level was dependent on
the lymph metastasis and lymph invasion (P < 0.05), and negatively correlated
with recurrence and 5-year survival rate. CONCLUSION: Endophilin II and VEGF-C
may involve in laryngeal cancer, and the expression of Endophilin II and VEGF-C
has a positive correlation with pathological features and lymph metastasis, and
both are related with recurrence and 5-year survival rate. So these two proteins
are likely to be a potential target for diagnosis and treatment of laryngeal
cancer.
PMID- 26540914
TI - [Relationship of local recurrence with the expression of Survivin and MMP-2 in
laryngeal carcinoma and its surgical margins].
AB - OBJECTIVE: To explore the relationship of the local recurrence with the
expression of protein Survivin and MMP-2 in the primary lesions and the surgical
margins of laryngeal carcinoma. METHOD: The primary lesions and the surgical
margins of laryngeal carcinoma of 48 patients were made into serial sections.
Immunochemical methods was used to detect the expression of protein Survivin and
MMP-2 in the primary lesion and the surgical margins of laryngeal carcinoma of 48
patients. RESULT: The positive expression for Survivin and MMP-2 in the primary
lesion was 70.83% (34/48) and 66.67% (32/48) respectively, and the positive
expression of Survivin and MMP-2 in the surgical margins of laryngeal carcinoma
was 47.92% (23/48) and 37.50% (18/48), which in the primary lesion was
significantly higher than those of the surgical margins of laryngeal carcinoma (P
< 0.05). The recurrence rates of primary lesion positive for Survivin (34 cases)
and MMP-2 (32 cases) were 26.47% (9/34) and 25.00% (8/32), which were higher than
negative for them 7.14%(1/14) and 12.50% (2/16) (P > 0.05). The recurrence rates
of those with Survivin (23 cases) and MMP-2 (18 cases) positive surgical margins
were 34.78% (8/23) and 38.89% (7/18) respectively, which were significantly
higher than those with negative ones 8.00% (2/25) and 10.00% (3/30) (P < 0.05).
Logistic analysis showed that the expression of Survivin and MMP-2 protein in the
surgical margins of laryngeal carcinoma was positively associated with the
recurrence rates. CONCLUSION: Laryngeal carcinoma patients with Survivin-positive
or MMP-2-positive margin would have a higher recurrence rate. Survivin and MMP-2
protein can be used as biomarkers for local recurrence of laryngeal carcinoma
after operation.
PMID- 26540915
TI - [The sequencing analyze of 915 newborn with GJB2 heterozygous mutation in
Beijing].
AB - OBJECTIVE: To determine GJB2 allelic mutant and estimate probability of
hereditary hearing loss in newborn with GJB2 heterozygous mutation in Beijing.
METHOD: We performed genetic testing for sequencing of GJB2 gene for searching
GJB2 allelic mutant in 915 newborn who received newborn deafness gene screening
(GJB2 c. 235delC, GJB2 c. 299_300delAT, GJB2 c. 176191del16, GJB2 c. 35delG) in
Beijing Tongren hospital, and the mutation were classified to pathogenic
mutation,undefined variant and polymorphism. RESULT: Four hundred (43.72%,
400/915) newborn were detected to carry at least one mutation allele in GJB2. 3
(0.33%, 3/915) newborn had pathogenic mutations (c. 94C>T, c. 380G>T, c. 344T>G);
62 (6.76%, 62/915) newborn carried 14 undefined variant, 36 newborn had c. 109G>A
(58.06%, 36/62),13 newborn had c. 368C>A (20.97%,13/62), six (c. 268C>G, c.
282C>T, c. 294G>C, 456C>T, c. 501G>A, c. 587T>C) are novel; 335 (36.61%, 335/915)
newborn were polymorphism. CONCLUSION: The probability of hereditary hearing loss
is 7.09% in newborn with GJB2 heterozygous mutation in Beijing. It is noteworthy
that c. 109G>A, c. 368C>A occupy a high proportion.
PMID- 26540916
TI - [The development of vocabulary capability at 2-year follow-up in the prelingual
deaf children with cochlear implants].
AB - OBJECTIVE: To investigate the development of early vocabulary capability in the
prelingual deaf children after cochlear implantation (CI) , and to study the
feasibility of current Chinese assessment procedures about language development
for hearing-impaired children. METHOD: A total of 56 cases with severe-to
profound prelingual deaf children were participated in this study. The vocabulary
development of CI children were evaluated by trained audiologists using the
vocabulary assessment tools: Chinese communicative development inventory (CCDI)
and mandarin expressive and receptive vocabulary test (MERVT). The questionnaire
assessed by parents or guardians answered the questionnaire; vocabulary tests
were evaluated by children accompanied with audiologists. Patients were assessed
before operation and in 2 years after switch-on. RESULT: With the rehabilitation,
early post-operative vocabulary development gradually improved. The vocabulary
increased with an increase in the duration of CI use, and the receptive
vocabulary developed earlier than the expressive ones. CONCLUSION: After 2 years
of CI use, the child partly developed the vocabulary capability. Children's
vocabulary test, CCDI and MERVT test, is an important index to evaluate the
development of children's language ability after cochlear implantation.
Vocabulary test, together with auditory and speech perception assessment
procedures, constitute a more complete auditory-speech-language evaluation system
for Chinese children after cochlear implants.
PMID- 26540917
TI - [The research of rehabilitation effect of cochlear implantation for deaf children
with gene mutation].
AB - OBJECTIVE: To assess the evaluation on auditory rehabilitation effect for 42 deaf
children with GJB2 gene mutation after cochlear implantation to provide a
reference for the cochlear implant effect evaluation of such patients. METHOD: To
conduct the detection on common genetic deafness gene mutation hotspots of
hearing impaired children with cochlear implantation. To conduct auditory
rehabilitation effect evaluation on 42 cases of patients with GJB2 genetic
deafness after 3 months, 6 months and 12 months of the operation respectively.
The single factor repeated measure ANOVA was applied to analyze whether there
were significant difference among the results of initial consonant of a Chinese
syllable recognition at 3 different stages after the operation, the results of
vowel of a Chinese syllable recognition at 3 different stages after the
operation, and the results of two-syllable recognition at 3 different stages
after the operation. RESULT: 235delC is the high-incidence mutational site in 42
cases of patients with GJB2 genetic deafness, the total detection rate is up to
90.48%. There were significant differences in the initial consonant of a Chinese
syllable recognition rate, the vowel of a Chinese syllable recognition rate, the
two-syllable recognition rate as well as the vowel of a Chinese syllable
recognition rate after 3 months, 6 months and 12 months of the operation (P <
0.01). CONCLUSION: Cochlear implantation is a safe and effective measure for
auditory reconstruction, it can help patients with GJB2 hereditary severe
sensorineural deafness to improve auditory speech recognition.
PMID- 26540918
TI - [Cervical vestibular evoked myogenic potential elicited by different types air
conducted sounds among normal young Chinese people].
AB - OBJECTIVE: To observe waveform difference among cervical vestibular evoked
myogenic potentials (cVEMP) elicited with different types of air conducted sound
in normal young Chinese subjects. METHOD: Twenty adult volunteers (40 ears) were
recruited as research subjects including 10 males and 10 females aged between 19
and 30.500 Hz Tone Burst, 1000 Hz Tone Burst and Click were employed as stimulus
for conventional air conducted sound-cVEMP (ACS-cVEMP) examinations in bilateral
ears of each subject. The response rate, threshold, P1 latency, N1 latency, P1-N1
latency interval, amplitude and inter-aural asymmetry were recorded and compared
among groups. RESULT: The response rate was 97.5% in 500Hz Tone Burst (39/40),
87.5% in 1 000Hz Tone Burst (35/40)and 67.5% in Click (27/40), There were no
statistically significant difference between 500Hz Tone Burst and 1000Hz Tone
Burst (P > 0.05) but there were statistically significant difference between
click and the other groups (P < 0.05). We collected the waveform parameters (the
threshold, P1 latency, N1 latency, P1-N1 latency interval, amplitude) which had
statistically significant difference between 500 Hz Tone Burst and the other
groups (P < 0.05). The inter-aural asymmetrys had no statistically significant
differents among groups. CONCLUSION: The response rate and parameter could be
affected by different types of air conducted sound in normal young Chinese
subjects. 500 Hz Tone Burst was the best stimulus of type what we have known.
PMID- 26540919
TI - [Activation of mTOR signaling pathway in cancer stem cells of nasopharyngeal
carcinoma and inhibitive effect of rapamycin against the cancer stem cells].
AB - OBJECTIVE: To study the mTOR expression of cancer stem cells(CSCs) in
nasopharyngeal carcinoma and preliminarily explore the mechanism of inhibiting
its proliferation with rapamycin. METHOD: Nasopharyngeal carcinoma spherical
cells were gathered by using serum-free suspension culture method, CCK8 assay was
used to detect cell proliferation, Western blot assay was used to detect the
expression of CD44, OCT4, SOX2 and mTOR signaling. The spherical cells and CNE2
were treated with rapamycin in concentrations of 0, 0.1, 1.0, 10.0, 100.0, 1000.0
nmol/L, CCK8 assay was used to detect cell inhibition ratio, Western blot assay
was used to detect the expression of mTOR signaling of nasopharyngeal carcinoma
spherical cells. RESULT: Compared with CNE2, the spherical cells exhibited a high
proliferation rate in RPMI 1640 medium supplemented with fetal bovine serum, and
overexpressed in OCT4, SOX2 (P < 0.05), but not that of CD44 (P > 0.05). Although
the expression levels of mTOR, P70S6, 4EBP1 were not significantly different
between the two kinds of cells (P > 0.05) the proteins of phosphorylation
activation form of them (P-mTOR, P-P70S6, P-4EBP1) were highly expressed in
spherical cells (P < 0.05). The spherical cells and CNE2 were treated with
rapamycin in different concentrations, the concentrations for 50% of maximal
effect of spherical cells and CNE2 were 2.59 nmol/L and 78.12 nmol/L
respectively, rapamycin inhibited the spherical cells more strongly compared with
CNEZ. The expression levels of P-mTOR, P-70S6, P-4EBP1 in spherical cells were
gradually decreased with increasing of the concentrations of rapamycin, but the
difference of the expression levels of mTOR, P70S6, 4EBP1 were not significant.
CONCLUSION: The proteins of mTOR signaling pathway of CSCs in nasopharyngeal
carcinoma are overexpressed, and rapamycin can effectively inhibit cell
proliferation of CSCs in nasopharyngeal carcinoma by blocking mTOR signaling
pathway.
PMID- 26540920
TI - [Expressions of Maspin and Ki67 in extranodal nasal type NK/T-cell lymphoma and
the significance].
AB - OBJECTIVE: To analyze the expression of Maspin and Ki67 in EN-NK/TCL and the
significance. METHOD: The expressions of Maspin and Ki67 were detected by
immunohistochemistry in 34 cases of EN-NK/TCL and 11 cases of chronic sinusitis.
RESULT: Maspin was low expressed in EN-NK/TCL, Ki67 was highly expressed in EN
NK/TCL. Negative correlation was found between the expression of Maspin and Ki67
in EN-NK/TCL. CONCLUSION: The combined examination of Maspin and Ki67 has
important significance in the diagnosis in EN-NK/ TCL.
PMID- 26540921
TI - [A clinical study of 27 cases of cervical metastatic carcinoma of unknown primary
site].
AB - OBJECTIVE: To analyze the clinical feature of cervical metastatic carcinoma of
unknown primary site (CCUP) for guiding clinical diagnosis and treatment. METHOD:
Twenty-seven cases of CCUP during May 2007 to September 2013 in department were
analyzed retrospectively. Kaplan-Meier method and Log-rank test were used for
survival analysis, multivariate analysis using the Cox regression model. RESULT:
There is no significant influence among gender, age and the mass position on the
median survival time. Median survival time of patients with different
pathological types was statistically significant (P < 0.05). Treatment affected
the median surial significantly (P < 0.05) and also was the independent
prognostic factors (P < 0.05). CONCLUSION: The early establishment of
pathological type and primary focal position can improve the prognosis. Taking
treatment according to pathological types can increase the patient's survival
rate. Combined treatment can prolong the patient's survival time.
PMID- 26540922
TI - [The surgical options of cervical tuberculous lymphadenitis].
AB - OBJECTIVE: To analyze the clinical features & variation features of cervical
tuberculous lymphadenitis and to discuss its effective surgical treatment.
METHOD: Retrospective analysis of 27 cases of cervical tuberculous lymphadenitis
patients admitted to the hospital from January 2008 to December 2013. The
cervical tuberculous lymphadenitis is classified based on the enhanced CT
scanning conducted before operation and the preoperative evaluation of clinical
characters of patients. The lymphaden ncisional biopsy was conducted on the type
I patients with cervical tuberculous lymphadenitis. The lesion resection was
performed on the type II and mixed type I + II patients. The regional cervical
lymph node dissection was carried on the type III, the type IV and other mixed
type patients. The negative pressure drainage ball was placed after operation,
and the cavity was flushed with 5% povidone iodine solution. The antituberculosis
therapy was performed after wound healing. RESULT: The analysis of the clinical
features for 27 patients: the incidence rate on the left side, right side, both
sides and middle-line is 63.0%, 25.9%, 7.4% and 3.7% respectively. The majority
of patients whose lesion involving more than one region account for 62.1%; the
patients whose lesion involving one region account for 37.9%. The most common is
level V involved lesion (69.0%), then level IV (62.1%), level III (51.7%), level
II (34.5%), level I (10.3%) and level VI (3.4%) in order. The analysis of the CT
imageology features for 27 patients: the simple type is the majority (65.5%), and
the most common is type III (24.1%), then the type I (17.2%), type II (13.8%) and
type IV (10.3%) in order. The mixed type is minority (34.5%), but the two mixed
is often (31.0%). The three mixed is only located on one side (3.4%). The
recurrence never happened on the patients with resection and standard
antituberculosis therapy. CONCLUSION: For the cervical tuberculous lymphadenitis,
the suitable surgical treatments shall be selected according to the lesion
characteristics & location and CT imaging manifestations presurgical evaluation.
The effective way to treat cervical tuberculous lymphadenitis is to conduct
negative pressure drainage after operation, to flush the cavity with 5% povidone
iodine solution and to perform antituberculosis therapy.
PMID- 26540923
TI - [The effect of TFF3 on the proliferation and migration of papillary thyroid
carcinoma K1 cell].
AB - OBJECTIVE: To explore the effect on proliferation and invasion of human papillary
thyroid carcinoma K1 cells by application of small hairpin RNA (shRNA) silencing
TFF3 gene expression. METHOD: Using liposome transfection method, TFF3-shRNA
targeting of TFF3 gene will be transient transfected to papillary thyroid
carcinama K1 cells, inducing the corresponding gene silencing. The experiment set
up blank control group (Con group), negative control group (ConNC group) and
interference group (TFF3-shRNA group). The TFF3 protein and mRNA expression were
evaluated by RT-PCR, Real time-PCR, immunocytochemistry and Western blot in K1
cells after TFF3-shRNA transfected. CCK-8 method and Scratch test were used to
detect the change of proliferation ability and invasion ability respectively.
RESULT: (1) The recombinant plasmid Ca # HSH018037-4-HIVmU6 carrying TFF3-shRNA
transfected K1 cells successfully. (2) RT-PCR and Real time-PCR detected the
expression of TFF3 mRNA, which was 0.38 +/- 0.11 times as many as the blank
control group (P < 0.01) after TFF3 gene silenced. But the negative control group
was 1.082 times of blank control group (P > 0.05). (3) Western blot show that
after TFF3 gene silence induced TFF3 protein expression levels have decreased
59.5% (P < 0.01), The difference was statistically significant compared with the
blank control group. (4) Cell scratch detects K1 cell invasion ability. The
invasion ability of K1 cells in interference group (TFF3-shRNA group) reduced.
The scratch width significantly decreased 57.1% than blank control group (P <
0.01). (5) CCK-8 kit detect cell proliferation ability. K1 cells grow
significantly slower in the interference group (TFF3-shRNA group) than the blank
control group through the analysis of the growth curve (P < 0.01). In the
interference group (TFF3-shRNA group) proliferation inhibition rate of K1 cells
at 6 h, 12 h, 24 h and 36 h, 48 h are 16.6%, 26.6%, 33.6%, 33.8%, 35.0%
respectively. Compared with negative control group, proliferation ability of K1
cell decreased significantly. CONCLUSION: Silenced TFF3 gene can cause the
degradation of mRNA, reduce the protein translation , and inhibit the invasion
and proliferation ability of K1 cell.
PMID- 26540924
TI - [Clinical application of cone-beam CT online correcting technology in volume
modulated radiation therapy for nasopharyngeal carcinoma].
AB - OBJECTIVE: To investigate the clinical application value of cone-beam CT (CBCT)
online correcting technology in volume modulated radiation therapy (VMRT) for
nasopharyngeal carcinoma (NPC). METHOD: Fifty NPC patients applying head neck and
shoulder thermoplastic body membrane fixing device were eligible for treatment
VMRT, these patients would accept a couple of CBCT scanning by on board imager
(OBI) in a fixed time each week after initial setup and after online correcting
during the first three week for radiotherapy, CBCT images and DRR images
constructed by CT simulation were carried out registration,which could calculate
the setup errors of initial setup and after online correcting. RESULT: Fifty
patients were accepted 150 scanning after initial setup and 150 scanning after
online correcting respectively, the errors after initial setup were (-1.24 +/-
1.25)mm in X direction, (1.19 +/- 1.85)mm in Y direction, (1.49 +/- 1.70) mm in Z
direction. The setup errors after online correcting were (-0.13 +/- 0.29)mm in X
direction, (0.10 +/- 0.47)mm in Y direction, (0.17 +/- 0.36)mm in Z direction.
The setup errors after online correcting were significantly lower than the errors
after initial setup in X direction (P < 0.05), Y direction (P < 0.05), Z
direction(P < 0.05). The M(PTV) value after online correcting were 0.46 mm, 0.53
mm and 0.59 mm in X, Y and Z directions respectively. CONCLUSION: The use of CBCT
online correcting technology can significantly reduce the setup errors of VMRT
for NPC and improve the treatment effect.
PMID- 26540925
TI - [Analysis on allergen of clinical allergic rhinitis patients in north-west
mountain area of Hubei Province].
AB - OBJECTIVE: To investigate the main inhaled allergens and the difference of that
between city and rural suburbs in patients with allergic rhinitis in the mountain
region of the northwest Hubei province and to provide epidemiological basis for
prevention and treatment in the region. METHOD: Eight hundred and thirty-five
cases who were diagnosed as allergic rhinitis with standardized allergens in
Taihe Hospital of Hubei University of Medicine from Sep 2009 and Dec 2011 were
studied. The data of allergens and the distribution of the patients were recorded
and analyzed. chi2-test were used to analyze the data. RESULT: The top 7 of
inhaled allergens were house dust mites (89.6%), dust mites (86.0%), tropical
mites (56.9%), croton bug (18.8%), felon herb (8.1%), the cat hair (8.1%) and
fine chain alternata bacteria (9.5%), Two main kinds of allergen in three
different area are with no obvious difference (P > 0.05). CONCLUSION: In
northwest Hubei Province, the highest rate of inhaled allergens was dust mites,
which are approximate in different age groups and different regions, especially
in the city.
PMID- 26540926
TI - [Study on the correlation between the severity of chronic rhinosinusitis and
bronchial asthma and pulmonary function].
AB - OBJECTIVE: To explore the correlation between the severity of patients with
rhinitis-nasosinusitis and the bronchial asthma and the pulmonary function.
METHOD: Sixty-four cases of patients with rhinitis-nasosinusitis and 53 cases of
patients with chronic sinusitis from June 2012 to September 2013 were randomly
selected, and the patients were divided into group of rhinitis-nasosinusitis with
nasal polyps and group of chronic sinusitis according to disease species, and
analyzed the correlation between the severity of the deseases and the changes of
the pulmonary function respectively for the patients of two groups by using
Spearman method. RESULT: The incidence of asthma for patients with different
levels of sinusitis and nasal polyps seemed no significant difference (P > 0.05);
the incidence of allergic rhinitis also seemed no significant difference (P >
0.05); the incidence of asthma for patients with different lesion range of
sinusitis and nasal polyps seemed no significant difference (P > 0.05); the
incidence of allergic rhinitis also seemed no significant different (P < 0.05).
The conditions of the patients with different levels of sinusitis and nasal
polyps were directly related to the reduction of pulmonary function (r = 2.431, P
< 0.05); The conditions of the patients with different lesion range of sinusitis
were directly related to the reduction of pulmonary function (r = 2.641, P <
0.05). CONCLUSION: There was some correlation between the severity of patients
with rhinitis-nasosinusitis and the bronchial asthma and the condition of
pulmonary function of patients.
PMID- 26540927
TI - [Application of philosophy on comprehensive analysis of adenoid hypertrophy space
occupying effect in meticulous adenoidectomy].
AB - OBJECTIVE: To achieve targeted and meticulous surgery of adenoid hypertrophy, a
comprehensive analysis of adenoid hypertrophy space occupying effect and
morphological evaluation were conducted and the clinical results were
retrospectively analyzed. METHOD: One hundred and sixty-three children with
adenoid hypertrophy were treated in our department from May 2013 to May 2014. All
children received three examinations preoperatively, including: Nasopharyngo
fiberoscopy, Audiometry and Tympanometry. Based on the results, space occupying
effect of adenoid hypertrophy was divided into three types: vertical hypertrophy
type, horizontal hypertrophy type and vertical & horizontal hypertrophy type. We
assumed the causal relationship with vertical hypertrophy type to snoring (nasal
blockage) and horizontal hypertrophy type to secretory otitis media respectively.
All children received transoral endoscopic adenoidectomy with radiofrequency
ablation. RESULT: The postoperative followup of these children for 6 to 12 months
showed that the vertical hypertrophy type and horizontal hypertrophy type
children all recovered from the syndromes of snoring (nasal blockage) and
secretory otitis media respectively. The nasopharyngo-fiberoscopy showed that the
nasopharyngeal space was smooth and the bilateral choanas opened well. No
recurrence was found. CONCLUSION: The philosophy of comprehensive analysis on
adenoid hypertrophy space occupying effect could help the surgeons understand
adenoid hypertrophy better and can guide the adenoidectomy more meticulously.
PMID- 26540928
TI - [Radiation injury of interstitial implantation 125I seeds on normal trachea
tissue of rabbits].
AB - OBJECTIVE: To study the radition injury of tracheal mucous membrane tissue after
interstitial implanted radioactive 125I in normal rabbit,improve the safety of
clinical application. METHOD: Sixty New Zealand rabbits, weighing 2.15-2.30 kg,
were randomly divided into 1 w, 1 m, 2 m, 4 m and the control group, the control
group was further divided into four subgroups. The 0.8mCi 125I seeds were
implanted into the tissue by the first tracheal ring in the treatment groups and
nonradioactive seeds were implanted in the control group. Taking the tracheal
mucous membrane tissue for pathological examination by HE staining to observe the
mucosal injury and VEGF, Pan-Cadherin immunohistochemical staining to observe the
expression in differernt time. RESULT: Immunohistochemical staining: VEGF and Pan
Cadherin have statistically significant differences in the expression on
different time, the expression is dynamic. CONCLUSION: The expression of VEGF and
Pan-Cadherin reflect the radioactive 125I seed has little influence on normal
trachea tissue and the damage can be repaired by the regeneration of the basal
cell.
PMID- 26540929
TI - [Effect of sildenafil on morphology to noise-induced hearing loss in guinea
pigs].
AB - OBJECTIVE: To study the effects of sildenafil on morphology to noise-induced
hearing loss in guinea pigs. METHOD: Guinea pigs were randomly divided into
control group, noise exposure group and the sildenafil treatment group, 12 in
each group. a week after white noise exposure of 110 dB, sildenafil (10 mg/kg x
d) and NS (4 ml/kg x d) were injected into guinea pigs of the sildenafil
treatment group and noise exposure group respectively for four continuous weeks.
ABR thresholds were measured respectively prior to the experiment, 1 week post
noise, 1, 2 and 4 weeks post-drugs, the changes of cochlea hair cells were also
observed with a scan electron microscope (SEM) and light microscope. RESULT: The
ABR threshold shifts in the sildenafil treatment group were significantly fewer
than that in the noise exposure group. SEM showed that hear hair of the inner and
outer hair cells in noise exposure group displayed mess, fusion and
imperfections. In the sildenafil treatment group, the hair cells displayed slight
pathological changes, there wasn't significant differents comparied with normal
group. The number of OHCs were relatively stable in the normal group, while the
obvious OHC loss was observed in other groups. There was significant difference
among the three groups, however, the OHC loss in the sildenafil treatment group
was not significantly different to that in the noise exposure (P > 0.05).
CONCLUSION: Sildenafil can significantly protect against noise-induced hearing
loss.
PMID- 26540930
TI - [Alveolar soft part sarcoma of the larynx: a case report].
AB - Alveolar soft part sarcoma (ASPS) is a rare soft tissue sarcomas, this sarcoma
occurs rarely in the larynx. Herein, we describe an unusual case of ASPS
occurring in the larynx. The patient was a 46-year-old woman who presented with a
more than 2-year history of right pyriform fossa mass and progressive hoarseness
for three months. The endoscopic examination of the larynx revealed a mass in the
right pyriform fossa with smooth surface and well-defined margin. Computed
tomography demonstrated a heterogeneous tumor in the right pyriform fossa, and
involving the paralaryngeal space, measuring approximately 2.1 cm x 1.7 cm x 2.6
cm, which was resected via lateral neck approach. Histopathology and
immunohistochemistry revealed an ASPS. To ours knowledge, this is the first
reported case of ASPS arising in pyriform fossa.
PMID- 26540931
TI - [Oncocytic carcinoma of the parotid gland: a case report].
AB - Approximately 3% of all head and neck neoplasms originate in the parotid gland
and less than 1% are oncocytic. We present the rare case of a 63-year-old woman
with oncocytic carcinoma of the parotid gland with facial nerve invasion and
discuss the characteristics of this rare entity. Based on the results of medical
history, physical examination, computed tomography and postoperative
histopathological diagnosis, oncocytic carcinoma of the parotid gland was
diagnosed. Treatment involved complete parotid gland removal and right neck
dissection. Adjuvant radiotherapy and chemotherapy were followed by operation. As
of 9 months following surgery, no recurrence has been identified, but long-term
results are undefined.
PMID- 26540932
TI - [Cartilaginous tumors of the cricoid: a case report].
AB - Review a case of cricoid cartilaginous tumour in our hospital in December 2013
retrospectively, which received laryngofissure surgery and was followed up for 1
year. The case is still alive without recurrence. The incidence of laryngeal
cartilaginous tumour is low, most are cases of cricoid cartilaginous tumour. Try
to preserve laryngeal function in surgery as much as possible.
PMID- 26540933
TI - [Diagnosis of HIV infection in otolaryngology: a case report].
AB - In the article we described a case of 61-year-old male with pharyngeal
paraesthesia for 3 months. Physical examination: lean physique; vast uneven white
membrane above hard palate, soft palate and pharynx mucous membrane, not easy to
wipe and extend to the throat. The neck without cervical lymph node enlargement.
Blood routine test: WBC 4.92 x 10(9)/L, N 64.3%, L 18.7%, EO 7.1%. RBC 4.08 x
10(12)/L, PLT 181 x 10(9)/L. No significant abnormal in the other blood
biochemical indexes, tumor marker and immune indexes; blood bacteria culture:
negative; blood culture: negative; sputum culture (3 times): all negative; anti
HIV screening test: positive, serum HIV testing: positive(the test done by
Shanghai Pudong new area's centers for disease control and detection). The
incidence of HIV/AIDS is still low at present, so the diagnosis of HIV/AIDS can
be ignored easily by the otolaryngology doctor. If the patient with oral cavity
and pharyngeal ulcer delayed healing, the doctor should be alert to,HIV/AIDS
infection. We should check serum HIV antibody to eliminate or confirm HIV/AIDS
earlier.
PMID- 26540934
TI - [The research progress in genetic susceptibility of noise-induced hearing loss].
AB - Hazards of noise-induced hearing loss (NIHL) to crowd who are long-term exposured
and work in the noisy environment is increasingly prominent. But just part of the
individuals who are exposed to the same noisy environment have hearing loss, and
the severity of hearing loss are different, which indicates genetic
predisposition might be associated with NIHL. In recent years, many experts and
scholars at home and abroad have done a lot of research in this field, this
article summarizes all available studies.
PMID- 26540935
TI - [The role of the cerebellum in auditory process and tinnitus].
AB - Cerebellum connects with each part of the auditory pathway directly or
indirectly, forming the anatomical basis for a cerebellar role in auditory
process. Functional imaging studies and clinical observations provide more
abundant support for this view. Tinnitus is one of the most common clinical
manifestations when the auditory system is impaired and remains unsolved. Basic
science researches in neurotransmitter chemistry and molecular biochemistry,
together with functional imaging studies, indicate that cerebellum might
contribute to the pathophysiology of tinnitus. In this review we summarize the
current understanding of cerebellar role in auditory process and tinnitus.
PMID- 26540936
TI - What Does Consistent Participation in 401(k) Plans Generate? Changes in 401(k)
Account Balances, 2007-2013.
PMID- 26540937
TI - The Impact of the Affordable Care Act on Large Employers: A Retrospective.
AB - The Patient Protection and Affordable Care Act (ACA) has created a new
environment for employer health benefit plan management that is influencing
costs, benefit design, delivery, administration, financing and compliance as well
as the positioning of health care within the benefits portfolio and the broader
total rewards strategy. This article will examine the key pragmatic effects of
health reform for larger employers to date, quantifying its direct costs and
discussing the new dimensions of management that reform has introduced. The
discussion will focus on nongrandfathered self-funded plans and will address only
major influences. It is not intended to be all-encompassing and is, of necessity,
general in nature. Each employer will have somewhat differing experiences and
results but should find the discussion to be helpful both in understanding what
has evolved as well as what is to come.
PMID- 26540938
TI - Total Transformation: How ACA Is Driving Changes in the Provider Landscape.
AB - Motivated by Affordable Care Act provisions designed to put the brakes on rapidly
increasing health care costs, employers are adopting numerous strategies for
creating greater efficiency in how they purchase health care. The strategies are
centered on holding providers more accountable for improving patient outcomes and
reducing unnecessary expenses. In conjunction with the federal agency for health
care, Centers for Medicare and Medicaid Services (CMS), they will drastically
transform the provider landscape. This article discusses those strategies, along
with their potential impact on providers.
PMID- 26540939
TI - Looking Under the Hood--Top Five Open Issues for the Cadillac Tax.
AB - The Affordable Care Act's "Cadillac tax" on high-cost group health care plans
begins in 2018, yet its expected impact on employers remains an open question.
Clarifying regulations, guidance and potential statutory changes between now and
then will determine whether employers find the tax to be even more of an
administrative burden than a financial one. This article discusses the top five
open issues about the application of the tax and its administrative requirements,
encouraging employers to use caution in making strategic decisions in advance of
clarifying regulations and potential statutory changes.
PMID- 26540940
TI - The Benefit Aftereffects of ACA--Accelerating Toward a New Health Economy.
AB - While the Affordable Care Act (ACA) focused largely on improving access to health
care coverage for the uninsured, its broader and longer-term influence may have
been its impact on accelerating key trends and strategies that major employers
and other stakeholders have been targeting for years. This article looks at some
of these trends, where we were pre-ACA and how ACA (through benefit mandates,
shared responsibility penalties, Cadillac plan tax, health information
technology, accountable care organizations, etc.) has helped to accelerate and
refocus efforts. In addition, the public exchange paradigm has given rise to a
private exchange movement that is helping further accelerate the transformation
of the New Health Economy.
PMID- 26540941
TI - Workforce Health--The Transition From Cost to Outcomes to Business Performance.
AB - Although employers can shift the cost/risk of health care to external claims
payers through insurance, they can never shift the broader impacts of health
related well-being, lost time and performance outside of their organizational
boundaries. This article traces the evolution of employer strategies to manage
health, addressing what research tells us about the broader impacts of health and
well-being on outcomes and establishing a broader framework for connecting health
to business performance. The challenge that employers now face is to integrate a
much broader set of factors to meet the goals of improved workforce health, well
being and business performance. Regardless of how employers decide to provide
health benefits, these broader issues are a business imperative for every
employer in the 21st century.
PMID- 26540942
TI - ACA and the Triple Aim: Musings of a Health Care Actuary.
AB - In 2008, the Institute for Healthcare Improvement (IHI) promulgated the Triple
Aim, which advocates simultaneous improvements in patient experiences, improved
population health and lower cost per capita. In 2010, the Patient Protection and
Affordable Care Act (ACA) promised quality, affordable health care for all
Americans. It's fair to assume that the framers of ACA were aware of the Triple
Aim, and it is likely that much of ACA was heavily influenced by IHI's positions.
So it is reasonable, from time to time, to assess ACA's impact on health care
against the Triple Aim principles.
PMID- 26540943
TI - Dust Off the Historical Approach to Total Compensation.
AB - Employers have been afforded new opportunities with the enactment of the
Affordable Care Act (ACA). ACA creates a unique opportunity for employers to take
a fresh, strategically based total compensation approach to planning. The concept
of a total compensation framework is not new; however, a new way to achieve this
approach is now possible. Employers need to create their own level playing field,
and a total compensation approach is the optimal solution. This article discusses
how employers that consider a framework driven toward total compensation
accomplish many key objectives.
PMID- 26540944
TI - Employer Reactions to the Affordable Care Act.
AB - Although the implementation of parts of the Affordable Care Act (ACA) was delayed
until 2015, many firms had already made changes to their health insurance plans
and their business practices. This article reports results from a survey
administered to western Michigan firms in October 2013 requesting information on
any changes they made in response to ACA. The authors found that although 89% of
employers planned to offer health insurance in 2014, that number dropped to 66%
in 2015. The main ways organizations were controlling health costs were by
changing prescription coverage, passing on the costs to employees through higher
copays and premiums and offering more high-deductible health plans with health
savings accounts. Employers also were altering business practices by decreasing
future hiring and decreasing the hours of part-time workers. The authors find
that many of these changes were due to the uncertainty firms were facing during
the ACA implementation process.
PMID- 26540945
TI - Long-Term Disability Plan Administration. Melech v. Life Insurance Company of
North America, 739 F.3d 663, 57 EBC 1800 (11th Cir. 2014).
PMID- 26540946
TI - Benefits Claim Statute of Limitations. Riley v. Metropolitan Life Insurance
Company, 744 F.3d 241, 57 EBC 2153 (1st Cir. 2014).
PMID- 26540947
TI - Discretion to Deny Benefits. Prezioso v. The Prudential Insurance Company of
America, 2014 WL 1356862 (8th Cir. 2014).
PMID- 26540948
TI - Statute of Limitations in LTD Termination Appeal. Gordon v. Deloitte & Touche,
LLP Group Long-Term Disability Plan, 2014 WL 1394962 (9th Cir. 2014).
PMID- 26540949
TI - Review of Disability Claim. Hoffman v. Screen Actors Guild--Producers Pension
Plan,_Fed. Appx._, 2014 WL 1664400 (9th Cir. 2014).
PMID- 26540950
TI - ICD-10 is upon us!
PMID- 26540951
TI - The quest for HIT's holy grail.
PMID- 26540952
TI - Countdown to D-Day.
PMID- 26540953
TI - Strategies for successful ICD-10 implementation.
AB - The transition to ICD-10 is the largest mandate in U.S. healthcare history. Full
ICD-10 implementation will require diligent, comprehensive actions. The three
pillars to ICD-10 success are the same with any enormous organizational change:
governance, education, and documentation. Many organizations have called for
additional delays before full implementation, while other organizations across
the United States have already made significant strides to prepare for the
change. But is another delay of ICD-10 the solution? Many believe not, because
any further delays can hinder forward momentum. Organizations that fell behind
before the delay haven't taken the extra time to get up to speed, and therefore
additional time to prepare isn't likely to motivate them any more than the first
delay did. ICD-10 readiness is a journey, but unless the fundamentals are in
place, moving toward an Oct. 1 launch is an uphill journey on a very steep slope.
But with a solid strategy in place, healthcare organizations can complete the
transition, even if they're a little late at the start.
PMID- 26540954
TI - Tips for going beyond ICD-10 readiness.
PMID- 26540955
TI - Are you financially ready for ICD-10? Five questions to consider.
PMID- 26540956
TI - 'Just say no' to paper scripts.
PMID- 26540957
TI - Tackling downtime in rural regions.
PMID- 26540958
TI - Closing the ICD-10 gap.
PMID- 26540959
TI - The Employer-Led Health Care Revolution.
AB - To tame its soaring health care costs, intel tried many popular approaches:
"consumer-driven health care" offerings such as high-deductible/low-premium
plans, on-site clinics and employee wellness programs. But by 2009 intel realized
that those programs alone would not enable the company to solve the problem,
because they didn't affect its root cause: the steadily rising cost of the care
employees and their families were receiving. Intel projected that its health care
expenditures would hit a whopping $1 billion by 2012. So the company decided to
try a novel approach. As a large purchaser of health services and with expertise
in quality improvement and supplier management, intel was uniquely positioned to
drive transformation in its local health care market. The company decided that it
would manage the quality and cost of its health care suppliers with the same
rigor it applied to its equipment suppliers by monitoring quality and cost. It
spearheaded a collaborative effort in Portland, Oregon, that included two health
systems, a plan administrator, and a major government employer. So far the
Portland collaborative has reduced treatment costs for certain medical conditions
by 24% to 49%, improved patient satisfaction, and eliminated over 10,000 hours
worth of waste in the two health systems' business processes.
PMID- 26540960
TI - Accountable Care Organizations: The Missing Link to Engagement in Health and
Health Care?
AB - Accountable care organizations (ACOs) aim to transform health care delivery and
provider payment in a way that improves patient access, engagement and quality of
care. If successful, ACOs may help the U.S. health care system finally evolve
from a "diagnose, treat and reimburse mostly acute, episodic health care"
approach to one that encourages and compensates health care providers that work
with their patients throughout a full continuum of care to prevent and manage
chronic conditions. What are the implications for ACOs from a workforce health
perspective? ACOs were built on a framework for delivering evidence-based health
care interventions to engage patients in managing and improving their health. But
to what extent do ACOs or employers actually follow such a framework and how can
these interventions be integrated with workplace health improvement and wellness
efforts? The authors explore the mechanisms for impacting workforce health and
provide a checklist to assist employers with evaluating ACOs and assessing
feasibility of potential ACO integration with workplace health improvement
programs.
PMID- 26540961
TI - No Recovery of Profits on Unpaid Benefits. Rochow v. Life Ins. Co. of N. Am., 780
F.3d 364 (6th Cir. 2015).
PMID- 26540962
TI - Contraception Coverage. University of Notre Dame v. Burwell,__F.3d_2015 WL
2374764 (7th Cir. May 19, 2015.
PMID- 26540963
TI - Limits on Disability Benefits Associated With Mental Disorder. Dutkewych v.
Standard Ins. Co., 781 F.3d 623 (1st Cir. Mar. 30, 2015).
PMID- 26540965
TI - Official Plan Documents Rule. Prichard v. Metro. Life Ins. Co., 783 F.3d 1166
(9th Cir 2015).
PMID- 26540964
TI - Disability Claim Provisions. Clark v. Janssen Pharm., Inc., 2015 WL 1567097,_Fed.
Appx._(1st Cir. Apr. 8, 2015) unpublished).
PMID- 26540966
TI - Chiropractors' Class Action Certification. Demaria v. Horizon Healthcare
Services, No. 11-7298 (D.N.J. June 1, 2015).
PMID- 26540967
TI - [BIPADDLED SPLIT PECTORALIS MAJOR MYOCUTANEOUS FLAPS FOR IMMEDIATE RECONSTRUCTION
OF ORAL MUCOSAL DEFECTS AND NECK DEFECTS AFTER RESECTION OF RECURRENT ORAL
CANCER].
AB - OBJECTIVE: To investigate the feasibility of the bipaddled split pectoralis major
myocutaneous flap for immediate reconstruction of oral mucosal defects and neck
defects after resection of recurrent oral cancer. METHODS: Six patients with oral
mucosal defects combined with neck defects after recurrent oral cancer resection
were treated with bipaddled split pectoralis major myocutaneous flap between
September 2013 and September 2014. There were 5 males and 1 female with an
average age of 54.7 years (range, 45-62 years), including 4 cases of recurrent
tongue cancer, 1 case of recurrent mandibular gingival cancer, and 1 case of
mouth floor carcinoma. All patients underwent local recurrence at 8 to 14 months
after first operation, with no distant metastasis. The defects of the intraoral
mucosa was 4.0 cm x 2.5 cm to 6.5 cm x 3.5 cm and the defect of the neck skin was
5.5 cm x 3.5 cm to 7.5 cm x 5.0 cm. The pectoralis major myocutaneous flaps (14.0
cm x 3.5 cm to 17.0 cm x 5.5 cm) were incised at the level of the 3rd to the 4th
rib, and then split down along the muscle fiber till about 2 cm away from the
thoracoacromial vessels, forming 2 independent skin paddles with 1-2 branch
vessels to the pedicles of the distal ones. The distal skin paddles were used for
oral reconstruction while the proximal paddles for repair of neck defects. The
chest donor sites were sutured directly. RESULTS: Cervical haematoma and
infection happened in 1 patient respectively after operation, and were cured
after symptomatic treatment. All 6 split pectoralis major myocutaneous flaps with
12 skin paddles completely survived. All patients were followed up 6 to 18 months
(mean, 11 months). One patient died of pulmonary metastasis at 8 months after
operation and the other 5 survived without relapse or metastasis during follow
up. The intraoral paddles showed good shape with satisfactory speech function and
swallowing recovery. The paddles also healed perfectly on the neck with flat
outlooks, and all patients obtained full appearance and free movement of the
neck. No fistula formed on the submandibular region and neck. CONCLUSION: The
bipaddled split pectoralis major myocutaneous flap can complete simultaneous
immediate reconstruction of oral mucosal defect and neck defect. It is very
useful in the treatment of recurrent oral cancer.
PMID- 26540968
TI - [DORSALIS PEDIS FLAP SERIES-PARALLEL BIG TOE NAIL COMPOSITE TISSUE FLAP TO REPAIR
HAND SKIN OF DEGLOVING INJURY WITH THUMB DEFECT].
AB - OBJECTIVE: To investigate the effectiveness of dorsalis pedis flap series
parallel big toe nail composite tissue flap in the repairment of hand skin of
degloving injury with tumb defect. METHODS: Between March 2009 and June 2013, 8
cases of hand degloving injury with thumb defect caused by machine twisting were
treated. There were 7 males and 1 female with the mean age of 36 years (range, 26
48 years). Injury located at the left hand in 3 cases and at the right hand in 5
cases. The time from injury to hospitalization was 1.5-4.0 hours (mean, 2.5
hours). The defect area was 8 cm x 6 cm to 15 cm x 1 cm. The thumb defect was
rated as degree I in 5 cases and as degree II in 3 cases. The contralateral
dorsal skin flap (9 cm x 7 cm to 10 cm x 8 cm) combined with ipsilateral big toe
nail composite tissue flap (2.5 cm x 1.8 cm to 3.0 cm x 2.0 cm) was used,
including 3 parallel anastomosis flaps and 5 series anastomosis flaps. The donor
site of the dorsal flap was repaired with thick skin grafts, the stumps wound was
covered with tongue flap at the shank side of big toe. RESULTS: Vascular crisis
occurred in 1 big toe nail composite tissue flap, margin necrosis occurred in 2
dorsalis pedis flap; the other flaps survived, and primary healing of wound was
obtained. The grafted skin at dorsal donor site all survived, skin of hallux toe
stump had no necrosis. Eight cases were followed up 4-20 months (mean, 15.5
months). All flaps had soft texture and satisfactory appearance; the cutaneous
sensory recovery time was 4-7 months (mean, 5 months). At 4 months after
operation, the two-point discrimination of the thumb pulp was 8-10 mm (mean, 9
mm), and the two-point discrimination of dorsal skin flap was 7-9 mm (mean, 8.5
mm). According to Society of Hand Surgery standard for the evaluation of upper
part of the function, the results were excellent in 4 cases, good in 3 cases, and
fair in 1 case. The donor foot had normal function. CONCLUSION: Dorsalis pedis
flap series-parallel big toe nail composite tissue flap is an ideal way to repair
hand skin defect, and reconstructs the thumb, which has many advantages,
including simple surgical procedure, no limitation to recipient site, soft
texture, satisfactory appearance and function of reconstructing thumb, and small
donor foot loss.
PMID- 26540969
TI - [ANTEROLATERAL THIGH FLAP PEDICLED WITH MEDIAL SURAL VESSELS AS RECIPIENT VESSELS
IN RECONSTRUCTION OF LEG SKIN AND SOFT TISSUE DEFECTS].
AB - OBJECTIVE: To discuss the effectiveness of free anterolateral thigh flap pedicled
with medial sural vessels for treatment of leg skin and soft tissue defects.
METHODS: Between July 2008 and January 2014, 32 cases of serious skin and soft
tissue defects in the leg were repaired by using free anterolateral thigh flap
pedicled with medial sural artery and vein. Of them, there were 22 males and 10
females, aged 23 to 50 years (mean, 36.5 years). Defects were caused by traffic
accidents injury in 9 cases, crash injury of heavy object in 15 cases, and
machine twist injury in 8 cases. The left side was involved in 10 cases and the
right side in 22 cases. The mean interval of injury and admission was 2.5 hours
(range, 1-4 hours). The location was the upper, middle, and lower one third of
the anterior tibia in 15 cases, 10 cases, and 7 cases respectively. The area of
defect ranged from 10 cm x 5 cm to 23 cm x 9 cm. After debridement and vaccum
sealing drainage treatment, the anterolateral thigh flap ranging from 12 cm x 7
cm to 25 cm x 11 cm pedicled with the medial sural vessels was used to repair the
wound. The donor site was sutured directly or repaired with the skingrafts.
RESULTS: All flaps and skingrafts survived after operation, and primary healing
of wound was obtained. After 6-23 months (mean, 14.5 months) follow-up, all flaps
were characterized by soft texture, good color, and satisfactory appearance. The
sensation of the flaps were recovered to S(2-)S(3+) according to the Britain's
Medical Research Council criteria at 6 months after operation. No obvious scar
contracture was observed at donor site. CONCLUSION: The medial sural artery has
the advantages of constant anatomical position, large diameter, rich blood flow,
and a long artery pedicle, so the medial sural vessels is an ideal choice as
recipient vessels for the reconstruction of leg skin and soft tissue defect.
PMID- 26540970
TI - [APPLICATION OF DIGITAL TECHNOLOGY IN ANTEROLATERAL THIGH FLAP FOR REPAIRING
WOUNDS OF HAND AND FOOT].
AB - OBJECTIVE: To investigate the effectiveness of digital technology in repairing
wounds of the hand and foot with anterolateral thigh flap. METHODS: Between
September 2013 and September 2014, 16 cases of wounds of the hand and foot were
treated with the anterolateral thigh flap. There were 10 males and 6 females,
with an average age of 31 years (range, 20-52 years). The causes included traffic
accident injury in 8 cases, crushing injury by machine in 6 cases, burning injury
in 1 case, and animal biting injury in 1 case. The locations of soft tissue
defect were the dorsum of the foot in 5 cases, the ankle in 4 cases, the planta
pedis in 1 case, and the hand and forearm in 6 cases. The time was 2 hours to 45
days from injury to hospitalization (mean, 14.3 days). All defects were
associated with exposure of bone and tendon. The size of wound was from 9.0
cmx4.0 cm to 29.0 cmx8.5 cm. CT angiography (CTA) was performed before operation,
and the appropriate perforator as well as the donor site was selected. Then the
Mimics l5.0 software was used to reconstruct the data of CTA so as to locate the
main perforators, design the three-dimensional models of the anterolateral thigh
flap, and simulate operation. The flap was obtained according to preoperative
plan during operation. The size of flaps varied from 11 cm x 5 cm to 31 cm x 10
cm. The donor sites were sutured directly in 14 cases and were repaired by free
skin graft in 2 cases. RESULTS: The lateral femoral circumflex artery identified
by Mimics l5.0 software before operation, as well as the starting position of its
descending branch, the blood vessel diameter at start site, vascular
distribution, the maximum cutting length of the vascular pedicle were consistent
with the actual observation during operation. All flaps were harvested and were
used to repair defect smoothly. Vascular crisis occurred in 1 flap after
operation, and the other flaps survived successfully. The wounds and the
incisions obtained healing by first intention, and grafted skin survived
completely. All cases were followed up 6-17 months (mean, 9 months). Fifteen
flaps had good shape; but a second- stage operation was performed to make the
flap thinner in 1 case. At last follow-up, the results were excellent in 3 cases,
good in 2 cases, and fair in 1 case according to total active motion (TAM) in 6
cases of hand and forearm injury; the results were excellent in 5 cases, good in
3 cases, and fair in 2 cases according to American Orthopaedic Foot and Ankle
Society (AOFAS) in 10 cases of foot injury. The total excellent and good rate was
81.25%. CONCLUSION: The preoperative individualization design of the flap can be
realized through CTA digital technology and Mimics 15.0 software; it can reduce
the operation risk.
PMID- 26540971
TI - [EFFECTS OF INTERMITTENT IRRIGATION OF INSULIN SOLUTION COMBINED WITH CONTINUOUS
DRAINAGE OF VACUUM SEALING DRAINAGE IN CHRONIC DIABETIC LOWER LIMB ULCERS].
AB - OBJECTIVE: To investigate the effects of intermittent irrigation of insulin
solution combined with continuous drainage of vacuum sealing drainage (VSD) in
chronic diabetic lower limb ulcers. METHODS: Between January 2012 and December
2014, 45 patients with diabetic lower limb ulcer were treated with VSD (group A,
n=15), with VSD combining irrigation of normal saline (group B, n=15), and with
VSD combining irrigation of insulin solution (group C, n=15) after debridement.
There was no significant difference in gender, age, course of ulcers, area and
depth of wound, glycosylated hemoglobin, and Wagner grade among 3 groups
(P>0.05), and the data were comparable. The levels of fasting blood glucose, 2
hour postprandial blood glucose, and random blood glucose were determined
everyday during treatment. The contents of insulin growth factor 1 (IGF-1), tumor
growth factor a (TNF-alpha), and nitric oxide (NO) in necrotic tissue after
drainage were determined. The coverage rate and thickness of granulation tissue
and clearance rate of bacteria in wound were calculated, the granulation tissue
in the center of the wound was harvested for pathological observation with HE
staining after 6 days of treatment. The second stage operation was performed
according to the condition of wounds, and the time to the second stage operation
and the method of the second stage operation were recorded and the survival rate
of grafted skin or flap was calculated. RESULTS: The pathological staining showed
that there were a few new microvessels and fibroblasts in group A after
treatment; more new microvessels and fibroblasts were observed in group B; and
many new microvessels and fibroblasts were found in group C. There was no
significant difference in levels of fasting blood glucose, 2-hour postprandial
blood glucose, and random blood glucose among 3 groups during treatment (P >
0.05). The coverage rate and thickness of granulation tissue and clearance rate
of bacteria in group C were significantly higher than those in groups A and B
after treatment (P < 0.05). The contents of IGF-1 and NO were significantly
increased and TNF-alpha was significantly decreased in group C when compared with
those in group A (P < 0.05). Compared with group B, IGF-1 and NO contents were
significantly increased at 3-6 days and at 2-6 days respectively, and TNF-alpha
content was significantly decreased at 3-6 days in group C (P < 0.05). The method
of the second stage operation showed no significant difference among 3 groups
(chi2 = 2.920, P = 0.230), but the time to the second stage operation in group C
was significantly shorter than that in groups A and B (P < 0.05), and the
survival rate of grafted skin or flap in group C was significantly higher than
that in groups A and B (P < 0.05). CONCLUSION: The treatment of diabetic lower
limb ulcers with intermittent irrigation of insulin solution combined with
continuous drainage of VSD can reduce inflammatory reaction effectively, promote
development of granulation tissue, improve recovery function of tissue, increase
the rate and speed of wound healing obviously, but it has no effect on blood
glucose levels.
PMID- 26540972
TI - [EFFECTIVENESS ANALYSIS OF OLD EXTENSOR TENDON INJURY IN ZONE II].
AB - OBJECTIVE: To discuss the effectiveness of operative treatments for different
kinds of old injury of extensor tendon in zone II so as to choose the best
surgical approach based on the classification of injury. METHODS: Between May
2006 and May 2014, 68 cases of old injury of extensor tendon in zone II were
treated. Among them, there were 50 males and 18 females with an average age of 36
years (range, 18-52 years). The causes included contusion injury in 50 cases,
avulsion injury in 11 cases, and burn injury in 7 cases. The left side was
involved in 21 cases and the right side in 47 cases. The injured finger involved
the index finger in 18 cases, the middle finger in 21 cases, the ring finger in
24 cases, and the little finger in 5 cases. The disease duration was 1.5 months
to 1 year (mean, 6.75 months). The central slip of extensor was repaired directly
in 32 patients who had normal passive motion. Side cross stitch (8 cases) or
Littler-Eaton (10 cases) method was used in 18 patients who can not extend
actively and passively. Tendon graft was performed in 11 patients with tendon
defect. Joint release was given in 7 patients with contracture after burn injury.
RESULTS: Primary healing of incision was obtained in all cases. Sixty-eight cases
were followed up 3-12 months (mean, 6.9 months). Three cases had tendon adhesion
in varying degrees and suffered from pain, which was treated conservatively by
functional exercise. Recurrence was observed in 2 cases, and extensor tendon was
repaired again. According to total active motion (TAM) function assessment, the
results were excellent in 52 cases, good in 11 cases, fair in 3 cases, and poor
in 2 cases with an excellent and good rate of 92.6%. CONCLUSION: Adaptive
operation method for old injury of extensor tendon in zone II should be selected
based on the type of injury. The results will be satisfactory if correct method
is chosen.
PMID- 26540973
TI - [CLINICAL RESEARCH AND DISCUSSION OF MODIFIED CRANIOPLASTY].
AB - OBJECTIVE: To study and design a modified cranioplasty, and to explore the
effectiveness so as to reduce the incidence rate of operative complications.
METHODS: A total of 68 patients with craniocerebral trauma or hypertensive
cerebral hemorrhage between August 2012 and March 2014 were selected and randomly
divided into 2 groups. The standard decompress craniectomy and under-temporal
cranioplasty were performed in 32 cases (group A), and several small bone chips
were placed under-tempus during decompress craniectomy and then the shape of
temporal muscle was designed and the temporal muscle was reconstructed at the
attachment sites during cranioplasty in 36 cases (group B). No significant
difference was found in gender, age, side of operation, cause of injury, time
between injury and decompress craniectomy, and time between postoperation and
cranioplasty between 2 groups (P > 0.05). Then the postoperative complications
were compared between the 2 groups. RESULTS: Primary healing of incision was
obtained in all patients. The patients were followed up 12 months on average
(range, 6-16 months) in 2 groups. The follow complications occurred in group A: 4
cases of asymmetric appearance (12.50%), 12 cases of temporal muscle atrophy
(37.50%), 6 cases of temporal pain and masticatory atonia (18.75%), 2 cases of
epilepsy (6.25%), 9 cases of leakage of cerebrospinal fluid (28.13%), 1 case of
cerebral contusion and laceration (3.13%), and 1 case of cerebral hemorrhage
(3.13%); temporal muscle atrophy was observed in 2 cases (5.56%) and the rate of
complication was significantly lower than that in group A (P < 0.05). The
symmetrical appearance of the skull and good function were achieved in the other
patients having no complication. CONCLUSION: New technique of setting bone chip
markers during decompress craniectomy and reconstructing temporal muscle during
cranioplasty can reduce the incidence of complications and thus it is an
effective surgical procedure.
PMID- 26540974
TI - [OPEN ARTHROLYSIS COMBINED WITH INTERNAL FIXATOR REMOVAL FOR POST-TRAUMATIC ELBOW
STIFFNESS].
AB - OBJECTIVE: To explore the effectiveness and safety of open arthrolysis combined
with internal fixator removal for post-traumatic elbow stiffness. METHODS: A
retrospective analysis was made on the data of 80 cases treated by open
arthrolysis for elbow stiffness between January 2010 and December 2011. The
patients were divided into 2 groups: no internal fixation group (group A, n = 39)
and internal fixation group (group B, n = 41) according to whether they underwent
internal fixator removal at the same time. No significant difference was found in
age, gender, affected side, injury time, elbow rigidity grade, and severity of
heterotopic ossification (HO) between 2 groups (P > 0.05) except for original
injury type (P < 0.05). The effectiveness was evaluated by the occurrence of
complications including ulnar nerve symptoms, HO recurrence and re-fracture, the
elbow range of motion (ROM) and the Mayo elbow performance score (MEPS). RESULTS:
The mean follow-up duration was 15.7 months (range, 12-18 months) in group A and
16.1 months (range, 12-20 months) in group B. Ulnar nerve symptoms in 5 cases
(12.8%) and HO reccurrence in 1 case (2.6%) occurred in group A, while ulnar
nerve symptoms in 4 cases (9.8%), HO recurrence 1 case (2.4%), and refracture in
1 case (2.4%) occurred in group B. The incidence of each complication showed no
significant difference between 2 groups (P > 0.05). Both the ROM and the MEPS at
last follow-up increased significantly when compared with preoperative ones in 2
groups (P < 0.05). Besides, MEPS of group A was significantly higher than that of
group B (t = 2.36, P = 0.02), but no significant difference was found in the ROM
between 2 groups at last follow-up (t = 0.40, P = 0.69). Based on MEPS, the
results were excellent in 16 cases, good in 16 cases, fair in 6 cases, and poor
in 1 case in group A with an excellent and good rate of 82.1%; the results were
excellent in 10 cases, good in 25 cases, fair in 4 cases, and poor in 2 cases in
group B with an excellent and good rate of 85.4%. There was no significant
difference in excellent and good rate between 2 groups (chi2 = 0.16, P = 0.69).
CONCLUSION: Open elbow arthrolysis combined with internal fixator removal for
post-traumatic elbow stiffness is safe and effective. However, measures for
prevention of re-fracture should always be taken into consideration.
PMID- 26540975
TI - [EFFECTIVENESS OF Bernese OSTEOTOMY FOR TREATMENT OF DEVELOPMENTAL DYSPLASIA OF
THE HIP IN ADULTS].
AB - OBJECTIVE: To investigate the effectiveness of Bernese osteotomy for the
treatment of developmental dysplasia of the hip (DDH) in adults. METHODS: Between
August 2012 and April 2014, 16 patients with DDH were treated with Bernese
osteotomy by S-P approach, and the clinical data were retrospectively analyzed.
There were 4 males and 12 females with an average age of 27.8 years (range, 18-35
years). The left side was involved in 6 cases and the right side in 10 cases. The
visual analogue scale (VAS) score was 4.8 +/- 0.5, and the Harris hip score was
81.2 +/- 5.4. The lateral center edge (CE) angle (the angle between the vertical
center of the femoral head and the lateral edge of the acetabulum) was (6.5 +/-
8.7); the horizontal tilt angle was (25.6 +/- 5.9); and the femoral head
extrusion index was 36.5% +/- 6.5%. According to the Tonnis osteoarthritis
classification, 12 hips were rated as Grade 0, 3 hips as Grade I, and 1 hip as
Grade II. RESULTS: The operation time was 90-135 minutes; the intraoperative
blood loss was 400-800 mL; 10 cases accepted blood transfusion and the amount of
blood transfusion was 200-600 mL; the postoperative drainage volume was 100- 300
mL; and the hospitalization time was 7-12 days. All the cases achieved primary
healing of incision with no early complications. Two cases had numb in the
lateral femoral cutaneous nerve innervating area. All patients were followed up
12-26 months (mean, 20 months). The X-ray examination showed osseous healing at
osteotomy site, and the healing time was 12-16 weeks (mean, 13.5 weeks). No
acetabulum fracture, heterotopic ossification, osteonecrosis, and internal
fixation loosening occurred during follow-up. No progression of osteoarthritis or
acetabular cystic change was observed. At last follow-up, the lateral CE angle
was (27.7 +/- 6.8); the horizontal tilt angle was (16.2 +/- 4.8) degrees ; the
femoral head extrusion index was 19.7% +/- 5.3%; VAS score was 0.8 +/- 0.3; the
Harris hip score was 96.8 +/- 6.7; and all showed significant differences when
compared with preoperative ones (P < 0.05). CONCLUSION: For DDH adults, Bernese
osteotomy can effectively increase the acetabulum tolerance, improve the joint
function, and slow progress in osteoarthritis, and the short-term effectiveness
is satisfactory.
PMID- 26540976
TI - [VASCULAR ANATOMICAL STUDY ON TIBIAL OSTEOTOMY LENGTHENING].
AB - OBJECTIVE: To provide the anatomical basis for the selection of osteotomy site in
leg lengthening or tibial slip. METHODS: Between August 2010 and July 2014, 10
adult fresh amputated leg specimens were collected. The pressure perfusion of red
latex was performed by the popliteal artery. The anterior tibial artery and its
main branches were separated and exposed, and the periosteal branch of anterior
tibial artery was adequately exposed; the posterior tibial artery and its main
branches were exposed; the peroneal artery was separated and exposed. The tibial
and peroneal artery and its branches were observed and measured. When measuring
the proximal end, the medial tibial plateau bone margin, the most prominent part
of the tibial tuberosity, and the fibular head edge were used as a reference;
when measuring the distal end, distal medial condyle of tibia malleolus tip,
tibial lateral malleolus lateral tip, and distal tibial articular surface were
used as a reference; the vertical distance between tibia proximal and distal main
arteries and bone end reference was measured to determine the optimal osteotomy
position of upper and lower tibia. The osteotomy index was calculated which was
used to represent the relative position of osteotomy site in the whole tibia.
RESULTS: The proximal tibial osteotomy site located at (78.2 +/- 19.5) mm from
medial tibial plateau margin, (41.8 +/- 16.0) mm from the tibial tuberosity pole,
and (66.7 +/- 16.4) mm from the fibular head edge. The distal tibial osteotomy
site located at (70.8 +/- 12.1) mm above the inferior margin of tibial medial
malleolus, (83.3 +/- 13.0) mm above the inferior margin of lateral malleolus tip,
and (59.1 +/- 11.7) mm from distal tibial articular surface. The proximal tibial
osteotomy index was 18.45-23.35 (mean, 20.46); the distal tibial osteotomy index
was 14.36-23.05 (mean, 18.81). CONCLUSION: The metaphyseal-diaphyseal connection
shold be selected in the proximal and distal tibia osteotomy, the lower one third
of the tibia is not suitable for ostetomy.
PMID- 26540977
TI - [EFFECTIVENESS COMPARISON BETWEEN ANTERIOR CERVICAL ZERO- PROFILE INTERBODY
FUSION DEVICE AND ANTERIOR CERVICAL PLATE CAGE BENEZECH].
AB - OBJECTIVE: To compare the effectiveness between anterior cervical Zero-profile
interbody fusion device (Zero-P) and anterior cervical plate device (plate cage
benezech, PCB) for cervical disease. METHODS: Between February 2011 and January
2013, 98 patients with cervical spondylosis who accorded with the inclusion
criteria were treated with Zero-P in 49 cases (group A) and with PCB in 49 cases
(group B). There was no significant difference in gender, age, disease type,
disease duration, and disease segments between 2 groups (P>0.05). The Cobb angle,
short-form 36 health survey scale (SF-36 scale), Japanese Orthopedic Association
(JOA) score, postoperative dysphagia cases, neck disability index (NDI), and
visual analogue scale (VAS) score were compared between 2 groups. RESULTS: The
operation time and intraoperative blood loss of group A were significantly less
than those of group B (t = 4.089, P = 0.000; t = 3.587, P = 0.001). The patients
were followed up 3-36 months (mean, 18.5 months). No loosening or breaking of
internal fixation and bone absorption or collapse occurred in the other patients
except 2 patients who suffered from screw loosening at 3 months after operation.
Within 6 months after operation, dysphagia occurred in 8 cases (16.33%) of group
A and in 13 cases (26.53%) of group B, showing significant difference (chi2 =
10.616, P = 0.001). At last follow-up, JOA score, VAS score, NDI, SF-36 scale,
and Cobb angle were significantly improved when compared with preoperative ones
in 2 groups (P<0.05); the other indexes of group A were significantly better than
those of group B (P < 0.05) except SF-36 scale and Cobb angle (P>0.05). The
excellent and good rate of JOA score was 81.63% in group A and 71.43% in group B,
showing significant difference (chi2 = 4.346, P = 0.037). CONCLUSION: Zero-P and
PCB can get good results in treatment of cervical disease, but the Zero-P is
better than PCB in reducing postoperative dysphagia because less wounds and
strong stability.
PMID- 26540978
TI - [APPLICATION OF HIGH VISCOSITY BONE CEMENT IN UNILATERAL PUNCTURE PERCUTANEOUS
VERTEBROPLASTY FOR TREATMENT OF FRESH VERTEBRAL FRACTURE].
AB - OBJECTIVE: To discuss the effectiveness of high viscosity bone cement in
unilateral puncture percutaneous vertebroplasty (PVP) for the treatment of
osteoporotic fresh vertebral fracture and operation skills of the bone cement
leakage prevention. METHODS: Between November 2005 and October 2013, 82 elderly
patients with fresh thoracolumbar vertebral fracture were first treated with
hyperextension postural reduction and then with high viscosity bone cement in
unilateral pedicle puncture PVP. Of 82 cases, 25 were male and 57 were female
with an average age of 72.3 years (range, 61-90 years). The causes included
falling injury in 28 cases, sprain injury in 11 cases, car shock injury in 4
cases, fracture by cough or sneeze in 6 cases, and no obvious reason in 33 cases.
The time from injury to operation was 3-15 days (mean, 7.6 days). Single segment
was involved in 74 cases, and double segments in 8 cases. The operation time,
fluoroscopy time, intraoperative blood loss, and hospitalization time were
recorded, bone cement leakage was observed; pain visual analogue scale (VAS) was
used to evaluate pain relief effect; X-ray films were taken for measurement of
the Cobb angle and anterior vertebral body height compression ratio to evaluate
the correction effect. RESULTS: The average operation time was 16 minutes; the
average fluoroscopy time was 48 seconds; the average hospitalization time was 3
days. All cases were followed up from 6 to 18 months (mean, 6.8 months). Leakage
of bone cement occurred in 6 cases (7.3%), including 3 cases of paravertebral
soft tissue leakage, 1 case of intervertebral disc leakage, and 2 cases of venous
leakage. There was no nerve damage or paralysis. At last follow-up, VAS score was
significantly decreased to 1.3 +/- 0.9 from preoperative 8.8 +/- 1.2 (t = 73.891,
P = 0.000); Cobb angle was significantly reduced to (25.06 +/- 6.18) degrees
from preoperative (34.79 +/- 7.18) degrees (t = 18.878, P = 0.000); and the
anterior vertebral body height compression ratio was significantly increased to
67.8% +/- 5.7% from preoperative 41.3% +/- 9.8% (t = 36.880, P =0.000).
CONCLUSION: Application of high viscosity bone cement in unilateral puncture PVP
can shorten operation time, reduce bone cement leakage rate, and achieve
satisfactory effectiveness.
PMID- 26540979
TI - [LONG-TERM EFFECTS ON LOWER LIMB FUNCTION AFTER S1 NERVE ROOT TRANSECTION AS
DYNAMIC SOURCE].
AB - OBJECTIVE: To evaluate the long-term effects on the lower limb function after S
nerve root transection as dynamic source. METHODS: Between January 2007 and
December 2011, 47 patients with atonic bladder dysfunction underwent S1 nerve
root transposition to reconstrut the bladder function. There were 43 males and 4
females, with an average age of 40.7 years (range, 22-66 years). The locations
were L1 in 33 cases, L2 in 5 cases, L3 in 2 cases, T12, L, in 3 cases, L1, L2 in
1 case, L1, L3in 1 case, L1, L4 in 1 case, and L2, L3 in 1 case. The anastomosis
of the S2 or S3 nerve root to S1 nerve root was performed from 4 to 24 months
(mean, 8 months) after spinal cord injury. The strength of ande plantar flexion
was grade 4 in 5 cases and grade 5 in 42 cases before operation. RESULTS: The
strength of ande plantar flexion had no obvious decrease (grade 4 or 5) in 31
cases, reduced 0.5 grade in 16 cases at 2 days after operation. All the patients
were followed up 3-8 years (mean, 5.1 years). At 2 weeks after operation, the
nerve electrophysiological examination showed neurogenic damage at operated side
in most patients, including reduced amplitude tibial nerve in 19 cases, for
common peroneal nerve in 13 cases, and for tibial nerve and common peroneal nerve
in 9 cases. Except the velocity of common peroneal nerve (t = -1.881, P = 0.093),
the other electric physiological indexes showed significant differences between
at pre- and post-operation (P < 0.05). The muscle strength basically recovered to
preoperative level (grade 4 or 5) during follow-up, and there was no impairment
of lower limb function. CONCLUSION: S1 transection has no significant effects on
lower limb function, so S1 nerve can be used as dynamic nerve for nerve function
reconstruction.
PMID- 26540980
TI - [STUDY ON RELATIONSHIP BETWEEN DIFFUSION TENSOR IMAGING AND VISUAL EVOKED
POTENTIAL IN VISUAL PATHWAY OF NEUROMYELITIS OPTICA].
AB - OBJECTIVE: To study the relationship between brain white matter fiber occult
lesions and P100 wave latency of visual evoked potential (VEP) in neuromyelitis
optica (NMO) patients by diffusion tensor imaging (DTI). METHODS: Twenty patients
with NMO who were treated between July 2008 and April 2009 were selected as the
trial group. According to the VEP test, the latency of P100 wave was prolonged,
the NMO patients were divided into VEP abnormal group (trial group 1) and VEP
normal group (trial group 2). Twenty healthy adult volunteers served as the
control group. The DTI examination in brain was done to measure the fractional
anisotropy (FA) value of optic nerve (FAn), optic tract (FAt), and optic
radiation (FAr); and the mean diffusivity (MD) value of optic nerve (MDn), optic
tract (MDt), and optic radiation (MDr). The FA, MD, and P100 wave latency were
compared between groups, and the correlation between MD, FA, and P100 wave
latency of NMO were analyzed. RESULTS: In the 20 NMO patients, 13 patients with
VEP had prolonged bilateral P100 wave latency prolongation or no wave (trial
group 1), and 7 patients had normal bilateral P100 wave latency (trial group 2).
Compared with the trial group 2 and the control group, the FA values were
significantly decreased, and the MD values were significantly increased in the
trial group 1 (P < 0.05). There was no significant difference in the FA and MD
values between the trial group 2 and the control group (P > 0.05). All FA (FAn,
FAt, and FAr) values of each part of NMO patients were negatively correlated with
the latency of P100 wave (P < 0.05), all MD (MDn, MDt, and MDr) values were
positively correlated with the latency of P100 wave (P < 0.05). CONCLUSION: DTI
could show small pathylogical changes in the white matter fibers of visual
pathway, and there is a correlation between DTI and VEP in NMO, suggesting that a
more comprehensive assessment to the condition and prognosis can be made through
the VEP in the clinical indicators.
PMID- 26540981
TI - [HYPOXIA INDUCIBLE FACTOR lalpha/2alpha GENES EXPRESSION IN CHONDROGENIC
DIFFERENTIATION OF HUMAN BONE MARROW MESENCHYMAL STEM CELLS].
AB - OBJECTIVE: To observe the genes expression of hypoxia inducible factor lalpha
(HIF-1alpha) and HIF- 2alpha by inducing chondrogenic differentiation of human
bone marrow mesenchymal stem cells (hBMSCs) so as to provide a fundamental basis
for HIF involving in the mechanism of chondrogenesis. METHODS: High density
pellet of hBMSCs was obtained by centrifugation and cultured with H-DMEM medium
containing 2% fetal bovine serum (control group) and with chondrogenic medium
(chondrogenic induction group) under hypoxia (2% O2) for 3 weeks.
Immunohistochemistry staining was utilized to identify extracellular proteoglycan
and collagen type II at 3 weeks after culture. Western blot was applied for
measuring HIF-1alpha and HIF-2alpha protein levels at 1 week after culture. Real
time quantitative PCR was performed to detect the genes expressions of HIF
1alpha, HIF-2alpha, Sox-9, collagen type II, collagen type X, and Aggrecan at 1,
2, and 3 weeks after culture. RESULTS: Toluidine blue staining showed sparse
nucleus in the control group, and dense nucleus in the chondrogenic induction
group; extracellular matrix staining was deeper in the chondrogenic induction
group than the control group. Immunohistochemical staining for collagen type II
was positive in cytoplasm; when compared with the chondrogenic induction group,
the control group showed sparse and light-coloured nucleus. At 1 week after
culture, the protein expression levels of HIF-1alpha and HIF-2alpha in the
chondrogenic induction group were significantly lower than those in the control
group (t = 8.345, P = 0.001; t = 7.683, P = 0.002). When compared with control
group, the HIF-1alpha mRNA expression was significantly down-regulated at 1 week
and significantly up-regulated at 2 weeks in chondrogenic induction group (P <
0.05), but no significant difference was found at 3 weeks between the 2 groups (P
> 0.05). And the mRNA expression of HIF-2alpha was significantly down-regulated
and mRNA expression of Sox-9 was significantly up-regulated after chondrogenic
differentiation when compared with the control group (P < 0.01). The mRNA
expressions of collagen type II and collagen type X were significantly up
regulated at 2 and 3 weeks after chondrogenic differentiation when compared with
the control group (P < 0.05). And the mRNA expression of Aggrecan was
significantly up-regulated at each time point after chondrogenic differentiation
(P < 0.05). CONCLUSION: HIF-1alpha may involve the hBMSCs chondrogenic
differentiation under hypoxia, while HIF-2alpha expression is depressed
throughout the period and may have negative effect on differentiation.
PMID- 26540982
TI - [ISOLATION AND IDENTIFICATION OF CARTILAGE PROGENITOR CELLS AND INFLUENCE OF
INTERLEUKIN 1beta ON ITS CHONDROGENESIS].
AB - OBJECTIVE: To isolate and identify the cartilage progenitor cells (CPCs) from
normal cartilage, and to explore the influence of interleukin 1beta (IL-1beta) in
different concentrations on its chondrogenesis. METHODS: CPCs were isolated from
normal cartilage of adult New Zealand white rabbit with the fibronectin adhesion
assay; the cell phenotype was identified; and the cloning and differentiation of
CPCs were observed. CPCs were incubated with H-DMEM in group A, with chondrogenic
induced medium in group B, with chondrogenic induced medium+0.1 ng/mL IL-1beta in
group C and chondrogenic induced medium+1.0 ng/mL IL-1beta in group D for 3
weeks. The histology, biochemistry, and real-time fluorescence quantitative PCR
were performed to observe the effect of IL-1beta on the chondrgenic
differentiation. RESULTS: The CPCs from normal cartilage expressed positively
stem cell phenotype, which have similar ability of cloning and differentiation to
stem cells. The cell pellets in groups C and D were significantly smaller than
those in group B, and cell showed hypertrophic morphology change. There were more
expressions of collagen type II and collagen type X in group B than in group A,
in group B than in groups C and D, and in group C than group D with Safranin O
staining. The biochemistry results showed that collagen type II content,
glycosaminoglycan (GAG) content, and the ratio of GAG/DNA were significantly
lower in groups C and D than in group B (P < 0.05), and in group D than in group
C (P < 0.05); but the DNA content was significantly higher in groups C and D than
in group B (P < 0.05), and no significant difference between groups C and D (P >
0.05). The real-time fluorescence quantitative PCR results showed that the
relative mRNA expressions of collagen type II, collagen type X, and Sox-9 were
significantly lower in groups C and D than in group B (P < 0.05), and in group D
than in group C (P < 0.05), but the relative mRNA expressions of Runx-2 and
matrix metalloproteinase 13 were significantly higher in groups C and D than in
group B (P < 0.05), and in group D than in group C (P < 0.05). CONCLUSION: There
are CPCs having the character of stem cells in normal cartilage, and they have
the capability of cloning and potential differentiation. IL-1beta can inhibit the
chondrogenesis of CPCs, and possibly promote the osteogenic differentiation.
PMID- 26540983
TI - [BIOLOGICAL FEATURES AND IDENTIFICATION OF ENDOTHELIAL PROGENITOR CELLS FROM
PERIPHERAL BLOOD].
AB - OBJECTIVE: To compare the biological features of early and late endothelial
progenitor cells (EPCs) by isolating and culturing early and late EPCs from the
human peripheral blood so as to find some unique properties of EPCs and to
propose a suitable strategy for EPCs identification. METHODS: Mononuclear cells
were isolated from the human peripheral blood using density gradient
centrifugation. Then, the cells were inoculated in human fibronectin-coated
culture flasks and cultured in endothelial cell basal medium 2. After 4-7 days
and 2-3 weeks culture, early and late EPCs were obtained respectively. The
morphology, proliferation potential, surface markers, cytokine secretion,
angiogenic ability, and nitric oxide (NO) release were compared between 2 types
of EPCs. Meanwhile, the human aortic endothelial cells (HAECs) were used as
positive control. RESULTS: The morphology of early and late EPCs was different:
early EPCs formed a cell cluster with a spindle shape after 4-7 days of culture,
and late EPCs showed a cobblestone appearance. Late EPCs were characterized by
high proliferation potential and were able to form capillary tubes on Matrigel,
but early EPCs did not have this feature. Both types EPCs could ingest acetylated
low density lipoprotein and combine with ulex europaeus I. Flow cytometry
analysis showed that early EPCs did not express CD34 and CD133, but expressed the
CD14 and CD45 of the hematopoietic stem cell markers; however, late EPCs
expressed CD31 and CD34 of the endothelial cell markers, but did not express
CD14, CD45, and CD133. By RT-PCR analysis, the expressions of vascular
endothelial growth receptor 2 and vascular endothelial cadherin in early EPCs
were significantly lower than those in the late EPCs and HAECs (P < 0.05), but no
significant difference was found in the expression of von Willebrand factor and
endothelial nitric oxide synthase (eNOS) between 2 type EPCs (P > 0.05). The
concentrations of vascular endothelial growth factor, granulocyte colony
stimulating factor, and interleukin 8 were significantly higher in the
supernatant of early EPCs than late EPCs (P < 0.05). Western blot assay indicated
eNOS expressed in both types EPCs, while the expression of eNOS in late EPCs was
significantly higher than early EPCs at 5 weeks (P < 0.05). Both cell types could
produce similar amount of NO (P > 0.05). CONCLUSION: The expression of eNOS and
the production of NO could be used as common biological features to identify
EPCs, and the strategy of a combination of multiple methods for EPCs
identification is more feasible.
PMID- 26540984
TI - [DIFFERENTIATION OF HUMAN UMBILICAL CORD MESENCHYMAL STEM CELLS INTO HEPATOCYTES
INDUCED BY RAT FIBROTIC LIVER TISSUE EXTRACTS].
AB - OBJECTIVE: To investigate the differentiation potential of human umbilical cord
mesenchymal stem cells (HUCMSCs) into hepatocytes induced by rat fibrotic liver
tissue extracts. METHODS: Liver fibrosis was induced in the Sprague Dawley rats
(weighting, 180-220 g) by repeated intraperitoneal injections of 3% thioacetamide
saline at a dose of 200 mg/kg twice a week for 4 weeks; fibrotic liver tissues
were used to prepare liver homogenate supernatants. The HUCMSCs at passage 3 were
cultured in DMEM/F12 with 10% fetal bovine serum (FBS) (control group) and in
DMEM/F12 with 10% FBS and 50 g/L liver homogenate supernatants (experimental
group) for 7 days. The morphological changes of the cells were recorded; the
protein levels of cytokeratin 18 (CK18), alpha fetoprotein (AFP), and CYP3A4 were
measured using Western blot. The glycogen storing ability of the cells was
detected by periodic acid-schiff (PAS) staining. Furthermore, the synthesis of
albumin (ALB) and blood urea nitrogen (BUN) was measured. RESULTS: In
experimental group, after 1 day of induction, the stem cells of fusiform shape
began to lose sharp edges and progressively shrunk, and then they changed into
hepatocyte-like cells with round and irregular shape at 7 days. Positive
expressions of AFP, CK18, and CYP3A4 were observed in the experimental group, but
negative expression in the control group. The concentrations of BUN and ALB were
(0.43 +/- 0.07) mmol/L and (8.08 +/- 0.41) ug/mL in the control group and were
(2.52 +/- 0.20) mmol/L and (41.48 +/- 4.11) ug/mL in the experimental group,
showing significant differences (t=24.160, P = 0.000; t = 19.810, P = 0.000). PAS
staining results showed navy blue nucleus and lavender cytoplasm in the control
group, but dark purple cell body and visible nucleus in the experimental group.
CONCLUSION: HUCMSCs could differentiate into hepatocyte-like cells induced by rat
fibrotic liver tissue extracts, which have hepatocyte biomarkers (AFP, CK18, and
CYP3A4) and hepatocyte-specific functions of glycogen storage, urea production
and ALB secretion, so they could partially replace the function of hepatocytes,
that may be one of the therapeutic mechanisms of stem cell transplantation.
PMID- 26540985
TI - [ISOLATION OF RAT PATELLAR TENDON STEM CELLS AND EFFECT OF MECHANICAL STRETCHING
ON Sox-9 EXPRESSION].
AB - OBJECTIVE: To isolate the tendon stem cells (TSCs) from rat patellar tendon and
to investigate the effect of mechanical stretching on the expression of Sox-9.
METHODS: TSCs were isolated from Sprague Dawley rat (12 weeks old) patellar
tendon by collagenase digestion and low density culture. The cell colony
morphology and number were observed by crystal violet staining; the cell
morphology was observed by inverted phase contrast microscope, and the
immunophenotypes of mesenchymal stem cells (MSCs) were determined by flow
cytometry. The TSCs at passage 3 was given the mechanical stretching at 4%, 0.17
Hz for 4 hours and 24 hours in the experimental group, and cells without
stretching was used as control. The Sox-9 gene and protein expressions were
detected by real-time fluorescence quantitative PCR and Western blot. RESULTS:
Primary cells showed clonal growth and star shape; after subculture, cells at
passage 1 showed fibroblast-like shape. The cells formed cell colonies after 7
days; the expressions were positive for CD29, CD44, and CD90 and negative for
CD45. The result of real-time fluorescence quantitative PCR showed that Sox-9
gene was down-regulated at 4 hours after mechanical stretching compared with
control (P < 0.05), and up-regulated at 24 hours after mechanical stretching when
compared with control group (P < 0.05). The result of Western blot showed that
Sox-9 protein expression was lower at 4 hours after stretching, but higher at 24
hours after mechanical stretching than that in control group (P < 0.05).
CONCLUSION: The rat patellar TSCs can be isolated successfully, and mechanical
stretching inhibits the Sox-9 expression, but the inhibited effect might
stimulate the Sox-9 expression after the mechanical stretching effect disappears.
PMID- 26540986
TI - [EFFECT OF BONE MARROW MESENCHYMAL STEM CELLS ON GASTRIC ULCER REPAIRING].
AB - OBJECTIVE: To explore the ettect and mechanisms of bone marrow mesenchymal stem
cells (BMSCs) on healing quality of acetic acid-induced gastric ulcer. METHODS:
Forty-eight clean grade male Wistar rats were used to establish the model of
gastric ulcer with acetic acid and were randomly divided into 3 groups after 3
days of modeling, 16 rats each group. After the abdominal cavity was open and
stomach was pulled out, no treatment was given in group A, 150 uL phosphate
buffered saline (PBS) and 150 uL BMSCs at passage 4+PBS (1 x 10(8) cells/100 uL)
were injected into the gastric wall surrounding the ulcer at 5 different points
in groups B and C respectively. After 10 days, the ulcer area was measured, the
mucosal thickness and the number of dilated glands were tested in the
regenerative mucosa by histological method. And the expression of vascular
endothelial growth factor (VEGF) was detected at ulcerative margin by
immunohistochemical method. RESULTS: The ulcer area in group C was significantly
smaller than that of groups A and B (P < 0.01), but no significant difference was
found between groups A and B (P > 0.05). HE staining showed that group C had
thicker regenerative gastric mucosa, less dilated glands, and more regular
mucosal structure than groups A and B, showing significant differences in
regenerative gastric mucosa thickness and dilated glands number (P < 0.01), but
no significant difference between groups A and B (P > 0.05). Immunohistochemical
staining showed that the positive expression of VEGF in the ulcer margin mucosa
of group C was significantly higher than that of groups A and B. The integral
absorbance (IA) value of VEGF expression in group C was significantly higher than
that in groups A and B (P < 0.01), but no significant difference between groups A
and B (P > 0.05). CONCLUSION: BMSCs can accelerate ulcer healing by the secretion
of VEGF, and improve the quality of ulcer healing.
PMID- 26540987
TI - [A FOLLOW-UP STUDY ON AUTOLOGOUS BONE MARROW MONONUCLEAR CELLS TRANSPLANTATION
FOR CRITICAL LOWER ARTERIOSCLEROSIS OBLITERANS IN DIABETIC PATIENTS].
AB - OBJECTIVE: To assess the long-term effectiveness and safety of autologous bone
marrow mononuclear cells (BM-MNC) transplantation in the treatment of critical
diabetic lower arteriosclerosis obliterans (ASO). METHODS: Between January 2007
and January 2010, 61 patients with critical diabetic lower ASO were treated with
standard medical therapies in 29 cases (control group) or with standard medical
therapies and autologous BM-MNC transplantation in 32 cases (treatment group).
There was no significant difference in gender, age, disease duration, Fontatine
stage, glucose (GLU), triglyceride (TG), total cholesterol (CHOL), low-density
lipoprotein-cholesterol (LDL-C), hemoglobin Alc (HbA1c), systolic blood pressure
(SBP), and diastolic blood pressure (DBP) between 2 groups (P > 0.05). The
endpoints were overall survival (OS) and amputation-free survival (AFS). The risk
indexes for ASO were observed and compared between 2 groups before and after
treatments. RESULTS: The patients were followed up 2-36 months, and no malignant
tumor occurred. The OS rate, OS time, AFS rate, and AFS time were 82.76% (24/29),
(32.31 +/- 9.08) months, 37.50% (9/24), and (21.28 +/- 13.35) months in the
control group and were 78.13% (25/32), (32.47 +/- 6.96) months, 68.00% (17/25),
and (28.38 +/- 9.48) months in the treatment group; all indexes showed no
significant differences (P > 0.05). OS rate, OS time, AFS rate, and AFS time
showed no significant differences between 2 groups at the other time (P > 0.05)
except AFS time at 1 year, which was significantly short in the control group
than the treatment group (t = 2.806, P = 0.007). At the endpoint of follow-up,
the indexes of GLU, TG, CHOL, LDL-C, HbAlc, SBP, and DBP showed no significant
differences between before and after treatments and between 2 groups (P > 0.05)
in 49 survival patients (24 in control group and 25 in treatment group).
CONCLUSION: Autologous BM-MNC transplantation is safe and effective in the
treatment of critical diabetic lower ASO, which can significantly improve AFS
rate and prolong AFS time with no risks.
PMID- 26540988
TI - [DEVELOPMENT PROGRESS OF MESENCHYMAL STEM CELLS SENESCENCE].
AB - OBJECTIVE: TO summarize the researcn situation or mesenchymal stem cells (MSCs)
senescence, including the characteristics and mechanisms of senescence. METHODS:
The original articles in recent years about MSCs senescence were extensively
reviewed, and comprehensively analyzed. RESULTS: The senescence of MSCs which
manifests as morphological senescence, reduced proliferation and differentiation
potential, altered immunoregulation are found during the cultivation in
experiment, which profoundly affects clinical application of MSCs. The research
about the mechanisms of MSCs senescence includes telomere and telomerase, and
stress-mediated injury etc, involving regulation of telomerase, and regulation of
signal pathways of p53/p21, P13K/Akt, and Wnt/beta-catenin etc. CONCLUSION: The
further study of senescence mechanisms will help to accelerate the clinical
application of MSCs in the future.
PMID- 26540989
TI - [RESEARCH DEVELOPMENT OF REVERSE TOTAL SHOULDER ARTHROPLASTY].
AB - OBJECTIVE: To investigate the development and clinical application of the reverse
total shoulder arthroplasty. METHODS: The relative publications on reverse total
shoulder arthroplasties were extensively reviewed and analyzed. RESULTS: Reverse
total shoulder arthroplasty has extensive indications, especially for
pseudoparalysis caused by irrepairable rotator cuff tears with forward or upper
shift of the humeral head and intact function of deltoid. The clinical research
results indicate that the short-term results are satisfactory, but there are some
special complications, such as scapular nothching, instability and limities of
internal and external rotation. While performing this kind of operation, the
selection of the approach, the determination of the prosthetic rotation center
should be considered well, and the bone graft should be paid attention to when
the bony defect of the glenoid and proximal humerus exists. CONCLUSION: The using
time of the reverse total shoulder arthroplasty is short, so the long-term
results should be observed. The development of computer assisted technique is
hopeful to be improve the results of the reverse total shoulder arthroplasty.
PMID- 26540990
TI - [RESEARCH PROGRESS OF STRATEGIES TO AUGMENT TENDON-TO-BONE HEALING].
AB - OBJECTIVE: To summarize the application status and progress of the strategies to
augment tendon-to-bone healing. METHODS: The present researches focused on
augmentation of tendon-to-bone healing were extensively reviewed. RESULTS: The
present strategies to augment healing of tendon-to-bone by enhancing the location
environment, and increasing the cell numbers and relative growth factor. The
mainly strategies include using calcium phosphate materials, biocompatible
scaffolds and glue, growth factors, cell matrix, platelet-rich plasma, and
periosteum. Although periosteum have been used in clinical and got some possitive
effects, the others still not be used in clinical and needs further studies.
CONCLUSION: There are many strategies to enhance the ability of tendon-to-bone
healing, which got some positive results, but results of studies were varied.
Thus, further fundamental research and clinical studies are required to achieve
the best effects.
PMID- 26540991
TI - [Arterial hypertension in the era of environmental concern and the internet].
PMID- 26540992
TI - [Screening and management of hypertension in elderly].
AB - The prevalence of hypertension in elderly is extremely high. Because of the
burden of ageing of population, this condition considered as the most important
risk factor for mortality is supposed to increase. There are some specific
pitfalls in the diagnosis and management of hypertension in elderly. The
definition of hypertension is the same in all age groups, however the phenotype
is different in the elderly: white coat effect, non-dipping pattern, orthostatic
hypotension, dysautonomia and pseudohypertension. The hallmark of hypertension in
the elderly is pure systolic hypertension and an increased variability of blood
pressure. The diagnosis is often difficult to establish. The elderly can be
overtreated with undesirable effects of falls or hypoperfusion, particularly when
there is frailty, or polymedication.
PMID- 26540993
TI - [Should hypertensive patients adapt their antihypertensive drugs during high
altitude exposure?].
AB - High altitude exposure during leisure time is becoming more and more frequent.
Due to the high prevalence of hypertension in the general population, high
altitude exposure in hypertensive patients may not be uncommon. The increase in
blood pressure with altitude has been confirmed by ambulatory blood pressure
measurement in normotensive as well as in hypertensive patients. Compared to a
placebo, most hypertensive drugs keep their blood pressure lowering effect up to
a certain altitude. It is recommended that hypertensive patients measure their
blood pressure during high altitude, exposure and plan a possible adaptation of
treatment with their physician before their sojourn.
PMID- 26540994
TI - [Shift work and night work: what effect on blood pressure?].
AB - Shift work has become more and more common for the last thirty years. By
definition, shift work disturbs the circadian rhythm and the internal clock. Even
if the pathophysiological mechanisms are not well understood, a greater
cardiovascular risk has been attributed to shift work. Cross-sectional and cohort
studies have identified an association between shift work and an elevated blood
pressure. Shift workers also present a higher incidence of hypertension and
progression than day workers. Unfortunately, the heterogeneity of the studies,
the multiple confounding factors, as well as the complexity to achieve a suitable
comparison group make it impossible to draw firm clinical evidence. Nevertheless,
this population needs a medical follow-up focused on the cardiovascular risks and
blood pressure.
PMID- 26540995
TI - [Atypical presentation of preeclampsia].
AB - Preeclampsia is a pregnancy-related syndrome, which still represents one of the
major causes of maternal-fetal mortality and morbidity. Diagnosis can be made
difficult due to the complexity of the disorder and its wide spectrum of clinical
manifestations. In order to provide an efficient diagnostic tool to the
clinician, medical societies regularly rethink the definition criteria. However,
there are still clinical presentations of preeclampsia that escape the frame of
the definition. The present review will address atypical forms of preeclampsia,
such as preeclampsia without proteinuria, normotensive preeclampsia, preeclampsia
before 20 weeks of gestation and post-partum preeclampsia.
PMID- 26540996
TI - [Innovative instruction for assisting patients with arterial hypertension].
AB - The MOOC In The Heart of Hypertension is an innovative online training for
students and health providers. Its aim is to strengthen skills for professionals
caring people suffering from hypertension. A MOOC is a free online training
aiming unlimited participation. It widely promotes a high quality education.
Medical and paramedical training recently seized upon this powerful tool, for
initial and continuing training. Indeed, MOOC responds to several pedagogic
challenges, particularly through educational strategies focused on the learner's
skills: mastery of pedagogy, retrieval practice and peer grading. This MOOC about
hypertension aims at responding to the needs of caregivers to enhance their
therapeutic support skills.
PMID- 26540997
TI - [Proton pump inhibitors (PPI): may be not as harmless as believed].
AB - Proton pump inhibitors (PPIs) are among the most widely prescribed medications
worldwide and their use is increasing. Their efficiency has been proven and the
short term tolerance is good with few reversible side effects. However concerns
about possible long term side effects continue to arise. This article reviews
proven and potential side effects associated with PPI especially on the long term
use.
PMID- 26540998
TI - [The obverse and reverse of how to assist the terminally ill?].
PMID- 26540999
TI - [Baclofen: when does one know the scientific truth about its effectiveness in
alcoholic disease?].
PMID- 26541000
TI - [The patient of bed No. 3].
PMID- 26541002
TI - [Lung cancer screening in smokers: paradoxical effects on motivation to quit
smoking?].
PMID- 26541001
TI - [Oliver Sacks (1933-2015). An English physician who was able to explain neurology
to the entire world].
PMID- 26541003
TI - [Association between alcohol consumption and risk of different types of breast
cancer].
PMID- 26541004
TI - ["Five colors to eat better": a nice French example of a bad "good idea"].
PMID- 26541005
TI - [Sleep . . . that stranger].
PMID- 26541006
TI - Position Statement. Deceased Organ and Tissue Donation.
PMID- 26541007
TI - Atrial electrogram interpretation improves after an innovative education program.
AB - BACKGROUND: To avoid adverse patient outcomes from inappropriate treatment, it is
recommended that an atrial electrogram (AEG) be recorded whenever atrial
arrhythmias develop in patients after cardiac surgery. However, AEGs are not
commonly performed because nurses lack knowledge about differentiating atrial
rhythms on AEGs. OBJECTIVE: To investigate whether completing a novel online
evidence-based education program on interpreting AEGs would improve critical care
nurses' AEG interpretation. METHODS: Specialized critical care nurses were taught
about obtaining and interpreting atrial rhythms on AEGs using a 42-minute online
mini-movie. AEG interpretation was assessed pre and two and eight weeks post
intervention. RESULTS: AEG interpretation increased two weeks post intervention
and was retained at eight weeks. Some participants used this newly acquired
knowledge to interpret arrhythmias that were not taught during the education
program. CONCLUSION: Accurate interpretation of AEGs is an easy skill for
specialized critical care nurses to learn via an online education program.
PMID- 26541009
TI - [Lyne Cloutier].
PMID- 26541010
TI - [A network under the sign of change].
PMID- 26541008
TI - A social construction of the development of ICU nursing in Canada, 1960 to 2002.
AB - BACKGROUND: The early 1960s marked the opening of intensive care units (ICUs) in
several hospitals across Canada. From the beginning, registered nurses
constituted the largest body of health care providers in the ICU environment and
they were the central provider of hands-on care to patients and families. From a
historical perspective, however, a limited body of knowledge exists specific to
the development of ICU nursing in Canada. PURPOSE: In this study we explored the
development of ICU nursing in Canada from 1960 to 2002 using a social history
approach that emphasized the creation of an historical account from the
perspective of the everyday experiences of ICU nurses. METHOD: A social history
approach was used. Primary sources included oral history interviews, documents
and records, published professional literature between 1960 and 2002, as well as
photographs. The study received ethics approval from the research ethics boards
at the University of Ottawa (for conducting oral history interviews), as well as
Queen's University (for access to archives at the Kingston General Hospital).
RESULTS: The findings of this study provide a perspective on how ICU nurses
learned and created new knowledge, as well as the establishment of an ICU nursing
identity at both the individual and national levels.
PMID- 26541011
TI - [Myths and realities about the holding of immunization records].
PMID- 26541012
TI - [Monteregie: Assessing the risk of suicide].
PMID- 26541014
TI - [Alcohol abuse by seniors].
PMID- 26541013
TI - [Difficult personalities and relational troubles?].
PMID- 26541015
TI - [Care of spinal cord injures in acute phase: online training modules].
PMID- 26541016
TI - [Address of the Secretary General: the international nursing network of French
language].
PMID- 26541018
TI - [Belgium: patient empowerment].
PMID- 26541017
TI - [Prevention: the nursing role].
PMID- 26541019
TI - [Symposium on the quality of care and patient safety].
PMID- 26541020
TI - [Measuring quality: standardize to understand one another].
PMID- 26541021
TI - [Africa: security after Ebola].
PMID- 26541022
TI - [Quality of nursing program: the urgency to act].
PMID- 26541023
TI - [Quality of care and patient safety: A challenge].
PMID- 26541024
TI - [Forum on the emergence of tomorrow's leaders].
PMID- 26541025
TI - [Bariatric surgeries. The stages and the benefits].
PMID- 26541026
TI - [Total Arthroplasty of the knee part 1. Anesthetic approaches].
PMID- 26541027
TI - [The PROMOVAC study].
PMID- 26541028
TI - [Toxoplasma gondii infection in an imported case of falciparumn malaria].
PMID- 26541029
TI - [Construction of Eukaryotic Expression Vector Containing ROP18-ROP12 of
Toxoplasma gondii RH Strain].
AB - OBJECTIVE: To construct a recombinant eukaryotic expression plasmid containing
ROP18-ROP12 (encoding rhoptry protein 18 and 12) complex gene of Toxoplasma
gondii, and examine its expression in eukaryotic cells. METHODS: Recombinant
plasmids pVAX1-ROP18 and pVAX1-ROP12 were digested by restriction enzymes BamH I
and Xba I . ROP12 gene was cloned into pVAX1-ROP18 to construct the eukaryotic
expression plasmid pVAX1-ROP18- ROP12. After colony PCR, enzyme digestion and
sequencing, the correct recombinant plasmid pVAX1-ROP18-ROP12 was transfected
into HeLa cells. Along with it were groups of empty plasmid, pVAX1-ROP18 and
pVAX1-ROP12. Total RNA was extracted from HeLa cells and reverse-transcribed to
cDNA. RT-PCR was performed to evaluate mRNA expression of the housekeeping gene
beta-actin and ROP18-ROP12 complex gene. Immunofluorescence assay and Western
blotting were performed to determine the protein levels of ROP18-ROP12 fusion
protein. RESULTS: Colony PCR in recombinant plasmid pVAX1-ROP18-ROP12 showed a
specific band at about 2 373 bp, consistent with expectation. The extracted
recombinant plasmids were confirmed by Hind III, BamH I and Xba I digestion.
Sequencing results showed that the sequence of pVAX1-ROP18-ROP12 was 100%
identical to that of T. gondii RH strain ROP18 gene (Accession No. AM075204.1)
and 99% identical to that of T. gondii RH strain ROP12 gene (Accession No.
DQ096559.1). Further, RT-PCR showed amplification products at 613 bp for beta
actin in all the groups, while only the pVAX1-ROP18-ROP12 transfection group
showed amplification products for the ROP18-ROP12 complex at 2,373 bp. In
addition, the indirect immunofluorescence assay showed yellow-green fluorescence
in HeLa cells transfected with pVAX1-ROP18-ROP12, but not in control cells.
Western blotting showed that the ROP18-ROP12 fusion protein was expressed in HeLa
cells transfected with recombinant plasmid pVAX1-ROP18-ROP12. CONCLUSIONS: The
recombinant eukaryotic plasmid pVAX1-ROP18-ROP-2 is constructed and can be
expressed in eukaryotic system.
PMID- 26541030
TI - [Gallbladder Stone Types in Patients with Cholelithiasis and Clonorchis sinensis
Infection in the Endemic Area of Clonorchiasis].
AB - OBJECTIVE: To investigate the relationship between Clonorchis sinensis infection
and the gallbladder stone type in patients with cholelithiasis in the endemic
area of clonorchiasis. METHODS: Gallbladder stones were collected from 598
patients with cholelithiasis through minimally invasive gallbladder-preserving
cholelithotomy in the Sixth People's Hospital of Nansha District from May 2009 to
October 2012. The stone samples were analyzed for composition by Fourier
transform infrared spectroscopy to identify their types. The Clonorchis sinensis
eggs were detected in the stones by microscopic examination, and the detection
rates of eggs were calculated for different stone types. Then the clinical
characteristics and biochemical indicators were compared among patients with
different types of stones, as well as between Clonorchis sinensis egg-positive
and -negative patients with the calcium-carbonate type of stones. Some calcium
carbonate stones positive for Clonorchis sinensis eggs were randomly selected for
further scanning electron microscopy (SEM) examination. RESULTS: Of the stones
from 598 patients, 234 (39.1%) were cholesterol type, 133 (22.2%) bile pigment
type, 112(18.7%) calcium-carbonate type, 86 (14.4%) mixed types and 33(5.5%) were
others. The detection rate of Clonorchis sinensis eggs in these types was 6%
(15/234), 44% (59/133), 60% (67/112), 36% (31/ 86) and 30% (10/33), respectively,
being highest in calcium-carbonate stones while lowest in cholesterol stones. The
Co2-combining power of the plasma was higher in patients with calcium-carbonate
and mixed stones than in those with cholesterol stones (P < 0.05), and the CO2
combining power of the bile and biliary pH were both higher in patients with
calcium-carbonate types than in those with other types (P < 0.05). In addition,
in patients with calcium-carbonate stones, the CO2-combining powers of the plasma
and the bile, as well as biliary pH were all higher in the egg-positives than in
the egg-negatives. Further, both light microscopy and SEM revealed adherence of
the Clonorchis sinensis eggs to calcium-carbonate crystals. CONCLUSION: The
infection rate of Clonorchis sinensis is higher in patients with calcium
carbonate gallbladder stones than in those with other types of stones.
PMID- 26541031
TI - [Prokaryotic Expression and Immunoreactivity Analysis of alpha-8 Giardin in
Giardia lamblia].
AB - OBJECTIVE: To clone and express alpha8-giardin gene of Giardia lamblia, and
analyze its immunoreactivity. METHODS: The open reading frame (ORF) of alpha8
giardin gene was amplified by PCR. The PCR product was cloned into prokaryotic
expression vector pET-30a (+) with restriction enzymes EcoR I and Xho I . The
recombinant vector pET30a (+)-alpha8-giardin was transformed into E. coli BL21
(DE3), and the positive clones were then selected. The constructed pET30 a (+)
alpha8-giardin was induced with IPTG for expression, and purified through Ni
affinity chromatography. The recombinant protein was examined by SDS-PAGE and
Western blotting. RESULTS: The length of alpha8-giardin gene was 930 bp. PCR and
restriction enzyme digestion analysis confirmed the construction of recombinant
plasmid pET30a (+)-alpha8-giardin. SDS-PAGE and Western blotting analysis showed
that the recombinant protein rGiardin (about M, 36,000) was expressed in E. coli
as inclusion body protein, and reacted positively with anti-His tag antibody and
rabbit anti-G. lamblia serum. CONCLUSION: The recombinant plasmid pET30a (+)
alpha8-giardin is constructed, and the purified rGiardin protein shows
immunoreactivity.
PMID- 26541032
TI - [Establishment of Duplex PCR for Identifying Metagonimus yokogawai and Haplorchis
taichui].
AB - OBJECTIVE: To develop a duplex PCR method for identifying Metagonimus yokogawai
and Haplorchis taichui. METHODS: ITS1 sequences of M. yokogawai and H. taichui,
as well as those of their homologous species were obtained from GenBank, and two
sets of specific primer pairs for M. yokogawai and H. taichui were designed
accordingly using Primer Premier 5.0 software. PCR reaction system and conditions
were optimized. The established duplex PCR method was applied in a pool of M.
yokogawai, H. taichui, and 17 related species to examine its specificity.
Sensitivity was evaluated through serial dilutions of plasmids containing their
specific sequences. Finally, the duplex PCR was applied to identify M. yokogawai
and H. taichui among trematodes collected from the viscera of 47 cats and 40 dogs
to test its practicality. RESULTS: The duplex PCR method amplified target
sequences of M. yokogawai and H. taichui, generating 648 bp and 279 bp products,
respectively. No cross reaction was found with the following 17 related species:
Haplorchis pumilio, Clonorchis sinensis, Pharyngostomum cordatum, the
metacercaria of Metorchis sp. and Exorchis sp., Echinochasmus liliputanus,
Echinochasmus perfoliatus, Echinostoma friedi, Hypoderaeum conoideum,
Holostephanus sp., Diplodiscus sp., Anisakis sp., Metorchis orientais,
Paragonimus westermani, Watsonius watsoni, Notocotylus sp. and Hysterothylacium
sp, indicating a high specificity of this method. The detection limits for DNAs
of M. yokogawai and H. taichui were 1.49 x 10(-1) pg and 1.14 x 10(-1) pg,
suggesting a good sensitivity for this method. Further, the duplex PCR
successfully identified M. yokogawai and H. taichui from cat and dog viscera,
with no cross amplification of other trematodes. CONCLUSION: The duplex PCR is
effective in identifying Metagonimus yokogawai and Haplorchis taichui.
PMID- 26541033
TI - [Observation on the Histologic Structure of Multiceps multiceps in Artificially
Infected Dogs].
AB - OBJECTIVE: To observe the microstructure and ultrastructure of Multiceps
multiceps from the artificially infected dogs. METHEDS: Two male dogs were
infected with the coenurus of M. multiceps from naturally-infected sheep (about
80-100 per dog). The adult worms of M. multiceps were recovered from the
intestine, and fixed by the conventional method. The scolex, neck, immature
proglottid, mature proglottid, and gravid proglottid were prepared for paraffin
section and ultrathin sections with HE staining and uranyl acetate staining, and
observed under light microscope and electron transmission microscope,
respectively. RESULTS: Under light microscope, each proglottid consisted of
cortical layer and parenchymal layer. The cortical layer was composed of
microvilli, syncytium, and substrate layer. The parenchymal layer mainly
consisted of muscle tissue, excretory system, and reproductive system. The
microvilli layer of scolex was thinner than that of neck and mature proglottid,
and the longest microvilli were mainly distributed in the binding site between
the proglottids. The scolex was extremely muscular. The nervous system and
excretory system were repeated in each proglottid. Mature proglottid had both
male and female reproductive systems. Gravid proglottid had uterus and egg, and
atrophic male reproductive organs. CONCLUSION: The special microstructure of
Multiceps multiceps are that most microvilli in the cortex is cylindrical; the
microvilli length in the binding sites between mature proglottids is longer than
that of other parts.
PMID- 26541034
TI - [Effect of Crude Antigens from Ascaris lumbricoides on the Apoptosis and
Secretion of IL-6 and TGF-beta of Human Lung Adenocarcinoma Cells].
AB - OBJECTIVE: To investigate the effect of crude antigens of Ascaris lumbricoides on
the secretion of IL-6 and TGF-beta of human lung adenocarcinoma cells (A549
cells), and the apoptosis of A549 cells. METHODS: Crude antigens of A.
lumbricoides were prepared. A549 cells were co-cultured with 25, 125, and 500
ug/ml crude antigens of A. lumbricoides for 1, 18, and 24 h, named as low
concentration group, medium concentration group, and high concentration group,
respectively. Meanwhile, A549 cells were co-cultured with culture medium
(negative control) and 12.5 ug/ml adriamycin (positive control). The apoptosis
rate was detected by using Annexin V-FITC apoptosis detection kit. The cell
changes were determined by flow cytometry. The levels of mRNA expression of IL-6
and TGF-beta were detected by ELISA and real time PCR, respectively. RESULTS: The
apoptosis rate of A549 cells induced by crude antigens for 1, 18, and 24 h was
significantly higher than that of negative control (P < 0.01). The apoptosis rate
in medium concentration group (treated for 18 h) was highest [(47.10 +/- 3.68)%].
After co-culture with 125 ug/ml crude antigens for 18 h, the proportion of G0/G1
phase cells increased and that of S phase cells decreased, and there was a
significant difference between medium concentration group and negative control
group. At the same time, the level of IL-6 increased with the increasing
concentration of crude antigens. However, no significant difference was found in
the level of TGF-beta among the groups. In the medium concentration group, mRNA
expression levels of IL-6 (5.95 +/- 0.31) and TGF-beta (3.43 +/- 0.35) of A549
cells reached peak on the 18th hour, and were significantly higher than that of
the control (P < 0.01). CONCLUSION: The cell cycle of A549 cells is blocked in
G0/G1 phase induced by crude antigens of A. lumbricoides. And the apoptosis may
be related to the changes in the level of TGF-beta and IL-6.
PMID- 26541035
TI - [Quality Analysis of Laboratory Malaria Diagnosis in Yunnan Province during 2012
2014].
AB - OBJECTIVE: To assess the quality of microscopy-based malaria diagnosis in Yunnan
Province from August 2012 to October 2014, and analyze the relevant factors.
METHODS: Blood samples were collected from patients diagnosed as malaria by
microscopy in county-level laboratories of Yunnan Province. The blood smears and
blood filter paper samples were prepared and submitted to the provincial malaria
diagnosis reference laboratory for further confirmation by both microscopy and
the genetic approach. Coincidence rates for species identification between county
and provincial laboratories were analyzed using the SPSS 21.0 software. RESULTS:
From August 2012 to October 2014, 1 400 malaria cases were diagnosed with
microscopy in 72 counties of Yunnan Province. Among them, the cases of falciparum
malaria, vivax malaria, and unclassified malaria accounted for 18.4% (252/1,400),
79.3% (1,105/1,400) and 3.1% (43/1,400), respectively. The percentage of
unclassified malaria cases reached a peak in 2012 (3.5%, 9/257). The coincidence
rate for species identification with microscopy between county-level and
provincial-level laboratories was 70.1% (845/1,216) in 2012, being the lowest
during 2012-2014, and the coincidence rate for diagnosis of positive infection
was 77.6% (943/1,216). Similarly, the coincidence rates for species
identification and for positive infection between county-level laboratories using
microscopy and the provincial-level laboratory using the genetic approach were
81.3% (150/185) and 85.0% (157/185) respectively in 2012, being also the lowest
during 2012-2014. In the provincial laboratory, the inconsistency rate for
species identification between microscopy and the genetic approach was 8.7% (97/1
120), predominately the infection-negative results by microscopy versus
falciparum malaria, vivax malaria or mixed infection revealed by the genetic
approach (57.7%, 56/97). The sampling coverage rate in counties was the lowest in
November 2012 (46.9%, 82/175). The blood smear preparation scored 69.8, 70.4 and
78.8 (P < 0.05) in 2012, 2013 and 2014, respectively. CONCLUSION: The quality of
laboratory malaria diagnosis has been significantly improved in most counties of
Yunnan Province since 2013.
PMID- 26541036
TI - [Assessment of Antimalarial Activity of Choline Derivatives against Plasmodium
falciparum Growth in vitro by SYBR Green I Method].
AB - OBJECTIVE: To investigate the antimalarial activity of four choline derivatives
against Plasmodium falciparum 3D7 strain growth in vitro. METHODS: Four choline
derivatives MD [N-dodecyl-N-(2-hydroxyethyl)-N,N- dimethyl ammonium bromide], ED
[N-dodecyl-N-(2-hydroxyethyl)-N,N-diethyl ammonium bromide], MT [N-tetradecyl-N-
(2-hydroxyethyl)-N,N-dimethyl ammonium bromide], and ET [N-tetradecyl-N-(2
hydroxyethyl)-N,N-diethyl ammonium bromide] were dissolved separately in DMSO at
serial concentrations (1-10(5) umol/L). The solutions were diluted by 1,000-fold
with RPMI 1640 medium. 20 ul drug-containing medium and 80 ul P. falciparum
infected erythrocyte suspension (2% final hematocrit and 0.3%-0.5% parasitemia)
were added to each well of microtiter plates. Drug effect on the in vitro growth
of P. falciparum was measured by SYBR Green I method. The half maximal inhibitory
concentration (IC50) was calculated from dose-response curves. Artemisinine
served as positive control. RESULTS: Artemisinine, MD, ED, MT, and ET showed
different degrees of dose-dependent inhibition on P. falciparum growth. When the
MD concentration was above 10 nmol/L, the inhibition rate increased
significantly. Both ED and ET showed significant inhibitory effects at high
concentrations, with inhibition rate of > 95% when their doses were > 10(4)
nmol/L. The IC50 values of MD, ED, MT, and ET were 1 620, 33.9, 116, and 68.9
nmol/L, respectively, all significantly higher than that of artemisinine (5.7
nmol/L) (P < 0.05). CONCLUSION: The four choline derivatives show certain
antimalarial activity, which is lower than that of artemisinine. Among the four
derivatives, ED has the strongest antimalarial activity against P. falciparum 3D7
strain.
PMID- 26541037
TI - [Single-tube Single-run Multiplex PCR Detects Mixed Samples with Four Species of
Plasmodium].
AB - OBJECTIVE: To establish a single-tube single-run multiplex PCR technique that can
detect single or mixed samples with four species of Plasmodium. METHODS: Folding
primers were designed based on the fast nested PCR. The reaction component
concentrations were optimized and the primers were selected based on the
annealing temperature. The established single-tube single-run folding-primer
multiplex PCR (FP-PCR) was tested for its sensitivity and specificity to detect
single-species and mixed samples with P. vivax, P. falciparum, P. ovale
(including P. ovale wallikeri) and/or P. malariae. RESULTS: In all the seven
experimental repeats, FP-PCR successfully detected single-species infection for
all the four species, with the detection limit reaching or close to 1 parasite/ul
blood. For mixed infections with 2-4 species at different densities with the
highest being 100 times of the lowest, FP-PCR identified all the species in each
combination in 57 out of 84 tests. Further, in 10 dried blood samples on filter
paper from healthy subjects, no FP-PCR amplification was found, except weak
formation of dimers. CONCLUSION: FP-PCR is a simple and sensitive method for
detecting both single-species and mixed infections with human Plasmodium, and can
be applied for malaria diagnosis, screening and monitoring.
PMID- 26541038
TI - [Epidemiological Analysis of Malaria in Wenzhou City during 2007-2014].
AB - A total of 258 malaria cases with 2 deaths were reported during 2007-2014,
including 148 vivax malaria cases, 106 falciparum malaria cases, and 4 ovale
malaria cases. During 2007-2009, 86.0% (135/157) were vivax malaria cases with 3
indigenous cases. In 2010-2014, the proportion of falciparum malaria is
increasing year by year, and all were imported cases. 98.8% were imported from
Africa and other provinces in China. Most cases occurred among patients aged 20
49 years, and the male-to-female ratio was 3.16:1. Most patients were workers and
commercial service personnel. The malaria epidemic situation is relatively stable
in Wenzhou. Malaria control and elimination interventions should emphasize the
monitoring and education of transient population to control the imported cases,
and explore multi-sector coordination for malaria prevention and control.
PMID- 26541039
TI - [A Retrospective Analysis on the Prevalence of Visceral Leishmaniasis in Gansu
Province during 2005-2014].
AB - OBJECTIVE: To review the prevalence of visceral leishmaniasis in Gansu Province
during 2005-2014. METHODS: The data of visceral leishmaniasis cases in Gansu
Province during 2005-2014 were collected and descriptive statistical analysis was
performed. RESULTS: During 2005-2014, 1,260 cases of visceral leishmaniasis were
reported in Gansu Province. The incidence showed a trend of annual increase from
2005 (90 cases, 7.14%) onwards, peaked in 2011 (168 cases, 13.33%), and decreased
afterwards. In 2014, 85 cases (6.75%) were reported. Most of the cases were from
the Longnan region and some areas in Gannan Tibetan Autonomous Prefecture.
Specifically, the case number was highest in Wudu District of the Longnan region
(506/1,260, 40.16%), followed by Wenxian County (302/1,260, 23.97%) and Zhouqu
County (202/1,260, 16.03%). In total, the cases had a male-to-female ratio of
1.46:1, and concentrated in age group of 0-5 years (665/1,260, 52.78%), showing a
trend of decrease with age increase. In addition, visceral leishmaniasis occured
throughout the year, with a higher cumulative incidence from March to June
[10.48% (132/1,260), 13.33% (168/1,260), 12.86% (162/1,260) and 11.67%
(147/1,260), respectively]. CONCLUSION: From 2005 to 2014, the Longnan region and
some areas of Gannan Prefecture are the major endemic areas of visceral
leishmaniasis, with more cases in children under 5 and higher incidence from
March to June.
PMID- 26541040
TI - [The Application of Gold-immunochromatographic Test Strip Reader in Serum
Antibody Detection in Echinococcosis].
AB - One hundred and fifty-nine serum samples from hydatid disease patients and 80
serum samples from patients with other liver diseases were detected by gold
immunochromatographic assay, and read by naked eyes and the gold
immunochromatographic test strip reader. The sensitivity, specificity, and
accuracy of eye-based method was 92.4% (147/159), 85.0% (68/80), and 89.9%
(215/239), which was lower than that of the reader detection (95.6%, 93.7%,
95.0%, respectively). While, its false negative rate (7.5%, 12/159) and false
positive rate (15.0%, 12/80) was higher than that of the reader detection (4.4%
and 6.3%, respectively).
PMID- 26541041
TI - [Research Advances on Toxoplasma gondii Proteomics].
AB - The proteomic techniques have been widely used in Toxoplasma gondii research
since the past decade, providing proteomic data that facilitate understanding of
T. gondii activities. Currently, the global proteomic studies of T. gondii are
mainly confined to the tachyzoite and the oocyst stages. The subproteomic
research involves some important antigens, such as the soluble tachyzoite
antigen, glycoproteins, and immunoproteins, etc. The differential proteomics of
T. gondii is mainly focused on identifying differentially-expressed proteins in
different T. gondii strains. This review summarizes the current status of
proteomic research on T. gondii, with specific focuses on global proteomic,
subproteomic, and differential proteomic findings. In addition, this review gives
an overview on web-based resources that provide proteomic data and support for
studies on T. gondii, and finally discusses future prospects of T. gondii
proteomics applications.
PMID- 26541042
TI - [Implementation Situation and Application Evaluation of "Standards for Control
and Elimination of Malaria"].
AB - The application evaluation of "Standards for Control and Elimination of Malaria"
was carried out in 11 epidemic provinces/autonomous regions by questionnaires,
field investigation and special interviews from January to May, 2014. Two hundred
and forty questionnaires were completed by the personnel from the health
administrations and the institutions of disease control and prevention. The
questionnaire response rate was 84% (240/285). Totally 90% participants had known
and used this standards. In detail, managers from the health administration
departments had a percent of 100.0% (26/26), while professionals in the
institutions of disease control and prevention had a percent of 88.8% (190/214).
In malaria-endemic provinces/autonomous regions/municipalities, 18 training
classes of malaria control and prevention were held from January 2012 to December
2013. This standards was one of the main contents. One hundred and fifty-two
pieces of suggestion and recommendation were obtained, with 84.2% (128/152)
relating to personnel and supporting conditions, and 15.8% (24/152) on technical
issues.
PMID- 26541043
TI - [Research Advance on Toxoplasma gondii Rhoptry Protein 2 Family as Candidate
Vaccine Molecules].
AB - Toxoplasma gondii rhoptry protein 2 family (ROP2 family), secreted by the
rhoptry, plays an important role in T. gondii invasion of host cells and its
virulence. The ROP2 family members include ROP2, ROP4, ROP5, ROP8, ROP13, ROP16,
ROP17, and ROP18. Recent studies have found that these members are potential
vaccine candidates against toxoplasmosis, and can induce the protective immunity
of the host. This paper reviews the research advance on the ROP2 family members
as DNA or protein vaccines against toxoplasmosis.
PMID- 26541044
TI - [A child case of cerebral sparganosis].
PMID- 26541045
TI - [The Immunomodulatory Role of C-type Lectin Receptors in Parasitic Infection].
AB - C-type lectins are a group of Ca(2+)-dependent glycan-binding proteins. The C
type lectin receptors (CLRs) recognize carbohydrate ligands in a Ca(2+)-dependent
manner. Recent research has demonstrated that CLRs could recognize glycoproteins
and activate downstream immune responses. This review summarizes the roles of
several CLRs in parasitic infection.
PMID- 26541046
TI - [Excystation Time and Location of Metacercariae of Clonorchis sinensis in Mice].
AB - Metacercariae of Clonorchis sinensis (20 per mouse) were given orally to Kunming
mice. The mice were sacrificed at 0.5, 1, 2, 4, 8, 16, 32, and 64 h after
infection. The results showed that the excystation of the metacercariae occurred
in the stomach and small intestine, mainly in the duodenum. Excystation occurred
mainly at 2, 4, 8, and 16 h post-infection. Juveniles were found in hepatic duct
on 0.5 h post-infection. The number of juveniles increased with the extension of
infection time, which kept unchanged in hepatic duct from 32 h after infection.
PMID- 26541047
TI - [Screening of Molluscacidal Microorganisms against Oncomelania hupensis and Their
Effect].
AB - Fifteen soil samples were collected from Oncomelania hupensis culture pond in
Miluo Schistosomiasis Control and Prevention Base, Hunan Province. Four strains
of bacteria were identified to have molluscacidal effects, numbered as B8, B27,
B36 and B59. Compared with the fermentation broth groups and bacteria suspension
groups, the fermentation supernatant groups of the four strains showed the
strongest molluscacidal effect. The fermentation supernatant of B59 strain showed
the best molluscacidal effect, with snail mortalit of 73.3% and 96.7% at 48 h and
72 h of treatment, respectively. SDS-PAGE revealed no proteins in fermentation
supernatant, fermentation broth and bacteria suspension of B59 strain. Molecular
phylogenetic analysis based on ITS sequence showed that the ITS sequence of
strain B59 (accession No. KP146144) was 100% homologous to that of the same
fragment of Bacillus cereus (accession No. CP001746).
PMID- 26541048
TI - [Killing Effect of Carpesium abrotanoides on Taenia asiatica Cysticercus].
AB - The cysticerci of Taenia asiatica were cultured in vitro with different
concentrations of water decoction of Carpesium abrotanoides (20, 40, and 60
mg/ml). The killing effect of C. abrotanoides on T. asiatica and the
morphological change of cysticerci were observed under microscope 24 hours post
culture. The water decoction of C. abrotanoides showed significant killing effect
on the cysticerci. The mortality of the parasites(95.0%, 57/60) was highest in 60
mg/ml group. The dead body of cysticercus shows shrunken with the enlarged
scolex, and sucker tissue degenerated.
PMID- 26541049
TI - [Seroepidemiological Survey on Echinococcosis among Children in Ningxia Hui
Autonomous Region in 2012].
AB - According to the population structure, a stratified cluster sampling was carried
out in 22 counties/ cities/disticts of Ningxia Hui Autonomous Region from June to
August 2012. Serum anti-echinococcus IgG was detected by ELISA. Among 22995
sampled children from 91 primary schools, the sero-positive rate was 2.9%. The
rate in males and females was 2.8%(333/11 840) and 3.0%(337/11 155), respectively
(chi2 = 0.88, P > 0.05). Higher serum positive rate occurred in Yuanzhou District
(10.6%, 169/1602), Yanchi County (9.1%, 74/810), and Zhongning County (7.1%,
96/1350) (chi2 = 1826.51, P < 0.05). The rate in rural schools (3.1%, 371/11 963)
was higher than that of urban ones (2.7%, 368/13,834) (chi2 = 4.30, P < 0.05),
and higher in Hui nationality (3.3%, 302/9,127) than that of Han nationality
(2.7%, 368/13,834) (chi2 = 8.17, P < 0.05). The highest positive rate was found
in the group of 7 to 9 years (3.2%, 180/5 662) and of 12 years (3.3%, 254/7,694)
(chi2 = 4.11, P < 0.05).
PMID- 26541050
TI - [A case of Blastocystis hominis (subtype 3) infection confirmed by PCR and in
vitro culture].
PMID- 26541051
TI - Differences in the Judged Direction of Gaze From Heads Imaged in 3-D versus 2-D.
AB - Observers viewed a model imaged on an LCD monitor in 3-D or 2-D as she gazed at
points along a horizontally oriented meter stick from a distance of 80 cm. Her
head was either straight or turned 20 degrees to the side, and for each head
orientation, her gaze was straight, 10 degrees, or 20 degrees to the side, with
her eyes individually open, both open, or both closed. For images in which both
eyes were closed, the observers pointed along the same meter stick to where they
judged her head to be pointed. When one or both eyes were open they judged where
she appeared to be gazing. Gaze from the 2-D images agreed with previous studies.
A comparison of the results from the 2-D versus 3-D images showed that the
judgments were virtually identical for gaze from the straight versus turned head
in all combinations of straight versus averted gaze, eyes individually open
versus both open. The judgments were also virtually identical for head point.
This suggests that 2-D studies that have used procedures similar to those
described in this study may be applied to real world 3-D gaze perception.
PMID- 26541052
TI - Simultaneous Sketching Aids the Haptic Identification of Raised Line Drawings.
AB - Haptically identifying raised line drawings is difficult. We investigated whether
a major component of this difficulty lies in acquiring, integrating, and
maintaining shape information from touch. Wijntjes, van Lienen, Verstijnen, and
Kappers reported that drawings which participants had failed to identify by touch
alone could often subsequently be named if they were sketched. Thus, people
sometimes needed to externalize haptically acquired information by making a
sketch in order to be able to use it. We extended Wijntjes et al.'s task and
found that sketching while touching improved drawing identification even more
than sketching after touching, but only if people could see their sketches. Our
results suggest that the slow, serial nature of information acquisition seriously
hampers the haptic identification of raised line drawings relative to visually
identifying line drawings. Simultaneous sketching may aid identification by
reducing the burden on working memory and by helping to guide haptic exploration.
This conclusion is consistent with the finding reported by Lawson and Bracken
that 3-D objects are much easier to identify haptically than raised line drawings
since, unlike for vision, simultaneously extracting global shape information is
much easier haptically for 3-D stimuli than for line drawings.
PMID- 26541053
TI - Effect of Eye Height on Estimated Slopes of Hills.
AB - Several studies have shown that slopes of hills are greatly overestimated. We
have recently demonstrated that the overestimates increase logarithmically as the
end point of the domain to be estimated is increased. A theoretical analysis
showed that a critical parameter is the angle between the observer's line of
sight and the slope of the hill, when the observer fixates the far point of the
required domain. The theory predicts that increasing the observers' eye height
will increase this angle, thus reducing the overestimates. Here, we test that
theory by having observers stand on a box to increase their eye height. Slope
estimates for various ranges again followed a logarithmic function, with lower
estimates at nearer distances compared with other observers standing directly on
the surface of the hill. At larger distances, slope estimates with and without
increased eye height converged.
PMID- 26541054
TI - Direction of Auditory Pitch-Change Influences Visual Search for Slope From
Graphs.
AB - Linear trend (slope) is important information conveyed by graphs. We investigated
how sounds influenced slope detection in a visual search paradigm. Four bar
graphs or scatter plots were presented on each trial. Participants looked for a
positive-slope or a negative-slope target (in blocked trials), and responded to
targets in a go or no-go fashion. For example, in a positive-slope-target block,
the target graph displayed a positive slope while other graphs displayed negative
slopes (a go trial), or all graphs displayed negative slopes (a no-go trial).
When an ascending or descending sound was presented concurrently, ascending
sounds slowed detection of negative-slope targets whereas descending sounds
slowed detection of positive-slope targets. The sounds had no effect when they
immediately preceded the visual search displays, suggesting that the results were
due to crossmodal interaction rather than priming. The sounds also had no effect
when targets were words describing slopes, such as "positive," "negative,"
"increasing," or "decreasing," suggesting that the results were unlikely due to
semantic-level interactions. Manipulations of spatiotemporal similarity between
sounds and graphs had little effect. These results suggest that ascending and
descending sounds influence visual search for slope based on a general
association between the direction of auditory pitch-change and visual linear
trend.
PMID- 26541055
TI - Frogs Jump Forward: Semantic Knowledge Influences the Perception of Element
Motion in the Ternus Display.
AB - The Ternus effect is a robust illusion of motion that produces element motion at
short interstimulus intervals (ISIs; < 50 ms) and group motion at longer ISIs (>
50 ms). Previous research has shown that the nature of the stimuli (e.g.,
similarity, grouping), not just ISI, can influence the likelihood of perceiving
element or group motion. We examined if semantic knowledge can also influence
what type of illusory motion is perceived. In Experiment I, we used a modified
Ternus display with pictures of frogs in a jump-ready pose facing forwards or
backwards to the direction of illusory motion. Participants perceived more
element motion with the forward-facing frogs and more group motion with the
backward-facing frogs. Experiment 2 tested whether this effect would still occur
with line drawings of frogs, or if a more life-like image was necessary.
Experiment 3 tested whether this effect was due to visual asymmetries inherent in
the jumping pose. Experiment 4 tested whether frogs in a "non-jumping," sedentary
pose would replicate the original effect. These experiments elucidate the role of
semantic knowledge in the Ternus effect. Prior knowledge of the movement of
certain animate objects, in this case, frogs can also bias the perception of
element or group motion.
PMID- 26541056
TI - Stereo-Curvature Aftereffect Is Due to More Than Shape Curvature Adaptation.
AB - For stereo-curvature aftereffect (sCAE), there is no agreement on whether
adaptation occurs at the disparity-specified stage, the percept-specified stage,
or both. Additionally, it remains uncertain whether retinal-position-dependent
sCAE can be induced by possible adaptation to disparity-specified sources. Our
study aimed to investigate the dependency and processing levels of adaptation
underlying sCAE using dynamic spherical adaptation stimuli with static fixation.
Experiment I examined the dependency by dynamically altering the location or size
of adaptation stimuli. Experiment 2 investigated the adaptation levels via three
sub-experiments: Experiment 2.1 examined how eccentricity influenced adaptation
strength using static adaptation stimuli with different eccentricities,
Experiment 2.2 tested a hypothesis about adaptation to a percept-specified
primitive shape index (PSI) using dynamic size change of adaptation stimuli, and
Experiment 2.3 tested another hypothesis on adapting disparity-specified average
disparity information (ADI) using dynamic PSI change of adaptation stimuli. The
results showed retinal-position-dependent and scale-independent sCAE. In addition
to a possible eccentricity effect, the retinal-position dependence can result
from ADI adaptation while the scale independence can be attributed to PSI
adaptation. Therefore, sCAE is caused by adaptation at both the disparity
specified and percept-specified stages. Additionally, sCAE endows two coexisting
adaptation processes with one dependent on retinal position and one independent
of retinal position.
PMID- 26541057
TI - Self-Identification With Another's Body Alters Self-Other Face Distinction.
AB - When looking into a mirror healthy humans usually clearly perceive their own
face. Such an unambiguous face self-perception indicates that an individual has a
discrete facial self- representation and thereby the involvement of a self-other
face distinction mechanism. We have stroked the trunk of healthy individuals
while they watched the trunk of a virtual human that was facing them being
synchronously stroked. Subjects sensed self-identification with the virtual body,
which was accompanied by a decrease of their self-other face distinction. This
suggests that face self-perception involves the self-other face distinction and
that this mechanism is underlying the formation of a discrete representation of
one's face. Moreover, the self-identification with another's body that we find
suggests that the perception of one's full body affects the self-other face
distinction. Hence, changes in self-other face distinction can indicate
alterations of body self-perception, and thereby serve to elucidate the
relationship of face and body self-perception.
PMID- 26541058
TI - The Moon as a Tiny Bright Disc: Insights From Observations in the Planetarium.
AB - Despite a relatively constant visual angle, the size of the moon appears very
variable, mostly depending on elevation and context factors--the so-called moon
illusion. As our perceptual experience of the size of the moon is clearly limited
to the perceptual sphere of the sky, however, we do not know whether the typical
perception of the moon at its zenith reflects a veridical interpretation of its
visual angle of only 0.5 degrees. When testing the moon illusion in a large-scale
planetarium, we observed two important things: (a) variation in perceptual size
was no longer apparent, and (b) the moon looked very much smaller than in any
viewing condition in the real sky--even when comparing it at its zenith. A closer
inspection of the control console of the planetarium revealed that classic-analog
as well as updated-digital planetariums use projections of the moon with strongly
increased sizes to compensate for the loss of a natural view of the moon in the
artificial dome of the sky.
PMID- 26541059
TI - One-Pot Synthesis of N-(alpha-Peroxy)Indole/Carbazole via Chemoselective Three
Component Condensation Reaction in Open Atmosphere.
AB - A facile one-pot synthesis of N-(alpha-peroxy)indole and N-(alpha
peroxy)carbazole has been developed using metal-free, organo-acid-catalyzed three
component condensation reactions of indole/carbazole, aldehyde, and peroxide.
Based on the reaction discovered, a new synthetic proposal for Fumitremorgin A
and Verruculogen is introduced. Such a protocol could be easily handled and
scaled up in an open atmosphere with a wide substrate scope, enabling the
construction of a new molecule library.
PMID- 26541060
TI - Prenatal vitamin D supplementation and infant vitamin D status in Bangladesh.
AB - OBJECTIVE: To determine the effect of prenatal maternal vitamin D supplementation
on infant vitamin D status in a tropical region where vitamin D supplementation
is not routine. DESIGN: A prospective observational follow-up of a randomized
trial. SETTING: Maternal-child health facility in Dhaka, Bangladesh (23 degrees
N). SUBJECTS: Infants born to pregnant women (n 160) randomized to receive 875 ug
(35 000 IU) cholecalciferol (vitamin D3) per week (VD) or placebo (PL) during the
third trimester were followed from birth until 6 months of age (n 115). Infant
serum 25-hydroxyvitamin D concentration (25(OH)D) was measured at <1, 2, 4 and 6
months of age. RESULTS: Mean infant 25(OH)D was higher in the VD v. PL group at
<1 month of age (mean (sd): 80 (20) nmol/l v. 22 (18) nmol/l; P<0.001), but the
difference was attenuated by 2 months (52 (19) nmol/l v. 40 (23) nmol/l; P=0.05).
Groups were similar at 4 months (P=0.40) and 6 months (n 72; P=0.26). In the PL
group, mean infant 25(OH)D increased to 78 (95 % CI 67, 88) nmol/l by 6 months of
age (n 34). 25(OH)D was higher with infant formula-feeding and higher in summer
v. winter. CONCLUSIONS: Prenatal third-trimester vitamin D supplementation (875
ug (35 000 IU)/week) significantly ameliorated infant vitamin D status during the
neonatal period when the risk of vitamin D deficiency is greatest. Further
research is warranted to determine factors that contribute to the rise in 25(OH)D
during the first 6 months of life among breast-fed infants in this setting.
PMID- 26541061
TI - The differentially methylated region of MEG8 is hypermethylated in patients with
Temple syndrome.
AB - AIM: To investigate the DNA-methylation levels in the newly described MEG8
differentially methylated region (DMR) in the imprinted cluster in 14q32 in
patients with Temple syndrome. PATIENTS & METHODS: We included three patients
with Temple syndrome which were studied by Infinium HumanMethylation450
BeadChips, locus-specific bisulfite-pyrosequencing, methylation-specific-MLPA and
microsatellite analyses. The tag-CpG of the MEG8-DMR was investigated using the
Infinium HumanMethylation450 BeadChip. RESULTS: In all three patients, the
identical pattern of DNA-hypermethylation of the MEG8-DMR was observed along with
DNA-hypomethylation of the IG-DMR and MEG3-DMR. CONCLUSION: Based on the observed
MEG8-DMR DNA-hypermethylation and previously published data, we conclude that DNA
methylation of the MEG3- and MEG8-DMR is functionally dependent on the DNA
methylation pattern of the IG-DMR. The observed combination of epimutations is
predicted to be associated with bi-allelic MEG3 and MEG8 expression in
individuals with Temple syndrome.
PMID- 26541062
TI - Real-time monitoring of fungal inhibition and morphological changes.
AB - Mold growth constitutes a problem in many food and clinical environments and
there is therefore focus on studying antifungal activity. Methods for determining
growth inhibition by measuring colony growth or biomass are, however, time-taking
and rapid methods for evaluation of antifungal effects are needed. Propionic acid
and diacetyl are antifungal compounds produced by a range of dairy-associated
bacteria. Their activity against Penicillium spp. was monitored real-time using
an optical detection system with tilted focus plane to assess growth and
morphological changes of Penicillium spp. by image recording inside a 96 well
microplate. Images were used for generation of growth curves by using a
segmentation and extraction of surface areas (SESA) algorithm and for quantifying
morphology changes. Using image analysis growth could be detected within 15 h
compared with more than 30 h when using standard optical density measurements.
Induced morphological changes of fungi could furthermore be visualized and
quantified using morphological descriptors such as circularity, branch points,
perimeter and area of spores and growing hyphae. Propionic acid inhibited two out
of two Penicillium spp. while morphological changes were strain dependent at the
concentrations tested. Diacetyl inhibited six out of six Penicillium spp. strains
and increased spore size and number of germination sites in two out of six of the
strains prior to germination.
PMID- 26541063
TI - Overexpression of quinone reductase from Salix matsudana Koidz enhances salt
tolerance in transgenic Arabidopsis thaliana.
AB - Quinone reductase (QR) is an oxidative-related gene and few studies have focused
on its roles concerning salt stress tolerance in plants. In this study, we cloned
and analyzed the QR gene from Salix matsudana, a willow with tolerance of
moderate salinity. The 612-bp cDNA corresponding to SmQR encodes 203 amino acids.
Expression of SmQR in Escherichia coli cells enhanced their tolerance under salt
stress. In addition, transgenic Arabidopsis thaliana lines overexpressing SmQR
exhibited higher salt tolerance as compared with WT, with higher QR activity and
antioxidant enzyme activity as well as higher chlorophyll content, lower methane
dicarboxylic aldehyde (MDA) content and electric conductivity under salt stress.
Nitro blue tetrazolium (NBT) and 3,3'-diaminobenzidine (DAB) staining also
indicated that the transgenic plants accumulated less reactive oxygen species
compared to WT when exposed to salt stress. Overall, our results suggested that
SmQR plays a significant role in salt tolerance and that this gene may be useful
for biotechnological development of plants with improved tolerance of salinity.
PMID- 26541064
TI - Increasing prevalence of thalassemia in America: Implications for primary care.
AB - Thalassemia, once a rarity in the United States, is increasingly encountered in
clinical practice due to shifts in immigration. Early carrier screening in at
risk populations can help clinicians implement genetic counseling and prevent new
cases. Chronic transfusions are the mainstay of therapy for patients with severe
thalassemia (beta thalassemia major), and are used intermittently in individuals
with milder forms of thalassemia (Hb H/H Constant Spring disease and beta
thalassemia intermedia). Iron overload is a major source of morbidity and
mortality in individuals with transfusion and non-transfusion-dependent
thalassemia, necessitating iron chelation therapy. Iron overload contributes to
increased risk of cirrhosis, heart failure, and endocrinopathies, while
ineffective erythropoiesis and hemolysis contribute to multiple complications,
including splenomegaly, extramedullary hematopoiesis, pulmonary hypertension, and
thrombosis. An understanding of the importance of carrier screening,
complications, monitoring, and management strategies, coupled with collaboration
with a hematologist with thalassemia expertise, is essential to reduce the
morbidity and mortality in patients with thalassemia.
PMID- 26541065
TI - [Ectoparasitic diseases: A good example of the concept "one world one health"].
PMID- 26541066
TI - Direct Measurement of Water States in Cryopreserved Cells Reveals Tolerance
toward Ice Crystallization.
AB - Complex living systems such as mammalian cells can be arrested in a solid phase
by ultrarapid cooling. This allows for precise observation of cellular structures
as well as cryopreservation of cells. The state of water, the main constituent of
biological samples, is crucial for the success of cryogenic applications. Water
exhibits many different solid states. If it is cooled extremely rapidly, liquid
water turns into amorphous ice, also called vitreous water, a glassy and
amorphous solid. For cryo-preservation, the vitrification of cells is believed to
be mandatory for cell survival after freezing. Intracellular ice crystallization
is assumed to be lethal, but experimental data on the state of water during
cryopreservation are lacking. To better understand the water conditions in cells
subjected to freezing protocols, we chose to directly analyze their subcellular
water states by cryo-electron microscopy and tomography, cryoelectron
diffraction, and x-ray diffraction both in the cryofixed state and after warming
to different temperatures. By correlating the survival rates of cells with their
respective water states during cryopreservation, we found that survival is less
dependent on ice-crystal formation than expected. Using high-resolution cryo
imaging, we were able to directly show that cells tolerate crystallization of
extra- and intracellular water. However, if warming is too slow, many small ice
crystals will recrystallize into fewer but bigger crystals, which is lethal. The
applied cryoprotective agents determine which crystal size is tolerable. This
suggests that cryoprotectants can act by inhibiting crystallization or
recrystallization, but they also increase the tolerance toward ice-crystal
growth.
PMID- 26541067
TI - Retrospective cohort study evaluating the incidence of diabetic foot infections
among hospitalized adults with diabetes in the United States from 1996-2010.
AB - BACKGROUND: The prevalence of diabetes has increased over the last 2 decades;
however, the national incidence of diabetic foot infections (DFIs) in the United
States is unknown. We sought to determine national trends in DFIs among
hospitalized adults in the United States over 15 years. METHODS: This was a
retrospective cohort study of the U.S. National Hospital Discharge Survey from
1996-2010. Adult patients with a principal diagnosis of foot infection and a
secondary diagnosis of diabetes were identified using ICD-9-CM codes. Incidence
was defined as DFI discharges per 100 diabetes discharges. Independent risk
factors for DFI among diabetics were identified using multivariable logistic
regression. RESULTS: These data represent 1,059,552 DFI discharges over the study
period. The incidence of DFI decreased from 1996 (2.3 DFIs/100 diabetes
discharges) to 2010 (1.1 DFI/100 diabetes discharges). The proportion of patients
experiencing lower-extremity amputation declined from 33.2% in 1996 to 17.1% in
2010. Peripheral vascular disease (odds ratio [OR], 2.89; 95% confidence interval
[CI], 2.87-2.91), peripheral neuropathy (OR, 2.62; 95% CI, 2.60-2.64), and male
sex (OR, 1.67; 95% CI, 1.66-1.68) were the leading risk factors for DFI.
CONCLUSION: The incidence of DFI among hospitalized adults in the United States
declined by more than half from 1996-2010.
PMID- 26541068
TI - Multidisciplinary performance improvement team for reducing health care
associated Clostridium difficile infection.
AB - Clostridium difficile is the most frequent cause of health care-associated
diarrhea and is a significant cause of morbidity and mortality. It is also
associated with a considerable financial burden. A concerted multidisciplinary
approach is required for prevention.
PMID- 26541069
TI - Bacillus licheniformis Isolated from Traditional Korean Food Resources Enhances
the Longevity of Caenorhabditis elegans through Serotonin Signaling.
AB - In this study, we investigated potentially probiotic Bacillus licheniformis
strains isolated from traditional Korean food sources for ability to enhance
longevity using the nematode Caenorhabditis elegans as a simple in vivo animal
model. We first investigated whether B. licheniformis strains were capable of
modulating the lifespan of C. elegans. Among the tested strains, preconditioning
with four B. licheniformis strains significantly enhanced the longevity of C.
elegans. Unexpectedly, plate counting and transmission electron microscopy (TEM)
results indicated that B. licheniformis strains were not more highly attached to
the C. elegans intestine compared with Escherichia coli OP50 or Lactobacillus
rhamnosus GG controls. In addition, qRT-PCR and an aging assay with mutant worms
showed that the conditioning of B. licheniformis strain 141 directly influenced
genes associated with serotonin signaling in nematodes, including tph-1
(tryptophan hydroxylase), bas-1 (serotonin- and dopamine-synthetic aromatic amino
acid decarboxylase), mod-1 (serotonin-gated chloride channel), ser-1, and ser-7
(serotonin receptors) during C. elegans aging. Our findings suggest that B.
licheniformis strain 141, which is isolated from traditional Korean foods, is a
probiotic generally recognized as safe (GRAS) strain that enhances the lifespan
of C. elegans via host serotonin signaling.
PMID- 26541070
TI - Adjunct High Frequency Transcutaneous Electric Stimulation (TENS) for
Postoperative Pain Management during Weaning from Epidural Analgesia Following
Colon Surgery: Results from a Controlled Pilot Study.
AB - The potential benefit of nonpharmacological adjunctive therapy is not well
studied following major abdominal surgery. The aim of the present study was to
investigate transcutaneous electrical nerve stimulation (TENS) as a complementary
nonpharmacological analgesia intervention during weaning from epidural analgesia
(EDA) after open lower abdominal surgery. Patients were randomized to TENS and
sham TENS during weaning from EDA. The effects on pain at rest, following short
walk, and after deep breath were assessed by visual analog scale (VAS) grading.
Number of patients assessed was lower than calculated because of change in
clinical routine. Pain scores overall were low. A trend of lower pain scores was
observed in the active TENS group of patients; a statistical significance between
the groups was found for the pain lying prone in bed (p < .05). This controlled
pilot study indicates benefits of TENS use in postoperative pain management
during weaning from EDA after open colon surgery. Further studies are warranted
in order to verify the potential beneficial effects from TENS during weaning from
EDA after open, lower abdominal surgery.
PMID- 26541071
TI - Computation of the effective mechanical response of biological networks
accounting for large configuration changes.
AB - The asymptotic homogenization technique is involved to derive the effective
elastic response of biological membranes viewed as repetitive beam networks.
Thereby, a systematic methodology is established, allowing the prediction of the
overall mechanical properties of biological membranes in the nonlinear regime,
reflecting the influence of the geometrical and mechanical micro-parameters of
the network structure on the overall response of the equivalent continuum.
Biomembranes networks are classified based on nodal connectivity, so that we
analyze in this work 3, 4 and 6-connectivity networks, which are representative
of most biological networks. The individual filaments of the network are
described as undulated beams prone to entropic elasticity, with tensile moduli
determined from their persistence length. The effective micropolar continuum
evaluated as a continuum substitute of the biological network has a kinematics
reflecting the discrete network deformation modes, involving a nodal displacement
and a microrotation. The statics involves the classical Cauchy stress and
internal moments encapsulated into couple stresses, which develop internal work
in duality to microcurvatures reflecting local network undulations. The relative
ratio of the characteristic bending length of the effective micropolar continuum
to the unit cell size determines the relevant choice of the equivalent medium. In
most cases, the Cauchy continuum is sufficient to model biomembranes. The
peptidoglycan network may exhibit a re-entrant hexagonal configuration due to
thermal or pressure fluctuations, for which micropolar effects become important.
The homogenized responses are in good agreement with FE simulations performed
over the whole network. The predictive nature of the employed homogenization
technique allows the identification of a strain energy density of a hyperelastic
model, for the purpose of performing structural calculations of the shape
evolutions of biomembranes.
PMID- 26541072
TI - Prognostic value of (18)F-FDG PET/CT volumetric parameters in recurrent
epithelial ovarian cancer.
AB - OBJECTIVE: Metabolic tumour volume (MTV) and total lesion glycolysis (TLG) from
(18)F-FDG PET/CT are emerging prognostic biomarkers in various solid neoplasms.
These volumetric parameters and the SUVmax have shown to be useful criteria for
disease prognostication in preoperative and post-treatment epithelial ovarian
cancer (EOC) patients. The purpose of this study was to evaluate the utility of
(18)F-FDG PET/CT measurements to predict survival in patients with recurrent EOC.
MATERIAL AND METHODS: Twenty-six patients with EOC who underwent a total of 31
(18)F-FDG PET/CT studies for suspected recurrence were retrospectively included.
SUVmax and volumetric parameters whole-body MTV (wbMTV) and whole-body TLG
(wbTLG) with a threshold of 40% and 50% of the SUVmax were obtained. Correlation
between PET parameters and progression-free survival (PFS) and the survival
analysis of prognostic factors were calculated. RESULTS: Serous cancer was the
most common histological subtype (76.9%). The median PFS was 12.5 months (range
10.7-20.6 months). Volumetric parameters showed moderate inverse correlation with
PFS but there was no significant correlation in the case of SUVmax. The
correlation was stronger for first recurrences. By Kaplan-Meier analysis and log
rank test, wbMTV 40%, wbMTV 50% and wbTLG 50% correlated with PFS. However,
SUVmax and wbTLG 40% were not statistically significant predictors for PFS.
CONCLUSION: Volumetric parameters wbMTV and wbTLG 50% measured by (18)F-FDG
PET/CT appear to be useful prognostic predictors of outcome and may provide
valuable information to individualize treatment strategies in patients with
recurrent EOC.
PMID- 26541073
TI - Molecular Breeding for Improved Second Generation Bioenergy Crops.
AB - There is increasing urgency to develop and deploy sustainable sources of energy
to reduce our global dependency on finite, high-carbon fossil fuels.
Lignocellulosic feedstocks, used in power and liquid fuel generation, are
valuable sources of non-food plant biomass. They are cultivated with minimal
inputs on marginal or degraded lands to prevent competition with arable
agriculture and offer significant potential for sustainable intensification (the
improvement of yield without the necessity for additional inputs) through
advanced molecular breeding. This article explores progress made in next
generation sequencing, advanced genotyping, association genetics, and genetic
modification in second generation bioenergy production. Using poplar as an
exemplar where most progress has been made, a suite of target traits is also
identified giving insight into possible routes for crop improvement and
deployment in the immediate future.
PMID- 26541074
TI - Are there specific translational challenges in regenerative medicine? Lessons
from other fields.
AB - There is concern that translation 'from bench to bedside' within regenerative
medicine (RM) will fail to materialize, or will be dismally slow, due to various
challenges arising from the highly novel and disruptive nature of RM. In this
article, we provide a summary of these challenges, and we critically engage with
the notion that such challenges are specific to RM. It is important, we argue,
not to overstate the exceptional nature of RM, as valuable lessons can be learned
from elsewhere in medicine. Using several examples of technology adoption, we
suggest that emerging RM products and procedures will have to work hard to find
or create an adoption space if translation into the clinic is to be successful.
PMID- 26541075
TI - Impact of postprandial glucose control on diabetes-related complications: How is
the evidence evolving?
AB - Conflicting findings in the literature and lack of long-term definitive outcome
studies have led to difficulty in drawing conclusions about the role of
postprandial hyperglycemia in diabetes and its complications. Recent scientific
publications support the role of postprandial glucose (PPG) as a key contributor
to overall glucose control and a predictor of microvascular and macrovascular
events. However, the need remains for definitive evidence to support the precise
relationship between PPG excursions and the development and progression of
cardiovascular complications of diabetes. Drawing firm conclusions on the
relationship between PPG and microvascular and macrovascular complications is
challenged by the absence of antidiabetic agents that can specifically exert
their action on PPG alone, without a basal glucose-lowering effect. Areas under
investigation include interventions that more closely approximate 'normal'
physiological postprandial responses, as well as technologies that advance the
mode of insulin delivery or optimize methods to sense glycemic levels and
variation. In conclusion, the precise role of postprandial hyperglycemia in
relation to development of diabetic complications is unclarified and is one of
the remaining unanswered questions in diabetes. Nevertheless, current evidence
supports PPG control as an important strategy to consider in the comprehensive
management plan of individuals with diabetes.
PMID- 26541076
TI - The EMPA-REG study: What has it told us? A diabetologist's perspective.
PMID- 26541077
TI - Do Patients Treated for Colorectal Cancer Benefit from General Practitioner
Support? A Video Vignette Study.
AB - BACKGROUND: Patients who have been treated for colorectal cancer in Australia can
consult their general practitioner (GP) for advice about symptoms or side effects
at any time following their treatment. However, there is no evidence that such
patients are consistently advised by GPs, and patients experience substantial
unmet need for reassurance and advice. OBJECTIVE: To explore the patient
management options selected by GPs to treat a set of patients describing their
symptoms following treatment for colorectal cancer. METHODS: This was an Internet
based survey. Participants (GPs) viewed 6 video vignettes of actors representing
patients who had been treated for colorectal cancer. The actor-patients presented
problems that resulted from their treatment. Participants indicated their
diagnosis and stated if they would prescribe, refer, or order tests, based on
that diagnosis. These responses were then rated against the management decisions
for those vignettes as recommended by a team of colorectal cancer experts.
RESULTS: In total, 52 GPs consented to take part in the study, and 40 (77%)
completed the study. Most GPs made a diagnosis of colorectal cancer treatment
side effects/symptoms of recurrence that was consistent with the experts'
opinions. However, correct diagnosis was dependent on the type of case viewed.
Compared with radiation proctitis, GPs were more likely to recognize peripheral
neuropathy (odds ratio, OR, 4.43, 95% CI 1.41-13.96, P=.011) and erectile
dysfunction (OR 9.70, 95% CI 2.48-38.03, P=.001), but less likely to identify
chemotherapy-induced fatigue (OR 0.19, 95% CI 0.08-0.44). GPs who had more hours
of direct patient care (OR 0.38, 95% CI 0.17-0.84, P=.02), were experienced (OR
9.78, 95% CI 1.18-8.84, P=.02), and consulted more patients per week (OR 2.48,
95% CI 1.16-5.30, P=.02) suggested a management plan that was consistent with the
expert opinion. CONCLUSIONS: In this pilot study, years of experience and direct
patient contact hours had a significant and positive impact on the management of
patients. This study also showed promising results indicating that management of
the common side effects of colorectal cancer treatment can be delegated to
general practice. Such an intervention could support the application of shared
models of care. However, a larger study, including the management of side effects
in real patients, needs to be conducted before this can be safely recommended.
PMID- 26541078
TI - Biophysical and biological characterisation of collagen/resilin-like protein
composite fibres.
AB - Collagen type I, in various physical forms, is widely used in tissue engineering
and regenerative medicine. To control the mechanical properties and
biodegradability of collagen-based devices, exogenous cross-links are introduced
into the 3D supramolecular structure. However, potent cross-linking methods are
associated with cytotoxicity, whilst mild cross-linking methods are associated
with suboptimal mechanical resilience. Herein, we assessed the influence of
resilin, a super-elastic and highly stretchable protein found within structures
in arthropods where energy storage and long-range elasticity are needed, on the
biophysical and biological properties of mildly cross-linked extruded collagen
fibres. The addition of resilin-like protein in the 4-arm poly(ethylene glycol)
ether tetrasuccinimidyl glutarate cross-linked collagen fibres resulted in a
significant increase of stress and strain at break values and a significant
decrease of modulus values. The addition of resilin-like protein did not
compromise cell metabolic activity and DNA concentration. All groups are
supported parallel to the longitudinal fibre axis cell orientation. Herein we
provide evidence that the addition of resilin-like protein in mildly cross-linked
collagen fibres improves their biomechanical properties, without jeopardising
their biological properties.
PMID- 26541080
TI - Intraoperative Molecular Diagnostic Imaging Can Identify Renal Cell Carcinoma.
AB - PURPOSE: Margin status can often be difficult to assess intraoperatively,
particularly during partial nephrectomy given the time constraints related to
renal hilar clamping. We hypothesized that a targeted molecular imaging approach
could be used during surgery to identify tumor margins and confirm disease
clearance. MATERIALS AND METHODS: EC17, a novel tracer targeting FRalpha, was
used in murine models of renal cell carcinoma to identify positive margins after
surgery. Positive margins were detected due to elevated tumor-to-background
ratios of the tumor compared to surrounding normal tissues. We performed a pilot
study in 4 patients using EC17 preoperatively with intraoperative imaging during
the operation. RESULTS: FRalpha was highly expressed in 65% of clear cell renal
cell carcinomas harvested from the operating room. In the murine model
intraoperative imaging of renal cell carcinoma revealed a mean +/- SD tumor-to
background ratio of 8.2 +/- 1.1 in the RCC10, 11.2 +/- 1.1 in the 786-0 and 4.3
+/- 1.1 in the UMRC2 cell line. Compared to visual inspection intraoperative
imaging of the surgical resection bed identified residual disease in 24% more
animals. In the human pilot study targeted molecular imaging identified 2 of 4
renal cell carcinomas and had no false-positive results. In these 2 cases the
tumor-to-background ratio was 3.7 and 4.6, respectively. In each case we
confirmed disease clearance and tumor fluorescence did not correlate with nodule
size or tumor grade. CONCLUSIONS: To our knowledge this is the first
demonstration in humans of identifying renal cell carcinoma during surgery using
a targeted molecular contrast agent. This approach may lead to a superior method
of identifying malignancy and tumor borders in the intraoperative setting.
PMID- 26541082
TI - Feature diagnosticity and task context shape activity in human scene-selective
cortex.
AB - Scenes are constructed from multiple visual features, yet previous research
investigating scene processing has often focused on the contributions of single
features in isolation. In the real world, features rarely exist independently of
one another and likely converge to inform scene identity in unique ways. Here, we
utilize fMRI and pattern classification techniques to examine the interactions
between task context (i.e., attend to diagnostic global scene features; texture
or layout) and high-level scene attributes (content and spatial boundary) to test
the novel hypothesis that scene-selective cortex represents multiple visual
features, the importance of which varies according to their diagnostic relevance
across scene categories and task demands. Our results show for the first time
that scene representations are driven by interactions between multiple visual
features and high-level scene attributes. Specifically, univariate analysis of
scene-selective cortex revealed that task context and feature diagnosticity shape
activity differentially across scene categories. Examination using multivariate
decoding methods revealed results consistent with univariate findings, but also
evidence for an interaction between high-level scene attributes and diagnostic
visual features within scene categories. Critically, these findings suggest
visual feature representations are not distributed uniformly across scene
categories but are shaped by task context and feature diagnosticity. Thus, we
propose that scene-selective cortex constructs a flexible representation of the
environment by integrating multiple diagnostically relevant visual features, the
nature of which varies according to the particular scene being perceived and the
goals of the observer.
PMID- 26541083
TI - Bipolar Carrier Transfer Channels in Epitaxial Graphene/SiC Core-Shell
Heterojunction for Efficient Photocatalytic Hydrogen Evolution.
AB - Bipolar carrier transfer channels exist in the in situ epitaxial-graphene-wrapped
6H-SiC core-shell heterojunction due to the self-doping of graphene. Due to the
special interface structure and high graphene quality, this material exhibits
significant photocatalytic enhancement. Its hydrogen evolution efficiency is
greater than that of the Pt/SiC composite. This micrometer-sized metal-free
photocatalyst exhibits an activity comparable to that of metal-based
nanophotocatalysts.
PMID- 26541084
TI - The emerging epitranscriptomics of long noncoding RNAs.
AB - The pervasive transcription of genomes into long noncoding RNAs has been amply
demonstrated in recent years and garnered much attention. Similarly, emerging
'epitranscriptomics' research has shown that chemically modified nucleosides,
thought to be largely the domain of tRNAs and other infrastructural RNAs, are far
more widespread and can exert unexpected influence on RNA utilization. Both areas
are characterized by the often-ephemeral nature of the subject matter in that few
individual examples have been fully assessed for their molecular or cellular
function, and effects might often be subtle and cumulative. Here we review
available information at the intersection of these two exciting areas of biology,
by focusing on four RNA modifications that have been mapped transcriptome-wide: 5
methylcytidine, N6-methyladenosine, pseudouridine as well as adenosine to inosine
(A-to-I) editing, and their incidence and function in long noncoding RNAs. This
article is part of a Special Issue entitled: Clues to long noncoding RNA
taxonomy, edited by Dr. Tetsuro Hirose and Dr. Shinichi Nakagawa.
PMID- 26541086
TI - Comparison of subfoveal choroidal thickness in healthy pregnancy and pre
eclampsia.
AB - PURPOSE: Pregnancy is a known predisposing factor for central serous
chorioretinopathy (CSC). Choroidal thickness (CT) increases in patients with CSC.
This study was designed to evaluate CT in pregnant women.Patients and methodsThis
was a prospective study. Fourteen healthy pregnant women and seven patients with
pre-eclampsia were included. Twenty-one normal subjects were also recruited. CT
was measured using enhanced-depth imaging optical coherence tomography. RESULTS:
The mean CT of normal subjects, healthy pregnant women and patients with pre
eclampsia were 264.95+/-21.03, 274.23+/-29.30 and 389.79+/-25.13 MUm,
respectively (normal subjects vs healthy gravidas: P>0.05; normal subjects vs pre
eclampsia: P<0.001; healthy gravidas vs pre-eclampsia: P<0.001). CT decreased
from 381.05+/-22.96 MUm to 335.17+/-9.97 MUm 1 week after delivery in patients
with pre-eclampsia. CONCLUSIONS: Pregnancy itself did not increase CT, whereas
pre-eclampsia did appear to result in increased CT. This suggests that additional
unknown factors induce hyperpermeability in pregnant women.
PMID- 26541085
TI - Light pollution: the possible consequences of excessive illumination on retina.
AB - Light is the visible part of the electromagnetic radiation within a range of 380
780 nm; (400-700 on primates retina). In vertebrates, the retina is adapted to
capturing light photons and transmitting this information to other structures in
the central nervous system. In mammals, light acts directly on the retina to
fulfill two important roles: (1) the visual function through rod and cone
photoreceptor cells and (2) non-image forming tasks, such as the synchronization
of circadian rhythms to a 24 h solar cycle, pineal melatonin suppression and
pupil light reflexes. However, the excess of illumination may cause retinal
degeneration or accelerate genetic retinal diseases. In the last century human
society has increased its exposure to artificial illumination, producing changes
in the Light/Dark cycle, as well as in light wavelengths and intensities.
Although, the consequences of unnatural illumination or light pollution have been
underestimated by modern society in its way of life, light pollution may have a
strong impact on people's health. The effects of artificial light sources could
have direct consequences on retinal health. Constant exposure to different
wavelengths and intensities of light promoted by light pollution may produce
retinal degeneration as a consequence of photoreceptor or retinal pigment
epithelium cells death. In this review we summarize the different mechanisms of
retinal damage related to the light exposure, which generates light pollution.
PMID- 26541087
TI - Light and the evolution of vision.
AB - It might seem a little ridiculous to cover the period over which vision evolved,
perhaps 1.5 billion years, in only 3000 words. Yet, if we examine the
photoreceptor molecules of the most basic eukaryote protists and even before
that, in those of prokaryote bacteria and cyanobacteria, we see how similar they
are to those of mammalian rod and cone photoreceptor opsins and the
photoreceptive molecules of light sensitive ganglion cells. This shows us much
with regard the development of vision once these proteins existed, but there is
much more to discover about the evolution of even more primitive vision systems.
PMID- 26541088
TI - Light damage to the retina: an historical approach.
AB - A brief review of retinal light damage is presented. Thermal damage requires a
local rise in temperature of at least 10 degrees C, causing an instant
denaturation of proteins. The primary absorber is melanin. Photochemical damage
occurs at body temperature and involves cellular damage by reactive forms of
oxygen. The photosensitizers are photoproducts of the visual pigments. First
indications that non-thermal damage might exist, in particular in the case of
eclipse blindness, was presented by Vos in 1962. Attribution thereof to
photochemical action was presented in 1966 by Noell et al who also measured the
first action spectrum, in rat. It turned out to be identical to the absorption
spectrum of rhodopsin. However, in 1976 and 1982 Ham et al found a quite
different spectrum in monkeys, peaking at short wavelengths. The latter spectrum,
but not the former, was confirmed since in numerous publications with animal
models including rat. In ophthalmological practice a 'sunburn' was at first the
only complaint caused by light damage. To avoid this, patients with dilated
pupils should always be advised to wear sunglasses. Since the invention of the
laser accidents have been reported, the most recent development is youth
playfully pointing a strong laser pen in their eyes with marked consequences. The
operation microscope and endoilluminators should always be used as brief as
possible to avoid photochemical damage. Arguments for implant lenses that block
not only the UV but also part of the visible spectrum seem too weak to justify
extra costs.
PMID- 26541089
TI - The influence of hydrogeological disturbance and mining on coal seam microbial
communities.
AB - The microbial communities present in two underground coal mines in the Bowen
Basin, Queensland, Australia, were investigated to deduce the effect of pumping
and mining on subsurface methanogens and methanotrophs. The micro-organisms in
pumped water from the actively mined areas, as well as, pre- and post-mining
formation waters were analyzed using 16S rRNA gene amplicon sequencing. The
methane stable isotope composition of Bowen Basin coal seam indicates that
methanogenesis has occurred in the geological past. More recently at the mine
site, changing groundwater flow dynamics and the introduction of oxygen in the
subsurface has increased microbial biomass and diversity. Consistent with
microbial communities found in other coal seam environments, pumped coal mine
waters from the subsurface were dominated by bacteria belonging to the genera
Pseudomonas and the family Rhodocyclaceae. These environments and bacterial
communities supported a methanogen population, including Methanobacteriaceae,
Methanococcaceae and Methanosaeta. However, one of the most ubiquitous micro
organisms in anoxic coal mine waters belonged to the family 'Candidatus
Methanoperedenaceae'. As the Archaeal family 'Candidatus Methanoperedenaceae' has
not been extensively defined, the one studied species in the family is capable of
anaerobic methane oxidation coupled to nitrate reduction. This introduces the
possibility that a methane cycle between archaeal methanogenesis and
methanotrophy may exist in the anoxic waters of the coal seam after
hydrogeological disturbance.
PMID- 26541090
TI - Fine-tuning of synaptic upscaling at excitatory synapses by endocannabinoid
signaling is mediated via the CB1 receptor.
AB - The endocannabinoid 2-arachidonoylglycerol (2-AG) functions as a retrograde
signaling molecule mediating synaptic transmission and plasticity at both
inhibitory and excitatory synapses. However, little is known about whether 2-AG
signaling is involved in homeostatic regulation of miniature synaptic events at
excitatory synapses in response to activity deprivation. Here, we report that
chronic blockade of firing by tetrodotoxin (TTX) for two days resulted in
increases both in the frequency and amplitude of spontaneous miniature excitatory
postsynaptic currents (mEPSCs) in cultured mouse hippocampal neurons. However,
treatment with 2-AG alone or JZL184, a potent and selective inhibitor for
monoacylglycerol lipase (MAGL) that hydrolyzes 2-AG, induced a CB1 receptor
dependent reduction of the frequency of mEPSCs, but not the amplitude. The TTX
increased frequency was blunted by 2-AG or JZL184 and this effect was eliminated
by pharmacological or genetic inhibition of CB1 receptors. In addition, TTX still
increased frequency and amplitude of mEPSCs in the presence of CB1 receptor
inhibition. Our results suggest that while endocannabinoids are not required for
induction of synaptic scaling at excitatory glutamate synapses after chronic
activity deprivation, 2-AG signaling may play a role in fine-tuning of synaptic
strengths via presynaptically-expressed CB1 receptors.
PMID- 26541091
TI - Determinants of long-term quality of life in patients with differentiated thyroid
carcinoma - a population-based cohort study in Sweden.
AB - BACKGROUND: Although differentiated thyroid cancer (DTC) has an excellent
prognosis and a low incidence of recurrence, lifelong follow-up and medication
might be needed. The aim of this study was to clarify how living with a cancer
diagnosis for many years affects health-related quality of life (HRQoL) in DTC
patients in Sweden. MATERIAL AND METHODS: From the national all-encompassing
population-based Swedish Cancer Registry, 353 patients diagnosed with DTC between
1995 and 1998 were identified and invited to answer the HRQoL questionnaire SF-36
and a study-specific questionnaire, 14-17 years after their diagnosis. Data were
compared with a reference population as well between subgroups of patients.
RESULTS: Of the patients with DTC, 279 (79%) answered the questionnaires. In all,
only 19 (7%) reported a recurrence, however, as many as 134 (48%) stated that
they still had concerns about having a recurrence. The HRQoL in those with a
recurrence was significantly lower than those without concerns of a recurrence in
five of eight domains (p < 0.001-0.049). Similarly, patients with concerns of a
recurrence reported poorer HRQoL than those without concerns, with significantly
lower values in five domains (p < 0.001-0.008). Those few who stated that their
disease had given them a negative view on life reported poor HRQoL in all eight
domains (p < 0.001-0.030). CONCLUSIONS: Even if DTC comes with an excellent
prognosis, almost half of the patients, fully 15 years after diagnosis, worried
about a recurrence which negatively impacted their HRQoL. Awareness among
healthcare practitioners might improve information, supportive care and, in the
end, the patient's HRQoL.
PMID- 26541092
TI - Core Outcomes and Common Data Elements in Chronic Subdural Hematoma: A Systematic
Review of the Literature Focusing on Baseline and Peri-Operative Care Data
Elements.
AB - Chronic subdural hematoma (CSDH) is an increasingly common subtype of head
injury, especially in the elderly population. The optimization of treatment
strategies has been hampered by the collection of heterogeneous outcome measures
and data elements, precluding cross-study comparisons. This study aimed to
quantify the heterogeneity of data elements in the pre-operative, operative, and
post-operative phases of care, and build the basis for the development of a set
of common data elements (CDEs) for CSDH. This systematic review adhered to the
Preferred Reporting Items for Systematic Reviews and Meta-Analyses (PRISMA)
statement and was registered with the PROSPERO register of systematic reviews
(CRD42014007266). All full-text English studies with more than 10 patients
(prospective) or more than 100 patients (retrospective) published after 1990
examining clinical outcomes in CSDH were eligible for inclusion. One hundred two
eligible studies were found. Only 40 studies (39.2%) reported the main presenting
symptom/feature and 24 (23.5%) reported additional symptoms/features. Admitting
neurological/functional status was classified by the Glasgow Coma Scale (25
studies; 24.5%), the Markwalder Score (26 studies; 25.5%) and the modified Rankin
Scale (three studies; 2.9%). Fifty-four studies (52.9%) made some mention of
patient comorbidities and 58 studies (56.9%) reported the proportion or excluded
patients on anticoagulant medication. Eighteen studies (17.6%) reported baseline
coagulation status. Sixty-four studies (62.7%) stratified or assessed severity
based on radiological findings, although the methods used varied widely. There
was variable reporting of surgical technique and post-operative care; 32 studies
(31.4%) made no mention of whether the operations were performed under general or
local anesthetic. This study, a part of the Core Outcomes and Common Data
Elements in CSDH (CODE-CSDH) project, confirms and quantifies the heterogeneity
of data elements collected and reported in CSDH studies to date. It establishes
the basis for the consensus-based development of a set of common data elements,
facilitating robust cross-study comparisons and resulting improvements in patient
outcomes.
PMID- 26541093
TI - Greater association of peak neuromuscular performance with cortical bone
geometry, bone mass and bone strength than bone density: A study in 417 older
women.
AB - BACKGROUND: We evaluated which aspects of neuromuscular performance are
associated with bone mass, density, strength and geometry. METHODS: 417 women
aged 60-94years were examined. Countermovement jump, sit-to-stand test, grip
strength, forearm and calf muscle cross-sectional area, areal bone mineral
content and density (aBMC and aBMD) at the hip and lumbar spine via dual X-ray
absorptiometry, and measures of volumetric vBMC and vBMD, bone geometry and
section modulus at 4% and 66% of radius length and 4%, 38% and 66% of tibia
length via peripheral quantitative computed tomography were performed. The first
principal component of the neuromuscular variables was calculated to generate a
summary neuromuscular variable. Percentage of total variance in bone parameters
explained by the neuromuscular parameters was calculated. Step-wise regression
was also performed. RESULTS: At all pQCT bone sites (radius, ulna, tibia,
fibula), a greater percentage of total variance in measures of bone mass,
cortical geometry and/or bone strength was explained by peak neuromuscular
performance than for vBMD. Sit-to-stand performance did not relate strongly to
bone parameters. No obvious differential in the explanatory power of
neuromuscular performance was seen for DXA aBMC versus aBMD. In step-wise
regression, bone mass, cortical morphology, and/or strength remained significant
in relation to the first principal component of the neuromuscular variables. In
no case was vBMD positively related to neuromuscular performance in the final
step-wise regression models. CONCLUSION: Peak neuromuscular performance has a
stronger relationship with leg and forearm bone mass and cortical geometry as
well as proximal forearm section modulus than with vBMD.
PMID- 26541095
TI - Reversible single-crystal-to-single-crystal transformation from a mononuclear
complex to a fourfold interpenetrated MOF with selective adsorption of CO2.
AB - The reversible crystal transformation between the mononuclear complex
[Cu(tzbc)2(H2O)4] (1) and the 4-fold interpenetrated diamondoid MOF [Cu(tzbc)2]
(2) was chemically implemented and structurally interpreted. Interestingly,
despite 4-fold interpenetration, desolvated with 1D channels and rich N-groups
shows exclusive CO2 adsorption of up to 12.5 wt% at room temperature and low
pressure, indicating potential application in the separation of industrial and
automobile exhaust.
PMID- 26541094
TI - Endothelium-Derived Hyperpolarization and Coronary Vasodilation: Diverse and
Integrated Roles of Epoxyeicosatrienoic Acids, Hydrogen Peroxide, and Gap
Junctions.
AB - Myocardial perfusion and coronary vascular resistance are regulated by signaling
metabolites released from the local myocardium that act either directly on the
VSMC or indirectly via stimulation of the endothelium. A prominent mechanism of
vasodilation is EDH of the arteriolar smooth muscle, with EETs and H(2)O(2)
playing important roles in EDH in the coronary microcirculation. In some cases,
EETs and H(2)O(2) are released as transferable hyperpolarizing factors (EDHFs)
that act directly on the VSMCs. By contrast, EETs and H(2)O(2) can also promote
endothelial KCa activity secondary to the amplification of extracellular Ca(2+)
influx and Ca(2+) mobilization from intracellular stores, respectively. The
resulting endothelial hyperpolarization may subsequently conduct to the media via
myoendothelial gap junctions or potentially lead to the release of a chemically
distinct factor(s). Furthermore, in human isolated coronary arterioles dilator
signaling involving EETs and H(2)O(2) may be integrated, being either
complimentary or inhibitory depending on the stimulus. With an emphasis on the
human coronary microcirculation, this review addresses the diverse and integrated
mechanisms by which EETs and H(2)O(2) regulate vessel tone and also examines the
hypothesis that myoendothelial microdomain signaling facilitates EDH activity in
the human heart.
PMID- 26541097
TI - High genetic variability in endophytic fungi from the genus Diaporthe isolated
from common bean (Phaseolus vulgaris L.) in Brazil.
AB - AIMS: The goals of the present study were to identify, to analyse the
phylogenetic relations and to evaluate the genetic variability in Diaporthe
endophytic isolates from common bean. METHODS AND RESULTS: Diaporthe sp., D.
infecunda and D. phaseolorum strains were identified using multilocus phylogeny
(rDNA ITS region; EF1-alpha, beta-tubulin, and calmodulin genes). IRAP (Inter
Retrotransposon Amplified Polymorphism) and REMAP (Retrotransposon-Microsatellite
Amplified Polymorphism) molecular markers reveal the existence of high genetic
variability, especially among D. infecunda isolates. CONCLUSIONS: It was
concluded that the multilocus phylogenetic approach was more effective than
individual analysis of ITS sequences, in identifying the isolates to species
level, and that IRAP and REMAP markers can be used for studying the genetic
variability in the genus Diaporthe particularly at the intraspecific level.
SIGNIFICANCE AND IMPACT OF THE STUDY: The combined use of molecular tools such as
multilocus phylogenetic approach and molecular markers, as performed in this
study, is the best way to distinguish endophytic strains of Diaporthe isolated
from common bean (Phaseolus vulgaris L.).
PMID- 26541096
TI - The effect of short-chain fatty acids on human monocyte-derived dendritic cells.
AB - The gut microbiota is essential for human health and plays an important role in
the pathogenesis of several diseases. Short-chain fatty acids (SCFA), such as
acetate, butyrate and propionate, are end-products of microbial fermentation of
macronutrients that distribute systemically via the blood. The aim of this study
was to investigate the transcriptional response of immature and LPS-matured human
monocyte-derived DC to SCFA. Our data revealed distinct effects exerted by each
individual SCFA on gene expression in human monocyte-derived DC, especially in
the mature ones. Acetate only exerted negligible effects, while both butyrate and
propionate strongly modulated gene expression in both immature and mature human
monocyte-derived DC. An Ingenuity pathway analysis based on the differentially
expressed genes suggested that propionate and butyrate modulate leukocyte
trafficking, as SCFA strongly reduced the release of several pro-inflammatory
chemokines including CCL3, CCL4, CCL5, CXCL9, CXCL10, and CXCL11. Additionally,
butyrate and propionate inhibited the expression of lipopolysaccharide (LPS)
induced cytokines such as IL-6 and IL-12p40 showing a strong anti-inflammatory
effect. This work illustrates that bacterial metabolites far from the site of
their production can differentially modulate the inflammatory response and
generally provides new insights into host-microbiome interactions.
PMID- 26541098
TI - A Systematic Review of Symptoms for the Diagnosis of Ovarian Cancer.
AB - CONTEXT: Ovarian cancer is common and has significant morbidity and mortality,
partly because it is often diagnosed at a late stage. This study sought to
determine the accuracy of individual symptoms and combinations of symptoms for
the diagnosis of ovarian cancer. EVIDENCE ACQUISITION: MEDLINE was searched,
identifying 2,492 abstracts, reviewing 71 articles in full, and ultimately
identifying 17 studies published between 2001 and 2014 that met the inclusion
criteria. Data were abstracted by two researchers, and quality was assessed using
the QUADAS-2 criteria adapted to the study question. Bivariate random effects
meta-analysis was used where possible, and heterogeneity and threshold effects
were explored using receiver operating characteristic curves. Data were analyzed
in 2015. EVIDENCE SYNTHESIS: Most studies were at high risk of bias, primarily
because of case-control design or differential verification bias. The highest
positive likelihood ratios (LRs+) were found for presence of abdominal mass (LR+,
30.0); abdominal distension or increased girth (LR+, 16.0); abdominal or pelvic
pain (LR+, 10.4); abdominal or pelvic bloating (LR+, 9.3); loss of appetite (LR+,
9.2); and a family history of ovarian cancer (LR+, 7.5). No symptoms were helpful
at ruling out ovarian cancer when absent. The Ovarian Cancer Symptom Index was
validated in five studies and (after excluding one outlier with different
inclusion criteria) was 63% sensitive and 95% specific (LR+, 12.6; LR-, 0.39).
Two other symptom scores had not been validated prospectively. CONCLUSIONS:
Several individual signs and symptoms significantly increase the likelihood of
ovarian cancer when present. More work is needed to validate decision rules and
develop new decision support tools integrating risk factors, symptoms, and
possibly biomarkers to identify women at increased ovarian cancer risk.
PMID- 26541099
TI - Multi-College Bystander Intervention Evaluation for Violence Prevention.
AB - INTRODUCTION: The 2013 Campus Sexual Violence Elimination Act requires U.S.
colleges to provide bystander-based training to reduce sexual violence, but
little is known about the efficacy of such programs for preventing violent
behavior. This study provides the first multiyear evaluation of a bystander
intervention's campus-level impact on reducing interpersonal violence
victimization and perpetration behavior on college campuses. METHODS: First-year
students attending three similarly sized public university campuses were randomly
selected and invited to complete online surveys in the spring terms of 2010-2013.
On one campus, the Green Dot bystander intervention was implemented in 2008
(Intervention, n=2,979) and two comparison campuses had no bystander programming
at baseline (Comparison, n=4,132). Data analyses conducted in 2014-2015 compared
violence rates by condition over the four survey periods. Multivariable logistic
regression was used to estimate violence risk on Intervention relative to
Comparison campuses, adjusting for demographic factors and time (2010-2013).
RESULTS: Interpersonal violence victimization rates (measured in the past
academic year) were 17% lower among students attending the Intervention (46.4%)
relative to Comparison (55.7%) campuses (adjusted rate ratio=0.83; 95% CI=0.79,
0.88); a similar pattern held for interpersonal violence perpetration (25.5% in
Intervention; 32.2% in Comparison; adjusted rate ratio=0.79; 95% CI=0.71, 0.86).
Violence rates were lower on Intervention versus Comparison campuses for unwanted
sexual victimization, sexual harassment, stalking, and psychological dating
violence victimization and perpetration (p<0.01). CONCLUSIONS: Green Dot may be
an efficacious intervention to reduce violence at the community level and meet
Campus Sexual Violence Elimination Act bystander training requirements.
PMID- 26541101
TI - Determination of hexavalent chromium in traditional Chinese medicines by high
performance liquid chromatography with inductively coupled plasma mass
spectrometry.
AB - An analytical method that combined high-performance liquid chromatography with
inductively coupled plasma mass spectrometry has been developed for the
determination of hexavalent chromium in traditional Chinese medicines. Hexavalent
chromium was extracted using the alkaline solution. The parameters such as the
concentration of alkaline and the extraction temperature have been optimized to
minimize the interconversion between trivalent chromium and hexavalent chromium.
The extracted hexavalent chromium was separated on a weak anion exchange column
in isocratic mode, followed by inductively coupled plasma mass spectrometry
determination. To obtain a better chromatographic resolution and sensitivity, 75
mM NH4 NO3 at pH 7 was selected as the mobile phase. The linearity of the
proposed method was investigated in the range of 0.2-5.0 MUg L(-1) (r(2) =
0.9999) for hexavalent chromium. The limits of detection and quantitation are 0.1
and 0.3 MUg L(-1) , respectively. The developed method was successfully applied
to the determination of hexavalent chromium in Chloriti lapis and Lumbricus with
satisfactory recoveries of 95.8-112.8%.
PMID- 26541102
TI - Intramolecular C?H Activation and Metallacycle Aromaticity in the Photochemistry
of [FeFe]-Hydrogenase Model Compounds in Low-Temperature Frozen Matrices.
AB - The [FeFe]-hydrogenase model complexes [(MU-pdt){Fe(CO)3 }2 ], [(MU-edt){Fe(CO)3
}2 ], and [(MU-mdt){Fe(CO)3 }2 ], where pdt=1,3-propanedithiolate, edt=1,2
ethanedithiolate, and mdt=methanedithiolate, undergo wavelength dependent
photodecarbonylation in hydrocarbon matrices at 85 K resulting in multiple
decarbonylation isomers. As previously reported in time-resolved solution
photolysis experiments, the major photoproduct is attributed to a basal carbonyl
loss species. Apical carbonyl-loss isomers are also generated and may undergo
secondary photolysis, resulting in beta-hydride activation of the alkyldithiolate
bridge, as well as formation of bridging carbonyl isomers. For [(MU-bdt){Fe(CO)3
}2 ], (bdt=1,2-benzenedithiolate), apical photodecarbonylation results in
generation of a 10 pi-electron aromatic FeS2 C6 H4 metallacycle that coordinates
the remaining iron through an eta(5) mode.
PMID- 26541100
TI - Modeling and measurement of vesicle pools at the cone ribbon synapse: Changes in
release probability are solely responsible for voltage-dependent changes in
release.
AB - Postsynaptic responses are a product of quantal amplitude (Q), size of the
releasable vesicle pool (N), and release probability (P). Voltage-dependent
changes in presynaptic Ca(2+) entry alter postsynaptic responses primarily by
changing P but have also been shown to influence N. With simultaneous whole cell
recordings from cone photoreceptors and horizontal cells in tiger salamander
retinal slices, we measured N and P at cone ribbon synapses by using a train of
depolarizing pulses to stimulate release and deplete the pool. We developed an
analytical model that calculates the total pool size contributing to release
under different stimulus conditions by taking into account the prior history of
release and empirically determined properties of replenishment. The model
provided a formula that calculates vesicle pool size from measurements of the
initial postsynaptic response and limiting rate of release evoked by a train of
pulses, the fraction of release sites available for replenishment, and the time
constant for replenishment. Results of the model showed that weak and strong
depolarizing stimuli evoked release with differing probabilities but the same
size vesicle pool. Enhancing intraterminal Ca(2+) spread by lowering Ca(2+)
buffering or applying BayK8644 did not increase PSCs evoked with strong test
steps, showing there is a fixed upper limit to pool size. Together, these results
suggest that light-evoked changes in cone membrane potential alter synaptic
release solely by changing release probability.
PMID- 26541103
TI - Big-bubble deep anterior lamellar keratoplasty using central vs peripheral air
injection: a clinical trial.
AB - PURPOSE: To compare 2 sites of air injection to achieve Descemet membrane (DM)
detachment in big-bubble deep anterior lamellar keratoplasty (DALK). METHODS: In
this prospective, randomized study, 48 eyes of 48 keratoconus-affected patients
who underwent DALK by cornea fellows were enrolled. Each patient was randomly
assigned into one of 2 groups. After trephination to approximately 80% of the
corneal thickness, a 27-G needle was inserted into the stroma from the
trephination site. The needle was moved radially inside the trephination site and
advanced to the central or paracentral cornea in group 1. In group 2, the needle
was inserted into the deep stroma from the trephination site and advanced into
the peripheral cornea to approximately 1.5 mm anterior to the limbus. Air was
gently injected into the deep stroma until a big bubble was formed. The rates of
DM separation and complications were compared between the 2 groups. RESULTS: Big
bubble formation was successful in 79.2% of the eyes in the study group. A bare
DM was achieved by central injection in 68.0% of group 1 and by peripheral
injection in 69.6% of group 2 (p = 0.68). This rate was increased to 80.0% and
78.3% in groups 1 and 2, respectively, after the injection site was shifted when
injections failed. The study groups were comparable in terms of complications
including DM perforation and bubble bursting. CONCLUSIONS: Both injection sites
were equivalent in their rates of big-bubble formation and complications. Less
experienced surgeons are advised to initially inject air outside the
trephination.
PMID- 26541104
TI - Anterior corneal surface irregularity after Descemet-stripping endothelial
keratoplasty for bullous keratopathy.
AB - PURPOSE: To evaluate irregularity of the anterior cornea before and after
Descemet stripping endothelial keratoplasty (DSEK) for bullous keratopathy and
its effect on visual acuity. METHODS: Corneal data were acquired using a
topographic unit before and up to 12 months after DSEK. Anterior corneal
elevation data were decomposed into a set of Zernike polynomials up to the 8th
order within a 6.0-mm diameter region. Total higher-order aberrations (HOAs) and
root mean square from the 3rd to 8th order were calculated. The effects of
anterior surface irregularity on visual acuity were evaluated. RESULTS: This
clinical study comprised 20 consecutive eyes of 20 patients with bullous
keratopathy. The mean corrected distance visual acuity (CDVA) was 1.00 +/- 0.12
logMAR (SD) preoperatively and 0.24 +/- 0.16 logMAR 12 months postoperatively.
Before DSEK, corneas with bullous keratopathy had higher total HOAs compared with
those in controls (p<0.05). There were no significant differences in anterior
surface HOAs between preoperatively and 12 months (p>0.05). CONCLUSIONS:
Postoperative CDVA correlated with irregularity of the corneal anterior surface.
Corneal anterior HOAs are higher in patients with bullous keratopathy than in
controls, and remain higher through 12 months after DSEK. In addition to corneal
transparency, regularity of the corneal anterior surface is an important factor
in visual acuity after DSEK.
PMID- 26541105
TI - The status of glaucoma diagnostics and care in Europe in 2015: a European survey.
AB - PURPOSE: To evaluate the current status of glaucoma diagnostics and care in
Europe. METHODS: A questionnaire addressing glaucoma patient organizations,
resident education, access to an ophthalmologist, use and reimbursement of
techniques/instruments for glaucoma diagnostics and follow-up, prescription
rules, and glaucoma drug reimbursement was sent to all national representatives
of the European Glaucoma Society (EGS) in 2015. The country-specific responses
were analyzed and summarized. RESULTS: Completed questionnaires were returned for
24 countries. In 2015, a glaucoma patient organization is functioning in 57% of
the respondent countries. Waiting time for an ophthalmology resident position
varies between <=6 months and >2 years. The duration of ophthalmology resident
training varies between 3 and 7 years. Nonemergency access to an ophthalmologist
is available directly in 45.8% and via the general practitioner in 25% of the
countries. Disc photography/imaging is always done during glaucoma diagnostics in
9.5% and for follow-up in 55.5% of the respondent countries. Initial therapy of
glaucoma is medical in 100% (monotherapy in 91.3%) of the respondent countries.
Clinical and visual field examination but not disc photography/imaging is
reimbursed in 47.5% of the countries, while in another 47.5% all examinations are
reimbursed. Dispensing of the prescribed original eyedrops by the pharmacists is
mandatory in 43.5% of the countries, while the aut idem rule applies in 52.2%,
and the aut simile rule in 4.3%. CONCLUSIONS: Diagnostics, treatment, and follow
up of glaucoma remains diverse in Europe. The differences are due to
financial/reimbursement differences. When reimbursement allows, the EGS
Guidelines are followed.
PMID- 26541106
TI - Retinal vessel diameter changes in different severities of diabetic retinopathy
by SD-OCT.
AB - PURPOSE: To evaluate retinal vessel diameters in relation to different severity
grades of diabetic retinopathy (DR) using spectral-domain optical coherence
tomography (SD-OCT). METHODS: Patients with varying degrees of nonproliferative
DR (NPDR) underwent circular OCT scans centered on the optic nerve head using a
SD-OCT. These cases were retrospectively reviewed. The presence and severity of
DR was assessed using Early Treatment Diabetic Retinopathy Study protocols. The 5
largest retinal arterioles and venules were labeled and measured on OCT scans for
each patient according to previously published methods. Vertical vessel inner
contour diameter, vertical vessel outer contour diameter, and reflectance
shadowing width were among the documented parameters. RESULTS: Of 59 eyes from 45
patients examined, 30 (50.2%) and 29 (49.8%) had mild and severe NPDR,
respectively. Eyes with severe NPDR had narrower mean arteriolar vertical vessel
inner diameter (87.9 +/- 10.8 MUm), vertical vessel outer diameter (119.1 +/- 9.7
MUm), and vessel shadow width (78.8 +/- 10.9 MUm) than eyes with mild NPDR (89.8
+/- 12.1 MUm, 120.9 +/- 12.9 MUm, 81.3 +/- 15.3 MUm). However, the differences
were not statistically significant (p = 0.53, 0.55, 0.47). No correlation was
shown between the severity of NPDR and arteriolar parameters (p = 0.31, 0.59,
0.75). Wider venular diameters were associated with increasing severity of NPDR
(p<0.001, <0.001, 0.007, respectively). The association remained after
multivariate adjustment for age, sex, eye, and cataract surgery (p = 0.04, 0.01,
0.007, respectively). CONCLUSIONS: Wider retinal venule diameter was
significantly associated with the severity of NPDR by SD-OCT-assisted
measurement. Prospective studies would be needed to evaluate whether change in
retinal venule could be used as a clinical indicator of DR progression.
PMID- 26541107
TI - Late opacification of a hydrophilic acrylic intraocular lens in Europe.
AB - PURPOSE: To describe the clinical and microscopic findings in 2 different
patients of 2 cases of late opacification of the hydrophilic acrylic intraocular
lens (IOL) Ioflex. METHODS: Two eyes of 2 patients (73 and 74 years old) had an
uneventful phacoemulsification surgery with implantation of the Ioflex IOL (power
+21.5 D). At 5 years after surgery, a dense IOL opacification was detected in
both cases with significant visual degradation. The IOLs were explanted and
analyzed by microscopy. RESULTS: In both cases, a satisfactory visual recovery
was achieved (corrected distance visual acuity of 6/9 and 6/12). Microscopic
examination of the explanted IOLs revealed multiple small granules on the
surface/subsurface of the lens. Although histochemical or surface analyses were
not performed, the aspect appears consistent with a process of calcification of
this lens design. Other findings included few surface contaminants, such as
fibers, crystals that may correspond to dry viscoelastic and/or salt solutions,
as well as pigments and dust-like deposits. CONCLUSIONS: Opacification of the
posterior chamber hydrophilic acrylic IOL Ioflex probably related to
calcification can appear several years after its implantation and requires IOL
exchange due to the significant visual loss induced. More studies are required to
understand the causes of this complication.
PMID- 26541108
TI - Comparison of astigmatism correction using either peripheral corneal relaxing
incisions or toric intraocular lenses.
AB - PURPOSE: To compare the efficacy and short-term stability of toric intraocular
lenses (tIOL) and peripheral cornea relaxing incisions (PCRI) during
phacoemulsification. METHODS: Patients with preexisting corneal astigmatism had
cataract surgery either with tIOL (AcrySof Toric) (39 eyes of 35 patients) or
standard intraocular lens (AcrySof) + PCRIs (38 eyes of 33 patients). Patients
were retrospectively evaluated for manifest refraction, corneal topography, and
uncorrected and corrected visual acuities preoperatively and at postoperative 1
and 6 months. The Alpins vectorial method was used to analyze the target induced
astigmatism (TIA) and surgically induced astigmatism (SIA), magnitude of error
(the difference between the magnitude of SIA and TIA) (ME), and correction index.
RESULTS: Mean preoperative corneal astigmatism was 2.21 +/- 1.32 D in the tIOL
group and 2.24 +/- 0.96 D in the PCRI group; the difference was not significant.
The decrease in astigmatism was significant in both groups at last follow-up (64%
tIOL group, 32% PCRI group, p<0.01, Wilcoxon signed rank test). The mean
remaining refractive astigmatism was significantly higher in the PCRI group than
in the tIOL group at 1-month (1.42 +/- 1.22, 0.89 +/- 0.68, respectively) and 6
month follow-ups (1.75 +/- 1.37 D, 0.92 +/- 0.72, respectively) (p<0.01). The
mean ME was significantly lower (-0.35 versus -0.88) with a higher correction
index (0.96 versus 0.56) in the tIOL group at 6 months postoperatively.
CONCLUSIONS: Both tIOL implantation and using PCRI were effective methods to
reduce preoperative astigmatism at the time of the cataract surgery. However,
tIOLs provided better remaining astigmatism with a more stable refraction than
PCRI.
PMID- 26541109
TI - Influence of hyperopia and amblyopia on choroidal thickness in children.
AB - PURPOSE: To compare subfoveal choroidal thicknesses (ChTs) of anisometropic
hyperopic amblyopic, hyperopic nonamblyopic, and emmetropic control eyes and to
investigate the associations between ChT and ambylopia, spherical equivalent
(SE), and axial length in the pediatric population. METHODS: Forty-six hyperopic
nonamblyopic (hyperopic group), 33 anisometropic hyperopic amblyopic (amblyopic
group), and 42 emmetropic (emmetropic group) eyes were enrolled in this cross
sectional comparative study. Enhanced depth imaging spectral-domain optical
coherence tomography was used for quantitative analysis of subfoveal ChT. ChT was
quantified manually as the distance between the hyperreflective line
corresponding to the retinal pigment epithelium and the chorioscleral interface
at the subfoveal area. RESULTS: The mean age was 10.6 +/- 3.3 years (range 5-17)
in the hyperopic group, 10.7 +/- 3.3 years (range 5-17) in the amblyopic group,
and 11.2 +/- 3.3 years (range 5-17 years) in the emmetropic group (p = 0.627).
The hyperopic and amblyopic groups had significantly thicker choroid compared to
the emmetropic group (p1 = 0.005 and p2 = 0.006, respectively). However, there
was no significant difference between the hyperopic and amblyopic groups
concerning subfoveal ChT (p = 0.857). In addition, covariance analysis showed
that although SE was independently associated with subfoveal ChT (p = 0.014),
amblyopia had no significant independent effect on subfoveal ChT (p = 0.671).
Further, subfoveal ChT had weak correlations with the axial length (r = -0.297, p
= 0.001) and SE (r = 0.274, p = 0.002). CONCLUSIONS: Hyperopia was associated
with subfoveal ChT, whereas amblyopia had no independent significant effect on
subfoveal ChT in our study population.
PMID- 26541111
TI - Long-term anatomical and functional results in patients undergoing observation
for idiopathic nontractional epiretinal membrane.
AB - PURPOSE: To evaluate the anatomical and functional course without surgical
intervention in patients with nontractional epiretinal membrane (ERM) using
spectral-domain optical coherence tomography (SD-OCT) in a long-term follow-up of
38.2 +/- 30.6 months. METHODS: Participants were 58 patients with nontractional
ERM, which was defined as a tear or rip of the ERM in at least one line of OCT
scan. All patients were observed without any surgical intervention. All patients
underwent ophthalmologic examination, including best-corrected visual acuity
(BCVA) measurement, funduscopy, and SD-OCT. Routine follow-up visits were
performed every 6 months or earlier at the discretion of the investigator.
RESULTS: There was no statistically significant difference in BCVA or central
foveal thickness (CFT) at all time points of the follow-up. About 84.4% of
patients presented improvement or stabilization in BCVA at the end of the follow
up, while 53.4% of patients had a decrease in CFT. All patients had intact
ellipsoid zone and none of them needed surgical intervention at the end of the
follow-up of 38.2 +/- 30.6 months. CONCLUSIONS: In patients with nontractional
ERM, BCVA and CFT may remain stable in a long-term follow-up. Therefore, if
ellipsoid zone is intact and there is a tear or rip of ERM in at least one OCT
scan, patients can be monitored and surgery may be deferred because of high
percentage of structural and functional stability.
PMID- 26541110
TI - Rotational stability and patient satisfaction after implantation of a new toric
IOL.
AB - PURPOSE: To evaluate rotational stability, astigmatism correction, visual
outcomes, and patient satisfaction after implantation of the toric intraocular
lens (IOL) Torica-aA following cataract extraction over a 6-month follow-up
period. METHODS: This prospective observational study enrolled 40 eyes of 26
patients presenting with preexisting corneal astigmatism of 1.00 to 2.60 D. The
rotational stability of the IOL was evaluated using retroillumination photographs
taken within 24 hours after surgery and 1 week and 6 months postoperatively.
Other main study outcomes were assessed 6 months postoperatively and included
uncorrected and distance-corrected visual acuities, astigmatism correction, and
patient satisfaction. RESULTS: Six months postoperatively, mean absolute IOL
rotation was 1.99 +/- 1.88 degrees (range 0.10-7.40 degrees ) and secondary
repositioning was not required. The IOL rotation was <3 degrees in 85% of eyes,
<=5 degrees in 94% of eyes, and <8 degrees in 100% of eyes. Mean residual
refractive cylinder was -0.57 +/- 0.34 D. The magnitude of the J0 vector was
significantly decreased postoperatively (p<0.0001). The mean J45 vector was close
to zero preoperatively and postoperatively (p = 0.27). Mean monocular uncorrected
distance visual acuity (UDVA) was 0.09 +/- 0.12 logMAR and 97% of eyes achieved
UDVA of 20/40 or better including 66% of eyes having 20/25 or better. The good
UDVA resulted in high levels of spectacle independence and patient satisfaction.
CONCLUSIONS: Implantation of the Torica-aA IOL was safe and effective in reducing
low to moderate preexisting corneal astigmatism and provided good rotational
stability and refractive outcomes, which led to a high degree of patient
satisfaction.
PMID- 26541112
TI - Bilateral optic disc edema as a presentation of an obstructing spinal
plasmacytoma.
AB - PURPOSE: To report a rare case of bilateral optic disc edema as presentation of
an obstructing spinal plasmacytoma. METHODS: Case report. RESULTS: A 41-year-old
healthy man presented with distortion of his peripheral vision for 9 months. He
denied headaches or neurologic symptoms. Examination showed bilateral optic disc
swelling, radial disc hemorrhages, and absent spontaneous venous pulsations.
Brain magnetic resonance imaging (MRI) and magnetic resonance venography were
unrevealing. Lumbar puncture showed a normal opening pressure of 19 cm
cerebrospinal fluid (CSF). CSF protein was significantly elevated at 3.22 g/L
(0.10-0.45 g/L). Spinal MRI with contrast revealed a tumor in the T9 vertebral
body extending through the disc spaces into T8 and T10, compressing the spinal
cord. Computed tomography-guided biopsy confirmed a spinal plasmacytoma. He
received radiotherapy to the spine T7-T11 to reduce spinal cord compression
followed by a 6-month course of chemotherapy with dexamethasone,
cyclophosphamide, and thalidomide. Disc swelling improved on starting
radiotherapy with complete resolution 8 months posttreatment. MRI spine showed
reduction of tumor. CONCLUSIONS: This case highlights the importance of spinal
imaging in patients with normal cranial scans and raised CSF protein levels who
lack the typical idiopathic intracranial hypertension phenotype.
PMID- 26541113
TI - Predicting cataract surgery time based on preoperative risk assessment.
AB - PURPOSE: Operating room (OR) time is an expensive resource that should be
optimized to reduce costs. Individualpreoperative risk parameters (PORS)
assessment may aid in predicting cataract surgery time. METHODS: Dedicated
software was developed and known risk factors for cataract surgery were
integrated into it.Preoperative risk parameters were assigned to each patient in
the preoperative meeting and the risk score wascalculated. A total of 153
patients were divided according to a standard classification into low-risk group
(PORS<=2) and high-risk group (PORS >5). Duration of surgery for each group was
compared by Student t test and linearregression analysis was used to calculate
the relation between change in OR time and change in risk score. RESULTS:
Patients in the high PORS group had longer surgery times when compared with
patients in the low PORSgroup (37.6 vs 19.6, p<0.001). Risk scores positively
correlated with surgery time (r = 0.30, p<0.001). Predictionequations for the OR
time demonstrated for 2 surgeons that every increase in 1 risk point added 2.2 or
3.3 minutesto the OR time. Outliers (more than 1 standard deviation [SD] from
each surgeon's surgery mean time) hadmore than twice the risk score of cases
within 1 SD from the mean. CONCLUSIONS: The PORS system may be a useful tool for
predicting OR time based on individual patient risk andmay improve OR scheduling.
PMID- 26541114
TI - Helicoid peripapillary chorioretinal degeneration complicated by choroidal
neovascularization.
AB - PURPOSE: Helicoid peripapillary chorioretinal degeneration (HPCD) is a hereditary
disease of the fundus that is characterized by atrophic chorioretinal areas that
appear early in life and expand gradually from the optic disc towards the macula
and the periphery. METHODS: We describe the case of an elderly man with a known
diagnosis of HPCD who developed choroidal neovascular membrane (CNV) in both eyes
during the course of the disease. RESULTS: The patient was treated with
intravitreal injection of ranibizumab, to which he had excellent response. The
CNV subsided with 2 injections in the right eye and 1 in the left. Two years
after the initial diagnosis of CNV in the right eye, visual acuity was 5/10 OD
and 9/10 OS. CONCLUSIONS: Helicoid peripapillary chorioretinal degeneration is
rarely complicated by CNV as the fundus lacks the trigger factors that would
sustain this process. Although rare, HPCD complicated by CNV can be seen
bilaterally, but responds well to few ranibizumab injections.
PMID- 26541115
TI - Estimation of depression prevalence in patients with Stargardt disease using PHQ
9 and Zung scores.
AB - PURPOSE: To evaluate the psychological impact and depression prevalence in
patients with Stargardt disease. METHODS: We conducted a case-control study
including 39 patients with Stargardt disease and 32 age- and sex-matched healthy
controls. All participants underwent a complete ophthalmologic examination and
completed the Patient Health Questionnaire-9 (PHQ-9) and the Zung Depression
Inventory questionnaire. Results were analyzed using IBM SPSS 22.0 software.
RESULTS: The patient group consisted of 19 men and 20 women with mean age of 36.9
+/- 5.4 years and control group of 19 men and 13 women with mean age of 42.5 +/-
10.1 years. The mean values of PHQ-9 and Zung scores for patients and healthy
individuals were 10.9 +/- 4.9, 46.7 +/- 11.1, 6.7 +/- 5.4, and 41.1 +/- 8.5,
respectively. There were statistically significant differences between the 2
groups in PHQ-9 scores (independent samples t test: p = 0.001), but not in Zung
scores (Mann-Whitney test: p = 0.053). The PHQ-9 and Zung scores appeared to be
moderately but significantly correlated (Pearson coefficient 0.44, p<0.0001). In
addition, PHQ-9 score seems to be raised along with age, whereas both scores are
low when best-corrected visual acuity is high. CONCLUSIONS: Patients with
Stargardt disease exhibited more depressive symptoms compared to healthy
individuals according mainly to PHQ-9 scores. Moderate depression was
significantly correlated visual function decline.
PMID- 26541116
TI - Preparing non-volatile resistive switching memories by tuning the content of
Au@air@TiO2-h yolk-shell microspheres in a poly(3-hexylthiophene) layer.
AB - Crystalline hybrid microspheres, encapsulating a Au nanocore in the hollow cavity
of a hairy semiconductor TiO2 shell (Au@air@TiO2-h microspheres) were prepared
using template-assisted synthesis methods. The as-prepared microspheres are
dispersed into a poly(3-hexylthiophene) (P3HT) matrix and used as a memory active
layer. The electrical rewritable memory effects of Al/[Au@air@TiO2-h + P3HT]/ITO
sandwich devices can be effectively and exactly controlled by tuning the
microsphere content in the electroactive layer. To clarify the switching
mechanism, different components in the device, such as P3HT and the microspheres,
have been investigated. And it was determined that the switching mechanism can be
attributed to the formation and rupture of oxygen vacancy filaments. These
results suggest that the Au@air@TiO2-h microspheres are potentially capable of
high density data storage. In addition, this finding could provide important
guidelines for the reproducibility of nanocomposite-based memory devices and is
helpful to demonstrate the switching mechanism of these devices.
PMID- 26541117
TI - X-ray absorption, phase and dark-field tomography through a beam tracking
approach.
AB - We present a development of the beam-tracking approach that allows its
implementation in computed tomography. One absorbing mask placed before the
sample and a high resolution detector are used to track variations in the beam
intensity distribution caused by the sample. Absorption, refraction, and dark
field are retrieved through a multi-Gaussian interpolation of the beam. Standard
filtered back projection is used to reconstruct three dimensional maps of the
real and imaginary part of the refractive index, and of the dark-field signal.
While the method is here demonstrated using synchrotron radiation, its low
coherence requirements suggest a possible implementation with laboratory sources.
PMID- 26541118
TI - Consideration of Out-of-Office Blood Pressure Monitoring in Hypertension
Management.
PMID- 26541119
TI - A differential protein solubility approach for the depletion of highly abundant
proteins in plasma using ammonium sulfate.
AB - Depletion of highly abundant proteins is an approved step in blood plasma
analysis by mass spectrometry (MS). In this study, we explored a precipitation
and differential protein solubility approach as a fractionation strategy for
abundant protein removal from plasma. Total proteins from plasma were
precipitated with 90% saturated ammonium sulfate, followed by differential
solubilization in 55% and 35% saturated ammonium sulfate solutions. Using a four
hour liquid chromatography (LC) gradient and an LTQ-Orbitrap XL mass
spectrometer, a total of 167 and 224 proteins were identified from the 55% and
35% ammonium sulfate fractions, whereas 235 proteins were found in the remaining
protein fractions with at least two unique peptides. SDS-PAGE and exclusive total
spectrum counts from LC-MS/MS analyses clearly showed that majority of the
abundant plasma proteins were solubilized in 55% and 35% ammonium sulfate
solutions, indicating that the remaining protein fraction is of potential
interest for identification of less abundant plasma proteins. Serum albumin,
serotransferrin, alpha-1-antitrypsin and transthyretin were the abundant proteins
that were highly enriched in 55% ammonium sulfate fractions. Immunoglobulins,
complement system proteins, and apolipoproteins were among other abundant plasma
proteins that were enriched in 35% ammonium sulfate fractions. In the remaining
protein fractions a total of 40 unique proteins were identified of which, 32
proteins were identified with at least 10 exclusive spectrum counts. According to
PeptideAtlas, 9 of these 32 proteins were estimated to be present at low MUg ml(
1) (0.12-1.9 MUg ml(-1)) concentrations in the plasma, and 17 at low ng ml(-1)
(0.1-55 ng ml(-1)) range.
PMID- 26541120
TI - Primary renal neuroblastoma with metastasis and matrix metalloproteinase-14
expression.
AB - We herein report the rare case of a 4-year-5-month-old boy who presented with
primary renal neuroblastoma. The patient developed repeated lung and liver
metastatic recurrences, but, following a combination of chemotherapy, radiation
therapy and aggressive surgical resection, the patient is now in remission. To
investigate the pathogenesis of lung metastasis, immunohistochemistry was
performed for matrix metalloproteinase-9 and -14 (MMP-9 and MMP-14), molecular
markers of invasion, metastasis and angiogenesis in neuroblastoma. In the present
case, MMP-9 expression was not observed, but MMP-14 expression was detected in
the primary lesion and was more highly expressed in the metastatic lesion
compared with the primary one. Given the MMP-14 staining in other cases,
expression of MMP-14 may be associated with the aggressiveness of the tumor. This
suggests that selected clones with high MMP-14 expression in the primary tumor
might metastasize and form MMP-14-rich lesions.
PMID- 26541121
TI - Electrocardiographic and Electrophysiological Characteristics of Atrial
Tachycardia With Early Activation Close to the His-Bundle.
AB - INTRODUCTION: Radiofrequency (RF) ablation of atrial tachycardia (AT) with
earliest activation at the His-bundle may be associated with the risk of AV
block, and detection of this AT origin using the electrocardiogram (ECG) would be
helpful in planning ablation. Aim of this study was to characterize the P-wave
morphology and intracardiac electrograms at the successful ablation site for this
group of ATs. METHODS: All consecutive patients undergoing ablation for AT with
earliest activation at the His-bundle were included. Twelve-lead ECG and
intracardiac electrograms were analyzed. RESULTS: A total of 33 patients
underwent successful ablation. The P-wave and the PR interval during AT (cycle
length 460 +/- 88, range 360-670 milliseconds) were significantly shorter
compared to sinus rhythm 87 +/- 18 vs. 117 +/- 23 and 131 +/- 37 vs. 170 +/- 47
milliseconds, respectively, P < 0.01. In 28 patients (85%), the P-wave was
biphasic (-/+) or triphasic (+/-/+) in the precordial leads, especially V4 -V6 ,
and in 25 patients (76%) it was biphasic (-/+) or triphasic (+/-/+) in the
inferior leads. RF was delivered at the following locations: noncoronary aortic
cusp (NCC) in 24 patients, antero-septal left atrium in 4, supero-septal right
atrium in 3, left coronary cusp in 1, and between the right coronary cusp and the
NCC in 1. Atrial bipolar electrograms at the successful ablation site preceded
the P-wave by 38 +/- 11 (range 10-60) milliseconds, and AT termination was
obtained after a mean RF energy time of 10 +/- 8 (range 2-31) seconds.
CONCLUSION: A characteristic narrow and biphasic (-/+) or triphasic (+/-/+) P
wave in the inferior and precordial leads reliably identifies the group of AT
arising from the para-Hisian region.
PMID- 26541122
TI - Evidence Levels: Applied to Select Fall and Fall Injury Prevention Practices.
AB - PROBLEM: Rehabilitation nurses know the impact of injury on function,
independence, and quality of life, complicated by age-related changes associated
with decreased strength, endurance, reserve, frailty, and social and financial
resources. Multidisciplinary teams are essential to provide expert, age specific
health care delivery to this vulnerable population across settings of care.
PURPOSE: The purpose of this article is to apply level of evidence rating scales
to identify the best practice interventions to prevent falls on rehabilitation
units. KEY FINDINGS AND CLINICAL RELEVANCE: The evidence supports the importance
of determining specific risk factors and initiating multifactorial fall risk
factors tailored to the individual. Yet, little evidence exists for single
interventions, universal fall prevention strategies, and population-specific fall
prevention strategies. A review of the literature confirms the effectiveness of
many fall prevention practices and interventions remains insufficient. Of
particular concern are rehabilitation units in hospitals that have higher fall
rates compared to other acute units.
PMID- 26541123
TI - Sex difference in liver-related mortality and transplantation associated with
dietary cholesterol in chronic hepatitis C virus infection.
AB - Dietary cholesterol induces hepatic inflammation and fibrosis in animals. We
aimed to determine whether dietary cholesterol affects liver-related mortality in
hepatitis C virus (HCV)-infected patients. We performed a retrospective cohort
study using extended follow-up data from the Hepatitis C Antiviral Long-Term
Treatment Against Cirrhosis Trial. The study included HCV patients with advanced
fibrosis and compensated cirrhosis. The analysis included 657 patients who
completed two FFQ. We assessed whether cholesterol intake, measured in mg/4184 kJ
(mg/1000 kcal) of energy intake, was associated with liver-related death or
transplantation. In 4.7 (sd 1.6) years, the incidence of liver-related death (n
46) or transplantation (n 52) was 31.8/1000 person-years. The relationship
between cholesterol intake and liver-related death or transplantation was
significantly different between men and women (test for interaction, P
value=0.01). Each higher quartile of cholesterol intake was associated with an
increased risk for liver-related death or transplantation in women (adjusted
hazard ratio (AHR) 1.83; 95 % CI 1.12, 2.99; P trend=0.02), but not in men (AHR
0.96; 95 % CI 0.76, 1.22; P trend=0.73). Compared with women whose cholesterol
intake was within the recommended guidelines (300 mg/d with a 8368 kJ (2000 kcal)
diet), women who consumed more cholesterol had significantly increased risk for
liver-related death or transplantation (AHR 4.04; 95 % CI 1.42, 11.5). High
dietary cholesterol was associated with an increased risk for liver-related death
and transplantation in HCV-infected women with advanced fibrosis or compensated
cirrhosis. Future studies should assess whether reducing cholesterol intake,
among women who consume an excessive amount, can decrease HCV-related mortality.
PMID- 26541124
TI - Calculating New Parameters.
PMID- 26541125
TI - Right Time, Right Place: Probing the Functions of Organelle Positioning.
AB - The proper spatial arrangement of organelles underlies many cellular processes
including signaling, polarization, and growth. Despite the importance of local
positioning, the precise connection between subcellular localization and
organelle function is often not fully understood. To address this, recent studies
have developed and employed different strategies to directly manipulate organelle
distributions, such as the use of (light-sensitive) heterodimerization to control
the interaction between selected organelles and specific motor proteins, adaptor
molecules, or anchoring factors. We review here the importance of subcellular
localization as well as tools to control local organelle positioning. Because
these approaches allow spatiotemporal control of organelle distribution, they
will be invaluable tools to unravel local functioning and the mechanisms that
control positioning.
PMID- 26541126
TI - Changing Platitudes to Attitudes: Making the Imaging 3.0 Culture Stick.
PMID- 26541127
TI - Speaking of Language Revisited.
PMID- 26541128
TI - Archive or Discard Computer-Aided Detection Markings: Two Schools of Thought.
PMID- 26541129
TI - Authors' Reply.
PMID- 26541131
TI - Can We Score 100 in the Merit-Based Incentive Payment System?
PMID- 26541130
TI - ACR Appropriateness Criteria Acute Trauma to the Knee.
AB - More than 500,000 visits to the emergency room occur annually in the United
States, for acute knee trauma. Many of these are twisting injuries in young
patients who can walk and bear weight, and emergent radiographs are not required.
Several clinical decision rules have been devised that can considerably reduce
the number of radiographs ordered without missing a clinically significant
fracture. Although a fracture is seen on only 5% of emergency department knee
radiographs, 86% of knee fractures result from blunt trauma. In patients with a
fall or twisting injury who have focal tenderness, effusion, or inability to bear
weight, radiographs should be the first imaging study obtained. If the radiograph
shows no fracture, MRI is best for evaluating for a suspected meniscus or
ligament tear, or the injuries from a reduced patellar dislocation. Patients with
a knee dislocation should undergo radiographs and an MRI, as well as an
angiographic study such as a fluoroscopic, CT, or MR angiogram. The ACR
Appropriateness Criteria are evidence-based guidelines for specific clinical
conditions that are reviewed every three years by a multidisciplinary expert
panel. The guideline development and review include an extensive analysis of
current medical literature from peer-reviewed journals and the application of a
well-established consensus methodology (modified Delphi) to rate the
appropriateness of imaging and treatment procedures, by the panel. In those
instances in which evidence is lacking or not definitive, expert opinion may be
used to recommend imaging or treatment.
PMID- 26541132
TI - Implementing an Electronic Event-Reporting System in a Radiation Oncology
Department: The Effect on Safety Culture and Near-Miss Prevention.
AB - PURPOSE: We implemented an electronic event-reporting system to investigate its
effect on quality improvement in our department. METHODS: We developed an event
reporting program that launched in October 2012; data analysis was performed in
January 2014. Events were logged by the radiation oncology staff and reviewed by
our quality and safety committee on a biweekly basis. To measure the efficacy of
the new program, and change in safety culture, a Likert-scale survey was
administered before, and three months after, implementation of the event
reporting system. RESULTS: A total of 194 events were logged into the new system
during a 15-month period (approximately 13 events per month), compared with 93
events in an 18-month period (approximately five events per month) before the
program was launched. The average number of events reported by radiation
therapists increased from 0.9 per month to 8.6 per month. The survey results
showed a shift toward stronger agreement by staff members, in postimplementation
versus preimplementation responses, when they were asked if they knew how to
report an event in the department (P = .042), and if the current event-reporting
system would reduce the incidence of future events (P = .032). Results showed a
trend toward stronger agreement by staff members when they were asked if they
felt more comfortable reporting events that they had observed (P = .093).
Multiple safety action plans were implemented as a result of analysis of these
events. CONCLUSIONS: An electronic event-reporting system streamlines quality and
safety in a radiation oncology department by increasing reported events and
promoting a safety culture. A program that is widely accessible, easy to use, and
can analyze data meaningfully will be the most successful.
PMID- 26541133
TI - Characterization of immune response to novel HLA-A2-restricted epitopes from zinc
transporter 8 in type 1 diabetes.
AB - OBJECTIVE: ZnT8-specific CD8+ T cells in human type 1 diabetes (T1D) have been
reported recently, although the results from different laboratories are
inconsistent. We aimed to characterize these ZnT8 specific CD8+ T cells and
validate assays to screen peptide libraries. METHODS: We screened HLA-A2
restricted T cell candidate peptides of ZnT8 with different methods including
computer algorithms, MHC-peptide binding and dissociation assays in T2 cell line,
identification in HLA-A2 transgenic (Tg) mice and in vivo CTL assays. Then
ELISpot assay was used to measure peptide-reactive T cell responses in 49 HLA-A2
restricted T1D patients. RESULTS: We demonstrated that ZnT8(107-116)(115),
ZnT8(110-118), and ZnT8(177-186) were novel HLA-A*0201-restricted CTL epitopes in
T1D patients. ZnT8(107-116)(115), ZnT8(115-123), ZnT8(153-161), ZnT8(177-186) and
ZnT8(291-300) represent potentially major biomarkers for T1D. T cell responses
against these epitopes showed different distributions between recently diagnosed
and long-standing patients. Furthermore, they displayed discriminating
performance among different ethnicities. We also compared the performance of the
epitope identification strategies used herein. The epitopes which exhibited
strong immunogenicity in HLA-A2 Tg mice were also well recognized by T1D
patients. CONCLUSIONS: The differences in autoimmune T cell responses among T1D
individuals may open new avenues toward T1D prediction and prevention. It also
provides efficient strategies for immune intervention.
PMID- 26541136
TI - Corrigendum: Communication activity in a social network: relation between long
term correlations and inter-event clustering.
PMID- 26541134
TI - Dynamics and role of antibodies to Plasmodium falciparum merozoite antigens in
children living in two settings with differing malaria transmission intensity.
AB - BACKGROUND: Young infants have reduced susceptibility to febrile malaria compared
with older children, but the mechanism for this remains unclear. There are
conflicting data on the role of passively acquired antibodies. Here, we examine
antibody titres to merozoite surface antigens in the protection of children in
their first two years of life in two settings with differing malaria transmission
intensity and compare these titres to previously established protective
thresholds. METHODS: Two cohorts of children aged four to six weeks were
recruited in Banfora, Burkina and Keur Soce, Senegal and followed up for two
years. Malaria infections were detected by light microscopic examination of blood
smears collected at active and passive case detection visits. The titres of
antibodies to the Plasmodium falciparum recombinant merozoite proteins (AMA1-3D7,
MSP1-19, MSP2-Dd2, and MSP3-3D7) were measured by enzyme-linked immunosorbent
assay at 1-6, 9, 12, 15 and 18 months of age and compared with the protective
thresholds established in Kenyan children. RESULTS: Antibody titres were below
the protective thresholds throughout the study period and we did not find any
association with protection against febrile malaria. Antibodies to AMA1 and MSP1
19 appeared to be markers of exposure in the univariate analysis (and so
associated with increasing risk) and adjusting for exposure reduced the strength
and significance of this association. CONCLUSION: The antibody levels we measured
are unlikely to be responsible for the apparent protection against febrile
malaria seen in young infants. Further work to identify protective antibody
responses might include functional assays and a wider range of antigens.
PMID- 26541135
TI - Mucosal delivery switches the response to an adjuvanted tuberculosis vaccine from
systemic TH1 to tissue-resident TH17 responses without impacting the protective
efficacy.
AB - Pulmonary tuberculosis (TB) remains one of the leading causes of infectious
disease death despite widespread usage of the BCG vaccine. A number of new TB
vaccines have moved into clinical evaluation to replace or boost the BCG vaccine
including ID93+GLA-SE, an adjuvanted subunit vaccine. The vast majority of new TB
vaccines in trials are delivered parenterally even though intranasal delivery can
augment lung-resident immunity and protective efficacy in small animal models.
Parenteral immunization with the adjuvanted subunit vaccine ID93+GLA-SE elicits
robust TH1 immunity and protection against aerosolized Mycobacterium tuberculosis
in mice and guinea pigs. Here we describe the immunogenicity and efficacy of this
vaccine when delivered intranasally. Intranasal delivery switches the CD4 T cell
response from a TH1 to a TH17 dominated tissue-resident response with increased
frequencies of ID93-specific cells in both the lung tissue and at the lung
surface. Surprisingly these changes do not affect the protective efficacy of
ID93+GLA-SE. Unlike intramuscular immunization, ID93+GLA does not require the
squalene-based oil-in-water emulsion SE to elicit protective CD4 T cells when
delivered intranasally. Finally we demonstrate that TNF and the IL-17 receptor
are dispensable for the efficacy of the intranasal vaccine suggesting an
alternative mechanism of protection.
PMID- 26541137
TI - Unsettled teamwork: communication and learning in the operating theatres of an
urban hospital.
AB - AIM: To explore the unsettling effects of increased mobility of nurses, surgeons
and other healthcare professionals on communication and learning in the operating
theatre. BACKGROUND: Increasingly, healthcare professionals step in and out of
newly formed transient teams and work with colleagues they have not met before,
unsettling previously relatively stable team work based on shared, local
knowledge accumulated over significant periods of close collaboration. DESIGN: An
ethnographic case study was conducted of the operating theatre department of a
major teaching hospital in London. METHOD: Video recordings were made of 20
operations, involving different teams. The recordings were systematically
reviewed and coded. Instances where difficulties arose in the communication
between scrub nurse and surgeons were identified and subjected to detailed,
interactional analysis. FINDINGS: Instrument requests frequently prompted
clarification from the scrub nurse (e.g. 'Sorry, what did you want?'). Such
requests were either followed by a relatively elaborate clarification, designed
to maximize learning opportunities, or a by a relatively minimal clarification,
designed to achieve the immediate task at hand. CONCLUSIONS: Significant
variation exists in the degree of support given to scrub nurses requesting
clarification. Some surgeons experience such requests as disruptions, while
others treat them as opportunities to build shared knowledge.
PMID- 26541138
TI - Effect of baclofen on gastric acid pocket in subjects with gastroesophageal
reflux disease symptoms.
AB - Postprandial gastroesophageal reflux (PGER) in the distal esophagus (DE) is
associated with a gastric juice 'acid pocket' (AP). Baclofen reduces AP extension
into the DE in healthy volunteers, in part through increased lower esophageal
sphincter (LES) pressure. We aimed to verify whether baclofen also affects
postprandial AP location and extent in gastroesophageal reflux disease (GERD)
patients. Thirteen treatment-naive heartburn-prevalent GERD patients underwent
two AP studies, after pretreatment with baclofen 40 mg or placebo 30 minutes
preprandially. We performed pH-probe stepwise pull-throughs (PT) (1 cm/min, LES
10 to +5 cm) before and every 30 minutes from 30 minutes before up to 150 minutes
after a test meal. After the meal, both after placebo and baclofen, gastric pH
significantly dropped at 30, 60, 90 minutes postprandially (P: nadir pHs of 3.9
+/- 0.6, 2.3 +/- 0.6, 2.1 +/- 0.4; B: nadir pHs of 2.5 +/- 0.4, 2.8 +/- 0.4, 2.5
+/- 0.3; all P < 0.05). After placebo, LES pressure decreased at 60, 90 and 120
minutes postprandially (32.7 +/- 6.1 vs. 24.5 +/- 3.1, 27.3 +/- 5.9, 27.3 +/- 6.0
mmHg; analysis of variance [ANOVA], P = 0.037), but this was prevented by
baclofen (25.4 +/- 3.4 vs. 29.4 +/- 2, 32.2 +/- 1.4, 35.5 +/- 1.7 mmHg, ANOVA, P
= not significant (NS)). Baclofen did not significantly decrease the postprandial
AP extent above the LES but prevented the postprandial increase in transient
lower esophageal sphincter relaxations (TLESRs) (preprandial vs. postprandial,
placebo: 1.1 +/- 0.3 vs. 3.7 +/- 0.7, P < 0.05; baclofen: 1.4 +/- 0.4 vs. 2 +/-
0.5, P = NS). In GERD patients, baclofen significantly increases postprandial LES
pressure, prevents the increase TLESRs but, unlike in healthy volunteers, does
not affect AP extension into the DE.
PMID- 26541139
TI - Erratum to: Communities of practice for supporting health systems change: a
missed opportunity.
PMID- 26541140
TI - Freiburg Neuropathology Case Conference. A Mass Lesion of the Fourth Ventricle.
PMID- 26541141
TI - Prediction of survival prognosis after surgery in patients with symptomatic
metastatic spinal cord compression from non-small cell lung cancer.
AB - BACKGROUND: The aim of this study was to develop a scoring system for prediction
of survival prognosis after surgery in patients with symptomatic metastatic
spinal cord compression (MSCC) from non-small cell lung cancer (NSCLC). METHODS:
We retrospectively analyzed nine preoperative characteristics for survival in a
series of 64 patients with NSCLC who were operated with posterior decompression
and spine stabilization for MSCC. Characteristics significantly associated with
survival on multivariate analysis were included in the scoring system. The
scoring point for each significant characteristic was derived from the hazard
ratios on Cox proportional hazards model. The total score for each patient was
obtained by adding the scoring points of all significant characteristics.
RESULTS: Eastern Cooperative Oncology Group (ECOG) performance status, number of
involved vertebrae, visceral metastases, and time developing motor deficits had
significant impact on survival on multivariate analysis and were included in the
scoring system. According to the prognostic scores, which ranged from 4 to 10
points, three prognostic groups were designed: 4-5 points (n = 22), 6-7 points (n
= 23), and 8-10 points (n = 19). The corresponding 6-month survival rates were
95, 47 and 11%, respectively (P < 0.0001). In addition, the functional outcome
was worse in the group of patients with 8-10 points compared with other two
prognostic groups. CONCLUSIONS: The new scoring system will enable physicians to
identify patient with MSCC from NSCLC who may be a candidate for decompression
and spine stabilization, more radical surgery, or supportive care alone. Patients
with scores of 4-5, who have the most favorable survival prognosis and functional
outcome, can be treated with more radical surgery in order to realize better
local control of disease and prevent the occurrence of local disease. Patients
with scores of 6-7 points should be surgical candidates, because survival
prognosis and functional outcome are acceptable after surgery, while patients
with scores of 8-10 points, who have the shortest survival time and poorest
functional outcome after surgery, appear to be best treated with radiotherapy or
best supportive care.
PMID- 26541142
TI - Archetype relational mapping - a practical openEHR persistence solution.
AB - BACKGROUND: One of the primary obstacles to the widespread adoption of openEHR
methodology is the lack of practical persistence solutions for future-proof
electronic health record (EHR) systems as described by the openEHR
specifications. This paper presents an archetype relational mapping (ARM)
persistence solution for the archetype-based EHR systems to support healthcare
delivery in the clinical environment. METHODS: First, the data requirements of
the EHR systems are analysed and organized into archetype-friendly concepts. The
Clinical Knowledge Manager (CKM) is queried for matching archetypes; when
necessary, new archetypes are developed to reflect concepts that are not
encompassed by existing archetypes. Next, a template is designed for each
archetype to apply constraints related to the local EHR context. Finally, a set
of rules is designed to map the archetypes to data tables and provide data
persistence based on the relational database. RESULTS: A comparison study was
conducted to investigate the differences among the conventional database of an
EHR system from a tertiary Class A hospital in China, the generated ARM database,
and the Node + Path database. Five data-retrieving tests were designed based on
clinical workflow to retrieve exams and laboratory tests. Additionally, two
patient-searching tests were designed to identify patients who satisfy certain
criteria. The ARM database achieved better performance than the conventional
database in three of the five data-retrieving tests, but was less efficient in
the remaining two tests. The time difference of query executions conducted by the
ARM database and the conventional database is less than 130 %. The ARM database
was approximately 6-50 times more efficient than the conventional database in the
patient-searching tests, while the Node + Path database requires far more time
than the other two databases to execute both the data-retrieving and the patient
searching tests. CONCLUSIONS: The ARM approach is capable of generating
relational databases using archetypes and templates for archetype-based EHR
systems, thus successfully adapting to changes in data requirements. ARM
performance is similar to that of conventionally-designed EHR systems, and can be
applied in a practical clinical environment. System components such as ARM can
greatly facilitate the adoption of openEHR architecture within EHR systems.
PMID- 26541143
TI - Metabolomic, enzymatic, and histochemical analyzes of cassava roots during
postharvest physiological deterioration.
AB - BACKGROUND: Under postharvest physiological deterioration cassava root tubers
alter the expression of biosynthetic pathways of certain primary and secondary
metabolites, as well as the activity of some scavenging enzymes. Therefore, in
this study we hypothesized that cassava cultivars differ as to their
physiological responses to deterioration and their biochemical profiles can be an
indicative of the tolerance or susceptibility to deterioration. RESULTS: The
results corroborate the working hypothesis, revealing that high Levels of
phenolic acids, scopoletin, carotenoids, proteins, and augmented activities of
guaiacol peroxidase and hydrogen peroxide in non-stored cassava roots can be used
as potential biomarkers of cassava deterioration. CONCLUSIONS: Cassava
physiological deterioration depends on cultivar and many compounds are up and
downregulated during storage time. Secondary metabolites, enzymes, scopoletin,
scavenging reactive oxygen species, and acidic polysaccharides are activated as
responses to the physiological stress induced in root tubers.
PMID- 26541144
TI - Effect of physical activity on sex hormones in women: a systematic review and
meta-analysis of randomized controlled trials.
AB - INTRODUCTION: Exposure to high levels of endogenous estrogens is a main risk
factor for breast cancer in women, and in observational studies was found to be
inversely associated with physical activity. The objective of the present study
is to determine the effect of physical activity interventions on sex hormone
levels in healthy women. METHODS: Electronic databases (MEDLINE, EMBASE,
CENTRAL), from inception to December 2014, and reference lists of relevant
reviews and clinical trials were searched, with no language restrictions applied.
Randomized controlled trials (RCTs) were included if they compared any type of
exercise intervention to no intervention or other interventions, and assessed the
effects on estrogens, androgens or the sex hormone binding globulin (SHBG) in
cancer-free women. Following the method described in the Cochrane Handbook for
Systematic Reviews of Interventions, data on populations, interventions, and
outcomes were extracted, and combined using the inverse-variance method and a
random-effects model. A pre-established protocol was drawn up, in which the
primary outcome was the difference in circulating estradiol concentrations
between the physical activity (experimental) and the control groups after
intervention. Pre-specified subgroup analyses and sensitivity analysis according
to the risk of bias were conducted. RESULTS: Data suitable for quantitative
synthesis were available from 18 RCTs (1994 participants) for total estradiol and
from 5 RCTs (1245 participants) for free estradiol. The overall effect of
physical activity was a statistically significant decrease of both total
estradiol (standardized mean difference [SMD] -0.12; 95 % confidence interval
[CI] -0.20 to -0.03; P = 0.01; I (2) = 0 %) and free estradiol (SMD -0.20; 95 %
CI -0.31 to -0.09; P = 0.0005; I (2) = 0 %). Subgroup analyses suggest that this
effect is independent of menopausal status and is more noticeable for non-obese
women and for high intensity exercise. Meta-analysis for secondary outcomes found
that physical activity induces a statistically significant decline of free
testosterone, androstenedione, dehydroepiandrosterone-sulfate and adiposity
markers, while a significant increase of SHBG was observed. CONCLUSIONS: Although
the effect is relatively modest, physical activity induces a decrease in
circulating sex hormones and this effect is not entirely explained by weight
loss. The findings emphasize the benefits of physical activity for women.
PMID- 26541145
TI - Surgical treatment of chronic pulmonary aspergillosis using preventive latissimus
dorsi muscle flaps.
AB - BACKGROUND: Surgery for chronic pulmonary aspergillosis is often technically
risky. The choice of immediate thoracoplasty or muscle flap plombage to prevent
postoperative space problems remains controversial. This study focused on the use
of muscle flaps to prevent postoperative complications. METHODS: During an 8-year
period (2004 to 2012), all patients surgically treated for chronic pulmonary
aspergillosis were enrolled in this retrospective study. Concomitant
intrathoracic transposition of the latissimus dorsi muscle flap has been
performed since 2011. The clinical records of these patients were reviewed
retrospectively. RESULTS: From 2004 to 2012, 16 patients were treated for chronic
pulmonary aspergillosis. Fifteen patients received lobectomies and one had a
partial resection. A preventive latissimus dorsi muscle flap was used in 6
patients (37 %). No postoperative deaths occurred. Prolonged air leaks appeared
in 2 patients without muscle flaps, resulting in empyema in both. None of the
patients with preventive muscle flaps suffered prolonged air leaks and subsequent
empyema. In the outpatient clinic, late onset air leaks developed in 2 patients,
one of whom had a lobectomy with muscle flap while the other had a lobectomy
without muscle flap. Residual pleural space persisted in these two patients and
Aspergillus infection later recurred. CONCLUSIONS: Concomitant latissimus dorsi
muscle flaps may be effective for the prevention of prolonged air leaks and
subsequent empyema. Late onset air leaks are problematic.
PMID- 26541146
TI - Comparative assessment of the antirestenotic efficacy of two paclitaxel drug
eluting balloons with different coatings in the treatment of in-stent restenosis.
AB - BACKGROUND/OBJECTIVES: Preclinical investigations have suggested that coating
technology is crucial for the efficacy of drug-eluting balloons (DEB). Aim of
this study is to compare the antirestenotic efficacy of two paclitaxel DEB with
different coatings in the treatment of in-stent restenosis (ISR) by means of a
morphological and functional assessment. METHODS: In a single center,
prospective, non-randomized study, the shellac-paclitaxel coated DIOR, and the
urea-paclitaxel coated IN.PACT Falcon were compared in the setting of ISR.
Quantitative angiography, fractional flow reserve (FFR), and optical coherence
tomography (OCT) were performed at baseline, postprocedure and 6-month follow-up.
Main endpoints were QCA, FFR and OCT-based parameters of restenosis. RESULTS:
Forty-five patients were included, 20 (44 %) received treatment with the DIOR and
25 (56 %) with the IN.PACT Falcon. Angiographic and device success were 100 and
90 % for the DIOR, and 100 and 92 % for the IN.PACT Falcon, respectively. After 6
months, in-segment late lumen loss (-0.03 +/- 0.43 vs. 0.36 +/- 0.48 mm, p =
0.014) and diameter stenosis (30.7 +/- 16.2 vs. 41.3 +/- 22.6 %, p = 0.083) were
lower for the IN.PACT Falcon. FFR distal of the stent was significantly higher in
the IN.PACT Falcon group (0.92 +/- 0.07 vs. 0.84 +/- 0.13, p = 0.029) and in
stent FFR gradient was lower (0.05 +/- 0.05 vs. 0.13 +/- 0.12, p = 0.002).
Between postprocedure and follow-up, a 16 % decrease in neointimal volume was
observed for the IN.PACT Falcon, while a 30 % increase was observed for the DIOR
(p = 0.006). CONCLUSIONS: The IN.PACT Falcon DEB showed higher antirestenotic
efficacy than the DIOR in the treatment of ISR, demonstrating that DEB with an
excipient-based coating is not equally effective.
PMID- 26541148
TI - Distribution, sources, and risks of polycyclic aromatic hydrocarbons in the
surface sediments from 28 lakes in the middle and lower reaches of the Yangtze
River region, China.
AB - As the largest polycyclic aromatic hydrocarbons (PAHs) emission country, China is
suffering from severe PAHs pollution. Twenty-eight lakes in the middle and lower
reaches of the Yangtze River region (MLYR), where numerous lakes are located in
and play very important roles in the development of the local economy and
society, were selected to investigate the levels and sources of the PAHs in this
region and the related influence factors. Concentrations of the 16 PAHs (?PAHs)
in the sediments ranged from 221.0 to 2418.8 ng g(-1) (dry weight). The mean
?PAHs was higher in the lower reaches than in the middle reaches. ?PAHs in the
sediments was positively correlated with the local gross domestic product (GDP),
which implies that GDP was the key factor to affect the PAHs level in the
sediments of study area. According to the composition of 16 PAHs, the 28 lakes
were grouped into 3 clusters. Major PAHs sources for the three types of lakes
were significantly different, which were biomass combustion, coal combustion, and
vehicle/coal source, respectively. The total toxic benzo(a)pyrene equivalent
(TEQ(carc)) of the carcinogenic PAHs in the sediments varied from 12.9 to 472.9
ng TEQ(carc) g(-1). Benzo(a)pyrene (BaP) and dibenzo(a,h)anthracene (DaA) were
the two main contributors to total TEQ(carc).
PMID- 26541149
TI - A stable isotope approach and its application for identifying nitrate source and
transformation process in water.
AB - Nitrate contamination of water is a worldwide environmental problem. Recent
studies have demonstrated that the nitrogen (N) and oxygen (O) isotopes of
nitrate (NO3(-)) can be used to trace nitrogen dynamics including identifying
nitrate sources and nitrogen transformation processes. This paper analyzes the
current state of identifying nitrate sources and nitrogen transformation
processes using N and O isotopes of nitrate. With regard to nitrate sources,
delta(15)N-NO3(-) and delta(18)O-NO3(-) values typically vary between sources,
allowing the sources to be isotopically fingerprinted. delta(15)N-NO3(-) is often
effective at tracing NO(-)3 sources from areas with different land use.
delta(18)O-NO3(-) is more useful to identify NO3(-) from atmospheric sources.
Isotopic data can be combined with statistical mixing models to quantify the
relative contributions of NO3(-) from multiple delineated sources. With regard to
N transformation processes, N and O isotopes of nitrate can be used to decipher
the degree of nitrogen transformation by such processes as nitrification,
assimilation, and denitrification. In some cases, however, isotopic fractionation
may alter the isotopic fingerprint associated with the delineated NO3(-)
source(s). This problem may be addressed by combining the N and O isotopic data
with other types of, including the concentration of selected conservative
elements, e.g., chloride (Cl(-)), boron isotope (delta(11)B), and sulfur isotope
(delta(35)S) data. Future studies should focus on improving stable isotope mixing
models and furthering our understanding of isotopic fractionation by conducting
laboratory and field experiments in different environments.
PMID- 26541150
TI - On the design of a DEA-based device to pot entially assist lower leg disorders:
an analytical and FEM investigation accounting for nonlinearities of the leg and
device deformations.
AB - BACKGROUND: One of the recommended treatments for disorders associated with the
lower extremity venous insufficiency is the application of external mechanical
compression. Compression stockings and elastic bandages are widely used for the
purpose of compression therapy and are usually designed to exert a specified
value or range of compression on the leg. However, the leg deforms under external
compression, which can lead to undesirable variations in the amount of
compression applied by the compression bandages. In this paper, the use of an
active compression bandage (ACB), whose compression can be regulated through an
electrical signal, is investigated. The ACB is based on the use of dielectric
elastomer actuators. This paper specifically investigates, via both analytical
and non-linear numerical simulations, the potential pressure the ACB can apply
when the compliancy of the human leg is taken into account. The work underpins
the need to account for the compressibility of the leg when designing compression
garments for lower extremity venous insufficiency. METHODS: A mathematical model
is used to simulate the volumetric change of a calf when compressed. Suitable
parameters for this calf model are selected from the literature where the calf,
from ankle to knee, is divided into six different regions. An analytical
electromechanical model of the ACB, which considers its compliancy as a function
of its pre-stretch and electricity applied, is used to predict the ACB's
behavior. Based on these calf and ACB analytical models, a simulation is
performed to investigate the interaction between the ACB and the human calf with
and without an electrical stimulus applied to the ACB. This simulation is
validated by non-linear analysis performed using a software based on the finite
element method (FEM). In all simulations, the ACB's elastomer is stretched to a
value in the range between 140 and 220 % of its initial length. RESULTS: Using
data from the literature, the human calf model, which is examined in this work,
has different compliancy in its different regions. For example, when a 28.5 mmHg
(3.8 kPa) of external compression is applied to the entire calf, the ankle shows
a 3.7 % of volume change whereas the knee region undergoes a 2.7 % of volume
change. The paper presents the actual pressure in the different regions of the
calf for different values of the ACB's stretch ratio when it is either
electrically activated or not activated, and when compliancy of the leg is either
considered or not considered. For example, results of the performed simulation
show that about 10 % variation in compression in the ankle region is expected
when the ACB initially applies 6 kPa and the compressibility of the calf is first
considered and then not considered. Such a variation reduces to 5 % when the
initial pressure applied by the ACB reduced by half. CONCLUSIONS: Comparison with
non-linear FEM simulations show that the analytical models used in this work can
closely estimate interaction between an active compression bandage and a human
calf. In addition, compliancy of the leg should not be neglected when either
designing a compression band or predicting the compressive force it can exert.
The methodology proposed in this work can be extended to other types of elastic
compression bandages and garments for biomedical applications.
PMID- 26541151
TI - Comparative evaluation of sealing ability and microstructure of MTA and
Biodentine after exposure to different environments.
AB - OBJECTIVES: The aim of this study was to evaluate the sealing ability and
morphological microstructure of Biodentine in comparison to ProRoot mineral
trioxide aggregate (MTA) after storage in an acidic environment. MATERIALS AND
METHODS: Biodentine and ProRoot MTA were prepared and packed into the canal lumen
of dentin disks. Twenty specimens of each material were further randomly divided
into two groups according to the storage media: group A: materials with saline as
storage medium; group B: materials with citric acid buffered at pH 5.4 as storage
medium. The sealing ability was evaluated at 1, 3, 6, and 24 h and 1 or 3 months,
using a fluid transport model for quantitative analysis of endodontic
microleakage. The morphological microstructures of the materials were also
evaluated using scanning electron microscopy. RESULTS: During the first 24 h, MTA
showed greater fluid transport values than Biodentine in both environments. At
the 3-month measurement, when the materials were stored in saline, MTA showed
greater ability to prevent fluid movement than Biodentine (p < 0.0001). However,
when the materials were stored in an acidic environment, no statistical
significant difference was found after 3 months. After storage in saline, both
materials showed an uneven crystalline surface with similar hexagonal crystals.
The microstructure of Biodentine changed after exposure to citric acid, showing a
relatively smooth surface with more spheroidal crystals. CONCLUSIONS: The
exposure to an acidic environment, within the limits of this study, seems to
result in morphological changes of Biodentine in a different manner than MTA. MTA
shows good ability to prevent fluid movement over time, in both environments. The
ability of Biodentine to prevent fluid movement over time was enhanced in the
acidic environment. CLINICAL RELEVANCE: The findings of the present study could
imply that both materials are indicated for use in an acidic environment.
PMID- 26541152
TI - Management Options and Outcomes for Neonatal Hypoplastic Left Heart Syndrome in
the Early Twenty-First Century.
AB - Without surgical treatment, neonatal hypoplastic left heart syndrome (HLHS)
mortality in the first year of life exceeds 90 % and, in spite of improved
surgical outcomes, many families still opt for non-surgical management. The
purpose of this study was to investigate trends in neonatal HLHS management and
to identify characteristics of patients who did not undergo surgical palliation.
Neonates with HLHS were identified from a serial cross-sectional analysis using
the Healthcare Cost and Utilization Project's Kids' Inpatient Database from 2000
to 2012. The primary analysis compared children undergoing surgical palliation to
those discharged alive without surgery using a binary logistic regression model.
Multivariate logistic regression was conducted to determine factors associated
with treatment choice. A total of 1750 patients underwent analysis. Overall
hospital mortality decreased from 35.3 % in 2000 to 22.9 % in 2012. The
percentage of patients undergoing comfort care discharge without surgery also
decreased from 21.2 to 14.8 %. After controlling for demographics and
comorbidities, older patients at presentation were less likely to undergo surgery
(OR 0.93, 0.91-0.96), and patients in 2012 were more likely to undergo surgery
compared to those in prior years (OR 1.5, 1.1-2.1). Discharge without surgical
intervention is decreasing with a 30 % reduction between 2000 and 2012. Given the
improvement in surgical outcomes, further dialogue about ethical justification of
non-operative comfort or palliative care is warranted. In the meantime,
clinicians should present families with surgical outcome data and recommend
intervention, while supporting their option to refuse.
PMID- 26541153
TI - Intra-procedural Bronchoscopy to Prevent Bronchial Compression During Pulmonary
Artery Stent Angioplasty.
AB - Stenosis of the pulmonary arteries frequently occurs during staged palliation of
hypoplastic left heart syndrome and variants, often necessitating stent
angioplasty. A complication of stent angioplasty is compression of the
ipsilateral mainstem bronchus. Following such a case, we re-evaluated our
approach to PA stent angioplasty in these patients. The incident case is
described. A retrospective observational study of children and adults with
superior (SCPC) and/or total cavopulmonary connection (TCPC) undergoing left
pulmonary artery (LPA) stent angioplasty between January 1, 2005 and January 5,
2014 and subsequent chest CT was performed to assess the incidence of bronchial
compression. The current strategy of employing bronchoscopy to assess bronchial
compression during angioplasty is described with short-term results. Sixty-five
children and adults underwent LPA stent angioplasty. Other than the incident
case, none had symptomatic bronchial compression. Of the total study population,
12 % had subsequent CT, of which one subject had moderate bronchial compression.
To date, seven subjects have undergone angioplasty of LPA stenosis and
bronchoscopy. In one case, stent angioplasty was not performed because of
baseline bronchial compression, exacerbated during angioplasty. In the rest of
cases, mild-moderate compression was seen during angioplasty. Following stent
angioplasty, the resultant compression was not worse than that seen on test
angioplasty. Bronchial compression is a rare complication of stent angioplasty of
the pulmonary arteries in children and adults with SCPC/TCPC. Angioplasty of the
region of interest with procedural bronchoscopy can help to identify patients at
risk of this complication.
PMID- 26541154
TI - Plant-mediated biosynthesis of nanoparticles as an emerging tool against
mosquitoes of medical and veterinary importance: a review.
AB - Mosquitoes (Diptera: Culicidae) are a key threat for millions of people
worldwide, since they act as vectors for devastating parasites and pathogens.
Mosquito young instars are usually targeted with organophosphates, insect growth
regulators and microbial control agents. Indoors residual spraying and
insecticide-treated bed nets are also employed. However, these chemicals have
strong negative effects on human health and the environment. Newer and safer
tools have been recently implemented to enhance control of mosquitoes. In this
review, I focus on characterization, effectiveness, and non-target effects of
mosquitocidal nanoparticles synthesized using botanical products (mosquitocidal
nanoparticles, MNP). The majority of plant-fabricated MNP are silver ones. The
synthesis of MNP is usually confirmed by UV-visualization spectroscopy, followed
by scanning electron microscopy or transmission electron microscopy, energy
dispersive X-ray spectroscopy, Fourier transform infrared spectroscopy, and X-ray
diffraction studies. Interestingly, plant-synthesized metal nanoparticles have
been reported as effective ovicides, larvicides, pupicides, adulticides, and
oviposition deterrents against different mosquito species of medical and
veterinary importance. Few parts per million of different MNP are highly toxic
against the malaria vector Anopheles stephensi, the dengue vector Aedes aegypti,
and the filariasis mosquito Culex quiquefasciatus. However, despite the growing
number of evidences about the effectiveness of MNP, moderate efforts have been
carried out to shed light on their possible non-target effects against mosquito's
natural enemies and other aquatic organisms. In the final section, particular
attention was dedicated to this issue. A number of hot areas that need further
research and cooperation among parasitologists and entomologists are highlighted.
PMID- 26541156
TI - Non-genomic regulation and disruption of spermatozoal in vitro hyperactivation by
oviductal hormones.
AB - During capacitation, motility of mammalian spermatozoon is changed from a state
of "activation" to "hyperactivation." Recently, it has been suggested that some
hormones present in the oviduct are involved in the regulation of this
hyperactivation in vitro. Progesterone, melatonin, and serotonin enhance
hyperactivation through specific membrane receptors, and 17beta-estradiol
suppresses this enhancement by progesterone and melatonin via a membrane estrogen
receptor. Moreover, gamma-aminobutyric acid suppresses progesterone-enhanced
hyperactivation through the gamma-aminobutyric acid receptor. These hormones dose
dependently affect hyperactivation. Although the complete signaling pathway is
not clear, progesterone activates phospholipase C and protein kinases and
enhances tyrosine phosphorylation. Moreover, tyrosine phosphorylation is
suppressed by 17beta-estradiol. This regulation of spermatozoal hyperactivation
by steroids is also disrupted by diethylstilbestrol. The in vitro experiments
reviewed here suggest that mammalian spermatozoa are able to respond to effects
of oviductal hormones. We therefore assume that the enhancement of spermatozoal
hyperactivation is also regulated by oviductal hormones in vivo.
PMID- 26541155
TI - Open-loop static and dynamic characteristics of the arterial baroreflex system in
rabbits and rats.
AB - The arterial baroreflex system is the most important negative feedback system for
stabilizing arterial pressure (AP). This system serves as a key link between the
autonomic nervous system and the cardiovascular system, and is thus essential for
understanding the pathophysiology of cardiovascular diseases and accompanying
autonomic abnormalities. This article focuses on an open-loop systems analysis
using a baroreceptor isolation preparation to identify the characteristics of two
principal subsystems of the arterial baroreflex system, namely, the neural arc
from pressure input to efferent sympathetic nerve activity (SNA) and the
peripheral arc from SNA to AP. Studies on the static and dynamic characteristics
of the two arcs under normal physiological conditions and also under various
interventions including diseased conditions are to be reviewed. Quantitative
understanding of the arterial baroreflex function under diseased conditions would
help develop new treatment strategies such as electrical activation of the
carotid sinus baroreflex for drug-resistant hypertension.
PMID- 26541157
TI - The PI3K/Akt, p38MAPK, and JAK2/STAT3 signaling pathways mediate the protection
of SO2 against acute lung injury induced by limb ischemia/reperfusion in rats.
AB - Sulfur dioxide (SO2) is naturally synthesized by glutamate-oxaloacetate
transaminase (GOT) from L-cysteine in mammalian cells. We found that SO2 may have
a protective effect on acute lung injury (ALI) induced by limb
ischemia/reperfusion (I/R) in rats. The PI3K/Akt, p38MAPK, and JAK2/STAT3
pathways are crucial in cell signaling transduction. The present study aims to
verify the role of SO2 on limb I/R-induced ALI, and investigate whether PI3K/Akt,
p38MAPK, and JAK2/STAT3 pathways were involved, as well as the relationship among
the three pathways; we used specific inhibitors (LY294002, SB03580, and Stattic)
to block them, respectively. The experimental methods of Western, ELISA, TUNEL,
etc., were used to test the results. In the I/R group, the parameters of lung
injury (MDA, MPO, TUNEL, cytokines) increased significantly, but the
administration of Na2SO3/NaHSO3 attenuated the damage in the lung. The Western
results showed that the rat's lung exist expression of P-STAT3, P-AKT, and P-p38
proteins. After I/R, P-STAT3, P-Akt, and P-p38 proteins expression all increased.
After using Na2SO3/NaHSO3, P-Akt, and P-p38 proteins expression increased, but P
STAT3 protein expression decreased. We also found a strange phenomenon; compared
to the I/R + SO2 group, the administration of stattic, P-p38 protein expression
showed no change, but P-Akt protein expression increased (p < 0.05). In
conclusion, SO2 has a protective effect on rats with limb I/R-induced ALI. The
JAK2/STAT3, PI3K/Akt, and p38MAPK pathways are likely all involved in the
process, and the JAK2/STAT3 pathway may have an impact on the P13K/Akt pathway.
PMID- 26541159
TI - Molecular Approach Coupled with Biochemical Attributes to Elucidate the Presence
of DYMV in Leaf Samples of Lablab purpureus. L Genotypes.
AB - A laboratory study was delineated to ascertain the impact and the extent of
Dolichos yellow mosaic virus (DYMV) on biochemical constituents and various
enzyme levels in the leaves of hyacinth bean. DYMV-infected leaves of all the
genotypes used in the study revealed significant and consistent changes in
activities of CAT, APX, PPO, DHAR, and MDHAR paralleled with a compelling hike in
proline levels. Unlike that in non-infected leaves of the genotypes VRSEM-301 and
VRSEM-749, VRSEM-894 and VRSEM-855, the enzyme level did not alter much which
extended equally with increased phenolics, suggesting a well-coordinated
generation of free radicals thereby suppressing oxidative stress in the latter.
The genotypes were also evaluated at molecular level for elucidating the presence
of the virus by using five sets of primer pairs. Two primers viz., DAC1 and DAC2
witnessed the presence of the virus in both non-infected and infected leaves. The
difference in the appearance and/or disappearance of bands according to non
infected to infect reverberates the variation between genotypes in defense
against infection.
PMID- 26541158
TI - Sleep as a biological problem: an overview of frontiers in sleep research.
AB - Sleep is a physiological process not only for the rest of the body but also for
several brain functions such as mood, memory, and consciousness. Nevertheless,
the nature and functions of sleep remain largely unknown due to its extremely
complicated nature and lack of optimized technology for the experiments. Here we
review the recent progress in the biology of the mammalian sleep, which covers a
wide range of research areas: the basic knowledge about sleep, the physiology of
cerebral cortex in sleeping animals, the detailed morphological features of
thalamocortical networks, the mechanisms underlying fluctuating activity of
autonomic nervous systems during rapid eye movement sleep, the cutting-edge
technology of tissue clearing for visualization of the whole brain, the
ketogenesis-mediated homeostatic regulation of sleep, and the forward genetic
approach for identification of novel genes involved in sleep. We hope this
multifaceted review will be helpful for researchers who are interested in the
biology of sleep.
PMID- 26541160
TI - Adenosine Monophosphate-Activated Protein Kinase (AMPK) as a Diverse Therapeutic
Target: A Computational Perspective.
AB - Adenosine monophosphate-activated protein kinase (AMPK) is viewed as a privileged
therapeutic target for several diseases such as cancer, diabetes, inflammation,
obesity, etc. In addition, AMPK has entered the limelight of current drug
discovery with its evolution as a key metabolic regulator. AMPK also plays a key
role in the maintenance of cellular energy homeostasis. Structurally, AMPK is a
heterotrimeric protein, which consists of three protein subunits (alpha, beta,
and gamma). The crystal structure of AMPK was solved, and several computational
studies including homology modeling, molecular docking, molecular dynamics, and
QSAR have been reported in order to explore the structure and function of this
diverse therapeutic target. In this review, we present a comprehensive up-to-date
overview on the computational and molecular modeling approaches that have been
carried out on AMPK in order to understand its structure, function, dynamics, and
its drug binding landscape. Information provided in this review would be of great
interest to a wide pool of researchers involved in the design of new molecules
against various diseases where AMPK plays a predominant role.
PMID- 26541161
TI - Effect of Drought Stress on Total Phenolic, Lipid Peroxidation, and Antioxidant
Activity of Achillea Species.
AB - The changes in total phenolic content (TPC), total flavonoid content (TFC),
proline, malondialdehyde (MDA), H2O2, and antioxidant activity were assessed
based on three model systems in three Achillea species (Achillea millefolium, A.
nobilis, and A. filipendulina) growing under four irrigation regimes, including
100% FC (field capacity as normal irrigation) 75% FC (low stress), 50% FC
(moderate stress), and 25% FC (severe stress) conditions. The highest TPC (47.13
mg tannic acid/g DW) and TFC (20.86 mg quercetin/g W) were obtained in A.
filipendulina under moderate and severe stress conditions. In 2,2-diphenyl-1
picrylhydrazyl (DPPH) assay, the highest and the lowest antioxidant activity was
obtained for A. millefolium (70.28%) and A. filipendulina (53.21%), respectively,
while in the FTC model system A. nobilis revealed the highest antioxidant
activity (1.934) in severe drought condition. In the linoleic model system, the
highest antioxidant activity was observed under low drought stress condition in
A. nobilis. MDA and H2O2 content were increased due to both low (75% FC) and
moderate (50% FC) drought stress, but they were decreased under severe stress
condition (25% FC). Furthermore, A. millefolium revealed the lowest H2O2 (4.96
nm/g FW) and MDA content (176.32 MUmol/g). Investigation of the relationship
among different metabolites showed a strong positive correlation with TPC and
TFC. Finally, the moderate drought stress treatment (50% FC) was introduced as
the optimum condition to obtain appreciable TPC and TFC,, while the highest
antioxidant activity was obtained in severe stress condition (25%FC).
PMID- 26541162
TI - Selection of Nucleic Acid Aptamers Specific for Mycobacterium tuberculosis.
AB - Tuberculosis (TB) remains to be a major global health problem, with about 9
million new cases and 1.4 million deaths in 2011. For the control of tuberculosis
as well as other infectious diseases, WHO recommended "ASSURED" (Affordable,
Sensitive, Specific, User-friendly, Rapid and robust, Equipment-free, and
Deliverable to the end user) diagnostic tools that can easily be maintained and
used in developing countries. Aptamers are promising tools for developing point
of-care diagnostic assays for TB. In this study, ssDNA aptamers that recognize
Mycobacterium tuberculosis H37Ra were selected by systematic evolution of ligands
by exponential enrichment (SELEX). For this purpose, two different selection
protocols, ultrafiltration and centrifugation, were applied. A total of 21 TB
specific aptamers were selected. These aptamers exhibited "G-rich" regions on the
3' terminus of the aptamers, including a motif of "TGGGG," "GTGG," or "CTGG."
Binding capability of selected aptamers were investigated by quantitative PCR and
Mtb36 DNA aptamer was found the most specific aptamer to M. tuberculosis H37Ra.
The dissociation constant (K d) of Mtb36 aptamer was calculated as 5.09 +/- 1.43
nM in 95% confidence interval. Relative binding ratio of Mtb36 aptamer to M.
tuberculosis H37Ra over Mycobacterium bovis and Escherichia coli was also
determined about 4 times and 70 times more, respectively. Mtb36 aptamer is highly
selective for M. tuberculosis, and it can be used in an aptamer-based biosensor
for the detection of M. tuberculosis.
PMID- 26541163
TI - Potential Application of Biohydrogen Production Liquid Waste as Phosphate
Solubilizing Agent-A Study Using Soybean Plants.
AB - With CO2 free emission and a gravimetric energy density higher than gasoline,
diesel, biodiesel, and bioethanol, biohydrogen is a promising green renewable
energy carrier. During fermentative hydrogen production, 60-70 % of the feedstock
is converted to different by-products, dominated by organic acids. In the present
investigation, a simple approach for value addition of hydrogen production liquid
waste (HPLW) containing these compounds has been demonstrated. In soil, organic
acids produced by phosphate solubilizing bacteria chelate the cations of
insoluble inorganic phosphates (e.g., Ca3 (PO4)2) and make the phosphorus
available to the plants. Organic acid-rich HPLW, therefore, has been evaluated as
soil phosphate solubilizer. Application of HPLW as soil phosphate solubilizer was
found to improve the phosphorus uptake of soybean plants by 2.18- to 2.74-folds.
Additionally, 33-100 % increase in seed germination rate was also observed.
Therefore, HPLW has the potential to be an alternative for phosphate solubilizing
biofertilizers available in the market. Moreover, the strategy can be useful for
phytoremediation of phosphorus-rich soil.
PMID- 26541164
TI - An Applicator Delivery System for Fixed-Combination Calcipotriene Plus
Betamethasone Dipropionate Topical Suspension (Gel): Innovating Psoriasis
Vulgaris Treatment Through Patient Collaboration.
AB - : Treatment non-adherence is a complex problem encountered in all therapy areas,
particularly in chronic diseases such as psoriasis vulgaris. To address adherence
issues, focus is turning towards developing interventions tailored to individual
patient needs. Topical therapies remain the mainstay of psoriasis treatment;
however, these are associated with additional challenges where preparations may
be perceived as messy, inconvenient and time-consuming. Once-daily fixed
combination calcipotriene 0.005% (Cal) and betamethasone dipropionate 0.064% (BD)
topical suspension is a convenient and effective first-line topical psoriasis
therapy. To improve the patient experience with this treatment, we undertook a
program-in collaboration with patients and healthcare professionals-to develop a
topical treatment delivery system that further caters to the unmet needs of
psoriasis patients. The finalized Applicator comprises: an easy to operate pump
action lever providing consistently accurate dose delivery (0.05 g of Cal/BD
topical suspension/pump); a single-tip nozzle allowing for targeted, precise
application to body and scalp psoriasis plaques; two spreading surfaces designed
to disperse treatment evenly across both large and small affected skin areas; and
a protective cover. Patients listed the following as key Applicator attributes:
ease of use, 'less messy' treatment, precise application, consistent accurate
dosing, 'no touch' treatment, reduction of wasted treatment and extended length
of reach for awkward areas. Although these attributes were well received by most
patients and healthcare professionals, some patients did not perceive them all as
improvements over their current treatment; this highlights the need to tailor
treatment for each individual patient's requirements. For patients who prefer
using the Applicator, it has the potential to provide greater control over their
daily psoriasis management by providing a simple, convenient treatment option,
with minimal impact on their lives. The Applicator may represent a more
acceptable treatment delivery system for some patients with psoriasis vulgaris
and may, therefore, promote long-term treatment adherence. FUNDING: LEO Pharma.
PMID- 26541165
TI - Expression, Crystallization and Preliminary X-ray Diffraction Analyses of Med
ORF10 in the Biosynthetic Pathway of an Antitumor Antibiotic Medermycin.
AB - Medermycin, as a prominent member of benzoisochromanequinones, possesses strong
antitumor activity and is biosynthesized under the control of a 29-ORF-containing
biosynthetic gene cluster. Most of ORFs in this gene cluster have not been
characterized, including a small protein encoding gene med-ORF10, proposed to
play a regulatory role in biosynthesis of medermycin in an unknown mode. In this
study, we reported the expression, protein preparation, crystallization and
preliminary X-ray diffraction analyses of Med-ORF10 of the wild type Streptomyces
strain. Firstly, we cloned and overexpressed med-ORF10 in Escherichia coli and
purified the protein with 98% purity and 3 mg/L yield. Then, we crystallized the
protein at concentration of 20 mg/mL in condition 22% PEG 3350, 0.2 M magnesium
formate and collected the data at 1.78 A resolution. Finally, we detected the
expression of Med-ORF10 in Streptomyces by western blotting. In conclusion, this
study confirmed the expression of Med-ORF10 protein in the wild-type strain of
Streptomyces AM-7161 and collected the X-ray diffraction data of Med-ORF10
crystal at 1.78 A resolution. These studies provide evidences for the functional
Med-ORF10 protein in Streptomyces strains and facilitate our further
investigation.
PMID- 26541166
TI - Mand Training: An Examination of Response-Class Structure in Three Children With
Autism and Severe Language Delays.
AB - Our primary purpose in this study was to examine the structure of a response
class when new members are acquired through mand training. To do this, we
replaced existing mands (e.g., reaching) in three children with autism with two
new functionally equivalent mands. Next, we examined their responding under
immediate- and delayed-reinforcement conditions. Then, we assessed generalization
to novel social partners. We employed a reversal design to examine the
effectiveness of mand training and to assess responding under both immediate- and
delayed-reinforcement conditions. Our results suggest that all children acquired
the new mands and that two of the children emitted these responses as
replacements when the social partner did not provide access to the reinforcer
contingent on the child's first mand. Generalization data indicate that all three
children emitted the new mands and two of the children alternated between the new
mands with novel social partners. We discuss the clinical implications and the
conceptual significance of teaching multiple replacement mands to children with
autism and severe language delays.
PMID- 26541168
TI - Contemporary survival of adults with congenital heart disease.
AB - BACKGROUND: Survival data that are applicable to the current population of adults
with congenital heart disease (CHD) are not available. OBJECTIVES: Using an
alternative survival analysis with age as the primary time scale, we assessed the
contemporary survival of adult patients with CHD. METHODS: Survival was assessed
using prospective data of the national registry of adult patients with CHD of the
Netherlands. Survival was stratified by severity and lesion, and compared with a
standardised general population. RESULTS: Mean age at inclusion was 37 years, and
49% of the study population was male. During a cumulative prospective follow-up
of 90, 270 patient-years in 14, 327 patients, 535 deaths occurred. Median
survival was 53.4 (95% CI 49.9 to 60.7), 75.4 (95% CI 72.9 to 79.1) and 84.1 (95%
CI 81.9 to 87.0) years for patients with severe, moderate and mild lesions,
respectively. Survival of most patients with mild lesions did not differ from the
general population, while, as expected, survival of patients with severe and
moderate lesions was substantially lower (<0.001). CONCLUSIONS: The present study
gives insight in the contemporary survival of adults with CHD. This may aid
patient counselling, timing of interventions and future research.
PMID- 26541169
TI - The modern epidemiology of heart valve disease.
PMID- 26541170
TI - The cost-effectiveness of telestroke in the Pacific Northwest region of the USA.
AB - INTRODUCTION: Using real-world data from the Providence Oregon Telestroke
Network, we examined the cost-effectiveness of telestroke from both the spoke and
hub perspectives by level of financial responsibility for these costs and by
patient stroke severity. METHODS: We constructed a decision analytic model using
patient-level clinical and financial data from before and after telestroke
implementation. Effectiveness was measured as quality-adjusted life years (QALYs)
and was combined with cost per patient outcomes to calculate incremental cost
effectiveness ratios (ICERs). Outcomes were generated (a) overall; (b) by stroke
severity, via the National Institute of Health Stroke Scale (NIHSS) at time of
arrival, defined as low (<5), medium (5-14) and high (>15); and (c) by percentage
of implementation costs paid by spokes (0%, 50%, 100%). RESULTS: Data for 864
patients, 98 pre- and 766 post-implementation, were used to parameterize our
model. From the spoke perspective, telestroke had ICERs of US$1322/QALY,
US$25,991/QALY and US$50,687/QALY when responsible for 0%, 50%, and 100% of these
costs, respectively. Overall, the ICER ranged from US$22,363/QALY to
US$71,703/QALY from the hub perspective. CONCLUSIONS: Our results support
previous models showing good value, overall. However, costs and ICERs varied by
stroke severity, with telestroke being most cost-effective for severe strokes.
Telestroke was least cost effective for the spokes if spokes paid for more than
half of implementation costs.
PMID- 26541171
TI - The effect of telemedicine on cognitive decline in patients with dementia.
AB - Introduction Telemedicine has the advantage of providing medical resources in
rural areas, but few studies have been conducted to investigate its efficacy in
dementia care, compared to face-to-face care. This study evaluated the
effectiveness of telemedicine in relation to cognitive changes in patients with
dementia. Methods We evaluated cognitive changes over time, according to care
modality, in 188 patients with dementia who were registered at our university
based dementia clinic. We followed 98 patients using telemedicine services and 90
patients who attended the dementia clinic in person. Patients in the telemedicine
group also visited a public health center located in a rural area about 50 km
from the dementia clinic. Results Changes in the mean annualized Mini-Mental
State Examination (MMSE) score were not significantly different between the
telemedicine group and the face-to-face dementia clinic group ( p = 0.291), with
changes of 0.60 and 1.03 points, respectively. However, cognitive decline was
significantly lower in the telemedicine group for the less severe initial
cognitive performance subgroup than more severe cognitive performance subgroup (
p = 0.049), with changes of 0.62 and 1.59 points, respectively. Higher initial
Clinical Dementia Rating (CDR) scores, MMSE scores, and age were found to be
independent predictive factors of subsequent cognitive changes, as indicated by
mean annualized MMSE scores. Discussion These findings suggest that telemedicine
may be a useful alternative to face-to-face clinical visits for management of
dementia in patients who are located in rural areas.
PMID- 26541173
TI - Gene Acquisitions from Bacteria at the Origins of Major Archaeal Clades Are
Vastly Overestimated.
AB - In a recent article, Nelson-Sathi et al. (NS) report that the origins of major
archaeal lineages (MAL) correspond to massive group-specific gene acquisitions
via HGT from bacteria (Nelson-Sathi et al. 2015. Origins of major archaeal clades
correspond to gene acquisitions from bacteria. Nature 517(7532):77-80.). If
correct, this would have fundamental implications for the process of
diversification in microbes. However, a reexamination of these data and results
shows that the methodology used by NS systematically inflates the number of genes
acquired at the root of each MAL, and incorrectly assumes bacterial origins for
these genes. A reanalysis of their data with appropriate phylogenetic models
accounting for the dynamics of gene gain and loss between lineages supports the
continuous acquisition of genes over long periods in the evolution of Archaea.
PMID- 26541172
TI - DNA Editing of LTR Retrotransposons Reveals the Impact of APOBECs on Vertebrate
Genomes.
AB - Long terminal repeat retrotransposons (LTR) are widespread in vertebrates and
their dynamism facilitates genome evolution. However, these endogenous
retroviruses (ERVs) must be restricted to maintain genomic stability. The
APOBECs, a protein family that can edit C-to-U in DNA, do so by interfering with
reverse transcription and hypermutating retrotransposon DNA. In some cases, a
retrotransposon may integrate into the genome despite being hypermutated. Such an
event introduces a unique sequence into the genome, increasing retrotransposon
diversity and the probability of developing new function at the locus of
insertion. The prevalence of this phenomenon and its effects on vertebrate
genomes are still unclear. In this study, we screened ERV sequences in the
genomes of 123 diverse species and identified hundreds of thousands of edited
sites in multiple vertebrate lineages, including placental mammals, marsupials,
and birds. Numerous edited ERVs carry high mutation loads, some with greater than
350 edited sites, profoundly damaging their open-reading frames. For many of the
species studied, this is the first evidence that APOBECs are active players in
their innate immune system. Unexpectedly, some birds and especially zebra finch
and medium ground-finch (one of Darwin's finches) are exceptionally enriched in
DNA editing. We demonstrate that edited retrotransposons may be preferentially
retained in active genomic regions, as reflected from their enrichment in genes,
exons, promoters, and transcription start sites, thereby raising the probability
of their exaptation for novel function. In conclusion, DNA editing of
retrotransposons by APOBECs has a substantial role in vertebrate innate immunity
and may boost genome evolution.
PMID- 26541174
TI - Injectable nanoamorphous calcium phosphate based in situ gel systems for the
treatment of periapical lesions.
AB - Nonsurgical local treatment of a periapical lesion arising from trauma or
bacterial infection is a promising innovative approach. The present study
investigated the feasibility of developing injectable amorphous calcium phosphate
nanoparticles (ACP NPs) and ACP NPs loaded with an anti-inflammatory drug;
ibuprofen (IBU-ACP NPs) in the form of thermoreversible in situ gels to treat
periapical lesions with the stimulation of bone formation. NPs were produced by a
spray-drying technique. Different formulations of Poloxamer 407 were incorporated
with/without the produced NPs to form injectable gels. A drug release study was
carried out. A 3 month in vivo test on a dog model also was assessed. Results
showed successful incorporation of the drug into the NPs of CP during spray
drying. The particles had mean diameters varying from 100 to 200 nm with a narrow
distribution. A drug release study demonstrated controlled IBU release from IBU
ACP NPs at a pH of 7.4 over 24 h. The gelation temperature of the injectable in
situ gels based on Poloxamer 407 was measured to be 30 degrees C. After 3 months
of implantation in dogs, the results clearly demonstrated that the inclusion of
ACP NPs loaded with IBU showed high degrees of periapical bone healing and
cementum layer deposition around the apical root tip.
PMID- 26541175
TI - A genetic study of steroid-resistant nephrotic syndrome: relationship between
polymorphism -173 G to C in the MIF gene and serum level MIF in children.
AB - There is no satisfactory explanation as to why some nephrotic syndrome (NS)
patients respond to glucocorticoids and others do not. The aim of this study was
to investigate an association between single nucleotide polymorphism of the MIF
gene -rs755622 and serum MIF concentrations in NS patients. During a period
between November 2011 and September 2012, 120 consecutive children divided into
three groups [healthy children, steroid-resistant nephrotic syndrome (SRNS) and
steroid-sensitive nephrotic syndrome (SSNS)] were examined. Children were defined
as healthy when they had a normal estimated glomerular filtration rate and spot
urinary albumin creatinine ratio <150 MUg/mg creatinine. SRNS was diagnosed in
children who did not respond to the usual doses of steroids within 4 weeks of
initiating treatment. SSNS patients were defined as those who had remission after
usual doses of steroids. The genotype of -173 G to C polymorphism of the MIF gene
was determined using polymerase chain reaction restriction fragment length
polymorphism methods. Serum MIF concentration was measured using sandwich enzyme
linked immunosorbent assay. The allele frequency of the C allele was higher in
SRNS compared with that of SSNS patients (P=0.025). There was a trend toward an
association between genotypes and serum MIF disturbances. In conclusion, this
study noted elevated circulating serum MIF levels and higher frequency of the C
allele of the MIF gene in SRNS patients. The presence of the C allele implies an
increased risk for steroid resistance.
PMID- 26541176
TI - A comparison of Wharton's jelly and cord blood as a source of mesenchymal stem
cells for diabetes cell therapy.
AB - AIM: In this study, we investigated the differences between mesenchymal stem
cells (MSCs), isolated from umbilical cord blood (UCB-MSCs) and Wharton's jelly
(WJ-MSCs) as sources of diabetes mellitus cell therapy. METHODS: After isolation,
both cell types were induced to differentiate into insulin producing cells, then
the differentiated cells were assessed genetically and functionally. UCB-MSCs and
WJ-MSCs were transplanted in the tail veins of streptozotocin-induced diabetic
rats. Blood glucose levels were monitored post-transplantation. RESULTS &
CONCLUSION: Wharton's jelly was more homogeneous, can better differentiate into
insulin producing cells in vitro and better control hyperglycemia in diabetic
rats in vivo, as compared with UCB. These results indicate that WJ-MSCs represent
a potential source of cells in the field of diabetes mellitus cell therapy.
PMID- 26541177
TI - Simvastatin Treatment in Traumatic Brain Injury: Operation Brain Trauma Therapy.
AB - Simvastatin, the fourth drug selected for testing by Operation Brain Trauma
Therapy (OBTT), is a 3-hydroxy-3-methylglutaryl coenzyme A reductase inhibitor
used clinically to reduce serum cholesterol. In addition, simvastatin has
demonstrated potent antineuroinflammatory and brain edema reducing effects and
has shown promise in promoting functional recovery in pre-clinical models of
traumatic brain injury (TBI). The purpose of this study was to assess the
potential neuroprotective effects of oral administration of simvastatin on
neurobehavioral, biomarker, and histopathological outcome measures compared
across three pre-clinical TBI animal models. Adult male Sprague-Dawley rats were
exposed to either moderate fluid percussion injury (FPI), controlled cortical
impact injury (CCI), or penetrating ballistic-like brain injury (PBBI).
Simvastatin (1 or 5 mg/kg) was delivered via oral gavage at 3 h post-injury and
continued once daily out to 14 days post-injury. Results indicated an
intermediate beneficial effect of simvastatin on motor performance on the
gridwalk (FPI), balance beam (CCI), and rotarod tasks (PBBI). No significant
therapeutic benefit was detected, however, on cognitive outcome across the OBTT
TBI models. In fact, Morris water maze (MWM) performance was actually worsened by
treatment in the FPI model and scored full negative points for low dose in the
MWM latency and swim distance to locate the hidden platform. A detrimental effect
on cortical tissue loss was also seen in the FPI model, and there were no
benefits on histology across the other models. Simvastatin also produced negative
effects on circulating glial fibrillary acidic protein biomarker outcomes that
were evident in the FPI and PBBI models. Overall, the current findings do not
support the beneficial effects of simvastatin administration over 2 weeks post
TBI using the oral route of administration and, as such, it will not be further
pursued by OBTT.
PMID- 26541178
TI - Elevated risk of early reoperation in total hip replacement during the stage of
unit closure: A population-based registry study of total hip and knee
replacements in Finland, 1998-2011.
AB - BACKGROUND AND PURPOSE: The effects of launch or closure of an entire
arthroplasty unit on the first or last patients treated in these units have not
been studied. Using a 3-year follow-up, we investigated whether patients who were
treated at the launch or closure stage of an arthroplasty unit of a hospital
would have a higher risk of reoperation than patients treated in-between at the
same units. PATIENTS AND METHODS: From the Finnish Arthroplasty Register, we
identified all the units that had performed total joint arthroplasty and the
units that were launched or closed in Finland between 1998 and 2011. The risks of
reoperation within 3 years for the 41,748 total hip and knee replacements
performed due to osteoarthritis in these units were modeled with Cox proportional
hazards regression, separately for hip and knee and for the launch and the
closure stage. RESULTS: The unadjusted and adjusted hazard ratios (HRs) for total
hip and knee replacements performed in the initial stage of activity of the units
that were launched were similar to the reoperation risks in patients who were
operated in these units after the early stage of activity. The unadjusted and
risk-adjusted HRs for early reoperation after total hip replacement (THR) were
increased at the closure stage (adjusted HR = 1.8, 95% CI: 1.2-2.8). The
reoperation risk at the closure stage after total knee replacement (TKR) was not
increased. INTERPRETATION: The results indicate that closure of units performing
total hip replacements poses an increased risk of reoperation. Closures need to
be managed carefully to prevent the quality from deteriorating when performing
the final arthroplasties.
PMID- 26541179
TI - High-throughput long noncoding RNA profiling for diagnostic and prognostic
markers in cancer: opportunities and challenges.
PMID- 26541180
TI - Different type 2 diabetes risk assessments predict dissimilar numbers at 'high
risk': a retrospective analysis of diabetes risk-assessment tools.
AB - BACKGROUND: Use of a validated risk-assessment tool to identify individuals at
high risk of developing type 2 diabetes is currently recommended. It is under
reported, however, whether a different risk tool alters the predicted risk of an
individual. AIM: This study explored any differences between commonly used
validated risk-assessment tools for type 2 diabetes. DESIGN AND SETTING: Cross
sectional analysis of individuals who participated in a workplace-based risk
assessment in Carmarthenshire, South Wales. METHOD: Retrospective analysis of 676
individuals (389 females and 287 males) who participated in a workplace-based
diabetes risk-assessment initiative. Ten-year risk of type 2 diabetes was
predicted using the validated QDiabetes((r)), Leicester Risk Assessment (LRA),
FINDRISC, and Cambridge Risk Score (CRS) algorithms. RESULTS: Differences between
the risk-assessment tools were apparent following retrospective analysis of
individuals. CRS categorised the highest proportion (13.6%) of individuals at
'high risk' followed by FINDRISC (6.6%), QDiabetes (6.1%), and, finally, the LRA
was the most conservative risk tool (3.1%). Following further analysis by sex,
over one-quarter of males were categorised at high risk using CRS (25.4%),
whereas a greater percentage of females were categorised as high risk using
FINDRISC (7.8%). CONCLUSION: The adoption of a different valid risk-assessment
tool can alter the predicted risk of an individual and caution should be used to
identify those individuals who really are at high risk of type 2 diabetes.
PMID- 26541181
TI - Weekend opening in primary care: analysis of the General Practice Patient Survey.
AB - BACKGROUND: Seven-day opening in primary care is a key policy for the UK
government. However, it is unclear if weekend opening will meet patients' needs
or lead to additional demand. AIM: To identify patient groups most likely to use
weekend opening in primary care. DESIGN AND SETTING: The General Practice Patient
Survey 2014, which sampled from all general practices in England, was used.
METHOD: Logistic regression was used to measure the associations between
perceived benefit from seeing or speaking to someone at the weekend and age, sex,
deprivation, health conditions, functioning, work status, rurality, and quality
of life. RESULTS: Out of 881 183 participants who responded to the questionnaire,
712 776 (80.9%) did not report any problems with opening times. Of the 168 407
responders (19.1%) who reported inconvenient opening times, 73.9% stated that
Saturday opening, and 35.8% Sunday opening, would make it easier for them to see
or speak to someone. Only 2.2% of responders reported that Sunday, but not
Saturday, opening would make it easier for them. Younger people, those who work
full time, and those who could not get time off work were more likely to report
that weekend opening would help. People with Alzheimer's disease, learning
difficulties, or problems with walking, washing, or dressing were less likely to
report that weekend opening would help. CONCLUSION: Most people do not think they
need weekend opening, but it may benefit certain patient groups, such as younger
people in full-time work. Sunday opening, in addition to Saturday, is unlikely to
improve access.
PMID- 26541182
TI - Variations in GP-patient communication by ethnicity, age, and gender: evidence
from a national primary care patient survey.
AB - BACKGROUND: Doctor-patient communication is a key driver of overall satisfaction
with primary care. Patients from minority ethnic backgrounds consistently report
more negative experiences of doctor-patient communication. However, it is
currently unknown whether these ethnic differences are concentrated in one gender
or in particular age groups. AIM: To determine how reported GP-patient
communication varies between patients from different ethnic groups, stratified by
age and gender. DESIGN AND SETTING: Analysis of data from the English GP Patient
Survey from 2012-2013 and 2013-2014, including 1,599,801 responders. METHOD: A
composite score was created for doctor-patient communication from five survey
items concerned with interpersonal aspects of care. Mixed-effect linear
regression models were used to estimate age- and gender-specific differences
between white British patients and patients of the same age and gender from each
other ethnic group. RESULTS: There was strong evidence (P<0.001 for age by gender
by ethnicity three-way interaction term) that the effect of ethnicity on reported
GP-patient communication varied by both age and gender. The difference in scores
between white British and other responders on doctor-patient communication items
was largest for older, female Pakistani and Bangladeshi responders, and for
younger responders who described their ethnicity as 'Any other white'.
CONCLUSION: The identification of groups with particularly marked differences in
experience of GP-patient communication--older, female, Asian patients and younger
'Any other white' patients--underlines the need for a renewed focus on quality of
care for these groups.
PMID- 26541185
TI - VERIFICATION OF INDICATED SKIN ENTRANCE AIR KERMA FOR CARDIAC X-RAY-GUIDED
INTERVENTION USING GAFCHROMIC FILM.
AB - The aim of this work was to verify the indicated maximum entrance surface air
kerma (ESAK) using a GE Innova IGS 520 imaging system during cardiac
interventional procedures. Gafchromic XR RV3 films were used for the patient
measurements to monitor the maximum ESAK. The films were scanned and calibrated
to measure maximum ESAK. Thermoluminescent dosemeters were used to measure the
backscatter factor from an anthropomorphic thorax phantom. The measured
backscatter factor, 1.53, was in good agreement with Monte Carlo simulations but
higher than the one used by the imaging system, 1.20. The median of the ratio
between indicated maximum ESAK and measured maximum ESAK was 0.68. In this work,
the indicated maximum ESAK by the imaging system's dose map model underestimates
the measured maximum ESAK by 32 %. The threshold ESAK for follow-up procedures
for patient with skin dose in excess of 2 Gy will be reduced to 1.4 Gy.
PMID- 26541183
TI - Association of GPs' risk attitudes, level of empathy, and burnout status with PSA
testing in primary care.
AB - BACKGROUND: Rates of prostate specific antigen (PSA) test ordering vary among
GPs. AIM: To examine whether GPs' risk attitude, level of empathy, and burnout
status are associated with PSA testing. DESIGN AND SETTING: Register and
questionnaire study including 129 solo GPs (active in the Central Denmark Region)
and 76 672 of their adult male patients with no history of or current prostate
cancer diagnosis. METHOD: PSA tests from 2012 were retrieved from a register and
classified as incident (that is, the first PSA test within 24 months), repeated
normal, or repeated raised tests. This was merged with information on GPs' risk
attitudes, empathy, and burnout status from a 2012 survey. RESULTS: Patients
registered with a GP with a high score on anxiety caused by uncertainty (odds
ratio [OR] 1.03, 95% confidence interval [CI] = 1.00 to 1.06, P = 0.025) or
concern about bad outcomes (OR 1.04; 95% CI = 1.00 to 1.08, P = 0.034) were more
likely to have an incident PSA test, whereas those registered with a GP with
increased tolerance for ambiguity were less likely (OR 0.98, 95% CI = 0.96 to
1.00, P = 0.025). Patients registered with a GP reporting high tolerance for
ambiguity (OR 0.96, 95% CI = 0.94 to 0.99, P = 0.009) or high propensity to risk
taking (OR 0.97, 95% CI = 0.93 to 1.00, P = 0.047) were less likely to have a
repeated normal PSA test. CONCLUSION: Various aspects of GPs' risk-taking
attitudes were associated with patients' probability of having an incident and a
repeated normal PSA test. The probability of having a repeated raised PSA test
was not influenced by any of the psychological factors. Burnout and empathy were
not associated with PSA testing.
PMID- 26541184
TI - A 5-year survival analysis of 160 Biomet Magnum M2 metal-on-metal total hip
prostheses.
AB - BACKGROUND: Large-head metal-on-metal (MoM) total hip arthroplasties (THA) are
associated with high failure rates and possible pseudotumour formation. This
study reports the first results of 160 Biomet Magnum M2 large-head MoM total hip
articulations. PATIENTS AND METHODS: From 2006 to 2010 the Reinier de Graaf
Hospital implanted 160 large-head Magnum M2 MoM THAs (Biomet Inc. Warsaw,
Indiana, USA) in 150 patients. These patients were recalled after a warning from
the Dutch Orthopaedic Association. Patients were offered a clinical and
radiographic assessment of the hip prosthesis, serum control on cobalt and
chromium ions, and an ultrasound of the hip. If indicated, additional MARS-MRI or
CT scan was performed. Descriptive statistical analysis, correlations, t-tests,
non-parametric tests and implant survival were calculated. RESULTS: The mean
follow-up was 6.1 years (4.8-8.4). A cumulative survival rate of 93.1% (95% CI:
88.3-98%) was found after 5 years. Reasons for revision were loosening, pain,
infection and pseudotumour formation. The prevalence of pseudotumour formation
around the prostheses was 8.75%. CONCLUSIONS: This study reports the first
results of 160 MoM THAs implanted in our clinic from 2006-2010. In total, 13
(8.1%) of the THAs were eligible for revision after the recall. In most patients
the reason for revision was pseudotumour formation. A total of 14 (8.75%)
pseudotumours were diagnosed at the first recall. These results show that a
comprehensive follow-up strategy is essential for MoM THAs to promptly identify
and manage early complications.
PMID- 26541186
TI - DEVELOPMENT OF SIMULATED WORKPLACE FIELDS AT KRISS FOR PERFORMANCE TEST OF
NEUTRON PERSONAL DOSEMETERS.
AB - Simulated workplace neutron fields have been developed at the Korea Research
Institute of Standards and Science (KRISS). An (241)Am-Be neutron source and a
cylindrical moderator composed of stainless steel and heavy water were installed
in a 10-cm-thick concrete block with dimensions of 150 * 120 * 120 cm(3) The
neutron energy spectrum at a distance of 66.5 cm was measured using a Bonner
sphere spectrometer and was found to agree with the spectrum obtained from the
Monte Carlo N-Particle Extended simulation to within 5 %. The neutron fluence-to
personal dose equivalent conversion coefficients were (20.8-43.6) pSv.cm(2) and
were thus in good agreement with those of reactor fields. The results showed that
the KRISS-simulated workplace neutron fields can be used for performance tests
and the calibration service of neutron personal dosemeters.
PMID- 26541187
TI - EXPOSURE OF THE SWISS POPULATION BY RADIODIAGNOSTICS: 2013 REVIEW.
AB - In 2013, a nationwide investigation was conducted in Switzerland to establish the
population's exposure from medical X rays. A hybrid approach was used combining
the Raddose database accessible on-line by the participating practices and the
Swiss medical tariffication system for hospitals. This study revealed that the
average annual number of examinations is 1.2 per inhabitant, and the associated
annual effective dose is 1.4 mSv. It also showed that computed tomography is the
most irradiating modality and that it delivers 70 % of the total dose. The annual
effective dose per inhabitant registered a 17 % increase in 5 y and is comparable
with what was recently reported in neighbouring countries.
PMID- 26541188
TI - SECONDARY NEUTRON DOSES IN A PROTON THERAPY CENTRE.
AB - The formation of secondary high-energy neutrons in proton therapy can be a
concern for radiation protection of staff. In this joint intercomparative study
(CERN, SCK*CEN and IBA/IRISIB/ULB), secondary neutron doses were assessed with
different detectors in several positions in the Proton Therapy Centre, Essen
(Germany). The ambient dose equivalent H(*)(10) was assessed with Berthold LB
6411, WENDI-2, tissue-equivalent proportional counter (TEPC) and Bonner spheres
(BS). The personal dose equivalent Hp(10) was measured with two types of active
detectors and with bubble detectors. Using spectral and basic angular
information, the reference Hp(10) was estimated. Results concerning staff
exposure show H(*)(10) doses between 0.5 and 1 nSv/monitoring unit in a technical
room. The LB 6411 showed an underestimation of H(*)(10), while WENDI-2 and TEPC
showed good agreement with the BS data. A large overestimation for Hp(10) was
observed for the active personal dosemeters, while the bubble detectors showed
only a slight overestimation.
PMID- 26541189
TI - EURADOS-IDEAS GUIDELINES (VERSION 2) FOR THE ESTIMATION OF COMMITTED DOSES FROM
INCORPORATION MONITORING DATA.
AB - Dose assessment after intakes of radionuclides requires application of biokinetic
and dosimetric models and assumptions about factors influencing the final result.
In 2006, a document giving guidance for such assessment was published, commonly
referred to as the IDEAS Guidelines. Following its publication, a working group
within the European networks CONRAD and EURADOS was established to improve and
update the IDEAS Guidelines. This work resulted in Version 2 of the IDEAS
Guidelines, which was published in 2013 in the form of a EURADOS report. The
general structure of the original document was maintained; however, new
procedures were included, e.g. the direct dose assessment method for (3)H or
special procedure for wound cases applying the NCRP wound model. In addition,
information was updated and expanded, e.g. data on dietary excretion of U, Th, Ra
and Po for urine and faeces or typical and achievable values for detection limits
for different bioassay measurement techniques.
PMID- 26541190
TI - Spontaneous closure of traumatic tympanic membrane perforations: observational
study.
PMID- 26541191
TI - Discovery of serum proteomic biomarkers for prediction of response to moxibustion
treatment in rats with collagen-induced arthritis: an exploratory analysis.
AB - OBJECTIVE: To examine the possible impact of moxibustion on the serum proteome of
the collagen-induced arthritis (CIA) rat model. MATERIALS AND METHODS: Thirty-six
male Sprague-Dawley rats were included in this experiment. The CIA animal model
was prepared by injection of type II bovine collagen in Freund's adjuvant on the
first and seventh day. The 36 rats were randomly divided into two groups: the
untreated CIA group (control), and the CIA plus treatment with moxibustion
(CIA+moxi) group. Moxibustion was administered daily at ST36 and BL23 for 7, 14
or 21 days (n=12 rats each). Arthritis score was used to assess the severity of
arthritis. At the end of each 7 day treatment, blood samples from the control
group and the CIA+moxi group were collected. After removal of high abundance
proteins from serum samples, two-dimensional gel combined with matrix-assisted
laser desorption ionisation time-of-flight MS/MS (MALDI-TOF-MS/MS) techniques
were performed to examine serum protein expression patterns of the CIA rat model
with and without moxibustion treatment. In addition, the relevant proteins were
further analysed with the use of bioinformatics analysis. RESULTS: Moxibustion
significantly decreased arthritis severity in the rats in the CIA+moxi group,
when compared with the rats in the CIA group 35 days after the first immunisation
(p=0.001). Seventeen protein spots which changed >1.33 or <0.77 at p<0.05 using
Bonferonni correction for multiple testing were found to be common to all three
comparisons, and these proteins were used for classification of functions using
the Gene Ontology method. Consequently, with the use of the Ingenuity Pathway
Analysis, the top canonical pathways and a predicted proteomic network related to
the moxibustion effect of CIA were established. CONCLUSIONS: Using the proteomics
technique, we have identified novel candidate proteins that may be involved in
the mechanisms of action underlying the beneficial effects of moxibustion in rats
with CIA. Our findings suggest that immune responses and metabolic processes may
be involved in mediating the effects of moxibustion. Moreover, periodxiredoxin I
(PRDX1) and inositol 1,4,5-triphosphate receptor (IP3R) may be potential targets.
PMID- 26541192
TI - Correction.
PMID- 26541193
TI - Correction.
PMID- 26541194
TI - The modernisation of acupuncture.
PMID- 26541195
TI - The Cooperative Health Research in South Tyrol (CHRIS) study: rationale,
objectives, and preliminary results.
AB - The Cooperative Health Research In South Tyrol (CHRIS) study is a population
based study with a longitudinal lookout to investigate the genetic and molecular
basis of age-related common chronic conditions and their interaction with life
style and environment in the general population. All adults of the middle and
upper Vinschgau/Val Venosta are invited, while 10,000 participants are
anticipated by mid-2017. Family participation is encouraged for complete pedigree
reconstruction and disease inheritance mapping. After a pilot study on the
compliance with a paperless assessment mode, computer-assisted interviews have
been implemented to screen for conditions of the cardiovascular, endocrine,
metabolic, genitourinary, nervous, behavioral, and cognitive system. Fat intake,
cardiac health, and tremor are assessed instrumentally. Nutrient intake, physical
activity, and life-course smoking are measured semi-quantitatively. Participants
are phenotyped for 73 blood and urine parameters and 60 aliquots per participant
are biobanked (cryo-preserved urine, DNA, and whole and fractionated blood).
Through liquid-chromatography mass-spectrometry analysis, metabolite profiling of
the mitochondrial function is assessed. Samples are genotyped on 1 million
variants with the Illumina HumanOmniExpressExome array and the first data release
including 4570 fully phenotyped and genotyped samples is now available for
analysis. Participants' follow-up is foreseen 6 years after the first visit. The
target population is characterized by long-term social stability and homogeneous
environment which should both favor the identification of enriched genetic
variants. The CHRIS cohort is a valuable resource to assess the contribution of
genomics, metabolomics, and environmental factors to human health and disease. It
is awaited that this will result in the identification of novel molecular targets
for disease prevention and treatment.
PMID- 26541196
TI - Cancer incidence in patients with type 2 diabetes mellitus: a population-based
cohort study in Shanghai.
AB - BACKGROUND: Type 2 diabetes mellitus (T2DM) has been suggested to increase the
risk of cancers. The aim of this study was to investigate the risk of common
cancers in Chinese patients with T2DM. METHODS: A population-based retrospective
cohort study including 36,379 T2DM patients was conducted in Minhang District of
Shanghai, China, during 2004 to 2010. All T2DM patients were enrolled from the
standardized management system based on local electronic information system.
Newly-diagnosed cancer cases were identified by record-linkage with the Shanghai
Cancer Registry. Standardized incidence ratios (SIR) and 95% confidence interval
(CI) were used to estimate the risk of cancers among T2DM patients. RESULTS:
Overall crude incidence rate (CIR) of cancers was 955.21 per 105 person-years in
men and 829.57 per 105 person-years in women. Increased risk of cancer was found
in both gender, with an SIR being 1.28 (95% CI = 1.17-1.38) in men and 1.44 (95%
CI =1.32-1.55) in women. Increased risk of colon (SIR = 1.97; 95% CI = 1.49 to
2.46), rectum (1.72; 1.23 to 2.21), prostate (2.87; 2.19 to 3.56), and bladder
cancers (1.98, 1.28 to 2.68) were observed in men and elevated risk of colon
(1.67; 1.25 to 2.08), breast (1.66; 1.38 to 1.95), and corpus uteri cancers
(2.87; 2.03 to 3.71) were observed in women. CONCLUSIONS: Our results indicate
that Chinese patients with T2DM may have an increased risk of some cancers, and
the increase may vary by sub-sites of cancers.
PMID- 26541197
TI - Evaluation of leishmanicidal activity and cytotoxicity of Ricinus communis and
Azadirachta indica extracts from western Kenya: in vitro and in vivo assays.
AB - BACKGROUND: Despite advances to targeted leishmanicidal chemotherapy, defies
around severe toxicity, recent emergence of resistant variants and absence of
rational vaccine still persist. This necessitates search and/or progressive
validation of accessible medicinal remedies including plant based. The study
examined both in vivo and in vitro response of L. major infection to combined
therapy of Ricinus communis and Azadirachta indica extracts in BALB/c mice as the
mouse model. A comparative study design was applied. RESULTS: BALB/c mice,
treated with combination therapy resulted in significantly (p < 0.05) larger
reduction of lesion than those treated with monotherapies. The spleno-somatic
index was found to be significantly low with combination therapy than
monotherapies. Antiparasitic effect of A. indica and R. communis on amastigote
with a 50 % inhibitory concentration (IC50) was of 11.5 and 16.5 ug mL(-1)
respectively while combination therapy gave 9.0 ug ml(-1) compared to the
standard drugs, Pentostam and amphotericin B which had an IC50 of 6.5 and 4.5 ug
ml(-1) respectively. Optimal efficacy of A. indica and R. communis was 72 and
59.5 % respectively, combination therapy gave 88 %, while Pentostam and
amphotericin B had 98 and 92 % respectively against amastigotes. Against
promastigotes A. indica and R. Communis gave an IC50 of 10.1, 25.5 ug mL(-1)
respectively, while combination, 12.2 ug mL(-1) against 4.1 and 5.0 ug ml(-1) for
Pentostam and amphotericin B respectively. The optimal efficacy of the compounds
against promastigotes was 78.0, 61.5 and 91.2 % (A. indica, R. communis and A.
indica + R. communis respectively) against 96.5 and 98 % for Pentostam and
amphotericin B respectively. The concentrations at optimal efficacy were
significantly different (p < 0.05) among the test compounds. An evaluation of the
IC50 values of the combination therapies clearly reveals synergistic effects.
CONCLUSION: Combination therapy of A. indica and R. communis had best
antileishmanial activity than the monotherapies. The active ingredients of both
R. communis and A. indica need to be fractionated, and studied further for
activity against Leishmania parasites.
PMID- 26541198
TI - Pseudoaneurysm of graft-graft anastomosis of a hand-sewn branched graft: a case
report.
AB - BACKGROUND: Pseudoaneurysm of graft-graft anastomosis is an extremely rare but
potentially fatal complication after thoracic aorta replacement with a prosthetic
graft. We report a case of pseudoaneurysm at the graft-graft anastomosis of a
hand-sewn branched graft. CASE PRESENTATION: A 65-year-old man underwent total
arch replacement with a hand-sewn branched graft for Stanford type A acute aortic
dissection 22 years ago. During follow-up, serial CT scans showed a
pseudoaneurysm on the branched graft which warranted reintervention. Surgical
repair involved direct suture of multiple bleeding points which were found at the
sites of the hand-sewn branches anastomosis. The postoperative course was
uneventful, and no signs of bleeding were observed by CT after the reoperation.
CONCLUSIONS: Long-term follow-up is essential to detect late complications at the
site of hand-sewn anastomosis.
PMID- 26541199
TI - Disparities in hypertension among black Caribbean populations: a scoping review
by the U.S. Caribbean Alliance for Health Disparities Research Group (USCAHDR).
AB - BACKGROUND: Despite the large body of research on racial/ethnic disparities in
health, there are limited data on health disparities in Caribbean-origin
populations. This scoping review aimed to analyze and synthesize published and
unpublished literature on the disparities in hypertension and its complications
among Afro-Caribbean populations. METHODS: A comprehensive protocol, including a
thorough search strategy, was developed and used to identify potentially relevant
studies. Identified studies were then screened for eligibility using pre
specified inclusion/exclusion criteria. An extraction form was developed to chart
data and collate study characteristics including methods and main findings.
Charted information was tagged by disparity indicators and thematic analysis
performed. Disparity indicators evaluated include ethnicity, sex, socioeconomic
status, disability, sexual orientation and geographic location. Gaps in the
literature were identified and extrapolated into a gap map. RESULTS: A total of
455 hypertension related records, published between 1972 and 2012, were
identified and screened. Twenty-one studies met inclusion criteria for detailed
analysis. The majority of studies were conducted in the United Kingdom and
utilized a cross-sectional study design. Overall, studies reported a higher
prevalence of hypertension among Caribbean blacks compared to West African blacks
and Caucasians. Hypertension and its related complications were highest in
persons with low socioeconomic status. Gap analysis showed limited research data
reporting hypertension incidence by sex and socioeconomic status. No literature
was found on disability status or sexual orientation as it relates to
hypertension. Prevalence and morbidity were the most frequently reported
outcomes. CONCLUSION: The literature on hypertension health disparities in
Caribbean origin populations is limited. Future research should address these
knowledge gaps and develop approaches to reduce them.
PMID- 26541201
TI - Narrowing the gap: from semiconductor to semimetal in the homologous series of
rare-earth zinc arsenides RE(2-y)Zn4As4.n(REAs) and Mn-substituted derivatives
RE(2-y)Mn(x)Zn(4-x)As4.n(REAs) (RE = La-Nd, Sm, Gd).
AB - A homologous series of ternary rare-earth zinc arsenides, prepared by reactions
of the elements at 750 degrees C, has been identified with the formula RE(2
y)Zn4As4.n(REAs) (n = 2, 3, 4) for various RE members. They adopt trigonal
structures: RE(4-y)Zn4As6 (RE = La-Nd), space group R3m1, Z = 3; RE(5-y)Zn4As7
(RE = Pr, Nd, Sm, Gd), space group P3m1, Z = 1; RE(6-y)Zn4As8 (RE = La-Nd, Sm,
Gd), space group R3m1, Z = 3. The Zn atoms can be partially substituted by Mn
atoms, resulting in quaternary derivatives RE(2-y)Mn(x)Zn(4-x)As4.n(REAs). Single
crystal structures were determined for nine ternary and quaternary arsenides RE(2
y)M4As4.n(REAs) (M = Mn, Zn) as representative examples of these series. The
structures are built by stacking close-packed nets of As atoms, sometimes in very
long sequences, with RE atoms occupying octahedral sites and M atoms occupying
tetrahedral sites, resulting in an intergrowth of [REAs] and [M2As2] slabs. The
recurring feature of all members of the homologous series is a sandwich of
[M2As2]-[REAs]-[M2As2] slabs, while rocksalt-type blocks of [REAs] increase in
thickness between these sandwiches with higher n. Similar to the previously known
related homologous series REM(2-x)As2.n(REAs) which is deficient in M, this new
series RE(2-y)M4As4.n(REAs) exhibits deficiencies in RE to reduce the electron
excess that would be present in the fully stoichiometric formulas. Enthalpic and
entropic factors are considered to account for the differences in site
deficiencies in these two homologous series. Band structure calculations indicate
that the semiconducting behaviour of the parent n = 0 member (with CaAl2Si2-type
structure) gradually evolves, through a narrowing of the gap between valence and
conduction bands, to semimetallic behaviour as the number of [REAs] blocks
increases, to the limit of n = infinity for rocksalt-type REAs.
PMID- 26541202
TI - Facile multi-dimensional profiling of chemical gradients at the millimetre scale.
AB - A vast number of conventional physicochemical methods are suitable for the
analysis of homogeneous samples. However, in various cases, the samples exhibit
intrinsic heterogeneity. Tomography allows one to record approximate
distributions of chemical species in the three-dimensional space. Here we develop
a simple optical tomography system which enables performing scans of non
homogeneous samples at different wavelengths. It takes advantage of inexpensive
open-source electronics and simple algorithms. The analysed samples are
illuminated by a miniature LCD/LED screen which emits light at three wavelengths
(598, 547 and 455 nm, corresponding to the R, G, and B channels, respectively).
On presentation of every wavelength, the sample vial is rotated by ~180 degrees ,
and videoed at 30 frames per s. The RGB values of pixels in the obtained digital
snapshots are subsequently collated, and processed to produce sinograms.
Following the inverse Radon transform, approximate quasi-three-dimensional images
are reconstructed for each wavelength. Sample components with distinct visible
light absorption spectra (myoglobin, methylene blue) can be resolved. The system
was used to follow dynamic changes in non-homogeneous samples in real time, to
visualize binary mixtures, to reconstruct reaction-diffusion fronts formed during
the reduction of 2,6-dichlorophenolindophenol by ascorbic acid, and to visualize
the distribution of fungal mycelium grown in a semi-solid medium.
PMID- 26541200
TI - Complete haplotype phasing of the MHC and KIR loci with targeted HaploSeq.
AB - BACKGROUND: The MHC and KIR loci are clinically relevant regions of the genome.
Typing the sequence of these loci has a wide range of applications including
organ transplantation, drug discovery, pharmacogenomics and furthering
fundamental research in immune genetics. Rapid advances in biochemical and next
generation sequencing (NGS) technologies have enabled several strategies for
precise genotyping and phasing of candidate HLA alleles. Nonetheless, as typing
of candidate HLA alleles alone reveals limited aspects of the genetics of MHC
region, it is insufficient for the comprehensive utility of the aforementioned
applications. For this reason, we believe phasing the entire MHC and KIR locus
onto a single locus-spanning haplotype can be a critical improvement for better
understanding transplantation biology. RESULTS: Generating long-range (>1 Mb)
phase information is traditionally very challenging. As proximity-ligation based
methods of DNA sequencing preserves chromosome-span phase information, we have
utilized this principle to demonstrate its utility towards generating full-length
phasing of MHC and KIR loci in human samples. We accurately (~99%) reconstruct
the complete haplotypes for over 90% of sequence variants (coding and non-coding)
within these two loci that collectively span 4-megabases. CONCLUSIONS: By
haplotyping a majority of coding and non-coding alleles at the MHC and KIR loci
in a single assay, this method has the potential to assist transplantation
matching and facilitate investigation of the genetic basis of human immunity and
disease.
PMID- 26541203
TI - Graphene-based room-temperature implementation of a modified Deutsch-Jozsa
quantum algorithm.
AB - We present an implementation of a one-qubit and two-qubit modified Deutsch-Jozsa
quantum algorithm based on graphene ballistic devices working at room
temperature. The modified Deutsch-Jozsa algorithm decides whether a function,
equivalent to the effect of an energy potential distribution on the wave function
of ballistic charge carriers, is constant or not, without measuring the output
wave function. The function need not be Boolean. Simulations confirm that the
algorithm works properly, opening the way toward quantum computing at room
temperature based on the same clean-room technologies as those used for
fabrication of very-large-scale integrated circuits.
PMID- 26541204
TI - Out of body, out of space: Impaired reference frame processing in eating
disorders.
AB - A distorted body representation is a core symptom in eating disorders (EDs),
though its mechanism is unclear. Allocentric lock theory, emphasising the role of
reference frame processing in body image, suggests that ED patients may be
(b)locked to an (allocentric) representation of their own body stored in long
term memory (e.g., my body is fat) that is not updated (modified) by the (real
time egocentric) perception-driven experience of the physical body. Employing a
well-validated virtual reality-based procedure, relative to healthy controls, ED
patients showed deficits in the ability to refer to and update a long-term stored
(allocentric) representation with (egocentric) perceptual-driven inputs.
PMID- 26541205
TI - Healing disturbance with suture bridge configuration repair in rabbit rotator
cuff tear.
AB - BACKGROUND: Medial row failure has been reported in the suture bridge technique
of rotator cuff repair. This study compared the healing response of suture bridge
configuration repair (SBCR) and parallel type transosseous repair (PTR). METHODS:
Acute rotator cuff repair was performed in 32 rabbits. Both shoulders were
repaired using PTR or SBCR. In PTR, simple PTR was performed through 2 parallel
transosseous tunnels created using a microdrill. In SBCR, 2 additional crisscross
transosseous tunnels were added to mimic arthroscopic SBCR. At 1, 2, and 5 weeks
postoperatively, comparative biomechanical testing was performed in 8 rabbits,
and histologic analysis, including immunohistochemical staining for CD31, was
performed in 4 rabbits. RESULTS: Failure loads at 1 week (38.12 +/- 20.43 N vs
52.00 +/- 27.23 N; P = .284) and 5 weeks (97.93 +/- 48.35 N vs 119.60 +/- 60.81
N; P = .218) were not statistically different between the SBCR and PTR groups,
respectively, but were significantly lower in the SBCR group than in the PTR
group (23.56 +/- 13.56 N vs. 44.25 +/- 12.53 N; P = .009), respectively, at 2
weeks. Markedly greater fibrinoid deposition was observed in the SBCR group than
in the PTR group at 2 weeks. For vascularization, there was a tendency that more
vessels could be observed in PTR than in SBCR at 2 weeks (15.9 vs 5.6, P = .068).
CONCLUSIONS: In a rabbit acute rotator cuff repair model, SBCR exhibited inferior
mechanical strength, and fewer blood vessels were observed at the healing site at
2 weeks postoperatively. Medial row tendon failure was more common in SBCR.
Surgeons should consider the clinical effect of SBCR when performing rotator cuff
repair.
PMID- 26541206
TI - Evaluation of the coracoid and coracoacromial arch geometry on Thiel-embalmed
cadavers using the three-dimensional MicroScribe digitizer.
AB - BACKGROUND: Understanding the geometry of the coracoid and coracoacromial arch
will improve surgical intervention in shoulder surgery. METHODS: Thirty pairs of
scapulae from 20 female and 10 male deceased donors, average age of 82 years
(range, 62-101 years), were scanned and measurements taken using the 3
dimensional (3D) MicroScribe digitizer (Immersion Corp, San Jose CA, USA) and
Rhino software (McNeel North America, Seattle, WA, USA). RESULTS: The following
mean angles were determined: coracoid slope, 44 degrees +/- 11 degrees ;
coracoid deviation, 35 degrees +/- 6 degrees ; coracoid root to glenoid, 115
degrees +/- 14 degrees ; coracoid head to glenoid, 110 degrees +/- 11 degrees ;
scapular spine angle, 35 degrees +/- 6 degrees ; and coracoacromial angle, 63
degrees +/- 9 degrees . The following mean distances were also determined:
coracoid height, 10 +/- 3 mm; coracoacromial distance, 42 +/- 7 mm;
coracoacromial arch height, 20 +/- 5 mm; and coracoid (anterior, 29 +/- 6 mm;
middle, 20 +/- 4 mm; posterior tip, 18 +/- 6 mm) to the glenoid fossa. The
coracoid root-to-glenoid angle was significantly correlated with the
coracoacromial angle. In addition, coracoid slope was significantly correlated
with coracoid root-to-glenoid angle and also with coracoid deviation. Left
shoulders had a significantly higher coracoid-to-glenoid angle (P < .029) than
right shoulders. Women had a significantly higher coracoid root-to-glenoid angle
than men (P < .042), and men had a significantly higher coracoid deviation (P <
.011), anterior (P < .006) and posterior coracoid-to-glenoid distances (P < .03),
and coracoacromial arch height (P < .07) than women. CONCLUSIONS: This is the
first time that the 3D MicroScribe digitizer has been used to evaluate the
geometry of the coracoacromial arch and coracoid process.
PMID- 26541207
TI - Cardiotoxicity in rabbits after long-term nandrolone decanoate administration.
AB - Abuse of anabolic androgenic steroids is linked to a variety of cardiovascular
complications. The aim of our study was to investigate the possible
cardiovascular effects of nandrolone decanoate on young rabbits using
echocardiography, histology and monitoring of telomerase activity, oxidative
stress and biochemical markers. Fourteen rabbits were divided into three
administration groups and the control group. Doses of 4mg/kg and 10mg/kg of
nandrolone decanoate, given intramuscularly and subcutaneously, two days per week
for six months were applied. A 4-months wash-out period followed. Focal fibrosis
and inflammatory infiltrations of cardiac tissue were observed in the high dose
groups. Thiobarbituric acid-reactive species (TBARS) levels were significantly
increased in the high dose groups, while catalase activity decreased. Myocardial
Performance Index (MPI) is the main echocardiographic index primarily affected by
nandrolone administration in rabbits. Despite the preserved systolic performance,
histological lesions observed associated with distorted MPI values, point to
diastolic impairment of the thickened myocardium due to nandrolone treatment.
Oxidative stress accumulates and telomerase activity in cardiac tissue rises.
Subcutaneous administration seems to be more deleterious to the cardiovascular
system, as oxidative stress, telomerase activity and biochemical markers do not
appear to return into normal values in the wash-out period.
PMID- 26541208
TI - Metabolic profile of mephedrone: Identification of nor-mephedrone conjugates with
dicarboxylic acids as a new type of xenobiotic phase II metabolites.
AB - Metabolic profile of mephedrone (4-methylmethcathinone, 4-MMC), a frequently
abused recreational drug, was determined in rats in vivo. The urine of rats dosed
with a subcutaneous bolus dose of 20mg 4-MMC/kg was analysed by LC/MS. Ten phase
I and five phase II metabolites were identified by comparison of their retention
times and MS(2) spectra with those of authentic reference standards and/or with
the MS(2) spectra of previously identified metabolites. The main metabolic
pathway was N-demethylation leading to normephedrone (4-methylcathinone, 4-MC)
which was further conjugated with succinic, glutaric and adipic acid. Other phase
I metabolic pathways included oxidation of the 4-methyl group, carbonyl reduction
leading to dihydro-metabolites and omega-oxidation at the position 3'. Five of
the metabolites detected, namely, 4-carboxynormephedrone (4-carboxycathinone, 4
CC), 4-carboxydihydronormephedrone (4-carboxynorephedrine, 4-CNE),
hydroxytolyldihydro-normephedrone (4-hydroxymethylnorephedrine, 4-OH-MNE) and
conjugates of 4-MC with glutaric and adipic acid, have not been reported as yet.
The last two conjugates represent a novel, hitherto unexploited, type of phase II
metabolites in mammals together with an analogous succinic acid conjugate of 4-MC
identified by Pozo et al. (2015). These conjugates might be potentially of great
importance in the metabolism of other psychoactive amines.
PMID- 26541210
TI - [Dental management in patients with cirrhosis].
AB - The present article makes a brief review about dental management of the patients
with cirrhosis. It focus on problems related with infections, haemorrhagic events
and treatment with drugs of common use in odontology.
PMID- 26541209
TI - Dental demineralization, radiation caries and oral microbiota in patients with
head and neck cancer.
PMID- 26541211
TI - High prevalence of Trypanosoma vegrandis in bats from Western Australia.
AB - The present study describes the first report of Trypanosoma vegrandis in bats
using morphology and sequence analysis of the 18S rRNA gene. The PCR prevalence
of T. vegrandis in bats was 81.8% (18/22). The high prevalence of T. vegrandis in
the present study suggests that bats may play an important role in the
epidemiology of T. vegrandis in Australia. T. vegrandis appears to be
geographically dispersed, has a wide distribution in Australia and low levels of
host specificity.
PMID- 26541212
TI - Biodegradable DNA-enabled poly(ethylene glycol) hydrogels prepared by copper-free
click chemistry.
AB - Significant research has focused on investigating the potential of hydrogels in
various applications and, in particular, in medicine. Specifically, hydrogels
that are biodegradable lend promise to many therapeutic and biosensing
applications. Endonucleases are critical for mechanisms of DNA repair. However,
they are also known to be overexpressed in cancer and to be present in wounds
with bacterial contamination. In this work, we set out to demonstrate the
preparation of DNA-enabled hydrogels that could be degraded by nucleases.
Specifically, hydrogels were prepared through the reaction of dibenzocyclooctyne
functionalized multi-arm poly(ethylene glycol) with azide-functionalized single
stranded DNA in aqueous solutions via copper-free click chemistry. Through the
use of this method, biodegradable hydrogels were formed at room temperature in
buffered saline solutions that mimic physiological conditions, avoiding possible
harmful effects associated with other polymerization techniques that can be
detrimental to cells or other bioactive molecules. The degradation of these DNA
cross-linked hydrogels upon exposure to the model endonucleases Benzonase((r))
and DNase I was studied. In addition, the ability of the hydrogels to act as
depots for encapsulation and nuclease-controlled release of a model protein was
demonstrated. This model has the potential to be tailored and expanded upon for
use in a variety of applications where mild hydrogel preparation techniques and
controlled material degradation are necessary including in drug delivery and
wound healing systems.
PMID- 26541213
TI - Cholangitis due to afferent loop obstruction after cephalic
duodenopancreatectomy.
PMID- 26541214
TI - The L-type voltage-dependent calcium channel long-term potentiation is higher in
the dorsal compared with the ventral associational/commissural CA3 hippocampal
synapses.
AB - The diversification between dorsal (DH) and ventral (VH) hippocampus includes the
different ability to support NMDA receptor-dependent long-term synaptic
potentiation (LTP). In this study, we assessed the ability of
associational/commissural connections in the CA3 hippocampal field to show NMDA
receptor-independent LTP. We found that high-frequency stimulation under blockade
of NMDA receptors induced greater LTP in DH (40.7+/-8.5%) than in VH (17.1+/
4.6%). The blocker of L-type voltage-dependent calcium channels (VDCC) nifedipine
prevented the induction of LTP. We hypothesize that the different ability for
VDCC-LTP between DH and VH might have important implications in the memory
related information processing performed by the circuits of the two hippocampal
segments.
PMID- 26541215
TI - Assessment of femur geometrical parameters using EOSTM imaging technology in
patients with atypical femur fractures; preliminary results.
AB - Atypical femur fractures (AFF) arise in the subtrochanteric and diaphyseal
regions. Because of this unique distribution, we hypothesized that patients with
AFF demonstrate specific geometrical variations of their lower limb whereby
baseline tensile forces applied to the lateral cortex are higher and might favor
the appearance of these rare stress fractures, when exposed to bisphosphonates.
Using the low irradiation 2D-3D X-ray scanner EOSTM imaging technology we aimed
to characterize and compare femur geometric parameters between women who
sustained bisphosphonate-associated AFF and those who had experienced similar
duration of exposure to bisphosphonates but did not sustain fractures.
Conditional logistic regression models were constructed to estimate the
association between selected geometric parameters and the occurrence of AFF. We
identified 16 Caucasian women with AFF and recruited 16 ethnicity-, sex-, age-,
height- and cumulative bisphosphonate exposure-matched controls from local
osteoporosis clinics. Compared to controls, those with AFF had more lateral femur
bowing (-3.2 degrees SD [3.4] versus -0.8 degrees SD [1.9] p=0.02). In
regression analysis, lateral femur bowing was associated with the risk of AFF
(aOR 1.54; 95% CI 1.04-2.28, p=0.03). Women who sustained a subtrochanteric AFF
demonstrated a lesser femoral neck shaft angle (varus geometry) than those with a
fracture at a diaphyseal site (121.9 [3.6] degrees versus 127.6 [7.2] degrees ,
p=0.07), whereas femur bowing was more prominent in those with a diaphyseal
fracture compared to those with a subtrochanteric fracture (-4.3 [3.2] degrees
versus -0.9 [2.7] degrees , p=0.07). Our analyses support that subjects with AFF
exhibit femoral geometry parameters that result in higher tensile mechanical load
on the lateral femur. This may play a critical role in the pathogenesis of AFF
and requires further evaluation in a larger size population.
PMID- 26541216
TI - Layer-by-Layer Assembled C/S Cathode with Trace Binder for Li-S Battery
Application.
AB - The C/S cathode with only 0.5 wt % binder, composed with Nafion and PVP, was
assembled layer-by-layer for lithium-sulfur battery (Li-S) application. It
achieved excellent binding strength and battery performance compared to the
cathode with 10 wt % PVDF, which is promising to further increase the practical
energy density of Li-S batteries.
PMID- 26541217
TI - Mini-fluid challenge can predict arterial pressure response to volume expansion
in spontaneously breathing patients under spinal anaesthesia.
AB - INTRODUCTION: The objective of this study was to test whether stroke volume (SV)
variations in response to a fixed mini-fluid challenge (DeltaSV100) measured by
impedance cardiography (ICG) could predict an increase in arterial pressure with
volume expansion in spontaneously breathing patients under spinal anaesthesia.
METHODS: Thirty-four patients, monitored by ICG who required intravenous fluid to
expand their circulating volume during surgery under spinal anaesthesia, were
studied. Haemodynamic variables and bioimpedance indices (blood pressure, SV,
cardiac output [CO]) were measured before and after fluid challenge with 100mL of
crystalloid, and before/after volume expansion. Responders were defined by >=15%
increase in systolic arterial pressure (SAP) after infusion of 500 mL of
crystalloid solution. RESULTS: SAP increased by >=15% in 20 (59%) of the 34
patients. SAP, SV, and CO increased and HR decreased only in responders. SV
variations in response to mini-fluid challenge and volume expansion differed
between patients who showed arterial responsiveness and those in whom SAP did not
increase with volume expansion (11.6% [9.1-19.3] versus 2.5% [1.3-7], P<0.001,
and 22.4% [11.7-36.6] versus 0.9 [0-5.5], P<0.001, respectively). DeltaSV100
predicted an increase of arterial pressure with an area under the receiver
operating characteristic (AUC) curve of 0.89 (CI95%: 0.73-0.97, P<0.001). The cut
off was 5%. Baseline SAP and HR were not predictive of arterial responsiveness
(P>0.05). CONCLUSION: A DeltaSV100 over 5% accurately predicted arterial pressure
response to volume expansion during surgery.
PMID- 26541218
TI - Ketamine for pain management in France, an observational survey.
AB - CONTEXT: Before updating the French guidelines on postoperative pain treatment in
2015, the Pain Committee of the French Society of Anaesthesiology and Intensive
Care (SFAR) conducted a survey on the medical use of ketamine in France. METHODS:
An online questionnaire was nationally distributed to members of SFAR, the French
Pain Society (SFETD) and the French Society of Emergency Medicine (SFMU). The
questionnaire included questions on demographic data, the type of patients for
whom ketamine was prescribed, the doses used, the side effects and safety
measures associated with the administration of ketamine. RESULTS: A total of 1388
questionnaires were analysed. Ninety-two percent of the responders declared that
they used ketamine. Ketamine was widely used as anti-hyperalgesic medication but
the modalities of administration and the doses varied greatly and were not in
accordance with the guidelines. Despite the lack of evidence and guidelines,
ketamine has also been used to treat acute and chronic pain. Doses, duration and
localization of the patients during administration have varied greatly.
Psychedelic effects and hallucinations are the most feared side effects. In terms
of monitoring during ketamine infusion, 15% of physicians declared that no
monitoring was necessary while 59%, 55%, 59% and 77% monitored heart rate, SpO2,
blood pressure and level of consciousness, respectively. CONCLUSION:
Anaesthesiologists have integrated the benefit of ketamine in preventing
hyperalgesia but there is no consensus on doses and duration. For other
indications (acute and chronic pain treatment), toxicity and the absence of
significant benefit call for guidelines from scientific societies.
PMID- 26541219
TI - Fluid resuscitation in Ebola Virus Disease: A comparison of peripheral and
central venous accesses.
AB - INTRODUCTION: Ebola Virus Disease (EVD) causes severe diarrhoea and vomiting,
leading to dehydration and electrolyte abnormalities. Treatment remains
supportive and often requires intravenous (IV) access. IV catheters are difficult
to insert and maintain in this context. Our primary objective was to compare
peripheral venous catheters (PVCs) and central venous catheters (CVCs) for volume
resuscitation in patients with EVD. MATERIAL AND METHODS: We performed a
prospective observational study between January and March 2015 at the Conakry
Healthcare Workers Ebola Treatment Unit (ETU). The primary judgement criterion
was the ratio of the daily infused volume of fluids to the prescribed volume
(DIV/PV). RESULTS: Fourteen patients were admitted. Twenty-eight PVCs and 8 CVCs
were inserted. CVCs had a longer survival time (96 +/- 34 hours versus 33.5 +/-
21 hours, P<0.001). The mean DIV/PV was higher for the CVCs (0.95+/-0.08 versus
0.7 +/- 0.27, P<0.001), as well as the number of days with full administration of
prescribed IV fluids (71.2% versus 34.1%, P=0.002). DISCUSSION: Inserting CVCs is
a safe and reliable way of obtaining IV access in ETUs, provided adequately
trained personnel are available. CVCs optimize fluid infusion compared to PVCs.
Further studies comparing fluid management strategies in EVD are necessary.
PMID- 26541220
TI - Contemporary Surgical Indications and Referral Trends in Revision Total Hip
Arthroplasty: A 10-Year Review.
AB - BACKGROUND: Revision total hip arthroplasty (THA) represents nearly 15% of all
hip arthroplasty procedures in the United States and is projected to increase.
The purpose of our study was to summarize the contemporary indications for
revision THA surgery at a tertiary referral medical center. We also sought to
identify the indications for early and late revision surgery and define the
prevalence of outside institution referral for revision THA. METHODS: Using our
institution's arthroplasty registry, we identified a retrospective cohort of 870
consecutive patients who underwent revision THA at our hospital from 2004 to
2014. Records were reviewed to collect data on patient's primary and revision THA
procedures, and the interval between primary THA and revision surgery was
determined. RESULTS: Aseptic loosening (31.3%), osteolysis (21.8%), and
instability (21.4%) were the overall most common indications for revision THA and
the most common indications for revision surgery within 5 years of primary THA.
Aseptic loosening and osteolysis were the most common indications for revision
greater than 5 years from primary THA. Only 16.4% of revised hips had their index
arthroplasty performed at our hospital, whereas 83.6% were referred to our
institution. CONCLUSIONS: Aseptic loosening, osteolysis, and instability remain
the most common contemporary indications for revision THA in an era of
alternative bearings and modular components. Most of our revisions were referred
from outside institutions, which highlights the transfer of a large portion of
the revision THA burden to tertiary referral centers, a pattern that could be
exacerbated under future bundled payment models.
PMID- 26541221
TI - Reply.
PMID- 26541222
TI - Reply.
PMID- 26541223
TI - Perils of the new labor management guidelines: Should we stop asking "when" to
act on delayed progression and start asking "why" the cervical dilatation is
slower than the expected labor curve?
PMID- 26541224
TI - From molecules in space to molecules in breath.
AB - The evolution of the selected ion flow tube, SIFT, used to study ion-molecule
reactions of interstellar significance, to the selected ion flow tube mass
spectrometry, SIFT-MS, analytical technique is described briefly. Focus is placed
on the application of SIFT-MS to breath analysis and its potential for the
detection of volatile biomarkers of disease including respiratory pathogens.
Typical concentrations of particular volatile breath biomarkers are given and
their associations with specific diseases are indicated. A special case is the
identification and quantification of gaseous hydrogen cyanide that is elevated in
the exhaled breath of patients with cystic fibrosis and is now taken as a
biomarker of Pseudomonas aeruginosa infection of the airways.
PMID- 26541225
TI - MicroRNA-340 Mediates Metabolic Shift in Oral Squamous Cell Carcinoma by
Targeting Glucose Transporter-1.
AB - PURPOSE: MicroRNA-340 (miR-340) is deregulated in many human cancers in
correlation with tumor progression. Recent studies have found that microRNAs play
key roles in energy metabolism. This study explored the contributions of miR-340
to the metabolic shift in oral squamous cell carcinoma (OSCC). MATERIALS AND
METHODS: MiR-340 expression was measured by real-time polymerase chain reaction.
MiR-340 mimics, miR-340 inhibitor, and scramble small interfering RNA were
transfected into SAS human tongue SCC cells to observe their effects on cell
proliferation, colony formation, lactate secretion, and glucose uptake rate.
Moreover, the relation between the level of miR-340 and glucose transporter-1
(Glut1) was investigated. RESULTS: The expression of miR-340 was decreased and
thus induced a metabolic switch in oral cancer cells. The decrease in miR-340
increased Glut1 expression, leading to an increase in lactate secretion and
glucose uptake rate. The altered metabolism induced by miR-340 resulted in the
rapid proliferation of oral cancer cells. CONCLUSION: The findings suggest that
miR-340 might act as a molecular switch that contributes to the regulation of
glycolysis in OSCC by regulating Glut1 expression.
PMID- 26541226
TI - A Case of a Methicillin-Resistant Staphylococcus Aureus Retropharyngeal Abscess
With Mediastinal Extension in an 11-Month-Old Girl.
AB - We present the case of an 11-month-old girl with Mycoplasma pneumoniae-associated
pneumonia who was subsequently diagnosed with a methicillin-resistant
Staphylococcus aureus retropharyngeal abscess with mediastinal extension.
PMID- 26541227
TI - Predictability of Prevention of Hypoxia by Nasal High-flow System in Dental
Procedures.
PMID- 26541228
TI - The HIV-1 Entry Process: A Stoichiometric View.
AB - HIV-1 infection starts with fusion of the viral and the host cell membranes, a
process mediated by the HIV-1 envelope glycoprotein trimer. The number of trimers
required to complete membrane fusion, referred to as HIV-1 entry stoichiometry,
remains under debate. A precise definition of HIV-1 entry stoichiometry is
important as it reflects the efficacy of the viral entry process and steers the
infectivity of HIV-1 virion populations. Initial estimates suggested a unanimous
entry stoichiometry across HIV-1 strains while recent findings showed that HIV-1
strains can differ in entry stoichiometry. Here, we review current analyses of
HIV-1 entry stoichiometry and point out future research directions to further
define the interplay between entry stoichiometry, virus entry fitness,
transmission, and susceptibility to antibody neutralization.
PMID- 26541229
TI - Chrysin rich Scutellaria discolor Colebr. induces cervical cancer cell death via
the induction of cell cycle arrest and caspase-dependent apoptosis.
AB - AIMS: Scutellaria discolor Colebr. has been extensively used in traditional
medicine against several diseases. The purpose of this study was to investigate
the anticancer potential of S. discolor and to isolate the bioactive principle
responsible for the anticancer activity. METHODS: Cytotoxicity experiments were
performed on cancer and normal cells using MTT assay. The mechanism of cell death
was evaluated using real time PCR array, fluorescence microscopy, flow cytometry
and Western blotting. MTT assay guided isolation (partition and column
chromatography) was performed to identify the antiproliferative principle.
Quantification of the active principle was done using HPLC. KEY FINDINGS: Acetone
extract of S. discolor (SDE) inhibited the growth and survival of cancer cells to
varying degree, but the inhibition was found to be maximum in cervical cancer
cell lines. There was no significant toxicity induced to normal cells. The cell
death was mediated through apoptosis. There was increased mitochondrial membrane
depolarization, expression of Bax, caspase-9, caspase-3 and cleaved-PARP
indicating that SDE-induced caspase dependent apoptosis in HeLa cells. Moreover,
SDE caused cell cycle arrest in G2 phase in HeLa cells. Cytotoxicity guided
fractionation of SDE led to the isolation of chrysin as the active principle
responsible for the antiproliferative activity for cervical cancer cells.
Interestingly, chrysin was the major phytochemical constituent present in S.
discolor. SIGNIFICANCE: S. discolor is an important anticancer plant and a new
source of chrysin.
PMID- 26541230
TI - Risk factors for intraoperative calcar fracture in cementless total hip
arthroplasty.
AB - BACKGROUND AND PURPOSE: Intraoperative periprosthetic femoral fracture is a known
complication of cementless total hip arthroplasty (THA). We determined the
incidence of--and risk factors for--intraoperative calcar fracture, and assessed
its influence on the risk of revision. PATIENTS AND METHODS: This retrospective
analysis included 3,207 cementless THAs (in 2,913 patients). 118 intraoperative
calcar fractures were observed in these hips (3.7%). A control group of 118
patients/hips without calcar fractures was randomly selected. The mean follow-up
was 4.2 (1.8-8.0) years. Demographic data, surgical data, type of implant, and
proximal femur morphology were evaluated to determine risk factors for
intraoperative calcar fracture. RESULTS: The revision rates in the calcar
fracture group and the control group were 10% (95% CI: 5.9-17) and 3.4% (CI: 1.3
8.4), respectively. The revision rate directly related to intraoperative calcar
fracture was 7.6%. The Hardinge approach and lower age were risk factors for
calcar fracture. In the fracture group, 55 of 118 patients (47%) had at least one
risk factor, while only 23 of118 patients in the control group (20%) had a risk
factor (p = 0.001). Radiological analysis showed that in the calcar fracture
group, there were more deviated femoral anatomies and proximal femur bone
cortices were thinner. INTERPRETATION: Intraoperative calcar fracture increased
the risk of revision. The Hardinge approach and lower age were risk factors for
intraoperative calcar fracture. To avoid intraoperative fractures, special
attention should be paid when cementless stems are used with deviant-shaped
proximal femurs and with thin cortices.
PMID- 26541231
TI - Effects of sound amplification in self-perception of tinnitus and hearing loss in
the elderly.
AB - OBJECTIVE: To determine the effect of the use of hearing aids in self-perception
of tinnitus and hearing loss in the elderly. METHODS: A total of 24 elderly
patients between 60 and 70 years of age with moderate-grade sensorineural hearing
loss were evaluated and divided into two groups according to the presence or
absence of tinnitus. All volunteers were fitted with binaural micro-channel
hearing aids of the same brand and model and submitted to tests, scales, and
questionnaires relevant to this topic. The evaluations were performed before and
after one and three months of effective use of these hearing aids. RESULTS:
Acoustic stimulation through the effective use of hearing aids caused a reduction
in the perception of tinnitus sound intensity (especially in evaluations with the
prosthesis on) and in nuisance associated with this symptom and with hearing
loss. In addition, all participants were satisfied with the use of hearing aids.
CONCLUSION: The continuous use of hearing aids is beneficial for the treatment of
tinnitus and hearing loss, bringing satisfaction to users.
PMID- 26541232
TI - Effectiveness of sound therapy in patients with tinnitus resistant to previous
treatments: importance of adjustments.
AB - INTRODUCTION: The difficulty in choosing the appropriate therapy for chronic
tinnitus relates to the variable impact on the quality of life of affected
patients and, thus, requires individualization of treatment. OBJECTIVE: To
evaluate the effectiveness of using sound generators with individual adjustments
to relieve tinnitus in patients unresponsive to previous treatments. METHODS: A
prospective study of 10 patients with chronic tinnitus who were unresponsive to
previous drug treatments, five males and five females, with ages ranging from 41
to 78 years. Bilateral sound generators (Reach 62 or Mind 9 models) were used
daily for at least 6h during 18 months. The patients were evaluated at the
beginning, after 1 month and at each 3 months until 18 months through
acuphenometry, minimum masking level, the Tinnitus Handicap Inventory, visual
analog scale, and the Hospital Anxiety and Depression Scale. The sound generators
were adjusted at each visit. RESULTS: There was a reduction of Tinnitus Handicap
Inventory in nine patients using a protocol with a customized approach,
independent of psychoacoustic characteristics of tinnitus. The best response to
treatment occurred in those with whistle-type tinnitus. A correlation among the
adjustments and tinnitus loudness and minimum masking level was found. Only one
patient, who had indication of depression by Hospital Anxiety and Depression
Scale, did not respond to sound therapy. CONCLUSION: There was improvement in
quality of life (Tinnitus Handicap Inventory), with good response to sound
therapy using customized settings in patients who did not respond to previous
treatments for tinnitus.
PMID- 26541233
TI - Bilateral oropharyngeal hairy polyps: a rare cause of dyspnea in newborns.
PMID- 26541234
TI - First branchial cleft fistula: a difficult challenge.
PMID- 26541236
TI - Ultrathin SnSe2 Flakes Grown by Chemical Vapor Deposition for High-Performance
Photodetectors.
AB - High-quality ultrathin single-crystalline SnSe2 flakes are synthesized under
atmospheric-pressure chemical vapor deposition for the first time. A high
performance photodetector based on the individual SnSe2 flake demonstrates a high
photoresponsivity of 1.1 * 10(3) A W(-1), a high EQE of 2.61 * 10(5)%, and superb
detectivity of 1.01 * 10(10) Jones, combined with fast rise and decay times of
14.5 and 8.1 ms, respectively.
PMID- 26541235
TI - Nasal reconstruction in Binder syndrome.
PMID- 26541237
TI - A novel orally administered trimebutine compound (GIC-1001) is anti-nociceptive
and features peripheral opioid agonistic activity and Hydrogen Sulphide-releasing
capacity in mice.
AB - BACKGROUND: Trimebutine maleate, a noncompetitive spasmolytic agent with some
affinity for peripheral MU- and kappa-opioid receptors has been evaluated as a
treatment in a limited number of patients undergoing sedation-free full
colonoscopy. The efficiency of such treatment was comparable to sedation-based
colonoscopies to relieve from pain and discomfort. METHODS: A new and improved
trimebutine salt capable of releasing in vivo hydrogen sulphide (H2S), a gaseous
mediator known to reduce nociception, has been developed. This drug salt (GIC
1001) is composed of trimebutine bearing a H2S-releasing counterion (3
thiocarbamoylbenzoate, 3TCB), the latter having the ability to release H2S. GIC
1001 has been tested here in a mouse model of colorectal distension. RESULTS: In
mice, while orally given trimebutine (the maleate salt, non-H2 S-releaser) only
slightly reduced the nociceptive response to increasing pressures of colorectal
distension, oral administration of GIC-1001 (the H2S-releaser) was able to
significantly reduce nociceptive response to all noxious stimuli, in a dose
dependent manner. This effect of GIC-1001 was significantly better than the
effects of its parent compound trimebutine administered at equimolar doses.
CONCLUSIONS: Taken together, these results demonstrated increased antinociceptive
properties for GIC-1001 compared to trimebutine, suggesting that this compound
would be a better option to relieve from visceral pain and discomfort induced by
lumenal distension.
PMID- 26541238
TI - Utility of combining morphological characters, nuclear and mitochondrial genes:
An attempt to resolve the conflicts of species identification for ciliated
protists.
AB - Ciliates comprise a highly diverse protozoan lineage inhabiting all biotopes and
playing crucial roles in regulating microbial food webs. Nevertheless, subtle
morphological differences and tiny sizes hinder proper species identification for
many ciliates. Here, we use the species-rich taxon Frontonia and employ both
nuclear and mitochondrial loci. We attempt to assess the level of genetic
diversity and evaluate the potential of each marker in delineating species of
Frontonia. Morphological features and ecological characteristics are also
integrated into genetic results, in an attempt to resolve conflicts of species
identification based on morphological and molecular methods. Our studies reveal:
(1) the mitochondrial cox1 gene, nuclear ITS1 and ITS2 as well as the
hypervariable D2 region of LSU rDNA are promising candidates for species
delineation; (2) the cox1 gene provides the best resolution for analyses below
the species level; (3) the V2 and V4 hypervariable regions of SSU rDNA, and D1 of
LSU rDNA as well as the 5.8S rDNA gene do not show distinct barcoding gap due to
overlap between intra- and inter-specific genetic divergences; (4) morphological
character-based analysis shows promise for delimitation of Frontonia species; and
(5) all gene markers and character-based analyses demonstrate that the genus
Frontonia consists of three groups and monophyly of the genus Frontonia is
questionable.
PMID- 26541239
TI - Historical biogeography of Reticulitermes termites (Isoptera: Rhinotermitidae)
inferred from analyses of mitochondrial and nuclear loci.
AB - Termites of the genus Reticulitermes are ecologically and economically important
wood-feeding social insects that are widespread in the Holarctic region. Despite
their importance, no study has yet attempted to reconstruct a global time-scaled
phylogeny of Reticulitermes termites. In this study, we sequenced mitochondrial
(2096bp) and nuclear (829bp) loci from 61 Reticulitermes specimens, collected
across the genus' entire range, and one specimen of Coptotermes formosanus, which
served as an outgroup. Bayesian and Maximum likelihood analyses conducted on the
mitochondrial and nuclear sequences support the existence of four main lineages
that span four global geographical regions: North America (NA lineage), western
Europe (WE lineage), a region including eastern Europe and western Asia (EA+WA
lineage), and eastern Asia (EA lineage). The mitochondrial data allowed us to
clarify the phylogenetic relationships among these lineages. They were also used
to infer a chronogram that was time scaled based on age estimates for termite
fossils (including the oldest Reticulitermes fossils, which date back to the late
Eocene-early Oligocene). Our results support the hypothesis that the extant
Reticulitermes lineage first differentiated in North America. The first
divergence event in the ancestral lineage of Reticulitermes occurred in the early
Miocene and separated the Nearctic lineages (i.e., the NA lineages) from the
Palearctic lineages (i.e., WE, EE+WA, and EA lineages). Our analyses revealed
that the main lineages of Reticulitermes diversified because of vicariance and
migration events, which were probably induced by major paleogeographic and
paleoclimatic changes that occurred during the Cenozoic era. This is the first
global and comprehensive phylogenetic study of Reticulitermes termites, and it
provides a crucial foundation for studying the evolution of phenotypic and life
history traits in Reticulitermes. For instance, the phylogeny we obtained
suggested that 'asexual queen succession', a unique reproductive system,
independently evolved at least three times during the diversification of the
genus.
PMID- 26541240
TI - Molecular phylogeny of the Neotropical fish genus Tetragonopterus (Teleostei:
Characiformes: Characidae).
AB - Tetragonopterinae encompasses characid species of the genus Tetragonopterus,
which are widely distributed throughout east of the Andes in South America. While
taxonomy has recently clarified the species diversity and molecular evidence
strongly supports the monophyly of Tetragonopterus, no interspecific relationship
studies are currently available. Here we used a large molecular dataset composed
of two mitochondrial and three nuclear loci containing an extensive taxon
sampling within the family Characidae and included eleven species of
Tetragonopterus to generate the first time-calibrated phylogeny for
Tetragonopterinae. Our results support monophyly of the subfamily represented
solely by Tetragonopterus and corroborate previous molecular hypothesis of close
relationship with Exodon plus Roeboexodon and the subfamily Characinae.
Internally, we found Moenkhausia georgiae as sister species to all remaining
species followed by T. rarus, being both species endemic to the Guiana Shield
drainages. Species-level relationships are first hypothesized and putative
morphological apomorphies are discussed as support to monophyletic clades. Our
time-calibrated phylogeny suggested an origin of the genus during the Late
Oligocene-Early Miocene. We hypothesized that the Andean geological activity
followed by transformations in the Amazonian hydrographic scenario during the
Miocene may have promoted most of the lineage diversification within the
Tetragonopterus.
PMID- 26541241
TI - Sleep-stage sequencing of sleep-onset REM periods in MSLT predicts treatment
response in patients with narcolepsy.
AB - Current treatment recommendations for narcolepsy suggest that modafinil should be
used as a first-line treatment ahead of conventional stimulants or sodium
oxybate. In this study, performed in a tertiary sleep disorders centre, treatment
responses were examined following these recommendations, and the ability of sleep
stage sequencing of sleep-onset rapid eye movement periods in the multiple sleep
latency test to predict treatment response. Over a 3.5-year period, 255 patients
were retrospectively identified in the authors' database as patients diagnosed
with narcolepsy, type 1 (with cataplexy) or type 2 (without) using clinical and
polysomnographic criteria. Eligible patients were examined in detail, sleep study
data were abstracted and sleep-stage sequencing of sleep-onset rapid eye movement
periods were analysed. Response to treatment was graded utilizing an internally
developed scale. Seventy-five patients were included (39% males). Forty (53%)
were diagnosed with type 1 narcolepsy with a mean follow-up of 2.37 +/- 1.35
years. Ninety-seven percent of the patients were initially started on modafinil,
and overall 59% reported complete response on the last follow-up. Twenty-nine
patients (39%) had the sequence of sleep stage 1 or wake to rapid eye movement in
all of their sleep-onset rapid eye movement periods, with most of these diagnosed
as narcolepsy type 1 (72%). The presence of this specific sleep-stage sequence in
all sleep-onset rapid eye movement periods was associated with worse treatment
response (P = 0.0023). Sleep-stage sequence analysis of sleep-onset rapid eye
movement periods in the multiple sleep latency test may aid the prediction of
treatment response in narcoleptics and provide a useful prognostic tool in
clinical practice, above and beyond their classification as narcolepsy type 1 or
2.
PMID- 26541242
TI - Targeting melanoma: unusual epigenetics reveals the dynamic rewiring of
metastatic cells.
PMID- 26541243
TI - Control strategies against Campylobacter at the poultry production level:
biosecurity measures, feed additives and vaccination.
AB - Campylobacteriosis is the most prevalent bacterial foodborne gastroenteritis
affecting humans in the European Union, and ranks second in the United States
only behind salmonellosis. In Europe, there are about nine million cases of
campylobacteriosis every year, making the disease a major public health issue.
Human cases are mainly caused by the zoonotic pathogen Campylobacter jejuni. The
main source of contamination is handling or consumption of poultry meat. Poultry
constitutes the main reservoir of Campylobacter, substantial quantities of which
are found in the intestines following rapid, intense colonization. Reducing
Campylobacter levels in the poultry chain would decrease the incidence of human
campylobacteriosis. As primary production is a crucial step in Campylobacter
poultry contamination, controlling the infection at this level could impact the
following links along the food chain (slaughter, retail and consumption). This
review describes the control strategies implemented during the past few decades
in primary poultry production, including the most recent studies. In fact, the
implementation of biosecurity and hygiene measures is described, as well as the
immune strategy with passive immunization and vaccination trials and the
nutritional strategy with the administration of organic and fatty acids,
essential oil and plant-derived compound, probiotics, bacteriocins and
bacteriophages.
PMID- 26541244
TI - Systematic review of psychological and social outcomes of adolescents undergoing
bariatric surgery, and predictors of success.
AB - The psychological and social outcomes of bariatric surgery in adolescents,
together with psychological and social predictors of success, were systematically
reviewed. PubMed, EMBASE, ISI Web of Science and PsychInfo were searched on July
2014. Existing data were sparse; 15 were suitable for qualitative review and six
for meta-analysis (four quality of life [QOL], two depression). One study was a
randomized controlled trial. A total of 139 subjects underwent Roux-en-Y gastric
bypass, 202 underwent adjustable gastric band and 64 underwent sleeve
gastrectomy. Overall QOL improved after bariatric surgery, regardless of surgical
type with peak improvement at 6-12 months. Meta-analysis of four studies showed
changed in overall QOL at latest follow-up of 2.80 standard deviation (SD) (95%
confidence interval [CI] 1.23-4.37). Depression improved across all studies,
regardless of procedure (effect size -0.47 SD [95% CI -0.76, -0.18] at 4-6
months). Two cohorts reported changes in both overall QOL and depression
following a quadratic trajectory, with overall improvement over 2 years and
deterioration in the second post-operative year. There were limited data on other
psychological and social outcomes. There were insufficient data on psychosocial
predictors of outcome to form evidence-based recommendations for patient
selection for bariatric surgery at this time.
PMID- 26541245
TI - The role of residence time in diagnostic models of global carbon storage
capacity: model decomposition based on a traceable scheme.
AB - As a key factor that determines carbon storage capacity, residence time (tauE) is
not well constrained in terrestrial biosphere models. This factor is recognized
as an important source of model uncertainty. In this study, to understand how
tauE influences terrestrial carbon storage prediction in diagnostic models, we
introduced a model decomposition scheme in the Boreal Ecosystem Productivity
Simulator (BEPS) and then compared it with a prognostic model. The result showed
that tauE ranged from 32.7 to 158.2 years. The baseline residence time (tau'E)
was stable for each biome, ranging from 12 to 53.7 years for forest biomes and
4.2 to 5.3 years for non-forest biomes. The spatiotemporal variations in tauE
were mainly determined by the environmental scalar (xi). By comparing models, we
found that the BEPS uses a more detailed pool construction but rougher
parameterization for carbon allocation and decomposition. With respect to xi
comparison, the global difference in the temperature scalar (xit) averaged 0.045,
whereas the moisture scalar (xiw) had a much larger variation, with an average of
0.312. We propose that further evaluations and improvements in tau'E and xiw
predictions are essential to reduce the uncertainties in predicting carbon
storage by the BEPS and similar diagnostic models.
PMID- 26541246
TI - Tick-borne encephalitis in a child with previous history of completed primary
vaccination.
AB - We report the case of a 13-year-old girl who presented with fever, headache,
nausea and pain behind the right ear. Cerebrospinal fluid (CSF; leukocytes
227/MUL), electroencephalogram and cerebral magnetic resonance imaging were
indicative of meningoencephalitis. Despite intensive therapy the general
condition worsened and the patient was admitted to the intensive care unit.
Serological analysis of CSF and serum indicated acute tick-borne encephalitis
virus (TBEV) infection (IgG and IgM positive). TBEV infection has been reported
after incomplete and complete vaccination. TBEV vaccination breakthrough in
childhood has been shown to cause severe disease. It has been suggested that
immunized patients develop more severe disease due to altered immune response,
but the exact mechanism is unknown. In the presence of typical symptoms and a
history of vaccination, possible vaccination breakthrough or missing booster
vaccination should be considered.
PMID- 26541247
TI - Discrepancies between in-home interviews and electronic medical records on
regularly used drugs among home care clients.
AB - PURPOSE: To compare discrepancies between in-home interviews and electronic
medical records (EMRs) on regularly used prescription drugs among older home care
clients. METHODS: The participants were home care clients aged 75 years or older
living in three Finnish municipalities. In-home interview data on regular
prescription drug use from 276 home care clients were compared with EMRs.
Agreement between the in-home interview data and EMRs was assessed using Cohen's
kappa. RESULTS: A majority (83%, n = 229) of the home care clients had
discrepancies between in-home interview data and EMRs, and 40% had discrepancies
that could clinically compromise their treatment. Living with a spouse or other
family member, use of private health care services, diagnosed asthma/COPD or
excessive polypharmacy was associated with having discrepancies. Discrepancies
were more common among clients with better functioning and ability to self-manage
drug use. Agreement between in-home interview data and EMRs was very good or good
for other drug groups, but moderate for opioids, paracetamol, benzodiazepines and
benzodiazepine-related drugs and lubricant eye drops, and poor for selective beta
2-adrenoceptor agonists. The most common clinically important discrepancies were
psychotropics, opioids and agents acting on the renin-angiotensin system and beta
blocking agents. CONCLUSIONS: Eight out of ten home care clients had
discrepancies between in-home interview data and EMRs. Of these discrepancies,
40% were clinically important.
PMID- 26541248
TI - Leukemia Inhibitory Factor Haplodeficiency Desynchronizes Glial Reactivity and
Exacerbates Damage and Functional Deficits after a Concussive Brain Injury.
AB - Reactions of both astrocytes and microglia to central nervous system injury can
be beneficial or detrimental to recovery. To gain insights into the functional
importance of gliosis, we developed a new model of adolescent closed-head injury
(CHI) and interrogated the behavioral, physiological, and cellular outcomes after
a concussive CHI in leukemia inhibitory factor (LIF) haplodeficient mice. These
mice were chosen because LIF is important for astrocyte and microglial
activation. Behaviorally, the LIF haplodeficient animals were equally impaired 4
h after the injury, but in the subsequent 2 weeks, the LIF haplodeficient mice
acquired more severe motor and sensory deficits, compared with wild type mice.
The prolonged accumulation of neurological impairment was accompanied by
desynchronization of the gliotic response, increased cell death, axonal
degeneration, diminished callosal compound action potential, and hypomyelination.
Our results clearly show that LIF is an essential injury-induced cytokine that is
required to prevent the propagation of secondary neurodegeneration.
PMID- 26541249
TI - Vaccine Hesitancy.
AB - Vaccine refusal received a lot of press with the 2015 Disneyland measles
outbreak, but vaccine refusal is only a fraction of a much larger problem of
vaccine delay and hesitancy. Opposition to vaccination dates back to the 1800 s,
Edward Jenner, and the first vaccine ever. It has never gone away despite the
public's growing scientific sophistication. A variety of factors contribute to
modern vaccine hesitancy, including the layperson's heuristic thinking when it
comes to balancing risks and benefits as well as a number of other features of
vaccination, including falling victim to its own success. Vaccine hesitancy is
pervasive, affecting a quarter to a third of US parents. Clinicians report that
they routinely receive requests to delay vaccines and that they routinely
acquiesce. Vaccine rates vary by state and locale and by specific vaccine, and
vaccine hesitancy results in personal risk and in the failure to achieve or
sustain herd immunity to protect others who have contraindications to the vaccine
or fail to generate immunity to the vaccine. Clinicians should adopt a variety of
practices to combat vaccine hesitancy, including a variety of population health
management approaches that go beyond the usual call to educate patients,
clinicians, and the public. Strategies include using every visit to vaccinate,
the creation of standing orders or nursing protocols to provide vaccination
without clinical encounters, and adopting the practice of stating clear
recommendations. Up-to-date, trusted resources exist to support clinicians'
efforts in adopting these approaches to reduce vaccine hesitancy and its impact.
PMID- 26541250
TI - 35-Year-Old Man With Thrombocytopenia and Generalized Lymphadenopathy.
PMID- 26541251
TI - Hodgkin Lymphoma: Diagnosis and Treatment.
AB - Hodgkin lymphoma is a rare B-cell malignant neoplasm affecting approximately 9000
new patients annually. This disease represents approximately 11% of all lymphomas
seen in the United States and comprises 2 discrete disease entities--classical
Hodgkin lymphoma and nodular lymphocyte-predominant Hodgkin lymphoma. Within the
subcategorization of classical Hodgkin lymphoma are defined subgroups: nodular
sclerosis, mixed cellularity, lymphocyte depletion, and lymphocyte-rich Hodgkin
lymphoma. Staging of this disease is essential for the choice of optimal therapy.
Prognostic models to identify patients at high or low risk for recurrence have
been developed, and these models, along with positron emission tomography, are
used to provide optimal therapy. The initial treatment for patients with Hodgkin
lymphoma is based on the histologic characteristics of the disease, the stage at
presentation, and the presence or absence of prognostic factors associated with
poor outcome. Patients with early-stage Hodgkin lymphoma commonly receive
combined-modality therapies that include abbreviated courses of chemotherapy
followed by involved-field radiation treatment. In contrast, patients with
advanced-stage Hodgkin lymphoma commonly receive a more prolonged course of
combination chemotherapy, with radiation therapy used only in selected cases. For
patients with relapse or refractory disease, salvage chemotherapy followed by
high-dose treatment and an autologous stem cell transplant is the standard of
care. For patients who are ineligible for this therapy or those in whom high-dose
therapy and autologous stem cell transplant have failed, treatment with
brentuximab vedotin is a standard approach. Additional options include palliative
chemotherapy, immune checkpoint inhibitors, nonmyeloablative allogeneic stem cell
transplant, or participation in a clinical trial testing novel agents.
PMID- 26541252
TI - In reply--Association of Social Support Source and Size of Social Support Network
With All-Cause Mortality in a National Prospective Cohort.
PMID- 26541253
TI - Association of Social Support Source and Size of Social Support Network With All
Cause Mortality in a National Prospective Cohort.
PMID- 26541254
TI - Risk of Disseminated Varicella Zoster in Immunosuppressed Patients Receiving
Zoster Vaccination.
PMID- 26541255
TI - In reply--Risk of Disseminated Varicella Zoster in Immunosuppressed Patients
Receiving Zoster Vaccination.
PMID- 26541256
TI - New Oral Anticoagulants in Elderly Adults With Chronic Kidney Disease.
PMID- 26541257
TI - In reply--New Oral Anticoagulants in Elderly Adults With Chronic Kidney Disease.
PMID- 26541258
TI - Extramedullary Hematopoiesis in beta-Thalassemia.
PMID- 26541259
TI - 39-Year-Old Woman With Headache and Fever.
PMID- 26541262
TI - Robust method for the analysis of phytochelatins in rice by high-performance
liquid chromatography coupled with electrospray tandem mass spectrometry based on
polymeric column materials.
AB - A sensitive and robust high-performance liquid chromatography coupled with
electrospray tandem mass spectrometry method for the identification and
quantification of glutathione and phytochelatins from rice was developed.
Homogenized samples were extracted with water containing 100 mM dithiothreitol,
and solid-phase extraction using polymer anion exchange resin was employed for
sample purification. Chromatography was performed on a polymeric column with
acetonitrile and water containing 0.1% formic acid as the mobile phase at the
flow rate of 300 MUL/min. The limit of quantitation was 6-100 nM. This assay
showed excellent linearity for both glutathione and phytochelatins over
physiological normal ranges, with correlation coefficients (r) > 0.9976.
Recoveries for four biothiols were within the range of 76-118%, within relative
standard deviations less than 15%. The intraday precision (n = 7) was 2.1-13.3%,
and the interday precision over 15 days was 4.3-15.2%. The optimized method was
applied to analyze tissue samples from rice grown using nutrient solutions with
three different cadmium concentrations (0, 50, and 100 MUM). With increasing
cadmium concentrations, the content of phytochelatin 2 and phytochelatin 3 in
rice roots increased, in contrast to most phytochelatins, and the content of
glutathione in rice stems and roots decreased significantly.
PMID- 26541261
TI - Breathing air to save energy--new insights into the ecophysiological role of high
affinity [NiFe]-hydrogenase in Streptomyces avermitilis.
AB - The Streptomyces avermitilis genome encodes a putative high-affinity [NiFe]
hydrogenase conferring the ability to oxidize tropospheric H2 in mature spores.
Here, we used a combination of transcriptomic and mutagenesis approaches to shed
light on the potential ecophysiological role of the enzyme. First, S. avermitilis
was either exposed to low or hydrogenase-saturating levels of H2 to investigate
the impact of H2 on spore transcriptome. In total, 1293 genes were differentially
expressed, with 1127 and 166 showing lower and higher expression under elevated
H2 concentration, respectively. High H2 exposure lowered the expression of the
Sec protein secretion pathway and ATP-binding cassette-transporters, with
increased expression of genes encoding proteins directing carbon metabolism
toward sugar anabolism and lower expression of NADH dehydrogenase in the
respiratory chain. Overall, the expression of relA responsible for the synthesis
of the pleiotropic alarmone ppGpp decreased upon elevated H2 exposure, which
likely explained the reduced expression of antibiotic synthesis and stress
response genes. Finally, deletion of hhySL genes resulted in a loss of H2 uptake
activity and a dramatic loss of viability in spores. We propose that H2 is
restricted to support the seed bank of Streptomyces under a unique survival
mixotrophic energy mode and discuss important ecological implications of this
finding.
PMID- 26541263
TI - Serum dipeptidyl peptidase-4 is associated with multiple vertebral fractures in
type 2 diabetes mellitus.
AB - OBJECTIVE: Patients with type 2 diabetes mellitus (T2DM) have a high risk of
fracture although they have slightly higher bone mineral density (BMD). There is
no evidence that dipeptidyl peptidase-4 (DPP-4) is involved in the bone fragility
of the patients. The aim of this study was to investigate the association between
serum DPP-4 levels and vertebral fractures (VFs) in men with T2DM. DESIGN: We
conducted a cross-sectional study and investigated the relationships between
serum DPP-4 levels vs BMD at lumbar spine, femoral neck and radius, bone turnover
markers and presence of VFs in 204 Japanese male patients. RESULTS: Multiple
regression analyses adjusted for confounders such as age, duration of diabetes,
body mass index, serum creatinine, HbA1c, serum albumin, log(alanine
transaminase), and log(C-reactive protein) showed that serum DPP-4 was positively
associated with bone formation markers (bone-specific alkaline phosphatase and
osteocalcin) as well as a bone resorption marker [tartrate-resistant acid
phosphatase 5b (TRACP-5b)] (beta = 0.25, P < 0.01; beta = 0.17, P < 0.05; and
beta = 0.30, P < 0.01, respectively), but not BMD at each site. Multivariate
logistic regression analyses adjusted for the confounders described above
revealed that serum DPP-4 levels were associated with the presence of multiple
VFs (odds ratio 1.61, 95% confidential interval 1.05-2.49 per SD increase, P <
0.05). This association was still significant after additional adjustment for any
sites of BMD or bone turnover markers except for TRACP-5b. CONCLUSIONS: We
firstly showed that high level of serum DPP-4 is associated with prevalent
multiple VFs independently of BMD and bone formation in men with T2DM.
PMID- 26541264
TI - Age- and Sex-Related Differences in Efficacy With an Angiotensin II Receptor
Blocker and a Calcium Channel Blocker in Asian Hypertensive Patients.
AB - Overseas guidelines to manage hypertension recommend selecting different drugs
depending on age, but no studies have investigated the relationship between drug
selection and age- and sex-related differences, although such information may
help to reduce the risk of cardiovascular mortality. The Azilsartan Circadian and
Sleep Pressure--the First Study (ACS1) trial was a multicentered, randomized,
open-label, two-parallel group study comparing the effects of an angiotensin II
receptor blocker (azilsartan) and a calcium channel blocker (amlodipine). The
present study is a post hoc analysis of ACS1 to investigate age- and sex-related
differences in the antihypertensive effects between azilsartan and amlodipine.
Azilsartan significantly reduced diastolic blood pressure in male patients
younger than 60 years compared with amlodipine, but amlodipine significantly
reduced systolic blood pressure in female patients 60 years and older compared
with azilsartan. A randomized controlled trial to evaluate cardiovascular
outcomes will demonstrate whether a diastolic blood pressure-lowering effect with
azilsartan is significantly effective in male patients younger than 60 years.
PMID- 26541265
TI - Protection of soil carbon within macro-aggregates depends on intra-aggregate pore
characteristics.
AB - Soil contains almost twice as much carbon (C) as the atmosphere and 5-15% of soil
C is stored in a form of particulate organic matter (POM). Particulate organic
matter C is regarded as one of the most labile components of the soil C, such
that can be easily lost under right environmental settings. Conceptually, micro
environmental conditions are understood to be responsible for protection of soil
C. However, quantitative knowledge of the specific mechanisms driving micro
environmental effects is still lacking. Here we combined CO2 respiration
measurements of intact soil samples with X-ray computed micro-tomography imaging
and investigated how micro-environmental conditions, represented by soil pores,
influence decomposition of POM. We found that atmosphere-connected soil pores
influenced soil C's, and especially POM's, decomposition. In presence of such
pores losses in POM were 3-15 times higher than in their absence. Moreover, we
demonstrated the presence of a feed-forward relationship between soil C
decomposition and pore connections that enhance it. Since soil hydrology and soil
pores are likely to be affected by future climate changes, our findings indicate
that not-accounting for the influence of soil pores can add another sizable
source of uncertainty to estimates of future soil C losses.
PMID- 26541266
TI - A comparative genomics and reductive dehalogenase gene transcription study of two
chloroethene-respiring bacteria, Dehalococcoides mccartyi strains MB and 11a.
AB - Genomes of two trichloroethene (TCE)-respiring Dehalococcoides (Dhc) mccartyi,
strains MB and 11a, were sequenced to identify reductive dehalogenases (RDase)
responsible for oraganohalide respiration. Transcription analyses were conducted
to verify the roles of RDase subunit A genes (rdhA) in chloroethene respiration.
Some interesting features of the strain MB draft genome include a large genome
size, two CRISPR-cas type I systems, and 38 rdhA genes. Strain 11a has a stream
lined genome with 11 rdhA genes, of which nine are distinct. Quantitative real
time PCR transcription analysis of RDase gene transcripts showed that a single
RDase gene, designated mbrA, was up-regulated upon exposure to TCE and no other
RDase genes were considerably expressed in strain MB. A single RDase gene,
designated vcrA, was up-regulated upon exposure to TCE and expressed at a steady
level until all chloroethenes were completely dechlorinated to ethene at 147 h in
strain 11a. Overall, this study reports the genomes of two distinct Dhc strains;
both contain numerous uncharacterized RDase genes, but in each strain only one
such gene was expressed highly during organohalide respiration.
PMID- 26541267
TI - Metal-Free Intermolecular Azide-Alkyne Cycloaddition Promoted by Glycerol.
AB - Metal-free intermolecular Huisgen cycloadditions using nonactivated internal
alkynes have been successfully performed in neat glycerol, both under thermal and
microwave dielectric heating. In sharp contrast, no reaction occurs in other
protic solvents, such as water, ethanol, or diols. DFT calculations have shown
that the BnN3/glycerol adduct promotes a more important stabilization of the
corresponding LUMO than that produced in the analogous BnN3/alcohol adducts,
favoring the reactivity with the alkyne in the first case. The presence of copper
salts in the medium did not change the reaction pathway (Cu(I) acts as
spectator), except for disubstituted silylalkynes, for which desilylation takes
place in contrast to the metal-free system.
PMID- 26541268
TI - Mycobacterium tuberculosis Inhibits RAB7 Recruitment to Selectively Modulate
Autophagy Flux in Macrophages.
AB - Here we report a novel regulatory mechanism for autophagy-mediated degradation of
Mycobacterium tuberculosis (Mtb) and specific strategy exploited by the virulent
Mtb to evade it. We show while both avirulent (H37Ra) and virulent (H37Rv)
mycobacteria could readily localize to autophagosomes, their maturation into
autolysosomes (flux) was significantly inhibited by the latter strain. The
inhibition of autophagy flux by the virulent strain was highly selective, as it
did not perturb the basal autophagy flux in the macrophages. Selective inhibition
of flux of Mtb-containing autophagosomes required virulence regulators PhoP and
ESAT-6. We show that the maturation of Mtb-containing autophagosomes into
autolysosomes required recruitment of the late endosome marker RAB7, forming the
intermediate compartment amphisomes. Virulent Mtb selectively evaded their
targeting to the amphisomes. Thus we report a crosstalk between autophagy and
phagosome maturation pathway and highlight the adaptability of Mtb, manifested by
selective regulation of autophagy flux.
PMID- 26541269
TI - Variations in physiological and biochemical traits of oak seedlings grown under
drought and ozone stress.
AB - Despite the huge biodiversity characterizing the Mediterranean environment,
environmental constraints, such as high sunlight and high temperatures alongside
with dry periods, make plant survival hard. In addition, high irradiance leads to
increasing ozone (O3 ) concentrations in ambient air. In this era of global
warming, it is necessary to understand the mechanisms that allow native species
to tolerate these environmental constraints and how such mechanisms interact.
Three Mediterranean oak species (Quercus ilex, Quercus pubescens and Quercus
cerris) with different features (drought tolerant, evergreen or deciduous
species) were selected to assess their biometrical, physiological and biochemical
responses under drought and/or O3 stress (80-100 nl l(-1) of O3 for 5 h day(-1)
for 77 consecutive days). Leaf visible injury appeared only under drought stress
(alone or combined with O3 ) in all three species. Drought * O3 induced strong
reductions in leaf dry weight in Q. pubescens and Q. cerris (-70 and -75%,
respectively). Alterations in physiological (i.e. decrease in maximum
carboxylation rate) and biochemical parameters (i.e. increase in proline content
and build-up of malondialdehyde by-products) occurred in all the three species,
although drought represented the major determinant. Quercus ilex and Q.
pubescens, which co-occur in dry environments, were more tolerant to drought and
drought * O3 . Quercus ilex was the species in which oxidative stress occurred
only when drought was applied with O3 . High plasticity at a biochemical level
(i.e. proline content) and evergreen habitus are likely on the basis of the
higher tolerance of Q. ilex.
PMID- 26541270
TI - Analysis of acutely exacerbated chronic tinnitus by the Tinnitus Handicap
Inventory.
AB - OBJECTIVE: To examine factors potentially contributing to acutely exacerbated
chronic tinnitus initiation using the Tinnitus Handicap Inventory. METHODS: Sixty
acutely exacerbated chronic tinnitus out-patients were divided into two groups
depending on whether hearing loss was aggravated or stable during tinnitus
exacerbation. Total Tinnitus Handicap Inventory scores and scores for the three
subscales (assessing functional limitations, emotional attitudes and catastrophic
thoughts) were analysed. RESULTS: Total Tinnitus Handicap Inventory scores did
not differ between groups. In patients with acutely exacerbated chronic tinnitus
and aggravated hearing loss, functional subscale scores were significantly higher
after acutely exacerbated chronic tinnitus than at baseline, but catastrophic and
emotional subscale scores did not change. In patients with acutely exacerbated
chronic tinnitus and stable hearing loss, emotional subscale scores were
significantly higher after acutely exacerbated chronic tinnitus than at baseline,
but catastrophic and functional subscale scores did not change. CONCLUSION:
Elevated Tinnitus Handicap Inventory functional subscale scores might indicate
further hearing loss, whereas elevated emotional subscale scores might be
associated with negative life or work events.
PMID- 26541271
TI - Heller's myotomy and pneumatic dilatation in the treatment of achalasia: a
population-based case-control study assessing long-term quality of life.
AB - Long-term health-related quality-of-life (HRQL) outcomes have not been widely
reported in the treatment of achalasia. The aims of this study were to examine
long-term disease-specific and general HRQL in achalasia patients using a
population-based case-control method, and to assess HRQL between treatment
interventions. Manometrically diagnosed achalasia cases (n = 120) were identified
and matched with controls (n = 115) using a population-based approach.
Participants completed general (SF-12) and disease-specific (Achalasia Severity
Questionnaire [ASQ]) HRQL questionnaires, as appropriate, in a structured
interview. Mean composite scores for SF-12 (Mental Component Summary score [MCS
12] and Physical Component Summary score [PCS-12]) and ASQ were compared between
cases and controls, or between intervention groups, using an independent t-test.
Adjusted mean differences in HRQL scores were evaluated using a linear regression
model. Achalasia cases were treated with a Heller's myotomy (n = 43), pneumatic
dilatation (n = 44), or both modalities (n = 33). The median time from last
treatment to HRQL assessment was 5.7 years (interquartile range 2.4-11.5).
Comparing achalasia patients with controls, PCS-12 was significantly worse (40.9
vs. 44.2, P = 0.01), but MCS-12 was similar. However, both PCS-12 (39.9 vs. 44.2,
P = 0.03) and MCS-12 (46.7 vs. 53.5, P = 0.004) were significantly impaired in
those requiring dual treatment compared with controls. Overall however, there was
no difference in adjusted HRQL between patients treated with Heller's myotomy,
pneumatic dilatation or both treatment modalities. In summary, despite treatment
achalasia patients have significantly worse long-term physical HRQL compared with
population controls. No HRQL differences were observed between the treatment
modalities to suggest a benefit of one treatment over another.
PMID- 26541273
TI - Histological pattern of Merkel cell carcinoma sentinel lymph node metastasis
improves stratification of Stage III patients.
AB - Sentinel lymph node biopsy is used to stage Merkel cell carcinoma, but its
prognostic value has been questioned. Furthermore, predictors of outcome in
sentinel lymph node positive Merkel cell carcinoma patients are poorly defined.
In breast carcinoma, isolated immunohistochemically positive tumor cells have no
impact, but in melanoma they are considered significant. The significance of
sentinel lymph node metastasis tumor burden (including isolated tumor cells) and
pattern of involvement in Merkel cell carcinoma are unknown. In this study, 64
Merkel cell carcinomas involving sentinel lymph nodes and corresponding
immunohistochemical stains were reviewed and clinicopathological predictors of
outcome were sought. Five metastatic patterns were identified: (1) sheet-like
(n=38, 59%); (2) non-solid parafollicular (n=4, 6%); (3) sinusoidal, (n=11, 17%);
(4) perivascular hilar (n=1, 2%); and (5) rare scattered parenchymal cells (n=10,
16%). At the time of follow-up, 30/63 (48%) patients had died with 21 (33%)
attributable to Merkel cell carcinoma. Patients with pattern 1 metastases had
poorer overall survival compared with patients with patterns 2-5 metastases
(P=0.03), with 22/30 (73%) deaths occurring in pattern 1 patients. Three (10%)
deaths occurred in patients showing pattern 5, all of whom were immunosuppressed.
Four (13%) deaths occurred in pattern 3 patients and 1 (3%) death occurred in a
pattern 2 patient. In multivariable analysis, the number of positive sentinel
lymph nodes (1 or 2 versus >2, P<0.0001), age (<70 versus >=70, P=0.01), sentinel
lymph node metastasis pattern (patterns 2-5 versus 1, P=0.02), and immune status
(immunocompetent versus suppressed, P=0.03) were independent predictors of
outcome, and could be used to stratify Stage III patients into three groups with
markedly different outcomes. In Merkel cell carcinoma, the pattern of sentinel
lymph node involvement provides important prognostic information and utilizing
this data with other clinicopathological features facilitates risk stratification
of Merkel cell carcinoma patients who may have management implications.
PMID- 26541274
TI - p16 staining has limited value in predicting the outcome of histological low
grade squamous intraepithelial lesions of the cervix.
AB - In order to evaluate the usefulness of p16 staining in predicting the outcome of
histological low-grade squamous intraepithelial lesion/cervical intraepithelial
neoplasia grade 1 (LSIL/CIN1) we prospectively recruited all the patients
referred to colposcopy from 2003 to 2011 due to abnormal screening test results
and diagnosed with LSIL/CIN1 at biopsy (n=507). All biopsies were stained for p16
and re-evaluated after three years by the same gynecological pathologist using
the LAST criteria. Follow-up was conducted every 6 months and included a Pap test
(liquid-based cytology), high-risk human papillomavirus testing (Hybrid Capture 2
test), and colposcopy. The mean follow-up was 28 months. An outcome diagnosis of
HSIL was defined as a histological diagnosis of high-grade SIL/CIN (HSIL/CIN2-3).
The diagnosis of LSIL/CIN1 was confirmed in 416 out of 507 biopsies (82%),
whereas 58 (11%) were reclassified as negative and 33 (6%) as HSIL/CIN2-3. During
follow-up, 86/507 women initially diagnosed with LSIL/CIN1 (17%) showed an
outcome diagnosis of HSIL/CIN2-3, with the rate of HSIL final diagnosis of 3%
(2/58) in the women with biopsies reclassified as negative, 17% (70/416) in the
group with confirmed LSIL and 42% (14/33) in the women with biopsies reclassified
as HSIL (P<0.001). p16 was positive in 245/507 patients (48%) and in 210/416
patients (50%) with confirmed LSIL/CIN1 at re-evaluation. Although positive p16
immunostaining was associated with risk of HSIL/CIN2-3 outcome in the
multivariate analysis (Hazard ratio (HR) 1.9; 95% confidence interval (CI): 1.2
3.1; P=0.009) in the overall group of patients with LSIL/CIN1, this association
was not verified in the subset of patients with confirmed LSIL/CIN1 after re
evaluation (HR: 1.6; 95% CI: 0.9-2.6; P=0.095). In conclusion, in LSIL/CIN1
lesions p16 should be limited to equivocal cases in which HSIL/CIN2 is included
in the differential diagnosis since it has low value in clinical practice as a
marker of progression of LSIL/CIN1.
PMID- 26541272
TI - Clinical features, tumor biology, and prognosis associated with MYC rearrangement
and Myc overexpression in diffuse large B-cell lymphoma patients treated with
rituximab-CHOP.
AB - MYC dysregulation, including MYC gene rearrangement and Myc protein
overexpression, is of increasing clinical importance in diffuse large B-cell
lymphoma (DLBCL). However, the roles of MYC and the relative importance of
rearrangement vs overexpression remain to be refined. Gaining knowledge about the
tumor biology associated with MYC dysregulation is important to understand the
roles of MYC and MYC-associated biology in lymphomagenesis. In this study, we
determined MYC rearrangement status (n=344) and Myc expression (n=535) in a well
characterized DLBCL cohort, individually assessed the clinical and
pathobiological features of patients with MYC rearrangement and Myc protein
overexpression, and analyzed the prognosis and gene expression profiling
signatures associated with these MYC abnormalities in germinal center B-cell-like
and activated B-cell-like DLBCL. Our results showed that the prognostic
importance of MYC rearrangement vs Myc overexpression is significantly different
in germinal center B-cell-like vs activated B-cell-like DLBCL. In germinal center
B-cell-like DLBCL, MYC-rearranged germinal center B-cell-like DLBCL patients with
Myc overexpression significantly contributed to the clinical, biological, and
prognostic characteristics of the overall Myc-overexpressing germinal center B
cell-like DLBCL group. In contrast, in activated B-cell-like DLBCL, the
occurrence, clinical and biological features, and prognosis of Myc overexpression
were independent of MYC rearrangement. High Myc levels and Myc-independent
mechanisms, either tumor cell intrinsic or related to tumor microenvironment,
conferred significantly worse survival to MYC-rearranged germinal center B-cell
like DLBCL patients, even among Myc(high)Bcl-2(high) DLBCL patients. This study
provides new insight into the tumor biology and prognostic effects associated
with MYC dysregulation and suggest that detection of both MYC translocations and
evaluation of Myc and Bcl-2 expression is necessary to predict the prognosis of
DLBCL patients.
PMID- 26541275
TI - Sedation protocols to reduce duration of mechanical ventilation in the ICU: a
Cochrane Systematic Review.
AB - AIMS: Assess the effects of protocol-directed sedation management on the duration
of mechanical ventilation and other relevant patient outcomes in mechanically
ventilated intensive care unit patients. BACKGROUND: Sedation is a core component
of critical care. Sub-optimal sedation management incorporates both under- and
over-sedation and has been linked to poorer patient outcomes. DESIGN: Cochrane
systematic review of randomized controlled trials. DATA SOURCES: Cochrane Central
Register of Controlled trials, MEDLINE, EMBASE, CINAHL, Database of Abstracts of
Reviews of Effects, LILACS, Current Controlled Trials and US National Institutes
of Health Clinical Research Studies (1990-November 2013) and reference lists of
articles were used. REVIEW METHODS: Randomized controlled trials conducted in
intensive care units comparing management with and without protocol-directed
sedation were included. Two authors screened titles, abstracts and full-text
reports. Potential risk of bias was assessed. Clinical, methodological and
statistical heterogeneity were examined and the random-effects model used for
meta-analysis where appropriate. Mean difference for duration of mechanical
ventilation and risk ratio for mortality, with 95% confidence intervals, were
calculated. RESULTS: Two eligible studies with 633 participants comparing
protocol-directed sedation delivered by nurses vs. usual care were identified.
There was no evidence of differences in duration of mechanical ventilation or
hospital mortality. There was statistically significant heterogeneity between
studies for duration of mechanical ventilation. CONCLUSIONS: There is
insufficient evidence to evaluate the effectiveness of protocol-directed sedation
as results from the two randomized controlled trials were conflicting.
PMID- 26541276
TI - TNF-alpha suppression and osteoprotegerin overexpression inhibits wear debris
induced inflammation and osteoclastogenesis in vitro.
AB - PURPOSE: Periprosthetic osteolysis, involving RANK/RANKL/osteoprotegerin (OPG)
and TNF-alpha/NFkappaB signaling, contributes to bone resorption and
inflammation. We constructed lentivirus vectors to inhibit TNF-alpha and enhance
OPG expression and assessed their impacts on wear debris-induced inflammation and
osteoclastogenesis in an osteoclast/osteoblast coculture system. METHODS: We
transduced mouse osteoblastic MC3T3-E1 cells with Lenti-negative control (Lenti
NC), Lenti-OPG or Lenti-siTNFalpha-OPG, and murine macrophage/monocyte RAW264.7
cells with Lenti-NC, Lenti-TNF-alpha siRNA or Lenti-siTNFalpha-OPG. Then, TNF
alpha and OPG protein levels were evaluated by enzyme-linked immunosorbent assay.
We cocultured transduced MC3T3-E1 and RAW264.7 cells in transwell chambers in the
presence of 0.1 mg/mL Ti particles to investigate the capacity of TNF-alpha
inhibition to reduce wear debris-induced inflammation. We also assessed mRNA
levels TNF-alpha, IL-1beta, IL-6 and OPG by RT-PCR as well as osteoclastogenesis
by tartrate-resistant acid phosphatase. RESULTS: Lenti-siTNFalpha-OPG ameliorated
Ti-particle-induced expression of TNF-alpha, IL-1beta, IL-6 in MC3T3-E1/RAW264.7
cocultures, while enhancing mRNA and protein levels of OPG, and reducing the
fraction of tartrate-resistant acid phosphatase (TRAP)+ cells. CONCLUSIONS: Lenti
siTNFalpha-OPG can inhibit the wear debris-induced inflammatory responses and
osteoclastogenesis in vitro, and may represent a promising therapeutic candidate
for the treatment or prevention of wear particle-induced osteolysis.
PMID- 26541277
TI - The synergistic effects of shear stress and cyclic hydrostatic pressure modulate
chondrogenic induction of human mesenchymal stem cells.
AB - PURPOSE: In this study, we examined chondrogenic regulation of 2 types of
mesenchymal stem cells seeded on the bioengineered substrate in monolayer
cultures under mechanically defined conditions to mimic the in vivo
microenvironment of chondrocytes within articular cartilage tissues. METHODS:
Human adipose-derived mesenchymal stem cells (ASCs) and bone marrow mesenchymal
stem cells (BSCs) were exposed to 0.2 Pa shear stress, 3 MPa cyclic hydrostatic
pressure, and combined loading with different sequences on chemically designed
medical-grade silicone rubber, while no soluble growth factors were added to the
culture medium. The expression levels of chondrogenic-specific genes of SOX9,
aggrecan, and type II collagen (Col II) were measured. Results were compared to
those of cells treated by biological growth factor. RESULTS: Gene expression
patterns were dependent on the loading regime. Moreover, the source of
mesenchymal stem cells (adipose or bone marrow) was influential in gene
expression. Overall, enhanced expression of chondrogenic markers was found
through application of mechanical stimuli. The response was generally found to be
significantly promoted when the 2 loading regimes were superimposed. CONCLUSIONS:
Differentiation of ASCs was shown by a modest increase in gene expression
profiles. In general, BSCs expressed higher levels of chondrogenic gene
expression than ASCs after 3 weeks. A greater effect on Col II and SOX9 mRNA
expression was observed when combined loadings were applied. Results may be
applied in determining the proper loading sequence for obtaining functional
target cells in cartilage engineering applications.
PMID- 26541278
TI - Low incidence of gastrointestinal bleeding and pump thrombosis in patients
receiving the INCOR LVAD system in the long-term follow-up.
AB - BACKGROUND: Left ventricular assist device (LVAD) implantation improves survival
and quality of life in patients with advanced heart failure (HF). Despite these
advantages, LVADs are not free from risks. Among all adverse events (AE), pump
thrombosis and bleeding, especially of the gastrointestinal (GI) tract, have been
reported to occur with increasing frequency in some CF-LVADs. The INCOR LVAD
system is a third-generation, continuous flow, axial pump with active magnetic
levitation, avoiding the potential downsides of mechanical bearings. METHODS: The
aim of this retrospective study was to review the Italian clinical experience
with the INCOR LVAD and to determine the prevalence of GI bleeding and pump
thrombosis. All patients implanted between January 2006 and May 2012 were
considered eligible. RESULTS: The total population consisted of 42 patients. LVAD
indication was BTT in 36 (86%) and DT in 6 (14%) patients; 31 patients (74%) were
INTERMACS class 1 or 2. Mean support time was 525 +/- 570 days. The 1-year and 2
year survival rates were 74% and 60%, respectively. The most frequent AE was
driveline infection (0.33 events PPY) followed by stroke with consequence (0.17
events PPY), sepsis (0.07 events PPY), and right HF (0.05 events PPY). No
episodes of pump thrombosis or GI bleeding were observed. CONCLUSIONS: In this
cohort of high-risk, advanced HF patients, the INCOR LVAD provided effective
support with improved survival. Moreover, the absence of GI bleeding and pump
thrombosis demonstrates a favorable characteristic of this device. Further
prospective studies are needed to confirm these data.
PMID- 26541279
TI - Effect of pulmonary conduit oversizing on hemodynamics in children.
AB - PURPOSE: Implanting the largest valved conduit possible - oversizing - to
reconstruct an absent connection from the right ventricle to the pulmonary artery
in certain types of congenital heart defects has been suggested as a compensating
measure for somatic outgrowth of the patient. However, one effect that has not
been investigated yet is the hemodynamic consequence. For this purpose, virtual
implantation and flow simulations were conducted in this study. METHODS: To
isolate the effects of conduit oversizing on the hemodynamics observed after
conduit implantation and outgrowth, calculated wall shear stresses (WSS) of image
based computational fluid dynamic (CFD) simulations were used as indicators.
Three different sizes of valved conduits (20 mm, 22 mm, and 24 mm), including the
largest possible conduit size, virtually implanted in a child-sized healthy
pulmonary artery and the corresponding adult-sized model were investigated.
RESULTS: The child and adult models show a decrease of the mean WSS (approx. 26%)
in the whole domain with an increase of the conduit size. When looking at the
mean WSS at the anastomosis, for the child model the WSS is significantly
increased (approx. 40%) when oversizing (Z-score +3.21). In contrast, the
stresses are decreased for the adult model (34%) when using the largest conduit
(Z-score +0.25). CONCLUSIONS: Based on the results of this study, it must be
considered that choosing a prosthesis size that will lead to high WSS and an
associated intimal reaction, possibly leading to stenosis, can defeat the benefit
of having a nominally larger orifice area directly after implantation.
PMID- 26541280
TI - Improved effect of continuous renal replacement therapy in metabolic status and
body composition of early phase of acute pancreatitis.
AB - OBJECTIVE: To observe the metabolic changes in the early phase of acute
pancreatitis (AP) patients induced by continuous renal replacement therapy
(CRRT), and to investigate the correlation between the metabolic changes and
outcomes of the AP patients. METHODS: We performed a retrospective analysis in
the surgical intensive care unit (ICU) of a tertiary teaching hospital. A total
of 169 patients diagnosed as AP were divided into 2 groups: CRRT group (n = 71)
and non-CRRT group (n = 98), based on whether they received CRRT for more than 24
hours within 7 days from onset of AP. Measured energy expenditure was measured by
indirect calorimetry and predicted energy expenditure was determined by specific
equations on admission and day 7 (or the closest day to day 7), respectively.
Meanwhile, the body composition was measured by multiple-frequency bioelectrical
impedance analyzer. Blood test, body weight and vital signs were analyzed daily
for both groups. RESULTS: In this study, we found a significant improvement in
the hypermetabolism and fluid distribution of the CRRT group compared with the
non-CRRT group. Complications, hospital and ICU length of stays were reduced in
CRRT patients. CONCLUSIONS: CRRT is an effective treatment for AP patients.
Patients with overhydration and hypermetabolism may get improved outcomes from
CRRT.
PMID- 26541281
TI - Steroid treatment resolves acute respiratory failure in patient transferred for
ECMO.
AB - INTRODUCTION: Space-consuming mediastinal tumors can create respiratory failure.
METHODS: We are reporting on a case of mediastinal lymphoma, which created
respiratory failure in our patient. IV steroid therapy was used in our patient,
who presented with end-stage respiratory failure. RESULTS: Conservative
management of our patient was possible without the application of ECMO.
CONCLUSIONS: In the right patient population, IV steroid treatment can avoid
further deterioration of end-stage respiratory failure.
PMID- 26541282
TI - In vitro evaluation of a novel pulsatile right heart assist device - the PERKAT
system.
AB - PURPOSE: Acute right ventricular failure is a life-threatening condition with
poor prognosis. It occurs as a result of right ventricular infarction,
postcardiac transplantation, or postimplantation of a left ventricular assist
device. Temporary mechanical right ventricular support could be a reasonable
treatment option. Therefore, we developed a novel percutaneously implantable
device. METHODS: The PERKAT device consists of a self-expandable chamber covered
with multiple inflow valves carrying foils. A flexible outlet tube with a pigtail
tip is attached to the distal end. PERKAT is designed for percutaneous
implantation through the femoral vein (18 French sheath). The chamber is expanded
in the inferior vena cava while the outlet tube bypasses the right heart and the
pigtail tip is lying in the pulmonary trunk. An IABP balloon is inserted into the
chamber and connected to an IABP console. Balloon deflation generates blood flow
from the vena cava into the chamber through the foil valves. During inflation
blood is pumped through the tube into the pulmonary arteries. RESULTS: In vitro
experiments were performed using 30 mL and 40 mL IABP balloons. IABP
inflation/deflation times were set to 80, 90, 100, and 110 per min with an
afterload of 22 mmHg and 44 mmHg. PERKAT generated flow rates between 1.6 to 3.1
l/min, depending on balloon size, pump cycle, and afterload. CONCLUSIONS: The
novel percutaneously implantable right ventricular assist device offers emergency
support of up to 3 l/min. Based on the successful in vitro evaluation, we
recommend the system as a promising approach for treatment of patients in need of
RV support.
PMID- 26541283
TI - Thermanaeromonas burensis sp. nov., a thermophilic anaerobe isolated from a
subterranean clay environment.
AB - A strictly anaerobic, thermophilic and halotolerant strain, designated IA106T,
was isolated from the seepage water collected in a metal biocorrosion test at a
depth of 490 m, in a 130-160 m thick, subterranean Callovo-Oxfordian clay
formation (158-152 million years old) in northern France. This geological
formation has been selected as the potential host rock for the French high-level
nuclear waste repository. Cells of strain IA106T stained Gram-positive and were
non-motile, spore-forming, straight rods (0.5 * 2-6 MUm). The five major fatty
acids were C16 : 0 (15.9 %), C18 : 0 (15.4 %), iso-C17 : 1 I and/or anteiso-C17 :
1 B(14.8 %), iso-C17 : 0 (14.7 %) and iso-C15 : 0 (13.0 %). Growth was observed
at temperatures ranging from 55 to 70 degrees C and at pH 5.5-9. The salinity
range for growth was 0-20 g NaCl 1- 1. Yeast extract was required for growth.
Strain IA106T was able to grow on lactate and various sugars in the presence of
thiosulfate as electron acceptor. Sulfate, sulfite, elemental sulfur, fumarate,
nitrate and nitrite were not reduced. The DNA G+C content was 60.2 mol%. 16S rRNA
gene sequence analysis indicated that strain IA106T belonged to the family
Thermoanaerobacteraceae, class Clostridia, phylum Firmicutes, and was most
closely related to Thermanaeromonas toyohensis DSM 14490T (95.16 % 16S rRNA gene
sequence similarity). On the basis of 16S rRNA gene sequence comparisons and
physiological characteristics, strain IA106T represents a novel species of the
genus Thermanaeromonas, for which the name Thermanaeromonas burensis sp. nov. is
proposed. The type strain is IA106T ( = DSM 26576T = JCM 18718T).
PMID- 26541284
TI - Synthesis, structure and enhanced photoluminescence properties of two robust,
water stable calcium and magnesium coordination networks.
AB - Two new 3D coordination networks Ca(cca).H2O (1) and Mg(cca).2H2O (2) (H2cca = 4
carboxycinnamic acid) are synthesized by solvothermal reactions and characterized
by single crystal and powder X-ray diffraction, thermogravimetric analysis,
optical diffuse reflection, photoluminescence spectroscopy, and internal quantum
yield measurements. Crystal structure analysis reveals that compound 1 is built
from edge-sharing chains of seven-coordinated calcium polyhedra, which are
connected by the cca ligand to form a 2D layered structure. Compound 2 contains
isolated magnesium polyhedra layers. These layers are linked by cca ligands to
complete the 3D connectivity. Both compounds 1 and 2 have high thermal stability
and remain intact in aqueous solutions of a broad range of pH values ranging from
3 to 11. Both compounds also show significantly enhanced luminescence with
respect to the free ligand, giving rise to an increase in quantum yield by as
much as 4-fold.
PMID- 26541285
TI - Cotton rat immune responses to virus-like particles containing the pre-fusion
form of respiratory syncytial virus fusion protein.
AB - BACKGROUND: Virus-like particles (VLPs) based on Newcastle disease virus (NDV)
core proteins, M and NP, and containing two chimera proteins, F/F and H/G,
composed of the respiratory syncytial virus (RSV) fusion protein (F) and
glycoprotein (G) ectodomains fused to the transmembrane and cytoplasmic domains
of the NDV F and HN proteins, respectively, stimulate durable, protective anti
RSV neutralizing antibodies in mice. Furthermore, immunization of mice with a VLP
containing a F/F chimera protein with modifications previously reported to
stabilize the pre-fusion form of the RSV F protein resulted in significantly
improved neutralizing antibody titers over VLPs containing the wild type F
protein. The goal of this study was to determine if VLPs containing the pre
fusion form of the RSV F protein stimulated protective immune responses in cotton
rats, a more RSV permissive animal model than mice. METHODS: Cotton rats were
immunized intramuscularly with VLPs containing stabilized pre-fusion F/F chimera
protein as well as the H/G chimera protein. The anti-RSV F and RSV G antibody
responses were determined by ELISA. Neutralizing antibody titers in sera of
immunized animals were determined in plaque reduction assays. Protection of the
animals from RSV challenge was assessed. The safety of the VLP vaccine was
determined by monitoring lung pathology upon RSV challenge of immunized animals.
RESULTS: The Pre-F/F VLP induced neutralizing titers that were well above minimum
levels previously proposed to be required for a successful vaccine and titers
significantly higher than those stimulated by RSV infection. In addition, Pre-F/F
VLP immunization stimulated higher IgG titers to the soluble pre-fusion F protein
than RSV infection. Cotton rats immunized with Pre-F/F VLPs were protected from
RSV challenge, and, importantly, the VLP immunization did not result in enhanced
respiratory disease upon RSV challenge. CONCLUSIONS: VLPs containing the pre
fusion RSV F protein have characteristics required for a safe, effective RSV
vaccine.
PMID- 26541286
TI - High-frequency, precise modification of the tomato genome.
AB - BACKGROUND: The use of homologous recombination to precisely modify plant genomes
has been challenging, due to the lack of efficient methods for delivering DNA
repair templates to plant cells. Even with the advent of sequence-specific
nucleases, which stimulate homologous recombination at predefined genomic sites
by creating targeted DNA double-strand breaks, there are only a handful of
studies that report precise editing of endogenous genes in crop plants. More
efficient methods are needed to modify plant genomes through homologous
recombination, ideally without randomly integrating foreign DNA. RESULTS: Here,
we use geminivirus replicons to create heritable modifications to the tomato
genome at frequencies tenfold higher than traditional methods of DNA delivery
(i.e., Agrobacterium). A strong promoter was inserted upstream of a gene
controlling anthocyanin biosynthesis, resulting in overexpression and ectopic
accumulation of pigments in tomato tissues. More than two-thirds of the
insertions were precise, and had no unanticipated sequence modifications. Both
TALENs and CRISPR/Cas9 achieved gene targeting at similar efficiencies. Further,
the targeted modification was transmitted to progeny in a Mendelian fashion. Even
though donor molecules were replicated in the vectors, no evidence was found of
persistent extra-chromosomal replicons or off-target integration of T-DNA or
replicon sequences. CONCLUSIONS: High-frequency, precise modification of the
tomato genome was achieved using geminivirus replicons, suggesting that these
vectors can overcome the efficiency barrier that has made gene targeting in
plants challenging. This work provides a foundation for efficient genome editing
of crop genomes without the random integration of foreign DNA.
PMID- 26541287
TI - Prevalence of intestinal parasites among patients of a Ghanaian psychiatry
hospital.
AB - BACKGROUND: Neglected tropical diseases are of major concern to sub-Saharan
African countries. Though efforts to monitor the prevalence and control are in
place, these are mostly restricted to groups within the population. This study
was performed to determine the prevalence among patients of a Ghanaian
psychiatric hospital and find out whether there is a reason for active monitoring
in this population. METHODS: A cross-sectional study was performed to determine
the prevalence of intestinal parasites among patients of a Ghanaian psychiatric
hospital. Stool samples were collected and analyzed in addition to data. RESULTS:
Of the 111 patients studied, asymptomatic carriage of parasites was 13.5 % and
was higher in males (18.8 %) than in females (4.8 %). Carriage of parasites
decreased with age but increase with duration of admission. CONCLUSION: This is
the first report of parasitic pathogens among patients of a psychiatric
institution in Ghana. The data shows that there are risks of transmission of
infectious diseases via the oral route hence, the need for regular monitoring and
intervention is emphasized.
PMID- 26541288
TI - An institutional ethnography of chronic pain management in family medicine (COPE)
study protocol.
AB - BACKGROUND: Patients with chronic conditions and multiple comorbidities represent
a growing challenge for health care globally. Improved coordination of care is
considered essential for providing more effective and cost-efficient care for
these patients with complex needs. Osteoarthritis is one of the most common and
debilitating chronic conditions, is the most frequent cause of chronic pain yet
osteoarthritis care is often poorly-coordinated. Primary care is usually the
first contact for patients requiring relief from chronic pain. Our previous work
suggests discordance between the policy goals of improving patient care and the
experience of osteoarthritis patients. We plan to investigate the empirical
context of the primary care setting by focusing on primary physicians'
conceptualizations and performance of their work in treating complex patients
with chronic pain. This will allow for an exploration of how primary health care
is - or could be - integrated with other services that play an important role in
health care delivery. METHODS: Our study is an Institutional Ethnography of pain
management in family medicine, to be carried out in three phases over 3 years
from 2014/15 to 2018. Over the first year we will undertake approximately 80 key
informant interviews with primary care physicians, other health care providers,
policymakers and clinical experts. In the second year we will focus on mobilizing
our networks from year one to assist in the collection of key texts which shape
the current context of care. These texts will be analyzed by the research team.
In the final year of the study we will focus on synthesizing our findings in
order to map the social relations informing care. As is standard and optimal in
qualitative research, analysis will be concurrent with data collection.
DISCUSSION: Our study will allow us to identify how the work of coordinating care
across multiple settings is accomplished, in practice as well as discursively and
textually. Ultimately, we will identify links between everyday experience of care
for patients with chronic pain, and broader discourses related to health care
system inefficiencies, integration and patient-centred care. An expected outcome
of this study will be the development of new, or augmentation of existing, models
of care, that are based in the local realities of primary care practice.
PMID- 26541289
TI - Early and mid-term outcome in terms of functional and hemodynamic performance of
the st. Jude regent 19-mm aortic mechanical prosthesis versus 19-mm carpentier
edwards aortic biological prosthesis.
AB - BACKGROUND: The aim of the present study is to compare the early and mid-term
clinical and hemodynamic results of the aortic valve replacement (AVR) with a St
Jude Medical Regent 19-mm prosthesis (SJMR-19) versus Carpentied-Edwars bovine
pericardial 19-mm valve (CE-19). METHODS: Between January 2002 and January 2012,
265 patients (Group I) and 58 patients (Group II) with underwent AVR with a SJMR
19 and CE-19 respectively. There were no significant differences between groups
regarding the demographic and preoperative echocardiographic data. Thirty-six
patients in Group I and 4 in Group II required annulus enlargement in association
or not with septal myectomy. The mean follow-up was 34 +/- 18.5 months (range 5
60 months). RESULTS: There were 14 (5.3 %) hospital deaths in Group I versus 4
(6.8 %) in Group II (p = 0.86). The multivariate logistic regression analysis
identified the LVEF <= 35 % (p = 0.001), combined operation (p = 0.0005), CPB (p
= 0.033), age (p = 0.011), annulus enlargement (p = 0.0009), reoperation (p =
0.039) and chronic renal failure (p = 0.011) as strong predictors for early
postoperative death. Within 1 year after surgery peak pulmonary artery pressure,
interventricular septal and left ventricular posterior wall thickness decreased
significantly in both groups. The M-TPG was 15.7 +/- 6.5 mmHg in Group I versus
17 +/- 7 mmHg in Group II (p = 0.19). The multivariate regression analysis
revealed the annulus enlargement (p = 0.018), small EOAi (p = 0.00004),
postoperative LVMi (p = 0.0001) and BSA (p = 0.019) as strong predictors for
higher M-TPG. The postoperative LVMi was 119 +/- 22.5 gm/m(2) in Group I and 122
+/- 22 gm/m(2) in Group II (p = 0.37), significantly lower than the respective
preoperative values 162.5 +/- 34 gm/m(2) (Group I) and 168 +/- 30 gm/m(2) (Group
II). The actuarial survival and cumulative free-reoperation actuarial survival at
5 years follow-up were 96.7 and 94.5 % respectively in Group I and 97 and 91 % in
Group II.. There were non significant differences between groups regarding the
actuarial survival and cumulative free-reoperation survival. The Cox model
identified the older age (p = 0.022), LVEF <= 35 % (p = 0.009), reoperation (p =
0.018), combined surgery (p = 0.00075) and annulus enlargement (p = 0.033) as
strong predictors for poor actuarial free-reoperation survival. CONCLUSIONS: Both
the SJMR-19 and CE-19 offers excellent postoperative clinical and hemodynamic
outcome in patients with small aortic annulus. The LV hypertrophy and
transvalvular gradients are reduced significantly indenpendently of the employed
SJMR-19 or CE-19 prosthesis. Our data support recent suggestions that small valve
size does not influence intermediate free-reoperation survival. The CE-19 is an
excellent alternative to SJMR-19 in old patients.
PMID- 26541290
TI - A prospective study on histone gamma-H2AX and 53BP1 foci expression in rectal
carcinoma patients: correlation with radiation therapy-induced outcome.
AB - BACKGROUND: The prognostic value of histone gamma-H2AX and 53BP1 proteins to
predict the radiotherapy (RT) outcome of patients with rectal carcinoma (RC) was
evaluated in a prospective study. High expression of the constitutive histone
gamma-H2AX is indicative of defective DNA repair pathway and/or genomic
instability, whereas 53BP1 (p53-binding protein 1) is a conserved checkpoint
protein with properties of a DNA double-strand breaks sensor. METHODS: Using
fluorescence microscopy, we assessed spontaneous and radiation-induced foci of
gamma-H2AX and 53BP1 in peripheral blood mononuclear cells derived from
unselected RC patients (n = 53) undergoing neoadjuvant chemo- and RT. Cells from
apparently healthy donors (n = 12) served as references. RESULTS: The gamma-H2AX
assay of in vitro irradiated lymphocytes revealed significantly higher degree of
DNA damage in the group of unselected RC patients with respect to the background,
initial (0.5 Gy, 30 min) and residual (0.5 Gy and 2 Gy, 24 h post-radiation)
damage compared to the control group. Likewise, the numbers of 53BP1 foci
analyzed in the samples from 46 RC patients were significantly higher than in
controls except for the background DNA damage. However, both markers were not
able to predict tumor stage, gastrointestinal toxicity or tumor regression after
curative RT. Interestingly, the mean baseline and induced DNA damage was found to
be lower in the group of RC patients with tumor stage IV (n = 7) as compared with
the stage III (n = 35). The difference, however, did not reach statistical
significance, apparently, because of the limited number of patients. CONCLUSIONS:
The study shows higher expression of gamma-H2AX and 53BP1 foci in rectal cancer
patients compared with healthy individuals. Yet the data in vitro were not
predictive in regard to the radiotherapy outcome.
PMID- 26541291
TI - Comprehensive transcriptional landscape of aging mouse liver.
AB - BACKGROUND: Mammalian aging is a highly complex process, a full mechanistic
understanding of which is still lacking. One way to help understand the molecular
changes underlying aging is through a comprehensive analysis of the
transcriptome, the primary determinant of age-related phenotypic diversity.
Previous studies have relied on microarray analysis to examine gene expression
profiles in different tissues of aging organisms. However, studies have shown
microarray-based transcriptional profiling is less accurate and not fully capable
of capturing certain intricacies of the global transcriptome. METHODS: Here,
using directional whole transcriptome RNA-sequencing of aged mouse liver we have
identified a comprehensive high-resolution profile of differentially expressed
liver transcripts comprised of canonical protein-coding transcripts, transcript
isoforms, and non-coding RNA transcripts, including pseudogenes, long non-coding
RNAs and small RNA species. RESULTS: Results show extensive age-related changes
in every component of the mouse liver transcriptome and a pronounced increase in
inter-individual variation. Functional annotation of the protein-coding mRNAs and
isoforms indicated broad alterations in immune response, cell activation,
metabolic processes, and RNA modification. Interestingly, multiple lncRNAs (Meg3,
Rian, Mirg) from the Dlk-Dio3 microRNA locus were found up-regulated in aging
liver, classifying this locus as a putative regulatory hotspot locus in aging
liver. Moreover, integration of the altered non-coding RNAs and protein-coding
transcripts into interaction networks of age-related change revealed
inflammation, cellular proliferation, and metabolism as the dominant aging
phenotypes in mouse liver. CONCLUSIONS: Our analyses provide the first
comprehensive dissection of the transcriptional landscape in aging mouse liver.
PMID- 26541293
TI - Improved maximum entropy method for the analysis of fluorescence spectroscopy
data: evaluating zero-time shift and assessing its effect on the determination of
fluorescence lifetimes.
AB - A new algorithm based on the Maximum Entropy Method (MEM) is proposed for
recovering both the lifetime distribution and the zero-time shift from time
resolved fluorescence decay intensities. The developed algorithm allows the
analysis of complex time decays through an iterative scheme based on entropy
maximization and the Brent method to determine the minimum of the reduced chi
squared value as a function of the zero-time shift. The accuracy of this
algorithm has been assessed through comparisons with simulated fluorescence
decays both of multi-exponential and broad lifetime distributions for different
values of the zero-time shift. The method is capable of recovering the zero-time
shift with an accuracy greater than 0.2% over a time range of 2000 ps. The center
and the width of the lifetime distributions are retrieved with relative
discrepancies that are lower than 0.1% and 1% for the multi-exponential and
continuous lifetime distributions, respectively. The MEM algorithm is
experimentally validated by applying the method to fluorescence measurements of
the time decays of the flavin adenine dinucleotide (FAD).
PMID- 26541292
TI - Inequalities in medicine use in Central Eastern Europe: an empirical
investigation of socioeconomic determinants in eight countries.
AB - BACKGROUND: Equitable access to essential medicines is a major challenge for
policy-makers world-wide, including Central and Eastern European countries.
Member States of the European Union situated in Central and Eastern Europe have
publicly funded pharmaceutical reimbursement systems that should promote
accessibility and affordability of, at least essential medicines. However, there
is no knowledge whether socioeconomic inequalities exist in these countries.
Against this backdrop, this study analyses whether socioeconomic determinants
influence the use of prescribed and non-prescribed medicines in eight Central and
Eastern European countries (Bulgaria, Czech Republic, Hungary, Latvia, Poland,
Romania, Slovenia, Slovakia). Further, the study discusses observed
(in)equalities in medicine use in the context of the pharmaceutical policy
framework and the implementation in these countries. METHODS: The study is based
on cross-sectional data from the first wave of the European Health Interview
Survey (2007-2009). Multivariate logistic regression analyses were carried out to
determine the association between socioeconomic status (measured by employment
status, education, income; controlled for age, gender, health status) and
medicine use (prescribed and non-prescribed medicines). This was supplemented by
a pharmaceutical policy analysis based on indicators in four policy dimensions
(sustainable funding, affordability, availability and accessibility, and rational
selection and use of medicines). RESULTS: Overall, the analysis showed a gradient
favouring individuals from higher socioeconomic groups in the consumption of non
prescribed medicines in the eight surveyed countries, and for prescribed
medicines in three countries (Latvia, Poland, Romania). The pharmaceutical
systems in the eight countries were, to varying degrees, characterized by a lack
of (public) funding, thus resulting in high and growing shares of private
financing (including co-payments for prescribed medicines), inefficiencies in the
selection of medicines into reimbursement and limitations in medicines
availability. CONCLUSION: Pharmaceutical policies aiming at reducing inequalities
in medicine use require not only a consideration of the role of co-payments and
other private expenditure but also adequate investment in medicines and
transparent and clear processes regarding the inclusion of medicines into
reimbursement.
PMID- 26541294
TI - Localized-surface-plasmon-enhanced multifunction silicon nanomembrane Schottky
diodes based on Au nanoparticles.
AB - Au nanoparticle (NP)-modified Si nanomembrane (Si NM) Schottky barrier diodes
(SBDs) were fabricated by using a transfer-printing method to create pedestals
using only one photomask on a flexible substrate. The transfer using the
pedestals afforded a yield of >95% with no significant cracks. The plasmonic Au
NPs can facilitate the improvement of the incident optical absorption. The Au NP
modified Si NM SBD exhibited enhanced photoresponse characteristics with an
external quantum efficiency (eta(EQE)) of 34%, a photosensitivity (P) of 27 at a
voltage bias of -5 V, a light intensity of 1.2 W cm(-2), and a responsivity
(R(ph)) of 0.21 A W(-1). Additionally, the mechanical bending characteristics of
the device were observed while a compressive strain up to 0.62% was applied to
the diode. The results suggest that the Au NP-modified Si NM SBD has great
potential for use in multifunction devices as a strain sensor and photosensor.
PMID- 26541295
TI - Migrated esophageal foreign body presents as acute onset dysphagia years later: A
case report.
AB - Ingested esophageal foreign bodies are commonly seen in the pediatric population.
Rarely do they perforate and migrate through neck fascial planes
asymptomatically. We present a case of an otherwise healthy 11 year old with
sudden onset dysphagia that based on MRI and CT findings was most consistent with
an esophageal duplication cyst. However upon surgical exploration, a circular
disk like foreign body was identified adjacent to the esophagus. Given the
patient's age and no reports of purposeful ingestion, it is most likely the
patient had ingested this disk foreign body in early childhood, leaving her
asymptomatic for 8 years prior to presentation.
PMID- 26541296
TI - Nasal fractures in children and adolescents: Mechanisms of injury and efficacy of
closed reduction.
AB - OBJECTIVES: To determine the most common mechanisms of traumatic nasal deformity
referred to pediatric otolaryngology. To examine the efficacy of closed reduction
of nasal fractures in children and adolescents based on the parents' and
surgeons' ratings of post-reduction nasal symmetry. METHODS: Case series and
chart review within an urban, tertiary pediatric otolaryngology practice.
RESULTS: 100 cases of traumatic nasal deformity met inclusion criteria over a 3
year study period. The mean age at presentation was 13 years (4 weeks-18 years);
55% were male and 70% were over the age of 12 years. The most common mechanism of
injury was sports-related trauma (28%), followed by accidental trauma (21%),
interpersonal violence (10%), motor vehicle collision (6%) and alcohol-related
(2%). Of these 100 cases, 22% underwent closed reduction within a 14-day period
following injury. All patients achieved symmetry in the operating room
immediately following reduction. 21 of 22 post-reduction patients were assessed
for nasal symmetry at the postoperative visit (7-10 days following surgery). The
operating surgeon was satisfied with nasal symmetry in 43% of cases and the
parent(s) satisfied in 81% of cases. Both parent and surgeon were satisfied with
post-reduction symmetry 33% of the time. CONCLUSION: The most common sources of
traumatic nasal deformity in children and adolescents vary by age. In cases
meriting operative intervention, parents appear to be satisfied with early
postoperative results following closed reduction in approximately 80% of cases,
however a result in which both parent and surgeon agree with successful re
establishment of symmetry occurs in only one-third of cases.
PMID- 26541297
TI - Amperometric monitoring of quercetin permeation through skin membranes.
AB - Transdermal delivery of quercetin (QR, 3,3',4',5,7-pentahydroxyflavone), a
natural flavonoid with a considerable antioxidant capacity, is important for
medical treatment of, e.g., skin disorders. QR permeability through skin is low,
which, at the same time, makes the monitoring of percutaneous QR penetration
difficult. The objective of this study was to assess an electrochemical method
for monitoring QR penetration through skin membranes. An electrode was covered
with the membrane, exposed to QR solution, and electrode current was measured.
The registered current was due to electro-oxidation of QR penetrating the
membrane. Exploiting strict current-QR flux relationships diffusion coefficient,
D, of QR in skin and dialysis membranes was calculated. The D values were
strongly dependent on the theoretical model and parameters assumed in the
processing of the amperometric data. The highest values of D were in the range of
1.6-6.1*10(-7)cm(2)/s. This was reached only for skin membranes pretreated with
buffer-ethanol mixture for more than 24h. QR solutions containing penetration
enhancers, ethanol and l-menthol, definitely increased D values. The results
demonstrate that electrochemical setup gives a possibility to assess penetration
characteristics as well as enables monitoring of penetration dynamics, which is
more difficult by traditional methods using Franz cells.
PMID- 26541298
TI - Will the use of double barrier result in sustained release of vancomycin?
Optimization of parameters for preparation of a new antibacterial alginate-based
modern dressing.
AB - The aim of this research was to prepare and characterize an alginate-based wound
dressing containing vancomycin immobilized at the silica surface. The silica
samples functionalized with amine, diol and carboxylic acid groups were loaded
with 7.8, 5.7 and 7.1wt.% of the antibiotic respectively. The immobilized drug
was encapsulated in alginate or gelatin/alginate gels and the average
concentration of vancomycin was about 10mg per g of the dried gel. The effect of
functional organic groups at the silica surface on the release rate of the drug
was investigated. Only the drug immobilized at Si-amine in alginate matrix was
found to demonstrate slower release from the proposed wound dressing. The in
vitro release profiles for other silica carriers did not show significant
differences in relation to the free loaded drug. The presence of gelatin had a
favourable impact on the slowing down of the drug release from the dressing with
a double barrier. All the gels studied with vancomycin immobilized at the silica
surface demonstrated antimicrobial activity against various bacteria. A reduction
of the drug dose to a half had no effect on changing microbiological activity of
gels.
PMID- 26541299
TI - Goblet cell targeting nanoparticle containing drug-loaded micelle cores for oral
delivery of insulin.
AB - Oral administration of insulin remains a challenge due to its poor enzymatic
stability and inefficient permeation across epithelium. We herein developed a
novel self-assembled polyelectrolyte complex nanoparticles by coating insulin
loaded dodecylamine-graft-gamma-polyglutamic acid micelles with trimethyl
chitosan (TMC). The TMC material was also conjugated with a goblet cell-targeting
peptide to enhance the affinity of nanoparticles with epithelium. The developed
nanoparticle possessed significantly enhanced colloid stability, drug protection
ability and ameliorated drug release profile compared with graft copolymer
micelles or ionic crosslinked TMC nanoparticles. For in vitro evaluation, Caco
2/HT29-MTX-E12 cell co-cultures, which composed of not only enterocyte-like cells
but also mucus-secreting cells and secreted mucus layer, were applied to mimic
the epithelium. Intracellular uptake and transcellular permeation of encapsulated
drug were greatly enhanced for NPs as compared with free insulin or micelles.
Goblet cell-targeting modification further increased the affinity of NPs with
epithelium with changed cellular internalization mechanism. The influence of
mucus on the cell uptake was also investigated. Ex vivo performed with rat
mucosal tissue demonstrated that the nanoparticle could facilitate the permeation
of encapsulated insulin across the intestinal epithelium. In vivo study preformed
on diabetic rats showed that the orally administered nanoparticles elicited a
prolonged hypoglycemic response with relative bioavailability of 7.05%.
PMID- 26541300
TI - Green fabricated reduced graphene oxide: evaluation of its application as nano
carrier for pH-sensitive drug delivery.
AB - A green and mild approach for the preparation of reduced graphene oxide (rGO) was
proposed by using riboflavin-5'-phosphate sodium salt dihydrate as a reducing
reagent and stabilizer without any other reagent. The fabricated nano-rGO was
systematically evaluated for its application as nano-carrier for pH-sensitive
drug delivery. The hemolytic toxicity test indicated the as-prepared nano-rGO had
negligible hemolytic activity, which demonstrating its safety in drug delivery
system. Doxorubicin hydrochloride (DOX) as a model drug was successfully attached
onto the surface of nano-rGO via strong pi-pi stacking interaction. Compared with
common carriers, the obtained DOX-loaded nano-rGO nanohybrid exhibited
characteristics of high drug loading content, good stability, pH-sensitive and
sustainable release of drugs. Cytotoxicity assay results suggested such
nanohybrid exhibited effective cytotoxicity to MCF-7 and A549 cells by
nonspecific endocytosis mechanism. Therefore, the present green fabricated rGO
could be a good candidate as an ideal nano-carrier for drug delivery and
controlled release.
PMID- 26541302
TI - Influence of in line monitored fluid bed granulation process parameters on the
stability of Ethinylestradiol.
AB - Ethinylestradiol (EE) as a highly active and low dosed compound is prone to
oxidative degradation. The stability of the drug substance is therefore a
critical parameter that has to be considered during drug formulation. Beside the
stability of the drug substance, granule particle size and moisture are critical
quality attributes (CQA) of the fluid bed granulation process which influence the
tableting ability of the resulting granules. Both CQA should therefore be
monitored during the production process by process analytic technology (PAT)
according to ICH Q8. This work focusses on the effects of drying conditions on
the stability of EE in a fluid-bed granulation process. We quantified EE
degradation products 6-alpha-hydroxy-EE, 6-beta-hydroxy-EE, 9(11)-dehydro-EE and
6-oxo-EE during long time storage and accelerated conditions. PAT-tools that
monitor granule particle size (Spatial filtering technology) and granule moisture
(Microwave resonance technology) were applied and compared with off-line methods.
We found a relevant influence of residual granule moisture and thermic stress
applied during granulation on the storage stability of EE, whereas no degradation
was found immediately after processing. Hence we conclude that drying parameters
have a relevant influence on long term EE stability.
PMID- 26541301
TI - Printing medicines as orodispersible dosage forms: Effect of substrate on the
printed micro-structure.
AB - We present our recent advancements in developing a viable manufacturing process
for printed medicine. Our approach involves using a non-contact printing system
that incorporates both piezoelectric- and solenoid valve-based inkjet printing
technologies, to deliver both active and inactive pharmaceutical materials onto
medical-graded orodispersible films. By using two complimentary inkjet
technologies, we were able to dispense an extensive range of fluids, from aqueous
drug solutions to viscous polymer coating materials. Essentially, we demonstrate
printing of a wide range of formulations for patient-ready, orodispersible drug
dosage forms, without the risk of drug degradation by ink heating and of
substrate damages (by contact printing). In addition, our printing process has
been optimized to ensure that the drug doses can be loaded onto the orally
dissolvable films without introducing defects, such as holes or tears, while
retaining a smooth surface texture that promotes patient adherence and allows for
uniform post-coatings. Results show that our platform technology can address key
issues in manufacturing orodispersible drug dosage forms and bring us closer to
delivering personalized and precision medicine to targeted patient populations.
PMID- 26541303
TI - Antibody fragment-armed mesoporous silica nanoparticles for the targeted delivery
of bevacizumab in ovarian cancer cells.
AB - In order to enhance the therapeutic efficacy and intracellular concentration of
bevacizumab (BVC), we have designed a novel tumor endothelial marker 1
(TEM1)/endosialin (Ab-/scFv)-conjugated mesoporous silica nanoparticles (MSN) to
target ovarian cancer cell. The Ab-/scFv-conjugated MSN were prepared by the
conjugation of amine functional group of antibody of the carboxyl group of MSN.
The resultant MSN was nanosized, spherical shaped, and exhibited a controlled
release phenomenon in pH 7.4 conditions. Furthermore, BMSN/Ab was found to
increase the cellular uptake and intracellular distribution of BVC in OVCAR-5
cancer cells. The Ab- conjugated MSN exhibited a superior anticancer effect with
profound apoptosis in cancer cells in a time- and concentration dependent manner.
Consistently, BMSN/Ab effectively inhibited the colony formation in transwell
plate. Finally, BMSN/Ab showed a notable increase in the proportion of cells in
G2/M phase of cell cycle indicating promising anticancer efficacy profile.
Overall, Ab-/scFv-conjugated MSN might provide an effective strategy for the
therapeutic management of ovarian cancers.
PMID- 26541304
TI - Ex-vivoand in-vitro assessment of mucoadhesive patches containing the gel-forming
polysaccharide psyllium for buccal delivery of chlorhexidine base.
AB - The aim of the present study was to evaluate the gel-forming polysaccharide
psyllium in the preparation of mucoadhesive patches for the controlled release of
chlorhexidine (CHX) to treat pathologies in the oral cavity, using the casting
solvent evaporation technique. A number of different film-forming semi-synthetic
polymers, such as sodium carboxymethyl cellulose (SCMC) and hydroxypropylmethyl
cellulose (HPMC) were evaluated for comparison. The patch formulations were
characterized in terms of drug content, morphology surface, swelling and
mucoadhesive properties, microbiology inhibition assay and in vitro release
tests. Three ex-vivo testswere carried out using porcine mucosa: an alternative
dissolution test using artificial saliva that allows contemporary measurement of
dissolution and mucoadhesion, a permeation test through the mucosa and the
measurement of mucoadhesion using a Nouy tensile tester, as the maximum force
required for the separation of the patch from the mucosa surface. The patches
were also examined for determination of the minimum inhibitory concentration in
cultures of Escherichia coli and Staphylococcus aureus. All the patches
incorporating psyllium were found suitable in terms of external morphology,
mucoadhesion and controlled release of the drug: in the presence of psyllium the
drug displays prolonged zero-order release related to slower swelling rate of the
system.
PMID- 26541305
TI - New polymorphs of 9-nitro-camptothecin prepared using a supercritical anti
solvent process.
AB - Recrystallization and micronization of 9-nitro-camptothecin (9-NC) has been
investigated using the supercritical anti-solvent (SAS) technology in this study.
Five operating factors, i.e., the type of organic solvent, the concentration of 9
NC in the solution, the flow rate of 9-NC solution, the precipitation pressure
and the temperature, were optimized using a selected OA16 (4(5)) orthogonal array
design and a series of characterizations were performed for all samples. The
results showed that the processed 9-NC particles exhibited smaller particle size
and narrower particle size distribution as compared with 9-NC raw material (Form
I), and the optimum micronization conditions for preparing 9-NC with minimum
particle size were determined by variance analysis, where the solvent plays the
most important role in the formation and transformation of polymorphs. Three new
polymorphic forms (Form II, III and IV) of 9-NC, which present different
physicochemical properties, were generated after the SAS process. The predicted
structures of the 9-NC crystals, which were consistent with the experiments, were
performed from their experimental XRD data by the direct space approach using the
Reflex module of Materials Studio. Meanwhile, the optimal sample (Form III) was
proved to have higher cytotoxicity against the cancer cells, which suggested the
therapeutic efficacy of 9-NC is polymorph-dependent.
PMID- 26541306
TI - Clinical Neurophysiology in an era of change.
PMID- 26541307
TI - EEG alpha power during maintenance of information in working memory in adults
with ADHD and its plasticity due to working memory training: A randomized
controlled trial.
AB - OBJECTIVE: The present study examined whether neural indices of working memory
maintenance differ between young adults with ADHD and their healthy peers (Study
1), and whether this neural index would change after working memory training
(Study 2). METHODS: Study 1 involved 136 college students with ADHD and 41
healthy peers (aged 18-35 years) and measured their posterior alpha activity
during a visual delayed-match-to-sample task using electroencephalography (EEG).
Study 2 involved 99 of the participants with ADHD who were randomized into a
standard-length or shortened-length Cogmed working memory training program or a
waitlist control group. RESULTS: The ADHD group tended to be less accurate than
the peers. Similarly, the ADHD group exhibited lower posterior alpha power at a
trend level compared to their healthy peers. There were no training effects on
participants' performance and only marginal increases in posterior alpha power in
training groups compared to the waitlist group. CONCLUSIONS: Considering that the
training effects were small and there was no load and dose effect, we conclude
that the current study provides no convincing evidence for specific effects of
Cogmed. SIGNIFICANCE: These findings provide unique insights into
neuroplasticity, or lack thereof, with near-transfer tasks in individuals with
ADHD.
PMID- 26541308
TI - Functional connectivity assessed by resting state EEG correlates with cognitive
decline of Alzheimer's disease - An eLORETA study.
AB - OBJECTIVE: To explore neurophysiological biomarkers of Alzheimer's disease (AD),
we investigated electroencephalography (EEG) of AD patients, and assessed lagged
phase synchronization, a measure of brain functional connectivity. METHODS:
Twenty-eight probable AD patients and 30 healthy controls (HC) were enrolled.
Forty seconds of artifact-free EEG data were selected and compared between
patients with AD and HC. Current source density (CSD) and lagged phase
synchronization were analyzed by using eLORETA. RESULTS: Patients with AD showed
significantly decreased lagged phase synchronization between most cortical
regions in delta band relative to controls. There also was a decrease in lagged
phase synchronization between the right dorsolateral prefrontal cortex (DLPFC)
and the right posterior-inferior parietal lobule (pIPL) in theta band. In
addition, some connections in delta band were found to be associated with
cognitive function, measured by MMSE. This involved specifically interhemispheric
temporal connections as well as left inferior parietal connectivity with the left
hippocampus, lateral frontal regions, and the anterior cingulate cortex (aCC).
Right temporal connections in delta band were related to global function, as
estimated by CDR. No differences were found in CSD analysis between patients and
HC. CONCLUSIONS: Functional connectivity disruptions between certain brain
regions, as measured with lagged phase synchronization, may potentially represent
a neurophysiological biomarker of AD. SIGNIFICANCE: Our study indicated that AD
and healthy elderly could have the different patterns of lagged phase
synchronization.
PMID- 26541309
TI - Changes of Clinicopathologic Characteristics and Survival Outcomes of Anaplastic
and Poorly Differentiated Thyroid Carcinoma.
AB - BACKGROUND: This study aimed to analyze the temporal changes of the
clinicopathologic characteristics, and the long-term outcomes, of various types
of anaplastic thyroid cancer (ATC) and poorly differentiated thyroid cancer
(PDTC). METHODS: A retrospective analysis was conducted on patients with ATC and
PDTC who were treated from 1985 to 2013. The outcome measures included the
clinical response to treatment and the survival rates of three separate thyroid
cancer groups: ATC, PDTC, and differentiated thyroid cancer (DTC) with anaplastic
foci. RESULTS: The five-year disease-specific survival rate was significantly
higher, both in DTC with anaplastic foci and in PTDC (81.3% and 65.8%,
respectively), than it was in ATC (14.3%; p < 0.001). The proportion of cases of
DTC with anaplastic foci has been increasing over time, while that of ATC has
decreased. The survival rate was found to be significantly higher in resectable
tumors (71.4% and 26.5%, respectively; p < 0 .001). In ATC, external beam
radiation therapy showed longer survival rates than did surgery-based treatment
in unresectable tumors (19.2 vs. 7.7 months, p = 0.006). Adjuvant treatment with
external beam radiation or radioactive iodine increased survival duration in PDTC
and in DTC with anaplastic foci. Lymphatic invasion was the most significant
postoperative prognosticator in ATC (p = 0.013). CONCLUSIONS: The choice of
treatment of ATC and PDTC could be modified according to resectability and
lymphatic invasion of the cancer.
PMID- 26541310
TI - [Effects of cognitive state on balance disturbances and gait disorders in
institutionalised elderly].
AB - BACKGROUND: Ageing has been linked to a high prevalence of cognitive impairment,
which, in turn, has been related to balance disturbances and gait disorders. The
aim of this study was to identify whether there are differences between subjects
with and without cognitive impairment regarding the quality of gait and balance.
MATERIAL AND METHODS: An observational study was conducted on institutionalised
people older than 65 years (n=82). Gait and balance was evaluated after the
assessment of cognitive impairment using the Mini-Mental State Examination
(MMSE). Single and dual tests were used including, the 6-minute walking, stride
length, and gait speed. Timed Up and Go tests were also used to evaluate balance.
RESULTS: The participants were divided into three groups: 28 subjects in the
group without cognitive impairment (MMSE>=27), 29 subjects with mild
(2770%) are absorbed by albumin, which transports them to the liver for disposal.
When the amount of PHB in the diet exceed the capacity of albumin to safely
remove them from the circulation, the excess PHB remain in the lipid core of LDL
particles that become constituents of lipoprotein Lp(a), and contribute to the
formation of arterial deposits. In summary, the presence of PHB - water
insoluble, dense, viscous, adhesive polymers - in the lipid cores of the LDL
moieties of Lp(a) particles supports the hypothesis that PHB are atherogenic
components of Lp(a).
PMID- 26541315
TI - Full trans-activation mediated by the immediate-early protein of equine
herpesvirus 1 requires a consensus TATA box, but not its cognate binding
sequence.
AB - The immediate-early protein (IEP) of equine herpesvirus 1 (EHV-1) has extensive
homology to the IEP of alphaherpesviruses and possesses domains essential for
trans-activation, including an acidic trans-activation domain (TAD) and binding
domains for DNA, TFIIB, and TBP. Our data showed that the IEP directly interacted
with transcription factor TFIIA, which is known to stabilize the binding of TBP
and TFIID to the TATA box of core promoters. When the TATA box of the EICP0
promoter was mutated to a nonfunctional TATA box, IEP-mediated trans-activation
was reduced from 22-fold to 7-fold. The IEP trans-activated the viral promoters
in a TATA motif-dependent manner. Our previous data showed that the IEP is able
to repress its own promoter when the IEP-binding sequence (IEBS) is located
within 26-bp from the TATA box. When the IEBS was located at 100 bp upstream of
the TATA box, IEP-mediated trans-activation was very similar to that of the
minimal IE(nt -89 to +73) promoter lacking the IEBS. As the distance from the
IEBS to the TATA box decreased, IEP-mediated trans-activation progressively
decreased, indicating that the IEBS located within 100 bp from the TATA box
sequence functions as a distance-dependent repressive element. These results
indicated that IEP-mediated full trans-activation requires a consensus TATA box
of core promoters, but not its binding to the cognate sequence (IEBS).
PMID- 26541316
TI - New broadly reactive neutralizing antibodies against hepatitis B virus surface
antigen.
AB - Hepatitis B virus (HBV) surface antigen (HBsAg) is considered to be the most
important target for the diagnosis and immune prophylaxis of HBV infection. HBsAg
specific monoclonal antibodies (MAbs) are extensively used for studying the
complex structure of the HBsAg, mapping the neutralizing epitopes and development
of HBV diagnostic tests. However, the efficiency of anti-HBV binding strongly
depends on the epitope structure and MAb capability to recognize different HBV
variants. In the current study, 9 MAbs against yeast-expressed HBsAg of ayw2
serotype were generated and 7 of them were shown to recognize a linear epitope
comprising amino acid (aa) residues 119-GPCRTCT-125 within the main antigenic "a"
determinant of HBsAg. One MAb of the highest affinity (clone HB1) was selected
for detailed cross-reactivity studies, generation of recombinant single-chain
antibody (scFv) and molecular modelling of antibody-epitope interaction. The
importance of each aa residue within the identified MAb epitope was determined by
alanine substitution study that revealed aa residues C(121), T(123), C(124) and
T(125) as essential for binding. These aa residues are highly conserved among HBV
variants. In contrast, alanine substitution of G119, P120 and R122 had no or
minor influence on the reactivity with the MAb. Certain aa residues at position
122 (either R or K) define different HBV serotypes (either d or y), therefore,
the affinity of the MAb HB1 for the epitope with R122K substitution was
determined to evaluate its diagnostic potential. The MAb recognized both epitope
variants with high affinity. Sequence alignment of the MAb epitope within
different HBV strains demonstrated that the shortest peptide recognized by the
MAb 121-CR(K)TCT-125 is identical among different human HBV genotypes (HBV A-F,
H) and monkey HBV species (HBVCP, HBVGO, HBVGB, WMHBV). In line with these data,
the MAb HB1 was cross-reactive in Western blot with a large panel of antigens
derived from different HBV genotypes. Recombinant scFv consisting of
immunoglobulin VH and VL regions joined by a 20 aa-long linker was generated by
cloning the respective cDNA sequences from hybridoma HB1. The recombinant scFv
generated in Escherichia coli recognized the same epitope as the parental MAb
HB1. Cloning of HB1 VH and VL regions allowed determination of their primary
structure and subsequent computer modeling of antibody-epitope interaction. The
generated molecular models of HB1 variable region with its target peptides were
in accordance with experimental data showing the importance of certain aa
residues in antibody binding. In conclusion, the current study describes new
HBsAg-specific antibodies with HBV-neutralizing potency and a broad cross
reactivity against different HBV strains. The generated MAb HB1 will be of great
value in diagnostic and research settings, while the recombinant HB1-derived scFv
represents a promising "building block" for producing anti-HBV tools with a
potential biopharmaceutical application.
PMID- 26541317
TI - Potential of phage cocktails in the inactivation of Enterobacter cloacae--An in
vitro study in a buffer solution and in urine samples.
AB - The objective of this study was to compare the dynamics of three previously
isolated phages for Enterobacter cloacae in order to evaluate their ability to
treat urinary tract infections (UTI). The phages genomes, survival, host range,
were characterized, and the host-phage dynamics was determined in culture medium
and urine samples. The presence of prophages in bacteria, host recovery and
development of resistance to phage after treatment was also evaluated. The growth
of the E. cloacae was inhibited by the three phages, resulting in a decrease of
~3 log. The use of cocktails with two or three phages was significantly more
effective (decrease of ~4 log). In urine, the inactivation was still effective
(~2 log). Both phages were considered safe to inactivate the bacteria (no
integrase and toxin codifying genes). Some bacteria remained viable in the
presence of the phages, but their colonies were smaller than those of the non
treated control and were visible only after 5 days of incubation (visible after
24h in the control). A high bacterial inactivation efficiency with phage
cocktails combined with the safety of the phages and their long periods of
survival, even in urine samples, paves the way for depth studies, especially in
vivo studies, to control urinary tract infection and to overcome the development
of resistances by the nosocomial bacterium E. cloacae.
PMID- 26541318
TI - Structural Mapping of Functional Ge Layers Grown on Graded SiGe Buffers for sub
10 nm CMOS Applications Using Advanced X-ray Nanodiffraction.
AB - We report a detailed advanced materials characterization study on 40 nm thick
strained germanium (Ge) layers integrated on 300 mm Si(001) wafers via strain
relaxed silicon-germanium (SiGe) buffer layers. Fast-scanning X-ray microscopy is
used to directly image structural inhomogeneities, lattice tilt, thickness, and
strain of a functional Ge layer down to the sub-micrometer scale with a real
space step size of 750 MUm. The structural study shows that the metastable Ge
layer, pseudomorphically grown on Si(0.3)Ge(0.7), exhibits an average compressive
biaxial strain of -1.27%. By applying a scan area of 100 * 100 MUm(2), we observe
microfluctuations of strain, lattice tilt, and thickness of ca. +/-0.03%, +/-0.05
degrees , and +/-0.8 nm, respectively. This study confirms the high materials
homogeneity of the compressively strained Ge layer realized by the step-graded
SiGe buffer approach on 300 mm Si wafers. This presents thus a promising
materials science approach for advanced sub-10 nm complementary metal oxide
semiconductor applications based on strain-engineered Ge transistors to
outperform current Si channel technologies.
PMID- 26541319
TI - Relative contributions of L-FABP, SCP-2/SCP-x, or both to hepatic biliary
phenotype of female mice.
AB - Both sterol carrier protein-2/sterol carrier protein-x (SCP-2/SCP-x) and liver
fatty acid binding protein (L-FABP) have been proposed to function in
hepatobiliary bile acid metabolism/accumulation. To begin to address this issue,
the impact of ablating L-FABP (LKO) or SCP-2/SCP-x (DKO) individually or both
together (TKO) was examined in female mice. Biliary bile acid levels were
decreased in LKO, DKO, and TKO mice; however, hepatic bile acid concentration was
decreased in LKO mice only. In contrast, biliary phospholipid level was decreased
only in TKO mice, while biliary cholesterol levels were unaltered regardless of
phenotype. The loss of either or both genes increased hepatic expression of the
major bile acid synthetic enzymes (CYP7A1 and/or CYP27A1). Loss of L-FABP and/or
SCP-2/SCP-x genes significantly altered the molecular composition of biliary bile
acids, but not the proportion of conjugated/unconjugated bile acids or overall
bile acid hydrophobicity index. These data suggested that L-FABP was more
important in hepatic retention of bile acids, while SCP-2/SCP-x more broadly
affected biliary bile acid and phospholipid levels.
PMID- 26541320
TI - Metastatic Colorectal Cancer: A Systematic Review of the Value of Current
Therapies.
AB - To evaluate, from a US payer perspective, the cost-effectiveness of treatment
strategies for metastatic colorectal cancer (mCRC), we performed a systematic
review of published cost-effectiveness analyses. We identified 14 papers that
fulfilled our search criteria and revealed varying levels of value among current
treatment strategies. Older agents such as 5-fluorouracil, irinotecan, and
oxaliplatin provide high-value treatments. More modern agents targeting the EGFR
or VEGF pathways, such as bevacizumab, cetuximab, and panitumumab, do not appear
to be cost-effective treatments at their current costs. The analytical methods
used within the papers varied widely, and this variation likely plays a
significant role in the heterogeneity in incremental cost-effectiveness ratios.
The cost-effectiveness of current treatment strategies for mCRC is highly
variable. Drugs recently approved by the US Food and Drug Administration for mCRC
are not cost-effective, and this is primarily driven by high drug costs.
PMID- 26541321
TI - Safety and Efficacy of Radioembolization in Elderly (>= 70 Years) and Younger
Patients With Unresectable Liver-Dominant Colorectal Cancer.
AB - BACKGROUND: The effects of advancing age on clinical outcomes after
radioembolization (RE) in patients with unresectable liver-dominant metastatic
colorectal cancer (mCRC) are largely unknown. PATIENTS AND METHODS: This study
was a retrospective analysis of 160 elderly (>= 70 years) and 446 younger (< 70
years) consecutive patients from 11 US centers who received RE using ytrrium-90
((90)Y) resin microspheres ((90)Y radioembolization [(90)Y-RE]) between July 2002
and December 2011. A further analysis was conducted in 98 very elderly patients
(>= 75 years). Statistical analyses of safety, tolerability, and overall survival
were conducted. RESULTS: Mean ages (+/- standard deviation) in the younger (< 70
years), elderly (>= 70 years), and very elderly (>= 75 years) cohorts were 55.9
+/- 9.4 years, 77.2 +/- 4.8 years, and 80.2 +/- 3.8 years, respectively. Overall
survival was similar between elderly and younger patients: 9.3 months (95%
confidence interval [CI], 8.0-12.1) and 9.7 months (95% CI, 9.0-11.4) (P = .335).
There were no differences between cohorts for any grade adverse events (P = .433)
or grade 3+ events (P = .482). Analysis of patients >= 75 years and < 75 years
confirmed similar overall survival (median, 9.3 months vs. 9.6 months,
respectively; P = .987) and grade 3+ events (P = .398) or any adverse event (P =
.158) within 90 days of RE. CONCLUSION: For patients with unresectable liver
dominant mCRC who meet eligibility criteria for RE, (90)Y-RE microspheres appear
to be effective and well-tolerated, regardless of age. Criteria for selecting
patients for RE should not include age for exclusion from this potentially
beneficial intervention.
PMID- 26541322
TI - Hybrid lateral mass screw sublaminar wire construct: A salvage technique for
posterior cervical fixation in pediatric spine surgery.
AB - We present a novel salvage technique for pediatric subaxial cervical spine fusion
in which lateral mass screw fixation was not possible due to anatomic
constraints. The case presentation details a 4-year-old patient with C5-C6
flexion/distraction injury with bilateral jumped facets. Posterior cervical
fixation was attempted; however, lateral mass fracture occurred during placement
of screws. Using a wire-screw construct, an attempt was made to provide stable
fixation. The patient was followed post-operatively for assessment of outcomes.
After the patient had progressive kyphosis following initial closed reduction and
external orthosis, internal reduction with fusion/fixation was performed. Lateral
mass fracture occurred during placement of lateral mass screws. After placement
of a sub-laminar wire-lateral mass screw construct, intra-operative evaluation
determined stability. Post-operatively, the procedure resulted in stable fixation
with evidence of bony fusion on follow-up. Pediatric subaxial cervical spine
instrumentation provides rigid fixation however is technically difficult due to
anatomic and instrumentation related constraints. In the presented patient, the
wire-screw construct resulted in stable fixation and bony fusion on follow-up. A
modified sublaminar wire-lateral mass screw construct is an example of a salvage
technique that provides immediate stability in the event of instrumentation
related lateral mass fracture.
PMID- 26541323
TI - Asymptomatic progressive multifocal leukoencephalopathy during natalizumab
therapy with treatment.
AB - We report a case of asymptomatic progressive multifocal leukoencephalopathy (PML)
detected on regular MRI screening in a 40-year-old patient with subsequent benign
course with 12 months follow-up. The patient had a history of aggressive
inflammatory multiple sclerosis, prior mitoxantrone therapy, Stratify John
Cunningham Virus test positivity (Quest Diagnostics, Madison, NJ, USA), and 5
years of natalizumab monotherapy. The initial MRI detection of PML was both
atypical and subtle. Early diagnosis and intervention, and pre-emptive treatment
for immune reconstitution inflammatory syndrome with high dose steroids, as well
as empirical mirtazapine and mefloquine, were associated with a benign PML
disease course and outcome.
PMID- 26541324
TI - Spontaneous acute subdural hematoma: A rare presentation of a dural intracranial
fistula.
AB - Dural arteriovenous fistulas are acquired lesions between the meningeal arteries
and their associated draining veins. They may have highly variable clinical
presentations and evolution, from severe neurological deficit to no or trivial
symptoms. Intracranial hemorrhage occurs in less than 24% of all dural fistulas,
and the bleeding is usually subarachnoid, more infrequently intracerebral, and
rarely in the subdural space. Here, we present a rare case of a patient who
presented with a subdural spontaneous hemorrhage. After investigation by cerebral
angiography, the diagnosis of a dural arteriovenous fistula was made. The patient
underwent uneventful endovascular treatment. As there are with only a few reports
in the literature of such a presentation, we present this patient and perform a
brief review of the literature.
PMID- 26541325
TI - Reproducibility between messenger RNA real-time polymerase chain reaction and
messenger RNA in situ hybridization in oropharyngeal squamous cell carcinoma
patients--reply.
PMID- 26541326
TI - Prognostic significance of PD-L1 and PD-L2 in breast cancer.
AB - PD-L1 and PD-L2 constitute an important antitumor immune response. In breast
cancer, their prognostic value is still to be defined. In this study, we
investigate the correlation between PD-L1 and PD-L2 protein expressions with
clinical and pathologic features and disease-free survival and overall survival.
To assess PD-L1 and PD-L2 expressions, we conducted immunohistochemistry studies
using a breast cancer tissue microarray encompassing a total of 192 breast cancer
cases, stages I, II, and III, with detailed clinical and outcome data. PD-L1
expression was present in 56.6% (107/189), and PD-L2 expression was identified in
50.8% (97/191) of breast cancer cases. Younger age at diagnosis, lymph node
positivity, negative estrogen receptor, and recurrence at distant sites were all
associated with both PD-L1 and PD-L2 expressions. The presence of larger tumors
was associated only with PD-L1 expression. In our study, PD-L1 expression was
significantly associated with better overall survival (P = .04) in breast cancer
patients. Despite its association with poor clinical and pathologic features, PD
L1 expression emerges as a positive prognostic biomarker in breast cancer. This
survival result might be due to the presence of a strong antitumor immune
response leading to PD-L1 expression.
PMID- 26541327
TI - Recurrent acute liver failure due to NBAS deficiency: phenotypic spectrum,
disease mechanisms, and therapeutic concepts.
AB - BACKGROUND: Acute liver failure (ALF) in infancy and childhood is a life
threatening emergency and in about 50% the etiology remains unknown. Recently
biallelic mutations in NBAS were identified as a new molecular cause of ALF with
onset in infancy, leading to recurrent acute liver failure (RALF). METHODS: The
phenotype and medical history of 14 individuals with NBAS deficiency was studied
in detail and functional studies were performed on patients' fibroblasts.
RESULTS: The phenotypic spectrum of NBAS deficiency ranges from isolated RALF to
a multisystemic disease with short stature, skeletal dysplasia, immunological
abnormalities, optic atrophy, and normal motor and cognitive development
resembling SOPH syndrome. Liver crises are triggered by febrile infections; they
become less frequent with age but are not restricted to childhood. Complete
recovery is typical, but ALF crises can be fatal. Antipyretic therapy and
induction of anabolism including glucose and parenteral lipids effectively
ameliorates the course of liver crises. Patients' fibroblasts showed an increased
sensitivity to high temperature at protein and functional level and a disturbed
tethering of vesicles, pointing at a defect of intracellular transport between
the endoplasmic reticulum and Golgi. CONCLUSIONS: Mutations in NBAS cause a
complex disease with a wide clinical spectrum ranging from isolated RALF to a
multisystemic phenotype. Thermal susceptibility of the syntaxin 18 complex is the
basis of fever dependency of ALF episodes. NBAS deficiency is the first disease
related to a primary defect of retrograde transport. Identification of NBAS
deficiency allows optimized therapy of liver crises and even prevention of
further episodes.
PMID- 26541328
TI - Effect of virgin olive oil and thyme phenolic compounds on blood lipid profile:
implications of human gut microbiota.
AB - PURPOSE: To investigate the effect of virgin olive oil phenolic compounds (PC)
alone or in combination with thyme PC on blood lipid profile from
hypercholesterolemic humans, and whether the changes generated are related with
changes in gut microbiota populations and activities. METHODS: A randomized,
controlled, double-blind, crossover human trial (n = 12) was carried out.
Participants ingested 25 mL/day for 3 weeks, preceded by 2-week washout periods,
three raw virgin olive oils differing in the concentration and origin of PC: (1)
a virgin olive oil (OO) naturally containing 80 mg PC/kg, (VOO), (2) a PC
enriched virgin olive oil containing 500 mg PC/kg, from OO (FVOO), and (3) a PC
enriched virgin olive oil containing a mixture of 500 mg PC/kg from OO and thyme,
1:1 (FVOOT). Blood lipid values and faecal quantitative changes in microbial
populations, short chain fatty acids, cholesterol microbial metabolites, bile
acids, and phenolic metabolites were analysed. RESULTS: FVOOT decreased seric ox
LDL concentrations compared with pre-FVOOT, and increased numbers of
bifidobacteria and the levels of the phenolic metabolite protocatechuic acid
compared to VOO (P < 0.05). FVOO did not lead to changes in blood lipid profile
nor quantitative changes in the microbial populations analysed, but increased the
coprostanone compared to FVOOT (P < 0.05), and the levels of the faecal
hydroxytyrosol and dihydroxyphenylacetic acids, compared with pre-intervention
values and to VOO, respectively (P < 0.05). CONCLUSION: The ingestion of a PC
enriched virgin olive oil, containing a mixture of olive oil and thyme PC for 3
weeks, decreases blood ox-LDL in hypercholesterolemic humans. This cardio
protective effect could be mediated by the increases in populations of
bifidobacteria together with increases in PC microbial metabolites with
antioxidant activities.
PMID- 26541330
TI - Differential modulation of the discriminative stimulus effects of methamphetamine
and cocaine by alprazolam and oxazepam in male and female rats.
AB - Drug users often combine benzodiazepines with psychostimulants, such as
methamphetamine. However, very little research has been conducted on this type of
polydrug use, particularly in female subjects. The present study was therefore
designed to examine the effects of two benzodiazepines, alprazolam and oxazepam,
on the discriminative stimulus effects of methamphetamine and cocaine in both
male and female rats. Rats were trained to discriminate methamphetamine (1.0
mg/kg, ip) or cocaine (10 mg/kg, ip) from saline using a two-lever operant, food
reinforced, drug discrimination design. Pretreatment with oxazepam (5, 10 and 20
mg/kg, ip) significantly attenuated methamphetamine discrimination in both male
and female rats. In contrast, however, the high dose of alprazolam (4 mg/kg, ip)
actually augmented the subjective effects of lower doses of methamphetamine
(0.125 and 0.25 mg/kg, ip). Oxazepam produced similar effects on the subjective
effects of cocaine as with methamphetamine, significantly reducing cocaine
discrimination in both male and female rats. However, neither the high nor low
dose of alprazolam (2 and 4 mg/kg, ip) produced any apparent effect on cocaine
discrimination. Finally, while similar results were observed in both male and
female rats across these experiments, methamphetamine and cocaine discrimination
were more sensitive to oxazepam in female subjects. The results of these
experiments suggest that alprazolam and oxazepam can differentially affect the
subjective effects of methamphetamine and cocaine. These results also demonstrate
that alprazolam can differentially affect the discriminative stimulus effects of
methamphetamine and cocaine.
PMID- 26541329
TI - Endocannabinoid regulation of nausea is mediated by 2-arachidonoylglycerol (2-AG)
in the rat visceral insular cortex.
AB - Cannabinoid (CB) agonists suppress nausea in humans and animal models; yet, their
underlying neural substrates remain largely unknown. Evidence suggests that the
visceral insular cortex (VIC) plays a critical role in nausea. Given the
expression of CB1 receptors and the presence of endocannabinoids in this brain
region, we hypothesized that the VIC endocannabinoid system regulates nausea. In
the present study, we assessed whether inhibiting the primary endocannabinoid
hydrolytic enzymes in the VIC reduces acute lithium chloride (LiCl)-induced
conditioned gaping, a rat model of nausea. We also quantified endocannabinoid
levels during an episode of nausea, and assessed VIC neuronal activation using
the marker, c-Fos. Local inhibition of monoacylglycerol lipase (MAGL), the main
hydrolytic enzyme of 2-arachidonylglycerol (2-AG), reduced acute nausea through a
CB1 receptor mechanism, whereas inhibition of fatty acid amide hydrolase (FAAH),
the primary catabolic enzyme of anandamide (AEA), was without effect. Levels of 2
AG were also selectively elevated in the VIC during an episode of nausea.
Inhibition of MAGL robustly increased 2-AG in the VIC, while FAAH inhibition had
no effect on AEA. Finally, we demonstrated that inhibition of MAGL reduced VIC
Fos immunoreactivity in response to LiCl treatment. Taken together, these
findings provide compelling evidence that acute nausea selectively increases 2-AG
in the VIC, and suggests that 2-AG signaling within the VIC regulates nausea by
reducing neuronal activity in this forebrain region.
PMID- 26541331
TI - Effect of high pH on growth of Synechocystis sp. PCC 6803 cultures and their
contamination by golden algae (Poterioochromonas sp.).
AB - Culturing cyanobacteria in a highly alkaline environment is a possible strategy
for controlling contamination by other organisms. Synechocystis PCC 6803 cells
were grown in continuous cultures to assess their growth performance at different
pH values. Light conversion efficiency linearly decreased with the increase in pH
and ranged between 12.5 % (PAR) at pH 7.5 (optimal) and decreased to 8.9 % at pH
11.0. Photosynthetic activity, assessed by measuring both chlorophyll
fluorescence and photosynthesis rate, was not much affected going from pH 7.5 to
11.0, while productivity, growth yield, and biomass yield on light energy
declined by 32, 28, and 26 % respectively at pH 11.0. Biochemical composition of
the biomass did not change much within pH 7 and 10, while when grown at pH 11.0,
carbohydrate content increased by 33 % while lipid content decreased by about the
same amount. Protein content remained almost constant (average 65.8 % of dry
weight). Cultures maintained at pH above 11.0 could grow free of contaminants
(protozoa and other competing microalgae belonging to the species of
Poterioochromonas).
PMID- 26541332
TI - FudC, a protein primarily responsible for furfural detoxification in
Corynebacterium glutamicum.
AB - Lignocellulosic hydrolysates contain compounds that inhibit microbial growth and
fermentation, thereby decreasing the productivity of biofuel and biochemical
production. In particular, the heterocyclic aldehyde furfural is one of the most
toxic compounds found in these hydrolysates. We previously demonstrated that
Corynebacterium glutamicum converts furfural into the less toxic compounds
furfuryl alcohol and 2-furoic acid. To date, however, the genes involved in these
oxidation and reduction reactions have not been identified in the C. glutamicum
genome. Here, we show that Cgl0331 (designated FudC) is mainly responsible for
the reduction of furfural into furfuryl alcohol in C. glutamicum. Deletion of the
gene encoding FudC markedly diminished the in vivo reduction of furfural to
furfuryl alcohol. Purified His-tagged FudC protein from Escherichia coli was also
shown to convert furfural into furfuryl alcohol in an in vitro reaction utilizing
NADPH, but not NADH, as a cofactor. Kinetic measurements demonstrated that FudC
has a high affinity for furfural but has a narrow substrate range for other
aldehydes compared to the protein responsible for furfural reduction in E. coli.
PMID- 26541333
TI - Continuous fungal treatment of non-sterile veterinary hospital effluent:
pharmaceuticals removal and microbial community assessment.
AB - Source point treatment of effluents with a high load of pharmaceutical active
compounds (PhACs), such as hospital wastewater, is a matter of discussion among
the scientific community. Fungal treatments have been reported to be successful
in degrading this type of pollutants and, therefore, the white-rot fungus
Trametes versicolor was applied for the removal of PhACs from veterinary hospital
wastewater. Sixty-six percent removal was achieved in a non-sterile batch
bioreactor inoculated with T. versicolor pellets. On the other hand, the study of
microbial communities by means of DGGE and phylogenetic analyses led us to
identify some microbial interactions and helped us moving to a continuous
process. PhAC removal efficiency achieved in the fungal treatment operated in non
sterile continuous mode was 44 % after adjusting the C/N ratio with respect to
the previously calculated one for sterile treatments. Fungal and bacterial
communities in the continuous bioreactors were monitored as well.
PMID- 26541335
TI - Characterization and application of a common epitope recognized by a broad
spectrum C4 monoclonal antibody against capsid proteins of plant potyviruses.
AB - A broad-spectrum monoclonal antibody (C4 MAb) against the capsid proteins (CPs)
of plant potyviruses has been generated in previous studies. To clarify which
epitope is recognized by this MAb, epitope mapping was performed via phage
display library screening and amino acid substitution analysis. Subsequently, a
12-residue epitope in the core region of potyvirus CPs was identified and termed
the C4 epitope (WxMMDGxxQxxY/F). This epitope contains tryptophan and tyrosine
residues that are crucial for reacting with C4 MAb. The CP of Odontoglossum
ringspot tobamovirus (ORSV) separately fused with the C4 epitope of Konjak mosaic
potyvirus (KoMV), Zantedeschia mild mosaic potyvirus (ZaMMV), or Dasheen mosaic
potyvirus (DsMV) was expressed in a bacterial system and purified. The results of
indirect ELISA and Western blotting demonstrated that the C4 epitope of KoMV (Ko)
fused to ORSV CP showed the strongest binding affinity to C4 MAb among the three
viral epitope tags examined. The binding affinity between Ko tag (WTMMDGEEQIEY)
and C4 MAb was determined. To examine the applicability of the Ko tag in planta,
GFP and ORSV CP were transiently expressed in Nicotiana benthamiana, and both Ko
tagged proteins were specifically detected using C4 MAb. The Ko tag did not
affect the silencing suppressor function of Tomato bushy stunt tombusvirus P19 in
N. benthamiana. Furthermore, Ko-tagged EGFP could be successfully expressed,
specifically detected and subsequently immunoprecipitated using C4 MAb in a
mammalian cell system. Thus, the present study identified a common C4 epitope of
potyviruses recognized by the broad-spectrum C4 and PTY 1 MAbs, and the results
indicated that the newly designed Ko tag is suitable for application in
bacterial, plant, and mammalian cell systems.
PMID- 26541334
TI - Biotechnological aspects of ZnO nanoparticles: overview on synthesis and its
applications.
AB - The physicochemical methods of the synthesis of zinc nanoparticles (ZnO NPs) and
some detailed studies on ZnO toxicity mechanism and biokinetics have been
reported. However, some of these physical and chemical methods of synthesis are
expensive and can also have toxic substances absorbed onto them. Hence, eco
friendly synthesis of nanoparticles due to their easier process, cheaper
availability, and high stability is dominating new research. In particular, ZnO
NPs which are now being synthesized through major biological systems involved in
this are bacteria, fungi, and plant extracts; this has increased studies in
various applications in the biological field. In this review, we have elaborated
on various natural source-mediated syntheses of ZnO NPs and their role in various
biological activities like antimicrobial, anticandidal, larvicidal, cytotoxic,
and photocatalytic activities. Apart from these applications, ZnO NPs are also
reported to help to prevent dust formation, for several years, on oil paintings.
PMID- 26541336
TI - Stereotactic intracavitary brachytherapy with P-32 for cystic craniopharyngiomas
in children.
AB - PURPOSE: Although microsurgery remains the first-line treatment, gross total
resection of cystic craniopharyngeomas (CP) is associated with significant
morbidity and mortality and the addition of external irradiation to subtotal
resection proves to achieve similar tumor control. However, concern regarding
long-term morbidity associated with external irradiation in children still
remains. With this retrospective analysis, the authors emphasize intracavitary
brachytherapy using phosphorus-32 (P-32) as a treatment option for children with
cystic CP. PATIENTS AND METHODS: Between 1992 and 2009, 17 children (median age
15.4 years; range 7-18 years) with cystic CP underwent intracavitary
brachytherapy using P-32. Eleven patients were treated for recurrent tumor cysts;
6 patients were treated primarily. MR imaging revealed solitary cysts in 7
patients; 10 patients had mixed solid-cystic lesions (median tumor volume 11.1
ml; range 0.5-78.9 ml). The median follow-up time was 61.9 months (range 16.9
196.6 months). RESULTS: Local cyst control could be achieved in 14 patients (82
%). Three patients showed progression of the treated cystic formation (in-field
progression) after a median time of 8.3 months (range 5.3-10.3 months), which led
to subsequent interventions. The development of new, defined cysts and
progression of solid tumor parts (out-of-field progression) occurred in 5
patients and led to additional interventions in 4 cases. There was neither
surgery-related permanent morbidity nor mortality in this study. The overall
progression-free survival was 75, 63, and 52 % after 1, 3, and 5 years,
respectively. CONCLUSION: Intracavitary brachytherapy using P-32 represents a
safe and effective treatment option for children harboring cystic CP, even as
primary treatment. However, P-32 does not clearly affect growth of solid tumor
parts or the development of new cystic formations.
PMID- 26541337
TI - Mutation of the mitochondrial carrier SLC25A42 causes a novel form of
mitochondrial myopathy in humans.
AB - Myopathies are heterogeneous disorders characterized clinically by weakness and
hypotonia, usually in the absence of gross dystrophic changes. Mitochondrial
dysfunction is a frequent cause of myopathy. We report a simplex case born to
consanguineous parents who presented with muscle weakness, lactic acidosis, and
muscle changes suggestive of mitochondrial dysfunction. Combined autozygome and
exome analysis revealed a missense variant in the SLC25A42 gene, which encodes an
inner mitochondrial membrane protein that imports coenzyme A into the
mitochondrial matrix. Zebrafish slc25a42 knockdown morphants display severe
muscle disorganization and weakness. Importantly, these features are rescued by
normal human SLC25A42 RNA, but not by RNA harboring the patient's variant. Our
data support a potentially causal link between SLC25A42 mutation and
mitochondrial myopathy in humans.
PMID- 26541339
TI - Kayser-Fleischer rings or bile pigment rings?
PMID- 26541338
TI - Divine Interventions: Faith-Based Approaches to Health Promotion Programs for
Latinos.
AB - Few interventions have used faith-based approaches in health promotion programs
among US Latinos, a notably religious population. This article explores the
perceptions of church leaders, promotoras, and program participants on the
Catholic religious context and content of a community-based intervention
addressing physical activity, nutrition, and stress management for Chicago
Latinas aged 50+. Nineteen in-depth interviews were conducted. Viewed as
trustworthy, natural, and authentic, the church setting nurtured community bonds.
Moreover, the program's religious content encouraged Latinas to feel motivated,
connected, and engaged with the program in meaningful ways. Overall, faith-based
health promotion programs offer a promising approach for Latino-centered
interventions.
PMID- 26541340
TI - Short sleep duration of overweight and obese patients with erosive esophagitis
and gastritis.
PMID- 26541341
TI - Differences in intestinal metaplasia in Barrett's esophagus patients in an
ethnically diverse south London population.
AB - Barrett's esophagus (BE) is the replacement of any portion of the normal distal
squamous epithelial mucosa by metaplastic columnar epithelium and is the only
known precursor for esophageal adenocarcinoma. We undertook a study to identify
ethnic differences for the presence of intestinal metaplasia (IM) in BE in
patients in an ethnically diverse south London population. Retrospective analysis
was done using the endoscopy database of St George's Hospital NHS Trust, which
serves a large ethnically diverse London population. Gastroscopy records between
2009 and 2012 were retrieved, and patients with an endoscopic diagnosis of BE
were identified. Patients of Indian subcontinent Asian origin (ISCA) were further
identified. The presence of IM was retrieved from hospital pathology databases
and was the primary outcome measured. Multivariate logistic regression analysis
was performed to determine the odds of having IM by ethnic origin. ISCAs were 70%
less likely to have IM compared to non-ISCAs (OR 0.32, 95% CI: 0.16-0.61, p =
0.001). This is the first study to identify differences in histological findings
in ISCAs with BE living in the UK. Our findings may be useful for the future risk
stratification of BE patients. Identification of environmental factors
responsible for this difference would be of great therapeutic value.
PMID- 26541342
TI - Does emerging Clarithromycin resistance signal an obituary to empirical standard
triple therapy for Helicobacter pylori infection?
AB - Despite 30 years of its discovery, the ideal therapeutic regimen against
Helicobacter pylori is still evasive. Clarithromycin-based standard triple
therapy which has been considered the first line empirical therapy has been
failing in many parts of the world, due to rising resistance against
Clarithromycin, forcing the use of alternate regimens. In this context, we
studied the local antibiotic resistance patterns against H. pylori and its impact
on standard triple therapy in our region. All patients undergoing diagnostic
upper endoscopy during the study period and detected to be positive for rapid
urease test (RUT) underwent cultures of gastric mucosal specimens and had their
antibiotic resistance patterns mapped out. Standard triple therapy was
administered to those tested positive for H. pylori by RUT and eradication rates
checked by urea breath test 4 weeks after the completion of treatment.
Eradication rates with Clarithromycin-based standard triple therapy were
suboptimal with a success of only (71.28%). H. pylori culture and antibiotic
susceptibility studies showed high resistance to Clarithromycin (21.2%),
Metronidazole (78.1%), and Levofloxacin (15%). However, the resistance to
Amoxicillin (2.9%), Tetracycline (0%), and Rifabutin (4.5%) were low. Standard
triple therapy is failing in our region due to high Clarithromycin resistance. We
need to abandon empirical and blind triple therapy without post-treatment testing
and devise alternate effective treatment strategies against H. pylori based on
the local resistance patterns observed.
PMID- 26541343
TI - Involvement of membrane skeletal molecules in the Schmidt-Lanterman incisure in
Schwann cells.
AB - Membrane skeletal networks form a two-dimensional lattice structure beneath
erythrocyte membranes. 4.1R-MPP (membrane palmitoylated protein) 1-glycophorin C
is one of the basic molecular complexes of the membrane skeleton. An analogous
molecular complex, 4.1G-MPP6-cell adhesion molecule 4 (CADM4), is incorporated
into the Schmidt-Lanterman incisure (SLI), a truncated cone shape in the myelin
internode that is a specific feature of myelinated nerve fibers formed in Schwann
cells in the peripheral nervous system. In this review, the dynamic structure of
peripheral nerve fibers under stretching conditions is demonstrated using in vivo
cryotechnique. The structures of nerve fibers had a beaded appearance, and the
heights of SLI circular-truncated cones increased at the narrow sites of nerve
fibers under the stretched condition. The height of SLI-truncated cones was lower
in 4.1G-deficient nerve fibers than in wild-type nerve fibers. 4.1G was essential
for the molecular targeting of MPP6 and CADM4 in SLI. The signal transduction
protein, Src, was also involved in the 4.1G-MPP6-CADM4 molecular complex. The
phosphorylation of Src was altered by the deletion of 4.1G. Thus, we herein
demonstrate a membrane skeletal molecular complex in SLI that has potential roles
in the regulation of adhesion and signal transduction as well as in structural
stability in Schwann cells.
PMID- 26541344
TI - A J-shaped relationship between caloric intake and survival in critically ill
patients.
AB - BACKGROUND: There is much controversy around the optimal caloric intake in
intensive care unit (ICU) patients, based on the diverging results of prospective
studies. Therefore, we assessed the presence of an association between caloric
intake and outcome in a large cohort included in the Glucontrol study. METHODS:
Patients (n = 1004) were divided into four quartiles (q1-q4) according to the
daily caloric intake (n = 251/quartile). ICU, hospital and 28-day mortality and
the length of stay (LOS) in ICU and in the hospital were compared between each
quartile, before and after adjustment in case of differences in baseline
characteristics. RESULTS: Caloric intake averaged 0.5 +/- 0.6 (q1), 3.0 +/- 0.7
(q2), 13.4 +/- 5.1 (q3) and 32.4 +/- 8.5 (q4) kcal/kg/day (p < 0.001 between
quartiles). Comparisons among quartiles revealed that ICU, hospital and 28-day
mortality were lower in q2 than in the other quartiles. ICU and hospital LOS were
lower in q1 and q2. After adjustment for age, type of admission and severity
scores, hospital mortality was lower in q2 than in the other quartiles, and LOS
was lower in q1and q2 than in q3-q4. CONCLUSIONS: In this large and heterogeneous
cohort of ICU short stayers, a J-shaped relationship between the amount of
calories provided and outcome was found. These hypothesis generating findings are
consistent with the concept of improved clinical outcome by early energy
restriction. Trial registration#: ClinicalTrials.gov# NCT00107601, EUDRA-CT
Number: 200400391440.
PMID- 26541345
TI - Will next-generation agents deliver on the promise of epigenetic hypomethylation
therapy?
PMID- 26541346
TI - Alzheimer's disease in the 100 years since Alzheimer's death.
PMID- 26541347
TI - The EU-project United4Health: User-centred design of an information system for a
Norwegian telemedicine service.
AB - INTRODUCTION: Organizational changes of health care services in Norway brought to
light a need for new clinical pathways. This study presents the design and
evaluation of an information system for a new telemedicine service for chronic
obstructive pulmonary disease patients after hospital discharge. METHODS: A user
centred design approach was employed composed of a workshop with end-users, two
user tests and a field trial. For data collection, qualitative methods such as
observations, semi-structured interviews and a questionnaire were used. RESULTS:
User workshop's outcome informed the implementation of the system initial
prototype, evaluated by end-users in a usability laboratory. Several usability
and functionality issues were identified and solved, such as the interface
between the initial colour scheme and the triage colours. Iterative refinements
were made and a second user evaluation showed that the main issues were solved.
The responses to a questionnaire presented a high score of user satisfaction. In
the final phase, a field trial showed satisfactory use of the system. DISCUSSION:
This study showed how the target end-users groups were actively involved in
identifying the needs, suggestions and preferences. These aspects were addressed
in the development of an information system through a user-centred design
process. The process efficiently enabled users to give feedback about design and
functionality. Continuous refinement of the system was the key to full
development and suitability for the telemedicine service. This research was a
result of the international cooperation between partners within the project
United4Health, a part of the Seventh Framework Programme for Research of the
European Union.
PMID- 26541348
TI - Utility of Cardiac Troponin to Predict Drug Overdose Mortality.
AB - Drug overdose is now the leading cause of injury-related mortality in the USA,
but the prognostic utility of cardiac biomarkers is unknown. We investigated
whether serum cardiac troponin I (cTnI) was associated with overdose mortality.
This prospective observational cohort studied adults with suspected acute drug
overdose at two university hospital emergency departments (ED) over 3 years. The
endpoint was in-hospital mortality, which was used to determine test
characteristics of initial/peak cTnI. There were 437 overdoses analyzed, of whom
there were 20 (4.6 %) deaths. Mean initial cTnI was significantly associated with
mortality (1.2 vs. 0.06 ng/mL, p < 0.001), and the ROC curve revealed excellent
cTnI prediction of mortality (AUC 0.87, CI 0.76-0.98). Test characteristics for
initial cTnI (90 % specificity, 99 % negative predictive value) were better than
peak cTnI (88.2 % specificity, 99.2 % negative predictive value), and initial
cTnI was normal in only one death out of the entire cohort (1/437, CI 0.1-1.4 %).
Initial cTnI results were highly associated with drug overdose mortality. Future
research should focus on high-risk overdose features to optimize strategies for
utilization of cTnI as part of the routine ED evaluation for acute drug overdose.
PMID- 26541349
TI - Renal Therapeutic Angiogenesis Using a Bioengineered Polymer-Stabilized Vascular
Endothelial Growth Factor Construct.
AB - Renovascular disease (RVD) induces renal microvascular (MV) rarefaction that
drives progressive kidney injury. In previous studies, we showed that renal
vascular endothelial growth factor (VEGF) therapy attenuated MV damage, but did
not resolve renal injury at practical clinical doses. To increase the
bioavailability of VEGF, we developed a biopolymer-stabilized elastin-like
polypeptide (ELP)-VEGF fusion protein and determined its in vivo potential for
therapeutic renal angiogenesis in RVD using an established swine model of chronic
RVD. We measured single-kidney blood flow (RBF) and GFR and established the
degree of renal damage after 6 weeks of RVD. Pigs then received a single stenotic
kidney infusion of ELP-VEGF (100 MUg/kg), a matching concentration of
unconjugated VEGF (18.65 MUg/kg), ELP alone (100 MUg/kg), or placebo. Analysis of
organ distribution showed high renal binding of ELP-VEGF 4 hours after stenotic
kidney infusion. Therapeutic efficacy was determined 4 weeks after infusion. ELP
VEGF therapy improved renal protein expression attenuated in RVD, restoring
expression levels of VEGF, VEGF receptor Flk-1, and downstream angiogenic
mediators, including phosphorylated Akt and angiopoietin-1 and -2. This effect
was accompanied by restored MV density, attenuated fibrogenic activity, and
improvements in RBF and GFR greater than those observed with placebo, ELP alone,
or unconjugated VEGF. In summary, we demonstrated the feasibility of a novel
therapy to curtail renal injury. Recovery of the stenotic kidney in RVD after ELP
VEGF therapy may be driven by restoration of renal angiogenic signaling and
attenuated fibrogenic activity, which ameliorates MV rarefaction and improves
renal function.
PMID- 26541350
TI - Effect of Short-Term Steroid Therapy on Early Recurrence During the Blanking
Period After Catheter Ablation of Atrial Fibrillation.
AB - BACKGROUND: Early recurrence (ER) of atrial tachyarrhythmias during the first 3
months (blanking period) after atrial fibrillation ablation can be highly
symptomatic, often requiring emergency treatment. Short-term steroid therapy may
suppress ER during the blanking period. METHODS AND RESULTS: We prospectively
enrolled 138 patients who were randomly assigned to 2 groups (steroid group and
control group). An intravenous bolus of 0.5 mg/kg of methylprednisolone for 2
days followed by 12 mg daily of oral methylprednisolone for 4 days was given to
the steroid group patients. The primary end point was ER during the blanking
period (3 months post ablation). During the blanking period, 51 of the 138
(37.0%) patients experienced ER after atrial fibrillation ablation. The steroid
group had a lower rate of ER than the control group (15/64 [23.4%] versus 36/74
[48.6%], P=0.003). There was no difference between the 2 groups about late
recurrence during a 24-month follow-up (log-rank test, P=0.918). In a
multivariate analysis, short-term steroid therapy was independently associated
with a lower rate of ER during the blanking period (adjusted OR, 0.45; 95%
confidence interval, 0.25-0.83; P=0.01). CONCLUSIONS: Periprocedural short-term
moderate intensity steroid therapy reduces ER (~3 months) after catheter ablation
of atrial fibrillation. It is not effective in preventing late (3~24 m) atrial
fibrillation recurrence. CLINICAL TRIAL REGISTRATION: URL: www.who.int/ictrp;
Unique identifier: KCT0000107.
PMID- 26541351
TI - Omega-3 Fatty Acids and Cholesterol Have a Main Role in Antidepression Diet of
Iranian Traditional Medicine.
AB - Depression is one of the major health problems of our world. Recent studies have
revealed the relationship between diet and depression. In Iranian traditional
medicine, there is a therapeutic diet that is recommended in melancholic diseases
like depression. One of the main components of this diet is meat. Meats are
divided into 2 groups: recommended and abstinent. The aim of this study was to
clarify the logic of this diet through comparing nutritional elements of the 2
groups with each other. For this purpose, prominent books on Iranian traditional
medicine were searched for abstinent and recommended meats traditionally
prescribed for depressed patients. The results of each group were compared with
the other by using Mann-Whitney Test (SPSS version 16). The results showed that
recommended meats contain higher amounts of polyunsaturated fatty acids ( P =
.01) especially omega-3 ( P = .03). Both groups contain high amounts of
cholesterol. Iranian traditional medicine recommends consumption of meats that
contains cholesterol with omega-3 fatty acids in depression.
PMID- 26541352
TI - Co-occurrence of eosinophilic esophagitis and potential/probable celiac disease
in an adult cohort: a possible association with implications for clinical
practice.
AB - We describe an adult cohort with eosinophilic esophagitis (EoE) and evidence of
celiac disease (CD), propose a change in diagnostic practice to better
characterize these conditions, and hypothesize new directions for research.
Pediatric studies postulate association between gluten sensitivity and EoE.
However, few publications describe the prevalence, detection, or therapeutic and
pathophysiologic implications of such association in adults. Retrospective chart
review was done on patients diagnosed with EoE from 2009 to 2010 at University of
Utah Hospitals and Clinics. Data included sex, age, presentation, duodenal
pathology, tissue transglutaminase immunoglobulin A antibody (TTG) positivity,
human leukocyte antigen (HLA) type (when indicated), and gross and microscopic
Esophagogastroduodenoscopy (EGD) findings. Duodenal biopsy, TTG results, and HLA
type were correlated. Endoscopy was repeated after gluten-free diet. Forty-four
of 75 patients were followed in EoE specialty clinic with duodenal biopsy and TTG
testing per protocol. Six EoE patients had potential or probable CD. No sex or
age differences were noted between those with findings of CD and EoE and those
with EoE alone. Six patients with findings of CD and EoE followed gluten-free
diet. Five underwent repeat endoscopy. Three had resolution of esophageal
eosinophilia. Potential or probable CD was commonly found in adults with EoE.
Diagnosis of CD may be challenging due to nonspecific symptoms and insufficient
duodenal biopsy and serologic testing. Furthermore, gluten-free diet resolved EoE
findings in some patients, suggesting possible shared pathophysiology in some
cases of EoE and CD. TTG testing and adequate duodenal biopsy may further direct
clinical care for EoE patients, and studies are needed to elucidate mechanisms
linking EoE and CD.
PMID- 26541354
TI - Design, Synthesis, and Biological Evaluation of Quercetagetin Analogues as JNK1
Inhibitors.
AB - The recent discovery of c-Jun NH2-terminal kinase JNK1 suppression by natural
quercetagetin (1) is a promising lead for the development of novel anticancer
agents. Using both X-ray structure and docking analyses we predicted that 5'
hydroxy- (2) and 5'-hydroxymethyl-quercetagetin (3) would inhibit JNK1 more
actively than the parent compound 1. Notably, our drug design was based on the
active enzyme-ligand complex as opposed to the enzyme's relatively open apo
structure. In this paper we test our theoretical predictions, aided by docking
model experiments, and report the first synthesis and biological evaluation of
quercetagetin analogues 2 and 3. As calculated, both compounds strongly suppress
JNK1 activity. The IC50 values were determined to be 3.4 MUM and 12.2 MUM,
respectively, which shows that 2 surpasses the potency of the parent compound 1
(IC50 =4.6 MUM). Compound 2 was also shown to suppress matrix metalloproteinase-1
expression with high specificity after UV irradiation.
PMID- 26541355
TI - Designing the Molybdopterin Core through Regioselective Coupling of Building
Blocks.
AB - Molybdopterin is an essential cofactor for all forms of life. The cofactor is
composed of a pterin moiety appended to a dithiolene-functionalized pyran ring,
and through the dithiolene moiety it binds metal ions. Different synthetic
strategies for dithiolene-functionalized pyran precursors that have been designed
and synthesized are discussed. These precursors also harbor 1,2-diketone or osone
functionality that has been condensed with 1,2-diaminobenzene or other
heterocycles resulting in several quinoxaline or pterin derivatives. Use of
additives improves the regioselectivity of the complexes. The molecules have been
characterized by (1)H and (13)C NMR and IR spectroscopies, as well as by mass
spectrometry. In addition, several compounds have been crystallographically
characterized. The geometries of the synthesized molecules are more planar than
the geometry of the cofactor found in proteins.
PMID- 26541358
TI - Synthetic Tet-inducible artificial microRNAs targeting beta-catenin or HIF-1alpha
inhibit malignant phenotypes of bladder cancer cells T24 and 5637.
AB - Ribonucleic acid interference (RNAi) based on microRNA (miRNA) may provide
efficient and safe therapeutic opportunities. However, natural microRNAs can not
easily be regulated and usually cause few phenotypic changes. Using the
engineering principles of synthetic biology, we provided a novel and standard
platform for the generation of tetracycline (Tet)-inducible vectors that express
artificial microRNAs in a dosage-dependent manner. The vector generates a Pol II
promoter-mediated artificial microRNA which was flanked by ribozyme sequences. In
order to prove the utility of this platform, we chose beta-catenin and HIF-1alpha
as the functional targets and used the bladder cancer cell lines 5637 and T24 as
the test models. We found that the Tet-inducible artificial microRNAs can
effectively silence the target genes and their downstream genes, and induce anti
cancer effects in the two bladder cancer cell lines. These devices can inhibit
proliferation, induce apoptosis, and suppress migration of the bladder cancer
cell lines 5637 and T24. The Tet-inducible synthetic artificial microRNAs may
represent a kind of novel therapeutic strategies for treating human bladder
cancer.
PMID- 26541359
TI - Neck fracture of the Exeter stem in 3 patients: A cause for concern?
PMID- 26541361
TI - How Consistent are Publicly Reported Cytotoxicity Data? Large-Scale Statistical
Analysis of the Concordance of Public Independent Cytotoxicity Measurements.
AB - While increased attention is being paid to the impact of data quality in cell
line sensitivity and toxicology modeling, to date, no systematic study has
evaluated the comparability of independent cytotoxicity measurements on a large
scale. Here, we estimate the experimental uncertainty of public cytotoxicity data
from ChEMBL version 19. We applied stringent filtering criteria to assemble a
curated data set comprised of pIC50 data for compound-cell line systems measured
in independent laboratories. The estimated experimental uncertainty calculated
was a mean unsigned error (MUE) value of 0.61-0.76, a median unsigned error
(MedUE) value of 0.51-0.58, and a standard deviation of 0.76-1.00 pIC50 units.
The experimental uncertainty (sigmaE) estimated from all pairs of cytotoxicity
measurements with a DeltapIC50 value lower than 2.5 was found to be 0.59-0.77
pIC50 units, and thus 21-60% and 21-26% higher than that of pKi and pIC50 data
for ligand-protein data (sigmaE =0.47-0.48 pKi units and sigmaE =0.57-0.61 pIC50
units, respectively). The estimated sigmaE value from the pairs of pIC50 values
measured with metabolic assays was 0.98, whereas the sigmaE value was found to be
0.69 when using the 1388 pIC50 pairs measured using exactly the same experimental
setup. The maximum achievable Pearson correlation coefficient (RPearsonmax.2) of
in silico models trained on cytotoxicity data from different laboratories was
estimated to be 0.51-0.85, which is considerably different from the value of 1
corresponding to perfect predictions, hinting at the maximum performance one can
expect also from computational cytotoxicity predictions. The lowest concordance
between pairs of measurements was found for the drugs paclitaxel, methotrexate,
zidovudine, and docetaxel, and for the cell lines HepG2, NCI-H460, L1210, and
CCRF-CEM, hinting at particular sensitivity of those systems to experimental
setups. The highest concordance was estimated for the compound-cell line system
HL-60-etoposide (sigmaE =0.70), whereas the lowest for L1210-methotrexate (sigmaE
=1.68). We found that annotation errors are responsible for the high discordance
observed for some pairs of measurements, pointing out the importance of data
curation when automatically extracting cytotoxicity data from public databases.
Likewise, these results highlight the importance of estimating compound
cytotoxicity with assays providing complementary biological information (i.e.,
metabolic, clonogenic and assays based on cell membrane integrity), especially
when the mechanism of action of test compounds is unknown. From this analysis,
guidelines can be created on the reliability of cytotoxicity data from public
databases, which could ultimately prove valuable for modeling purposes, and to
guide reporting of data in the literature.
PMID- 26541360
TI - Aberrant regulation of synchronous network activity by the attention
deficit/hyperactivity disorder-associated human dopamine D4 receptor variant D4.7
in the prefrontal cortex.
AB - KEY POINTS: The hD4.7 variant has been linked to attention-deficit/hyperactivity
disorder (ADHD); however, the underlying mechanism is unknown. We found that
activation of hD4.7 induced over-suppression of glutamatergic excitatory network
bursts and under-suppression of GABAergic inhibitory network bursts in the
prefrontal cortex (PFC) circuitry. Methylphenidate, a psychostimulant drug used
to treat ADHD, normalized the effects of hD4.7 on synchronous network bursts in
PFC pyramidal neurons. The findings of the present study suggest that the
aberrant regulation of PFC synchronous network activity by hD4.7 may underlie its
involvement in ADHD. A unique feature of the human D4 receptor (hD4 R) gene is
the existence of a large number of polymorphisms in exon 3 coding for the third
intracellular loop, which consists of a variable number of tandem repeats. The
hD4 R variants with long repeats have been linked to attention
deficit/hyperactivity disorder (ADHD); however, the underlying mechanism is
unknown. Emerging evidence suggests that selective attention is controlled by the
rhythmic synchronization in the prefrontal cortex (PFC) and its connected
networks. In the present study, we examined the role of hD4 R variants in
regulating PFC synchronous network activity. D4 R knockout mice with viral
infection of hD4.4 or hD4.7 in the medial PFC were used. Whole-cell patch-clamp
recordings were performed to examine the effects of activating hD4.x on the
spontaneous large scale correlated activity in PFC pyramidal neurons. We found
that, compared to the normal four-repeat variant hD4.4, the ADHD-linked variant
hD4.7 induces more suppression of glutamatergic excitatory network bursts and
less suppression of GABAergic inhibitory network bursts in the PFC circuitry.
Methylphenidate, a psychostimulant drug used to treat ADHD, normalized the
effects of hD4.7 on synchronous network bursts in PFC pyramidal neurons. These
results reveal the differential effects of hD4 R variants on the integrated
excitability of PFC circuits. It is suggested that the aberrant regulation of PFC
network activity by hD4.7 may underlie its involvement in ADHD. The
methylphenidate-induced normalization of synaptic circuitry regulation may
contribute to its effectiveness in ADHD treatment.
PMID- 26541364
TI - Education in Professionalism: The Radiologist as Beauty Queen.
PMID- 26541363
TI - Late Acute and Chronic Graft-versus-Host Disease after Allogeneic Hematopoietic
Cell Transplantation.
AB - Several distinct graft-versus-host disease (GVHD)-related syndromes have been
defined by the National Institutes of Health Consensus Conference. We enrolled a
prospective cohort of 911 hematopoietic cell transplantation (HCT) recipients at
13 centers between March 2011 and May 2014 to evaluate 4 GVHD syndromes: late
acute GVHD (aGVHD), chronic GVHD (cGVHD), bronchiolitis obliterans syndrome, and
cutaneous sclerosis. The median age at HCT was 53.7 years. The majority of
patients received a peripheral blood stem cell transplant (81%) following
nonmyeloablative or reduced-intensity conditioning (55%). Pediatric age group and
use of bone marrow and umbilical cord blood grafts were underrepresented in our
cohort (<=11%). The cumulative incidence of late aGVHD (late onset and recurrent)
was 10% at a median of 5.5 months post-HCT, that of cGVHD was 47% at a median of
7.4 months, that of bronchiolitis obliterans was 3% at a median of 12.2 months,
and that of cutaneous sclerosis was 8% at a median onset of 14.0 months. Late
aGVHD and bronchiolitis obliterans had particularly high nonrelapse mortality of
23% and 32%, respectively, by 2 years after diagnosis. The probability of late
aGVHD- and cGVHD-free, relapse-free survival was 38% at 1 year post-HCT and 26%
at 2 years post-HCT. This multicenter prospective study confirms the high rate of
late aGVHD and cGVHD syndromes and supports the need for continuous close
monitoring and development of more effective GVHD treatment strategies to improve
HCT success.
PMID- 26541366
TI - MRP1 and its role in anticancer drug resistance.
AB - The phenomenon of multidrug resistance (MDR) in cancer is associated with the
overexpression of the ATP-binding cassette (ABC) transporter proteins, including
multidrug resistance-associated protein 1 (MRP1) and P-glycoprotein. MRP1 plays
an active role in protecting cells by its ability to efflux a vast array of drugs
to sub-lethal levels. There has been much effort in elucidating the mechanisms of
action, structure and substrates and substrate binding sites of MRP1 in the last
decade. In this review, we detail our current understanding of MRP1, its clinical
relevance and highlight the current environment in the search for MRP1
inhibitors. We also look at the capacity for the rapid intercellular transfer of
MRP1 phenotype from spontaneously shed membrane vesicles known as microparticles
and discuss the clinical and therapeutic significance of this in the context of
cancer MDR.
PMID- 26541365
TI - The Effect of Cranioplasty on Cerebral Hemodynamics as Measured by Perfusion
Computed Tomography and Doppler Ultrasonography.
AB - Cranioplasties are performed to protect the brain and correct cosmetic defects,
but there is growing evidence that this procedure may result in neurological
improvement. We prospectively studied cranioplasties performed at our hospital
over a 5-year period. The National Institute of Health Stroke Scale and Barthel
index were recorded prior to and within 72 h after the cranioplasty. A perfusion
computed tomography (PCT) and transcranial Doppler sonography (TCDS) were
performed prior to and 72 h after the surgery. For the PCT, regions irrigated by
the anterior cerebral artery, the middle cerebral artery (MCA), the posterior
cerebral artery, and the basal ganglia were selected, as well as the mean values
for the hemisphere. The sonography was performed in the sitting and the supine
position for the MCA and internal carotid. The velocities, pulsatility index,
resistance index, and Lindegaard ratio (LR) were obtained, as well as a variation
value for the LR (DeltaLR = LR sitting - LR supine). Fifty-four patients were
included in the study. Of these, 23 (42.6%) patients presented with objective
improvement. The mean cerebral blood flow of the defective side (m-CBF-d)
increased from 101.86 to 117.17 mL/100 g/min (p = 0.064), and the m-CBF of the
healthy side (m-CBF-h) increased from 128.14 to 145.73 mL/100 g/min (p = 0.028).
With regard to the TCDS, the DeltaLR was greater on the defective side prior the
surgery in those patients who showed improvement (1.295 vs. -0.714; p = 0.002).
Cranioplasty resulted in clinical improvement in 40% of the patients, with an
increase in the post-surgical CBF. The larger variations in the LR when the
patient is moved from the sitting to the supine position might predict the
clinical improvement.
PMID- 26541367
TI - Enantioselective Preparation, Conformational Analysis and Absolute Configuration
of Highly Substituted Aziridines.
AB - The first example of organocatalytic aziridination reaction of alpha-substituted
alpha,beta-unsaturated ketones is presented. The reaction was found to be highly
enantio- and diastereoselective, yielding N-tosylated aziridines. Low-temperature
nuclear magnetic resonance (NMR) spectra allowed for the determination of the N
inversion barrier, that was found to be quite lower with respect to unsubstituted
aziridines. A thorough conformational analysis supported by low-temperature NMR
data allowed for the determination of the absolute configuration of the main
stereoisomer by means of time-dependent Density Functional Theory simulation of
the electronic circular dichroism spectra.
PMID- 26541368
TI - Biomimetic Synthesis and Studies Toward Enantioselective Synthesis of Flindersial
Alkaloids.
PMID- 26541369
TI - Exoenzymes and metabolites related to the nematicidal effect of rhizobacteria on
Xiphinema index Thorne & Allen.
AB - AIMS: To identify enzymes and metabolites in the rhizobacteria filtrates that
have a nematicidal effect on Xiphinema index and perform molecular
characterization of the strains evaluated. METHODS AND RESULTS: A series of four
bacteria selected for their nematicidal potential were considered for in vitro,
biochemical and molecular studies. The direct effect of the bacterial filtrates
was evaluated in vitro on X. index juveniles and adults. Hydrogen sulphide and
hydrogen cyanide liberation and protease, chitinase, collagenase and lipase
activity were verified in the strains. Up to five housekeeping genes and one ITS
16S-23S rRNA were analysed. All bacterial filtrates presented 54-100% mortality
when evaluated during up to 72 h of nematode exposure. Strains presented protease
activity; two of them (strains FB833T and FR203A) showed reliable collagenase and
chitinase activities, respectively, and three of them showed strong lipolytic
activity (FB833T, FR203A and FS213P). Strain Bacillus megaterium FB133M had no
lipase activity and presented the lowest nematicidal effect. Bacillus
amyloliquefaciens FR203A had the largest lethal effect. CONCLUSION: The
rhizobacteria strains evaluated in this study possess nematicidal compounds,
which may offer an interesting alternative for X. index control. SIGNIFICANCE AND
IMPACT OF THE STUDY: This is the first report of exoenzymes and metabolites
associated with nematicidal effect of rhizobacteria on X. index, which can be a
possible alternative for control of this plant-parasitic nematode.
PMID- 26541370
TI - Evaluation of DNA extraction kits and phylogenetic diversity of the porcine
gastrointestinal tract based on Illumina sequencing of two hypervariable regions.
AB - A robust DNA extraction method is important to identify the majority of
microorganisms present in environmental microbial communities and to enable a
consistent comparison between different studies. Here, 15 manual and four
automated commercial DNA extraction kits were evaluated for their efficiency to
extract DNA from porcine feces and ileal digesta samples. DNA yield, integrity,
and purity varied among the different methods. Terminal restriction fragment
length polymorphism (T-RFLP) and Illumina amplicon sequencing were used to
characterize the diversity and composition of the microbial communities. We also
compared phylogenetic profiles of two regions of the 16S rRNA gene, one of the
most used region (V1-2) and the V5-6 region. A high correlation between community
structures obtained by analyzing both regions was observed at genus and family
level for ileum digesta and feces. Based on our findings, we want to recommend
the FastDNA(TM) SPIN Kit for Soil (MP Biomedical) as a suitable kit for the
analyses of porcine gastrointestinal tract samples.
PMID- 26541371
TI - Innovative Strategy on Hydrogen Evolution Reaction Utilizing Activated Liquid
Water.
AB - Splitting water for hydrogen production using light, or electrical energy, is the
most developed 'green technique'. For increasing efficiency in hydrogen
production, currently, the most exciting and thriving strategies are focused on
efficient and inexpensive catalysts. Here, we report an innovative idea for
efficient hydrogen evolution reaction (HER) utilizing plasmon-activated liquid
water with reduced hydrogen-bonded structure by hot electron transfer. This
strategy is effective for all HERs in acidic, basic and neutral systems,
photocatalytic system with a g-C3N4 (graphite carbon nitride) electrode, as well
as in an inert system with an ITO (indium tin oxide) electrode. Compared to
deionized water, the efficiency of HER increases by 48% based on activated water
ex situ on a Pt electrode. Increase in energy efficiency from activated water is
18% at a specific current yield of -20 mA in situ on a nanoscale-granulated Au
electrode. Moreover, the onset potential of -0.023 V vs RHE was very close to the
thermodynamic potential of the HER (0 V). The measured current density at the
corresponding overpotential for HER in an acidic system was higher than any data
previously reported in the literature. This approach establishes a new vista in
clean green energy production.
PMID- 26541372
TI - Assessment of YouTube videos as a source of information on medication use in
pregnancy.
AB - BACKGROUND: When making decisions about medication use in pregnancy, women
consult many information sources, including the Internet. The aim of this study
was to assess the content of publicly accessible YouTube videos that discuss
medication use in pregnancy. METHODS: Using 2023 distinct combinations of search
terms related to medications and pregnancy, we extracted metadata from YouTube
videos using a YouTube video Application Programming Interface. Relevant videos
were defined as those with a medication search term and a pregnancy-related
search term in either the video title or description. We viewed relevant videos
and abstracted content from each video into a database. We documented whether
videos implied each medication to be "safe" or "unsafe" in pregnancy and compared
that assessment with the medication's Teratogen Information System (TERIS)
rating. RESULTS: After viewing 651 videos, 314 videos with information about
medication use in pregnancy were available for the final analyses. The majority
of videos were from law firms (67%), television segments (10%), or physicians
(8%). Selective serotonin reuptake inhibitors (SSRIs) were the most common
medication class named (225 videos, 72%), and 88% of videos about SSRIs indicated
that they were unsafe for use in pregnancy. However, the TERIS ratings for
medication products in this class range from "unlikely" to "minimal" teratogenic
risk. CONCLUSION: For the majority of medications, current YouTube video content
does not adequately reflect what is known about the safety of their use in
pregnancy and should be interpreted cautiously. However, YouTube could serve as a
platform for communicating evidence-based medication safety information.
PMID- 26541373
TI - Self-affirmation activates brain systems associated with self-related processing
and reward and is reinforced by future orientation.
AB - Self-affirmation theory posits that people are motivated to maintain a positive
self-view and that threats to perceived self-competence are met with resistance.
When threatened, self-affirmations can restore self-competence by allowing
individuals to reflect on sources of self-worth, such as core values. Many
questions exist, however, about the underlying mechanisms associated with self
affirmation. We examined the neural mechanisms of self-affirmation with a task
developed for use in a functional magnetic resonance imaging environment. Results
of a region of interest analysis demonstrated that participants who were affirmed
(compared with unaffirmed participants) showed increased activity in key regions
of the brain's self-processing (medial prefrontal cortex + posterior cingulate
cortex) and valuation (ventral striatum + ventral medial prefrontal cortex)
systems when reflecting on future-oriented core values (compared with everyday
activities). Furthermore, this neural activity went on to predict changes in
sedentary behavior consistent with successful affirmation in response to a
separate physical activity intervention. These results highlight neural processes
associated with successful self-affirmation, and further suggest that key
pathways may be amplified in conjunction with prospection.
PMID- 26541374
TI - Modeling sequence and quasi-uniform assumption in computational neurostimulation.
AB - Computational neurostimulation aims to develop mathematical constructs that link
the application of neuromodulation with changes in behavior and cognition. This
process is critical but daunting for technical challenges and scientific
unknowns. The overarching goal of this review is to address how this complex task
can be made tractable. We describe a framework of sequential modeling steps to
achieve this: (1) current flow models, (2) cell polarization models, (3) network
and information processing models, and (4) models of the neuroscientific
correlates of behavior. Each step is explained with a specific emphasis on the
assumptions underpinning underlying sequential implementation. We explain the
further implementation of the quasi-uniform assumption to overcome technical
limitations and unknowns. We specifically focus on examples in electrical
stimulation, such as transcranial direct current stimulation. Our approach and
conclusions are broadly applied to immediate and ongoing efforts to deploy
computational neurostimulation.
PMID- 26541375
TI - Multilevel computational models for predicting the cellular effects of
noninvasive brain stimulation.
AB - Since 2000, there has been rapid acceleration in the use of tDCS in both clinical
and cognitive neuroscience research, encouraged by the simplicity of the
technique (two electrodes and a battery powered stimulator) and the perception
that tDCS protocols can be simply designed by placing the anode over the cortex
to "excite," and the cathode over cortex to "inhibit." A specific and predictive
understanding of tDCS needs experimental data to be placed into a quantitative
framework. Biologically constrained computational models provide a useful
framework within which to interpret results from empirical studies and generate
novel, testable hypotheses. Although not without caveats, computational models
provide a tool for exploring cognitive and brain processes, are amenable to
quantitative analysis, and can inspire novel empirical work that might be
difficult to intuit simply by examining experimental results. We approach
modeling the effects of tDCS on neurons from multiple levels: modeling the
electric field distribution, modeling single-compartment effects, and finally
with multicompartment neuron models.
PMID- 26541376
TI - Experiments and models of cortical oscillations as a target for noninvasive brain
stimulation.
AB - Noninvasive brain stimulation is attracting substantial attention due to its
potential for safe and effective modulation of brain network dynamics. Promising
applications include cognitive enhancement and treatment of disorders of the
central nervous system. Recently, targeting of cortical oscillations by brain
stimulation with periodic electromagnetic waveforms has emerged as a particularly
appealing approach for understanding the causal role of cortical oscillations in
human cognition and behavior. Two main approaches exist: repetitive transcranial
magnetic stimulation (rTMS) and transcranial alternating current stimulation
(tACS); rTMS is more widely used as a research and clinical tool but only
recently has it been suggested to selectively engage frequency-matched cortical
oscillations. In contrast, tACS is an offspring of transcranial direct current
stimulation and has been introduced with the specific aim of engaging cortical
oscillations. One of the main lessons that the field of noninvasive brain
stimulation has learned over the last few years is that without a mechanistic
understanding of how stimulation engages neuronal circuits, little progress can
be made toward the rational design of individualized, adaptive stimulation
treatments. Computer simulations of cellular and network models from the field of
computational neuroscience are a key tool to gain such a mechanistic
understanding. However, the insights gained from such modeling strategies can
only be fully leveraged when used in tight conjunction with experimental
approaches in both human and animal model studies. Here, I provide an in-depth
review of the pioneering experimental and computational studies that together
provide the basis for understanding how periodic noninvasive brain stimulation
targets cortical oscillations to enable the rational design of brain stimulation
treatments for disorders associated with specific deficits in cortical
oscillations.
PMID- 26541377
TI - Understanding the nonlinear physiological and behavioral effects of tDCS through
computational neurostimulation.
AB - Despite the success of noninvasive brain stimulation (NIBS), the mechanism of
action through which different stimulation techniques interact with information
processing in targeted neural circuits largely remains unknown. Applying
neurostimulation in silico to computational models with biophysical plausibility
provides one route to interrogate the possible mechanisms through which
stimulation interacts with neural circuits, and generate predictions about the
resultant behavior. Here, we address the recent observation that the
physiological and behavioral effects of transcranial direct current stimulation
(tDCS) might be nonlinear with regard to stimulation intensity or duration. We
simulate neurostimulation in an established, biophysically informed neural
network attractor model that generates simple behavioral choices and thus allows
for assessing the impact of stimulation on both neural dynamics and behavior. We
demonstrate that nonlinear effects of stimulation intensity on the accuracy and
decision time of the model can arise from a limit on the integration rate of the
network, nonlinear effects of stimulation on neural firing rates before the onset
of the stimulus, and the inhibitory effect of hyperpolarizing stimulation on
pyramidal neurons. We thus present a detailed modeling treatment of nonlinear
tDCS effects during a behavioral task, and provide detailed hypotheses about the
neural causes that lead to observed nonlinear behavioral effects during
stimulation. This framework can provide a blueprint for future work on the neural
and behavioral consequences of NIBS in health and disease.
PMID- 26541378
TI - Modeling TMS-induced I-waves in human motor cortex.
AB - Despite many years of research, it is still unknown how exactly transcranial
magnetic stimulation activates cortical circuits. A recent computational model by
Rusu et al. (2014) has attempted to shed light on potential underlying mechanisms
and has successfully explained key experimental findings on I-wave physiology.
Here, we critically discuss this model, point out some of its shortcomings, and
suggest a number of extensions that may be necessary for it to capture additional
existing and emerging data on the physiology of I-waves.
PMID- 26541379
TI - Deep brain stimulation for neurodegenerative disease: a computational blueprint
using dynamic causal modeling.
AB - Advances in deep brain stimulation (DBS) therapeutics for neurological and
psychiatric disorders represent a new clinical avenue that may potentially
augment or adjunct traditional pharmacological approaches to disease treatment.
Using modern molecular biology and genomics, pharmacological development proceeds
through an albeit lengthy and expensive pipeline from candidate compound to
preclinical and clinical trials. Such a pathway, however, is lacking in the field
of neurostimulation, with developments arising from a selection of early sources
and motivated by diverse fields including surgery and neuroscience. In this
chapter, I propose that biophysical models of connected brain networks optimized
using empirical neuroimaging data from patients and healthy controls can provide
a principled computational pipeline for testing and developing neurostimulation
interventions. Dynamic causal modeling (DCM) provides such a computational
framework, serving as a method to test effective connectivity between and within
regions of an active brain network. Importantly, the methodology links brain
dynamics with behavior by directly assessing experimental task effects under
different behavioral or cognitive sets. Therefore, healthy brain dynamics in
circuits of interest can be defined mathematically with stimulation interventions
in pathological counterparts simulated with the goal of restoring normal
functionality. In this chapter, I outline the dynamic characterization of brain
circuits using DCM and propose a blueprint for testing in silico, the effects of
stimulation in neurodegenerative disorders affecting cognition. In particular,
the models can be simulated to test whether neuroimaging correlates of
nondiseased brain dynamics can be reinstantiated in a pathological setting using
DBS. Thus, the key advantage of this framework is that distributed effects of DBS
on neural circuitry and network connectivity can be predicted in silico. The
chapter also includes a review of how DCM has been used to characterize the
effects of DBS in Parkinson's disease.
PMID- 26541381
TI - Computational neurostimulation for Parkinson's disease.
AB - Deep brain stimulation (DBS) has had a remarkable success in treating a range of
neurological and psychiatric conditions. However, efficacy remains suboptimal and
patients can often develop side effects. The underlying causes of both the
beneficial and detrimental effects of DBS remain incompletely understood which is
delaying improvements to current DBS therapies and limiting developments of
future treatments. Advancing this mechanistic understanding will require the
design of appropriate models that can formalize the interaction between DBS and
the cortico-basal-ganglia network. Recent advances in biophysical modeling have
provided important insights into the impact of stimulation at local (neuronal
membranes, electrical fields), intermediate (neural networks), and higher (phase,
synchronization) levels of description. These have made important contributions
to explaining neurophysiological changes during DBS (e.g., spikes, local field
potentials), but such models generally do not seek to make accurate predictions
about the resultant consequences on behavior. We argue that further advance will
rest on models that focus on the specific computations that are performed in
cortico-basal-ganglia networks, and address how DBS alters these computations and
how this in turn modifies behavior. For the emergent field of computational
modeling as applied to Parkinson's disease, we propose that models at mesoscopic
levels of description are likely to be most valuable, with a particular focus on
the role of oscillations and their relationship to behavior. It is therefore
hoped that computational neurostimulation will usher in a new era of rapid,
rationally derived DBS advancements for neurological and psychiatric disorders.
PMID- 26541380
TI - Model-based analysis and design of waveforms for efficient neural stimulation.
AB - The design space for electrical stimulation of the nervous system is extremely
large, and because the response to stimulation is highly nonlinear, the selection
of stimulation parameters to achieve a desired response is a challenging problem.
Computational models of the response of neurons to extracellular stimulation
allow analysis of the effects of stimulation parameters on neural excitation and
provide an approach to select or design optimal parameters of stimulation. Here,
I review the use of computational models to understand the effects of stimulation
waveform on the energy efficiency of neural excitation and to design novel
stimulation waveforms to increase the efficiency of neural stimulation.
PMID- 26541382
TI - Computational modeling of neurostimulation in brain diseases.
AB - Neurostimulation as a therapeutic tool has been developed and used for a range of
different diseases such as Parkinson's disease, epilepsy, and migraine. However,
it is not known why the efficacy of the stimulation varies dramatically across
patients or why some patients suffer from severe side effects. This is largely
due to the lack of mechanistic understanding of neurostimulation. Hence,
theoretical computational approaches to address this issue are in demand. This
chapter provides a review of mechanistic computational modeling of brain
stimulation. In particular, we will focus on brain diseases, where mechanistic
models (e.g., neural population models or detailed neuronal models) have been
used to bridge the gap between cellular-level processes of affected neural
circuits and the symptomatic expression of disease dynamics. We show how such
models have been, and can be, used to investigate the effects of neurostimulation
in the diseased brain. We argue that these models are crucial for the mechanistic
understanding of the effect of stimulation, allowing for a rational design of
stimulation protocols. Based on mechanistic models, we argue that the development
of closed-loop stimulation is essential in order to avoid inference with healthy
ongoing brain activity. Furthermore, patient-specific data, such as neuroanatomic
information and connectivity profiles obtainable from neuroimaging, can be
readily incorporated to address the clinical issue of variability in efficacy
between subjects. We conclude that mechanistic computational models can and
should play a key role in the rational design of effective, fully integrated,
patient-specific therapeutic brain stimulation.
PMID- 26541383
TI - Understanding the biophysical effects of transcranial magnetic stimulation on
brain tissue: the bridge between brain stimulation and cognition.
AB - Transcranial magnetic stimulation (TMS) is rapidly being adopted in neuroscience,
medicine, psychology, and biology, for basic research purposes, diagnosis, and
therapy. However, a coherent picture of how TMS affects neuronal processing, and
especially how this in turn influences behavior, is still largely unavailable
despite several studies that investigated aspects of the underlying
neurophysiological effects of TMS. Perhaps as a result from this "black box
approach," TMS studies show a large interindividual variability in applied
paradigms and TMS treatment outcome can be quite variable, hampering its general
efficacy and introduction into the clinic. A better insight into the biophysical,
neuronal, and cognitive mechanisms underlying TMS is crucial in order to apply it
effectively in the clinic and to increase our understanding of brain-behavior
relationship. Therefore, computational and experimental efforts have been started
recently to understand and control the effect TMS has on neuronal functioning.
Especially, how the brain shapes magnetic fields induced by a TMS coil, how
currents are generated locally in the cortical surface, and how they interact
with complex functional neuronal circuits within and between brain areas are
crucial to understand the observed behavioral changes and potential therapeutic
effects resulting from TMS. Here, we review the current knowledge about the
biophysical underpinnings of single-pulse TMS and argue how to move forward to
fully understand and exploit the powerful technique that TMS can be.
PMID- 26541384
TI - Modeling the effects of noninvasive transcranial brain stimulation at the
biophysical, network, and cognitive level.
AB - Noninvasive transcranial brain stimulation (NTBS) is widely used to elucidate the
contribution of different brain regions to various cognitive functions. Here we
present three modeling approaches that are informed by functional or structural
brain mapping or behavior profiling and discuss how these approaches advance the
scientific potential of NTBS as an interventional tool in cognitive neuroscience.
(i) Leveraging the anatomical information provided by structural imaging, the
electric field distribution in the brain can be modeled and simulated.
Biophysical modeling approaches generate testable predictions regarding the
impact of interindividual variations in cortical anatomy on the injected electric
fields or the influence of the orientation of current flow on the physiological
stimulation effects. (ii) Functional brain mapping of the spatiotemporal neural
dynamics during cognitive tasks can be used to construct causal network models.
These models can identify spatiotemporal changes in effective connectivity during
distinct cognitive states and allow for examining how effective connectivity is
shaped by NTBS. (iii) Modeling the NTBS effects based on neuroimaging can be
complemented by behavior-based cognitive models that exploit variations in task
performance. For instance, NTBS-induced changes in response speed and accuracy
can be explicitly modeled in a cognitive framework accounting for the speed
accuracy trade-off. This enables to dissociate between behavioral NTBS effects
that emerge in the context of rapid automatic responses or in the context of slow
deliberate responses. We argue that these complementary modeling approaches
facilitate the use of NTBS as a means of dissecting the causal architecture of
cognitive systems of the human brain.
PMID- 26541385
TI - Computational neurostimulation in basic and translational research.
PMID- 26541387
TI - Global refugees and the long road: their hope and our role!
PMID- 26541386
TI - Evolutionarily Conserved Sequence Features Regulate the Formation of the FG
Network at the Center of the Nuclear Pore Complex.
AB - The nuclear pore complex (NPC) is the portal for bidirectional transportation of
cargos between the nucleus and the cytoplasm. While most of the structural
elements of the NPC, i.e. nucleoporins (Nups), are well characterized, the exact
transport mechanism is still under much debate. Many of the functional Nups are
rich in phenylalanine-glycine (FG) repeats and are believed to play the key role
in nucleocytoplasmic transport. We present a bioinformatics study conducted on
more than a thousand FG Nups across 252 species. Our results reveal the
regulatory role of polar residues and specific sequences of charged residues,
named 'like charge regions' (LCRs), in the formation of the FG network at the
center of the NPC. Positively charged LCRs prepare the environment for negatively
charged cargo complexes and regulate the size of the FG network. The low number
density of charged residues in these regions prevents FG domains from forming a
relaxed coil structure. Our results highlight the significant role of polar
interactions in FG network formation at the center of the NPC and demonstrate
that the specific localization of LCRs, FG motifs, charged, and polar residues
regulate the formation of the FG network at the center of the NPC.
PMID- 26541388
TI - Gender differences in factors associated with prehospital delay among acute
coronary syndrome patients in Pakistan.
AB - PURPOSE: To identify gender differences in prehospital delay time (PDT) and its
associated factors among acute coronary syndrome (ACS) patients. DESIGN:
Descriptive cross-sectional comparative study. METHODS: This study was conducted
among 249 ACS patients at two tertiary care hospitals of a large metropolitan
city of Pakistan. Data were collected through the modified Response to Symptoms
Questionnaire. RESULTS: The median PDT of women was found to be 7 hours, compared
to 3.5 hours among men (p = .001). Results of the regression analysis indicated
that most women delayed because of social factors, such as attendants' responses
to their symptoms (p = .002), and because they were worried about expenses
required for the treatment (p = .002); yet, most men delayed owing to individual
factors, such as waiting for symptoms to subside (p< .001), and not recognizing
the symptoms as being cardiac related (p< .001). Having anxiety and lack of
knowledge about symptoms was associated with extended PDT among both genders.
CONCLUSION: Women delayed longer than men in seeking treatment for their ACS
symptoms. Different factors were associated with PDT in women and men. This study
may provide important insights for designing interventional studies to reduce PDT
in Pakistani ACS patients.
PMID- 26541389
TI - President's message: ethical practice in transcultural nursing: how are we doing?
PMID- 26541391
TI - Acquisition, Analysis, and Sharing of Data in 2015 and Beyond: A Survey of the
Landscape: A Conference Report From the American Heart Association Data Summit
2015.
AB - BACKGROUND: A 1.5-day interactive forum was convened to discuss critical issues
in the acquisition, analysis, and sharing of data in the field of cardiovascular
and stroke science. The discussion will serve as the foundation for the American
Heart Association's (AHA's) near-term and future strategies in the Big Data area.
The concepts evolving from this forum may also inform other fields of medicine
and science. METHODS AND RESULTS: A total of 47 participants representing
stakeholders from 7 domains (patients, basic scientists, clinical investigators,
population researchers, clinicians and healthcare system administrators,
industry, and regulatory authorities) participated in the conference.
Presentation topics included updates on data as viewed from conventional medical
and nonmedical sources, building and using Big Data repositories, articulation of
the goals of data sharing, and principles of responsible data sharing.
Facilitated breakout sessions were conducted to examine what each of the 7
stakeholder domains wants from Big Data under ideal circumstances and the
possible roles that the AHA might play in meeting their needs. Important areas
that are high priorities for further study regarding Big Data include a
description of the methodology of how to acquire and analyze findings, validation
of the veracity of discoveries from such research, and integration into
investigative and clinical care aspects of future cardiovascular and stroke
medicine. Potential roles that the AHA might consider include facilitating a
standards discussion (eg, tools, methodology, and appropriate data use),
providing education (eg, healthcare providers, patients, investigators), and
helping build an interoperable digital ecosystem in cardiovascular and stroke
science. CONCLUSION: There was a consensus across stakeholder domains that Big
Data holds great promise for revolutionizing the way cardiovascular and stroke
research is conducted and clinical care is delivered; however, there is a clear
need for the creation of a vision of how to use it to achieve the desired goals.
Potential roles for the AHA center around facilitating a discussion of standards,
providing education, and helping establish a cardiovascular digital ecosystem.
This ecosystem should be interoperable and needs to interface with the rapidly
growing digital object environment of the modern-day healthcare system.
PMID- 26541392
TI - Time-Course Analysis of Flow Mediated Dilation for the Evaluation of Endothelial
Function After a High-Fat Meal in African Americans.
AB - BACKGROUND: Flow-mediated dilation (FMD) is used to assess endothelial function
through changes in vascular diameter after hyperemia. High-fat meal (HFM) has
been shown to induce endothelial dysfunction; recent studies, however, reported
conflicting results in obese African American women (AAW). Differences in the
method used to analyze FMD may explain these discrepancies. METHODS AND RESULTS:
In protocol 1, we assessed the time course of FMD and compared the repeatability
of FMD using the individual maximum peak dilation (FMDpeak) and the dilation at
60 seconds (FMD60). Sixteen AAW (age, 42+/-10.4 years; body mass index [BMI],
39+/-5.8 kg/m(2)) were studied on 2 occasions, 4 weeks apart, under fasting
conditions (study 1 and study 2). In protocol 2, we used the most repeatable
measurement from protocol 1 to assess changes in endothelial function after an
HFM in 17 AAW (agen 42+/-11.1 years; BMIn 38+/-5.6 kg/m(2)). We found that
FMDpeak was the most repeatable measurement (N=16; study 1, 5.31+/-3.12% and
study 2, 5.80+/-2.91%; r=0.94). After an HFM, the baseline brachial artery
diameter significantly increased at 2 hours (0.10 mm; 95% confidence interval
[CI], 0.01-0.18; P=0.03) and at 4 hours (0.17 mm; 95% CI, 0.09-0.25; P<0.001). At
2 hours, the FMDpeak decreased compared with pre-HFM (-1.76; 95% CI, -3.55-0.02;
P<=0.05). CONCLUSIONS: The individual's maximum peak dilation after hyperemia is
the most consistent measure to assess the effect of an HFM on endothelial
function. Endothelial dysfunction occurred at 2 hours after an HFM in AAW.
CLINICAL TRIAL REGISTRATION: URL: https://clinicaltrials.gov/ Unique identifiers:
NCT01334554 and NCT02126735.
PMID- 26541393
TI - Patterns of Anticoagulation Use and Cardioembolic Risk After Catheter Ablation
for Atrial Fibrillation.
AB - BACKGROUND: There is significant practice variation in oral anticoagulation (OAC)
use following catheter ablation for atrial fibrillation. It is not clear whether
the risk of cardioembolism increases after discontinuation of OAC following
catheter ablation. METHODS AND RESULTS: We identified 6886 patients within a
large national administrative claims database who underwent catheter ablation for
atrial fibrillation between January 1, 2005, and September 30, 2014. We assessed
the effect of time off of OAC by CHA2DS2-VASc score (after adjusting for other
comorbidities) on risk of cardioembolism, using Cox proportional hazards models.
There was an increase in the use of non-vitamin K OAC after ablation from 0% in
2005 to 69.8% in 2014. OAC discontinuation was high, with only 60.5% and 31.3% of
patients remaining on OAC at 3 and 12 months, respectively. The rate of
discontinuation was higher in low-risk patients (82% versus 62.5% at 12 months
for CHA2DS2-VASc 0-1 versus >=2, respectively; P<0.001). Stroke occurred in 1.4%
of patients with CHA2DS2-VASc >=2 and 0.3% of those with CHA2DS2-VASc 0 or 1 over
the study follow-up. The risk of cardioembolism in the first 3 months after
ablation was increased among those with any time off OAC (hazard ratio 8.06 [95%
CI 1.53-42.3], P<0.05). The risk of cardioembolism beyond 3 months was increased
with OAC discontinuation among high-risk patients (hazard ratio 2.48 [95% CI 1.11
5.52], P<0.05) but not low-risk patients. CONCLUSION: The overall risk of stroke
in postablation patients is low; however, OAC discontinuation after ablation is
common and is associated with increased risk of cardioembolism for all patients
within the first 3 months and for high-risk patients in the long term. Continuing
OAC for at least 3 months in all patients and indefinitely in high-risk patients
appears to be the safest strategy.
PMID- 26541395
TI - Assessment of voice related quality of life and its correlation with
socioeconomic status after total laryngectomy.
AB - BACKGROUND: After total laryngectomy for laryngeal cancer, the major determinants
of QOL is the patient's voice related quality of life (V-RQOL). The primary aim
of this study was to assess the V-RQOL and impact of socioeconomic status over it
in Indian population by using two validated scales [voice handicap index (VHI)
and V-RQOL questionnaires]. METHODS: Total 104 patients underwent total
laryngectomy but 71 were eligible for study. Patients filled the VHI and V-RQOL
questionnaires after completion of 1 year of usage of the TEP voice. The
socioeconomic status of the patients was calculated according to various domains
related to their life and were divided into lower and higher status. RESULTS: A
total of 76.1% patients had VHI score between 0 to 30 (minimal voice handicap),
19.7% had score between 31 to 60 (moderate voice handicap) and only 4.2% patients
had VHI score more than 61 (serious voice handicap). On V-RQOL scores, 16.9%
patients had score between 10 to 15 (excellent), 40.8% patients, between 16 to 20
(very good), 22.5% patients, between 21 and 25 (good voice), 15.5% patients,
between 26 and 30 (fair) and only 4.2% patients scored more than 30 with poor
quality of voice. Patients with lower socioeconomic group had better V-RQOL than
with high socioeconomic group. CONCLUSIONS: VHI and V-RQOL scores in our series
were superior to other studies due to major population with lower socioeconomic
status and better social support which exists in our society.
PMID- 26541394
TI - Rapid and robust generation of long-term self-renewing human neural stem cells
with the ability to generate mature astroglia.
AB - Induced pluripotent stem cell bear the potential to differentiate into any
desired cell type and hold large promise for disease-in-a-dish cell-modeling
approaches. With the latest advances in the field of reprogramming technology,
the generation of patient-specific cells has become a standard technology.
However, directed and homogenous differentiation of human pluripotent stem cells
into desired specific cell types remains an experimental challenge. Here, we
report the development of a novel hiPSCs-based protocol enabling the generation
of expandable homogenous human neural stem cells (hNSCs) that can be maintained
under self-renewing conditions over high passage numbers. Our newly generated
hNSCs retained differentiation potential as evidenced by the reliable generation
of mature astrocytes that display typical properties as glutamate up-take and
expression of aquaporin-4. The hNSC-derived astrocytes showed high activity of
pyruvate carboxylase as assessed by stable isotope assisted metabolic profiling.
Moreover, using a cell transplantation approach, we showed that grafted hNSCs
were not only able to survive but also to differentiate into astroglial in vivo.
Engraftments of pluripotent stem cells derived from somatic cells carry an
inherent tumor formation potential. Our results demonstrate that hNSCs with self
renewing and differentiation potential may provide a safer alternative strategy,
with promising applications especially for neurodegenerative disorders.
PMID- 26541396
TI - Cut points for mild, moderate, and severe pain among cancer and non-cancer
patients: a literature review.
AB - Defining cut points (CPs) for varying levels of pain intensity is important for
assessing changes in patient's functional status, and guiding the development and
evaluation of treatment options. We aimed to summarize CPs identified in the
literature for mild, moderate, and severe pain on the numeric rating scale (NRS),
and recommend optimal CPs for cancer and non-cancer patients. We searched MEDLINE
and EMBASE (inception to May 2015) for studies that used CPs to classify pain
intensity on the NRS among patients with cancer or non-cancer conditions leading
to acute or chronic pain. A CP was defined as the upper bound of a mild or
moderate pain category. Of 1,556 identified articles, 27 were included for
review. Among patients with cancer pain, mild-moderate pain CPs ranged from 1 to
4 (mean, 3.5+/-1.08), with CP4 being the most recommended CP (80%). For moderate
severe pain, CPs ranged from 4 to 7 (mean, 6.2+/-0.92), and CP6 (50%) was the
optimal CPs. Among patients with non-cancer pain, mild-moderate pain CPs ranged
from 2 to 5 (mean, 3.62+/-0.78), and CP4 was the most frequently used CP (52.9%).
For moderate-severe non-cancer pain, CPs ranged from 4 to 8 (mean, 6.5+/-0.99),
and CP6 (41.2%) was the most frequently recommended CP. A wide range of CPs for
mild, moderate, and severe pain categories were identified in the literature
among both cancer and non-cancer patient populations. Further studies are needed
to delineate more accurate and precise CPs for pain intensity.
PMID- 26541397
TI - Methods of reducing pain during bone marrow biopsy: a narrative review.
AB - Bone marrow examination plays a crucial role in the diagnosis and management of
various hematological and systemic diseases. Even though the procedure has been
carried out for decades, it remains an extremely painful and uncomfortable
experience for a majority of patients. This paper reviews the different
strategies used to provide analgesia and summarizes the advantages and drawbacks
of one strategy over the other. A literature review was carried out addressing
the different approaches to providing pain relief during bone marrow aspiration
and biopsy. Several different methods, procedure modifications and protocols are
employed at various centers but pain control and analgesia remain incomplete.
Local infiltration with lidocaine or similar local analgesics is the standard at
most centers. Although there is limited data, there are several studies in
literature demonstrating the pain relieving effects of different methods and
drugs when used with local anesthetics. Sedation, usually using benzodiazepines,
reduces anticipatory anxiety, provides analgesia and also short term amnesia.
Combinations of different agents not only yield potent effects but also reduce
the required dose of each individual drug, minimizing adverse effects. Non
pharmacological factors also play key roles. Providing patients with complete and
comprehensible information is vital to ensure the least amount of discomfort
during the biopsy. Distraction techniques, such as cognitive behavioral therapy,
hypnosis and music therapy, may also play a role in minimizing pain.
PMID- 26541398
TI - Utilization of palliative care consultation service by surgical services.
AB - BACKGROUND: Palliative medicine was recognized as a unique medical specialty in
2006. Since that time, the number of hospital-based palliative care services has
increased dramatically. It is unclear how palliative care consultation services
(PCCS) are utilized by surgical services. The purpose of this study was to
examine utilization of PCCS by surgical services compared to medical services at
the University of New Mexico. METHODS: A database of palliative care
consultations performed at University of New Mexico Hospital between 2009 and
2013 was queried to identify consultations requested by surgical vs. medical
services. Demographic, clinical, and outcome variables were compared. RESULTS: A
total of 521 consultations were analyzed: 441 (85%) consultations from medical
and 80 (15%) consultations from surgical services. Surgical patients were older
than medical patients and more likely to be in an intensive care unit (ICU) at
the time of consultation. There was no difference between referring services in
indication for palliative care consultation or time from hospital admission to
consultation. Surgical patients were more likely to die in the hospital compared
to medical patients. Among patients discharged from the hospital alive, there was
no difference between the groups in discharge disposition. More patients in both
groups had a change from full code to do-not-resuscitate (DNR) status following
palliative care consultation. CONCLUSIONS: Referrals for palliative care
consultations are much less common from surgical than medical services.
Characteristics of surgical patients suggest that palliative care consultations
are reserved for older patients, critically ill patients, and those more likely
to be at end-of-life. Our findings suggest the possible need for increased
palliative care consultations among less critically ill patients and/or those
with an improved prospect of recovery.
PMID- 26541399
TI - American College of Surgeons National Surgical Quality Improvement Program as a
quality-measurement tool for advanced cancer patients.
AB - BACKGROUND: Multiple studies have shown the significantly increased post
operative morbidity and mortality of patients undergoing palliative operations.
It has been proposed by some authors that the American College of Surgeons
National Surgical Quality Improvement Program (ACS-NSQIP) database can be used
reliably to develop risk-calculators or as an aid for clinical decision-making in
advanced cancer patients. ACS-NSQIP is a population-based database that by design
only captures outcomes data for the first 30-day following an operation. We
considered the suitability of these data as a tool for decision-making in the
advanced cancer patient. METHODS: Six-year retrospective review of a single
institution's ACS-NSQIP database for cases identified as "Disseminated Cancer".
Procedures performed with palliative intent were identified and analyzed.
RESULTS: Of 7,763 patients within the ACS-NSQIP database, 138 (1.8%) were
identified as having "Disseminated Cancer". Of the remaining 7,625 entries only
4,486 contained complete survival data for analysis. Thirty-day mortality within
the "Disseminated Cancer" group was higher when compared to all other surgical
patients (7.9% vs. 0.9%, P<0.001). Explicit chart review of these 138 patients
revealed that 32 (23.2%) had undergone operations with palliative intent. Overall
survival for palliative and non-palliative operations was significantly different
(104 vs. 709 days, P<0.001). When comparing palliative to non-palliative
procedures using ACS-NSQIP data, we were unable to detect a difference in 30-day
mortality (9.4% vs. 7.5%, P=0.72). CONCLUSIONS: Calculations utilizing ACS-NSQIP
data fail to demonstrate the increased mortality associated with palliative
operations. Patients diagnosed with advanced cancer are not adequately
represented within the database due to the limited number of cases collected.
Also, more suitable outcomes measures for palliative operations such as pain
relief, functional status, and quality of life, are not captured. Therefore, the
sole use of thirty-day morbidity and mortality data contained in the ACS-NSQIP
database is insufficient to make sound decisions for surgical palliation.
PMID- 26541400
TI - Debate: single-fraction treatment should be standard in the retreatment of
uncomplicated bone metastases.
AB - There is controversy surrounding the optimal radiotherapy dose-fractionation for
retreatment of painful bone metastases. Two commonly used regimens are 8 Gy in a
single-fraction or 20 Gy in five or eight fractions. Randomized evidence,
including the NCIC SC.20 randomized clinical trial, has failed to standardize
clinical practice. Practitioners who use single-fraction regimens cite patient
convenience, fewer acute adverse effects, and better cost-effectiveness.
Practitioners who prefer multiple fractions raise questions about the
interpretation of data that justifies single-fraction treatment, and the
possibility that single-fraction treatment may provide inferior pain relief.
Given this clinical controversy, should single-fraction irradiation be standard
in retreatment of uncomplicated bone metastases? In this article, two teams
debate both sides of the argument with commentary to summarize the relevant
issues. The conclusion from the debate is that the "standard" treatment should be
individualized to the patient with shared-decision making between the oncologist,
patient and family members. In a cancer patient with poor performance status and
short life expectancy, single-fraction repeat radiotherapy may be preferred; in a
patient with a prolonged disease course, perhaps multiple fraction retreatments
would be preferred. The choice between different fractionation schemes depends on
an assessment of individual patient factors, tumour factors and unique patient
circumstances.
PMID- 26541401
TI - Re-irradiation for painful bone metastases: evidence-based approach.
AB - The prognosis of patients with bone metastases has improved with the advent of
increasingly effective systemic treatment and better supportive care. A growing
number of bone metastases patients now outlive the duration of benefits from
their initial treatment of radiotherapy (RT) while some patients fail to
initially respond to RT. As such, re-irradiation (re-RT) may be required. The
current review updates the literature on findings in the area of re-RT. In
particular, the recent publication of the National Cancer Institute of Canada
Clinical Trials Group (NCIC CTG) Symptom Control (SC20) trial shows that an 8 Gy
treatment in a single fraction for re-RT is non-inferior and less toxic than 20
Gy in multiple fractions. Furthermore, patients responding to re-RT have
experienced superior quality of life (QoL) and complain of less functional
interference from pain; this provides a strong case in support of bone metastases
patients being offered re-treatment. However, despite such findings, some
specific patients will never respond to initial radiation or re-RT. New evidence
suggests significant differences in bone markers between responders and non
responders, thus opening the possibility for further research into the use of
such biomarkers for predicting prognosis and for the guidance of consequent
treatment decisions.
PMID- 26541402
TI - Radiotherapy for neuropathic pain due to bone metastases.
AB - Neuropathic bone pain (NBP) due to bone metastases is estimated to affect about
15-25% of cancer patients experiencing pain. Numerous randomized trials have
shown that single or multiple fraction radiotherapy (RT) for painful bone
metastases produces intention-to-treat overall response rates (RRs) of
approximately 60%, but there are few data on RT for NBP, per se. One randomized
trial, Trans Tasman Radiation Oncology Group (TROG) 96.05 showed similar outcomes
for NBP, although a single 8 Gy fraction was not proven to be as effective as
fractionated treatment (20 Gy in five fractions), with RRs of 53% and 61%,
respectively. A recent small, single institution series reported a comparable
overall RR for NBP using a variety of fractionation schedules. Although TROG
96.05 found no statistically significant difference in the rates of re-treatment,
spinal cord compression, or pathological fracture at the index site by arm, one
subsequent single institution retrospective review cautioned against using single
fractions for spine (the skeletal site causing the vast majority of NBP),
particularly in the presence of high "spinal instability" scores. In that study,
single fractions were associated with more spinal adverse events (including
symptomatic vertebral compression fracture and spinal cord compression) than
fractionated schedules. Although re-irradiation of bone metastases is feasible
and moderately effective, there are no outcome data specific to re-treatment of
NBP. In summary, NBP may appropriately be treated with fractionated RT, although
single fractions may also be reasonable for patients with poor performance status
and/or limited expected survival, and in centers with prolonged waiting times for
fractionated treatment, given that re-treatment is possible for either. In
addition, multiple fractions may be preferable for vertebral metastases in the
setting of high "spinal instability" risk.
PMID- 26541403
TI - Brain metastases: advances over the decades.
AB - BACKGROUND: The morbidity and mortality associated with metastatic disease to
brain make this problem a formidable challenge faced by health care providers and
caregivers. The aim of this review is to summarize management for patients with
brain metastases with a particular focus on symptom management. METHODS: A
systematic review of the literature was performed. Outcomes of interest included
survival, brain control (local in field and whole brain). In particular, symptom
control (quality of life, neurological function and neurocognition) was examined.
RESULTS: Steroids provide relief of symptoms due to intra-cerebral edema. The
steroid of choice is dexamethasone. Anti-seizure medications should not be given
as prophylaxis but instead be given for treatment of seizures. Depending on
patient, tumour and treatment factors, management for brain metastases range from
optimal supportive care including the use of steroids, whole brain radiotherapy
(WBRT), radiosurgery, surgery alone or in combination. Surgery or radiosurgery
improves survival for selected patients with single brain metastasis as compared
to WBRT alone. Ongoing research topics include focal postoperative cavity
radiation, focal fractionated regimens, molecular targeted therapies,
chemoprevention of brain metastases and neurocognitive protection (such as neuro
protective drugs and radiation techniques such as hippocampal sparing).
CONCLUSIONS: The management of brain metastases has evolved over the decades.
Other than survival and brain control (local in-field and whole brain), the
outcomes of quality of life and neurocognition are becoming increasingly
important.
PMID- 26541404
TI - An unusual case of metastatic male breast cancer to the nasopharynx-review of
literature.
AB - Metastatic breast carcinoma has been described to the various areas in the head
and neck region. However, these metastases are rarely found in nasopharynx.
Herein we are presenting the first case of male breast carcinoma with the longest
survival secondary to distant metastases in right maxillary sinus and extending
to the nasopharynx with extensive skeletal & lung metastases. Here we present a
case of 65-year-old male with past medical history of right breast carcinoma,
presented clinically with symptoms of recurrent sinusitis. Physical examination
revealed a mass in the nasopharynx, which subsequently proved to be hormonal
receptor positive high-grade adenocarcinoma secondary to metastasis of primary
breast cancer on biopsy. The patient received three cycles of palliative
chemotherapy based on Doxorubicin with Paclitaxel weekly. In spite of that, he
developed pulmonary, liver and bone metastases. Later, treatment regimen was
changed to Gemcitabine, Paclitaxel and injectable Zolendronate with calcium and
vitamin D supplementation. Still he didn't show any improvement and later, he
developed febrile neutropenia. Then, he refused further chemotherapy and died
after 12 months of receiving the best hospice care. Breast cancer is one of the
most common cancers in terms of incidence and mortality; breast cancer deserves
extensive studies and research in different aspects. Breast cancer metastasizing
to nasopharynx would be the last diagnosis that comes to mind for a male patient
presenting with clinical features suggestive of recurrent sinusitis infection. As
recurrent sinusitis is a very common ailment affecting human kind and is mostly
due to benign causes. Metastasis, although rare, should be included in the
differential diagnosis of nasopharyngeal lesion since it may clinically mimic a
benign neoplasm or primary carcinoma. Based on our clinical experience and review
of literature, although it is a very rare possibility in a patient with
sinusitis, still we advise not to overlook any symptoms suggestive of the
etiology of recurrent sinusitis.
PMID- 26541405
TI - Pain and quality of life in palliative care.
PMID- 26541407
TI - Daytime and nighttime wind differentially affects hydraulic properties and
thigmomorphogenic response of poplar saplings.
AB - This study tested how wind in daytime and nighttime affects hydraulic properties
and thigmomorphogenic response of poplar saplings. It shows that wind in daytime
interrupted water balance of poplar plants by aggravating cavitation in the stem
xylem under high xylem tension in the daytime, reducing water potential in midday
and hence reducing gas exchange, including stomatal conductance and CO2
assimilation. The wind blowing in daytime significantly reduced plant growth,
including height, diameter, leaf size, leaf area, root and whole biomass, whereas
wind blowing in nighttime only caused a reduction in radial and height growth at
the early stage compared with the control but decreased height:diameter ratios.
In summary, the interaction between wind loading and xylem tension exerted a
negative impact on water balance, gas exchanges and growth of poplar plants, and
wind in nighttime caused only a small thigmomorphogenic response.
PMID- 26541406
TI - HALT & REVERSE: Hsf1 activators lower cardiomyocyt damage; towards a novel
approach to REVERSE atrial fibrillation.
AB - BACKGROUND: Atrial fibrillation is a progressive arrhythmia, the exact mechanism
underlying the progressive nature of recurrent AF episodes is still unknown.
Recently, it was found that key players of the protein quality control system of
the cardiomyocyte, i.e. Heat Shock Proteins, protect against atrial fibrillation
progression by attenuating atrial electrical and structural remodeling
(electropathology). HALT & REVERSE aims to investigate the correlation between
electropathology, as defined by endo- or epicardial mapping, Heat Shock Protein
levels and development or recurrence of atrial fibrillation following pulmonary
vein isolation, or electrical cardioversion or cardiothoracic surgery. STUDY
DESIGN: This study is a prospective observational study. Three separate study
groups are defined: (1) cardiothoracic surgery, (2) pulmonary vein isolation and
(3) electrical cardioversion. An intra-operative high-resolution epicardial
(group 1) or endocardial (group 2) mapping procedure of the atria is performed to
study atrial electropathology. Blood samples for Heat Shock Protein determination
are obtained at baseline and during the follow-up period at 3 months (group 2), 6
months (groups 1 and 2) and 1 year (group 1 and 2). Tissue samples of the right
and left atrial appendages in patients in group 1 are analysed for Heat Shock
Protein levels and for tissue characteristics. Early post procedural atrial
fibrillation is detected by continuous rhythm monitoring, whereas late post
procedural atrial fibrillation is documented by either electrocardiogram or 24-h
Holter registration. CONCLUSION: HALT & REVERSE aims to identify the correlation
between Heat Shock Protein levels and degree of electropathology. The study
outcome will contribute to novel diagnostic tools for the early recognition of
clinical atrial fibrillation. TRIAL REGISTRATIONS: Rotterdam Medical Ethical
Committee MEC-2014-393, Dutch Trial Registration NTR4658.
PMID- 26541408
TI - Cancer patients' participation in population-based health surveys: findings from
the HUNT studies.
AB - BACKGROUND: The magnitude of participation bias due to non-participation should
be considered for cancer patients invited to population-based surveys. We studied
participation rates among persons with and without cancer in a large population
based study, the Nord-Trondelag Health Study (HUNT). METHODS: Citizens 20 years
or above living in the Nord-Trondelag County of Norway have been invited three
times to comprehensive health surveys. The invitation files with data on sex,
invitation date and participation were linked to the Cancer Registry of Norway.
In a first step unadjusted crude participation rates (participants/invited
persons) were estimated for cancer patients (CaPts) and non-cancer persons
(NonCaPers), followed by logistic regression analyses with adjustment for age and
sex. To evaluate the "practical" significance of the estimated odds ratios in the
cancer diagnosis group, relative risks were also estimated comparing the observed
rates to the estimated rates under the counterfactual assumption of no earlier
cancer diagnosis among CaPts. RESULTS: Overall 3 % of the participants in the
three HUNT studies were CaPts and 59 % of them had been diagnosed with their
first life-time cancer >5 years prior to each survey. In each of the three HUNT
surveys crude participation rates were similar for CaPts and NonCaPers. Adjusted
for sex and age, CaPts' likelihood to participate in HUNT1 (1984-86) and HUNT2
(1995-97), but not in HUNT3 (2006-2008), was statistically significantly reduced
compared to NonCaPers, equaling a relative risk of 0.98 and 0.96, respectively.
The lowest odds ratio emerged for CaPts diagnosed during the last 2 years
preceding a HUNT invitation. Only one-third of CaPts participating in a survey
also participated in the subsequent survey compared to approximately two-thirds
of NonCaPers, and 11 % of CaPts participated in all three HUNT surveys compared
to 37 % of NonCaPers. CONCLUSION: In the three HUNT surveys no or only minor
participation bias exist as to CaPts' participation rates. In longitudinal
studies selection bias as to long-term cancer survivorship should be taken into
account, the percentage of repeatedly participating CaPts diminishing more
strongly than among NonCaPers.
PMID- 26541409
TI - Spatio-temporal regulation of circular RNA expression during porcine embryonic
brain development.
AB - BACKGROUND: Recently, thousands of circular RNAs (circRNAs) have been discovered
in various tissues and cell types from human, mouse, fruit fly and nematodes.
However, expression of circRNAs across mammalian brain development has never been
examined. RESULTS: Here we profile the expression of circRNA in five brain
tissues at up to six time-points during fetal porcine development, constituting
the first report of circRNA in the brain development of a large animal. An
unbiased analysis reveals a highly complex regulation pattern of thousands of
circular RNAs, with a distinct spatio-temporal expression profile. The amount and
complexity of circRNA expression was most pronounced in cortex at day 60 of
gestation. At this time-point we find 4634 unique circRNAs expressed from 2195
genes out of a total of 13,854 expressed genes. Approximately 20 % of the porcine
splice sites involved in circRNA production are functionally conserved between
mouse and human. Furthermore, we observe that "hot-spot" genes produce multiple
circRNA isoforms, which are often differentially expressed across porcine brain
development. A global comparison of porcine circRNAs reveals that introns
flanking circularized exons are longer than average and more frequently contain
proximal complementary SINEs, which potentially can facilitate base pairing
between the flanking introns. Finally, we report the first use of RNase R
treatment in combination with in situ hybridization to show dynamic subcellular
localization of circRNA during development. CONCLUSIONS: These data demonstrate
that circRNAs are highly abundant and dynamically expressed in a spatio-temporal
manner in porcine fetal brain, suggesting important functions during mammalian
brain development.
PMID- 26541410
TI - What are the reasons for clinical network success? A qualitative study.
AB - BACKGROUND: Clinical networks have been established to improve patient outcomes
and processes of care by implementing a range of innovations and undertaking
projects based on the needs of local health services. Given the significant
investment in clinical networks internationally, it is important to assess their
effectiveness and sustainability. This qualitative study investigated the views
of stakeholders on the factors they thought were influential in terms of overall
network success. METHOD: Ten participants were interviewed using face-to-face,
audio-recorded semi-structured interviews about critical factors for networks'
successes over the study period 2006-2008. Respondents were purposively selected
from two stakeholder groups: i) chairs of networks during the study period of
2006-2008 from high- moderate- and low-impact networks (as previously determined
by an independent review panel) and ii) experts in the clinical field of the
network who had a connection to the network but who were not network members.
Participants were blind to the performance of the network they were interviewed
about. Transcribed data were coded and analysed to generate themes relating to
the study aims. RESULTS: Themes relating to influential factors critical to
network success were: network model principles; leadership; formal organisational
structures and processes; nature of network projects; external relationships;
profile and credibility of the network. CONCLUSIONS: This study provides clinical
networks with guidance on essential factors for maximising optimal network
outcomes and that may assist networks to move from being a 'low-impact' to 'high
impact' network. Important ingredients for successful clinical networks were
visionary and strategic leadership with strong links to external stakeholders;
and having formal infrastructure and processes to enable the development and
management of work plans aligned with health priorities.
PMID- 26541411
TI - Application of standards and models in body composition analysis.
AB - The aim of this review is to extend present concepts of body composition and to
integrate it into physiology. In vivo body composition analysis (BCA) has a sound
theoretical and methodological basis. Present methods used for BCA are reliable
and valid. Individual data on body components, organs and tissues are included
into different models, e.g. a 2-, 3-, 4- or multi-component model. Today the so
called 4-compartment model as well as whole body MRI (or computed tomography)
scans are considered as gold standards of BCA. In practice the use of the
appropriate method depends on the question of interest and the accuracy needed to
address it. Body composition data are descriptive and used for normative analyses
(e.g. generating normal values, centiles and cut offs). Advanced models of BCA go
beyond description and normative approaches. The concept of functional body
composition (FBC) takes into account the relationships between individual body
components, organs and tissues and related metabolic and physical functions. FBC
can be further extended to the model of healthy body composition (HBC) based on
horizontal (i.e. structural) and vertical (e.g. metabolism and its neuroendocrine
control) relationships between individual components as well as between component
and body functions using mathematical modelling with a hierarchical multi-level
multi-scale approach at the software level. HBC integrates into whole body
systems of cardiovascular, respiratory, hepatic and renal functions. To conclude
BCA is a prerequisite for detailed phenotyping of individuals providing a sound
basis for in depth biomedical research and clinical decision making.
PMID- 26541412
TI - Extra-pericardial tamponade following Wolf Mini-Maze procedure: a case report.
AB - BACKGROUND: Extra-pericardial tamponade is a rare life threatening condition that
has not previously been reported in association with Wolf Mini-Maze procedures.
In this case, atypical presentation of cardiac tamponade caused by postoperative
anticoagulation resulted in a second hospitalization, a second surgery, and
delayed recovery time. The goal of this case report is to increase awareness
about a life threatening complication that can occur following minimally invasive
cardiac surgery. CASE PRESENTATION: A 60 year old male with long standing
essential hypertension, who was recently treated for atrial fibrillation
utilizing the Wolf Mini-Maze procedure, experienced a postoperative international
normalized ratio increase from 3.6 to 5.3 over the course of six days. Fifteen
days postoperatively, the patient experienced mild exercise intolerance, his
condition rapidly progressed to a constellation of symptoms including severe
exercise intolerance, dyspnea, hypotension, and near syncope. A diagnosis of
cardiac tamponade was made, and the patient was re-admitted to the hospital.
Attempts to reverse his warfarin anticoagulation with fresh frozen plasma and
vitamin K were unsuccessful after 24 h. Video-assisted thoracotomy was performed
to relieve the tamponade, and during surgery he was diagnosed with extra
pericardial tamponade caused by an extensive hematoma. Complications due to
anticoagulation therapy required this re-admission, additional surgery, and
delayed recovery. The patient has since recovered completely with no long term
morbidities and is asymptomatic three years following initial presentation.
CONCLUSIONS: This case marks the first time extra-pericardial tamponade has been
reported post cardiothoracic intervention in English literature. Many surgical
procedures require postoperative anticoagulation; in the past, warfarin has been
the standard of care due to its purported reversibility. This case provides an
example of the challenge presented when anticoagulating with warfarin, and the
reversal of this anticoagulation.
PMID- 26541413
TI - Off-label use of targeted therapies in osteosarcomas: data from the French
registry OUTC'S (Observatoire de l'Utilisation des Therapies Ciblees dans les
Sarcomes).
AB - BACKGROUND: The objective of this study is to explore the off-label use of
targeted therapies (TTs) for patients with osteosarcoma registered within the
French Sarcoma Group--Bone Tumor Study Group (GSF-GETO) national registry.
METHODS: All patients with an osteosarcoma, registered between January 1, 2009
and July 15, 2013 were analyzed. RESULTS: Twenty-nine patients with refractory
relapsed osteosarcomas received 33 treatment lines of TTs. The median age at the
beginning of treatment was 19 years (range 9-72). The median number of previous
lines of chemotherapy was 3 (range 1-8). Before inclusion, 3 patients were in
second complete remission, 26 were in progression for metastatic relapse. Twenty
three patients received sirolimus (in combination with cyclophosphamide for 18);
5, sunitinib; 4, sorafenib; and one, pazopanib. Stable disease was observed for
45.5% of patients (95% Confidence Interval (CI) [20-52.8]). The median
Progression-Free Survival (PFS) was 3 months (95% CI [2-5.4]) for patients
treated by sirolimus and 1.8 months (95% CI [1.3-2.8]) for patients receiving
multi-targeted tyrosine kinase inhibitors; 6-month PFS 15%. The median Overall
Survival (OS) was 6.8 months (95% CI [4.7-12.1]), and one-year OS was 24%. In a
multivariate analysis, PFS was superior for patients receiving sirolimus compared
to other TTs (Hazard Ratio (HR) = 2.7, 95% CI [1.05-7.1]). No toxic death was
reported. Grade 3 and 4 toxicities were observed in 27 and 6% of cases
respectively. CONCLUSION: Off-label TTs, especially sirolimus, reported benefit
in the treatment of refractory osteosarcomas with an acceptable toxicity profile,
including in pediatric population.
PMID- 26541414
TI - Genome-wide identification and characterization of auxin response factor (ARF)
family genes related to flower and fruit development in papaya (Carica papaya
L.).
AB - BACKGROUND: Auxin and auxin signaling are involved in a series of developmental
processes in plants. Auxin Response Factors (ARFs) is reported to modulate the
expression of target genes by binding to auxin response elements (AuxREs) and
influence the transcriptional activation of down-stream target genes. However,
how ARF genes function in flower development and fruit ripening of papaya (Carica
papaya L.) is largely unknown. In this study, a comprehensive characterization
and expression profiling analysis of 11 C. papaya ARF (CpARF) genes was performed
using the newly updated papaya reference genome data. RESULTS: We analyzed CpARF
expression patterns at different developmental stages. CpARF1, CpARF2, CpARF4,
CpARF5, and CpARF10 showed the highest expression at the initial stage of flower
development, but decreased during the following developmental stages. CpARF6
expression increased during the developmental process and reached its peak level
at the final stage of flower development. The expression of CpARF1 increased
significantly during the fruit ripening stages. Many AuxREs were included in the
promoters of two ethylene signaling genes (CpETR1 and CpETR2) and three ethylene
synthesis-related genes (CpACS1, CpACS2, and CpACO1), suggesting that CpARFs
might be involved in fruit ripening via the regulation of ethylene signaling.
CONCLUSIONS: Our study provided comprehensive information on ARF family in
papaya, including gene structures, chromosome locations, phylogenetic
relationships, and expression patterns. The involvement of CpARF gene expression
changes in flower and fruit development allowed us to understand the role of ARF
mediated auxin signaling in the maturation of reproductive organs in papaya.
PMID- 26541415
TI - A hot-electron thermophotonic solar cell demonstrated by thermal up-conversion of
sub-bandgap photons.
AB - The direct conversion of solar energy to electricity can be broadly separated
into two main categories: photovoltaics and thermal photovoltaics, where the
former utilizes gradients in electrical potential and the latter thermal
gradients. Conventional thermal photovoltaics has a high theoretical efficiency
limit (84%) but in practice cannot be easily miniaturized and is limited by the
engineering challenges of sustaining large (>1,000 K) temperature gradients. Here
we show a hot-carrier-based thermophotonic solar cell, which combines the compact
nature of photovoltaic devices with the potential to reach the high-efficiency
regime of thermal photovoltaics. In the device, a thermal gradient of 500 K is
established by hot electrons, under Stokes illumination, rather than by raising
the temperature of the material itself. Under anti-Stokes (sub-bandgap)
illumination we observe a thermal gradient of ~20 K, which is maintained by
steady-state Auger heating of carriers and corresponds to a internal thermal up
conversion efficiency of 30% between the collector and solar cell.
PMID- 26541417
TI - Exploring the reactivity of manganese(III) complexes with diphenolate-diamino
ligands in rac-lactide polymerization.
AB - Manganese(III) complexes of tetradentate diphenolate-diamino (NNOO(2-)) ligands
were prepared from aerobic reaction of MnCl2 with the respective ligands in basic
methanolic solution. Methoxide complexes (NNOO)Mn(OMe)(MeOH)0-1 were obtained for
three ligands, while others only provided the respective chloride complexes
(NNOO)Mn(Cl)(MeOH). Complexes were analyzed by X-ray diffraction studies and
octahedral complexes showed evidence of Jahn-Teller distortions. Magnetic moments
determined in MeOD were indicative of high-spin Mn(III)-d(4) complexes (MUeff =
4.2-4.6MUB). Methoxide complexes were active in the coordination-insertion
polymerization of rac-lactide (130 degrees C, 0.33-1.0 mol% catalyst loading) to
yield atactic polylactic acid with moderate molecular weight control.
Polymerization activity was reduced, but not suppressed by the presence of protic
impurities. Chloride complexes showed less activity and only in the presence of
external alcohol, indicative of an activated-monomer mechanism.
PMID- 26541416
TI - Inequalities in mortality by socioeconomic factors and Roma ethnicity in the two
biggest cities in Slovakia: a multilevel analysis.
AB - BACKGROUND: The socioeconomic and ethnic composition of urban neighbourhoods may
affect mortality, but evidence on Central European cities is lacking. The aim of
this study was to assess the associations between socioeconomic and ethnic
neighbourhood indicators and the mortality of individuals aged 20-64 years old in
the two biggest cities of the Slovak Republic. METHODS: We obtained data on the
characteristics of neighbourhoods and districts (educational level, unemployment,
income and share of Roma) and on individual mortality of residents aged 20-64
years old, for the two largest cities in the Slovak Republic (Bratislava and
Kosice) in the period 2003-2005. We performed multilevel Poisson regression
analyses adjusted for age and gender on the individual (mortality), neighbourhood
(education level and share of Roma in population) and district levels
(unemployment and income). RESULTS: The proportions of Roma and of low-educated
residents were associated with mortality at the neighbourhood level in both
cities. Mutually adjusted, only the association with the proportion of Roma
remained in the model (risk ratio 1.02; 95 % confidence interval 1.01-1.04). The
area indicators - high education, income and unemployment - were not associated
with mortality. CONCLUSION: The proportion of Roma is associated with early
mortality in the two biggest cities in the Slovak Republic.
PMID- 26541418
TI - Nursing performance under high workload: a diary study on the moderating role of
selection, optimization and compensation strategies.
AB - AIMS: The aim of this study was to investigate whether selective optimization
with compensation constitutes an individualized action strategy for nurses
wanting to maintain job performance under high workload. BACKGROUND: High
workload is a major threat to healthcare quality and performance. Selective
optimization with compensation is considered to enhance the efficient use of
intra-individual resources and, therefore, is expected to act as a buffer against
the negative effects of high workload. DESIGN: The study applied a diary design.
Over five consecutive workday shifts, self-report data on workload was collected
at three randomized occasions during each shift. Self-reported job performance
was assessed in the evening. Self-reported selective optimization with
compensation was assessed prior to the diary reporting. METHODS: Data were
collected in 2010. Overall, 136 nurses from 10 German hospitals participated.
Selective optimization with compensation was assessed with a nine-item scale that
was specifically developed for nursing. The NASA-TLX scale indicating the pace of
task accomplishment was used to measure workload. Job performance was assessed
with one item each concerning performance quality and forgetting of intentions.
RESULTS: There was a weaker negative association between workload and both
indicators of job performance in nurses with a high level of selective
optimization with compensation, compared with nurses with a low level.
Considering the separate strategies, selection and compensation turned out to be
effective. CONCLUSION: The use of selective optimization with compensation is
conducive to nurses' job performance under high workload levels. This finding is
in line with calls to empower nurses' individual decision-making.
PMID- 26541419
TI - Conjugated bile acids in gallbladder bile and serum as potential biomarkers for
cholesterol polyps and adenomatous polyps.
AB - PURPOSE: To identify conjugated bile acids in gallbladder bile and serum as
possible biomarkers for cholesterol polyps (CPs) and adenomatous polyps (APs).
METHODS: Gallbladder bile samples and serum samples were collected from 18
patients with CPs (CP group), 9 patients with APs (AP group), and 20 patients
with gallstones (control group) from March to November, 2013. High performance
liquid chromatography (HPLC) assay with ultraviolent detection was used to detect
the concentration of 8 conjugated bile acids (glycocholic acid, GCA; taurocholic
acid, TCA; glycochenodeoxycholic acid, GCDCA; taurochenodeoxycholic acid, TCDCA;
glycodeoxycholic acid, GDCA; taurodeoxycholic acid, TDCA; taurolithocholic acid,
TLCA; tauroursodeoxycholic acid, TUDCA) in bile samples and serum samples. The
diagnostic efficacy of serum GCA, GCDCA and TCDCA was evaluated. RESULTS: These 8
conjugated bile acids in gallbladder bile and serum were completely identified
within 10 minutes with good linearity (correlation coefficient: R>0.9900;
linearity range: 3.91-500 ug/mL). Among these conjugated bile acids, the levels
of gallbladder bile GCDCA and TCDCA in the CP group were significantly higher
than those in the AP group (p<0.05). Furthermore, serum GCDCA and TCDCA as well
as GCA were significantly higher in the AP group than the CP group (p<0.05).
Serum GCDCA alone (<=12 ug/mL) had relatively better diagnostic efficacy than the
other conjugated bile acids. CONCLUSIONS: The levels of serum GCA, GCDCA and
TCDCA may be valuable for differentiation of APs and CPs.
PMID- 26541420
TI - Androgen receptor CAG repeat length and estrogen receptor status in
postmenopausal breast cancer prognosis.
AB - PURPOSE: The influence of the androgen receptor (AR) CAG repeat polymorphism on
breast cancer is controversial. We investigated the combined effects of CAG
repeat length and estrogen receptor (ER) status on prognosis in 355
postmenopausal women with primary breast cancer. METHODS: CAG repeat length was
determined by the HUMARA test. Relapse-free survival (RFS) and overall survival
(OS) according to the X-weighted CAG repeat biallelic mean (XWBM) were
investigated by univariate and multivariate analysis. RESULTS: XWBM was not
associated with RFS or OS, but a significant interaction between XWBM and ER
status (p = 0.002) was found for OS. ER-negative patients with median XWBM <20
showed lower OS than ER-negative/XWBM >=20 patients (HR = 0.270; 95% Cl: 0.073
0.999). ER-negative/XWBM <20 patients also had significantly lower OS than ER
positive women, irrespective of CAG repeat length (p<0.001). Accordingly,
estimated OS was lowest in ER-negative patients with XWBM <20 (OS: 0.63, 95% CI:
0.41-0.79) and highest in ER-positive patients with XWBM <20 (OS: 0.95, 95% CI:
0.90-0.97). CONCLUSIONS: Our data suggest that short CAG repeat length is
associated with increased risk of death in ER-negative disease but is related to
better survival when ER is expressed. These findings are in agreement with the
hypothesis that AR may stimulate or inhibit breast cancer growth depending on ER
status, AR transactivation, and the endocrine-metabolic environment of breast
tumors. Evaluation of CAG repeat length together with ER status could help
improve the estimation of the risk of death, with possible implications for the
optimization of standard breast cancer treatment and implementation of prevention
strategies.
PMID- 26541421
TI - Binaural beats increase interhemispheric alpha-band coherence between auditory
cortices.
AB - Binaural beats (BBs) are an auditory illusion occurring when two tones of
slightly different frequency are presented separately to each ear. BBs have been
suggested to alter physiological and cognitive processes through synchronization
of the brain hemispheres. To test this, we recorded electroencephalograms (EEG)
at rest and while participants listened to BBs or a monaural control condition
during which both tones were presented to both ears. We calculated for each
condition the interhemispheric coherence, which expressed the synchrony between
neural oscillations of both hemispheres. Compared to monaural beats and resting
state, BBs enhanced interhemispheric coherence between the auditory cortices.
Beat frequencies in the alpha (10 Hz) and theta (4 Hz) frequency range both
increased interhemispheric coherence selectively at alpha frequencies. In a
second experiment, we evaluated whether this coherence increase has a behavioral
aftereffect on binaural listening. No effects were observed in a dichotic digit
task performed immediately after BBs presentation. Our results suggest that BBs
enhance alpha-band oscillation synchrony between the auditory cortices during
auditory stimulation. This effect seems to reflect binaural integration rather
than entrainment.
PMID- 26541422
TI - Trap-Assisted Transport and Non-Uniform Charge Distribution in Sulfur-Rich PbS
Colloidal Quantum Dot-based Solar Cells with Selective Contacts.
AB - This study reports evidence of dispersive transport in planar PbS colloidal
quantum dot heterojunction-based devices as well as the effect of incorporating a
MoO3 hole selective layer on the charge extraction behavior. Steady state and
transient characterization techniques are employed to determine the complex
recombination processes involved in such devices. The addition of a selective
contact drastically improves the device efficiency up to 3.15% (especially due to
increased photocurrent and decreased series resistance) and extends the overall
charge lifetime by suppressing the main first-order recombination pathway
observed in device without MoO3. The lifetime and mobility calculated for our
sulfur-rich PbS-based devices are similar to previously reported values in lead
rich quantum dots-based solar cells. Nevertheless, strong Shockley-Read-Hall
mechanisms appear to keep restricting charge transport, as the equilibrium
voltage takes more than 1 ms to be established.
PMID- 26541423
TI - Three dimensional printing of an atrial septal defect: Is it multimodality
imaging?
PMID- 26541424
TI - Endotracheal Intubation in Neonates: A Prospective Study of Adverse Safety Events
in 162 Infants.
AB - OBJECTIVE: To determine the rate of adverse events associated with endotracheal
intubation in newborns and modifiable factors contributing to these events. STUDY
DESIGN: We conducted a prospective, observational study in a 100-bed, academic,
level IV neonatal intensive care unit from September 2013 through June 2014. We
collected data on intubations using standardized data collection instruments with
validation by medical record review. Intubations in the delivery or operating
rooms were excluded. The primary outcome was an intubation with any adverse
event. Adverse events were defined and tracked prospectively as nonsevere or
severe. We measured clinical variables including number of attempts to successful
intubation and intubation urgency (elective, urgent, or emergent). We used
logistic regression models to estimate the association of these variables with
adverse events. RESULTS: During the study period, 304 intubations occurred in 178
infants. Data were available for 273 intubations (90%) in 162 patients. Adverse
events occurred in 107 (39%) intubations with nonsevere and severe events in 96
(35%) and 24 (8.8%) intubations, respectively. Increasing number of intubation
attempts (OR 2.1, 95% CI, 1.6-2.6) and emergent intubations (OR 4.7, 95% CI, 1.7
13) were predictors of adverse events. The primary cause of emergent intubations
was unplanned extubation (62%). CONCLUSIONS: Adverse events are common in the
neonatal intensive care unit, occurring in 4 of 10 intubations. The odds of an
adverse event doubled with increasing number of attempts and quadrupled in the
emergent setting. Quality improvement efforts to address these factors are needed
to improve patient safety.
PMID- 26541425
TI - Acute and Chronic Altitude-Induced Cognitive Dysfunction in Children and
Adolescents.
AB - OBJECTIVE: To assess whether exposure to high altitude induces cognitive
dysfunction in young healthy European children and adolescents during acute,
short-term exposure to an altitude of 3450 m and in an age-matched European
population permanently living at this altitude. STUDY DESIGN: We tested executive
function (inhibition, shifting, and working memory), memory (verbal, short-term
visuospatial, and verbal episodic memory), and speed processing ability in: (1)
48 healthy nonacclimatized European children and adolescents, 24 hours after
arrival at high altitude and 3 months after return to low altitude; (2) 21
matched European subjects permanently living at high altitude; and (3) a matched
control group tested twice at low altitude. RESULTS: Short-term hypoxia
significantly impaired all but 2 (visuospatial memory and processing speed) of
the neuropsychological abilities that were tested. These impairments were even
more severe in the children permanently living at high altitude. Three months
after return to low altitude, the neuropsychological performances significantly
improved and were comparable with those observed in the control group tested only
at low altitude. CONCLUSIONS: Acute short-term exposure to an altitude at which
major tourist destinations are located induces marked executive and memory
deficits in healthy children. These deficits are equally marked or more severe in
children permanently living at high altitude and are expected to impair their
learning abilities.
PMID- 26541426
TI - Short Sleep Duration in the First Years of Life and Obesity/Overweight at Age 4
Years: A Birth Cohort Study.
AB - OBJECTIVE: To investigate whether short sleep duration from the first year of
life influenced weight at an early age. STUDY DESIGN: During 2004, children born
in Pelotas, Brazil, were enrolled in a cohort study. Sleeping habits during the
previous 2 weeks were assessed, and the children were weighed and measured at 1-,
2-, and 4-year follow-ups. Overweight and obesity at 4 years were defined
according to World Health Organization z-scores for body mass index for age.
Short sleep duration was defined as fewer than 10 hours of sleep per night at any
follow-up. RESULTS: Out of the 4263 live births, 4231 were recruited. The
prevalence of short sleep duration at any follow-up from 1-4 years of age was
10.1%. At 4 years of age, 201 children were obese (5.3%), and 302 (8%) were
overweight. Among short sleepers, the prevalence ratio for overweight/obesity
after adjusting for maternal and children's characteristics was 1.32 (1.03;
1.70). CONCLUSIONS: Children who slept for fewer than 10 hours per night at any
follow-up from 1-4 years of age were more likely to be overweight or obese at 4
years of age, despite their sociodemographic and sleep characteristics.
PMID- 26541427
TI - A Pilot Study Investigating Neuropsychological Consultation as an Intervention
for Persistent Postconcussive Symptoms in a Pediatric Sample.
AB - OBJECTIVE: To examine the efficacy of a one-time neuropsychological consultation
as an intervention for youth with persistent postconcussive symptoms following
mild traumatic brain injury. STUDY DESIGN: Using a prospective interrupted time
series design, we enrolled 80 patients aged 8-17 years referred consecutively for
clinical neuropsychological consultation. Patients needed to have sustained
injury between 2 and 12 months prior to enrollment. Parent and child
postconcussive symptom ratings were used as the primary outcome measures and were
collected at 6 time points, 3 before the neuropsychological consultation and 3
after. Repeated measure ANOVA was used to estimate the magnitude of change in
symptom ratings before and after the neuropsychological intervention. RESULTS:
The decrease in symptoms for the week prior to consultation was nonsignificant by
both child (P = .63) and parent (P = .19) report. In contrast, for both
reporters, the decrease in symptoms at 1 week and 3 months postconsultation was
significant (P < .0001). The difference in reported change was also significant
when comparing the week before the intervention to the 3 months after (child: P <
.0001; parent: P = .0009). CONCLUSIONS: Postconcussive symptoms decreased
significantly following the neuropsychological consultation. The primary
limitation of the study is that it lacked randomization and a control group. The
results warrant further research into the benefits of neuropsychological
consultation after mild traumatic brain injury and provide justification for
clinical providers to consider referring to neuropsychologists in the face of
persistent postconcussive symptoms.
PMID- 26541428
TI - Fine-scale monitoring of shifts in microbial community composition after high
organic loading in a pilot-scale membrane bioreactor.
AB - In biological wastewater treatment, municipal wastewater sometimes undergoes
unexpected changes in physicochemical parameters, such as organic carbon
concentration. The aim of this study was to understand how microbial communities
in activated sludge in a membrane bioreactor (MBR) adapt to high organic loading
and maintain their degradation ability during reactor operation. A pilot-scale
MBR was operated for 19 days. On day 8, the concentration of organic matter in
the synthetic wastewater increased from 450 to 900 mg chemical oxygen demand
(COD)/L. Even under conditions of high organic loading, COD removal rates were
high, ranging from 85.3 to 91.4%. High-throughput sequencing of 16S rRNA genes
revealed that microbial communities changed drastically with increased organic
loading. After day 8, Aquabacterium- and Azospira-related operational taxonomic
units (OTUs) belonging to the class beta-proteobacteria became dominant; this
potentially enhanced the degradation of organic substances and decreased
activated sludge microbial diversity. Due to the use of dissolved oxygen (DO) for
degradation of organic substances, DO levels in the reactor decreased. This led
to an increase in a subset of OTUs related to not only aerobic but also anaerobic
bacteria, e.g., those in the class Clostridia. During this period, anaerobic
microorganisms may have contributed to the degradation of organic substances to
maintain MBR performance. On the other hand, high-throughput sequencing also made
it possible to identify yet-to-be cultured or minor microorganisms affiliated
with the candidate phylogenetic division SR1 and ammonia-oxidizing archaea in
activated sludge.
PMID- 26541429
TI - Non-prion-type transmission in A53T alpha-synuclein transgenic mice: a normal
component of spinal homogenates from naive non-transgenic mice induces robust
alpha-synuclein pathology.
PMID- 26541430
TI - Biomolecule-assisted synthesis of In(OH)3 nanocubes and In2O3 nanoparticles:
photocatalytic degradation of organic contaminants and CO oxidation.
AB - The synthesis of nanostructured materials without any hazardous organic chemicals
and expensive capping reagents is one of the challenges in nanotechnology. Here
we report on the L-arginine (a biomolecule)-assisted synthesis of single
crystalline cubic In(OH)3 nanocubes of a size in the range of 30-60 nm along the
diagonal using hydrothermal methods. Upon calcining at 750 degrees C for 1 h in
air, In(OH)3 nanocubes are transformed into In2O3 nanoparticles (NPs) with voids.
The morphology transformation and formation of voids with the increase of the
calcination temperature is studied in detail. The possible mechanism of the
voids' formation is discussed on the basis of the Kirkendall effect. The
photocatalytic properties of In(OH)3 nanocubes and In2O3 NPs are studied for the
degradation of rhodamin B and alizarin red S. Furthermore, the CO oxidation
activity of In(OH)3 nanocubes and In2O3 NPs is examined. The photocatalytic and
CO oxidation activity are measured to be higher for In2O3 NPs than for In(OH)3
nanocubes. This is attributed to the lower energy gap and higher specific surface
area of the former. The present green synthesis has potential for the synthesis
of other inorganic nanomaterials.
PMID- 26541431
TI - En face enhanced depth imaging optical coherence tomography of polypoidal
choroidal vasculopathy.
AB - PURPOSE: To analyse retinal and choroidal changes associated with polypoidal
choroidal vasculopathy (PCV) using en face spectral-domain optical coherence
tomography (SD-OCT). METHODS: In this retrospective and descriptive study, we
collected imaging of patients affected with PCV examined using enhanced depth
imaging (EDI) SD-OCT, fluorescein and indocyanine green angiography for a
qualitative analysis. The three-dimensional reconstruction of 197 transverse
sections with EDI SD-OCT at 30 MUm intervals provided a virtual macular brick
through which 496 sections in the coronal plane resulted in a C-scan or en face
OCT image. RESULTS: 30 eyes of 30 patients affected with PCV were studied. En
face OCT revealed polyps as roundish structures visible deeper than pigment
epithelium layer, attached to its posterior face, easily detected in all cases.
Hyper-reflective dots were visible on en face OCT in all cases within the retinal
layers, associated to a well-defined dark area suggesting serous exudation in 27
eyes. The abnormal choroidal network was identified in four eyes. At the Bruch
membrane level, all polyps were associated with a localised back shadowing, and
were no more visible at the choriocapillaris layer level. Large choroidal vessels
were visible in all eyes, mainly at the polypoidal lesion periphery, not directly
behind. CONCLUSIONS: En face OCT imaging using SD-OCT is an easy, reproducible,
non-invasive and effective tool to visualise and to understand retinal and
choroidal changes PCV. It provides complementary morphological information,
describes new semiological entities and might substitute other exams in the
future, without dye injection.
PMID- 26541432
TI - Influence of involuntary eyelid spasms on corneal topographic and eyelid
morphometric changes in patients with hemifacial spasm.
AB - BACKGROUND/AIMS: In patients with hemifacial spasm (HFS), treatment relieves
eyelid spasms on the affected side, thus changes in corneal topography and eyelid
morphometry may be observed after treatment. We aimed to evaluate these
parameters during a 4-month period in patients with HFS treated with botulinum
toxin A (BTX-A). METHODS: This prospective study evaluated eyelid morphometric
and corneal topographic changes in patients with HFS before onabotulinum toxin A
application, and after 15 days and 2, 3 and 4 months. RESULTS: 24 patients were
treated with BTX-A. On the normal side, the mean palpebral fissure height (PF),
interpalpebral surface area (ISA), steep K and astigmatism values were 8.7+/-1.98
mm, 122.09+/-39.37 mm2, 44.99+/-1.45 D and 0.9+/-0.64 D, respectively, before
treatment. A statistically significant difference was not observed in these
parameters after treatment (p>0.05). On the affected side, the mean PF, ISA,
steep K and astigmatism were 5.5+/-1.77 mm, 67.68+/-28.49 mm2, 46.91+/-3.57 D and
2.63+/-2.46 D, respectively, before treatment. We observed a statistically
significant (p<0.05) increase in the mean PF and ISA on the affected side 15 days
(8.36+/-1.91 mm and 115.92+/-34.44 mm2, respectively), 2 months (8.18+/-1.80 mm
and 112.22+/-33.57 mm2, respectively) and 3 months (7.27+/-1.65 mm and 95.48+/
27.80 mm2, respectively) after treatment. A statistically significant decrease in
steep K and astigmatism was observed at 2 months (45.14+/-1.20 D and 1.01+/-0.58
D, respectively) and 3 months (45.64+/-1.77 D and 1.36+/-1.31 D, respectively)
after treatment. CONCLUSIONS: The results suggest that treatment with BTX-A in
patients with HFS leads to eyelid and corneal changes on the affected eye that
are significant during the known period of action of the toxin. Thus, caution
should be taken when performing ophthalmological examination in patients with
HFS, since it may vary according to BTX-A period of action.
PMID- 26541433
TI - Influence of epiretinal membrane on the measurement of peripapillary retinal
nerve fibre layer thickness using spectral-domain coherence tomography.
AB - BACKGROUND/AIMS: To investigate the effect of epiretinal membrane (ERM) on
peripapillary retinal nerve fibre layer (RNFL) thickness measurements using
spectral-domain optical coherence tomography (Spectralis; Heidelberg
Engineering). METHODS: A total of 134 patients with idiopathic ERM and 63 healthy
controls were included in this observational comparative study. We categorised
ERMs into three severity grades, based on retinal appearance in macular scans.
All eyes with ERM were classified into two groups; those involving the
peripapillary scan area (ERM+pp, n=68 eyes) and not involving the peripapillary
scan area (ERM-pp, n=66 eyes) using the macular disc scan. Peripapillary RNFL
thickness was compared between related subgroups as (ERM+pp) or (ERM-pp) group.
RESULTS: Temporal peripapillary RNFL thickness was significantly greater in the
ERM+pp group (109.44+/-22.91 MUm), followed by the ERM-pp (82.60+/-11.77 MUm,
p<0.001) and control (75.42+/-10.49 MUm, p<0.001) groups. Temporal peripapillary
RNFL thickness significantly increased with ERM grade in the ERM+pp and ERM-pp
groups (both p<0.001). The peripapillary RNFL thickness was overestimated
(exceeded 99th percentile) in the temporal sector in 49 eyes (72.1%) in the
ERM+pp group and in 5 eyes (7.6%) in the ERM-pp group. CONCLUSIONS: Temporal and
global peripapillary RNFL thickness is significantly higher in eyes with ERM,
especially when the ERM extends into the peripapillary area. However, some eyes
with an ERM that does not involve the peripapillary scan area still show
peripapillary RNFL thickening. Measured peripapillary RNFL thickness was
significantly and positively correlated with ERM severity.
PMID- 26541434
TI - MicroRNAs in retina during development of experimental autoimmune uveoretinitis
in rats.
AB - PURPOSE: To determine the changes in the expression profiles of microRNAs
(miRNAs) in retinas during the development of experimental autoimmune
uveoretinitis (EAU) in rats. METHODS: The levels of interleukin-1beta (IL-1beta)
and monocyte chemoattractant protein-1 (MCP-1) were measured in aqueous humour
samples and supernatants of homogenised posterior eye cups obtained from Lewis
rats immunised with interphotoreceptor retinoid binding protein peptide (R14) and
complete Freund's adjuvant. Microarray analysis was performed to determine the
miRNA profiles in the retina of eyes with EAU on days 0 (baseline), 7, 14 and 21
after immunisation. RESULTS: The levels of IL-1beta and MCP-1 in the aqueous
humour and the supernatants of posterior eye cups were significantly elevated in
eyes with EAU, and the levels corresponded with the stage of the EAU. On day 14
after immunisation, the expressions of nine miRNAs (miRNA-223, 142-5p, 142-3p,
21, 146a, 146b, 1949, 1188-3p and 193) were significantly elevated, and the
expressions of four miRNAs (miRNA-181a, 183*, 124* and 331) were downregulated
relative to the baseline. Quantitative PCR analyses confirmed the elevation of
miRNA-223 and miRNA-146 and the downregulation of miRNA-181a in retinas with EAU
on day 14 after immunisation. In situ hybridisation confirmed increased
expression of miR-223 and miR-146 in retinas with EAU. CONCLUSIONS: Several
miRNAs were significantly increased or decreased in retinas during the course of
EAU. The expression of miR-223 and miR-146a corresponded with the clinical score
of the EAU and elevation of IL-1beta/MCP-1 in the eye with EAU. Further studies
are required to clarify the role of miRNA in eyes with autoimmune uveoretinitis.
PMID- 26541435
TI - Predicting vision gains with anti-VEGF therapy in neovascular age-related macular
degeneration patients by using low-luminance vision.
AB - BACKGROUND/AIMS: To evaluate baseline low-luminance visual acuity (LLVA) as a
predictor of visual acuity improvement in patients with neovascular (wet) age
related macular degeneration (wAMD) receiving antivascular endothelial growth
factor A (anti-VEGF) therapy. METHODS: In the HARBOR trial, 1084 treatment-naive
patients >=50 years of age with subfoveal wAMD received intravitreal ranibizumab
0.5 or 2.0 mg monthly or as needed. To measure LLVA, patients read a normally
illuminated ETDRS (Early Treatment Diabetic Retinopathy Study) chart with a
neutral density filter placed in front of the study eye. Patients were assigned
into quartiles based on the magnitude of the difference between best-corrected
visual acuity under optimal luminance (BCVA) and LLVA (BCVA-LLVA gap). The
association between mean change in BCVA from baseline and BCVA-LLVA gap at
baseline was analysed using a general linear model. RESULTS: A smaller baseline
BCVA-LLVA gap predicted significantly higher BCVA gains over 24 months (p<0.0001
at each month; Pearson correlation), even after controlling for baseline BCVA or
stratifying by treatment arm. Patients in the smallest baseline BCVA-LLVA gap
quartile gained an average of +13.4 letters compared with +2.4 letters for
patients in the widest baseline BCVA-LLVA gap quartile. At months 12 and 24, the
smallest baseline BCVA-LLVA gap quartile had the highest proportion of >=15->=30
letter gain, and the widest baseline BCVA-LLVA gap quartile had the highest
proportion of >=15-/>=30-letter loss (p<0.0001; Fisher's exact test).
CONCLUSIONS: The baseline BCVA-LLVA gap is a significant predictor of visual
acuity response to anti-VEGF treatment in patients with wAMD. TRIAL REGISTRATION
NUMBER: NCT00891735; Post-results.
PMID- 26541436
TI - Cycloplegic autorefraction versus subjective refraction: the Tehran Eye Study.
AB - AIM: To compare cycloplegic autorefraction with non-cycloplegic subjective
refraction across all age and refractive error groups. METHODS: In a cross
sectional study with random stratified cluster sampling, 160 clusters were chosen
from various districts proportionate to the population of each district in
Tehran. Following retinoscopy and autorefraction with the 0.25 D bracketing
(Topcon KR-8000, Topcon, Tokyo, Japan), all participants had a subjective
refraction. Then all participants underwent cycloplegic autorefraction. RESULTS:
The final analysis was performed on 3482 participants with a mean age of 31.7
years (range 5-92 years). Based on cycloplegic and subjective refraction, mean
spherical equivalent (SE) was +0.31+/-1.80 and -0.32+/-1.61 D, respectively
(p<0.001). The 95% limits of agreement (LoA) between these two types of
refraction were from -0.40 to 1.70 D. The largest difference between these two
types of refraction was seen in the age group of 5-10 years (1.11+/-0.60 D), and
the smallest difference was in the age group of >70 years (0.34+/-0.45 D). The
95% LoA was -0.52 to 0.89 D in patients with myopia and -0.12 to 2.04 D in
patients with hyperopia. We found that female gender (coefficients=0.048), older
age (coefficients=-0.247), higher education (coefficients=-0.043) and cycloplegic
SE (coefficients=-0.472) significantly correlated with lower intermethod
differences. CONCLUSIONS: The cycloplegic refraction is more sensitive than the
subjective one to measure refractive error at all age groups especially in
children and young adults. The cyclorefraction technique is highly recommended to
exactly measure the refractive error in momentous conditions such as refractive
surgery, epidemiological researches and amblyopia therapy, especially in
hypermetropic eyes and paediatric cases.
PMID- 26541437
TI - Development of certified environmental management in hospital and outpatient
haemodialysis units.
AB - INTRODUCTION: The environmental impact of haemodialysis is very high.
Institutional activity in this sense is important, even in the production of
references. Voluntary environmental management systems (EMS), environmental
management and auditing systems (EMAS) and the International Organization for
Standardization standards (ISO 14001) are important tools for environmental
protection, together with legislation, taxation and tax benefits. OBJECTIVES: To
determine the degree of implementation of EMS in hospital units and outpatient
haemodialysis in the Spanish National Health System to provide a group of
reference centres in environmental management in this healthcare activity.
METHODS: Development of a list by autonomous communities showing hospital and
outpatient dialysis units using an EMAS and/or ISO 14001 in 2012-2013. The
sources of information were the Spanish National Catalogue of Hospitals, Spanish
Registry of Healthcare Certification and Accreditation, European and regional
EMAS records, world ISO registrations, dialysis centre lists from scientific
societies and patients, responses from accredited entities in Spain for
environmental certification and the institutional website of each haemodialysis
centre identified. RESULTS: Of the 210 hospitals with a dialysis unit, 53 (25%)
have the ISO 14001 and 15 of these also have an EMAS). This constitutes 30% of
all hospital dialysis chairs in Spain: 1,291 (of 4,298). Only 11 outpatient
clinics are recorded, all with the ISO 14001. DISCUSSION: There is no official
documentation of the implementation of EMS in dialysis units. Making this list
provides an approach to the situation, with special reference to haemodialysis
because of its significant environmental impact.
PMID- 26541438
TI - Eculizumab for the treatment of an atypical hemolytic uremic syndrome with
mutations in complement factor I and C3.
PMID- 26541439
TI - TGR5 and Immunometabolism: Insights from Physiology and Pharmacology.
AB - In the past decade substantial progress has been made in understanding how the
insurgence of chronic low-grade inflammation influences the physiology of several
metabolic diseases. Tissue-resident immune cells have been identified as central
players in these processes, linking inflammation to metabolism. The bile acid
responsive G-protein-coupled receptor TGR5 is expressed in monocytes and
macrophages, and its activation mediates potent anti-inflammatory effects.
Herein, we summarize recent advances in TGR5 research, focusing on the downstream
effector pathways that are modulated by TGR5 activators, and on its therapeutic
potential in inflammatory and metabolic diseases.
PMID- 26541440
TI - Low-dose CT angiography of the abdominal aorta and reduced contrast medium
volume: Assessment of image quality and radiation dose.
AB - AIM: To determine the effect of using 80 kV tube voltage and a reduced amount of
contrast medium on the image quality and radiation dose of computed tomography
angiography (CTA) of the abdominal aorta. MATERIALS AND METHODS: Patients who
were referred for a CTA examination of the abdominal aorta were included in this
technical efficacy study. Thirty patients were divided randomly into two groups.
Fifteen patients underwent a dual-energy CT (DECT) protocol (Group A). Fifteen
patients were scanned with the use of an automated tube potential selection
algorithm tool (Group B). In both protocols, a test bolus injection of 10 ml
ioversol (350 mg iodine/ml) was used, followed by 20 ml of 1:1 saline-diluted
contrast medium. Quantitative analysis comprised determination of the mean
attenuation and contrast-to-noise ratio. Qualitative image analysis was performed
independently by five radiologists. The estimated radiation dose in terms of CT
dose index and effective dose was recorded and compared with a standard 120 kV
protocol. RESULTS: In Group B, six patients underwent CTA at 80 kV, seven
patients underwent CTA at 100 kV and two patients underwent CTA at 120 kV. The
mean contrast-enhancement values of Group A (80 kV) and the 80 kV subgroup of
Group B were 16.5% and 27.6% higher compared to the 100 kV subgroup of Group B,
these differences were, however, not significant. There were no significant
differences in mean image quality between groups. In patients undergoing CTA at
80 kV the effective dose decreased by up to 51.3% compared to a conventional 120
kV CTA protocol. CONCLUSIONS: The findings of this study support the hypothesis
that 80 kV in CTA of the abdominal aorta can reliably be used with only 30 ml
contrast medium in total and a 50% reduction in radiation dose. The overall image
quality was diagnostically adequate; however, it appeared to be suboptimal in
patients with a BMI above 28 kg/m(2).
PMID- 26541441
TI - Glenohumeral Dysplasia Following Neonatal Brachial Plexus Palsy: Presentation and
Predictive Features During Infancy.
AB - PURPOSE: To evaluate the presence and degree of glenohumeral dysplasia (GHD) in
infants undergoing surgical exploration for neonatal brachial plexus palsy (NBPP)
and to identify potential predictive factors of early maladaptive shoulder
morphology. METHODS: We included all consecutive patients with NBPP who underwent
surgical exploration of their brachial plexus and who had a preoperative magnetic
resonance imaging scan at our institution over a 3-year period. Demographic,
therapy, and surgical data were collected. Imaging was reviewed for glenoid
morphology, glenoid version, percent humeral head anterior to the scapula, and
alpha angle. RESULTS: Of 116 infants who presented to our institution during this
3-year period, 19 (16%) underwent surgical exploration and were included in the
study. Median age at the time of the scan was 16 weeks (interquartile range, 14
46 weeks). Fourteen of 19 (74%) had GHD of Waters class 2 or increased
malformation. Babies who had more severe palsies underwent earlier surgery and
had less severe GHD at the time of surgery than did those with less severe
palsies who had surgery later. Less severe GHD was associated with more severe
palsies, as indicated by Narakas classification and number of root avulsions.
Active external rotation was almost universally absent whereas other shoulder
movements were present to varying degrees. More severe GHD was associated with
greater total shoulder active range of motion and greater pectoralis major muscle
mass. CONCLUSIONS: Glenohumeral dysplasia occurs often and early in NBPP and may
occur in the absence of restricted range of motion. Predictors include increasing
age and factors related to muscular imbalance. As such, GHD likely affects the
functional outcome that may be achieved with reinnervation, and early screening
may improve outcomes. TYPE OF STUDY/LEVEL OF EVIDENCE: Prognostic IV.
PMID- 26541442
TI - Floral resource limitation severely reduces butterfly survival, condition and
flight activity in simplified agricultural landscapes.
AB - Agricultural intensification has a strong negative impact on farmland
biodiversity (including flower-visiting insects), but understanding the
mechanisms involved in this requires experimental work. We document the impact of
nectar limitation on the performance of a flower-visiting insect, the meadow
brown butterfly Maniola jurtina. We conducted two types of experiments: a field
experiment in agricultural landscapes with grasslands of different management
intensity and an experiment in outdoor flight cages in which the nectar supply
was simulated. For the field experiment, we introduced an array of nectar
resources in intensively managed, nectar-poor meadows and in extensively managed,
flower-rich grasslands and counted flower visitors. Despite higher butterfly
abundance in the extensive meadows, our introduced nectar sources were more
frequently visited in intensive meadows, indicating the lack of floral resources.
The 48-h confinement under nectar-poor conditions in the flight cages had a
strong negative effect on body condition, flight activity and lifetime survival
compared to butterflies under nectar-rich conditions. Female lifespan was reduced
by 22% and male lifespan even by 43%. Agricultural landscapes that provide
limited amounts of floral nectar, and no high-quality, preferred nectar sources
relative to the needs of the flower-visiting species, may create ecological
sinks. Regards an insect's performance, the simple presence of nectar is not
necessarily functionally adequate. The effectiveness of agri-environmental
schemes for flower-visiting insects (e.g. flower strips) could be improved based
on ecological and evolutionary insights on the effects of specific nectar
quantities and qualities.
PMID- 26541444
TI - [Calcium and parathormone control after total thyroidectomy].
PMID- 26541443
TI - Understanding the influence of personality on dynamic social gesture processing:
An fMRI study.
AB - This fMRI study aimed at investigating how differences in personality traits
affect the processing of dynamic and natural gestures containing social versus
nonsocial intent. We predicted that while processing gestures with social intent
extraversion would be associated with increased activity within the
reticulothalamic-cortical arousal system (RTCS), while neuroticism would be
associated with increased activity in emotion processing circuits. The obtained
findings partly support our hypotheses. We found a positive correlation between
bilateral thalamic activity and extraversion scores while participants viewed
social (versus nonsocial) gestures. For neuroticism, the data revealed a more
complex activation pattern. Activity in the bilateral frontal operculum and
anterior insula, extending into bilateral putamen and right amygdala, was
moderated as a function of actor-orientation (i.e., first versus third-person
engagement) and face-visibility (actor faces visible versus blurred). Our
findings point to the existence of factors other than emotional valence that can
influence social gesture processing in particular, and social cognitive affective
processing in general, as a function of personality.
PMID- 26541445
TI - [Analysis of morbidity and mortality after gastrectomy in 238 patients].
PMID- 26541447
TI - [Complication management in minimally invasive surgery].
PMID- 26541448
TI - [Specific complications of minimally invasive surgery].
AB - Minimally invasive surgery (MIS) is fundamentally different from open surgery
regarding positioning of the patient, access routes and instrumentation. Each of
these aspects is associated with its own specific morbidity, such as positioning
related complications, trocar-induced lesions, hypercapnia-associated phenomena
and thermal damage. The growing experience of surgeons and technological progress
have increased patient safety to a maximum and have resulted in an impressive
spread of MIS in the various fields of surgery including the most common, such as
cholecystectomy and hernia repair and special fields, such as bariatric, thoracic
and oncological surgery. This narrative review summarizes the current knowledge
on the inherent complications of MIS.
PMID- 26541450
TI - [Not Available].
PMID- 26541449
TI - [Characteristics of postoperative peritonitis].
AB - Postoperative peritonitis is still a life-threatening complication after
abdominal surgery and approximately 10,000 patients annually develop
postoperative peritonitis in Germany. Early recognition and diagnosis before the
onset of sepsis has remained a clinical challenge as no single specific screening
test is available. The aim of therapy is a rapid and effective control of the
source of infection and antimicrobial therapy. After diagnosis of diffuse
postoperative peritonitis surgical revision is usually inevitable after
intestinal interventions. Peritonitis after liver, biliary or pancreatic surgery
is managed as a rule by means of differentiated therapy approaches depending on
the severity.
PMID- 26541451
TI - [Vascular reconstruction in visceral transplantation surgery].
AB - Vascular reconstruction is obligatory in transplantation surgery. A
differentiation is made between routine vascular reconstructions, which are
required for all solid organ transplantations and special cases. Because of the
shortage of organs it is often necessary to use organs with complex anatomical
vascular prerequisites, which requires high vascular surgical expertise for
individualized reconstruction. Non-routine reconstructions are often also
necessary on the side of the recipient. This review article presents both the
routine and exceptional types of reconstruction.
PMID- 26541452
TI - Enthesitis of lumbar spinal ligaments in clinically suspected spondyloarthritis:
value of gadolinium-enhanced MR images in comparison to STIR.
AB - OBJECTIVE: To compare detection of spinal ligament enthesitis between gadolinium
enhanced fat-saturated T1-weighted gadolinium-enhanced fat-saturated T1-weighted
(T1+Gd) and STIR sequences in patients with suspected spondyloarthritis.
MATERIALS AND METHODS: Sixty-eight patients (37 males, 42 +/- 14 years) with a
sacroiliac-joint (SIJ) and lumbar spine MRI for suspected spondyloarthritis were
prospectively included. Sagittal T1+Gd and STIR images of the lumbar spine were
assessed by two readers for enthesitis of interspinous/supraspinous ligaments,
and for capsulitis of facet-joints between T12-S1. Patients' MRI were grouped
according to ASAS (Assessment of SpondyloArthritis international Society)
criteria in positive (group A) or negative (group B) SIJs. Enthesitis/capsulitis
were compared between groups. Interreader agreement was assessed. RESULTS:
Enthesitis/capsulitis per patient was statistically significantly more frequent
with T1+Gd compared to STIR (p <= 0.007), except for interspinous ligaments for
reader 1 (p = 0.455). Interspinous enthesitis, supraspinous enthesitis, and
capsulitis were present with T1+Gd(STIR) in 64.7 %(72.1 %), 60.3 %(17.7 %), and
61.8 %(29.4 %) for reader 1, and 51.5 %(41.2 %), 45.6 %(7.4 %), and 91.2 %(45.5
%) for reader 2. There were 76.5 %(52/68) patients in group A and 23.5 %(16/68)
in group B. Total number of enthesitis/capsulitis on T1+Gd was statistically
significantly higher in group A than B (4.96 vs. 2.94, p = 0.026; 8.12 vs. 5.25,
p = 0.041 for reader 1 and 2, respectively). Interreader agreement showed mixed
results for interspinous/supraspinous/capsulitis but was higher on T1+Gd (ICC =
0.838/0.783/0.367; p <= 0.001) compared to STIR (ICC = 0.652/0.298/0.224; p <=
0.032). CONCLUSION: In patients with suspected spondyloarthritis,
enthesitis/capsulitis in the lumbar spine are common findings and more
frequently/reliably detected with T1+Gd than STIR. In patients with positive SIJ
MRI, the total number of enthesitis/capsulitis in T1+Gd was higher compared to
patients with negative SIJ-MRI.
PMID- 26541453
TI - Pretreatment levels of the fatty acid handling proteins H-FABP and CD36 predict
response to olanzapine in recent-onset schizophrenia patients.
AB - Traditional schizophrenia pharmacotherapy remains a subjective trial and error
process involving administration, titration and switching of drugs multiple times
until an adequate response is achieved. Despite this time-consuming and costly
process, not all patients show an adequate response to treatment. As a
consequence, relapse is a common occurrence and early intervention is hampered.
Here, we have attempted to identify candidate blood biomarkers associated with
drug response in 121 initially antipsychotic-free recent-onset schizophrenia
patients treated with widely-used antipsychotics, namely olanzapine (n=40),
quetiapine (n=23), risperidone (n=30) and a mixture of these drugs (n=28).
Patients were recruited and investigated as two separate cohorts to allow
biomarker validation. Data analysis showed the most significant relationship
between pre-treatment levels of heart-type fatty acid binding protein (H-FABP)
and response to olanzapine (p=0.008, F=8.6, beta=70.4 in the discovery cohort and
p=0.003, F=15.2, beta=24.4 in the validation cohort, adjusted for relevant
confounding variables). In a functional follow-up analysis of this finding, we
tested an independent cohort of 10 patients treated with olanzapine and found
that baseline levels of plasma H-FABP and expression of the binding partner for H
FABP, fatty acid translocase (CD36), on monocytes predicted the reduction of
psychotic symptoms (p=0.040, F=6.0, beta=116.3 and p=0.012, F=11.9, beta=-0.0054,
respectively). We also identified a set of serum molecules changed after
treatment with antipsychotic medication, in particular olanzapine. These
molecules are predominantly involved in cellular development and metabolism.
Taken together, our findings suggest an association between biomarkers involved
in fatty acid metabolism and response to olanzapine, while other proteins may
serve as surrogate markers associated with drug efficacy and side effects.
PMID- 26541454
TI - A human monoclonal IgE antibody that binds to MGL_1304, a major allergen in human
sweat, without activation of mast cells and basophils.
AB - MGL_1304, a major allergen in human sweat for patients with atopic dermatitis
and/or cholinergic urticaria, is secreted from Malassezia globosa on human skin.
The amounts of MGL_1304 and IgE against MGL_1304 are evaluated by the histamine
release test using basophils or mast cells sensitized with serum containing IgE
against MGL_1304, and enzyme linked sorbent assay (ELISA) using MGL_1304 and anti
MGL_1304 antibodies. Here, we identified a human monoclonal IgE (ABS-IgE) that
binds to the high affinity IgE receptor (FcepsilonRI) and MGL_1304 with high
affinity (KD = 1.99 nM) but does not release histamine from basophils and mast
cells. An ELISA using ABS-IgE as a standard IgE revealed that the amount of IgE
against MGL_1304 (1000 U/ml) in the standard sera of patients with AD, employed
in our previous report, is 32 ng/ml. A sandwich ELISA using ABS-IgE as a
detection antibody showed approximately 10 times lower detection limit for
MGL_1304 than ELISA in which MGL_1304 is directly bound to an ELISA plate.
Moreover, ABS-IgE prevented histamine release from mast cells and basophils by
neutralizing MGL_1304 not only in a free form in solution, but also on
FcepsilonRI expressed on the cell surface without cell activation. ABS-IgE may be
used both to quantify the amount of MGL_1304 and anti-MGL_1304 IgE, and possibly
for the treatment of diseases caused/aggravated by type I allergy to MGL_1304.
PMID- 26541455
TI - MiR-143 enhances the antitumor activity of shikonin by targeting BAG3 expression
in human glioblastoma stem cells.
AB - Therapeutic applications of microRNAs (miRNAs) in chemotherapy were confirmed to
be valuable, but there is rare to identify their specific roles and functions in
shikonin treatment toward tumors. Here, for the first time, we reported that miR
143 played a critical role in the antitumor activity of shikonin in glioblastoma
stem cells (GSCs). The results showed that the expression of miR-143 was
downregulated in shikonin treated GSCs within 24 h. MiR-143 overexpression
significantly enhanced the inhibitory effect of shikonin toward GSCs on cell
viability. Besides, miR-143 overexpression caused a significant increase in the
apoptotic fraction and made apoptosis occur earlier. Further investigation
identified that BAG3, an apoptotic regulator, was a functional target of miR-143
in shikonin treated GSCs. The expression of BAG3 was upregulated in shikonin
treated GSCs within 24 h. MiR-143 overexpression significantly reversed the high
expression of BAG3 in shikonin treated GSCs. Moreover, it was confirmed that the
enhanced cytotoxicity of shikonin by miR-143 overexpression was reversed by BAG3
overexpression both in vitro and in vivo, suggesting that the enhanced tumor
suppressive effects by miR-143 overexpression was at least partly through the
regulation of BAG3. Taken together, for the first time, our results demonstrate
that miR-143 could enhance the antitumor activity of shikonin toward GSCs through
reducing BAG3 expression, which may provide a novel therapeutic strategy for
enhancing the treatment efficacy of shikonin toward GSCs.
PMID- 26541456
TI - Involvement of periostin-sclerostin-Wnt/beta-catenin signaling pathway in the
prevention of neurectomy-induced bone loss by naringin.
AB - Periostin has an essential role in mechanotransduction in bone. Naringin, a
natural flavonoid, has been evidenced for its osteoprotective role in
osteoporosis, while its mechanism is far from clear. Here we show that down
regulation of periostin, and up-regulation of its downstream sclerostin and
inactivation of Wnt/beta-catenin signaling were implicated in neurectomy-induced
bone loss. Naringin could up-regulate periostin and prevent neurectomy-induced
deterioration of BMD, trabecular microstructure and bone mechanical
characteristics. In conclusion, naringin could prevent progress of disuse
osteoporosis in rats, which may be mediated by increased periostin expression and
subsequently inhibition of sclerostin and activation of Wnt/beta-catenin
signaling pathways.
PMID- 26541457
TI - Innovations in steelmaking technology and hidden phosphorus flows.
AB - This article will outline the historical transition in the flow of phosphorus in
steelmaking technology, and examine the current and future phosphorus flow in
steel production and the peripheral steelmaking processes. History provides many
instances of innovative changes in steelmaking processes driven by various issues
associated with raw materials which emerged over time, such as supply, quality
and cost issues. The major steel countries with a long history, including Sweden
and Japan, have shown flexibility in their ability to adapt to the changes in the
value of resources and geopolitical conditions over times, and have enacted
survival resource utilization measures over many centuries, leading to
improvements in their respective steelmaking processes. Considering these success
stories, it stands to reason that the ideal state of steelmaking is one with a
clear stance with regard to resource policy.
PMID- 26541458
TI - Image-Guided Nontargeted Renal Biopsies Performed by Radiology-Trained Nurse
Practitioners: A Safe Practice Model.
PMID- 26541462
TI - Amyloid Fibres: Inert End-Stage Aggregates or Key Players in Disease?
AB - The formation of amyloid fibres is a hallmark of amyloid disorders. Nevertheless,
the lack of correlation between fibre load and disease as observed, for example,
in Alzheimer's disease, means that fibres are considered secondary contributors
to the onset of cellular dysfunction. Instead, soluble intermediates of amyloid
assembly are often described as the agents of toxicity. Here, we discuss recent
experimental discoveries which suggest that amyloid fibres should be considered
as disease-relevant species that can mediate a range of pathological processes.
These include disruption of biological membranes, secondary nucleation, amyloid
aggregate transmission, and the disruption of protein homeostasis (proteostasis).
Thus, a greater understanding of amyloid fibre biology could enhance prospects of
developing therapeutic interventions against this devastating class of protein
misfolding disorders.
PMID- 26541461
TI - Redefining the BH3 Death Domain as a 'Short Linear Motif'.
AB - B cell lymphoma-2 (BCL-2)-related proteins control programmed cell death through
a complex network of protein-protein interactions mediated by BCL-2 homology 3
(BH3) domains. Given their roles as dynamic linchpins, the discovery of novel BH3
containing proteins has attracted considerable attention. However, without a
clearly defined BH3 signature sequence the BCL-2 family has expanded to include a
nebulous group of nonhomologous BH3-only proteins, now justified by an intriguing
twist. We present evidence that BH3s from both ordered and disordered proteins
represent a new class of short linear motifs (SLiMs) or molecular recognition
features (MoRFs) and are diverse in their evolutionary histories. The implied
corollaries are that BH3s have a broad phylogenetic distribution and could
potentially bind to non-BCL-2-like structural domains with distinct functions.
PMID- 26541463
TI - Salinimicrobium soli sp. nov., isolated from soil of reclaimed land.
AB - A Gram-stain-negative, facultatively anaerobic, non-gliding, rod-shaped bacterial
strain, designated CAU 1287T, was isolated from a soil sample of reclaimed land
and its taxonomic position was investigated using a polyphasic approach. Strain
CAU 1287T grew optimally at 30 degrees C, at pH 5.5 and in the presence of up to
2 % (w/v) NaCl. Phylogenetic analysis based on 16S rRNA gene sequences revealed
that strain CAU 1287T formed a distinct lineage within the genus Salinimicrobium
and shared the highest 16S rRNA gene sequence similarity of 98.0 % with
Salinimicrobium gaetbulicola KCTC 23579T. Similar to other species of the genus
Salinimicrobium, menaquinone-6 (MK-6) was the only isoprenoid quinone and iso
C15:0 and anteiso-C15:0 were the major cellular fatty acid detected in strain CAU
1287T. The polar lipid pattern of strain CAU 1287T consisted of
phosphatidylethanolamine and various unidentified lipids including three
aminolipids, one aminophospholipid, one phosphoglycolipid, one glycolipid, and
three lipids. The DNA G+C content of CAU 1287T was 43.4 mol%. On the basis of
phenotypic, chemotaxonomic and phylogenetic data, strain CAU 1287T represents a
novel species of the genus Salinimicrobium, for which the name Salinimicrobium
soli sp. nov. is proposed. The type strain is CAU 1287T ( = KCTC 32163T = CCUG
64794T).
PMID- 26541464
TI - Formulation and optimization of idarubicin thermosensitive liposomes provides
ultrafast triggered release at mild hyperthermia and improves tumor response.
AB - Drug delivery through thermosensitive liposomes (TSL) in combination with
hyperthermia (HT) has shown great potential. HT can be applied locally forcing
TSL to release their content in the heated tumor resulting in high peak
concentrations. To perform optimally the drug is ideally released fast (seconds)
and taken up rapidly by tumor cells. The aim of this study was to develop a novel
thermosensitive liposome formulation of the anthracycline idarubicin (IDA-TSL).
The hydrophobicity of idarubicin may improve its release from liposomes and
subsequently rapid cellular uptake when combined mild hyperthermia. Here, we
investigated a series of parameters to optimize IDA-TSL formulation. The results
show that the optimal formulation for IDA-TSL is DPPC/DSPC/DSPE-PEG (6/3.5/0.5
mol%), with ammonium EDTA of 6.5 pH as loading buffer and a size of ~85 nm. In
vitro studies demonstrated minimal leakage of ~20% in FCS at 37 degrees C for
1h, while an ultrafast and complete triggered release of IDA was observed at 42
degrees C. On tumor cells IDA-TSL showed comparable cytotoxicity to free IDA at
42 degrees C, but low cytotoxicity at 37 degrees C. Intravital microscopy
imaging demonstrated an efficient in vivo intravascular triggered drug release of
IDA-TSL under mild hyperthermia, and a subsequent massive IDA uptake by tumor
cells. In animal efficacy studies, IDA-TSL plus mild HT demonstrated prominent
tumor growth inhibition and superior survival rate over free IDA with HT or a
clinically used Doxil treatment. These results suggest beneficial potential of
IDA-TSL combined with local mild HT.
PMID- 26541465
TI - Teaching of Independent Exercises for Prehabilitation in Breast Cancer.
AB - We attempted to determine the feasibility of studying prehabilitation exercises
to improve shoulder pain and abduction range of motion (ROM) after breast cancer
surgery. We evaluated methods of exercise teaching and assessed effect on
postsurgical seroma formation. This was a feasibility study with two non-blinded
groups of subjects randomized by timing of appointment. This single-site study
was performed at an academic tertiary medical center. Sixty cancer patients were
randomly assigned to either group 1, in-person teaching arm, n = 36, or group 2,
video-only teaching arm, n = 24. Forty-five patients completed the study.
Shoulder exercises were assigned to both groups 1 month prior to surgery during
evaluation. Group 1 received in-person instruction on exercises, plus an
information sheet with exercises and a link to an online video. Group 2 received
only the information sheet with exercises and a link to the online video. The
primary outcomes considered are as follows: exercise compliance, shoulder pain
(via visual analog scale), shoulder abduction ROM (via goniometer), and presence
or absence of seroma. Seventy-six percent of study patients chose to exercise.
There was no difference in exercise compliance between in-person teaching versus
video teaching (75 %, 24/32 vs. 77 %, 10/13, OR = 1.03). Sixty-six of patients
(20/30) lost greater than 10 degrees shoulder abduction ROM at 1 month post
surgery. Twenty-nine of patients (9/31) had worse shoulder pain than baseline at
1 month post surgery (24 %, 6/25 exercisers, and 50 %, 3/6 non-exercisers).
Fifteen percent of patients (4/27) had worse shoulder pain than baseline at 3
months post surgery (8 %, 2/23 exercisers, and 100 %, 2/2 non-exercisers).
Prehabilitation exercise program inferred no additional risk of seroma formation
(Exercisers 21 %, 7/33 vs. non-exercisers 22 %, 2/9, OR = 0.94). Our subjects
were able to perform three exercises independently in the preoperative period. A
high-quality randomized controlled trial is necessary to assess the appropriate
timing and efficacy of this intervention.
PMID- 26541466
TI - Affinity reagents for studying histone modifications & guidelines for their
quality control.
AB - Histone post-translational modifications (PTMs) have pivotal functions in many
chromatin processes, which makes their detection and characterization an
imperative in chromatin biology. The established approaches for histone PTM
characterization are generally based on affinity reagents specific for modified
histone tails such as antibodies and, most recently, recombinant reading domains.
Hence, the proper performance of these reagents is a critical precondition for
the validity of the generated experimental data. In this review, we evaluate and
update the quality criteria for assessment of the binding specificity of histone
PTM affinity reagents. In addition, we discuss in detail the advantages and
pitfalls of using antibodies and recombinant reading domains in chromatin biology
research. Reading domains provide key advantages, such as consistent quality and
recombinant production, but the future will tell if this emerging technology
keeps its promises.
PMID- 26541467
TI - Study on electronic structures and properties of neutral and charged arsenic
sulfides [As n S3 ((-1,0,+1)), n =1-6] with the Gaussian-3 scheme.
AB - The structures and energies of neutral and charged arsenic sulfides As n S3 ((
1,0,+1)) (n = 1-6) were studied systematically with the G3 method. The ground
state structures of these species are reported. The ground-state structures of As
n S3 with n >= 4 can be considered as resulting from the replacement of an As
atom of the ground-state structure of neutral As n+1S2 by an S atom. In neutral
As n S3, the character of sulfur bonding is edge-bridging. The ground-state
structures of anion As n S3 (-) sometimes differ from their corresponding neutral
structures. In such case, they exhibit a terminal sulfur atom. The ground-state
structures of cationic As n S3 (+) are also sometimes different from the
corresponding neutral ones. There, sulfur bonding can exhibit face-capping and
arsenic can be four-fold coordinated. The potential energy surfaces of As4S3 (+)
and As5S3 (+) are very flat and co-existence of various isomers of As4S3 (+) and
As5S3 (+) is possible. Reliable adiabatic electron affinities (AEAs) and
adiabatic ionization potentials (AIPs) of As n S3 are predicted. There are odd
even alternations in both AEAs and AIPs as a function of size. In addition, the
reliable vertical detachment energies (VDEs) and vertical ionization potentials
(VIPs) are presented. The dissociation energies (DEs) of S (and/or its ion S((
/+))) from As n S3 species and their ions were calculated to examine relative
stabilities. The hardnesses and HOMO-LUMO gaps of As n S3 (n = 1-6) were
evaluated and used to discuss relative chemical reactivity.
PMID- 26541468
TI - Pressure-induced phase transition in CrO2.
AB - The ab initio constant pressure molecular dynamics technique and density
functional theory with generalized gradient approximation (GGA) was used to study
the pressure-induced phase transition of CrO2. The phase transition of the rutile
(P42/mnm) to the orthorhombic CaCl2 (Pnnm) structure at 30 GPa was determined
successfully in a constant pressure simulation. This phase transition was
analyzed from total energy calculations and, from the enthalpy calculation,
occurred at around 17 GPa. Structural properties such as bulk modules, lattice
parameters and phase transition were compared with experimental results. The
phase transition at 12 +/- 3 GPa was in good agreement with experimental results,
as was the phase transition from the orthorhombic CaCl2 (Pnnm) to the monoclinic
(P21/c) structure also found at 35 GPa.
PMID- 26541469
TI - Treatment of Methicillin-Resistant Staphylococcus aureus (MRSA) Pneumonia with
Ceftaroline Fosamil in a Patient with Inhalational Thermal Injury.
AB - A 48-year-old female, who was found unresponsive and suffered inhalation injury
secondary to a house fire, was transferred to our burn center for definitive
treatment. Post tracheostomy, the patient became febrile and tachycardic. On
hospital day (HD) 5, the patient expressed thick yellow secretions during
suctioning and diffuse rhonchi was noted on physical exam. Blood cultures and a
culture from the broncheo-alvelolar lavage grew Gram-positive cocci in clusters
and the patient was started on empiric vancomycin. Despite aggressive vancomycin
dosing (1750 mg intravenously every 6 h), the patient's status continued to
deteriorate. The organism was identified as methicillin-resistant Staphylococcus
aureus (MRSA) with a vancomycin minimum inhibitory concentration (MIC) of 2 mg/L.
Based on the potential for drug-drug interactions with linezolid, the patient was
started on ceftaroline fosamil (MIC = 0.5 mg/L) 600 mg intravenously every 8 h
with a prolonged 2-h infusion to anticipate suboptimal concentrations secondary
to thermal burn injury. Post change in antibiotic therapy, a rapid clinical
improvement was observed with the patient becoming afebrile at 48 h after
initiation of ceftaroline. The patient completed a total of 14 days of
ceftaroline therapy and was subsequently weaned from the ventilator on HD 22 and
decannulated 2 days later. To our knowledge, this is the first report of the use
of ceftaroline for the treatment of MRSA pneumonia in a patient with thermal
injury.
PMID- 26541470
TI - Changes in the NMR Metabolic Profile of Live Human Neuron-Like SH-SY5Y Cells
Exposed to Interferon-alpha2.
AB - Interferon (IFN)-alpha2 is an extensively therapeutically used pro-inflammatory
cytokine. Though its efficacy in controlling viral replication and tumor cells
proliferation, administration of IFN-alpha2 is often associated with the
development of central side effects. Magnetic resonance spectroscopy studies have
demonstrated that IFN-alpha2 administration affects brain metabolism, however the
exact nature of this effect is not completely known. We hypothesized that IFN
alpha2 can affect metabolic activity of human neuron-like SH-SY5Y cells which
possess many characteristics of neurons and represent one of the most used models
for studying mechanisms involved in neurotoxicity or neuroprotection. To test our
hypothesis we have characterized the metabolic signature of live SH-SY5Y, and
their conditioned media, after 24 and 72 h of exposure to vehicle or IFN-alpha2
(100 ng/ml) by using High Resolution-Magic Angle Spinning (HR-MAS) Nuclear
Magnetic Resonance (NMR) spectroscopy. Our results revealed that 1) the use of HR
MAS NMR is ideally suitable for the characterization of the metabolic profile of
live cells and their conditioned media without extraction procedures; and 2) a 72
h exposure to IFN-alpha2 increases the level of metabolites involved in
maintaining energetic (including creatine and lactate) and osmotic (such as myo
inositol, scyllo-inositol, taurine and glycerophosphorylcholine) balances in
neuron-like cells and of metabolic waste products (namely lactate, ethanol and
acetate), glycine and glutamine in their growth media. These results may
contribute to gain more knowledge about the IFN-alpha2 induced effect on the
brain and support the interpretation of magnetic resonance spectroscopy studies
performed in humans.
PMID- 26541471
TI - Survival outcomes of 220 consecutive patients with three-staged thoracoscopic
esophagectomy.
AB - Patients with thoracic esophageal cancer are often treated by minimally invasive
esophagectomy. However, the long-term survival benefits of minimally invasive
esophagectomy remain unclear. Two approaches are available for thoracoscopic
surgery: one with the patient in the left lateral decubitus position (LLDP), and
the other with the patient in the prone position (PP). We investigated the
survival benefit of thoracoscopic esophagectomy according to the tumor stage and
patient position during the thoracoscopic procedure. We reviewed the records of
220 consecutive patients with esophageal cancer treated from 1998 to 2012. In
total, 146 and 74 patients were treated with thoracoscopic esophagectomy in the
LLDP and PP, respectively. No patients were initially proposed to be candidates
for esophagectomy by thoracotomy during the study period. Data collection was
performed with a focus on survival and recurrent disease. Among all the 220
patients, the overall 5-year survival rates were 83.7%, 74.1%, 45.5%, 78.6%,
44.2%, 29.4% and 24.3% in the patients with pStage IA, IB, IIA, IIB, IIIA, IIIB
and IIIC disease, respectively. Despite the greater number of dissected
mediastinal lymph nodes in the PP procedure, there were no significant
differences in the survival curves between the LLDP and PP procedures. The long
term results of thoracoscopic esophagectomy are comparable and acceptable. The PP
procedure was not confirmed to offer a superior survival benefit to the LLDP
procedure in this retrospective study.
PMID- 26541472
TI - Quantification of interstitial fluid on whole body CT: comparison with whole body
autopsy.
AB - PURPOSE: Interstitial fluid accumulation can occur in pleural, pericardial, and
peritoneal spaces, and subcutaneous tissue planes. The purpose of the study was
to assess if whole body CT examination in a postmortem setting could help
determine the presence and severity of third space fluid accumulation in the
body. MATERIALS AND METHODS: Our study included 41 human cadavers (mean age 61
years, 25 males and 16 females) who had whole-body postmortem CT prior to
autopsy. All bodies were maintained in the morgue in the time interval between
death and autopsy. Two radiologists reviewed the whole-body CT examinations
independently to grade third space fluid in the pleura, pericardium, peritoneum,
and subcutaneous space using a 5-point grading system. Qualitative CT grading for
third space fluid was correlated with the amount of fluid found on autopsy and
the quantitative CT fluid volume, estimated using a dedicated software program
(Volume, Syngo Explorer, Siemens Healthcare). RESULTS: Moderate and severe
peripheral edema was seen in 16/41 and 7/41 cadavers respectively. It is not
possible to quantify anasarca at autopsy. Correlation between imaging data for
third space fluid and the quantity of fluid found during autopsy was 0.83 for
pleural effusion, 0.4 for pericardial effusion and 0.9 for ascites. The degree of
anasarca was significantly correlated with the severity of ascites (p < 0.0001)
but not with pleural or pericardial effusion. There was strong correlation
between volumetric estimation and qualitative grading for anasarca (p < 0.0001)
and pleural effusion (p < 0.0001). CONCLUSION: Postmortem CT can help in accurate
detection and quantification of third space fluid accumulation. The quantity of
ascitic fluid on postmortem CT can predict the extent of anasarca.
PMID- 26541473
TI - Complete genome sequence of the siphovirus Roseophage RDJLPhi 2 infecting
Roseobacter denitrificans OCh114.
AB - RDJLPhi2, a lytic phage that infects the marine bacterium Roseobacter
denitrificans OCh114, one of the model organisms of the Roseobacter clade, was
isolated. Here we report the overall genome architecture of RDJLPhi2.
Morphological and genome analysis revealed that RDJLPhi2 is a siphovirus with a
63.5 kb genome that contains 76 putative gene products.
PMID- 26541474
TI - Functional characterization of the hGRalphaT556I causing Chrousos syndrome.
AB - BACKGROUND: Chrousos syndrome is a rare pathologic condition characterized by
generalized, partial resistance of target tissues to glucocorticoids and caused
by inactivating mutations of the human glucocorticoid receptor (hGR) gene. A
novel case of Chrousos syndrome has been reported in a patient with adrenal
incidentaloma, who harboured a heterozygous point mutation in the hGR gene, which
resulted in threonine (T) to isoleucine (I) substitution at amino acid position
556 in the ligand-binding domain of the receptor. OBJECTIVE: To delineate the
molecular mechanisms through which the mutant receptor hGRalphaT556I causes
Chrousos syndrome. DESIGN AND RESULTS: Compared with the wild-type receptor, the
mutant receptor hGRalphaT556I demonstrated 50% reduction in its ability to
transactivate glucocorticoid-responsive genes and in the affinity for the ligand,
30% increase in the ability to transrepress the nuclear factor-kappaB-target
genes and a 3,4-fold delay in the cytoplasmic-to-nuclear translocation. The
mutant receptor hGRalphaT556I did not exert a dominant negative effect upon the
hGRalpha-mediated transcriptional activity; it preserved its ability to bind to
DNA and interacted with the glucocorticoid receptor-interacting protein 1
coactivator mostly through its activation function-1 domain. Structural biology
studies revealed that the T556I mutation caused disruption of the hydrogen bond
formed by the T556 with the =O group of P637 backbone, which resulted in a
significant relocation of the P637-bearing loop. This conformational alteration
affected the local 3D arrangement of the receptor and hence the electrostatic
surface of the region. CONCLUSIONS: The hGRalphaT556I causes Chrousos syndrome by
impairing multiple steps of the glucocorticoid signal transduction pathway.
PMID- 26541475
TI - Single-Step Functionalization and Exfoliation of Graphene with Polymers under
Mild Conditions.
AB - The simultaneous polymer functionalization and exfoliation of graphene sheets by
using mild bath sonication and heat treatment at low temperature is described. In
particular, free-radical polymerization of three different vinyl monomers takes
place in the presence of graphite flakes. The polymerization procedure leads to
the exfoliation of graphene sheets and at the same time the growing polymer
chains are attached onto the graphene lattice, which gives solubility and
stability to the final graphene-based hybrid material. The polymer-functionalized
graphene sheets possess fewer defects as compared with previously reported
polymer-functionalized graphene. The success of the covalent functionalization
and exfoliation of graphene was confirmed by using a variety of complementary
spectroscopic, thermal, and microscopy techniques, including Raman, IR and UV/Vis
spectroscopy, thermogravimetric analysis, and transmission electron microscopy.
PMID- 26541476
TI - Childhood Sexual Abuse Moderates the Relationship Between Obesity and Mental
Health in Low-Income Women.
AB - We examined whether a history of self-reported childhood sexual abuse (CSA)
moderates the relationship between obesity and mental health symptoms
(depression, anxiety, and posttraumatic stress disorder) in an ethnically diverse
sample of low-income women. A community sample of 186 women completed self-report
measures and had their weight and height measured. Body mass index and CSA had an
interactive effect on all mental health measures, such that obese women with a
CSA history reported substantially higher levels of all symptoms. These results
give greater specificity to the obesity-mental health link reported in previous
studies and provide possible directions for targeted intervention.
PMID- 26541477
TI - Mechanisms underlying the activity-dependent regulation of locomotor network
performance by the Na+ pump.
AB - Activity-dependent modification of neural network output usually results from
changes in neurotransmitter release and/or membrane conductance. In Xenopus frog
tadpoles, spinal locomotor network output is adapted by an ultraslow
afterhyperpolarization (usAHP) mediated by an increase in Na(+) pump current.
Here we systematically explore how the interval between two swimming episodes
affects the second episode, which is shorter and slower than the first episode.
We find the firing reliability of spinal rhythmic neurons to be lower in the
second episode, except for excitatory descending interneurons (dINs). The
sodium/proton antiporter, monensin, which potentiates Na(+) pump function,
induced similar effects to short inter-swim intervals. A usAHP induced by supra
threshold pulses reduced neuronal firing reliability during swimming. It also
increased the threshold current for spiking and introduced a delay to the first
spike in a train, without reducing subsequent firing frequency. This delay was
abolished by ouabain or zero K(+) saline, which eliminate the usAHP. We present
evidence for an A-type K(+) current in spinal CPG neurons which is inactivated by
depolarization and de-inactivated by hyperpolarization, and accounts for the
prolonged delay. We conclude that the usAHP attenuates neuronal responses to
excitatory network inputs by both membrane hyperpolarization and enhanced de
inactivation of an A-current.
PMID- 26541478
TI - Surgical anatomy of oropharynx and supraglottic larynx for transoral robotic
surgery.
AB - Traditional external surgical approaches have been used for the surgical
management of the oropharyngeal and laryngeal tumors. Trans-oral robotic surgery
allows surgeon to operate oropharyngeal and supraglottic tumors through the mouth
with preservation of functions. The surgeons must be knowledgeable about the
anatomy of the oral cavity and oropharynx medial to lateral perspective. In this
article, we will describe the relevant inside out surgical anatomy and its
clinical implications for trans-oral robotic surgery.
PMID- 26541479
TI - Epidural analgesia is superior to local infiltration analgesia in children with
cerebral palsy undergoing unilateral hip reconstruction.
AB - BACKGROUND AND PURPOSE: Treatment of postoperative pain in children with cerebral
palsy (CP) is a major challenge. We investigated the effect of epidural
analgesia, high-volume local infiltration analgesia (LIA), and an approximated
placebo control on early postoperative pain in children with CP who were
undergoing unilateral hip reconstruction. PATIENTS AND METHODS: Between 2009 and
2014, we included 18 children with CP. The first part of the study was a
randomized double-blind trial with allocation to either LIA or placebo for
postoperative pain management, in addition to intravenous or oral analgesia. In
the second part of the study, the children were consecutively included for
postoperative pain management with epidural analgesia in addition to intravenous
or oral analgesia. The primary outcome was postoperative pain 4 h postoperatively
using 2 pain assessment tools (r-FLACC and VAS-OBS) ranging from 0 to 10. The
secondary outcome was opioid consumption over the 21-h study period. RESULTS: The
mean level of pain 4 h postoperatively was lower in the epidural group (r-FLACC:
0.7; VAS-OBS: 0.6) than in both the LIA group (r-FLACC: 4.8, p = 0.01; VAS-OBS:
5.2, p = 0.02) and the placebo group (r-FLACC: 5.2, p = 0.01; VAS-OBS: 6.5, p <
0.001). Corrected for body weight, the mean opioid consumption was lower in the
epidural group than in the LIA group and the placebo group (both p < 0.001).
INTERPRETATION: Epidural analgesia is superior to local infiltration analgesia
for early postoperative pain management in children with cerebral palsy who
undergo unilateral hip reconstruction.
PMID- 26541480
TI - Modular Assembly of Allosteric MEK Inhibitor Structural Elements Unravels Potency
and Feedback-Modulation Handles.
AB - Having recently identified a so-far unexplored area adjacent to the known binding
site of allosteric mitogen-activated protein kinase kinase (MEK) inhibitors, we
now report an extension of these studies by combining our new side chains with
different MEK inhibitor cores in a modular manner. Replacement of the amide
headgroup with inverse sulfonamides resulted in the identification of new MEK
inhibitors with at least 10-fold higher cellular potency against K-Ras-mutated
tumor cells. A selected inhibitor from this new series retained the favorable
pharmacokinetic profile of its predecessor in rodent and non-rodent species and
displayed significant in vivo efficacy at once-daily oral doses of 0.25-1 mg kg(
1) in a K-Ras-mutated xenograft model. The brain penetration potential of this
analogue was significantly attenuated relative to PD325901. In a second series,
the central fluorophenyl core was replaced by a pyridine moiety which gave rise
to a similar boost in cellular potency. Most notably, analogues from this second
series do not show MEK feedback phosphorylation in K-Ras-mutated A549 cells. Our
results complement recent reports on the structural intricacies of MEK-Raf
feedback interactions.
PMID- 26541483
TI - The flagellum in bacterial pathogens: For motility and a whole lot more.
AB - The bacterial flagellum is an amazingly complex molecular machine with a
diversity of roles in pathogenesis including reaching the optimal host site,
colonization or invasion, maintenance at the infection site, and post-infection
dispersal. Multi-megadalton flagellar motors self-assemble across the cell wall
to form a reversible rotary motor that spins a helical propeller - the flagellum
itself - to drive the motility of diverse bacterial pathogens. The flagellar
motor responds to the chemoreceptor system to redirect swimming toward beneficial
environments, thus enabling flagellated pathogens to seek out their site of
infection. At their target site, additional roles of surface swimming and
mechanosensing are mediated by flagella to trigger pathogenesis. Yet while these
motility-related functions have long been recognized as virulence factors in
bacteria, many bacteria have capitalized upon flagellar structure and function by
adapting it to roles in other stages of the infection process. Once at their
target site, the flagellum can assist adherence to surfaces, differentiation into
biofilms, secretion of effector molecules, further penetration through tissue
structures, or in activating phagocytosis to gain entry into eukaryotic cells.
Next, upon onset of infection, flagellar expression must be adapted to deal with
the host's immune system defenses, either by reduced or altered expression or by
flagellar structural modification. Finally, after a successful growth phase on or
inside a host, dispersal to new infection sites is often flagellar motility
mediated. Examining examples of all these processes from different bacterial
pathogens, it quickly becomes clear that the flagellum is involved in bacterial
pathogenesis for motility and a whole lot more.
PMID- 26541484
TI - Hypoglycemia: the dangerous side of great control.
PMID- 26541485
TI - REMOVED: Hypoglycemia.
PMID- 26541482
TI - The central role of hypothalamic inflammation in the acute illness response and
cachexia.
AB - When challenged with a variety of inflammatory threats, multiple systems across
the body undergo physiological responses to promote defense and survival. The
constellation of fever, anorexia, and fatigue is known as the acute illness
response, and represents an adaptive behavioral and physiological reaction to
stimuli such as infection. On the other end of the spectrum, cachexia is a deadly
and clinically challenging syndrome involving anorexia, fatigue, and muscle
wasting. Both of these processes are governed by inflammatory mediators including
cytokines, chemokines, and immune cells. Though the effects of cachexia can be
partially explained by direct effects of disease processes on wasting tissues, a
growing body of evidence shows the central nervous system (CNS) also plays an
essential mechanistic role in cachexia. In the context of inflammatory stress,
the hypothalamus integrates signals from peripheral systems, which it translates
into neuroendocrine perturbations, altered neuronal signaling, and global
metabolic derangements. Therefore, we will discuss how hypothalamic inflammation
is an essential driver of both the acute illness response and cachexia, and why
this organ is uniquely equipped to generate and maintain chronic inflammation.
First, we will focus on the role of the hypothalamus in acute responses to
dietary and infectious stimuli. Next, we will discuss the role of cytokines in
driving homeostatic disequilibrium, resulting in muscle wasting, anorexia, and
weight loss. Finally, we will address mechanisms and mediators of chronic
hypothalamic inflammation, including endothelial cells, chemokines, and
peripheral leukocytes.
PMID- 26541486
TI - Acute management and outcomes of patients with diabetes mellitus presenting to
Canadian emergency departments with hypoglycemia.
AB - OBJECTIVES: This retrospective chart audit examined the demographics,
investigations, management and outcomes of adult patients with diabetes mellitus
presenting to Canadian emergency departments (EDs). METHODS: All sites conducted
a search of their electronic medical records using International Classification
of Diseases, Tenth Revision, codes to identify ED visits for hypoglycemia between
2008 and 2010. Patient characteristics, demographics, ED management, ED resources
and outcome are reported. RESULTS: A total of 1039 patients over the age of 17
years were included in the study; 347 (33.4%) were classified as type 1 diabetes
and 692 (66.6%) were classified as type 2 diabetes. Type 2 diabetes patients were
significantly older (73 vs. 49 years; p<0.0001) and had more chronic conditions
recorded on their chart (all p<0.001). Most subjects arrived by ambulance, and
triage scores revealed severe presentations in 39% of cases. Treatments for
hypoglycemia were common (75.7%) during prehospital transport; 38.5% received
intravenous glucose and 40.1% received glucagon. Hypoglycemia treatments in the
ED included oral (76.8%), intravenous (29.6%) and continuous infusion (27.7%) of
glucose. Diagnostic testing (81.9%) commonly included electrocardiograms (51.9%),
chest radiography (37.5%) and head computed tomography scans (14.5%). Most
patients (73.5%) were discharged; however, more subjects with type 2 diabetes
required admission (30.3 vs. 8.8%). Discharge instructions were documented in
only 55.5% of patients, and referral to diabetes services occurred in fewer than
20% of cases. Considerable variation existed in the management of hypoglycemia
across EDs. CONCLUSIONS: Patients with diabetes presenting to an ED with
hypoglycemia consume considerable healthcare resources, and practice variation
exists. Emergency departments should develop protocols for the management of
hypoglycemia, with attention to discharge planning to reduce recurrence.
PMID- 26541487
TI - Dosing irregularities and self-treated hypoglycemia in type 2 diabetes: results
from the Canadian Cohort of an International Survey of Patients and Healthcare
Professionals.
AB - OBJECTIVE: Despite their importance in achieving good glycemic control, few real
world data on insulin dosing irregularities and hypoglycemia are available. The
multinational, online Global Attitude of Patients and Physicians (GAPP2) survey
was conducted to address this situation. METHODS: Insulin-treated patients with
type 2 diabetes and healthcare professionals (HCPs) who treat such patients were
surveyed in an online cross-sectional study. This article summarizes findings
from a sample of the online population in a Canadian cohort of 156 patients and
202 HCPs. RESULTS: A total of 156 patients completed the questionnaires; 26%
reported experiencing a dosing irregularity (missed, mistimed or reduced a basal
insulin dose) in the previous 30 days. Up to 60% reported risk for hypoglycemia
as the reason for intentional dosing irregularities. Of all patients, 80%
reported experiencing a self-treated hypoglycemic event, and 33% recalled having
at least 1 event in the previous month. HCPs recorded similar levels of patient
reported dosing irregularities. Over 90% indicated they recommended patients to
temporarily reduce their insulin doses to deal with hypoglycemia. CONCLUSIONS: A
sizeable minority of patients experienced dosing irregularities and self-treated
hypoglycemia in this Canadian cohort. The data suggest that HCPs who completed
the survey are aware of this and of the need to provide education and support for
patients who regularly miss, mistime or reduce insulin doses. Although the desire
to prevent hypoglycemic events is understandable and important, HCPs need to
ensure fear of hypoglycemia does not compromise optimal diabetes management.
PMID- 26541489
TI - The hypoglycemic risk of glyburide (glibenclamide) compared with modified-release
gliclazide.
AB - OBJECTIVES: The risk for hypoglycemia when taking glyburide compared with
modified-release gliclazide remains to be established in older adults in routine
care. We investigated the risk of a hospital encounter with hypoglycemia
following a new prescription for glyburide compared with modified-release
gliclazide. METHODS: In 2 population-based matched retrospective cohort studies
in Ontario, Canada, between 2002 and 2011, we examined older adults who were
newly prescribed glyburide or gliclazide as monotherapy or in the presence of
metformin. Our primary outcome was a hospital encounter with hypoglycemia
assessed within 90 days. RESULTS: The baseline characteristics between matched
groups were similar. Initiating glyburide vs. gliclazide as monotherapy was
associated with a higher risk for a hospital encounter with hypoglycemia (69
patients of 4374 taking glyburide [1.58%] vs. 8 patients of 4374 taking
gliclazide [0.18%], absolute risk increase 1.40% [95% CI 1.01% to 1.79%], number
needed to harm 71 [55 to 99], odds ratio 8.63 [95% CI 4.15 to 17.93], p<0.0001).
Similar findings were noted when glyburide vs. gliclazide was initiated in the
presence of metformin (110 patients of 8038 taking glyburide [1.37%] vs. 19
patients of 8038 taking gliclazide [0.24%], absolute risk increase 1.13% [95% CI
0.86% to 1.40%], number needed to harm 77 [71 to 116], odds ratio 6.06 [95% CI
3.68 to 9.97], p<0.0001). CONCLUSIONS: Glyburide was associated with a higher
risk for hypoglycemia than modified-release gliclazide. The results of our
studies may help to convince healthcare professionals who use glyburide to
consider modified-release gliclazide as a safer alternative.
PMID- 26541488
TI - Prospective assessment of hypoglycemia symptoms in children and adults with type
1 diabetes.
AB - PURPOSE: To compare the characteristics of symptoms of hypoglycemia in children
and in adults with type 1 diabetes. METHODS: Adults with diabetes and parents of
children with diabetes who were participants were asked to call a phone system to
report episodes of hypoglycemia (presence of symptoms and a blood glucose <4.0
mmol/L). For each episode, blood glucose reading and a scoring of 28 symptoms on
a 7-point scale (1 = not present, 7 = very intense) were collected. RESULTS:
Sixty six children (49.2% males, mean age = 12.1+/-2.4 years, mean age at
diagnosis = 7.5+/-2.9 years) and 53 adults (41.2% males, mean age 38.7+/-14.5
years, mean age at diagnosis = 17.5+/-12.9 years) with type 1 diabetes
participated. The most common symptoms in adults were hunger, sweating, trembling
and weakness. The most common symptoms in children were weakness, trembling and
hunger. The 2 most discriminating variables between children and adults were
sleepiness and tiredness, which were more common in children (p<0.01). In a
comparative factor analysis, 3 factors emerged: factor 1, autonomic and
neuroglycopenic; factor 2, behavioural; and factor 3, general malaise. Factors 2
and 3 were significantly more common or intense in children than in adults;
MANOVA: F(1, 113) = 6.72, p<0.05 and F(1, 113) = 4.64, p<0.05, respectively.
CONCLUSIONS: Symptoms relating to behaviour and general malaise are more common
in children than in adults with type 1 diabetes. The results of this study may
assist providers in educating caregivers of children and patients with diabetes
how to better recognize episodes of hypoglycemia.
PMID- 26541490
TI - Two New Species of Homalometron (Digenea: Apocreadiidae) from Nearctic Freshwater
Fundulids, Elucidation of the Life Cycle of H. cupuloris, and Molecular
Phylogenetic Analysis of Some Congeners.
AB - Two species of digeneans belonging in Homalometron are described from Nearctic
freshwater fundulid fishes: Homalometron robisoni n. sp. is described from the
Blackstripe Topminnow, Fundulus notatus, from Oklahoma and Homalometron
frocioneae n. sp. is described from the Banded Killifish, Fundulus diaphanus,
from New York. Homalometron robisoni n. sp. differs from all congeners by having
vitelline follicles that extend into the forebody, a feature that necessitates
altering the generic diagnosis for the genus. Homalometron frocioneae n. sp. may
be distinguished from North and Middle American congeners by the position of the
intestinal bifurcation (relatively more posterior in the forebody than in other
species) and tegumental spine coverage on the body (spines are absent from the
posterior body extremity and on most of the dorsal surface). Comparison of
ribosomal DNA (ITS 1 and 2 regions, 5.8S gene, and partial fragment of 28S gene)
from the 2 new species and some congeners from the Western Hemisphere provided
evidence for the validity of the 2 new species and affirmed a close relationship
between H. robisoni n. sp. and Homalometron pallidum. Comparison of ribosomal DNA
from newly collected Homalometron spp. and larval stages of an apocreadiid from
brackish water hydrobiid snails (cercariae in rediae in Littoridinops palustris
and metacercariae in L. palustris and Amnicola limosa ) from a tidal river in
Mississippi revealed that larval stages represented Homalometron cupuloris. A
phylogeny based on Bayesian inference analysis using partial 28S rDNA gene
fragments from 14 species of Homalometron (all from the Western Hemisphere) and 1
megaperine and rooted by a second megaperine was conducted and produced a
strongly supported phylogram that estimates the interrelationships among species.
The estimated phylogeny suggests that ecological factors such as salinity and
food web interactions between species of Homalometron, intermediate hosts, and
fishes drive coevolutionary forces influencing speciation within Homalometron.
PMID- 26541493
TI - School readiness of children with language impairment: predicting literacy skills
from pre-literacy and social-behavioural dimensions.
AB - BACKGROUND: School readiness generally captures the notion that children do best
when they arrive at formal schooling with a certain threshold of skill that will
help them thrive in the classroom's academic and social milieu. AIMS: To examine
the dimensionality of the construct of school readiness among children with
language impairment (LI), as well as the extent to which these dimensions relate
to children's end-of-kindergarten literacy skills. METHODS & PROCEDURES:
Participants were 136 preschool-aged children with LI. Children were assessed on
measures of pre-literacy, social, and behavioural skills in preschool and reading
and spelling in kindergarten. OUTCOMES & RESULTS: Confirmatory factor analyses
indicated that school readiness for this sample of children with LI is best
characterized as two dimensions: pre-literacy and socio-emotional. Of the two
dimensions, pre-literacy readiness was predictive of children's future
performance in reading and spelling. CONCLUSIONS & IMPLICATIONS: The results
further our theoretical understanding of the dimensions of school readiness, as
well as our knowledge of how these skills are related among children with LI.
Identifying domain-specific readiness skills that are predictive of kindergarten
success can help to identify means of early assessment and targets for speech
language intervention.
PMID- 26541492
TI - Reduced in vivo hepatic proteome replacement rates but not cell proliferation
rates predict maximum lifespan extension in mice.
AB - Combating the social and economic consequences of a growing elderly population
will require the identification of interventions that slow the development of age
related diseases. Preserved cellular homeostasis and delayed aging have been
previously linked to reduced cell proliferation and protein synthesis rates. To
determine whether changes in these processes may contribute to or predict delayed
aging in mammals, we measured cell proliferation rates and the synthesis and
replacement rates (RRs) of over a hundred hepatic proteins in vivo in three
different mouse models of extended maximum lifespan (maxLS): Snell Dwarf, calorie
restricted (CR), and rapamycin (Rapa)-treated mice. Cell proliferation rates were
not consistently reduced across the models. In contrast, reduced hepatic protein
RRs (longer half-lives) were observed in all three models compared to controls.
Intriguingly, the degree of mean hepatic protein RR reduction was significantly
correlated with the degree of maxLS extension across the models and across
different Rapa doses. Absolute rates of hepatic protein synthesis were reduced in
Snell Dwarf and CR, but not Rapa-treated mice. Hepatic chaperone levels were
unchanged or reduced and glutathione S-transferase synthesis was preserved or
increased in all three models, suggesting a reduced demand for protein renewal,
possibly due to reduced levels of unfolded or damaged proteins. These data
demonstrate that maxLS extension in mammals is associated with improved hepatic
proteome homeostasis, as reflected by a reduced demand for protein renewal, and
that reduced hepatic protein RRs hold promise as an early biomarker and potential
target for interventions that delay aging in mammals.
PMID- 26541494
TI - Real-Time Quaking-Induced Conversion Analysis for the Diagnosis of Sporadic
Creutzfeldt-Jakob Disease in Korea.
AB - BACKGROUND AND PURPOSE: The level of 14-3-3 protein in the cerebrospinal fluid
(CSF) is increased in Creutzfeldt-Jakob disease (CJD) patients, which has led to
it being used as a clinical biomarker for the ante-mortem diagnosis of human
prion diseases. However, the specificity of the 14-3-3 protein is less reliable
for CJD diagnosis. Newly developed assays including real-time quaking-induced
conversion (RT-QuIC) have made it possible to detect the PrPSc-like abnormal
prion isoform with a high sensitivity in animal and human specimens that might
contain a minute amount of PrP(Sc) due to in vitro prion replication. METHODS:
This study applied a highly sensitive RT-QuIC assay using recombinant human PrP
to detect PrP(Sc) in the CSF of 81 patients with sporadic CJD (sCJD) in Korea.
RESULTS: RT-QuIC analysis of the CSF samples based on the expression levels of 14
3-3 and total tau proteins revealed positivity in 62 of 81 sCJD patients
(sensitivity of 76.5%) but no positive results in the 100 non-CJD patients.
CONCLUSIONS: The sensitivity of the RT-QuIC in this study was similar to that in
some previous reports, and the specificity of RT-QuIC was higher than that of 14
3-3 in CSF, suggesting that RT-QuIC analysis can complement the weakness of the
specificity of 14-3-3 for the diagnosis of sCJD. These results indicate that RT
QuIC might be very useful for the rapid and specific diagnosis of sCJD and
provide a practical novel method for the ante-mortem diagnosis of human prion
diseases.
PMID- 26541495
TI - Adherence to Guidelines for Antithrombotic Therapy in Patients with Atrial
Fibrillation According to CHADS2 Score before and after Stroke: A Multicenter
Observational Study from Korea.
AB - BACKGROUND AND PURPOSE: A substantial proportion of patients with atrial
fibrillation (AF) are not treated optimally; however, the inappropriateness of
drug therapy has never been evaluated before or after a stroke event. We
investigated the adherence to guidelines for therapy in AF patients hospitalized
with acute ischemic stroke (AIS) before stroke onset and at discharge, with the
aim of identifying the factors associated with inappropriate therapy. METHODS:
AIS patients with AF hospitalized within 7 days of onset were identified from a
prospective nine-center stroke registry database. Two cohorts were defined:
patients diagnosed with AF prior to the stroke event (admission cohort) and
patients diagnosed with AF at discharge from hospital (discharge cohort). Any of
the following conditions were regarded as nonadherence to guidelines in this
study: use of anticoagulant or nonuse of antithrombotics with CHADS2 score=0,
nonuse of antithrombotics with CHADS2 score=1, or nonuse of anticoagulant with
CHADS2 score >=2. RESULTS: Overall, 406 patients were enrolled in the admission
cohort and 518 in the discharge cohort. The rates of nonadherence before a stroke
event and at discharge were 77.8% and 33.3%, respectively. These rates varied
widely for both cohorts, with interhospital differences being statistically
significant. Multivariable analysis revealed that old age, stroke history, and
congestive heart failure were associated with nonadherence before stroke. At
discharge, males, coronary heart disease, inappropriate antithrombotic use before
stroke, and functional disability at discharge were associated with nonadherence.
CONCLUSIONS: This study shows that antithrombotic use in AIS patients with AF
might be not optimal before and after stroke in Korea.
PMID- 26541496
TI - Ataxia with Oculomotor Apraxia Type 1 without Oculomotor Apraxia: A Case Report.
PMID- 26541497
TI - Malignant Posterior Reversible Encephalopathy Syndrome: A Case of Posterior
Irreversible Encephalopathy Syndrome.
PMID- 26541498
TI - Immunoglobulin G4-Related Hypertrophic Pachymeningitis Mimicking Chiari
Malformation.
PMID- 26541499
TI - Heteroepitaxial Cu2O thin film solar cell on metallic substrates.
AB - Heteroepitaxial, single-crystal-like Cu2O films on inexpensive, flexible,
metallic substrates can potentially be used as absorber layers for fabrication of
low-cost, high-performance, non-toxic, earth-abundant solar cells. Here, we
report epitaxial growth of Cu2O films on low cost, flexible, textured metallic
substrates. Cu2O films were deposited on the metallic templates via pulsed laser
deposition under various processing conditions to study the influence of
processing parameters on the structural and electronic properties of the films.
It is found that pure, epitaxial Cu2O phase without any trace of CuO phase is
only formed in a limited deposition window of P(O2) - temperature. The (00l)
single-oriented, highly textured, Cu2O films deposited under optimum P(O2) -
temperature conditions exhibit excellent electronic properties with carrier
mobility in the range of 40-60 cm(2) V(-1) s(-1) and carrier concentration over
10(16) cm(-3). The power conversion efficiency of 1.65% is demonstrated from a
proof-of-concept Cu2O solar cell based on epitaxial Cu2O film prepared on the
textured metal substrate.
PMID- 26541500
TI - Association between seminal plasma zinc level and asthenozoospermia: a meta
analysis study.
AB - Zinc is proposed to have an important role in the morphology, viability and
motility of spermatozoa. There are inconsistent reports on the association
between seminal plasma zinc concentration and male infertility. For this purpose,
papers reporting the level of seminal zinc among asthenozoospermic groups were
selected and used for further analysis. This meta-analysis of previous published
studies was performed to obtain more precise information on the association
between seminal plasma zinc and asthenozoospermia. Relevant studies for inclusion
were identified after preliminary investigation of research papers published on
electronic databases up to February 2015. Eight reports and 475 subjects were
finally included in the meta-analysis. In the overall analysis, a statistically
significant reduction in seminal plasma zinc concentrations was observed in
asthenozoospermic infertile men. Random-effects method was used to evaluate the
summary effect size due to the presence of significant heterogeneity. The effect
of zinc on asthenozoospermia was significant (Hedge's G effect size = -0.506, 95%
confidence interval (95% CI): -0.998 to -0.014, P = 0.044). Taken together,
despite of significant statistical heterogeneity between studies, our findings
were indicative of significant association between zinc concentration and
asthenozoospermia. In conclusion, the meta-analysis suggests that seminal plasma
zinc concentration is negatively associated with male infertility.
PMID- 26541501
TI - Outcome expectations and associated treatment outcomes in motivational
enhancement therapy delivered in English and Spanish.
AB - BACKGROUND AND OBJECTIVES: The relationship between patients' baseline
expectations regarding treatment outcome and actual outcomes has not been widely
studied within the field of substance use disorders. We hypothesized that outcome
expectations would be unrelated to outcomes in a study investigating motivational
enhancement therapy delivered in English (MET-E) consistent with our earlier
work, and conducted exploratory analyses in a separate study that investigated
the same treatment delivered in Spanish (MET-S). METHODS: These secondary
analyses compared patient outcome expectations and substance use treatment
outcomes in two large, multisite randomized controlled clinical trials that
evaluated three sessions of MET-E or MET-S. The MET-E sample included 461
participants and the MET-S sample included 405 participants. Outcome expectations
were measured by a single item regarding expectations about abstinence prior to
initiating treatment. RESULTS: Outcome expectations were strongly associated with
most substance use outcomes in the MET-S trial (but not in MET-E), even after
controlling for severity of substance use at baseline. In MET-S, those who
indicated that they were "unsure" that they would achieve abstinence during
treatment submitted a greater percentage of drug-positive urine toxicology
screens during the treatment period than those who were 'sure' they would achieve
abstinence (F = 18.83, p < .001). DISCUSSION AND CONCLUSIONS: Patients' outcome
expectations regarding the likelihood of abstinence may be an important predictor
of drug use treatment outcomes among Spanish-speakers, but not necessarily for
English-speakers. SCIENTIFIC SIGNIFICANCE: Individual differences and cultural
factors may play a role in the association between outcome expectations and
treatment outcomes.
PMID- 26541502
TI - Novel mode of liquid-phase microextraction: A magnetic stirrer as the extractant
phase holder.
AB - In the present study, a novel configuration of liquid-phase microextraction was
proposed, in which a magnetic stirrer with a groove was used as the extractant
phase holder. It was termed as magnetic stirrer liquid-phase microextraction. In
this way, the stability of the organic solvent was much improved under high
stirring speed; the extraction efficiency was enhanced due to the enormously
enlarged contact area between the organic solvent and aqueous phase. The
extraction performance of the magnetic stirrer liquid-phase microextraction was
studied using chlorobenzenes as the probe analytes. A wide linearity range (20
pg/mL to 200 ng/mL) with a satisfactory linearity coefficient (r(2) > 0.998) was
obtained. Limits of detection ranged from 9.0 to 12.0 pg/mL. Good reproducibility
was achieved with intra- and inter-day relative standard deviations <4.8%. The
proposed magnetic stirrer liquid-phase microextraction was simple,
environmentally friendly and efficient; compared to single-drop microextraction,
it had obvious advantages in terms of reproducibility and extraction efficiency.
It is a promising miniaturized liquid-phase technology for real applications.
PMID- 26541505
TI - Increased costs reduce reciprocal helping behaviour of humans in a virtual
evacuation experiment.
AB - Altruistic behaviour is widespread and highly developed in humans and can also be
found in some animal species. It has been suggested that altruistic tendencies
can depend on costs, benefits and context. Here, we investigate the changes in
the occurrence of helping behaviour in a computer-based experiment that simulates
an evacuation from a building exploring the effect of varying the cost to help.
Our findings illuminate a number of key mechanistic aspects of human decision
making about whether to help or not. In a novel situation where it is difficult
to assess the risks associated with higher costs, we reproduce the finding that
increasing costs reduce helping and find that the reduction in the frequency of
helping behaviour is gradual rather than a sudden transition for a threshold cost
level. Interestingly, younger and male participants were more likely to help. We
provide potential explanations for this result relating to the nature of our
experiment. Finally, we find no evidence that participants in our experiment plan
ahead over two consecutive, inter-dependent helping opportunities when conducting
cost-benefit trade-offs in spontaneous decisions. We discuss potential
applications of our findings to research into decision-making during evacuations.
PMID- 26541506
TI - Ligand-Controlled Synthesis of [3]- and [4]Cyclo-9,9-dimethyl-2,7-fluorenes
through Triangle- and Square-Shaped Platinum Intermediates.
AB - The syntheses of [3]- and [4]cyclo-9,9-dimethyl-2,7-fluorenes ([3] and [4]CFRs),
cyclic trimer, and tetramers of 9,9-dimethyl-2,7-fluorene (FR), respectively,
were achieved by the platinum-mediated assembly of FR units and subsequent
reductive elimination of platinum. A triangle-shaped tris-platinum complex and a
square-shaped tetra-platinum complex were obtained by changing the platinum
ligand. The structure of the triangle complex was unambiguously determined by X
ray crystallographic analysis. Reductive elimination of each complex gave [3] and
[4]CFRs. Two rotamers of [3]CFR were sufficiently stable at room temperature and
were separated by chromatography. The physical properties of the CFRs were also
investigated theoretically and experimentally.
PMID- 26541507
TI - Interactions of Molecules with cis and trans Double Bonds: A Theoretical Study of
cis- and trans-2-Butene.
AB - Noncovalent interactions of cis- and trans-2-butene, as the smallest model
systems of molecules with cis and trans double bonds, were studied to find
potential differences in interactions of these molecules. The study was performed
using quantum chemical methods including very accurate CCSD(T)/CBS method. We
studied parallel and displaced parallel interactions in 2-butene dimers, in
butane dimers, and between 2-butene and saturated butane. The results show the
trend that interactions of 2-butene with butane are the strongest, followed by
interactions in butane dimers, whereas the interaction in 2-butene dimers are the
weakest. The strongest calculated interaction energy is between trans-2-butene
and butane, with a CCSD(T)/CBS energy of -2.80 kcal mol(-1) . Interactions in cis
2-butene dimers are stronger than interactions in trans-2-butene dimers.
Interestingly, some of the interactions involving 2-butene are as strong as
interactions in a benzene dimer. These insights into interactions of cis- and
trans-2-butene can improve understanding of the properties and processes that
involve molecules with cis and trans double bonds, such as fatty acids and
polymers.
PMID- 26541508
TI - Reversible superconductor-insulator transition in LiTi2O4 induced by Li-ion
electrochemical reaction.
AB - Transition metal oxides display various electronic and magnetic phases such as
high-temperature superconductivity. Controlling such exotic properties by
applying an external field is one of the biggest continuous challenges in
condensed matter physics. Here, we demonstrate clear superconductor-insulator
transition of LiTi2O4 films induced by Li-ion electrochemical reaction. A compact
electrochemical cell of pseudo-Li-ion battery structure is formed with a
superconducting LiTi2O4 film as an anode. Li content in the film is controlled by
applying a constant redox voltage. An insulating state is achieved by Li-ion
intercalation to the superconducting film by applying reduction potential. In
contrast, the superconducting state is reproduced by applying oxidation potential
to the Li-ion intercalated film. Moreover, superconducting transition temperature
is also recovered after a number of cycles of Li-ion electrochemical reactions.
This complete reversible transition originates in difference in potentials
required for deintercalation of initially contained and electrochemically
intercalated Li(+) ions.
PMID- 26541509
TI - Effect of calcium phosphate addition to fluoride containing dental varnishes on
enamel demineralization.
AB - BACKGROUND: The aim of this study was to evaluate the ability of calcium
phosphate and fluoride containing varnishes to inhibit enamel demineralization.
METHODS: Six varnishes were selected for analysis: (1) Enamel Pro containing
amorphous calcium phosphate; (2) Clinpro White containing functionalized
tricalcium phosphate (fTCP); (3) MI Varnish containing casein phosphopeptide
stabilized amorphous calcium phosphate (CPP-ACP); (4) Duraphat (first no added
calcium control); (5) Profluorid (second no added calcium control); and (6)
placebo (no added calcium or fluoride control). Human enamel slabs (36) were each
cut into half-slabs and covered with one of the six dental varnishes to create a
window. The half-slabs were then individually immersed in a polyacrylate
demineralization buffer pH 4.8 for four days at 37 degrees C with a change of
solution each day. Mineral content was determined using transverse
microradiography. RESULTS: All fluoride-containing varnishes significantly
inhibited enamel demineralization when compared with the placebo varnish.
However, out of the calcium phosphate and fluoride containing varnishes only MI
Varnish, containing fluoride and CPP-ACP was superior to the fluoride-alone
varnishes. MI Varnish also released the highest levels of calcium, phosphate and
fluoride ions. CONCLUSIONS: MI Varnish containing fluoride and CPP-ACP was
superior to the other varnishes in protecting against enamel demineralization.
PMID- 26541510
TI - Divergent subcortical activity for distinct executive functions: stopping and
shifting in obsessive compulsive disorder.
AB - BACKGROUND: There is evidence of executive function impairment in obsessive
compulsive disorder (OCD) that potentially contributes to symptom development and
maintenance. Nevertheless, the precise nature of these executive impairments and
their neural basis remains to be defined. METHOD: We compared stopping and
shifting, two key executive functions previously implicated in OCD, in the same
task using functional magnetic resonance imaging, in patients with virtually no
co-morbidities and age-, verbal IQ- and gender-matched healthy volunteers. The
combined task allowed direct comparison of neural activity in stopping and
shifting independent of patient sample characteristics and state variables such
as arousal, learning, or current symptom expression. RESULTS: Both OCD patients
and controls exhibited right inferior frontal cortex activation during stopping,
and left inferior parietal cortex activation during shifting. However, widespread
under-activation across frontal-parietal areas was found in OCD patients compared
to controls for shifting but not stopping. Conservative, whole-brain analyses
also indicated marked divergent abnormal activation in OCD in the caudate and
thalamus for these two cognitive functions, with stopping-related over-activation
contrasting with shift-related under-activation. CONCLUSIONS: OCD is associated
with selective components of executive function, which engage similar common
elements of cortico-striatal regions in different abnormal ways. The results
implicate altered neural activation of subcortical origin in executive function
abnormalities in OCD that are dependent on the precise cognitive and contextual
requirements, informing current theories of symptom expression.
PMID- 26541511
TI - Open-label, multicenter, single-arm phase II DeCOG-study of ipilimumab in
pretreated patients with different subtypes of metastatic melanoma.
AB - BACKGROUND: Ipilimumab is an approved immunotherapy that has shown an overall
survival benefit in patients with cutaneous metastatic melanoma in two phase III
trials. As results of registrational trials might not answer all questions
regarding safety and efficacy of ipilimumab in patients with advanced melanoma
seen in daily clinical practice, the Dermatologic Cooperative Oncology Group
conducted a phase II study to assess the efficacy and safety of ipilimumab in
patients with different subtypes of metastatic melanoma. PATIENTS AND METHODS: We
undertook a multicenter phase II study in melanoma patients irrespective of
location of the primary melanoma. Here we present data on patients with
pretreated metastatic cutaneous, mucosal and occult melanoma who received up to
four cycles of ipilimumab administered at a dose of 3 mg/kg in 3 week intervals.
Tumor assessments were conducted at baseline, weeks 12, 24, 36 and 48 according
to RECIST 1.1 criteria. Adverse events (AEs), including immune-related AEs were
graded according to National Cancer Institute Common Toxicity Criteria (CTC)
v.4.0. Primary endpoint was the OS rate at 12 months. RESULTS: 103 pretreated
patients received at least one dose of ipilimumab, including 83 cutaneous, seven
mucosal and 13 occult melanomas. 1-year OS rates for cutaneous, mucosal and
occult melanoma were 38 %, 14 % and 27 %, respectively. Median OS was 6.8 months
(95 % CI 5.3-9.9) for cutaneous, 9.6 months (95 % CI 1.6-11.1) for mucosal, and
9.9 months (lower 95 % CI 2.3, upper 95 % CI non-existent) for occult melanoma.
Overall response rates for cutaneous, mucosal and occult melanoma were 16 %, 17 %
and 11 %, respectively. Eleven patients had partial response (16 %) and ten
patients experienced stable disease (14 %), none achieved a complete response.
Treatment-related AEs were observed in 71 patients (69 %), including 20 grade 3-4
events (19 %). No new and unexpected safety findings were noted. CONCLUSIONS:
Ipilimumab is a treatment option for pretreated patients with advanced cutaneous
melanoma seen in daily routine. Toxicity was manageable when treated as per
protocol-specific guidelines. TRIAL REGISTRATION: Clinical Trials.gov
NCT01355120.
PMID- 26541513
TI - Cytokinin response factors regulate PIN-FORMED auxin transporters.
AB - Auxin and cytokinin are key endogenous regulators of plant development. Although
cytokinin-mediated modulation of auxin distribution is a developmentally crucial
hormonal interaction, its molecular basis is largely unknown. Here we show a
direct regulatory link between cytokinin signalling and the auxin transport
machinery uncovering a mechanistic framework for cytokinin-auxin cross-talk. We
show that the CYTOKININ RESPONSE FACTORS (CRFs), transcription factors downstream
of cytokinin perception, transcriptionally control genes encoding PIN-FORMED
(PIN) auxin transporters at a specific PIN CYTOKININ RESPONSE ELEMENT (PCRE)
domain. Removal of this cis-regulatory element effectively uncouples PIN
transcription from the CRF-mediated cytokinin regulation and attenuates plant
cytokinin sensitivity. We propose that CRFs represent a missing cross-talk
component that fine-tunes auxin transport capacity downstream of cytokinin
signalling to control plant development.
PMID- 26541515
TI - A homozygous AHI1 gene mutation (p.Thr304AsnfsX6) in a consanguineous Moroccan
family with Joubert syndrome: a case report.
AB - INTRODUCTION: Joubert syndrome is a rare congenital disorder characterized by
brain malformation, developmental delay with hypotonia, ocular motor apraxia, and
breathing abnormalities. Joubert syndrome is a genetically highly heterogeneous
ciliopathy disorder with 23 identified causative genes. The diagnosis is based on
brain imaging showing the "molar tooth sign" with cerebellar vermis agenesis. We
describe a consanguineous Moroccan family with three affected siblings (18-year
old boy, 13-year-old girl, and 10-year-old boy) showing typical signs of Joubert
syndrome, and attempt to identify the underlying genetic defect in this family.
METHODS: We performed genome-wide homozygosity mapping using a high-resolution
array followed by targeted Sanger sequencing to identify the causative gene.
RESULTS: This approach found three homozygous regions, one including the AHI1
gene. Direct sequencing of the 26 coding exons of AHI1 revealed a homozygous
mutation (p.Thr304AsnfsX6) located in exon 7 present in the three Joubert
syndrome-affected Moroccan siblings. Of more interest, this truncating mutation
was previously reported in patients with compound heterozygous Joubert syndrome
originating from Spain (one patient) and from the Netherlands (two patients),
suggesting a possible founder effect or mutational hotspot. CONCLUSIONS: Combined
homozygosity mapping and targeted sequencing allowed the rapid detection of the
disease-causing mutation in the AHI1 gene in this family affected with a highly
genetically heterogeneous disorder. Carriers of the same truncating mutation
(p.Thr304AsnfsX6), originating from Spain and the Netherlands, presented variable
clinical characteristics, thereby corroborating the extreme heterogeneity of
Joubert syndrome.
PMID- 26541514
TI - A transient ischemic environment induces reversible compaction of chromatin.
AB - BACKGROUND: Cells detect and adapt to hypoxic and nutritional stress through
immediate transcriptional, translational and metabolic responses. The
environmental effects of ischemia on chromatin nanostructure were investigated
using single molecule localization microscopy of DNA binding dyes and of
acetylated histones, by the sensitivity of chromatin to digestion with DNAseI,
and by fluorescence recovery after photobleaching (FRAP) of core and linker
histones. RESULTS: Short-term oxygen and nutrient deprivation of the
cardiomyocyte cell line HL-1 induces a previously undescribed chromatin
architecture, consisting of large, chromatin-sparse voids interspersed between
DNA-dense hollow helicoid structures 40-700 nm in dimension. The chromatin
compaction is reversible, and upon restitution of normoxia and nutrients,
chromatin transiently adopts a more open structure than in untreated cells. The
compacted state of chromatin reduces transcription, while the open chromatin
structure induced upon recovery provokes a transitory increase in transcription.
Digestion of chromatin with DNAseI confirms that oxygen and nutrient deprivation
induces compaction of chromatin. Chromatin compaction is associated with
depletion of ATP and redistribution of the polyamine pool into the nucleus. FRAP
demonstrates that core histones are not displaced from compacted chromatin;
however, the mobility of linker histone H1 is considerably reduced, to an extent
that far exceeds the difference in histone H1 mobility between heterochromatin
and euchromatin. CONCLUSIONS: These studies exemplify the dynamic capacity of
chromatin architecture to physically respond to environmental conditions,
directly link cellular energy status to chromatin compaction and provide insight
into the effect ischemia has on the nuclear architecture of cells.
PMID- 26541517
TI - Novel B(Ar')2(Ar'') hetero-tri(aryl)boranes: a systematic study of Lewis acidity.
AB - A series of homo- and hetero-tri(aryl)boranes incorporating pentafluorophenyl,
3,5-bis(trifluoromethyl)phenyl, and pentachlorophenyl groups, four of which are
novel species, have been studied as the acidic component of frustrated Lewis
pairs for the heterolytic cleavage of H2. Under mild conditions eight of these
will cleave H2; the rate of cleavage depending on both the electrophilicity of
the borane and the steric bulk around the boron atom. Electrochemical studies
allow comparisons of the electrophilicity with spectroscopic measurements of
Lewis acidity for different series of boranes. Discrepancies in the correlation
between these two types of measurements, combined with structural
characterisation of each borane, reveal that the twist of the aryl rings with
respect to the boron-centred trigonal plane is significant from both a steric and
electronic perspective, and is an important consideration in the design of
tri(aryl)boranes as Lewis acids.
PMID- 26541516
TI - Cost-effectiveness of dapagliflozin versus DPP-4 inhibitors as an add-on to
Metformin in the Treatment of Type 2 Diabetes Mellitus from a UK Healthcare
System Perspective.
AB - BACKGROUND: Type 2 diabetes mellitus (T2DM) is a chronic, progressive condition
where the primary treatment goal is to maintain control of glycated haemoglobin
(HbA1c). In order for healthcare decision makers to ensure patients receive the
highest standard of care within the available budget, the clinical benefits of
each treatment option must be balanced against the economic consequences. The aim
of this study was to assess the cost-effectiveness of dapagliflozin, the first-in
class sodium-glucose co-transporter 2 (SGLT2) inhibitor, compared with a
dipeptidyl peptidase-4 inhibitor (DPP-4i), when added to metformin for the
treatment of patients with T2DM inadequately controlled on metformin alone.
METHODS: The previously published and validated Cardiff diabetes model was used
as the basis for this economic evaluation, with treatment effect parameters
sourced from a systematic review and network meta-analysis. Costs, derived from a
UK healthcare system perspective, and quality-adjusted life years (QALYs), were
used to present the final outcome as an incremental cost-effectiveness ratio
(ICER) over a lifetime horizon. Univariate and probabilistic sensitivity analyses
(PSA) were carried out to assess uncertainty in the model results. RESULTS:
Compared with DPP-4i, dapagliflozin was associated with a mean incremental
benefit of 0.032 QALYs (95% confidence interval [CI]: -0.022, 0.140) and with an
incremental cost of L216 (95% CI: L-258, L795). This resulted in an ICER point
estimate of L6,761 per QALY gained. Sensitivity analysis determined incremental
costs to be insensitive to variation in most parameters, with only the treatment
effect on weight having a notable impact on the incremental QALYs; however, there
were no scenarios which raised the ICER above L15,000 per QALY. The PSA estimated
that dapagliflozin had an 85% probability of being cost-effective at a
willingness-to-pay threshold of L20,000 per QALY gained. CONCLUSIONS:
Dapagliflozin in combination with metformin was shown to be a cost-effective
treatment option from a UK healthcare system perspective for patients with T2DM
who are inadequately controlled on metformin alone.
PMID- 26541518
TI - A rare case report of giant epicardial lipoma compressing the right atrium with
septal enhancement.
AB - BACKGROUND: Cardiac Lipoma is a rare entity constituting approximately 10-19 % of
primary tumors of the heart and pericardium. To our best knowledge, such a large
cardiac lipoma with septal enhancement in our case has never been reported
before. CASE PRESENTATION: Here we present a rare case of a 65-year-old
symptomatic female with an unusual giant cardiac lipoma. Due to the enhancement
of the tumor septa, it was first diagnosed as liposarcoma and thought to be
unresectable. Debulking surgery was performed to release patient's symptoms.
CONCLUSIONS: The patient ultimately underwent complete tumor resection with
uneventful postoperative evolution. The postoperative pathological diagnosis is
cardiac lipoma.
PMID- 26541519
TI - Commuting mode and pulmonary function in Shanghai, China.
AB - Exposure to air pollution can be particularly high during commuting and may
depend on the mode of transportation. We investigated the impact of commuting
mode on pulmonary function in Shanghai, China.The Shanghai Putuo Study is a cross
sectional, population-based study. Our primary outcomes were forced expiratory
volume in 1 s (FEV1) and forced vital capacity (FVC) % predicted, and the
secondary outcome was spirometric airflow obstruction. We tested the association
between mode of transportation and these outcomes after adjusting for
confounders.The study population consisted of 20 102 subjects. After adjusting
for confounders, the change (95% CI) in FEV1 was -2.15% pred (-2.88- -1.42% pred)
among pedestrians, -1.32% pred (-2.05- -0.59% pred) among those taking buses
without air conditioning, -1.33% pred (-2.05- -0.61% pred) among those taking
buses with air conditioning and -2.83% pred (-5.56- -0.10% pred) among those
using underground railways, as compared to cyclists (the reference group). The
effects of mode on FVC % predicted were in the same direction. Private car use
had a significant protective effect on FVC % predicted and the risk of airflow
obstruction (defined by Global Initiative for Chronic Obstructive Lung Disease
but not by lower limit of normal criteria).Mode of transportation is associated
with differences in lung function, which may reflect pollution levels in
different transportation microenvironments.
PMID- 26541520
TI - Novel concepts in airway inflammation and remodelling in asthma.
AB - The hallmark pathological features of asthma include airway eosinophilic
inflammation and structural changes (remodelling) which are associated with an
irreversible loss in lung function that tracks from childhood to adulthood. In
parallel with changes in function, pathological abnormalities occur early, during
the pre-school years, are established by school age and subsequently remain (even
though symptoms may remit for periods during adulthood). Given the equal
importance of inflammation and remodelling in asthma pathogenesis, there is a
significant disparity in studies undertaken to investigate the contribution of
each. The majority focus on the role of inflammation, and although novel
therapeutics such as those targeted against T-helper cell type 2 (Th2) mediators
have arisen, it is apparent that targeting inflammation alone has not allowed
disease modification. Therefore, unless airway remodelling is addressed for
future therapeutic strategies, it is unlikely that we will progress towards a
cure for asthma. Having acknowledged these limitations, the focus of this review
is to highlight the gaps in our current knowledge about the mechanisms underlying
airway remodelling, the relationships between remodelling, inflammation and
function, remodelling and clinical phenotypes, and the importance of utilising
innovative and realistic pre-clinical models to uncover effective, disease
modifying therapeutic strategies.
PMID- 26541521
TI - Risk of COPD with obstruction in active smokers with normal spirometry and
reduced diffusion capacity.
AB - Smokers are assessed for chronic obstructive pulmonary disease (COPD) using
spirometry, with COPD defined by the Global Initiative for Chronic Obstructive
Lung Disease (GOLD) as airflow limitation that is not fully reversible with
bronchodilators. There is a subset of smokers with normal spirometry (by GOLD
criteria), who have a low diffusing capacity of the lung for carbon monoxide
(DLCO), a parameter linked to emphysema and small airway disease. The natural
history of these "normal spirometry/low DLCO" smokers is unknown.From a cohort of
1570 smokers in the New York City metropolitian area, all of whom had normal
spirometry, two groups were randomly selected for lung function follow-up:
smokers with normal spirometry/normal DLCO (n=59) and smokers with normal
spirometry/low DLCO (n=46). All had normal history, physical examination,
complete blood count, urinalysis, HIV status, alpha1-antitrypsin level, chest
radiography, forced expiratory volume in 1 s (FEV1), forced vital capacity (FVC),
FEV1/FVC ratio and total lung capacity. Throughout the study, all continued to be
active smokers.In the normal spirometry/normal DLCO group assessed over 45+/-20
months, 3% developed GOLD-defined COPD. In contrast, in the normal spirometry/low
DLCO group, followed over 41+/-31 months, 22% developed GOLD-defined COPD.Despite
appearing "normal" according to GOLD, smokers with normal spirometry but low DLCO
are at significant risk of developing COPD with obstruction to airflow.
PMID- 26541522
TI - Amoxicillin for clinically unsuspected pneumonia in primary care: subgroup
analysis.
PMID- 26541523
TI - Occupational exposure to organic solvents: a risk factor for pulmonary veno
occlusive disease.
AB - Pulmonary veno-occlusive disease (PVOD) is a rare form of pulmonary hypertension
characterised by predominant remodelling of pulmonary venules. Bi-allelic
mutations in the eukaryotic translation initiation factor 2alpha kinase 4
(EIF2AK4) gene were recently described as the major cause of heritable PVOD, but
risk factors associated with PVOD remain poorly understood. Occupational
exposures have been proposed as a potential risk factor for PVOD, but
epidemiological studies are lacking.A case-control study was conducted in
consecutive PVOD (cases, n=33) and pulmonary arterial hypertension patients
(controls, n=65). Occupational exposure was evaluated via questionnaire interview
with blinded assessments using an expert consensus approach and a job exposure
matrix (JEM).Using the expert consensus approach, PVOD was significantly
associated with occupational exposure to organic solvents (adjusted OR 12.8, 95%
CI 2.7-60.8), with trichloroethylene being the main agent implicated (adjusted OR
8.2, 95% CI 1.4-49.4). JEM analysis independently confirmed the association
between PVOD and trichloroethylene exposure. Absence of significant
trichloroethylene exposure was associated with a younger age of disease (54.8+/
21.4 years, p=0.037) and a high prevalence of harbouring bi-allelic EIF2AK4
mutations (41.7% versus 0%, p=0.015).Occupational exposure to organic solvents
may represent a novel risk factor for PVOD. Genetic background and environmental
exposure appear to influence the phenotypic expression of the disease.
PMID- 26541524
TI - NTPDase1/CD39 and aberrant purinergic signalling in the pathogenesis of COPD.
AB - Purinergic receptor activation via extracellular ATP is involved in the
pathogenesis of chronic obstructive pulmonary disease (COPD). Nucleoside
triphosphate diphosphohydrolase-1/CD39 hydrolyses extracellular ATP and modulates
P2 receptor signalling.We aimed to investigate the expression and function of
CD39 in the pathogenesis of cigarette smoke-induced lung inflammation in patients
and preclinical mouse models. CD39 expression and soluble ATPase activity were
quantified in sputum and bronchoalveolar lavage fluid (BALF) cells in nonsmokers,
smokers and COPD patients or mice with cigarette smoke-induced lung inflammation.
In mice, pulmonary ATP and cytokine concentrations, inflammation and emphysema
were analysed in the presence or absence of CD39.Following acute cigarette smoke
exposure CD39 was upregulated in BALF cells in smokers with further increases in
COPD patients. Acute cigarette smoke exposure induced CD39 upregulation in murine
lungs and BALF cells, and ATP degradation was accelerated in airway fluids. CD39
inhibition and deficiency led to augmented lung inflammation; treatment with
ATPase during cigarette smoke exposure prevented emphysema.Pulmonary CD39
expression and activity are increased in COPD. CD39 deficiency leads to enhanced
emphysema in mice, while external administration of a functional CD39 analogue
partially rescues the phenotype. The compensatory upregulation of pulmonary CD39
might serve as a protective mechanism in cigarette smoke-induced lung damage.
PMID- 26541525
TI - Lung cancer survival in Norway, 1997-2011: from nihilism to optimism.
AB - We examine changes in survival and patient-, tumour- and treatment-related
factors among resected and nonresected lung cancer patients, and identify
subgroups with the largest and smallest survival improvements.National population
based data from the Cancer Registry of Norway, Statistics Norway and the
Norwegian Patient Register were linked for lung cancer patients diagnosed during
1997-2011. The 1- and 5-year relative survival were estimated, and Cox
proportional hazard regression, adjusted for selected patient characteristics,
was used to assess prognostic factors for survival in lung cancer patients
overall and stratified by resection status.We identified 34 157 patients with
lung cancer. The proportion of histological diagnoses accompanied by molecular
genetics testing increased from 0% to 26%, while those accompanied by
immunohistochemistry increased from 8% to 26%. The 1-year relative survival among
nonresected and resected patients increased from 21.7% to 34.2% and 75.4% to
91.5%, respectively. The improved survival remained significant after adjustment
for age, sex, stage and histology. The largest improvements in survival occurred
among resected and adenocarcinoma patients, while patients >=80 years experienced
the smallest increase.Lung cancer survival has increased considerably in Norway.
The explanation is probably multifactorial, including improved attitude towards
diagnostic work-up and treatment, and more accurate diagnostic testing that
allows for improved selection for resection and improved treatment options.
PMID- 26541526
TI - Prenatal maternal psychological stress and childhood asthma and wheezing: a meta
analysis.
AB - The aim of this study was to systematically review and meta-analyse observational
studies on prenatal maternal psychological stress and the subsequent development
of asthma and wheezing in early childhood.All available published literature from
1960 until November 2013 was systematically searched through electronic databases
(PubMed, Embase, PsycInfo and Web of Science). All observational studies
assessing associations between any form of prenatal maternal psychological stress
and respiratory morbidity in the child were included. Data extraction, quality
assessment and meta-analyses were performed.The overall meta-analysis included 10
studies and showed that the prevalence of wheezing, asthma and other respiratory
symptoms is higher in children of mothers who were exposed to or experienced some
form of psychological stress during pregnancy than in mothers who did not (pooled
OR 1.56 (95% CI 1.36-1.80)). Comparable results were observed in subgroup
analyses of stress exposure, perceived stress, asthma and wheezing.This study
demonstrates that prenatal maternal psychological stress is associated with
respiratory morbidity, including asthma and wheezing in the child. Future studies
examining the early origins of asthma and wheezing need to account for the impact
of prenatal maternal stress.
PMID- 26541528
TI - Detection of pepsin in sputum: a rapid and objective measure of airways reflux.
PMID- 26541527
TI - Interleukin-15 is associated with disease severity in viral bronchiolitis.
AB - Disease severity in viral bronchiolitis in infancy is difficult to predict and
has been linked to host innate immunity. The study aimed to investigate the
innate cytokine interleukin-15 (IL-15) as a marker of disease severity.A
prospective single-centre observational study was conducted in a university
affiliated paediatric teaching hospital, comparing children (0-18 months)
hospitalised for viral bronchiolitis, those admitted to the paediatric intensive
care unit with severe disease and healthy age-matched controls. IL-15-related
parameters were compared between groups. PCR and microRNA (miRNA) sequencing was
undertaken on natural killer (NK) cells collected from study participants.Samples
from 88 children with viral bronchiolitis and 43 controls enrolled between 2009
and 2012 were analysed. Peripheral blood mononuclear cell (PBMC) IL-15 mRNA
expression was significantly higher in those with moderate severity bronchiolitis
compared with controls and those with severe disease. Serum IL-15 levels
correlated with disease severity. The relative frequency of NK cells in
peripheral blood was significantly reduced in participants with bronchiolitis.
The NK cell miRNA transcriptome in bronchiolitis was distinct. Targets of de
regulated miRNA were differentially expressed in bronchiolitis, including JAK3,
STAT5A and NFKB1 on the IL-15 signalling pathway.IL-15 is associated with disease
severity in children hospitalised with viral bronchiolitis.
PMID- 26541529
TI - Sequential multimodality bronchoscopic investigation of peripheral pulmonary
lesions.
AB - Multiple guidance modalities may be combined during bronchoscopic investigation
of peripheral pulmonary lesions (PPLs). The relative contribution of each
modality to diagnostic performance remains uncertain.Endobronchial ultrasound
(EBUS) with virtual bronchoscopy (VB) was routinely performed, with
electromagnetic navigation (EMN) utilised only where EBUS was unable to locate
PPLs or where the probe was adjacent to the lesion and on-site cytologic
examination was nondiagnostic.236 consecutive patients with 245 PPLs had lesion
size 22.8+/-12.4 mm (mean+/-sd). PPLs were localised using EBUS+VB alone in 188
(77%) and was diagnostic in 134 of these (71.3%). EBUS localisation was predicted
by PPL size (23.7+/-10.5 versus 19.7+/-9.8 mm, p=0.003), but not by bronchus
sign, PPL-hilum distance or PPL-pleura distance. EMN in 57 patients achieved EBUS
localisation in a further 17 patients (30.9%), improving overall visualisation
yield to 85%. Nine of these 57 procedures achieved a definitive diagnosis (16%),
improving overall diagnostic yield to 58.4%. Probe position and lesion type
influenced overall diagnostic yield. Sensitivity for diagnosis of lung cancer was
70% (131/188; 95% CI 63-76%).Localisation rate and diagnostic sensitivity of
radial probe EBUS+VB alone for diagnosis of PPLs is high. EBUS localisation rates
and procedural yield are improved only modestly (by 8% and 4%, respectively) with
addition of EMN. Sampling following EMN should include all available methods to
maximise diagnostic yield.
PMID- 26541530
TI - Hypertensive disorders of pregnancy, respiratory outcomes and atopy in childhood.
AB - Few epidemiological studies have investigated the role of hypertensive disorders
of pregnancy in the aetiology of childhood respiratory and atopic outcomes.In the
Avon Longitudinal Study of Parents and Children we examined associations of
maternal gestational hypertension, hypertension before pregnancy and pre
eclampsia with wheezing at 18 months, wheezing and asthma at 7 years and lung
function at 8-9 years, after controlling for potential confounders (n=5322-8734,
depending on outcome).Gestational hypertension was not associated with any of the
outcomes. There was weak evidence for a positive association between pre
eclampsia and early wheezing (adjusted OR 1.31, 95% CI 0.94-1.82, compared to
normotensive pregnancies) and for negative associations between pre-eclampsia and
forced expiratory volume in 1 s (adjusted mean difference in sd score -0.14, 95%
CI -0.33-0.06) and maximal mid-expiratory flow (-0.15, 95% CI -0.34-0.04).
Hypertension before pregnancy was positively associated with wheezing (OR 1.63,
95% CI 1.16-2.31) and asthma (OR 1.34, 95% CI 1.00-1.79).Gestational hypertension
is unlikely to be a risk factor for childhood respiratory disorders; hypertension
before pregnancy may be a risk factor for childhood wheezing and asthma, but this
finding needs replication. Larger studies are needed to confirm whether pre
eclampsia is associated with impaired childhood lung function.
PMID- 26541531
TI - Sleep apnoea and the hypothalamic-pituitary-adrenal axis in men and women:
effects of continuous positive airway pressure.
AB - Previous findings on the association of obstructive sleep apnoea (OSA) and the
hypothalamic-pituitary-adrenal (HPA) axis are inconsistent, partly due to the
confounding effect of obesity and infrequent sampling. Our goal was to examine
whether in a relatively nonobese population, OSA is associated with elevated
cortisol levels and to assess the effects of a 2-month placebo-controlled
continuous positive airway pressure (sham-CPAP) use.72 subjects (35 middle-aged
males and post-menopausal females with OSA, and 37 male and female controls) were
studied in the sleep laboratory for four nights. 24-h blood sampling was
performed every hour on the fourth day and night in the sleep laboratory at
baseline, after sham-CPAP and after CPAP treatment.In both apnoeic men and women,
OSA was associated with significantly higher 24-h cortisol levels compared with
controls, whereas CPAP lowered cortisol levels significantly, close to those of
controls.These results suggest that OSA in nonobese men and slightly obese women
is associated with HPA axis activation, similar albeit stronger compared with
obese individuals with sleep apnoea. Short-term CPAP use decreased cortisol
levels significantly compared with baseline, indicating that CPAP may have a
protective effect against comorbidities frequently associated with chronic
activation of the HPA axis, e.g. hypertension.
PMID- 26541532
TI - Sex-specific features of emphysema among current and former smokers with COPD.
AB - Recent studies suggest that males with chronic obstructive pulmonary disease
(COPD) have more emphysema than females. It is not known if these differences
persist across degrees of COPD severity. Our aim was to identify sex-specific
differences in quantitative emphysema within COPD subgroups based on COPD
severity.We included non-Hispanic white and African-American subjects from the
COPDGene study with at least 10 pack-years of smoking and COPD Global Initiative
for Chronic Obstructive Lung Disease (GOLD) spirometry grade II or greater. We
examined sex-specific differences in log-transformed emphysema (log per cent low
attenuation area (%LAA)) by GOLD spirometry grade among subjects with early-onset
COPD (<55 years old) and advanced emphysema (>25% emphysema).Compared with
females, males had higher log %LAA: overall (1.97+/-1.4 versus 1.69+/-1.6,
beta=0.32 (0.04), p=1.34*10(-14)), and among non-Hispanic white (p=8.37*10(-14))
and African-American subjects (p=0.002). Females with early-onset COPD, severe
emphysema and GOLD grade IV COPD had similar emphysema as males, but markedly
fewer pack-years smoking (early-onset, p=0.01; severe emphysema and GOLD grade
IV, p<0.001).This study identifies subsets of female smokers with COPD who are
particularly susceptible to parenchymal destruction.
PMID- 26541533
TI - Obstructive sleep apnoea in the general population: highly prevalent but minimal
symptoms.
AB - The aim was to assess the prevalence of obstructive sleep apnoea (OSA) as defined
by an apnoea-hypopnea index (AHI) >=15 in the middle-aged general population, and
the interrelationship between OSA, sleep-related symptoms, sleepiness and
vigilance.A general population sample of 40-65-year-old Icelanders was invited to
participate in a study protocol that included a type 3 sleep study, questionnaire
and a psychomotor vigilance test (PVT).Among the 415 subjects included in the
study, 56.9% had no OSA (AHI <5), 24.1% had mild OSA (AHI 5-14.9), 12.5% had
moderate OSA (AHI 15-29.9), 2.9% had severe OSA (AHI >=30) and 3.6% were already
diagnosed and receiving OSA treatment. However, no significant relationship was
found between AHI and subjective sleepiness or clinical symptoms. A relationship
with objective vigilance assessed by PVT was only found for those with AHI >=30.
Subjects already on OSA treatment and those accepting OSA treatment after
participating in the study were more symptomatic and sleepier than others with
similar OSA severity, as assessed by the AHI.In a middle-aged general population,
approximately one in five subjects had moderate-to-severe OSA, but the majority
of them were neither symptomatic nor sleepy and did not have impaired vigilance.
PMID- 26541534
TI - Tumour islet Foxp3+ T-cell infiltration predicts poor outcome in nonsmall cell
lung cancer.
AB - The impact of host immunity on outcome in nonsmall cell lung cancer (NSCLC) is
controversial. We examined the relationship between lymphoid infiltration
patterns in NSCLC and prognosis.Tumour- and stroma-infiltrating CD3(+), CD8(+)
and forkhead box P3 (Foxp3)(+) T-lymphocytes were identified using
immunohistochemistry and a novel image analysis algorithm to assess total,
cytotoxic and regulatory T-lymphocyte counts, respectively, in 196 NSCLC cases.
The median cell count was selected as a cut-point to define patient subgroups and
the ratio of the corresponding tumour islet:stroma (TI/S) counts was
determined.There was a positive association between overall survival and
increased CD8(+) TI/S ratio (hazard ratio (HR) for death 0.44, p<0.001) but an
inverse relationship between Foxp3(+) TI/S ratio and overall survival (HR 4.86,
p<0.001). Patients with high CD8(+) islet (HR 0.48, p<0.001) and Foxp3(+) stromal
(HR 0.23, p<0.001) counts had better survival, whereas high CD3(+) and CD8(+)
stromal counts and high Foxp3(+) islet infiltration conferred a worse survival
(HR 1.55, 2.19 and 3.14, respectively). By multivariate analysis, a high CD8(+)
TI/S ratio conferred an improved survival (HR 0.48, p=0.002) but a high Foxp3(+)
TI/S ratio was associated with worse survival (HR 3.91,
p<0.001).Microlocalisation of infiltrating T-lymphocytes is a powerful predictor
of outcome in resected NSCLC.
PMID- 26541535
TI - Obstructive sleep disordered breathing in 2- to 18-year-old children: diagnosis
and management.
AB - This document summarises the conclusions of a European Respiratory Society Task
Force on the diagnosis and management of obstructive sleep disordered breathing
(SDB) in childhood and refers to children aged 2-18 years. Prospective cohort
studies describing the natural history of SDB or randomised, double-blind,
placebo-controlled trials regarding its management are scarce. Selected evidence
(362 articles) can be consolidated into seven management steps. SDB is suspected
when symptoms or abnormalities related to upper airway obstruction are present
(step 1). Central nervous or cardiovascular system morbidity, growth failure or
enuresis and predictors of SDB persistence in the long-term are recognised (steps
2 and 3), and SDB severity is determined objectively preferably using
polysomnography (step 4). Children with an apnoea-hypopnoea index (AHI) >5
episodes.h(-1), those with an AHI of 1-5 episodes.h(-1) and the presence of
morbidity or factors predicting SDB persistence, and children with complex
conditions (e.g. Down syndrome and Prader-Willi syndrome) all appear to benefit
from treatment (step 5). Treatment interventions are usually implemented in a
stepwise fashion addressing all abnormalities that predispose to SDB (step 6)
with re-evaluation after each intervention to detect residual disease and to
determine the need for additional treatment (step 7).
PMID- 26541536
TI - Tuberculosis elimination, patients' lives and rational use of new drugs:
revisited.
PMID- 26541537
TI - Bacteraemia in outpatients with community-acquired pneumonia.
PMID- 26541538
TI - Clinical features of large cell neuroendocrine carcinoma: a population-based
overview.
AB - Pulmonary large cell neuroendocrine carcinoma (LCNEC) is an orphan disease and
few data are available on its clinical characteristics. Therefore, we analysed
LCNEC registered in the Netherlands Cancer Registry, and compared data with small
cell lung carcinoma (SCLC), squamous cell carcinoma (SqCC) and adenocarcinoma
(AdC).Histologically confirmed LCNEC (n=952), SCLC (n=11 844), SqCC (n=19 633)
and AdC (n=24 253) cases were selected from the Netherlands Cancer Registry (2003
2012). Patient characteristics, metastasis at diagnosis (2006 or later), overall
survival (OS) including multivariate Cox models and first-line treatment were
compared for stage I-II, III and IV disease.The number of LCNEC cases increased
from 56 patients in 2003 to 143 in 2012, accounting for 0.9% of all lung cancers.
Stage IV LCNEC patients (n=383) commonly had metastasis in the liver (47%), bone
(32%) and brain (23%), resembling SCLC. Median OS (95% CI) of stage I-II, III and
IV LCNEC patients was 32.4 (22.0-42.9), 12.6 (10.3-15.0) and 4.0 (3.5-4.6)
months, respectively. Multivariate-adjusted OS of LCNEC patients resembled that
of SCLC patients, and was poorer than those of SqCC and AdC patients. However,
frequency of surgical resection and adjuvant chemotherapy resembled SqCC and AdC
more than SCLC.Diagnosis of LCNEC has increased in recent years. The metastatic
pattern of LCNEC resembles SCLC as does the OS. However, early-stage treatment
strategies seem more comparable to those of SqCC and AdC.
PMID- 26541539
TI - Changes in the incidence, prevalence and mortality of bronchiectasis in the UK
from 2004 to 2013: a population-based cohort study.
AB - There is a paucity of data on incidence, prevalence and mortality associated with
non-cystic fibrosis bronchiectasis.Using the Clinical Practice Research Datalink
for participants registered between January 1, 2004 and December 31, 2013, we
determined incidence, prevalence and mortality associated with bronchiectasis in
the UK and investigated changes over time.The incidence and point prevalence of
bronchiectasis increased yearly during the study period. Across all age groups,
the incidence in women increased from 21.2 per 100 000 person-years in 2004 to
35.2 per 100 000 person-years in 2013 and in men from 18.2 per 100 000 person
years in 2004 to 26.9 per 100 000 person-years in 2013. The point prevalence in
women increased from 350.5 per 100 000 in 2004 to 566.1 per 100 000 in 2013 and
in men from 301.2 per 100 000 in 2004 to 485.5 per 100 000 in 2013. Comparing
morality rates in women and men with bronchiectasis in England and Wales (n=11
862) with mortality rates in the general population from Office of National
Statistics data showed that in women the age-adjusted mortality rate for the
bronchiectasis population was 1437.7 per 100 000 and for the general population
635.9 per 100 000 (comparative mortality figure of 2.26). In men, the age
adjusted mortality rate for the bronchiectasis population was 1914.6 per 100 000
and for the general population 895.2 per 100 000 (comparative mortality figure of
2.14).Bronchiectasis is surprisingly common and is increasing in incidence and
prevalence in the UK, particularly in older age groups. Bronchiectasis is
associated with a markedly increased mortality.
PMID- 26541540
TI - Proposal of a prognostically relevant grading scheme for pulmonary squamous cell
carcinoma.
AB - Recent studies in lung adenocarcinoma established a clinically relevant
histomorphology-based classification. In contrast, no morphological classifiers
have yet been implemented into routine diagnostics for lung squamous cell
carcinoma (SQCC). However, morphology-based characteristics putatively impacting
on survival have been proposed.We analysed a cohort of 541 SQCC patients with
complete clinical follow-up data for morphological characteristics
(keratinisation, tumour cell budding, size of tumour cell nests, nuclear size and
stromal content). Morphological characteristics were correlated with clinical
data and patient outcome.Keratinisation, budding, stromal content and tumour cell
nest size, but not nuclear size, were associated with distinct
clinicopathological characteristics and survival. SQCC patients with
keratinisation, small cell nest size, high stromal content and extensive budding
had shorter overall survival. A combined grading scheme composed of the two most
reliable validated prognostic markers, i.e. budding and nest size, resulted in an
age-, stage- and sex-independent prognosticator for overall survival with a
hazard ratio of 1.6 for grade 2 tumours and a hazard ratio of 3.7 for grade 3
tumours when compared with grade 1 neoplasms (p<0.001).Morphological
characteristics of SQCC have significant prognostic impact and could constitute
the basis for a diagnostically relevant future SQCC grading scheme.
PMID- 26541546
TI - A qualitative study examining the presence and consequences of moral framings in
patients' and mental health workers' experiences of community treatment orders.
AB - BACKGROUND: Mental health recovery involves acknowledging the importance of
building the person's capacity for agency. This might be particularly important
for patients on community treatment orders (CTOs - which involve enforced
treatment for their mental illness), given limited international evidence for
their effectiveness and underlying concerns about the use of coercion by workers
and systems of care towards this population of people with mental illness.
METHODS: This study sought to understand how the meaning of CTOs is constructed
and experienced, from the perspective of patients on CTOs and workers directly
administering CTOs. Qualitative interviews were conducted with South Australian
community mental health patients (n = 8) and mental health workers (n = 10) in
2013-14. During thematic analysis of data, assisted by NVIVO software, the
researchers were struck by the language used by both groups of participants and
so undertook an examination of the moral framings apparent within the data.
RESULTS: Moral framing was apparent in participants' constructions and
evaluations of the CTO experience as positive, negative or justifiable. Most
patient participants appeared to use moral framing to: try to understand why they
were placed on a CTO; make sense of the experience of being on a CTO; and convey
the lessons they have learnt. Worker participants appeared to use moral framing
to justify the imposition of care. Empathy was part of this, as was patients'
positive right to services and treatment, which they believed would only occur
for these patients via a CTO. Workers positioned themselves as trying to put
themselves in the patients' shoes as a way of acting virtuously towards them,
softening the coercive stick approach. Four themes were identified: explicit
moral framing; best interests of the patient; lessons learned by the patient;
and, empathy. CONCLUSIONS: Experiences of CTOs are multi-layered, and depend
critically upon empathy and reflection on the relationship between what is done
and how it is done. This includes explicit examination of the moral framing
present in everyday interactions between mental health workers and their patients
in order to overcome the paradox of the moral grey zone between caring and
controlling. It suggests a need for workers to receive ongoing empathy training.
PMID- 26541547
TI - Construction of a high-density genetic map and mapping of a sex-linked locus for
the brown alga Undaria pinnatifida (Phaeophyceae) based on large scale marker
development by specific length amplified fragment (SLAF) sequencing.
AB - BACKGROUND: Undaria pinnatifida is an important economic brown alga in East Asian
countries. However, its genetic and genomic information is very scarce, which
hinders further research in this species. A high-density genetic map is a basic
tool for fundamental and applied research such as discovery of functional genes
and mapping of quantitative trait loci (QTL). In this study the recently
developed specific length amplified fragment sequencing (SLAF-seq) technology was
employed to construct a high-density genetic linkage map and locate a sex
determining locus for U. pinnatifida. RESULTS: A total of 28.06 Gb data including
140.31 M pair-end reads was obtained. After linkage analysis 4626 SLAF markers
were mapped onto the genetic map. After adding the sex linked simple sequence
repeat (SSR) marker [GenBank:AY738602.1], the final genetic map was 1816.28 cM
long, consisting of 30 linkage groups with an average distance of 0.39 cM between
adjacent markers. The length of LGs ranged from 20.12 to 106.95 cM. A major sex
associated QTL was mapped to LG22 within a window starting at 29.01 cM and ending
at 68.81 cM with a total of 68 SLAF markers. The SSR marker and five SLAF markers
(Marker6556, 19020, 43089, 60771 and 26359) were identified as tightly sex-linked
markers, as indicated by the absence of recombination between them and the sex
phenotype. These markers were located at the position of 59.50 cM, which was
supposed to be the sex determining region. CONCLUSIONS: A high-density genetic
linkage map was constructed using SLAF-seq technique and F1 gametophyte
population for the first time in the economically important brown alga U.
pinnatifida. For the first time, a major sex associated QTL suggesting a sex
determining region was mapped to a single LG. This map will facilitate the
further fundamental and applied research such as QTL mapping and map-based gene
clone in U. pinnatifida and provide a reference for studies in other kelp
species.
PMID- 26541548
TI - Readout-segmented echo-planar imaging in the evaluation of sinonasal lesions: A
comprehensive comparison of image quality in single-shot echo-planar imaging.
AB - PURPOSE: To investigate the role of readout-segmented echo-planar imaging using
parallel imaging and a two-dimensional (2D) navigator (RESOLVE) in the evaluation
of sinonasal lesions and to qualitatively and quantitatively compare the image
qualities of single-shot echo-planar imaging (SS-EPI) and RESOLVE. MATERIALS AND
METHODS: Both sinonasal SS-EPI and RESOLVE images were acquired from 32 patients
on a 3-T MR scanner. Image quality, lesion conspicuity and the distortions of the
SS-EPI and RESOLVE images were qualitatively evaluated by two radiologists.
Distortion was also quantitatively evaluated by comparing the distances between
the same anatomic points on TSE-T1WI, TSE-T2WI, SS-EPI and RESOLVE images. The
apparent diffusion coefficient (ADC) values, signal-to-noise ratios (SNRs), and
contrast-to-noise ratios (CNRs) of the two DWIs were compared. RESULTS: The
comparisons of the qualitative scores indicated that RESOLVE significantly
improved the image quality and lesion conspicuity and reduced the distortion of
the sinonasal diseases. The orbit, skull base, temporal bone and upper neck were
also better displayed on RESOLVE. Quantitative evaluations revealed that RESOLVE
greatly reduced but did not completely remove the distortion. The ADC values of
the sinonasal lesions on RESOLVE were lower than those on SS-EPI, whereas no
differences were found in the brainstem. The SNR of RESOLVE was lower than that
of SS-EPI. There were no differences in the CNRs of the two diffusion-weighted
imaging (DWI) techniques. CONCLUSION: RESOLVE significantly improved the image
quality for evaluations of sinonasal lesions by reducing the susceptibility
artifacts, distortion and blurring compared with SS-EPI. RESOVLE offers more
accurate ADC values of sinonasal lesions than SS-EPI.
PMID- 26541549
TI - Spectrum adequacy of antibiotic regimens for secondary peritonitis: a
retrospective analysis in intermediate and intensive care unit patients.
AB - BACKGROUND: Secondary peritonitis requires surgical source control and adequate
antimicrobial treatment. Antimicrobial regimens are usually selected according to
local susceptibility data of individual pathogens against single agents, but this
neglects both the polymicrobial nature of the infection and the use of
combination therapy. We analysed the probability of common regimens to cover all
relevant pathogens isolated in one patient ("spectrum adequacy rate", SAR) in a
real-life data set. METHODS: Data from 242 patients with secondary peritonitis
(88 community acquired, 154 postoperative cases) treated in our IMCU/ICU were
obtained retrospectively. The relative frequency of pathogens, resistance rates
and the SAR were analysed using the free software R. RESULTS: Enterococci were
isolated in 47.1 % of all patients, followed by Escherichia coli (42.6 %), other
enterobacteriaceae (33.1 %), anaerobes (29.8 %) and Candida spp. (28.9 %).
Resistance patterns were consistent with general surveillance data from our
hospital. The susceptibility rates and SAR were lower in postoperative than in
community acquired cases. The following regimens yielded a SAR > 95 % when
enterobacteriaceae only were considered: piperacillin/tazobactam + gentamicin,
cefotaxim (only for community acquired cases), cefotaxim + gentamicin, meropenem,
tigecycline + gentamicin or tigecycline + ciprofloxaxin. When enterococci were
also considered, all betalactam based regimens required combination with
vancomycin or linezolid for a SAR > 95 %, whereas TGC based regimens were not
compromised. As for Candida spp., the SAR of fluconazole was 81.9-87.5 %.
CONCLUSIONS: This study demonstrates a rational approach to assess the adequacy
of antimicrobial regimens in secondary peritonitis, which may help to adjust
local guidelines or to select candidate regimens for clinical studies.
PMID- 26541550
TI - Illness perceptions and coping in physical health conditions: A meta-analysis.
AB - OBJECTIVE: There is a considerable body of research linking elements of
Leventhal's Common Sense Model (CSM) to emotional well-being/distress outcomes
among people with physical illness. The present study aims to consolidate this
literature and examine the evidence for the role of coping strategies within this
literature. METHODS: A systematic review was conducted where the outcomes of
interest were: depression, anxiety and quality of life. A total of 1050 articles
were identified and 31 articles were considered eligible to be included in the
review. RESULTS: Across a range of illnesses, perceptions of consequences of the
illness and emotional representations were consistently the illness perceptions
with the strongest relationship with the outcomes. Coping variables tend to be
stronger predictors of outcomes than the illness perception variables. The
evidence for the mediating effect of coping was inconsistent. CONCLUSIONS:
Illness perceptions and coping have an important role to play in the explanation
of distress outcomes across a range of physical health conditions. However, some
clarity about the theoretical position of coping in relation to illness
perceptions, and further longitudinal work is needed if we are to apply this
information to the design of interventions for the improvement of psychological
health among people with physical health conditions.
PMID- 26541551
TI - Photo-excitation intensity dependent electron and hole injections from lead
iodide perovskite to nanocrystalline TiO2 and spiro-OMeTAD.
AB - Photo-excitation intensity dependent electron and hole injections from CH3NH3PbI3
perovskite to nanocrystalline TiO2 and spiro-OMeTAD are presented with the
electron injection yield decrease from 95% to 10% and the hole injection yield
decrease from 99% to 50% by increasing the excitation intensity from 10 nJ cm(-2)
to 50 MUJ cm(-2).
PMID- 26541552
TI - Mercury (Hg) exposure and its effects on Saudi breastfed infant's
neurodevelopment.
AB - This cross-sectional study analyzed mercury (Hg) levels in healthy Saudi mothers
and their infants (age 3-12 months) and examined the influence of Hg on the
infants' neurodevelopment using screening tools, such as the Denver Developmental
Screening Test II (DDST-II) and Parents' Evaluation of Developmental Status
(PEDS). A total of 944 mothers and their 944 infants were recruited from 57
Primary Health Care Centers (PHCCs) in Riyadh. The total Hg (THg) levels were
measured in the mothers' and infants' urine (UTHg-M and UTHg-I) and hair (HTHg-M
and HTHg-I) samples and in the breast milk and mothers' blood. Methylmercury
(MeHg) levels were determined in hair samples from the mothers (MeHg-M) and
infants (MeHg-I). Only 40.1% of the infants were breast-fed when enrolled, and
59.9% had stopped breastfeeding. Only 1.8% of the mothers and 0.3% of the infants
had MeHg levels above the Environmental Proection Agency (EPA) reference dose (1
MUg/g), with low medians of 0.132 and 0.091 MUg/g dw, respectively, but the MeHg
levels were significantly associated with infant DDST-II performance. The levels
of corrected UTHg-M for creatinine (Cr), HTHg-M, HTHg-I, and HMeHg-M, however,
displayed an association with infant PEDS performance. The medians and percentage
of the tested population that exceeded the recommended limits for Hg in urine and
hair set by the World Health Organization (5 MUg/g Cr) and EPA (1 MUg/g) were
0.695 MUg/g Cr and 3% UTHg, 0.118 MUg/g dw and 4.1% HTHg-M, 0.101 MUg/g dw and
2.8% HTHg-I, and 0.132 MUg/g dw and 1.8% HMeHg-M. Our study provides evidence of
an association between some Hg measures and delays in infant neurodevelopment,
despite their low levels and regardless of the infant's breastfeeding status. The
results are of potential concern, because delayed psychomotor or mental
performance in infants could be an indicator of later neurocognitive development
in children, which may persist into adulthood, as shown in other studies. The
absence of local standardization of the DDST-II and PEDS screening tools might
raise some questions, although the DDST-II has been used in local institutions
for a number of years. The development of effective standardized developmental
screening tools is necessary to ensure that all children at risk of
neurodevelopmental problems early in life are identified so that they can receive
appropriate and timely intervention.
PMID- 26541553
TI - Effective characterization of polymer residues on two-dimensional materials by
Raman spectroscopy.
AB - Large-area two-dimensional (2D) materials grown by chemical vapor deposition need
to be transferred onto a target substrate for real applications. Poly(methyl
methacrylate) as a supporting layer is widely used during the transfer process
and removed after finishing it. However, it is a challenge to diminish the
polymer layer completely. It is necessary to readily characterize the polymer
residues on 2D materials to facilitate the removal process. Here, we report a
method that characterizes the polymer residues on 2D materials by tracking the
presence of G-band of amorphous carbons (a-Cs) in the Raman spectrum after
forming carbonized a-Cs through thermal annealing. The (13)C-graphene is employed
to separate the Raman signal G-band between (12)C-a-Cs and (13)C-graphene in the
Raman spectrum. The residence of the polymer residues is clearly confirmed by the
different Raman signals of two different isotopes ((12)C and (13)C) due to
differences in mass. Our effective method recognizes that while the polymer
residue is not easily removed on graphene, those on hexagonal boron nitride and
molybdenum disulfide are almost diminished under optimum thermal annealing
conditions. Our method will not only contribute to the development of a new
transfer process, but also help to achieve a clean surface of 2D materials.
PMID- 26541554
TI - [Attacks on health professionals].
PMID- 26541555
TI - [Attitudes to insomnia by Primary Care physicians of Majorca (Spain)].
AB - OBJECTIVES: To describe the current clinical management of insomnia by family
physicians. DESIGN: Cross-sectional study. SETTING: Majorca Health Area, 2011
2012. PARTICIPANTS: Family physicians (FP). Paediatricians, resident physicians
and emergency physicians were excluded. MEASUREMENTS: Using a self-administered
questionnaire, the following variables were collected: social, demographic,
professional, training in insomnia, prescription preferences, and its clinical
management. RESULTS: A total of 322 of 435 physicians answered (74%), of whom 55%
were female. The mean age was 48 years with a mean of 21 years in the profession.
Most of them consider insomnia as a major health problem, and refer to asking
patients about sleep habits and its impact on daily life. About one third have
been trained in insomnia in the last 5 years. Very few (0.6%) refers patients to
a psychiatrist, and 1.9% to a psychologist. The most prescribed drugs are
benzodiazepines (33.4%) and Z drugs (25.7%), with 69.4% of them claiming to have
checked the treatment after month of onset. Most refer to advice about sleep
hygiene measures (85.1%), 15.1% prescribe herbal remedies, and 14.2% behavioural
cognitive therapy (CBT). Seven out of ten physicians consider CBT as effective
and applicable by both physicians and nurses. The older FPs prescribe
benzodiazepines with less frequency, while female FPs prescribe more sleep
hygiene measures and herbal remedies. CONCLUSIONS: Most FPs consider insomnia as
a major health problem, in which they usually get involved. The most commonly
used treatments are sleep hygiene advice, followed by benzodiazepines and Z
drugs. The CBT is considered effective but not widely used.
PMID- 26541556
TI - The cross-sectional and longitudinal relationships between white matter
hyperintensities and dementia in patients with Parkinson's disease: A
retrospective analysis of 132 patients in a single center.
AB - OBJECTIVE: To clarify the cross-sectional and longitudinal relationships between
white matter hyperintensities (WMH) and dementia in Parkinson's disease (PD)
patients. METHODS: One hundred thirty-two PD patients were included. Using
medical records, the patient data including Hoehn and Yahr stage, postural
instability, neuropsychological tests and magnetic resonance imaging were
analyzed. The degree of WMH was rated according to a modified Fazekas scale. The
relationship between the variables and dementia was analyzed using the
independent t-test, the chi-square test, logistic regression analysis and the Cox
proportional hazard model. RESULTS: The mean age of the study patients (35 males
and 97 females) was 71.6 years (range, 45-93 years). The baseline WMH was
associated not only cross-sectionally with the contemporary prevalence of
dementia but also longitudinally with subsequent occurrence of dementia in the
univariate analysis. These relationships became attenuated and statistically
insignificant in the multivariate analysis after adjusting for confounders. It
was postural instability that consistently predicted dementia in both the cross
sectional and the longitudinal data. CONCLUSIONS: Our study showed that baseline
WMH was not independently associated with dementia, and instead postural
instability revealed at first examination can be a more reliable predictor of
dementia in PD patients.
PMID- 26541557
TI - The Camberwell Assessment of Need for the Elderly questionnaire as a tool for the
assessment of needs in elderly individuals living in long-term care institutions.
AB - OBJECTIVES: The aim of the study was to evaluate the Camberwell Assessment of
Need for the Elderly questionnaire (CANE) in assessing the needs of elderly
individuals living in long-term care institutions (LTCI) in Poland. SETTING AND
PARTICIPANTS: The needs of 173 residents were assessed. The inclusion criteria
were age (at least 75 years of age) and the lack of severe cognitive impairment
(Mini Mental Scale Examination score of at least 15 points). MEASUREMENTS: In all
participants, met and unmet needs were assessed by themselves and by the nursing
staff involved in care activities. RESULTS: The number of met needs assessed by
the staff was higher than in the users' opinions (p<0.0001), whereas the number
of unmet needs was lower (p<0.001). However, the average percentage of the
agreement between the user and the staff was as high as 86.2%. The areas
characterized by the lowest agreement were Company (65.3%), Memory (75.7%),
Eyesight/hearing/communication (70.5%) and Psychological distress (70.5%).
CONCLUSIONS: Despite a high percentage of agreement reached between the staff and
user assessments of needs in our study, we were able to identify the areas of
discrepancies between these two perceptions of needs. These can be treated as
signals pointing to those aspects of care that should be addressed.
PMID- 26541558
TI - Metacognition moderates the relationship of disturbances in attachment with
severity of borderline personality disorder among persons in treatment of
substance use disorders.
AB - OBJECTIVES: Borderline personality disorder traits have been observed to be
linked with both insecure attachment styles as well as deficits in mentalizing
and metacognition. Less is known, however, about how attachment style does or
does not interact with deficits in mentalizing and metacognition to create,
sustain, or influence levels of borderline personality disorder traits. In this
study, we examined the hypothesis that metacognitive mastery, which is the
ability to use knowledge about mental states of self and others to cope with
distress and solve social problems, moderates the relationship of anxious
attachment style with the severity of borderline personality disorder traits.
METHODS: Concurrent assessments were gathered of metacognitive mastery using the
Metacognitive Assessment Scale Abbreviated, anxious attachment style using the
Experiences of in Close Relationships Scale, and borderline personality disorder
traits using the Structured Clinical Interview for DSM-IV Axis II Disorders.
Participants were 59 adults in an early phase of recovery from substance use
disorders in a residential setting. RESULTS: Multiple regression revealed that
metacognitive mastery moderated the relationship of anxious attachment style with
the number of borderline personality disorder traits. A median split of the
anxious attachment and metacognitive mastery scores was performed yielding 4
groups. An analysis of covariance revealed that participants with higher levels
of anxious attachment and poorer metacognitive mastery had more borderline
personality disorder traits did than the other groups after controlling for
levels of psychopathology. CONCLUSION: Insecure attachment may be associated with
higher number of borderline personality disorder traits in the presence of
deficits in metacognitive mastery. Patients with substance use and borderline
personality disorder traits may benefit from treatment which addresses
metacognitive mastery.
PMID- 26541559
TI - Resilience in the Offspring of Depressed Mothers: Variation Across Risk, Domains,
and Time.
AB - Considerable research has demonstrated that maternal depression is a significant
risk factor for emotional and behavioral problems in children and adolescents
(Goodman and Gotlib in Psychol Rev 106:458-490, 1999). It is important to note,
however, that most children of depressed parents do not develop problems. This
review will examine studies of resilience as they relate to the degree to which
positive adjustment occurs across different levels of risk (i.e., severity and
chronicity of depression as well as in context of multiple risk factors), domains
of adjustment, and time. Understanding the phenomenon of resilience to depression
is of critical importance to prevention and intervention experts because it may
provide insight into processes that can be enhanced and targeted in prevention
approaches among high-risk populations.
PMID- 26541560
TI - The Application of Intensive Longitudinal Methods to Investigate Change:
Stimulating the Field of Applied Family Research.
AB - The use of intensive longitudinal methods (ILM)-rapid in situ assessment at micro
timescales-can be overlaid on RCTs and other study designs in applied family
research. Particularly, when done as part of a multiple timescale design-in
bursts over macro timescales-ILM can advance the study of the mechanisms and
effects of family interventions and processes of family change. ILM confers
measurement benefits in accurately assessing momentary and variable experiences
and captures fine-grained dynamic pictures of time-ordered processes. Thus, ILM
allows opportunities to investigate new research questions about intervention
effects on within-subject (i.e., within-person, within-family) variability (i.e.,
dynamic constructs) and about the time-ordered change process that interventions
induce in families and family members beginning with the first intervention
session. This paper discusses the need and rationale for applying ILM to family
intervention evaluation, new research questions that can be addressed with ILM,
example research using ILM in the related fields of basic family research and the
evaluation of individual-based interventions. Finally, the paper touches on
practical challenges and considerations associated with ILM and points readers to
resources for the application of ILM.
PMID- 26541561
TI - [Shoulder dystocia: Guidelines for clinical practice--Short text].
AB - OBJECTIVES: To determine the available evidence to prevent and treat shoulder
dystocia to attempt to decrease its related neonatal and maternal morbidity.
MATERIALS AND METHODS: The PubMed database, the Cochrane Library and the
recommendations from the French and foreign obstetrical societies or colleges
have been consulted. RESULTS: Shoulder dystocia, defined as a vaginal delivery
that requires additional obstetric maneuvers to deliver the fetus after the head
has delivered and gentle traction has failed, complicates 0.5-1 % of vaginal
deliveries. Risks of brachial plexus birth injury (LE3), clavicle and humeral
fracture (LE3), perinatal asphyxia (LE2), hypoxic-ischemic encephalopathy (LE3)
and perinatal mortality (LE2) are increased after shoulder dystocia. Its main
risk factors are previous shoulder dystocia and macrosomia, but they are poorly
predictive; 50 % to 70 % of shoulder dystocia cases occur in their absence, and
the great majority of deliveries when they are present are not associated with
shoulder dystocia. No study has proven that the correction of these risk factors
(except gestational diabetes) would reduce the risk of shoulder dystocia (SD).
Physical activity is recommended before and during pregnancy to reduce the
occurrence of some risk factors for shoulder dystocia (grade C). In obese
patients, physical activity should be coupled with dietary measures to reduce
fetal macrosomia and weight gain during pregnancy (grade A). In case of
gestational diabetes, diabetes care is recommended (diabetic diet, glucose
monitoring, insulin if needed) (grade A) as it reduces the risk of macrosomia and
shoulder dystocia (LE1). In order to avoid shoulder dystocia and its
complications, only two measures are proposed. Induction of labor is recommended
in case of impending macrosomia if the cervix is favourable and gestational age
greater than 39 weeks of gestation (professional consensus). Cesarean delivery is
recommended before labor in case of EFW greater than 4500g if associated with
maternal diabetes (grade C), EFW greater than 5000g in the absence of maternal
diabetes (grade C), history of shoulder dystocia associated with severe neonatal
or maternal complications (Professional consensus), and finally during labor, in
case of fetal macrosomia and failure to progress in the second stage, when the
fetal head is above a +2 station (grade C). In case of shoulder dystocia, it is
recommended not to pull excessively on the fetal head (grade C), do not perform
uterine expression (grade C) and do not realize inverse rotation of the fetal
head (professional consensus). McRoberts' maneuver, with or without a suprapubic
pressure, is recommended in the first line (grade C). In case of failure, if the
posterior shoulder is engaged, Wood's maneuver should be performed
preferentially; if the posterior shoulder is not engaged, delivery of the
posterior arm should be performed preferentially (professional consensus). It
seems necessary to know at least two maneuvers to perform in case of shoulder
dystocia unresolved by the maneuver of McRoberts (professional consensus).
Pediatrician should be immediately informed in case of shoulder dystocia. The
initial clinical examination should search complications such as brachial plexus
birth injury or clavicle fracture (professional consensus). In absence of
neonatal complication, monitoring of the neonate is not modified (professional
consensus). The implementation of a practical training using simulation and
concerning all caregivers of the delivery room is associated with a significant
reduction in neonatal (LE3) but not maternal (LE3) injury. CONCLUSION: Shoulder
dystocia remains a non-predictable obstetrics emergency. All physicians and
midwives should know and perform obstetric maneuvers if needed quickly but
without precipitation. A training program using simulation for the management of
shoulder dystocia is encouraged for the initial and continuing formation of
different actors in the delivery room (professional consensus).
PMID- 26541562
TI - [Exploring a non-inflammatory clinical breast mass: Clinical practice
guidelines].
AB - PURPOSE: The aim of the study was to assess the diagnostic value of physical
examination, radiologic explorations and percutaneous procedures of the breast in
the exploration of a non-inflammatory palpable mass, in order to propose
guidelines. METHOD: A systematic literature review was conducted in the Medline
and Cochrane library databases. International guidelines in French and English
language were also consulted until April 30th 2015. RESULTS: Physical examination
of a non-inflammatory palpable breast mass is not sufficient to eliminate a
breast cancer (LE2). Mammography alone has a sensitivity between 70 and 95% for
the diagnosis of breast cancer (LE3). Echography alone has a sensitivity of 98 to
100% for the diagnosis of breast cancer (LE2). The core needle biopsy has a
better sensitivity and specificity than the fine-needle aspiration for breast
cancer diagnosis (LE2). The association of mammography and 2D echography presents
excellent sensitivity and negative predictive value (close to 100 %) to exclude a
breast cancer (LE3). A double evaluation using mammography and echography is
recommended in the exploration of a non-inflammatory palpable breast mass (grade
B).
PMID- 26541563
TI - [Inflammatory and infectious breast mastitis outside of pregnancy and lactation:
Guidelines].
AB - OBJECTIVES: This work's objective was to define the various non-cancerous
inflammatory and infectious mastitis, which may occur outside of pregnancy and
lactation, and to identify recommendations for their care based on an exhaustive
literature review. MATERIALS AND METHODS: A literature review was conducted by
consulting Medline, Cochrane Library, Google scholar and international
recommendations in French and English until 31st August 2014. RESULTS AND
CONCLUSION: Infectious mastitis (periareolar abscess) is the most common form of
non-puerperal abscesses and it is recommended that a suction/drainage needle for
abscesses under 5 cm, involving antibiotic therapy (grade C). For abscesses over
5 cm, there is no evidence to recommend a first surgery or suction/drainage.
Inflammatory mastitis can be primary or secondary to a systemic disease
(diabetes, collagen...; LE4). In case of idiopathic granulomatous mastitis, a
steroid therapy or surgery may be indicated, without one or the other of these
methods can be recommended. In case of plasma cell mastitis or ductal ectasia, no
treatment is recommended.
PMID- 26541564
TI - [Breast imaging of mass, architectural distortion and asymmetry: Clinical
practice guidelines].
AB - The development of the mammary imaging (mammography, ultrasound, MRI) enables the
discovery of more and more lesions. The BI-RADS lexicon is the reference book for
their descriptive analysis. Four elementary images must be individualized: masses
and architectural distortion described in 3 imaging techniques, asymmetries and
microcalcifications described in mammography. The aim of this work was to review
three of these images: mass, architectural distortion and asymmetry, allowing the
various actors involved in senology to propose an up-to-date diagnostic and
interventional strategy, based on their positive predictive values (PPV) or
negative predictive values of cancer and allowing the classification BI-RADS of
the lesion. The masses are the most often encountered lesions as well in
screening as in diagnosis. Their PPV is superior in diagnosis than in screening
and it increases with the age. Their irregular forms, their spiculated outlines
and their evolutionary character are the most relevant elements of suspicion. The
architectural distortion is the rarest image and always classified suspect BI
RADS 4, except in case of a known scar. The asymmetry is less common; its PPV is
low and rises only in case of evolutionary asymmetry.
PMID- 26541566
TI - [Guidelines for clinical practice: Post-partum--Introduction].
PMID- 26541565
TI - [Benign breast tumors: Recommendations of College National des Gynecologues
Obstetriciens Francais (CNGOF)--Short text].
AB - Breast sonography is required with mammogram to explore clinical breast mass
(grade B), colored unipore breast nipple discharge (grade C), or mastitis (grade
C). Bi-RADS system is recommended to describe and classify breast-imaging
abnormalities. For breast abscess, a percutaneous biopsy is recommended in case
of mass or persistent symptoms (grade C). For mastodynia, when breast imaging is
normal, no MRI neither breast biopsy is recommended (grade C). Percutaneous
biopsy is recommended for BI-RADS 4-5 mass (grade B). For persistent erythematous
breast nipple or atypical eczema lesion, a nipple biopsy is recommended (grade
C). For distortion and asymmetry, a vacuum core needle biopsy is recommended
because of the risk of underestimation by simple core needle biopsy (grade C).
For BI-RADS 4-5 microcalcifications without ultrasound signal, a vacuum core
needle biopsy of at least 11 gauges is recommended (grade B); in the absence of
microcalcifications on radiograph carrots, additional samples are recommended
(grade B). For atypical ductal hyperplasia, atypical lobular hyperplasia, lobular
carcinoma in situ, flat epithelial with atypia, radial scar, mucocele with
atypia, surgical excision is commonly recommended (grade C). Expectant management
is feasible after multidisciplinary concertation. For these lesions, when
excision is not in sano, no new excision is recommended except for pleomorphic or
with necrosis CLIS (grade C). For grade 1 phyllode tumour, in sano surgical
resection is recommended; for grade 2 phyllode, 10-mm margins are recommended
(grade C). For breast papillary without atypia, complete disappearance of the
radiologic signal is recommended (grade C). For breast papillary with atypia,
complete surgical excision is recommended (grade C).
PMID- 26541567
TI - [Breast pain: Recommendations].
AB - OBJECTIVES: To evaluate the diagnostic value of clinical examination and
additional tests in the exploration of breast pain, to evaluate the strategy of
their care and to provide recommendations. METHODS: A literature search in
English and French carried out by consulting the databases PubMed, Cochrane
Library and international recommendations. RESULTS: Clinical examination and
interrogation, with the use of visual analog scale used to differentiate non
cyclical breast pain from mastodynia (LE2). A calendar can be used to
characterize the cyclical breast pain (LE3). Using a questionnaire can help to
characterize the pain (LE3). In the absence of palpable abnormality, it is not
recommended to modify systematic or individual screening modalities (LE2). MRI is
not recommended in case of normal mammography and sonography. Explorations biopsy
is guided by imaging. The therapeutic management includes reassurance after a
normal clinical evaluation and/or normal radiological findings (LE2), and precise
fitting of a brassiere. In case of failure of this first approach, NSAIDs gel can
be proposed (LE1-2).
PMID- 26541568
TI - Iron Metabolism and Vascular Remodeling: Novel Insights Provided by Transferrin-1
Receptor Depletion in Mice With Pulmonary Hypertension.
PMID- 26541569
TI - Aortic Pulse Pressure Amplification Imputed From Simple Clinical Measures Adds to
the Ability of Brachial Pressure to Predict Survival.
AB - BACKGROUND: Although aortic-to-brachial pulse pressure amplification (PPamp) may
offer prognostic information beyond brachial blood pressure (BP), this approach
is limited in resource-limited settings. We aimed to derive an equation to impute
central aortic PP (PPc) from simple clinical measures and assess whether imputed
PPamp adds to the ability of brachial BP to predict mortality. METHODS: An
imputation equation for PPc, incorporating brachial PP, age, mean arterial
pressure, and pulse rate, was identified from multivariate modeling of the
factors associated with radial applanation tonometry-derived (measured) PPc in
1,179 community participants and validated in a clinical sample of 351 patients.
We applied the equation to ambulatory awake BP and pulse rate values in a
separate group of 4,796 patients referred for ambulatory monitoring and evaluated
the impact on all-cause mortality. RESULTS: Imputed PPc values closely
approximated measured PPc (r (2) = 0.96, mean difference +/- (2 * SD) = 1.4+/
6.2mm Hg). In adjusted Cox proportional models including adjustments for awake
brachial PP during 47,111 person-years of follow-up, where 648 patients died,
hazards ratio for all-cause mortality per SD of awake PPamp was 0.79 (95%
confidence interval (CI): 0.68-0.93, P < 0.005). The hazards ratio for brachial
PP with (1.49, CI = 1.36-1.64, P < 0.0001) or without (1.46, CI = 1.35-1.59, P <
0.0001) PPamp in the model was similar. Awake PPamp also predicted survival
independent of awake brachial systolic BP (P < 0.0001). CONCLUSIONS: PPc imputed
from simple clinical assessments closely approximates measured PPc. PPamp derived
from imputed PPc adds to the ability of brachial BP to predict survival. In
resource-limited settings, an imputation equation may be employed to approximate
aortic BP and enhance risk prediction.
PMID- 26541570
TI - Functional Status and Antihypertensive Therapy in Older Adults: A New Perspective
on Old Data.
AB - BACKGROUND: Functional status may be useful for identifying older adults who
benefit from lower blood pressure. We examined whether functional status modifies
the effect of antihypertensive treatment among older adults. METHODS: Post hoc
analyses of the Systolic Hypertension in the Elderly Program (SHEP), a randomized
trial of antihypertensive therapy vs. placebo (1985-1991) in 4,736 adults aged 60
years or older with isolated systolic hypertension. Outcomes were all-cause
death, cardiovascular (CV) death, myocardial infarction (MI), stroke, falls, and
symptoms of hypotension. The effect modifier of interest was functional status,
assessed by self-reported physical ability limitation (PAL). RESULTS: Among
persons with no PAL, those receiving treatment had a lower rate of death, CV
death, and MI compared with placebo (4.0, 2.9, and 4.2 per 1,000 person-years
lower, respectively). In contrast, among persons with a PAL, those receiving
treatment had a higher rate of death, CV death, and MI compared with placebo
(8.6, 5.3, and 2.7 per 1,000 person-years higher, respectively). These patterns
persisted in Cox models, although interaction terms did not reach statistical
significance. Treatment remained protective for stroke regardless of functional
status. The rate of falls associated with treatment differed by functional
status; incidence-rate ratio = 0.81, 95% confidence interval (CI) = (0.66, 0.99),
and 1.32, 95% CI = (0.87, 2.00) in participants without and with a PAL,
respectively, in models adjusted for demographics and baseline blood pressure (P
value for interaction, 0.04). CONCLUSIONS: Functional status may modify the
effect of antihypertensive treatment on MI, mortality, and falls, but not stroke,
in older adults. Functional status should be examined in other trial settings.
PMID- 26541571
TI - An updated ciguatoxin extraction method and silica cleanup for use with HPLC
MS/MS for the analysis of P-CTX-1, PCTX-2 and P-CTX-3.
AB - Ciguatera fish poisoning is a debilitating human neuro-intoxication caused by
consumption of tropical marine organisms, contaminated with bioaccumulated
ciguatoxins (CTXs). The growing number of cases coupled with the high toxicity of
CTXs makes their reliable detection and quantification of paramount importance.
Three commonly occurring ciguatoxins, P-CTX-1, 2 and 3 from five different
ciguatoxic Spanish mackerel (Scomberomorus commerson), were used to assess the
effectiveness of different extraction techniques: homogenization (high powered
blending vs. ultrasonication); C-18 column sizes (500 mg vs. 900 mg); and a novel
HILIC SPE cleanup. Despite minor differences, blending and sonication proved
equally effective. Larger 900 mg columns offered a greater extraction efficiency,
increasing detected P-CTX-1 by 37% (P < 0.001). The newly adapted cleanup was
highly effective at reducing co-eluting phospholipids thereby reducing matrix
effects and increasing detectable CTXs by HPLC-MS/MS. Silica cleanup extraction
efficiencies were also compared between the highly effective and validated
ciguatoxin rapid extraction method (CREM) and current best practice extraction
method employed by Queensland Health (QH). Overall, the QH protocol proved more
effective, especially when paired with the newly adapted cleanup, as this
increased the amount of extracted P-CTX-1 by 46% (P < 0.01), P-CTX-2 by 10% and P
CTX-3 by 71% (P = 0.001). This study suggests the QH protocol utilizing a 900 mg
C-18 column and newly adapted HILIC SPE cleanup was most effective at extracting
P-CTX-1, -2, -3. Specifically P-CTX-1, the primary ciguatoxin congener of concern
due to its extremely high potency and an ability to cause CFP at 0.1 MUg/kg
following consumption of carnivorous fish flesh. Despite being more time
intensive (an additional 85 min per batch of 12 samples), this will be especially
effective for assessing lower toxin burdens, which may be near the limit of
detection.
PMID- 26541572
TI - A bright future for integrative venomics.
PMID- 26541573
TI - First report of the toxigenic Nitzschia navis-varingica (Bacillariophyceae)
isolated from Tebrau Straits, Johor, Malaysia.
AB - Screening of the occurrence of potentially toxic diatoms was carried out at two
sites of cage cultures in Tebrau Straits, Johor. Phytoplankton samples from
Sungai Pendas and Teluk Sengat were collected using a 20 MUm mesh plankton net
and salinity was recorded in-situ. Nitzschia and Pseudo-nitzschia cells were
isolated and established into clonal cultures. All cultures were tested for
domoic acid using HPLC-UV analysis and verified by LC-MS analysis. Three
Nitzschia spp. and one Pseudo-nitzschia sp. were identified from these locations.
Toxic and non-toxic strains of Nitzschia navis-varingica are found at the cage
culture areas. Cellular toxin content in the toxic strain of N. navis-varingica
is 1.8 pg cell(-1). This is a new record from Malaysia and this species was
isolated from estuarine water with salinity 28 PSU. The discovery of toxic
Nitzschia species in Tebrau Straits indicates the potential for domoic acid
accumulation in seafood.
PMID- 26541574
TI - Modulation of jellyfish nematocyst discharges and management of human skin stings
in Nemopilema nomurai and Carybdea mora.
AB - Even though jellyfish sting is common today, its first aid guideline has never
been clear enough in a scientific point of view and the use of vinegar appears to
be not accepted in common throughout the world. In the present study, to develop
rational first aid guidelines for the stings of Nemopilema nomurai (scyphozoa)
and Carybdea mora (cubozoa), the modulatory effects of various kinds of rinsing
solutions have been assessed on nematocyst discharge and human skin tests. Among
the solutions tested, vinegar (4% acetic acid) immediately caused significant
nematocyst discharge in N. nomurai but not in C. mora. On the other hand, ethanol
(70%) notably stimulated nematocyst discharge in C. mora and relatively less in
N. nomurai. Moreover, isopropanol, a widely used solvent in pharmaceutical
products, caused extensive nematocyst discharges in both N. nomurai and C. mora.
Whereas, seawater did not elicit any nematocyst discharge in both jellyfish
species. In human skin test, the rinsing with seawater also ameliorated the
stinging-associated symptoms (pain and redness) in C. mora as well as N. nomurai.
From this study, seawater appears not to induce any nematocyst discharge and can
be safely used as a first aid rinsing solution for the jellyfish stings.
PMID- 26541575
TI - Practitioner perspectives.
PMID- 26541576
TI - News from the International Council on Women's Health Issues.
PMID- 26541577
TI - Removal of a bent intramedullary nail in lower extremity: report of two cases and
review of removal techniques.
AB - Removal of a bent intramedullary nail (IMN) is a rare but challenging orthopedic
problem. Several removal techniques have been described up to date; however,
there is no extensive review and no algorithm to manage these cases in current
literature. The purpose of this paper is to present two cases that presented with
bent IMN and provide an algorithm for management of this rare complication.
PMID- 26541578
TI - Design of a fluorescent ligand targeting the S-adenosylmethionine binding site of
the histone methyltransferase MLL1.
AB - The histone methyltransferase MLL1 has been linked to translocation-associated
gene fusion in childhood leukemias and is an attractive drug target. High
throughput biochemical analysis of MLL1 methyltransferase activity requires the
production of at least a trimeric complex of MLL1, RbBP5 and WDR5 to elicit
robust activity. Production of trimeric and higher order MLL1 complexes in the
quantities and reproducibility required for high-throughput screening presents a
significant impediment to MLL1 drug discovery efforts. We present here a small
molecule fluorescent ligand (FL-NAH, 6) that is able to bind to the S
adenosylmethionine (SAM) binding site of MLL1 in a manner independent of the
associated complex members. We have used FL-NAH to develop a fluorescence
polarization-based SAM displacement assay in a 384-well format targeting the MLL1
SET domain in the absence of associated complex members. FL-NAH competes with SAM
and is displaced from the MLL1 SET domain by other SAM-binding site ligands with
Kdisp values similar to the higher-order complexes, but is unaffected by the H3
peptide substrate. This assay enables screening for SAM-competitive MLL1
inhibitors without requiring the use of trimeric or higher order MLL1 complexes,
significantly reducing screening time and cost.
PMID- 26541579
TI - Validation of Duruoz Hand Index in patients with tetraplegia.
AB - STUDY DESIGN: Cross-sectional, clinical measurement. PURPOSE: To investigate the
validity of the Duruoz Hand Index (DHI) in the assessment of hand function in
patients with tetraplegia. METHODS: A total of 40 patients with tetraplegia
participated. Patients' upper extremities were assessed on the level of 'body
function and structure' [The American Spinal Cord Injury Association (ASIA)
Impairment Scale (AIS) 2000 revised criteria, upper extremity motor score (UEMS),
neurologic level of injury and visual analogue scale of hand function (VAS-HF)],
'activity' [DHI and Quadriplegia index of function-short form (QIF-SF)] and 'body
function and structure, activity and participation' [Health Survey Short Form-36
(SF-36)] according to International Classification of Function. RESULTS: The DHI
showed significant correlations with UEMS, AIS, QIF-SF, VAS-HF, physical
functioning and physical compound summary scores of SF-36. CONCLUSIONS: The DHI
was found a valid method in the assessment of hand functions in patients with
tetraplegia. LEVEL OF EVIDENCE: Diagnostic III.
PMID- 26541580
TI - Neural correlates of successful memory retrieval in aging: Do executive
functioning and task difficulty matter?
AB - The current experiment aimed to explore age differences in brain activity
associated with successful memory retrieval in older adults with different levels
of executive functioning, at different levels of task demand. Memory performance
and fMRI activity during a recognition task were compared between a young group
and two older groups characterized by a low (old-low group) vs. high (old-high
group) level of executive functioning. Participants first encoded pictures,
presented once (Hard condition) or twice (Easy condition), and then completed a
recognition memory task. Old-low adults had poorer memory performance than the
two other groups, which did not differ, in both levels of task demands. In the
Easy condition, even though older adults demonstrated reduced activity compared
to young adults in several regions, they also showed additional activations in
the right superior frontal gyrus and right parietal lobule (positively correlated
to memory accuracy) for the old-high group and in the right precuneus (negatively
correlated to memory accuracy), right anterior cingulate gyrus and right
supramarginal gyrus for the old-low group. In the Hard condition, some regions
were also more activated in the young group than in the older groups. Vice versa,
old-high participants demonstrated more activity than either the young or the old
low group in the right frontal gyrus, associated with more accurate memory
performance, and in the left frontal gyrus. In sum, the present study clearly
showed that age differences in the neural correlates of retrieval success were
modulated by task difficulty, as suggested by the CRUNCH model, but also by
interindividual variability, in particular regarding executive functioning.
PMID- 26541582
TI - Sevoflurane postconditioning improves long-term learning and memory of neonatal
hypoxia-ischemia brain damage rats via the PI3K/Akt-mPTP pathway.
AB - BACKGROUND: Volatile anesthetic postconditioning has been documented to provide
neuroprotection in adult animals. Our aim was to investigate whether sevoflurane
postconditioning improves long-term learning and memory of neonatal hypoxia
ischemia brain damage (HIBD) rats, and whether the PI3K/Akt pathway and
mitochondrial permeability transition pore (mPTP) opening participate in the
effect. METHODS: Seven-day-old Sprague-Dawley rats were subjected to brain HI and
randomly allocated to 10 groups (n=24 each group) and treated as follows: (1)
Sham, without hypoxia-ischemia; (2) HI/Control, received cerebral hypoxia
ischemia; (3) HI+Atractyloside (Atr), (4) HI+Cyclosporin A (CsA), (5)
HI+sevoflurane (Sev), (6) HI+Sev+ LY294002 (LY), (7) HI+Sev+ L-NAME (L-N), (8)
HI+Sev+ SB216763 (SB), (9) HI+Sev+Atr, and (10) HI+Sev+CsA. Twelve rats in each
group underwent behavioral testing and their brains were harvested for
hippocampus neuron count and morphology study. Brains of the other 12 animals
were harvested 24h after intervention to examine the expression of Akt, p-Akt,
eNOS, p-eNOS, GSK-3beta, p-GSK-3beta by Western bolting and mPTP opening.
RESULTS: Sevoflurane postconditioning significantly improved the long-term
cognitive performance of the rats, increased the number of surviving neurons in
CA1 and CA3 hippocampal regions, and protected the histomorphology of the left
hippocampus. These effects were abolished by inhibitors of PI3K/eNOS/GSK-3beta.
Although blocking mPTP opening simulated sevoflurane postconditioning-induced
neuroprotection, it failed to enhance it. CONCLUSIONS: Sevoflurane
postconditioning exerts a neuroprotective effect against HIBD in neonatal rats
via PI3K/Akt/eNOS and PI3K/Akt/GSK-3beta pathways, and blockage of mPTP opening
may be involved in attenuation of histomorphological injury.
PMID- 26541581
TI - Auditory short-term memory in the primate auditory cortex.
AB - Sounds are fleeting, and assembling the sequence of inputs at the ear into a
coherent percept requires auditory memory across various time scales. Auditory
short-term memory comprises at least two components: an active 'working memory'
bolstered by rehearsal, and a sensory trace that may be passively retained.
Working memory relies on representations recalled from long-term memory, and
their rehearsal may require phonological mechanisms unique to humans. The sensory
component, passive short-term memory (pSTM), is tractable to study in nonhuman
primates, whose brain architecture and behavioral repertoire are comparable to
our own. This review discusses recent advances in the behavioral and
neurophysiological study of auditory memory with a focus on single-unit
recordings from macaque monkeys performing delayed-match-to-sample (DMS) tasks.
Monkeys appear to employ pSTM to solve these tasks, as evidenced by the impact of
interfering stimuli on memory performance. In several regards, pSTM in monkeys
resembles pitch memory in humans, and may engage similar neural mechanisms.
Neural correlates of DMS performance have been observed throughout the auditory
and prefrontal cortex, defining a network of areas supporting auditory STM with
parallels to that supporting visual STM. These correlates include persistent
neural firing, or a suppression of firing, during the delay period of the memory
task, as well as suppression or (less commonly) enhancement of sensory responses
when a sound is repeated as a 'match' stimulus. Auditory STM is supported by a
distributed temporo-frontal network in which sensitivity to stimulus history is
an intrinsic feature of auditory processing. This article is part of a Special
Issue entitled SI: Auditory working memory.
PMID- 26541583
TI - Dexamethazone protects against Escherichia coli induced sickness behavior in
rats.
AB - Systemic bacterial infection results in systemic inflammatory response syndrome
due to the release of lipopolysaccharide (LPS) in blood that can lead to multiple
organ failure, shock, and potentially death. Other impact, LPS exposure produces
robust increase in anxiety-like behavior, suppression of locomotor, exploratory
activity, and reduced social behavior. The therapeutic use of glucocorticoids in
septic shock remains one of the first-aid approaches for their anti-inflammatory
properties. The aim of this study was to evaluate the possible protective effect
of dexamethazone (DEX), the most commonly used corticosteroid, against
Escherichia coli (E. coli) immunohistochemical changes and neurobehavioral
dysfunction. To this end, male Sprague-Dawley rats were divided into four groups;
(1) Control group (2) E. coli infected group, where animals received 0.2 ml of 24
h growth of E. coli suspension in nutrient broth containing approximately
1.8*10(8) cfu/ml i.p for once, 48 h before sacrificing (3) DEX (20 mg/kg, i.p, 3
days) treated group (4) DEX and E. coli treated group. The results revealed that
DEX significantly protected animals against most E. coli-induced behavioral
deficits, reduced signs of cognitive impairment. DEX also reduced the LPS-evoked
rise in C-reactive protein (CRP), Interferon gamma (IFgamma), as well as,
expression of Caspase-3. In conclusion, DEX provides neuroprotection against E.
coli-associated neurobehavioral and immunological changes via its anti
inflammatory and immunomodulatory effects.
PMID- 26541584
TI - Growth and lipid content at low temperature of Arctic alga Chlamydomonas sp.
KNM0029C.
AB - Biodiesel produced from microalgae is a promising source of alternative energy.
In winter, however, outdoor mass cultivation for biodiesel production is hampered
by poor growth. Here, we report that Arctic Chlamydomonas sp. KNM0029C exhibits
optimal growth at 4 degrees C and reaches densities up to 1.4 * 10(7) cells mL(
1). Lipid body formation in the alga was visualized through BODIPY 505/515
staining and fluorescence microscopy. The fatty acid methyl ester (FAME)
production level of KNM0029C was 178.6 mg L(-1) culture and 2.3-fold higher than
that of C. reinhardtii CC-125 at 4 degrees C. Analysis of the FAME content
showed a predominance of polyunsaturated fatty acids such as C16:3, C18:2, C18:3,
and C20:2. C18:3 fatty acids comprised the largest fraction (20.7%), and the
content of polyunsaturated fatty acids (39.6%) was higher than that of saturated
fatty acids (6.8%) at 4 degrees C. These results indicate that Chlamydomonas sp.
KNM0029C, as a psychrophilic microalga, might represent a favorable source for
biodiesel production in cold environments.
PMID- 26541585
TI - Cost evaluation of cellulase enzyme for industrial-scale cellulosic ethanol
production based on rigorous Aspen Plus modeling.
AB - Cost reduction on cellulase enzyme usage has been the central effort in the
commercialization of fuel ethanol production from lignocellulose biomass.
Therefore, establishing an accurate evaluation method on cellulase enzyme cost is
crucially important to support the health development of the future biorefinery
industry. Currently, the cellulase cost evaluation methods were complicated and
various controversial or even conflict results were presented. To give a reliable
evaluation on this important topic, a rigorous analysis based on the Aspen Plus
flowsheet simulation in the commercial scale ethanol plant was proposed in this
study. The minimum ethanol selling price (MESP) was used as the indicator to show
the impacts of varying enzyme supply modes, enzyme prices, process parameters, as
well as enzyme loading on the enzyme cost. The results reveal that the enzyme
cost drives the cellulosic ethanol price below the minimum profit point when the
enzyme is purchased from the current industrial enzyme market. An innovative
production of cellulase enzyme such as on-site enzyme production should be
explored and tested in the industrial scale to yield an economically sound enzyme
supply for the future cellulosic ethanol production.
PMID- 26541586
TI - Sacituzumab Govitecan, a Novel Antibody--Drug Conjugate, in Patients With
Metastatic Platinum-Resistant Urothelial Carcinoma.
AB - Patients with metastatic, platinum-resistant urothelial carcinoma (PRUC) have no
Food and Drug Administration-approved therapies. The response rates to second
line chemotherapy have generally been < 20%, with a median overall survival of <
1 year. We report our experience with 6 heavily pretreated patients with advanced
PRUC (ClinicalTrials.gov identifier NCT01631552) with the novel antibody-drug
conjugate, sacituzumab govitecan (IMMU-132). This antibody-drug conjugate
comprises the active metabolite of irinotecan, SN-38, conjugated to an anti-Trop
2 antibody. Trop-2 is widely expressed in <= 83% of urothelial carcinomas. Of the
6 patients, 3 had a clinically significant response (progression-free survival,
6.7 to 8.2 months; overall survival, 7.5+ to 11.4+ months). Sacituzumab govitecan
was well tolerated. Because of these results, a phase II trial has been
initiated. The present report highlights the promise of antibody-drug conjugates,
such as sacituzumab govitecan, as a novel therapeutic strategy for the treatment
of PRUC.
PMID- 26541587
TI - Modification of cellular DNA by synthetic aziridinomitosenes.
AB - Two synthetic aziridinomitosenes (AZMs), Me-AZM and H-AZM, structurally related
to mitomycin C (MC) were evaluated for their anticancer activity against six
cancer cell lines (HeLa, Jurkat, T47D, HepG2, HL-60, and HuT-78) and tested for
their DNA-modifying abilities in Jurkat cells. Cytotoxicity assays showed that Me
AZM is up to 72-fold and 520-fold more potent than MC and H-AZM, respectively. Me
AZM also demonstrated increased DNA modification over MC and H-AZM in alkaline
COMET and Hoechst fluorescence assays that measured crosslinks in cellular DNA.
Me-AZM and H-AZM treatment of Jurkat cells was found to sponsor significant DNA
protein crosslinks using a K-SDS assay. The results clearly indicate that the AZM
C6/C7 substitution pattern plays an important role in drug activity and supports
both DNA-DNA and DNA-protein adduct formation as mechanisms for inducing
cytotoxic effects.
PMID- 26541588
TI - Metastatic spread in patients with non-small cell lung cancer is associated with
a reduced density of tumor-infiltrating T cells.
AB - Tumor-infiltrating lymphocytes play an important role in cell-mediated immune
destruction of cancer cells and tumor growth control. We investigated the
heterogeneity of immune cell infiltrates between primary non-small cell lung
carcinomas (NSCLC) and corresponding metastases. Formalin-fixed, paraffin
embedded primary tumors and corresponding metastases from 34 NSCLC patients were
analyzed by immunohistochemistry for CD4, CD8, CD11c, CD68, CD163 and PD-L1. The
percentage of positively stained cells within the stroma and tumor cell clusters
was recorded and compared between primary tumors and metastases. We found
significantly fewer CD4(+) and CD8(+) T cells within tumor cell clusters as
compared with the stromal compartment, both in primary tumors and corresponding
metastases. CD8(+) T cell counts were significantly lower in metastatic lesions
than in the corresponding primary tumors, both in the stroma and the tumor cell
islets. Of note, the CD8/CD4 ratio was significantly reduced in metastatic
lesions compared with the corresponding primary tumors in tumor cell islets, but
not in the stroma. We noted significantly fewer CD11c(+) cells and CD68(+) as
well as CD163(+) macrophages in tumor cell islets compared with the tumor stroma,
but no difference between primary and metastatic lesions. Furthermore, the
CD8/CD68 ratio was higher in primary tumors than in the corresponding metastases.
We demonstrate a differential pattern of immune cell infiltration in matched
primary and metastatic NSCLC lesions, with a significantly lower density of
CD8(+) T cells in metastatic lesions compared with the primary tumors. The lower
CD8/CD4 and CD8/CD68 ratios observed in metastases indicate a rather tolerogenic
and tumor-promoting microenvironment at the metastatic site.
PMID- 26541589
TI - [The "obese" and "old" male patient in dermatological practice. When should
hypogonadism be considered?].
AB - Hypogonadism refers to reduced endocrine function of the testicles and leads to
testosterone deficiency. It is often observed in older and obese men. Symptoms
with the highest predictive value are reduced sexual thoughts, decreased
spontaneous erections, and erectile dysfunction. After excluding
contraindications (e.g., desire for children), various forms of replacement
therapy are available. Studies have shown that testosterone therapy is safe if
regularly checked.
PMID- 26541590
TI - Mopping up miRNA: An integrated HBV transcript disrupts liver homeostasis by
sequestering miR-122.
PMID- 26541591
TI - Movin' on Up: Socioeconomic Mobility and the Risk of Delivering a Small-for
Gestational Age Infant.
AB - OBJECTIVE: Poor fetal growth is associated with increased rates of adverse health
outcomes in children and adults. The social determinants of poor fetal growth are
not well understood. Using multiple socioeconomic indicators measured at the
individual level, this study examined changes in maternal socioeconomic position
(SEP) from childhood to adulthood (socioeconomic mobility) in relation to poor
fetal growth in offspring. METHODS: Data were from the Pregnancy Outcomes and
Community Health Study (September 1998-June 2004) that enrolled women in mid
pregnancy from 52 clinics in five Michigan communities (2463 women: 1824 non
Hispanic White, 639 non-Hispanic Black). Fetal growth was defined by birthweight
for-gestational age percentiles; infants with birthweight-for-gestational age
<10th percentile were referred to as small-for-gestational age (SGA). In logistic
regression models, mothers whose SEP changed from childhood to adulthood were
compared to two reference groups, the socioeconomic group they left and the group
they joined. RESULTS: Approximately, 8.2 % of women (non-Hispanic White: 6.3 %,
non-Hispanic Black: 13.9 %) delivered an SGA infant. Upward mobility was
associated with decreased risk of delivering an SGA infant. Overall, the SGA
adjusted-odds ratio was 0.34 [95 % confidence interval (CI) 0.17-0.69] for women
who moved from lower to middle/upper versus static lower class, and 0.44 (CI 0.28
1.04) for women who moved from middle to upper versus static middle class. There
were no significant differences in SGA risk when women were compared to the SEP
group they joined. CONCLUSIONS: Our findings support a link between mother's
socioeconomic mobility and SGA offspring. Policies that allow for the
redistribution or reinvestment of resources may reduce disparities in rates of
SGA births.
PMID- 26541592
TI - Mother's Self-Efficacy Mediates the Relationship Between Household Food
Insecurity and Maternal Infant Feeding Styles.
AB - OBJECTIVES: This study was performed to examine the association between household
food insecurity, self-efficacy and infant feeding styles in mothers with children
under 2 years old in Varamin, Iran. METHODS: In this cross-sectional study, 423
mothers aged 17-40 years from different areas of Varamin were selected by a
multistage sampling methods from October 2013 to February 2014. The
questionnaires consisted of three valid instruments, including: Household Food
Insecurity Access Scale, Comprehensive Feeding Practices Questionnaire and
Mother's Self-Efficacy Questionnaire. Data were analyzed using Chi-square, t
tests, ANOVA, Pearson correlations and multiple linear regressions. Structural
equation modeling was also used. RESULTS: Mild and moderate-severe food
insecurity was observed in 39.5 and 12.8 % of the households respectively. Mean
score of mother's self-efficacy in food secure households was 32.5 +/- 3.7; while
in mild food insecure and moderate-severe food insecure households were 31.9 +/-
3.1 and 28.4 +/- 4.0, respectively (P = 0.001). There was a significant negative
correlation between household food insecurity and mother's self-efficacy (r =
0.297, P < 0.01). Significant correlation was seen between mother's self-efficacy
and the maternal infant feeding styles. Household food insecurity and mother's
self-efficacy had significant relationship with mother-infant feeding styles:
control of home food access [beta (SE)] = [-0.015(0.004), P = 0.001]; restriction
for weight control [beta = 0.038(0.013), P = 0.003]; restriction for health [beta
= 0.019(0.008), P = 0.027] and encouragement [=0.018(0.006), P = 0.001]. The
model had sufficient fitness with data of the research (CFI = 0.927, RMSEA =
0.076). CONCLUSIONS: Our findings suggest that performing interventions in order
to enhance mother's self-efficacy in food insecure households can lead to improve
positive maternal feeding behaviors.
PMID- 26541593
TI - A Preliminary Study of New Parents, Sleep Disruption, and Driving: A Population
at Risk?
AB - BACKGROUND: Drowsy driving is estimated to be a causal factor in 2-16 % of
vehicular crashes. Several populations are reported to be at high risk for drowsy
driving accidents, including shift workers, teenage drivers, medical residents,
and pilots. Although new parents are known to have significant sleep disruption,
no study has investigated vehicular accidents or near miss accidents in this
population. METHODS: A preliminary cross-sectional, anonymous survey of parents
who had given birth within the previous 12 months. Participants were asked about
their sleep, including validated measures of sleep disruption, their driving
patterns, and information about near miss traffic accidents and actual crashes.
RESULTS: Overall, 72 participants were enrolled. A large proportion of
participants had poor sleep including approximately 30 % with daytime sleepiness,
60 % with poor daytime function and two-thirds with poor sleep quality. The mean
sleep duration was only 6.4 h. Although most participants drove <100 miles per
week, 22.2 % reported at least one near miss accident and 5.6 % reported a crash.
Sleep problems were more common in those with near miss accidents and actual
crashes than in those without. Of note, poor sleep quality was associated with a
sixfold increase in near miss accidents even after accounting for other factors.
CONCLUSION: Poor sleep is common in new parents and we provide preliminary
evidence that sleep disruption in this population is associated with near miss
motor vehicle accidents. Drowsy driving results in thousands of unnecessary
serious injuries and fatalities each year; raising public awareness that new
parents are a high-risk group is important.
PMID- 26541594
TI - Comamonas phosphati sp. nov., isolated from a phosphate mine.
AB - A Gram-stain-negative, facultatively anaerobic, non-pigmented, non-sporulating,
rod-shaped bacterial strain (WYH 22-41T) was isolated from a phosphate mine in
Yunnan Province, China. The cells were motile with a single polar flagellum. The
16S rRNA gene of strain WYH 22-41T was phylogenetically related to the
corresponding gene of Comamonas terrae DSM 27221T (98.4 % 16S rRNA gene sequence
similarity), Comamonas odontotermitis LMG 23579T (97.6 %) and Comamonas aquatica
LMG 2370T (97.4 %). DNA-DNA hybridizations of strain WYH 22-41T with these three
strains showed relatedness values of 33.2 %, 20.5 % and 27.7 %, respectively. The
DNA G+C content of strain WYH 22-41T was 62.4 mol%. The predominant respiratory
quinone was ubiquinone-8. The major polar lipids were diphosphatidylglycerol,
phosphatidylethanolamine and phosphatidylglycerol. The major fatty acids of
strain WYH 22-41T were C16 : 0, C17 : 0 cyclo, summed feature 3 (C16 : 1omega6c
and/or C16 : 1omega7c) and summed feature 8 (C18 : 1omega6c and/or C18 :
1omega7c). On the basis of phenotypic properties, phylogenetic characteristics,
DNA-DNA hybridization, as well as whole-cell fatty acid composition, strain WYH
22-41T represents a novel species of the genus Comamonas, for which the name
Comamonas phosphati sp. nov. is proposed. The type strain is WYH 22-41T ( = CGMCC
1.12294T = DSM 26017T).
PMID- 26541595
TI - Airflow, transport and regional deposition of aerosol particles during chronic
bronchitis of human central airways.
AB - In the present study, the effects of airway blockage in chronic bronchitis
disease on the flow patterns and transport/deposition of micro-particles in a
human symmetric triple bifurcation lung airway model, i.e., Weibel's generations
G3-G6 was investigated. A computational fluid and particle dynamics model was
implemented, validated and applied in order to evaluate the airflow and particle
transport/deposition in central airways. Three breathing patterns, i.e., resting,
light activity and moderate exercise, were considered. Using Lagrangian approach
for particle tracking and random particle injection, an unsteady particle
tracking method was performed to simulate the transport and deposition of micron
sized aerosol particles in human central airways. Assuming laminar, quasi-steady,
three-dimensional air flow and spherical non-interacting particles in
sequentially bifurcating rigid airways, airflow patterns and particle
transport/deposition in healthy and chronic bronchitis (CB) affected airways were
evaluated and compared. Comparison of deposition efficiency (DE) of aerosols in
healthy and occluded airways showed that at the same flow rates DE values are
typically larger in occluded airways. While in healthy airways, particles deposit
mainly around the carinal ridges and flow dividers--due to direct inertial
impaction, in CB affected airways they deposit mainly on the tubular surfaces of
blocked airways because of gravitational sedimentation.
PMID- 26541596
TI - Association of genetic polymorphisms in PTEN and additional gene-gene interaction
with risk of esophageal squamous cell carcinoma in Chinese Han population.
AB - This study aims to investigate the association of five single nucleotide
polymorphisms (SNPs) in the phosphatase and tensin homologue (PTEN) gene and
additional role of gene-gene interaction with esophageal squamous cell carcinoma
(ESCC), based on a Chinese case-control study. A total of 871 subjects (420 males
and 451 females) were selected, including 425 ESCC cases and 446 controls. Five
SNPs were selected for genotyping in the case-control study: rs2735343, rs555895,
rs2299939, rs17431184 and rs701848. Logistic regression model was used to examine
the association between five SNP and ESCC, and additional interaction among five
SNP, odds ratio (OR) and 95% confident interval (95%CI) were calculated. All
genotypes were distributed according to Hardy-Weinberg equilibrium in controls.
The carriers of homozygous mutant of rs2735343 and rs701848 polymorphism revealed
increased ESCC risk than those with wild-type homozygotes, and OR (95%CI) were
1.27 (1.09-2.08) and 1.45 (1.17-1.98), respectively. We also found a potential
gene-gene interaction between rs2735343 and rs701848 (P = 0.0010), and a
potential gene-gene interaction among all five SNP (P = 0.0107) after covariates
adjustment. Subjects with TC or CC of rs2735343 and TC or CC of rs701848 genotype
have highest ESCC risk, compared to subjects with TT of rs2735343 and TT of
rs701848 genotype, OR (95% CI) was 2.76 (1.37-3.45) after covariates adjustment.
The carriers of homozygous mutant of rs2735343 and rs701848 polymorphism revealed
increased ESCC risk. We also found a potential gene-gene interaction between
rs2735343 and rs701848 and a potential gene-gene interaction among all five SNPs.
PMID- 26541597
TI - Asian elephants acquire inaccessible food by blowing.
AB - Many animals acquire otherwise inaccessible food with the aid of sticks and
occasionally water. As an exception, some reports suggest that elephants
manipulate breathing through their trunks to acquire inaccessible food. Here, we
report on two female Asian elephants (Elephas maximus) in Kamine Zoo, Japan, who
regularly blew to drive food within their reach. We experimentally investigated
this behaviour by placing foods in inaccessible places. The elephants blew the
food until it came within accessible range. Once the food was within range, the
elephants were increasingly less likely to blow as the distance to the food
became shorter. One subject manipulated her blowing duration based on food
distance: longer when the food was distant. These results suggest that the
elephants used their breath to achieve goals: that is, they used it not only to
retrieve the food but also to fine-tune the food position for easy grasping. We
also observed individual differences in the elephants' aptitude for this
technique, which altered the efficiency of food acquisition. Thus, we added a new
example of spontaneous behaviour for achieving a goal in animals. The use of
breath to drive food is probably unique to elephants, with their dexterous trunks
and familiarity with manipulating the act of blowing, which is commonly employed
for self-comfort and acoustic communication.
PMID- 26541598
TI - Anesthetic management of a pregnant patient with cerebral angioma scheduled for
caesarean section.
AB - Pregnancy can be rarely complicated with cerebral angioma. Such patients can pose
a problem to the anesthesiologist and can present for caesarean section (CS). The
main anesthetic challenge is prevention of rupture of angioma and subsequent
bleed due to intraoperative surge of blood pressure. Both general anesthesia and
regional anesthesia have been used in such patients. Spinal anesthesia has the
advantage of safety, less hypertensive surge, and better analgesia as well as
less blood loss. We hereby present successful anesthetic management of such a
case presented for emergency CS done under spinal anesthesia.
PMID- 26541599
TI - Pharmacokinetics, Pharmacodynamics, Efficacy, and Safety of Albuterol
(Salbuterol) Multi-dose Dry-Powder Inhaler and ProAir((r)) Hydrofluoroalkane for
the Treatment of Persistent Asthma: Results of Two Randomized Double-Blind
Studies.
AB - BACKGROUND AND OBJECTIVE: Metered-dose inhalers require patients to coordinate
inhalation with actuation. The present albuterol multi-dose dry-powder inhaler
(mDPI) does not require patients to coordinate inspiration with actuation,
thereby simplifying delivery of albuterol to the lungs. The aim of the present
study was to compare the efficacy, pharmacokinetics, pharmacodynamics,
extrapulmonary pharmacodynamics, and safety of albuterol (salbuterol) delivered
via a ProAir(r) hydrofluoroalkane (HFA) metered-dose inhaler and an mDPI.
METHODS: Two double-blind, randomized, double-dummy, crossover, multicenter,
placebo-controlled studies in persistent asthma patients were conducted. Study 1:
47 adult patients were treated with cumulative doses of albuterol mDPI or ProAir
HFA (90 ug/inhalation; 1 + 1 + 2 + 4 + 8 inhalations) or placebo. Study 2: 71
patients aged >=12 years were randomly assigned to receive 90 or 180 MUg of
albuterol mDPI or ProAir HFA, or placebo. Primary efficacy endpoints were
baseline-adjusted forced expiratory volume in 1 s (FEV1) at 30 min (30-min FEV1)
after each cumulative dose (Study 1) and FEV1 area under the effect curve over 6
h (FEV1 AUEC0-6) after dosing (Study 2). RESULTS: Study 1: differences, with
corresponding 90% confidence intervals, between albuterol mDPI and ProAir HFA in
FEV1 after each cumulative dose and in FEV1 AUEC0-6 after the final dose were
within pre-established equivalence limits. The difference in FEV1 at high vs. low
doses was significant for both active treatments (p < 0.0001). Active treatments
were similar in systemic exposure, extrapulmonary pharmacodynamics, and safety.
Study 2: mean FEV1 AUEC0-6 was significantly greater than for placebo for both
doses of albuterol mDPI and ProAir HFA (p < 0.0001). Albuterol mDPI was
comparable to ProAir HFA at 90 and 180 ug. Both study treatments were generally
well tolerated. CONCLUSION: The bronchodilatory efficacy and
pharmacokinetic/pharmacodynamic profiles of albuterol mDPI and ProAir HFA are
comparable, with a safety profile consistent with that of inhaled albuterol.
PMID- 26541600
TI - Fluid flow and particle transport in mechanically ventilated airways. Part II:
particle transport.
AB - The flow mechanisms that play a role on aerosol deposition were identified and
presented in a companion paper (Timothy et al. in Med Biol Eng Comput. doi:
10.1007/s11517-015-1407-3 , 2015). In the current paper, the effects of invasive
conventional mechanical ventilation waveforms and endotracheal tube (ETT) on the
aerosol transport were investigated. In addition to the enhanced deposition seen
at the carinas of the airway bifurcations, enhanced deposition was also seen in
the right main bronchus due to impaction and turbulent dispersion resulting from
the fluid structures created by jet caused by the ETT. The orientation of the ETT
toward right bronchus resulted in a substantial deposition inside right lung
compared to left lung. The deposition inside right lung was ~12-fold higher than
left lung for all considered cases, except for the case of using pressure
controlled sinusoidal waveform where a reduction of this ratio by ~50 % was
found. The total deposition during pressure constant, volume ramp, and ascending
ramp waveforms was similar and ~1.44 times higher than deposition fraction when
using pressure sinusoidal waveform. Varying respiratory waveform demonstrated a
significant role on the deposition enhancement factors and give evidence of drug
aerosol concentrations in key deposition sites, which may be significant for
drugs with negative side effects in high concentrations. These observations are
thought to be important for ventilation treatment strategy.
PMID- 26541601
TI - Numerical simulation of unsteady micropolar hemodynamics in a tapered
catheterized artery with a combination of stenosis and aneurysm.
AB - The unsteady flow characteristics of blood are analyzed through a catheterized
stenotic artery with post-stenotic dilatation. A rigid tube with a pair of
abnormal wall segments in close proximity to each other is employed to
geometrically simulate the diseased artery. A micropolar fluid model is used to
capture the rheological characteristics of the streaming blood in the annulus.
The mild stenosis approximation is employed to derive the governing flow equation
which is then solved using a robust finite difference method. Particular
attention is paid to the effects of geometrical parameters of the arterial wall
and rheological parameters of the blood on axial velocity, flow rate, resistance
impedance and wall shear stress. The global behavior of blood is also analyzed
through instantaneous pattern of streamlines.
PMID- 26541602
TI - Effects of rs3846662 Variants on HMGCR mRNA and Protein Levels and on Markers of
Alzheimer's Disease Pathology.
AB - 3-Hydroxy-3-methyglutaryl coenzyme A reductase (HMGCR) is a cholesterol
regulating gene with statin relevance. rs3846662 being involved in regulation of
HMGCR alternative splicing, we explored its impact on HMGCR messenger RNA (mRNA)
and protein levels in the brain and the associations between those levels and
levels of Alzheimer's disease pathological markers. We used brain samples derived
from a cohort of 33 non-demented controls and 90 Alzheimer's disease autopsied
confirmed cases. HMGCR mRNA levels were determined in the frontal cortex (n =
114) and cerebellum (n = 110) using Taqman-qPCR, and HMGCR protein levels were
determined in the frontal cortex (n = 117) using a commercial enzyme immunoassay.
While densities of neurofibrillary tangles and senile plaques were determined in
the frontal cortex (n = 74), total tau, phosphorylated Tau, and beta-amyloid 1-42
levels were determined in the frontal cortex (n = 94) and cerebellum (n = 91)
using commercial enzyme immunoassays. Despite an increase in full-length HMGCR
mRNA ratio in the frontal cortex of women carrying the AA genotype, there were no
associations between rs3846662 and HMGCR mRNA or protein levels. An increased
Delta13 HMGCR mRNA ratio was associated with increased levels of HMGCR proteins
and neurofibrillary tangles in the frontal cortex but with reduced beta-amyloid 1
42 levels in the cerebellum, suggesting a brain cell type- or a disease
progression-dependent association.
PMID- 26541603
TI - Association of myeloperoxidase levels with cardiometabolic factors and renal
function in prepubertal children.
AB - INTRODUCTION: Myeloperoxidase (MPO), an enzyme linking obesity and cardiovascular
(CV) risk in adults, has rarely been studied in young children and no studies
assessed its association with renal function. We sought to explore a possible
association between serum MPO levels, obesity, CV risk factors and renal function
in prepubertal children. MATERIALS/METHODS: Cross-sectional evaluation of 309
children aged 8-9 years (161 normal weight, 148 overweight/obese), members of the
birth cohort Generation I (Portugal). Anthropometrics (body mass index (BMI),
waist-to-height ratio (WHtR) and % body fat mass (%BFM) by bioelectrical
impedance analysis), 24-h ambulatory blood pressure monitoring and pulse wave
velocity (PWV) were measured. Insulin resistance was estimated by the HOMA index
(considering serum fasting glucose and insulin determinations). Serum MPO levels
were assessed by immunoenzymatic assay. RESULTS: MPO levels were positively
associated with obesity indices (BMI z-score, WHtR and %BFM). Higher MPO levels
were associated with higher 24-h and night-time mean arterial pressure, with
nondipping and with higher values of insulin resistance. In normal weight
children, the endothelial function, as evaluated indirectly by PWV, was an
independent predictor of MPO levels. In overweight/obese children, estimated
glomerular filtration rate increased significantly across tertiles of MPO (Ptrend
= 0.031) and this association held after adjustment for age, sex, neutrophil and
monocyte counts and CV risk factors. CONCLUSIONS: Our results reinforce the role
of MPO as a risk marker in obesity and related CV morbidities in young children.
MPO levels associate with the dipping pattern and PWV and, among overweight/obese
children, an association exists between MPO and renal function.
PMID- 26541604
TI - Obtainment and partial characterization of biodegradable gelatin films with
tannic acid, bentonite and glycerol.
AB - BACKGROUND: Research studies concerning the overall effect of the addition of
plasticizers, cross-linking and strengthening agents in gelatin film-forming
mixtures are very scarce. Also, there are no studies focused on the interactions
among their individual components, or showing what sort of effects they might
cause all together. A gelatin film obtained from a composite consisting of tannic
acid, bentonite and glycerol was evaluated. Nine gelatin films were manufactured
by the casting method, using these materials, following a 2(3) factorial design
with five replicates on the central point. RESULTS: The interactions among
gelatin, tannic acid and bentonite caused a decrease in hydrogen bonds, while the
polar groups of the gelatin chains were less exposed to interactions with water
molecules. There was an increase in temperature and enthalpy of gelatin
denaturation, due to increasing tannic acid and bentonite concentration. Tactoids
were found in the gelatin films, caused mainly by bentonite polydispersion.
CONCLUSIONS: A synergistic effect among tannic acid, bentonite and glycerol,
which overall improved the measured gelatin film properties, was found. The best
film formulation was that with 40, 150 and 250 g kg(-1) gelatin of tannic acid,
bentonite and glycerol respectively, displaying a tensile strength of 38 MPa, an
elongation at break of 136%, water vapor permeability of 1.28 * 10(-12) g (Pa s
m)(-1) and solubility of 23.4%. (c) 2015 Society of Chemical Industry.
PMID- 26541605
TI - Vitamin C selectively kills KRAS and BRAF mutant colorectal cancer cells by
targeting GAPDH.
AB - More than half of human colorectal cancers (CRCs) carry either KRAS or BRAF
mutations and are often refractory to approved targeted therapies. We found that
cultured human CRC cells harboring KRAS or BRAF mutations are selectively killed
when exposed to high levels of vitamin C. This effect is due to increased uptake
of the oxidized form of vitamin C, dehydroascorbate (DHA), via the GLUT1 glucose
transporter. Increased DHA uptake causes oxidative stress as intracellular DHA is
reduced to vitamin C, depleting glutathione. Thus, reactive oxygen species
accumulate and inactivate glyceraldehyde 3-phosphate dehydrogenase (GAPDH).
Inhibition of GAPDH in highly glycolytic KRAS or BRAF mutant cells leads to an
energetic crisis and cell death not seen in KRAS and BRAF wild-type cells. High
dose vitamin C impairs tumor growth in Apc/Kras(G12D) mutant mice. These results
provide a mechanistic rationale for exploring the therapeutic use of vitamin C
for CRCs with KRAS or BRAF mutations.
PMID- 26541606
TI - Commensal Bifidobacterium promotes antitumor immunity and facilitates anti-PD-L1
efficacy.
AB - T cell infiltration of solid tumors is associated with favorable patient
outcomes, yet the mechanisms underlying variable immune responses between
individuals are not well understood. One possible modulator could be the
intestinal microbiota. We compared melanoma growth in mice harboring distinct
commensal microbiota and observed differences in spontaneous antitumor immunity,
which were eliminated upon cohousing or after fecal transfer. Sequencing of the
16S ribosomal RNA identified Bifidobacterium as associated with the antitumor
effects. Oral administration of Bifidobacterium alone improved tumor control to
the same degree as programmed cell death protein 1 ligand 1 (PD-L1)-specific
antibody therapy (checkpoint blockade), and combination treatment nearly
abolished tumor outgrowth. Augmented dendritic cell function leading to enhanced
CD8(+) T cell priming and accumulation in the tumor microenvironment mediated the
effect. Our data suggest that manipulating the microbiota may modulate cancer
immunotherapy.
PMID- 26541607
TI - Single-cell transcriptomics reveals receptor transformations during olfactory
neurogenesis.
AB - The sense of smell allows chemicals to be perceived as diverse scents. We used
single-neuron RNA sequencing to explore the developmental mechanisms that shape
this ability as nasal olfactory neurons mature in mice. Most mature neurons
expressed only one of the ~1000 odorant receptor genes (Olfrs) available, and at
a high level. However, many immature neurons expressed low levels of multiple
Olfrs. Coexpressed Olfrs localized to overlapping zones of the nasal epithelium,
suggesting regional biases, but not to single genomic loci. A single immature
neuron could express Olfrs from up to seven different chromosomes. The mature
state in which expression of Olfr genes is restricted to one per neuron emerges
over a developmental progression that appears to be independent of neuronal
activity involving sensory transduction molecules.
PMID- 26541608
TI - Three-dimensional charge density wave order in YBa2Cu3O6.67 at high magnetic
fields.
AB - Charge density wave (CDW) correlations have been shown to universally exist in
cuprate superconductors. However, their nature at high fields inferred from
nuclear magnetic resonance is distinct from that measured with x-ray scattering
at zero and low fields. We combined a pulsed magnet with an x-ray free-electron
laser to characterize the CDW in YBa2Cu3O6.67 via x-ray scattering in fields of
up to 28 tesla. While the zero-field CDW order, which develops at temperatures
below ~150 kelvin, is essentially two dimensional, at lower temperature and
beyond 15 tesla, another three-dimensionally ordered CDW emerges. The field
induced CDW appears around the zero-field superconducting transition temperature;
in contrast, the incommensurate in-plane ordering vector is field-independent.
This implies that the two forms of CDW and high-temperature superconductivity are
intimately linked.
PMID- 26541609
TI - Distinct routes of lineage development reshape the human blood hierarchy across
ontogeny.
AB - In a classical view of hematopoiesis, the various blood cell lineages arise via a
hierarchical scheme starting with multipotent stem cells that become increasingly
restricted in their differentiation potential through oligopotent and then
unipotent progenitors. We developed a cell-sorting scheme to resolve myeloid
(My), erythroid (Er), and megakaryocytic (Mk) fates from single CD34(+) cells and
then mapped the progenitor hierarchy across human development. Fetal liver
contained large numbers of distinct oligopotent progenitors with intermingled My,
Er, and Mk fates. However, few oligopotent progenitor intermediates were present
in the adult bone marrow. Instead, only two progenitor classes predominate,
multipotent and unipotent, with Er-Mk lineages emerging from multipotent cells.
The developmental shift to an adult "two-tier" hierarchy challenges current dogma
and provides a revised framework to understand normal and disease states of human
hematopoiesis.
PMID- 26541612
TI - Induced illness in children.
PMID- 26541611
TI - A General Time-Periodic Driving Approach to Realize Topological Phases in Cold
Atomic Systems.
AB - For time-reversal symmetric cold atomic insulating systems, it is found that the
usual driving approach based on electromagnetic field used in solid state systems
loses its power to drive them from trivial regimes to topological regimes if the
driven systems still hold time-reversal symmetry (TRS). For such systems, we
point out that simply varying the optical lattice potential periodically provides
a general and effective way to drive them into topological regimes without
breaking their symmetries. Based on this approach, we find that the time-reversal
symmetric Kane-Mele model can be effectively driven from the trivial phase to
topological phases named as Floquet Quantum Spin Hall insulator. Due to the
existence of two gaps in the Floquet system, this novel state of matter can
stably host one or two pair of gapless helical states on the same boundary, which
suggests this state is not a simple analog of the Quantum Spin Hall insulator.
This new driving approach to a system without TRS is also investigated.
PMID- 26541613
TI - Pulkurlkpa: The joy of research in Aboriginal communities.
PMID- 26541610
TI - Anticancer immunotherapy by CTLA-4 blockade relies on the gut microbiota.
AB - Antibodies targeting CTLA-4 have been successfully used as cancer immunotherapy.
We find that the antitumor effects of CTLA-4 blockade depend on distinct
Bacteroides species. In mice and patients, T cell responses specific for B.
thetaiotaomicron or B. fragilis were associated with the efficacy of CTLA-4
blockade. Tumors in antibiotic-treated or germ-free mice did not respond to CTLA
blockade. This defect was overcome by gavage with B. fragilis, by immunization
with B. fragilis polysaccharides, or by adoptive transfer of B. fragilis-specific
T cells. Fecal microbial transplantation from humans to mice confirmed that
treatment of melanoma patients with antibodies against CTLA-4 favored the
outgrowth of B. fragilis with anticancer properties. This study reveals a key
role for Bacteroidales in the immunostimulatory effects of CTLA-4 blockade.
PMID- 26541614
TI - Ben matters.
PMID- 26541615
TI - The Keeper of the Label.
PMID- 26541616
TI - An adolescent with recurrent cough and vomiting.
PMID- 26541617
TI - Facial rash in an infant.
PMID- 26541624
TI - Pocketing of food in cheeks during eating in an adolescent with CHARGE syndrome.
PMID- 26541625
TI - Four-Component Relativistic DFT Calculations of (13)C Chemical Shifts of
Halogenated Natural Substances.
AB - We have calculated the (13)C NMR chemical shifts of a large ensemble of
halogenated organic molecules (81 molecules for a total of 250 experimental (13)C
NMR data at four different levels of theory), ranging from small rigid organic
compounds, used to benchmark the performance of various levels of theory, to
natural substances of marine origin with conformational degrees of freedom.
Carbon atoms bonded to heavy halogen atoms, particularly bromine and iodine, are
known to be rather challenging when it comes to the prediction of their chemical
shifts by quantum methods, due to relativistic effects. In this paper, we have
applied the state-of-the-art four-component relativistic density functional
theory for the prediction of such NMR properties and compared the performance
with two-component and nonrelativistic methods. Our results highlight the
necessity to include relativistic corrections within a four-component description
for the most accurate prediction of the NMR properties of halogenated organic
substances.
PMID- 26541627
TI - Handbook of Frontal Lobe Assessment.
PMID- 26541628
TI - A Versatile Anisometric Metallic Supercrystal with Controllable Orientation on a
Chip as a Stable and Reliable Label-Free Biosensor.
AB - Based on anisometric noble-metal nanocrystals, a universal fabrication protocol
for preparing 3D supercrystals with controlled orientation on a chip has been
developed. A comparison of the surface-enhanced Raman scattering (SERS) behavior
of 3D nanorod supercrystals aligned vertically and parallel to the chip indicates
that the SERS-enhancing ability and reproducibility of the former is superior to
the latter. The 3D nanorod supercrystals aligned vertically to the chip have been
utilized as highly sensitive SERS substrates for the label-free discrimination of
Gram-positive and -negative bacteria. Furthermore, to strengthen the stability of
the supercrystal substrate for assays of bacteria in biosamples, a coating of the
antibiotic vancomycin can dramatically increase adhesion of bacteria on a
nanointerface and simultaneously improve the SERS response of bacteria to achieve
a layer-by-layer assembled, stable, and reliable biosensor for bacteria.
PMID- 26541631
TI - Bevacizumab for recurrent primary central nervous system lymphoma: a new
treatment?
PMID- 26541630
TI - Phase I study of 5-fluorouracil in children and young adults with recurrent
ependymoma.
AB - BACKGROUND: We report a phase I study to examine the pharmacokinetics, safety,
and recommended dosage of weekly intravenous bolus 5-fluorouracil (5-FU) in
children and young adults with recurrent ependymoma. METHODS: Patients 22 years
of age or less with recurrent ependymoma were treated with bolus dosage 5-FU
weekly for 4 weeks followed by a 2-week rest period, defining one cycle. Patients
could continue on therapy for 16 cycles. The starting 5-FU dosage was 500
mg/m(2). Dose-limiting toxicity was determined after one cycle. Patients were
initially enrolled according to a rolling-6 design; subsequent dose re-escalation
phase was based on a 3 + 3 design. RESULTS: We treated patients at 400 (n = 6),
500 (n = 15), and 650 (n = 5) mg/m(2), with de-escalation due to toxicity. Twenty
three of twenty-six patients enrolled were evaluable. Five patients experienced
grade 4 neutropenia (n = 2: 650 mg/m(2); n = 3: 500 mg/m(2)). One patient
experienced grade 3 diarrhea. At 500 mg/m(2), the median 5-FU maximal
concentration, AUC0-infinity, and alpha half-life were 825 uM, 205 uM * h, and
9.9 min, respectively. Interim analysis revealed an association between
hematologic toxicity and prior number of chemotherapeutic regimens (P = .03). The
study was amended to re-escalate the dosage in a less heavily pretreated cohort
of patients. CONCLUSIONS: These phase I clinical data provide initial
pharmacokinetic parameters to describe i.v. bolus 5-FU disposition in children
with recurrent ependymoma. Tumor exposures effective in preclinical testing can
be achieved with tolerable bolus dosages in patients. Bolus 5-FU is well
tolerated and possesses antitumor activity.
PMID- 26541633
TI - Role of BGT-1 and BGT-2, two predicted GPI-anchored glycoside
hydrolases/glycosyltransferases, in cell wall remodeling in Neurospora crassa.
AB - Neurospora crassa BGT-1 (NCU06381) and BGT-2 (NCU09175) are two putative
glycoside hydrolases (GHs) with additional predicted glycosyltransferase activity
and binding sites for a glycosyl phosphatidyl inositol (GPI) anchor that would
facilitate their attachment to the plasma membrane (PM). To discern their role in
key morphogenetic events during vegetative development of N. crassa, BGT-1 and
BGT-2 were labeled with the green fluorescent protein (GFP). The gfp was inserted
immediately after the signal peptide sequence, within the bgt-1 encoding
sequence, or directly before the GPI-binding site in the case of bgt-2. Both BGT
1-GFP and BGT-2-GFP were observed at the PM of the hyphal apical dome, excluding
the foremost apical region and the Spitzenkorper (Spk), where chitin and beta-1,3
glucan synthases have been previously found. These and previous studies suggest a
division of labor of the cell wall synthesizing machinery at the hyphal dome: at
the very tip, glucans are synthesized by enzymes that accumulate at the Spk,
before getting incorporated into the PM, whereas at the subtending zone below the
apex, glucans are presumably hydrolyzed, producing amenable ends for further
branching and crosslinking with other cell wall polymers. Additionally, BGT-1-GFP
and BGT-2-GFP were observed at the leading edge of new developing septa, at
unreleased interconidial junctions, at conidial poles, at germling and hyphal
fusion sites, and at sites of branch emergence, all of them processes that
seemingly involve cell wall remodeling. Even though single and double mutant
strains for the corresponding genes did not show a drastic reduction of growth
rate, bgt-2Delta and bgt-1Delta::bgt-2Delta strains exhibited an increased
resistance to the cell wall stressors calcofluor white (CW) and congo red (CR)
than the reference strain, which suggests they present significant architectural
changes in their cell wall. Furthermore, the conidiation defects observed in the
mutants indicate a significant role of BGT-1 and BGT-2 on the re-arrangement of
glucans needed at the conidiophore cell wall to allow conidial separation.
PMID- 26541634
TI - Prevalence and clinical characteristics of adrenal incidentalomas in potential
kidney donors.
AB - BACKGROUND: The prevalence of adrenal incidentalomas (AIs) in asymptomatic
individuals is unknown. This study evaluated the prevalence of AIs in healthy
kidney donors in whom pre-operative computed tomography (CT) is performed
routinely. METHODS: All potential kidney donors evaluated at the Rabin Medical
Center who had routine abdominal CT were identified and their medical records
were retrospectively reviewed. Subjects who had normal CT scans were compared
with those with a finding of an AI, evaluating demographic (age, body mass index,
systolic and diastolic blood pressure) and laboratory variables (glucose, sodium,
potassium, calcium, phosphorus, albumin and creatinine). In addition, prevalence
of hypertension, rate of donation and surgical mortality were compared between
the two groups. RESULTS: CT was performed in 673 potential kidney donors. Of
these, 645 had a normal CT and 28 (4.2%) had evidence of an AI. Those with AIs
had a similar prevalence of hypertension, kidney donation and surgical mortality
as those with a normal CT. Those with AIs were older (50.93 +/- 11.1 versus 43.76
+/- 11.1 years) but other demographic variables were similar; laboratory
variables were also similar except for slightly lower albumin and creatinine in
those with AIs. CONCLUSIONS: The prevalence of AIs is high even in healthy
asymptomatic individuals.
PMID- 26541635
TI - The Essential Resilience Scale: Instrument Development and Prediction of
Perceived Health and Behaviour.
AB - Further advancement in stress and health research calls for better tools to
assess resilience. In this study, we developed the Essential Resilience Scale
(ERS) and investigated the association between ERS scores and several health and
behaviour measures. We developed the ERS with an operationalized definition of
resilience-an individual's capability to anticipate, be flexible with and bounce
back from three types of traumatic and adverse events (physical, emotional and
social). The 15-item ERS was assessed using survey data from a diverse sample (n
= 238, aged 18-45 years, 76 rural-to-urban migrants, 85 rural residents, 77 urban
residents) recruited in Wuhan, China. Results showed a high reliability of the
ERS (alpha = 0.94). Confirmatory factor analysis demonstrated a satisfactory fit
of the proposed second-order ERS measurement model (goodness-of-fit index = 0.94,
comparative fit index = 0.98, root mean square error of approximation = 0.06, chi
square/df = 1.75). Multivariate regression analysis indicated that ERS scores
significantly predicted perceived health status, stress, anxiety, depression and
cigarette smoking after controlling for important covariates. Findings of this
study indicate high reliability and validity of the scale and its potential use
in advancing stress and health research. Further studies are implied to provide
additional support for the ERS and its relations with other health outcomes.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26541636
TI - Metallocene Catalytic Insertion Polymerization of 1-Silene to Polycarbosilanes.
AB - Metallocene of zirconium were used as a catalyst for an insertion polymerization
of 1-methylsilene directly into pre-ceramic precursor polyzirconocenecarbosilane
(PZCS) during dechlorination of dichlorodimethylesilane by sodium, which exhibits
high catalytic effectiveness with the maximum conversion ratio of polycarbosilane
up to 91%. The average molecular weights of polymers synthesized are less than
1400, all with very narrow polymolecularities. The mechanism of catalytic
polymerization was assumed to be similar to a coordination insertion
polymerization of 1-olefins by metallocenes. The obtained PZCS show high ceramic
yields with formation of composite ceramics of ZrC-SiC, which are novel polymeric
precursors of ultra-high temperature ceramic (UHTC) fiber and composite.
PMID- 26541637
TI - Quality assessment of gasoline using comprehensive two-dimensional gas
chromatography combined with unfolded partial least squares: A reliable approach
for the detection of gasoline adulteration.
AB - Comprehensive two-dimensional gas chromatography and flame ionization detection
combined with unfolded-partial least squares is proposed as a simple, fast and
reliable method to assess the quality of gasoline and to detect its potential
adulterants. The data for the calibration set are first baseline corrected using
a two-dimensional asymmetric least squares algorithm. The number of significant
partial least squares components to build the model is determined using the
minimum value of root-mean square error of leave-one out cross validation, which
was 4. In this regard, blends of gasoline with kerosene, white spirit and paint
thinner as frequently used adulterants are used to make calibration samples.
Appropriate statistical parameters of regression coefficient of 0.996-0.998, root
mean square error of prediction of 0.005-0.010 and relative error of prediction
of 1.54-3.82% for the calibration set show the reliability of the developed
method. In addition, the developed method is externally validated with three
samples in validation set (with a relative error of prediction below 10.0%).
Finally, to test the applicability of the proposed strategy for the analysis of
real samples, five real gasoline samples collected from gas stations are used for
this purpose and the gasoline proportions were in range of 70-85%. Also, the
relative standard deviations were below 8.5% for different samples in the
prediction set.
PMID- 26541639
TI - Prevalence and differential profile of patients with drug addiction problems who
commit intimate partner violence.
AB - BACKGROUND AND OBJECTIVES: The objectives of this study were, first, to explore
the prevalence of aggressors with lifetime intimate partner violence (IPV) among
patients in the Proyecto Hombre of Navarra (Spain) addiction treatment programme;
and second, to know the specific and differential characteristics of patients
presenting IPV as aggressors. METHODS: A sample of 162 patients (119 men and 43
women) was assessed. Data on socio-demographic and substance consumption
characteristics, IPV variables, psychopathological symptoms, and personality
variables were obtained. The profiles of patients in addiction treatment with and
without a history of violence towards their partners were compared. RESULTS: The
results showed that 33.6% of people in treatment for addiction had committed
violence against their partners. This prevalence was significantly higher (chi(2)
= 15.6, p < .001) in women (63.3%) than in men (24.2%). In the 98.4% of the
cases the IPV was bidirectional. Patients with a history of IPV perpetration
showed greater severity in substance consumption variables, psychopathological
symptoms, and personality traits. Gender, the family scale on the European
version of the Addiction Severity Index (EuropASI), and the aggressive-sadistic
scale on the Millon Clinical Multiaxial Inventory (MCMI-III) were the main
variables related to the presence of IPV as aggressors. CONCLUSIONS AND
SCIENTIFIC SIGNIFICANCE: There was a differential profile in patients with IPV
perpetration, showing more psychopathological and personality symptoms. Moreover,
in this study being a woman was one of the main predictors of committing IPV.
PMID- 26541640
TI - Cardiac imaging in patients with chronic liver disease.
AB - Cirrhotic cardiomyopathy (CCM) is characterized by an impaired contractile
response to stress, diastolic dysfunction and the presence of
electrophysiological abnormalities, and it may be diagnosed at rest in some
patients or demasked by physiological or pharmacological stress. CCM seems to be
involved in the development of hepatic nephropathy and is associated with an
impaired survival. In the field of cardiac imaging, CCM is not yet a well
characterized entity, hence various modalities of cardiac imaging have been
applied. Stress testing with either physiologically or pharmacologically induced
circulatory stress has been used to assess systolic dysfunction. Whereas
echocardiography with tissue Doppler is by far the most preferred method to
detect diastolic dysfunction with measurement of E/A- and E/E'-ratio. In
addition, echocardiography may also possess the potential to evaluate systolic
dysfunction at rest by application of new myocardial strain techniques.
Experience with other modalities such as cardiac magnetic resonance imaging and
cardiac computed tomography is limited. Future studies exploring these imaging
modalities are necessary to characterize and monitor the cardiac changes in
cirrhotic patients.
PMID- 26541641
TI - Prospective control study using fibrin sealants and Harmonic(r) scalpel in
Latissimus Dorsi flap transfer.
AB - BACKGROUND: Latissimus dorsi flap (LD) is used in breast reconstruction
procedures, although prolonged donor site drainage is a frequent complication. To
decrease this problem, quilting sutures and/or fibrin sealants were proposed,
with alternate results. The primary objective of this study was to assess the
effectiveness of Tisseel(r) in association with the Harmonic Synergy(r) blade
system to reduce this complication. MATERIALS AND METHODS: Between 2010-2012, 20
consecutive patients undergoing immediate unilateral breast reconstruction with
LD were enrolled in the study (Group A) and matched with 20 patients in which LD
was raised with electrocautery (Group B), and 20 patients in which LD was
harvested with Harmonic(r) (Group C). After informed consent acquisition,
Harmonic(r) was used in Group A for LD harvesting, but differed from other groups
as fibrin glue was applied to the donor site prior to closure. In all groups
donor site drainage measurements at 24 and 48 hours, total drain volume, days to
drain removal, operation time, and complication rate were recorded. Pearson's Chi
squared, ANOVA, and Bonferroni post-hoc tests were used to analyze the data.
RESULTS: Data analysis did not show any statistical difference. Prolonged drains
output >= 15 days occurred in one patient of Group A and C, and in three Group B
patients. CONCLUSION: Although the combined use of Harmonic(r) and Tisseel(r)
presents a low donor site fluid collection rate, the fibrin glue seems not to
have further beneficial effects in reducing the post-operative serous drainage or
to lead to an early drain removal when compared to Harmonic(r) only.
PMID- 26541642
TI - Assessment of language impairment in bilingual children using semantic tasks: two
languages classify better than one.
AB - BACKGROUND: Significant progress has been made in the identification of language
impairment in children are bilingual. Bilingual children's vocabulary knowledge
may be distributed across languages. Thus, when testing bilingual children it is
difficult to know how to weigh each language for diagnostic purposes. Even when
conceptual scoring is used in vocabulary testing, bilingual children may score
below that of their typical monolingual peers. AIMS: The primary aim was to
evaluate the classification accuracy of two approaches (total semantics score and
two-dimensional bilingual coordinate score) that combined lexical-semantic
knowledge across two languages. We investigated the classification accuracy of
the English and Spanish semantics subtest using the experimental version of the
Bilingual English Spanish Assessment (BESA) with bilingual children with and
without language impairment. METHODS: A total of 78 bilinguals with balanced
exposure to English and Spanish (15 with language impairment, 63 with typical
development) participated. Children were between 4;0 and 6;11 years old.
Discriminant function analysis explored the extent to which these children were
accurately classified when combining Spanish and English subtests. OUTCOMES &
RESULTS: Discriminant analysis yielded above 85% correct classification for
balanced bilingual children for both approaches. CONCLUSIONS & IMPLICATIONS: For
the most accurate assessment and diagnostic decision-making for bilinguals,
approaches that consider both languages together are recommended.
PMID- 26541643
TI - Risk of gastric cancer, gastrointestinal cancers and other cancers: a comparison
of treatment with pantoprazole and other proton pump inhibitors.
AB - BACKGROUND: Proton pump inhibitors (PPIs) have been shown to be carcinogenic in
rodent studies. AIM: As part of a long-term post-marketing surveillance study
requested by the US Food and Drug Administration, to compare incidence rates of
gastric and other cancers after sustained exposures to pantoprazole, a long
acting PPI, compared with other shorter acting PPIs. METHODS: We conducted a
cohort study within the membership of the Kaiser Permanente Northern California
healthcare system and compared rates of gastric and other cancers among
pantoprazole users and users of other PPI medications. The Cox proportional
hazards model was used to adjust for potential confounders such as sex, age,
receipt of treatment for Helicobacter pylori, cumulative PPI dose, total years
PPI treatment and year of index date. The study was developed in consultation
with, and approved by, the FDA. RESULTS: A total of 61 684 persons with at least
a 240-day supply of medication (34 178 pantoprazole and 27 686 other PPIs) were
followed up for a total of 547 020 person-years (274 700 vs. 272 321 person
years, respectively). The primary analyses demonstrated comparable risks between
the pantoprazole and other PPI groups for gastric cancer [hazard ratio (HR) =
0.68, 95% CI 0.24-1.93); colorectal, liver, pancreatic, or small bowel cancers
(HR = 0.95, 95% CI 0.65-1.40) or any cancer (HR = 1.06, 95% CI 0.93-1.21).
CONCLUSIONS: We found no evidence that pantoprazole, a longer acting PPI,
compared with shorter-acting agents, conferred an excess risk of gastric cancer,
other gastrointestinal cancers or all cancers for pantoprazole compared with
other shorter-acting PPIs.
PMID- 26541644
TI - Rapid identification of haloarchaea and methanoarchaea using the matrix assisted
laser desorption/ionization time-of-flight mass spectrometry.
AB - The aim of this study was to classify certain environmental haloarchaea and
methanoarchaea using matrix assisted laser desorption/ionization time-of-flight
mass spectrometry (MALDI-TOF MS), and to expand the archaeal mass spectral
database. A total of 69 archaea were collected including type strains and samples
isolated locally from different environments. For extraction of the haloarchaeal
total cell peptides/proteins, a simple method of acetonitrile extraction was
developed. Cluster analysis conducted with the MALDI-TOF MS data overcame the
high divergence in intragenomic 16S rRNA sequences in haloarchaea and clearly
distinguished Methanohalophilus mahii from M. portucalensis. Putative biomarkers
that can distinguish several particular archaeal genera were also assigned. In
conclusion, this study expands the mass spectral database of peptide/protein
fingerprints from bacteria and fungi to the archaea domain and provides a rapid
identification platform for environmental archaeal samples.
PMID- 26541645
TI - Synthesis of Zn-In-S Quantum Dots with Tunable Composition and Optical
Properties.
AB - II-III-VI semiconductors are of interest due to their chemical stability and
composition-tunable optical properties. Here, we report a methodology for the
synthesis of monodisperse zinc-indium-sulfide (ZIS) alloy quantum dots (QDs, mean
diameter from ~2 to 3.5 nm) with an In content substantially below that of the
stoichiometric ZnIn2 S4 compound. The effects of indium incorporation on the
size, lattice constant, and optical properties of ZIS QDs are elucidated. In
contrast to previous reports, we employ sulfur dissolved in oleic acid as the
sulfur donor rather than thioacetamide (TAA). The size of the ZIS QDs and their
crystal lattice constant increased with increasing In incorporation, but they
maintained the cubic sphalerite phase of ZnS, rather than the hexagonal phase
typical of ZnIn2 S4 . The QDs' absorbance onset at UV wavelengths red-shifts with
increasing In content and the accompanying increase in NC size. The ZIS NCs and
related materials, whose synthesis is enabled by the approach presented here,
provide new opportunities to apply II-III-VI semiconductors in solution-processed
UV optoelectronics.
PMID- 26541646
TI - Intimate partner violence perpetrated by young adult women against men in
Ukraine: Examining individual, familial, and cultural factors.
AB - We examined the role of financial strain, parent-to-parent violence, parent-to
child violence, emotional distress, and alcohol use in intimate partner violence
perpetrated by young adult women against men in Ukraine. The moderating role of
acceptability of intimate partner violence and violence-related laws and
regulations was also examined. Four hundred and six full-time female university
students from four universities in Ukraine participated in the study. We found
that emotional distress, parent-to-parent, and parent-to-child violence mediated
the link between financial strain and intimate partner violence perpetrated by
women on men. However, we found limited support for the moderating role of
acceptability of intimate partner violence and violence-related laws and
regulations in the relationship between individual and familial factors on
intimate partner violence. The findings from this investigation suggest that
there is a distinct need for supporting families and individuals in dealing with
issues of intimate partner violence directed by women against men in Ukraine.
Aggr. Behav. 42:380-393, 2016. (c) 2015 Wiley Periodicals, Inc.
PMID- 26541647
TI - Low-income fathers' speech to toddlers during book reading versus toy play.
AB - Fathers' child-directed speech across two contexts was examined. Father-child
dyads from sixty-nine low-income families were videotaped interacting during book
reading and toy play when children were 2;0. Fathers used more diverse vocabulary
and asked more questions during book reading while their mean length of utterance
was longer during toy play. Variation in these specific characteristics of
fathers' speech that differed across contexts was also positively associated with
child vocabulary skill measured on the MacArthur-Bates Communicative Development
Inventory. Results are discussed in terms of how different contexts elicit
specific qualities of child-directed speech that may promote language use and
development.
PMID- 26541649
TI - Management of tacrolimus-associated food allergy after liver transplantation.
AB - Increasingly, food allergy associated with tacrolimus after pediatric living
donor liver transplantation (LT) has been reported. Tacrolimus prevents the
activation of T cells by blocking calcineurin, thus producing an
immunosuppressive effect, but tacrolimus induces an imbalance in T-helper type 1
(Th1) and Th2 cells in the food allergy process. This report describes a case of
tacrolimus-associated food allergy after pediatric living-donor LT. The patient
was a 7-year-old Japanese girl who had undergone living-donor LT at 12 months of
age, and whom we first saw in the clinic at age 18 months. She received
immunosuppressive therapy by tacrolimus after transplantation. Atopic dermatitis
developed in post-transplant month 18. Stridor, facial edema, lip swelling, and
skin erythema after consuming tempura udon containing wheat occurred in post
transplant month 39, and she was subsequently diagnosed with anaphylactic shock.
Eosinophilic leukocyte and serum immunoglobulin (Ig)E increased, and specific IgE
was positive for some food allergens. Pharmacotherapy was therefore changed from
tacrolimus to cyclosporine A, after which eosinophilic leukocyte and serum IgE
decreased and atopic dermatitis improved.
PMID- 26541648
TI - Chemogenomic profiling of Plasmodium falciparum as a tool to aid antimalarial
drug discovery.
AB - The spread of Plasmodium falciparum multidrug resistance highlights the urgency
to discover new targets and chemical scaffolds. Unfortunately, lack of
experimentally validated functional information about most P. falciparum genes
remains a strategic hurdle. Chemogenomic profiling is an established tool for
classification of drugs with similar mechanisms of action by comparing drug
fitness profiles in a collection of mutants. Inferences of drug mechanisms of
action and targets can be obtained by associations between shifts in drug fitness
and specific genetic changes in the mutants. In this screen, P. falciparum,
piggyBac single insertion mutants were profiled for altered responses to
antimalarial drugs and metabolic inhibitors to create chemogenomic profiles.
Drugs targeting the same pathway shared similar response profiles and multiple
pairwise correlations of the chemogenomic profiles revealed novel insights into
drugs' mechanisms of action. A mutant of the artemisinin resistance candidate
gene - "K13-propeller" gene (PF3D7_1343700) exhibited increased susceptibility to
artemisinin drugs and identified a cluster of 7 mutants based on similar enhanced
responses to the drugs tested. Our approach of chemogenomic profiling reveals
artemisinin functional activity, linked by the unexpected drug-gene relationships
of these mutants, to signal transduction and cell cycle regulation pathways.
PMID- 26541650
TI - Laboratory measurements of resistivity in warm dense plasmas relevant to the
microphysics of brown dwarfs.
AB - Since the observation of the first brown dwarf in 1995, numerous studies have led
to a better understanding of the structures of these objects. Here we present a
method for studying material resistivity in warm dense plasmas in the laboratory,
which we relate to the microphysics of brown dwarfs through viscosity and
electron collisions. Here we use X-ray polarimetry to determine the resistivity
of a sulphur-doped plastic target heated to Brown Dwarf conditions by an ultra
intense laser. The resistivity is determined by matching the plasma physics model
to the atomic physics calculations of the measured large, positive, polarization.
The inferred resistivity is larger than predicted using standard resistivity
models, suggesting that these commonly used models will not adequately describe
the resistivity of warm dense plasma related to the viscosity of brown dwarfs.
PMID- 26541652
TI - Fluorometric sensing of ultralow As(III) concentrations using Ag doped hollow
CdS/ZnS bi-layer nanoparticles.
AB - Arsenic poisoning from drinking water has been an important global issue in
recent years. Because of the high level toxicity of arsenic to human health, an
easy, inexpensive, low level and highly selective detection technique is of great
importance to take any early precautions. This study reports the synthesis of Ag
doped hollow CdS/ZnS bi-layer (Ag-h-CdS/ZnS) nanoparticles for the easy
fluorometric determination of As(iii) ions in the aqueous phase. The hollow bi
layer structures were synthesized by a sacrificial core method using AgBr as the
sacrificial core and the core was removed by dissolution in an ammonium hydroxide
solution. The synthesized nanoparticles were characterized using different
instrumental techniques. A good linear relationship was obtained between
fluorescence quenching intensity and As(iii) concentration in the range of 0.75
22.5 MUg L(-1) at neutral pH with a limit of detection as low as 0.226 MUg L(-1).
PMID- 26541651
TI - Targeting protein arginine methyltransferase 5 inhibits human hepatocellular
carcinoma growth via the downregulation of beta-catenin.
AB - BACKGROUND: Protein arginine methyltransferase 5 (PRMT5), a type II PRMT, is
highly expressed in some tumors, but its role in hepatocellular carcinoma (HCC)
is still unknown. METHODS: PRMT5 level in HCC specimens was determined by
immunohistochemical staining and the association with clinicopathologic features
was evaluated. PRMT5 was inhibited by AMI-1 (a small molecule inhibitor of PRMTs)
or small interference RNA (siRNA). The proliferation of HCC cells was tested by
Cell Counting Kit-8, cell migration was evaluated by Transwell assay and cell
cycle and apoptosis were analyzed by flow cytometry. The effect of AMI-1 on HCC
in vivo was examined by mouse xenograft model. RESULTS: PRMT5 expression was
markedly upregulated in HCC tissues, and correlated inversely with overall
patient survival. Knockdown of PRMT5 significantly reduced the proliferation of
HCC cells, but did not affect the growth of normal liver cells. Furthermore, beta
catenin was identified as a target of PRMT5. Silencing PRMT5 significantly down
regulated the expression of beta-catenin and the downstream effector Cyclin D1 in
HCC cells. AMI-1 strongly inhibited HCC growth in vivo, increased the ratio of
Bax/Bcl-2, and led to apoptosis and loss of migratory activity in several HCC
cells. Meanwhile, AMI-1 decreased the expression levels of symmetric
dimethylation of H4 (H4R3me2s), a histone mark of PRMT5. CONCLUSIONS: PRMT5 plays
an important role in HCC. PRMT5 may be a promising target for HCC therapy.
PMID- 26541653
TI - PPIs: between overuse and underprescription when really necessary.
AB - Hydrochloric acid gastric secretion plays, among its primary physiological
foundations, a role as protective barrier against infection with external agents
from the diet and also contributes to the digestion of ingested food. However,
this secretion is a critical factor shared by a number of highly prevalent
diseases involving the upper gastrointestinal tract. Paradigms of such conditions
include gastroduodenal peptic ulcer and gastroesophageal reflux disease.
PMID- 26541654
TI - Reasons for initiation of proton pump inhibitor therapy for hospitalised patients
and its impact on outpatient prescription in primary care.
AB - BACKGROUND: Proton-pump-inhibitors are often prescribed unnecessarily in
hospitals, which in turn induces their prescriptions after discharge. OBJECTIVE:
To evaluate patients starting treatment with proton-pump-inhibitors during
hospitalisation and proportion of inappropriate prescriptions. Patient risk
factors and whether initiation in hospital induced their continuation in
ambulatory care were also analyzed. METHODS: An observational, cross-sectional
study in a tertiary hospital (1350 beds) was carried out on the first Tuesday in
February 2015. Pharmacists screened admitted patients treated with proton-pump
inhibitors using an electronic prescription program (FarmaTools(r)-5.0). They
also checked patients' home medications before admission by accessing a primary
care program (Horus(r)). Authorized indications according to Spanish-Medicines
Agency and those recommended in Spanish-Clinical-Practice-Guidelines were
considered appropriate. Hospital-medical-records were checked to know whether
proton-pump-inhibitors were prescribed at discharge. RESULTS: Three hundred
seventy nine patients were analysed. Two hundred ninety four of them were
prescribed proton-pump-inhibitors (77.6%). Treatment was initiated during
admission for 143 patients (48.6%, 95% CI: 42.8-54.5). Of them, 91 (63.6%, 95%
CI: 55.2-71.5) were inappropriate, mainly due to its inclusion unnecessarily in
protocols associated with surgeries or diseases (56 cases of 91, 61.5%).
Additional inappropriate indications were surgical stress ulcer prophylaxis for
surgeries without bleeding risks (19.8%) and polypharmacy without drugs that
increase the risk of bleeding (18.7%). Of 232 discharge reports assessed, in 153
(65.9%, 95% CI: 59.5-72), proton-pump-inhibitor continuation was recommended, of
them, 51 (33.3%) were initiated at admission. CONCLUSION: In hospitalized
patients there is a high prevalence of prescription of proton-pump-inhibitors
unnecessarily. The superfluous use is often associated with the prescription of
treatment protocols. Those treatments started in the hospital generally did not
contribute to over-use existing primary care, most of them were removed at
discharge.
PMID- 26541655
TI - Role of colonic microbiota in colorectal carcinogenesis: a systematic review.
AB - BACKGROUND AND AIM: The human colonic mucosa is populated by a wide range of
microorganisms, usually in a symbiotic relation with the host. Sometimes this
balance is lost and a state of dysbiosis arises, exposing the colon to different
metabolic and inflammatory stimuli (according to the microbiota's changing
profile). Recent findings lead to hypothesize that this unbalance may create a
subclinical pro-inflammatory state that increases DNA mutations and, therefore,
colorectal carcinogenesis. In this article we aim to systematically review the
scientific evidence regarding colonic microbiota and its role in colorectal
carcinogenesis. METHODS: Systematic review of PubMed searching results for
original articles studying microbiota and colorectal cancer until November 2014.
RESULTS: Thirty-one original articles studied the role of colon microbiota in
colorectal carcinoma including both human and animal studies. Different and
heterogeneous methods were used and different bacteria were considered.
Nevertheless, some bacteria are consistently augmented (such as Fusobacteria,
Alistipes, Porphyromonadaceae, Coriobacteridae, Staphylococcaceae, Akkermansia
spp. and Methanobacteriales), while other are constantly diminished in colorectal
cancer (such as Bifidobacterium, Lactobacillus, Ruminococcus, Faecalibacterium
spp., Roseburia, and Treponema). Moreover, bacteria metabolites amino acids are
increased and butyrate is decreased throughout colonic carcinogenesis.
CONCLUSION: Conclusive evidence shows that colorectal carcinogenesis is
associated with microbial dysbiosis. This information may be used to create new
prophylactic, diagnostic and therapeutic strategies for colorectal cancer.
PMID- 26541656
TI - Neomycin and bacitracin reduce the intestinal permeability in mice and increase
the expression of some tight-junction proteins.
AB - BACKGROUND: Tight-junction (TJ) proteins regulate paracellular permeability. Gut
permeability can be modulated by commensal microbiota. Manipulation of the gut
microbiota with antibiotics like bacitracin and neomycin turned out to be useful
for the treatment of diarrhoea induced by Clostridium difficile or chemotherapy
drugs. AIM: To evaluate the effects of the microbiota depletion evoked by the
oral administration of neomycin and bacitracin on the intestinal permeability and
expression of TJ proteins in mice. METHODS: Mice received neomycin and bacitracin
orally for 7 days. Intestinal permeability was measured by the fluorescein
isothiocyanate-dextran (FITC-dextran) method. The gene expression of TJ proteins
in the intestine was determined by real time-PCR. RESULTS: FITC-dextran levels in
serum were reduced by half in antibiotic-treated mice, indicating a reduction of
intestinal permeability. Antibiotics increased the expression of zonula occludens
1 (ZO-1), junctional adhesion molecule A (JAM-A, and occludin in the ileum and ZO
1, claudin-3, and claudin-4 in the colon. CONCLUSION: The combination of neomycin
and bacitracin reduce intestinal permeability and increase the gene expression of
ZO-1, junctional adhesion molecule A (JAM-A), and occludin in the ileum and ZO-1,
claudin-3, and claudin-4 in the colon.
PMID- 26541657
TI - Small bowel obstruction due to laparoscopic barbed sutures: an unknown
complication?
AB - BACKGROUND: In recent years there has been an increasing uptake in the use of
barbed sutures, particularly in minimally invasive and laparoscopic procedures
where they may reduce operating time and improve surgical efficiency. However,
little is known about the adverse events associated with these new materials and
concerns have arisen regarding their safety in certain procedures. METHODS: We
performed a search of electronic databases (PubMed, EMBASE, and Cochrane
Database). We reveal up to 15 cases of small bowel obstruction (SBO) complicating
laparoscopic pelvic surgery that have been reported to date adding two cases of
SBO in our own practice following the use of barbed sutures in laparoscopic
operations, both requiring surgical re-intervention in the early post-operative
period. RESULTS: Fifteen similar cases of small bowel obstruction were
identified, all of which occurred in patients undergoing surgery below the
transverse colon . Surgical re-intervention was required in all cases although
60% of these were performed laparoscopically. CONCLUSIONS: These cases highlight
that although barbed sutures provide an attractive means to allow easier and
faster laparoscopic suturing, they should be used carefully in inframesocolic
surgery and the suture end cut and buried to avoid inadvertent attachment to the
small bowel or its mesentery. Barbed suture entanglement should be considered as
an uncommon yet potentially serious differential cause for SBO presenting in the
early period after laparoscopic surgery where a barbed suture has been used.
PMID- 26541658
TI - Efficacy of metronidazole versus placebo in pain control after hemorrhoidectomy.
Results of a controlled clinical trial.
AB - INTRODUCTION: Hemorrhoidal disease occurs in 50% of people aged > 40 years and is
the most common reason for anorectal surgery. Pain is the main complication.
Multiple topical and systemic drugs have been investigated for pain control, but
there is no ideal treatment. Metronidazole has been shown to decrease
postoperative pain but is not used widely. OBJECTIVE: To evaluate the effect of
oral metronidazole versus placebo and to assess postoperative pain following
hemorrhoidectomy. MATERIAL AND METHODS: Controlled clinical trial in adult
patients who underwent elective hemorrhoidectomy for grade III/IV hemorrhoids.
Patients were assigned to receive metronidazole (500 mg q8 h orally; study group,
SG) or placebo (control group, CG) for 7 days after surgery. Pain was assessed
using a visual analog scale after surgery. Analgesic administration (time and use
of analgesics) and resumption of daily life activities were also assessed.
RESULTS: Forty-four patients were included, 22 in each group. Postoperative pain
differed significantly between the SG and CG at 6 h (3.86 +/- 0.56, 6.64 +/-
1.49), 12 h (5.59 +/- 1.33, 8.82 +/- 0.79), 24 h (6.86 +/- 1.49, 9.73 +/- 0.45),
day 4 (5.32 +/- 2.10, 9.50 +/- 0.59), day 7 (3.14 +/- 1.03, 7.36 +/- 1.39), and
day 14 (2.14 +/- 0.46, 5.45 +/- 1.29). The first analgesia dose was required at
21.27 +/- 5.47 h in the CG and 7.09 +/- 2.36 h in the SG (p < 0.05), the time of
analgesic use was 6.86 +/- 1.61 days in the CG and 13.09 +/- 2.48 days in the SG
(p < 0.05), and resumption of daily activities occurred at 7.59 +/- 1.56 days in
the CG and 14.73 +/- 3.76 days in the SG (p < 0.05). CONCLUSION: Oral
administration of metronidazole is effective in pain management after
hemorrhoidectomy.
PMID- 26541659
TI - The intestinal barrier function and its involvement in digestive disease.
AB - The gastrointestinal mucosal surface is lined with epithelial cells representing
an effective barrier made up with intercellular junctions that separate the inner
and the outer environments, and block the passage of potentially harmful
substances. However, epithelial cells are also responsible for the absorption of
nutrients and electrolytes, hence a semipermeable barrier is required that
selectively allows a number of substances in while keeping others out. To this
end, the intestine developed the "intestinal barrier function", a defensive
system involving various elements, both intra- and extracellular, that work in a
coordinated way to impede the passage of antigens, toxins, and microbial
byproducts, and simultaneously preserves the correct development of the
epithelial barrier, the immune system, and the acquisition of tolerance against
dietary antigens and the intestinal microbiota. Disturbances in the mechanisms of
the barrier function favor the development of exaggerated immune responses; while
exact implications remain unknown, changes in intestinal barrier function have
been associated with the development of inflammatory conditions in the
gastrointestinal tract. This review details de various elements of the intestinal
barrier function, and the key molecular and cellular changes described for
gastrointestinal diseases associated with dysfunction in this defensive
mechanism.
PMID- 26541660
TI - Ectopic pancreas in gallbladder. Clinical significance, diagnostic and
therapeutic implications.
AB - Ectopic or heterotopic pancreas is defined as the presence of pancreatic tissue
in an anatomical place not related to the pancreas, being it most frequent
locations the stomach and small bowel. Its finding in the gallbladder is
exceptional. Since the first case was reported by Otschkin in 1916, about 30
cases have been described in literature. We report the case of a 43 years-old
male patient who had an urgent laparoscopic cholecystectomy with the diagnosis of
acute cholecystitis, which pathological study showed the existence of chronic
cholecystitis with heterotopic pancreatic tissue in the gallbladder wall.
PMID- 26541661
TI - Granulomatous appendicitis as an uncommon cause of abdominal pain. Description of
a case.
AB - Granulomatous appendicitis is an uncommon cause of acute abdomen. Its etiology
can be infectious in nature, noninfectious or idiopathic. We present the case of
a patient of whom we got to know about due to an urgent colonoscopy. At the
cecum, the appendicular fold was thickened and the mucosa had erythema and
nodularity. The diagnosis is made by pathology, as in the majority of cases in
this entity. The surgical treatment is curative.
PMID- 26541662
TI - Splenic rupture after colorectal cancer screening.
AB - The number of colonoscopies performed in recent years is increasing dramatically,
specially those related to colorectal cancer screening programmes. For this
reason, there is a direct relationship with the number of exceptional
complications such as splenic rupture. We describe a clinical case of a splenic
rupture with hemodynamic instability. Consequently, an emergency splenectomy was
performed 6 hours after the colonoscopy was finished. Health staff should be
aware of its existence, as an early reaction will avoid more severe problems.
PMID- 26541663
TI - Infarction of the greater omentum. Case report.
AB - The case of a 65 year old woman presented symptoms of acute abdomen secondary to
full torsion of the greater omentum is presented, diagnosed preoperatively by CT,
thus avoiding emergency surgery due to good evolution with conservative attitude.
This disease is a rare cause of abdominal pain, but we include it in the
differential diagnosis of acute abdomen. Currently imaging techniques allow
preoperative diagnosis to avoid emergency surgery, and maintain an expectant
attitude to act on patient evolution. In our case, we chose conservative attitude
and the patient is asymptomatic 7 months later.
PMID- 26541664
TI - Unusual course of epiploic appendicitis.
AB - Epiploic appendicitis is a benign and self-limited disease, due to inflammation
of the epiploic appendices. The diagnosis is established by imaging techniques,
avoiding treatments, interventions and unnecessary hospitalizations. Management
is conservative. Complications are rare and chronicity is exceptional.
PMID- 26541665
TI - Case report: Amyand's hernia, diagnosis to consider in a routine procedure.
AB - Amyand hernia is a rare entity that is generally an unexpected intraoperative
finding. The decision to perform prophylactic appendectomy, must take into
account individual factors that may increase morbidity in a short, medium or long
term future.
PMID- 26541666
TI - Massive gastrointestinal pneumatosis in a patient with celiac disease and
superior mesenteric artery syndrome.
AB - Pneumatosis intestinalis (PI) is a radiological finding representing the presence
of gas in the bowell, independently of the cause or location. We present the case
of a 55-year-old man who was admitted presenting two-week history of intense
vomiting. The patient was previously diagnosed with superior mesenteric artery
syndrome and celiac disease. Plain x-ray and TC showed gastric and intestinal
pneumatosis with important retropneumoperitoneum. Due to clinical stability the
patient was managed conservatively, with a favorable outcome.
PMID- 26541667
TI - Transplantation of human adipose stem cell-derived hepatocyte-like cells with
restricted localization to liver using acellular amniotic membrane.
AB - INTRODUCTION: Adult stem cell-derived hepatocytes transplantation holds
considerable promise for future clinical individualized therapy of liver failure
or dysfunction. However, the low engraftment of the available hepatocytes in the
liver disease microenvironment has been a major obstacle. METHODS: Acellular
human amniotic membrane was developed as a three-dimensional scaffold and
combined with hepatocyte-like cells derived from human adipose stem cells to
engineer a hepatic tissue graft that would allow hepatocyte engraftment in the
liver effectively. RESULTS: The hepatic tissue grafts maintained hepatocyte
specific gene expression and functionality in vitro. When transplanted into the
surgical incision in livers for engraftment, the engineered hepatic grafts
significantly decreased the degree of liver injury caused by a carbon
tetrachloride treatment and generated cords that were similar to the ductal
plates in the liver between the acellular human amniotic membrane and the liver
of receipts at day 3 post-transplantation. The hepatic tissue grafts maintained
the expression of human hepatocyte-specific markers albumin, hepatocyte nuclear
factor 4alpha, and cytochrome P450 2B6 in the liver of receipts, and acquired
human-specific drug metabolism ability at eight weeks post-transplantation.
CONCLUSIONS: The acellular human amniotic membrane has the ability to maintain
the functional phenotype of the hepatocyte-like cells derived from human adipose
stem cells. Functional acellular human amniotic membrane-hepatocytes grafts
integrated with the liver decreases the acute liver injury of mice. These
engineered tissue constructs may support stem cell-based individualized therapy
for liver disease and for bioartificial liver establishment.
PMID- 26541668
TI - Point-of-care screening for syphilis and HIV in the borderlands: challenges in
implementation in the Brazilian Amazon.
AB - BACKGROUND: Point-of-care (POC) screening for HIV and syphilis using rapid
testing was implemented in indigenous communities in the triple-border area of
the Brazilian Amazon. We describe the context of the early introduction of POC
screening, explore hindering and enabling factors for POC implementation, and
recommend strategies for feasible, viable, and sustainable syphilis and HIV
screening interventions. METHODS: This was a qualitative study based on grounded
theory methodology. Data were collected using in-depth interviews, semi
structured questionnaires, and field observations and were analysed using the
framework approach. Qualitative information was complemented by quantitative data
for descriptive purposes. RESULTS: An overall high score for vulnerability to
acquiring HIV and syphilis was observed among the indigenous communities. Health
professionals reported satisfactory rapid testing acceptance, although concerns
were raised about the pain of the fingerprick. Counselling-related challenges
included ensuring the accuracy of translations, collaborating with translators
and communicating positive test results. Over 3 months, 86.7% of the syphilis
positive individuals began treatment, and all of them notified their partners.
Accessibility, measured as travel time via the local transportation network, was
a barrier to health care access. A lack of gasoline for boats and other
transportation was also a hindering factor at all levels of implementation.
CONCLUSIONS: The recommendations address the preparation phase at the
coordination level as well as at the training level. Tools such as strengths,
weaknesses, opportunities, and threats (SWOT) analyses; checklists; context
adapted protocols; and fact sheets are very simple methods to facilitate
implementation. The findings of this study are important because they may inform
the implementation of new health technologies in low-resource national disease
control programmes in remote communities.
PMID- 26541669
TI - Nutritional status of children in a malaria meso endemic area: cross sectional
study on prevalence, intensity, predictors, influence on malaria parasitaemia and
anaemia severity.
AB - BACKGROUND: The contradictory results on the interaction between nutritional
status and malaria warrants further investigation in various epidemiological
settings, to assert the antagonistic or synergistic relationship. This study
examines the prevalence, severity and predictors of malnutrition and its
influence on malaria parasitaemia and anaemia severity in children in the Mount
Cameroon area. METHODS: A cross-sectional study involving 454 children <= 14
years was carried out from February to May 2013 in Muea community. Anthropometric
measures of malnutrition (z-scores < -2 standard deviations below mean) were
obtained and spleen size assessed. The prevalence and density of malaria
parasites were determined and haemoglobin concentration and white blood cell
count obtained using an automated haematology analyzer. Univariate and
multivariate analyses were used to evaluate influence of malnutrition on anaemia,
malaria parasitaemia and predictors respectively. RESULTS: The overall prevalence
of malnutrition was 22.8 %, with stunting being the most common form (17.1 %),
followed by underweight (8.2 %) and wasting (5.5 %). Stunting was significantly
higher (P < 0.01) in males (23.1 %) than in females (11.9 %). The prevalence of
malnutrition was significantly highest (P = 0.03) in children <=5 years old (29.5
%) than their counterparts. Severe stunting, wasting and underweight were
prevalent in 4.9 %, 1.6 % and 1.8 % of the children respectively. Clinical
malaria parasitaemia was significantly higher (P = 0.01) in children who were
stunted (16.9 %) and underweight (21.6 %) than their normal counterparts (7.5 %;
8.2 % respectively). The model demonstrated sex (P = 0.006) and age group 1.1-3
years (P = 0.03) as significant predictors of malnutrition. In children who were
malaria parasite negative, the prevalence of anaemia as well as severities were
significantly higher (P = 0.04 and P = 0.001 respectively) in those malnourished.
CONCLUSIONS: The presence of stunting in the community significantly augmented
the prevalence and clinical presentation of Plasmodium infection. Malnutrition
enhanced the severity of anaemia in malaria parasite negative children hence,
their health and growth potential needs to be improved upon.
PMID- 26541670
TI - IL-10 regulate decidual Tregs apoptosis contributing to the abnormal pregnancy
with Toxoplasma gondii infection.
AB - This study aims to investigate whether IL-10 regulate decidual Treg cells
apoptosis to reverse the abnormal pregnancy outcomes with Toxoplasma gondii (T.
gondii) infection. Recombinant mouse IL-10 (rIL-10) treatment and IL-10
deficiency (IL-10(-/-)) abnormal pregnancy animal models with T. gondii infection
were established. Apoptosis related molecules cleaved Caspase-3 and Caspase-8 in
decidual Treg cells were examined using flow cytometry. The levels of cleaved
Caspase-3 and Caspase-8 in decidual Treg cells were up-regulated with T. gondii
infection. Compared to infected group, the expressions of cleaved Caspase-3 and
Caspase-8 in decidual Treg cells were down-regulated in rIL-10-treated group,
while up-regulated in infected IL-10(-/-) group. In addition, pregnant outcomes
were improved in rIL-10-treated group, while worse in IL-10(-/-) group compared
to infected group. These findings revealed that IL-10 reduced the decidual Treg
cells apoptosis contributing to improving adverse pregnant outcomes following T.
gondii infection.
PMID- 26541671
TI - Periodontal disease level-butyric acid amounts locally administered in the rat
gingival mucosa induce ER stress in the systemic blood.
AB - Periodontal diseases have long been postulated to contribute to systemic diseases
and, likewise, it has been proposed that periodontal disease treatment may
ameliorate certain systemic diseases. Short-chain fatty acids (SCFA) are major
secondary metabolites produced by oral anaerobic bacteria and, among the SCFAs,
butyric acid (BA) in high amounts contribute to periodontal disease development.
Periodontal disease level-butyric acid (PDL-BA) is found among patients suffering
from periodontal disease and has previously shown to induce oxidative stress,
whereas, oxidative stress is correlated to endoplasmic reticulum (ER) stress.
This would imply that PDL-BA may likewise stimulate ER stress, however, this was
never elucidated. A better understanding of the correlation between PDL-BA and
systemic ER stress stimulation could shed light on the possible systemic effects
of PDL-BA-related periodontal diseases. Here, PDL-BA was injected into the
gingival mucosa and the systemic blood obtained from the rat jugular was
collected at 0, 15, 60, and 180 min post-injection. Collected blood samples were
purified and only the blood cytosol was used throughout this study. Subsequently,
we measured blood cytosolic GADD153, Ca(2+), representative apoptotic and
inflammatory caspases, and NF-kappaB amounts. We found that PDL-BA presence
increased blood cytosolic GADD153 and Ca(2+) amounts. Moreover, we observed that
blood cytosolic caspases and NF-kappaB were activated only at 60 and 180 min post
injection in the rat gingival mucosa. This suggests that PDL-BA administered
through the gingival mucosa may influence the systemic blood via ER stress
stimulation and, moreover, prolonged PDL-BA retention in the gingival mucosa may
play a significant role in ER stress-related caspase and NF-kappaB activation. In
a periodontal disease scenario, we propose that PDL-BA-related ER stress
stimulation leading to the simultaneous activation of apoptosis and inflammation
may contribute to periodontal disease pathogenesis.
PMID- 26541672
TI - Bacterial interactions in pathogenic subgingival plaque.
AB - Chronic periodontitis has a polymicrobial biofilm aetiology. Polymicrobial
biofilms are complex, dynamic microbial communities formed by two or more
bacterial species that are important for the persistence and proliferation of
participating microbes in the environment. Interspecies adherence, which often
involves bacterial surface-associated molecules, and communications are essential
in the spatial and temporal development of a polymicrobial biofilm, which in turn
is necessary for the overall fitness of a well-organized multispecies biofilm
community. In the oral cavity, interactions between key oral bacterial species,
including Porphyromonas gingivalis, Treponema denticola and Tannerella forsythia,
are essential for the progression of chronic periodontitis. In vivo, P.
gingivalis and T. denticola are frequently found to co-exist in deep periodontal
pockets and have been co-localized to the superficial layers of subgingival
plaque as microcolony blooms adjacent to the pocket epithelium, suggesting
possible interbacterial interactions that contribute towards disease. The
motility and chemotactic ability of T. denticola, although not considered as
classic virulence factors, are likely to be important in the synergistic biofilm
formation with P. gingivalis. In vitro, P. gingivalis and T. denticola display a
symbiotic relationship in nutrient utilization and growth promotion. Together
these data suggest there is an intimate relationship between these two species
that has evolved to enhance their survival and virulence.
PMID- 26541673
TI - Multivariate assessment of event-related potentials with the t-CWT method.
AB - BACKGROUND: Event-related brain potentials (ERPs) are usually assessed with
univariate statistical tests although they are essentially multivariate objects.
Brain-computer interface applications are a notable exception to this practice,
because they are based on multivariate classification of single-trial ERPs.
Multivariate ERP assessment can be facilitated by feature extraction methods. One
such method is t-CWT, a mathematical-statistical algorithm based on the
continuous wavelet transform (CWT) and Student's t-test. RESULTS: This article
begins with a geometric primer on some basic concepts of multivariate statistics
as applied to ERP assessment in general and to the t-CWT method in particular.
Further, it presents for the first time a detailed, step-by-step, formal
mathematical description of the t-CWT algorithm. A new multivariate outlier
rejection procedure based on principal component analysis in the frequency domain
is presented as an important pre-processing step. The MATLAB and GNU Octave
implementation of t-CWT is also made publicly available for the first time as
free and open source code. The method is demonstrated on some example ERP data
obtained in a passive oddball paradigm. Finally, some conceptually novel
applications of the multivariate approach in general and of the t-CWT method in
particular are suggested and discussed. CONCLUSIONS: Hopefully, the publication
of both the t-CWT source code and its underlying mathematical algorithm along
with a didactic geometric introduction to some basic concepts of multivariate
statistics would make t-CWT more accessible to both users and developers in the
field of neuroscience research.
PMID- 26541674
TI - Desmopressin in adult urological disease: clinical evidences.
AB - Desmopressin is a synthetic analogue of arginine vasopressin, commercially
available since 1974. Desmopressin is proven effective for the treatment primary
nocturnal enuresis and polyuria. It has been considered by several investigators
for the treatment of nocturia with positive results and is now an established
treatment for this indication. In this review, we assessed the available clinical
data on desmopressin in adult urological disease.
PMID- 26541675
TI - Poly(A)-specific ribonuclease and Nocturnin in squamous cell lung cancer:
prognostic value and impact on gene expression.
AB - BACKGROUND: Lung cancer is the leading cause of cancer mortality worldwide,
mainly due to late diagnosis, poor prognosis and tumor heterogeneity. Thus, the
need for biomarkers that will aid classification, treatment and monitoring
remains intense and challenging and depends on the better understanding of the
tumor pathobiology and underlying mechanisms. The deregulation of gene expression
is a hallmark of cancer and a critical parameter is the stability of mRNAs that
may lead to increased oncogene and/or decreased tumor suppressor transcript and
protein levels. The shortening of mRNA poly(A) tails determines mRNA stability,
as it is usually the first step in mRNA degradation, and is catalyzed by
deadenylases. Herein, we assess the clinical significance of deadenylases and we
study their role on gene expression in squamous cell lung carcinoma (SCC).
METHODS: Computational transcriptomic analysis from a publicly available
microarray was performed in order to examine the expression of deadenylases in
SCC patient samples. Subsequently we employed real-time PCR in clinical samples
in order to validate the bioinformatics results regarding the gene expression of
deadenylases. Selected deadenylases were silenced in NCI-H520 and Hep2 human
cancer cell lines and the effect on gene expression was analyzed with cDNA
microarrays. RESULTS: The in silico analysis revealed that the expression of
several deadenylases is altered in SCC. Quantitative real-time PCR showed that
four deadenylases, PARN, CNOT6, CNOT7 and NOC, are differentially expressed in
our SCC clinical samples. PARN overexpression correlated with younger patient age
and CNOT6 overexpression with non-metastatic tumors. Kaplan-Meier analysis
suggests that increased levels of PARN and NOC correlate with significantly
increased survival. Gene expression analysis upon PARN and NOC silencing in lung
cancer cells revealed gene expression deregulation that was functionally enriched
for gene ontologies related to cell adhesion, cell junction, muscle contraction
and metabolism. CONCLUSIONS: Our results highlight the clinical significance of
PARN and NOC on the survival in SCC diagnosed patients. We demonstrate that the
enzymes are implicated in important phenotypes pertinent to cancer biology and
provide information on their role in the regulation of gene expression in SCC.
Overall, our results support an emerging role for deadenylases in SCC and
contribute to the understanding of their role in cancer biology.
PMID- 26541676
TI - Dextrocardia in Adults with Congenital Heart Disease.
AB - BACKGROUND/OBJECTIVES: Dextrocardia is rare in the general population, and may be
associated with significant additional cardiac malformations. We aimed to
identify the prevalence and patterns of additional cardiac defects, as well as
the associated long-term morbidity and mortality, in adult patients with
dextrocardia, in a specialised Adult Congenital Heart Disease (ACHD) service.
METHODS: A retrospective study of patients with dextrocardia managed by our
tertiary ACHD service, since January 2000, was performed. Medical records were
reviewed and the National Death Index was consulted to confirm survival status.
RESULTS: Of 3698 adults in our ACHD Service, 19 (0.5%) had dextrocardia. Mean
follow-up duration was 7+/-7.5 years. The mean age at last review was 36.8+/-10.5
years (range 20-63 years). Situs was solitus in 14 (74%) and inversus in five
(26%). Eleven patients (58%) had functional single ventricles, of whom five had
atrioventricular (AV)-ventriculoarterial (VA) discordance and two had VA
discordance only. Four patients with two ventricles had AV-VA discordance. All
patients had at least one additional cardiac malformation. Fourteen patients
(74%) required surgical intervention. Eleven patients (58%) underwent a Fontan
type operation. Five patients (26%) required ablation procedures for arrhythmia.
One patient had infective endocarditis and two deaths occurred, both in patients
who also had AV-VA discordance. CONCLUSION: Dextrocardia remains a rare finding
in adults, even in a highly select group of patients with known congenital heart
disease. Those with associated congenital heart abnormalities are likely to have
complex lesions, which may require multiple surgical and medical interventions.
Despite this, our series demonstrated that patients surviving to adulthood and
then managed in an ACHD centre may have good medium-term survival.
PMID- 26541677
TI - The elusive philosopher's stone in young blood.
PMID- 26541678
TI - How an artery heals.
PMID- 26541679
TI - Breaking down the COP9 Signalsome in the heart: how inactivating a protein
ubiquitin ligase increases protein ubiquitylation and protects the heart.
PMID- 26541680
TI - Walter J. Koch: two decades, one mission.
PMID- 26541682
TI - Correction.
PMID- 26541681
TI - Murine "model" monotheism: an iconoclast at the altar of mouse.
PMID- 26541683
TI - Correction.
PMID- 26541684
TI - Epidemiology and resource utilization in pediatric facial fractures.
AB - BACKGROUND: Pediatric facial fractures, although uncommon, have a significant
impact on public health and the US economy by the coexistence of other injuries
and developmental deformities. Violence is one of the most frequent mechanisms
leading to facial fracture. Teaching hospitals, while educating future medical
professionals, have been linked to greater resource utilization in differing
scenarios. This study was designed to compare the differences in patient
characteristics and outcomes between teaching and non-teaching hospitals for
violence-related pediatric facial fractures. METHODS: Using the 2000-2009 Kids'
Inpatient Database, 3881 patients younger than 18 years were identified with
facial fracture and external cause of injury code for assault, fight, or abuse.
Patients admitted at teaching hospitals were compared to those admitted at non
teaching hospitals in terms of demographics, injuries, and outcomes. RESULTS:
Overall, 76.2% of patients had been treated at teaching hospitals. Compared to
those treated at non-teaching hospitals, these patients were more likely to be
younger, non-white, covered by Medicaid, from lower income zip codes, and have
thoracic injuries; but mortality rate was not significantly different. After
adjusting for potential confounders, teaching status of the hospital was not
found as a predictor of either longer lengths of stay (LOS) or charges.
CONCLUSIONS: There is an insignificant difference between LOS and charges at
teaching and non-teaching hospitals after controlling for patient demographics.
This suggests that the longer LOS observed at teaching hospitals is related to
these institutions being more often involved in the care of underserved
populations and patients with more severe injuries.
PMID- 26541685
TI - Preoperative consent for patients with limited English proficiency.
AB - BACKGROUND: Informed consent is important for limited English proficient (LEP)
patients undergoing surgery, as many surgical procedures are complicated, making
patient comprehension difficult even without language barriers. The study
objectives were to (1) understand surgeons' preoperative consenting process with
LEP patients, (2) examine how surgeons self assess their non-English language
proficiency levels using a standardized scale, and (3) identify the relationship
between self assessed non-English language proficiency and surgeons' self
reported use of interpreters during preoperative informed consent. MATERIALS AND
METHODS: A thirty-two item survey assessing surgeons' reported preoperative
informed consent process, with questions related to demographics, level of
medical training, non-English language skills and their clinical use, language
learning experiences, and hypothetical scenarios with LEP patients. RESULTS:
Surgeons who were not fluent in non-English languages reported they often used
those limited skills to obtain informed consent from their LEP patients. Many
surgeons reported relying on bilingual hospital staff members, family members,
and/or minors to serve as ad-hoc interpreters when obtaining informed consent. If
a professional interpreter was not available in a timely manner, surgeons more
frequently reported using ad-hoc interpreters or their own nonfluent language
skills. Surgeons reported deferring to patient and family preferences when
deciding whether to use professional interpreters and applied different
thresholds for different clinical scenarios when deciding whether to use
professional interpreters. CONCLUSIONS: Surgeons reported relying on their own
non-English language skills, bilingual staff, and family and friends of patients
to obtain informed consent from LEP patients, suggesting that further
understanding of barriers to professional interpreter use is needed.
PMID- 26541686
TI - Smoking and wound complications after coronary artery bypass grafting.
AB - BACKGROUND: The harmful effects of smoking on the postsurgical wound healing
disturbances have been widely investigated across various surgical procedures.
These effects after coronary artery bypass graft (CABG) surgery have been less
explored. We aimed to investigate the association of smoking and the wound
healing problems in post-CABG patients. MATERIALS AND METHODS: We compared the
incidence of wound complications in 405 smokers and 405 nonsmokers who underwent
an elective CABG surgery. The incidence of leg and sternal wound complications
was evaluated during the first 7 d as well as at a 6-wk postoperative visit.
RESULTS: One hundred fifty-six leg wound complications were noted in 132 patients
(16.3%). The overall rate of leg wound healing disturbances was significantly
higher in smokers than those in nonsmokers (odds ratio, 1.47; 95% confidence
interval, 1.109-4.019; P = 0.010). The incidence rates of leg wound edge necrosis
and dehiscence were significantly higher in smokers compared with those in
nonsmokers (3.7% versus 0.7%, P = 0.004 and 6.6% versus 0.7%, P < 0.0001,
respectively). We found no significant differences between the incidence of
postoperative leg wound infection, hematoma, wound edema, and seroma in active
smokers and those who never smoked. Thirty-seven postsurgical sternal wound
complications (4.6%) were developed in 33 patients (4.1%). The overall rate of
sternal wound healing disturbances was similar between smokers and nonsmokers.
There was a trend between the sternal wound dehiscence and smoking (P = 0.03);
however, the other sternal wound complications were not associated with smoking.
CONCLUSIONS: Smoking may contribute to the disturbances of wound healing,
especially wound dehiscence, in post-CABG patients.
PMID- 26541687
TI - The effect of flexible cognitive-behavioural therapy and medical treatment,
including antidepressants on post-traumatic stress disorder and depression in
traumatised refugees: pragmatic randomised controlled clinical trial.
AB - BACKGROUND: Little evidence exists on the treatment of traumatised refugees.
AIMS: To estimate treatment effects of flexible cognitive-behavioural therapy
(CBT) and antidepressants (sertraline and mianserin) in traumatised refugees.
METHOD: Randomised controlled clinical trial with 2 * 2 factorial design
(registered with Clinicaltrials.gov, NCT00917397, EUDRACT no. 2008-006714-15).
Participants were refugees with war-related traumatic experiences, post-traumatic
stress disorder (PTSD) and without psychotic disorder. Treatment was weekly
sessions with a physician and/or psychologist over 6 months. RESULTS: A total of
217 of 280 patients completed treatment (78%). There was no effect on PTSD
symptoms, no effect of psychotherapy and no interaction between psychotherapy and
medicine. A small but significant effect of treatment with antidepressants was
found on depression. CONCLUSIONS: In a pragmatic clinical setting, there was no
effect of flexible CBT and antidepressants on PTSD, and there was a small-to
moderate effect of antidepressants and psychoeducation on depression in
traumatised refugees.
PMID- 26541688
TI - Visual cortical excitability in dementia with Lewy bodies.
AB - Alterations in the visual system may underlie visual hallucinations in dementia
with Lewy bodies (DLB). However, cortical excitability as measured by
transcranial magnetic stimulation (TMS) and functional magnetic resonance imaging
(fMRI) activation of lower visual areas (V1-3) to visual stimuli appear normal in
DLB. We explored the relationship between TMS-determined phosphene threshold and
fMRI-related visual activation and found a positive relationship between the two
in controls but a negative one in DLB. This double dissociation suggests a loss
of inhibition in the visual system in DLB, which may predispose individuals to
visual dysfunction and visual hallucinations.
PMID- 26541689
TI - CACNA1C polymorphism and altered phosphorylation of tau in bipolar disorder.
AB - Several genome-wide association studies and case-control studies have associated
the single nucleotide polymorphism (SNP) rs1006737, situated in CACNA1C encoding
the alpha 1C subunit of the L-type voltage-gated calcium channel, with bipolar
disorder and other psychiatric disorders. However, the causal pathway linking
genetic variants in CACNA1C with increased risk for developing brain disorders
remains unclear. Here, we explored the association between the rs1006737 SNP and
cerebrospinal fluid (CSF) markers. We found a significant association between the
risk allele in rs1006737 and a decreased CSF hyperphosphorylated tau/total tau
ratio in patients with bipolar disorder, thus linking variation in the CACNA1C
gene to a neurochemical marker of neuroaxonal plasticity in those with this
disorder.
PMID- 26541690
TI - Substance misuse in life and death in a 2-year cohort of suicides.
AB - BACKGROUND: Although substance misuse is a key risk factor in suicide, relatively
little is known about the relationship between lifetime misuse and misuse at the
time of suicide. AIMS: To examine the relationship between substance misuse and
subsequent suicide. METHOD: Linkage of coroners' reports to primary care records
for 403 suicides occurring over 2 years. RESULTS: With alcohol misuse, 67% of the
cohort had previously sought help for alcohol problems and 39% were intoxicated
at the time of suicide. Regarding misuse of other substances, 54% of the cohort
was tested. Almost one in four (38%) tested positive, defined as an excess of
drugs over the prescribed therapeutic dosage and/or detection of illicit
substances. Those tested were more likely to be young and have a history of drug
misuse. CONCLUSIONS: A deeper understanding of the relationship between substance
misuse and suicide could contribute to prevention initiatives. Furthermore,
standardised toxicology screening processes would avoid diminishing the
importance of psychosocial factors involved in suicide as a 'cause of death'.
PMID- 26541691
TI - Specificity proteins 1 and 4, hippocampal volume and first-episode psychosis.
AB - We assessed specificity protein 1 (SP1) and 4 (SP4) transcription factor levels
in peripheral blood mononuclear cells and conducted a voxel-based morphometry
analysis on brain structural magnetic resonance images from 11 patients with
first-episode psychosis and 14 healthy controls. We found lower SP1 and SP4
levels in patients, which correlated positively with right hippocampal volume.
These results extend previous evidence showing that such transcription factors
may constitute a molecular pathway to the development of psychosis.
PMID- 26541693
TI - Premature mortality in autism spectrum disorder.
AB - BACKGROUND: Mortality has been suggested to be increased in autism spectrum
disorder (ASD). AIMS: To examine both all-cause and cause-specific mortality in
ASD, as well as investigate moderating role of gender and intellectual ability.
METHOD: Odds ratios (ORs) were calculated for a population-based cohort of ASD
probands (n = 27,122, diagnosed between 1987 and 2009) compared with gender-, age
and county of residence-matched controls (n = 2,672,185). RESULTS: During the
observed period, 24,358 (0.91%) individuals in the general population died,
whereas the corresponding figure for individuals with ASD was 706 (2.60%; OR =
2.56; 95% CI 2.38-2.76). Cause-specific analyses showed elevated mortality in ASD
for almost all analysed diagnostic categories. Mortality and patterns for cause
specific mortality were partly moderated by gender and general intellectual
ability. CONCLUSIONS: Premature mortality was markedly increased in ASD owing to
a multitude of medical conditions.
PMID- 26541692
TI - Functional remediation in bipolar disorder: 1-year follow-up of neurocognitive
and functional outcome.
AB - BACKGROUND: Few randomised clinical trials have examined the efficacy of an
intervention aimed at improving psychosocial functioning in bipolar disorder.
AIMS: To examine changes in psychosocial functioning in a group that has been
enrolled in a functional remediation programme 1 year after baseline. METHOD:
This was a multicentre, randomised, rater-masked clinical trial comparing three
patient groups: functional remediation, psychoeducation and treatment as usual
over 1-year follow-up. The primary outcome was change in psychosocial functioning
measured by means of the Functioning Assessment Short Test (FAST). Group*time
effects for overall psychosocial functioning were examined using repeated
measures ANOVA (trial registration NCT01370668). RESULTS: There was a significant
group*time interaction for overall psychosocial functioning, favouring patients
in the functional remediation group (F = 3.071, d.f. = 2, P = 0.049).
CONCLUSIONS: Improvement in psychosocial functioning is maintained after 1-year
follow-up in patients with bipolar disorder receiving functional remediation.
PMID- 26541694
TI - Radiological findings of a symptomatic carotid pseudocclusion: "Guadiana river
sign".
PMID- 26541695
TI - Health-related quality of life in patients with spinocerebellar ataxia.
AB - INTRODUCTION: The progressive deterioration of patients with spinocerebellar
ataxia (SCA) has a major impact on their health-related quality of life (HRQOL).
This study evaluates HRQOL in a sample of patients diagnosed with SCA and aims to
estimate the predictive ability of a set of sociodemographic variables for the
different dimensions of the General Health Questionnaire. METHODS: A total of 80
patients diagnosed with SCA were assessed using a sociodemographic questionnaire
and the SF-36 General Health Questionnaire. The sociodemographic variables
studied were sex, age, presence of a carer, employment status, and time elapsed
from diagnosis of the disease. RESULTS: The 8 subscales of the SF-36 show
positive and significant correlations to one another. Mean scores obtained on
each SF-36 subscale differ between women and men, although this difference is
significant only on the general health subscale, with men scoring higher than
women. We found significant age differences on the vitality and social function
subscales, with higher scores among younger patients (< 34 years). The variable
'presence of a carer' accounts for most of the total variance of the
questionnaire. CONCLUSIONS: The SF-36 is a valid and useful instrument for
evaluating HRQOL in patients diagnosed with SCA. Presence of a carer seems to be
a determinant of self-perceived quality of life in these patients.
PMID- 26541696
TI - Descriptive analysis of neurological in-hospital consultations in a tertiary
hospital.
AB - INTRODUCTION: In-hospital consultations (IHC) are essential in clinical practice
in tertiary hospitals. The aim of this study is to analyse the impact of
neurological IHCs. PATIENTS AND METHOD: One-year retrospective descriptive study
of neurological IHCs conducted from May 2013 to April 2014 at our tertiary
hospital. RESULTS: A total of 472 patients were included (mean age, 62.1 years;
male patients, 56.8%) and 24.4% had previously been evaluated by a neurologist.
Patients were hospitalised a median of 18 days and 19.7% had been referred by
another hospital. The departments requesting the most in-hospital consultations
were intensive care (20.1%), internal medicine (14.4%), and cardiology (9.1%).
Reasons for requesting an IHC were stroke (26.9%), epilepsy (20.6%), and
confusional states (7.6%). An on-call neurologist evaluated 41.9% of the
patients. The purpose of the IHC was to provide a diagnosis in 56.3% and
treatment in 28.2% of the cases; 69.5% of the patients required additional tests.
Treatment was adjusted in 18.9% of patients and additional drugs were
administered to 27.3%. While 62.1% of cases required no additional IHCs, 11%
required further assessment, and 4.9% were transferred to the neurology
department. Of the patient total, 16.9% died during hospitalisation (in 37.5%,
the purpose of the consultation was to certify brain death); 45.6% were referred
to the neurology department at discharge and 6.1% visited the emergency
department due to neurological impairment within 6 months of discharge.
CONCLUSIONS: IHCs facilitate diagnosis and management of patients with
neurological diseases, which may help reduce the number of visits to the
emergency department. On-call neurologists are essential in tertiary hospitals,
and they are frequently asked to diagnose brain death.
PMID- 26541697
TI - Hyperammonemic encephalopathy associated with multiple myeloma.
PMID- 26541698
TI - Relaxin: A novel therapy for acute heart failure.
PMID- 26541699
TI - Access to side-chain carbon information in deuterated solids under fast MAS
through non-rotor-synchronized mixing.
AB - We demonstrate the accessibility of aliphatic (13)C side chain chemical shift
sets for solid-state NMR despite perdeuteration and fast MAS using isotropic, non
rotor-synchronized (13)C-(13)C mixing. Combined with amide proton detection, we
unambiguously and sensitively detect whole side chain to backbone correlations
for two proteins using around 1 mg of sample.
PMID- 26541700
TI - Overemphasis on Overdiagnosis.
PMID- 26541701
TI - The "Unconference" in Radiological Society Meetings.
PMID- 26541702
TI - Psychological stress-induced catecholamines accelerates cutaneous aging in mice.
AB - Psychological stress may be an important extrinsic factor which influences aging
process. However, neither study demonstrated the mechanism by which chronic
stress participates in skin aging. Aim of this study was to investigate the
effects of chronic psychological stress on mice skin. Mice were daily submitted
to rotational stress, for 28 days, until euthanasia. After 28 days, mice were
killed and normal skin was analyzed. Macroscopically, dorsum skin of chronically
stressed mice presented more wrinkled when compared to that of nonstressed mice.
In mice skin, chronic stress increased lipid peroxidation, carbonyl protein
content, nitrotyrosine levels, neutrophil infiltration, neutrophil elastase,
tissue inhibitor of metalloproteinase-1 and metalloproteinase-8 levels.
Nevertheless, chronic stress reduced dermis thickness, collagen type I, fibrilin
1 and elastin protein levels in mice skin. In in vitro assays, murine skin
fibroblasts were exposed to elevated epinephrine levels plus inhibitors of
reactive oxygen species (ROS) and reactive nitrogen species (RNS), fibroblast
activity was evaluated in a short time. In skin fibroblast culture, treatment
with inhibitors of ROS and RNS synthesis abolished the increase in carbonyl
protein content and lipid peroxide accumulation induced by epinephrine. In
conclusion, chronic psychological stress may be an important extrinsic factor,
which contributes to skin aging in mice.
PMID- 26541703
TI - [Ectopic Kidney. A diagnostic case].
PMID- 26541704
TI - [Possibility of scoliosis screening and prevention in schools].
PMID- 26541705
TI - [Cutaneous mastocytosis: A case report].
AB - INTRODUCTION: Mastocytosis represents a group of diseases characterised by an
excesive accumulation of mastocytes in one or multiple tissues. It can affect
only the skin, or have a systemic involvement. It has a low prevalence, and the
prognosis is benign in children. OBJECTIVE: To report a case of urticaria
pigmentosa as a subtype of cutaneous mastocytosis, and present a literature
review focused on clinical findings, diagnosis and initial basic management.
CLINICAL CASE: A child of six months of age presenting with multiple blemishes
and light brown papules located on the trunk, arms and legs. The symptoms were
compatible with urticaria pigmentosa, and was confirmed by biopsy. Tests to rule
out systemic involvement were requested. The patient was treated with general
measures, education, and antihistamines, with favourable results. CONCLUSIONS:
Cutaneous mastocytosis is a rare disease with a good prognosis. In childhood
general measures and education are usually enough to obtain favourable results.
Histamine H1 antagonists are the first line drug treatment.
PMID- 26541707
TI - Pathophysiology of acute heart failure: a world to know.
AB - Our understanding of the pathophysiological mechanisms of heart failure (HF) has
changed considerably in recent years, progressing from a merely haemodynamic
viewpoint to a concept of systemic and multifactorial involvement in which
numerous mechanisms interact and concatenate. The effects of these mechanisms go
beyond the heart itself, to other organs of vital importance such as the kidneys,
liver and lungs. Despite this, the pathophysiology of acute HF still has aspects
that elude our deeper understanding. Haemodynamic overload, venous congestion,
neurohormonal systems, natriuretic peptides, inflammation, oxidative stress and
its repercussion on cardiac and vascular remodelling are currently considered the
main players in acute HF. Starting with the concept of acute HF, this review
provides updates on the various mechanisms involved in this disease.
PMID- 26541706
TI - Platelets in hemostasis and thrombosis: Novel mechanisms of fibrinogen
independent platelet aggregation and fibronectin-mediated protein wave of
hemostasis.
AB - Platelets are small anucleate cells generated from megakaryocytes in the bone
marrow. Although platelet generation, maturation, and clearance are still not
fully understood, significant progress has been made in the last 1-2 decades. In
blood circulation, platelets can quickly adhere and aggregate at sites of
vascular injury, forming the platelet plug (i.e. the first wave of hemostasis).
Activated platelets can also provide negatively charged phosphatidylserinerich
membrane surface that enhances cell-based thrombin generation, which facilitates
blood coagulation (i.e. the second wave of hemostasis). Platelets therefore play
central roles in hemostasis. However, the same process of hemostasis may also
cause thrombosis and vessel occlusion, which are the most common mechanisms
leading to heart attack and stroke following ruptured atherosclerotic lesions. In
this review, we will introduce the classical mechanisms and newly discovered
pathways of platelets in hemostasis and thrombosis, including fibrinogen
independent platelet aggregation and thrombosis, and the plasma fibronectin
mediated "protein wave" of hemostasis that precedes the classical first wave of
hemostasis. Furthermore, we briefly discuss the roles of platelets in
inflammation and atherosclerosis and the potential strategies to control
atherothrombosis.
PMID- 26541708
TI - Semaphorin 3a transfection into the left stellate ganglion reduces susceptibility
to ventricular arrhythmias after myocardial infarction in rats.
AB - AIMS: Myocardial infarction (MI) induces neural remodelling of the left stellate
ganglion (LSG), which may contribute to ischaemia-induced arrhythmias. The neural
chemorepellent Semaphorin 3a (Sema3a) has been identified as a negative regulator
of sympathetic innervation in the LSG and heart. We previously reported that
overexpression of Sema3a in the border zone could reduce the arrhythmogenic
effects of cardiac sympathetic hyperinnervation post-MI. This study investigated
whether Sema3a overexpression within the LSG confers an antiarrhythmic effect
after MI through decreasing extra- and intra-cardiac neural remodelling. METHODS
AND RESULTS: Sprague-Dawley rats were subjected to MI, and randomly allocated to
intra-LSG microinjection of either phosphate-buffered saline (PBS), adenovirus
encoding green fluorescent protein (AdGFP), or adenovirus encoding Sema3a
(AdSema3a). Sham-operated rats served as controls. Two weeks after infarction, MI
induced nerve sprouting and sympathetic hyperinnervation in the LSG and
myocardium were significantly attenuated by intra-LSG injection with AdSema3a, as
assessed by immunohistochemistry and western blot analysis of growth-associated
protein 43 and tyrosine hydroxylase. This was also confirmed by sympathetic nerve
function changes assessed by cardiac norepinephrine content. Additionally, intra
LSG injection with AdSema3a alleviated MI-induced accumulation of
dephosphorylated connexin 43 in the infarct border zone. Furthermore, Sema3a
overexpression in the LSG reduced the incidence of inducible ventricular
tachyarrhythmia by programmed electrical stimulation post-MI, and arrhythmia
scores were significantly lower in the AdSema3a group than in the PBS and AdGFP
groups. CONCLUSION: Semaphorin 3a overexpression in the LSG ameliorates the
inducibility of ventricular arrhythmias after MI, mainly through attenuation of
neural remodelling within the cardiac-neuraxis.
PMID- 26541709
TI - Radiofrequency ablation of the interventricular septum to treat outflow tract
gradients in hypertrophic obstructive cardiomyopathy: a novel use of
CARTOSound(r) technology to guide ablation.
AB - AIMS: Septal reduction is needed for hypertrophic obstructive cardiomyopathy
(HOCM) patients with severe left ventricular outflow tract (LVOT) gradients and
symptoms despite medication. Myectomy cannot be performed in all. Alcohol septal
ablation cannot be performed in 5-15% due to technical difficulties. A method of
delivering percutaneous tissue damage to the septum that is not reliant on
coronary anatomy is desirable. To directly ablate the interventricular septum at
the mitral valve (MV) systolic anterior motion (SAM)-septal contact point using
radiofrequency (RF) energy guided by CARTOSound. METHODS AND RESULTS: Five
patients underwent RF ablation (RFA); we describe follow-up at 6 months in four
patients. Intracardiac echocardiography (ICE) images are merged with CARTO to
create a shell of the cardiac chambers. The SAM-septal contact area is marked
from ICE images and mapped on to the CARTO shell; this becomes the target for RF
delivery. Conduction tissue is mapped and avoided where possible. Twenty-eight to
42 min of RF energy was delivered to the target area using retrograde aortic
access and SmartTouch catheters. Resting LVOT gradient improved from 64.2 (+/
50.6) to 12.3 (+/-2.5) mmHg. Valsalva/exercise-induced gradient reduced from 93.5
(+/-30.9) to 23.3 (+/-8.3) mmHg. Three patients improved New York Heart
Association status from III to II, one patient improved from class III to I.
Exercise time on bicycle ergometer increased from 612 to 730 s. Cardiac magnetic
resonance shows late gadolinium enhancement up to 8 mm depth at LV target
myocardium. One patient died following a significant retroperitoneal haemorrhage.
CONCLUSION: Radiofrequency ablation using CARTOSound((r)) guidance is accurate
and effective in treating LVOT gradients in HOCM in this preliminary group of
patients.
PMID- 26541710
TI - Clustering of worry appraisals among college students.
AB - The present study investigated the potential clustering of worry appraisals
within college social networks. Participants living in campus residence buildings
responded to online surveys across the course of several months. Worry appraisals
were measured 10 weeks into the fall semester and again approximately 6 months
later. Analysis of sociometric data suggests that the majority of participants'
social interactions occurred within their respective residence building floors,
indicating that proximity strongly influenced the development of social network
ties and sources of social influence. Further, significant clustering of worry
appraisals occurred across time, and more importantly, within residence building
floors. The present findings compliment previous work suggesting that several
physical and psychological states appear to spread and cluster within social
networks. Implications for the study of emotional appraisals and future research
are discussed.
PMID- 26541711
TI - Free-breathing 3D diffusion MRI for high-resolution hepatic metastasis
characterization in small animals.
AB - The goal of this study was to develop a 3D diffusion weighted sequence for free
breathing liver imaging in small animals at high magnetic field. Hepatic
metastases were detected and the apparent diffusion coefficients (ADC) were
measured. A 3D SE-EPI sequence was developed by (i) inserting a water-selective
excitation radiofrequency pulse to suppress adipose tissue signal and (ii)
bipolar diffusion gradients to decrease the sensitivity to respiration motion.
Mice with hepatic metastases were imaged at 7T by applying b values from 200 to
1100 s/mm(2). 3D images with high spatial resolution (182 * 156 * 125 um) were
obtained in only 8 min 32 s. The modified DW-SE-EPI sequence allowed to obtain 3D
abdominal images of healthy mice with fat SNR 2.5 times lower than without any
fat suppression method and sharpness 2.8 times higher than on respiration
triggered images. Due to the high spatial resolution, the core and the periphery
of disseminated hepatic metastases were differentiated at high b-values only,
demonstrating the presence of edema and proliferating cells (with ADC of 2.65 *
10(-3) and 1.55 * 10(-3) mm(2)/s, respectively). Furthermore, these metastases
were accurately distinguished from proliferating ones within the same animal at
high b-values (mean ADC of 0.38 * 10(-3) mm(2)/s). Metastases of less than 1.7
mm(3) diameter were detected. The new 3D SE-EPI sequence enabled to obtain
diffusion information within liver metastases. In addition of intra-metastasis
heterogeneity, differences in diffusion were measured between metastases within
an animal. This sequence could be used to obtain diffusion information at high
magnetic field.
PMID- 26541712
TI - Supraglottoplasty as treatment of exercise induced laryngeal obstruction (EILO).
AB - Breathing difficulties during exertion may be caused by exercise-induced
laryngeal obstruction (EILO). The diagnosis depends on visualization of the
larynx during exercise, i.e. by continuous laryngoscopic exercise (CLE) test. In
case of severe supraglottic collapse and pronounced symptoms during strenuous
exertion, surgical treatment (supraglottoplasty) has been suggested. The aims of
this study were to evaluate outcome and patient satisfaction after
supraglottoplasty for EILO and to compare our results with previously reported
data. During the period December 2010 to October 2013, 17 patients diagnosed with
moderate to severe supraglottic EILO were treated by supraglottoplasty with
microlaryngoscopic laser technique at our institutions. The severity of patients
symptoms (VAS score) and CLE scores was evaluated pre- and postoperatively. We
found a decrease in patients symptoms from median 80 points VAS score
preoperatively to 20 points postoperatively (p < 0.001) and a decrease in CLE sum
score from median 4.0 points to 2.5 points (p < 0.05). Several previous studies
have recommended surgery for selected patients with supraglottic involvement, but
these have mainly been based on case reports or on very few patients. This study
is the second larger-scale study that documents the positive effect of
supraglottoplasty as treatment of EILO in terms of reduced respiratory symptoms
and decreased laryngeal obstruction assessed by post-operative CLE test. We
suggest that surgery is a well-tolerated and effective treatment option for
selected EILO patients with moderate to severe supraglottic obstruction during
exercise and a high level of physical activity.
PMID- 26541713
TI - Erratum to: Stability, survival, and tolerability of a 4.5-mm-wide bone-anchored
hearing implant: 6-month data from a randomized controlled clinical trial.
PMID- 26541714
TI - Expansion sphincter pharyngoplasty for the treatment of OSA: a systemic review
and meta-analysis.
AB - This study seeks to determine the success rates of the expansion sphincter
pharyngoplasty and its variants on the treatment of obstructive sleep apnea
(OSA). Systematic review and meta-analysis. Two independent searches of MEDLINE,
Google Scholar, Cochrane Library and Evidence Based Medicine Reviews to identify
publications relevant to OSA and expansion pharyngoplasty. All relevant studies
published before 31 March 2015 were included. Five studies were included in the
systematic review and meta-analysis. The numbers of patients in each paper ranged
from 10 to 85 (total = 155), and mean age ranged from 8 to 56 years. Substantial
and consistent improvement in PSG outcomes were observed post-expansion
pharyngoplasty patients, with or without multilevel surgery groups. The results
showed that the expansion pharyngoplasty technique has significantly lower AHI
than control group [Standardised mean difference -7.32, 95 %CI (-11.11, -3.52), p
= 0.0002]; however, substantial heterogeneity between these studies were
observed. The mean pre-operative AHI (in the five papers) improved from 40.0 +/-
12.6 to 8.3 +/- 5.2 post-operatively. The overall pro-rated pooled success rate
for all the patients was 86.3 %. The expansion pharyngoplasty is effective in the
management of patients with OSA.
PMID- 26541715
TI - Computed tomography-based training model for otoplasty.
AB - Otoplasty for the correction of protruding ears is characterized by various
techniques and a common and popular cosmetic procedure. For the surgeon, whether
beginner or advanced, it is essential to understand the principles and master
techniques for standard auricular deformities before applying further
sophisticated methods, because a lot of complications and failures are caused by
wrong indication and incorrect surgical techniques. The different surgical steps
are best learned from teaching models. Therefore, we developed two different
silicone models of protruding ears with moderate auricular deformities: one with
conchal hyperplasia for the training of conchal resection, and one without
antihelix for creating an antihelical fold by suturing technique, based on
computed tomography scans of patients. The silicone ear models were evaluated
during four standardized surgery courses for residents in otorhinolaryngology by
91 participants using specially designed questionnaires. Nearly all participants
rated the training on the auricular models as very helpful (n = 51) or good (n =
31); the scores for the different techniques and properties of the models ranged
from 2.0 to 2.6 in a range from 1 (very good) to 4 (inadequate). The good results
demonstrate the possibility for learning different surgical otoplasty techniques
with this newly designed teaching tool.
PMID- 26541716
TI - "Re. 'Early oral refeeding based on hunger in moderate and severe acute
pancreatitis: A prospective controlled, randomized clinical trial.' Can we really
do without enteral nutrition?" Author's response.
PMID- 26541717
TI - Association of conjugated linoleic acid consumption and liver enzymes in human
studies: A systematic review and meta-analysis of randomized controlled clinical
trials.
AB - OBJECTIVE: The aim of this study was to systematically review the association of
conjugated linoleic acid (CLA) consumption in two forms of foods enriched or
supplemented with CLA on serum liver enzymes in human studies. METHODS: We
searched PubMed, Google Scholar, Cochrane Library, ScienceDirect, ProQuest, and
Ovid up to January 2015. Studies that examined the effect of CLA supplementation
or foods enriched with CLA on liver enzymes concentrations among healthy adults
were included. The mean difference and SD of changes in serum liver enzymes
between the intervention and control groups were used as effect size for the meta
analysis. RESULTS: The analysis demonstrated that CLA supplementation led to
slight and nonsignificant decreases in alkaline phosphatase (ALP) levels (mean
difference [MD] -0.216; 95% confidence interval [CI], -0.60 to 0.17; P = 0.28).
CLA intake can nonsignificantly increase alanine transaminase (ALT) levels (MD =
0.107 U/L; 95% CI, -0.29 to 0.244; P = 0.124) and can significantly increase
aspartate aminotransferase (AST) levels (MD = 0.171 U/L; 95% CI, 0.034-0.307; P =
0.01). Subgroup analysis based on CLA source showed that CLA supplementation or
foods enriched with CLA did not significantly alter ALT levels. Subgroup analysis
showed that CLA supplementation led to significant increases in AST levels (MD =
0.224 U/L; 95% CI, 0.071-0.376; P = 0.004). However, foods enriched with CLA did
not have any significant effects on AST levels. CONCLUSION: CLA supplementation
was associated with a significantly increased circulating AST without any
significant effect on ALP and ALT levels. Prospective studies are necessary to
assess the clinical outcomes of the association between CLA and liver enzyme
concentrations.
PMID- 26541718
TI - Corrigendum to .
PMID- 26541719
TI - Avermectin induced autophagy in pigeon spleen tissues.
AB - The level of autophagy is considered as an indicator for monitoring the toxic
impact of pesticide exposure. Avermectin (AVM), a widely used insecticide, has
immunotoxic effects on the pigeon spleen. The aim of this study was to
investigate the status of autophagy and the expression levels of microtubule
associated protein1 light chain 3 (LC3), beclin-1, dynein, autophagy associated
gene (Atg) 4B, Atg5, target of rapamycin complex 1 (TORC1) and target of
rapamycin complex 2 (TORC2) in AVM-treated pigeon spleens. Eighty two-month-old
pigeons were randomly divided into four groups: a control group, a low-dose
group, a medium-dose group and a high-dose group, which were fed a basal diet
spiked with 0, 20, 40 and 60 mg AVM/kg diet, respectively. Microscopic cellular
morphology revealed a significant increase in autophagic structures in the AVM
treated groups. The expression of LC3, beclin-1, dynein, Atg4B and Atg5
increased, while mRNA levels of TORC1 and TORC2 were decreased in the AVM-treated
groups relative to the control groups at 30, 60 and 90 days in the pigeon spleen.
These results indicated that AVM exposure could up-regulate the level of
autophagy in a dose-time-dependent manner in the pigeon spleen.
PMID- 26541721
TI - Current practices in biliary surgery: Do we practice what we teach?
AB - INTRODUCTION: Since the widespread adoption of laparoscopic techniques in biliary
surgery, the incidence of bile duct injures (BDI) has not significantly declined
despite increased operative experience and recognition of the critical view of
safety (CVS) method for anatomic identification. We hypothesized that operative
approaches in clinical practice may vary from well-described technical
recommendations. The objective of this study was to access how practicing
surgeons commonly identify anatomy during laparoscopic cholecystectomy (LC).
METHODS: We performed a cohort study assessing practices in biliary surgery among
current practicing surgeons. Surgeons belonging to the Midwest Surgical
Association and the Society of American Gastrointestinal and Endoscopic Surgeons
were surveyed. Items surveyed include preferred methods for cystic duct
identification, recognition of the CVS, and use of intraoperative imaging.
RESULTS: In total, 374 of 849 surgeons responded. The CVS was not correctly
identified by 75 % of surgeons descriptively and by 21 % of surgeons visually. 56
% of surgeons practiced the infundibular method for identification of the cystic
duct; 27 % practiced the CVS method. Intraoperative cholangiography was used by
16 % and laparoscopic ultrasound by <1 %. CONCLUSION: A majority of surgeons
preferably do not use the CVS method of identification during LC. A large
percentage of practicing surgeons are unable to describe or visually identify the
CVS. These results suggest an urgent need to reexamine the tenets of how LC is
being taught and disseminated and present a clear target for improvement to
reduce BDI.
PMID- 26541720
TI - Impact of novel shift handle laparoscopic tool on wrist ergonomics and task
performance.
AB - BACKGROUND: Laparoscopic tool handles causing wrist flexion and extension more
than 15 degrees from neutral are considered "at risk" for musculoskeletal
strain. Therefore, this study measured the impact of laparoscopic tool handle
angles on wrist postures and task performance. METHODS: Eight surgeons performed
standard and modified Fundamentals of Laparoscopic Surgery (FLS) tasks with
laparoscopic tools. Tool A had three adjustable handle angle configurations,
i.e., in-line 0 degrees (A0), 30 degrees (A30), and pistol-grip 70 degrees
(A70). Tool B was a fixed pistol-grip grasper. Participants performed FLS peg
transfer, inverted peg transfer, and inverted circle cut with each tool and
handle angle. Inverted tasks were adapted from standard FLS tasks to simulate
advanced tasks observed during abdominal wall surgeries, e.g., ventral hernia.
Motion tracking, video analysis, and modified NASA-TLX workload questionnaires
were used to measure postures, performance (e.g., completion time and errors),
and workload. RESULTS: Task performance did not differ between tools. For FLS peg
transfer, self-reported physical workload was lower for B than for A70, and mean
wrist postures showed significantly higher flexion for in-line than for pistol
grip tools (B and A70). For inverted peg transfer, workload was higher for all
configurations. However, less time was spent in at-risk wrist postures for in
line (47 %) than for pistol-grip (93-94 %), and most participants preferred Tool
A. For inverted circle cut, workload did not vary across configurations, mean
wrist posture was 10 degrees closer to neutral for A0 than B, and median time in
at-risk wrist postures was significantly less for A0 (43 %) than for B (87 %).
CONCLUSION: The best ergonomic wrist positions for FLS (floor) tasks are provided
by pistol-grip tools and for tasks on the abdominal wall (ventral surface) by in
line handles. Adjustable handle angle laparoscopic tools can reduce ergonomic
risks of musculoskeletal strain and allow versatility for tasks alternating
between the floor and ceiling positions in a surgical trainer without impacting
performance.
PMID- 26541722
TI - Resident participation in laparoscopic Roux-en-Y gastric bypass: a comparison of
outcomes from the ACS-NSQIP database.
AB - BACKGROUND: As clinical outcome data are increasingly tied to hospital
reimbursement, balancing quality care with training of surgical residents has
become critical. We used the ACS-NSQIP database to determine impact of resident
participation in laparoscopic gastric bypass on 30-day morbidity and mortality.
METHODS: We queried the ACS-NSQIP database from 1/2005 to 12/2012 for
laparoscopic gastric bypass, dividing cases between those with or without
resident involvement. Univariate and multivariate analyses of intraoperative and
postoperative outcomes were assessed. A sub-analysis was performed to address
whether different resident training levels affected outcomes. RESULTS: A total of
43,477 laparoscopic gastric bypass cases were available for analysis; 22,189 had
resident involvement (resident = R), and 21,288 did not (no resident = NR).
Preoperative characteristics were similar between groups. On multivariate
analysis, procedures with resident assistance had increased risk of the following
complications: superficial site infection (R = 2.1 vs. 1.5 %, p < 0.001), renal
failure (R = 0.4 vs. NR = 0.3 %, p = 0.002), urinary tract infection (R = 1.1 vs.
0.9 %, p = 0.027), and sepsis (R = 0.8 vs. NR = 0.6 %, p = 0.019). Increased
operative time in the resident group (29 min, p < 0.0001) demonstrated direct
linear association with resident trainee level. There was no statistical
difference in the incidences of the following: pulmonary embolism, deep venous
thrombosis, deep surgical site infection, organ space infection, pneumonia,
unplanned intubation, mechanical ventilation >48 h, septic shock, cardiac arrest,
return to the operating room, or mortality. CONCLUSION: Resident participation in
laparoscopic gastric bypass was associated with statistically significant, but
clinically insignificant increase in incidence of superficial site infection,
renal failure, readmission rate, and length of stay. Therefore, although resident
participation in laparoscopic gastric bypass is associated with significantly
increased operative time, it does not lead to increased mortality and has no
clinically significant effect on morbidity.
PMID- 26541723
TI - Incisional and port-site hernias following robotic colorectal surgery.
AB - BACKGROUND: The association between extraction site location, robotic trocar
size, and the incidence of incisional hernias in robotic colorectal surgery
remain unclear. Laparoscopic literature reports variable rates of incisional
hernias versus open surgery, and variable rates of trocar site hernias. However,
conclusions from these studies are confusing due to heterogeneity in closure
techniques and may not be generalized to robotic cases. This study evaluates the
effect of extraction site location on incisional hernia rates, as well as trocar
hernia rates in robotic colorectal surgery. MATERIALS AND METHODS: A
retrospective review of multiport and single incision robotic colorectal
surgeries from a single institution was performed. Patients underwent subtotal,
segmental, or proctocolectomies, and were compared based on the extraction site
through either a muscle-splitting (MS) or midline (ML) incision. Hernias were
identified by imaging and/or physical exam. Demographics and risk factors for
hernias were assessed. Groups were compared using a multivariate logistic
regression analysis. RESULTS: The study included 259 colorectal surgery patients
comprising 146 with MS and 113 with ML extraction sites. Postoperative computed
tomograms were performed on 155 patients (59.8 %) with a mean follow-up of 16.5
months. The overall incisional hernia rate was 5.8 %. A significantly higher
hernia rate was found among the ML group compared to the MS group (12.4 vs. 0.68
%, p < 0.0001). Of the known risk factors assessed, only increased BMI was
associated with incisional hernias (OR 1.18). No trocar site hernias were found.
CONCLUSION: Midline extraction sites are associated with a significantly
increased rate of incisional hernias compared to muscle-splitting extraction
sites. There is little evidence to recommend fascia closure of 8-mm trocar sites.
PMID- 26541724
TI - Randomized controlled trial comparing laparoscopic greater curvature plication
versus laparoscopic sleeve gastrectomy.
AB - BACKGROUND: Laparoscopic greater curvature plication (LGCP) is a new restrictive
bariatric procedure, which has a similar restrictive mechanism like laparoscopic
sleeve gastrectomy (LSG) without potential risk of leak. Aim of the study was to
compare 2-year outcomes of LSG and LGCP. METHODS: Multicenter prospective
randomized trial was started in 2010. A total of 54 patients with morbid obesity
were allocated either to LGCP group (n = 25) or LSG group (n = 27). Main
exclusion criteria were: ASA > III, age > 75 and BMI > 65 kg/m(2). There were 40
women and 12 men, and the mean age was 42.6 +/- 6.8 years (range 35-62). Data on
the operation time, complications, hospital stay, body mass index loss,
percentage of excess weight loss (%EWL), loss of appetite and improvement in
comorbidities were collected during the follow-up examinations. RESULTS: All
procedures were completed laparoscopically. The mean operative time was 92.0 +/-
15 min for LSG and 73 +/- 19 min for LGCP (p > 0.05). The mean hospital stay was
4.0 +/- 1.9 days in the LSG group and 3.8 +/- 1.7 days in LGCP group (p > 0.05).
One year after surgery, the mean %EWL was 59.5 +/- 15.4 % in LSG group and 45.8
+/- 17 % in LGCP group (p > 0.05). After 2 years, mean %EWL was 78.9 +/- 20 % in
the LSG group and 42.4 +/- 18 % in the LGCP group (p < 0.01). After 3 years, mean
%EWL was 72.8 +/- 22 in the LSG group and only 20.5 +/- 23.9 in the LGCP group (p
< 0.01). Loss of feeling of hunger after 2 years was 25 % in LGCP group and 76.9
% in the LSG group (p < 0.05). The comorbidities including diabetes, sleep apnea
and hypertension were markedly improved in the both groups after surgery.
CONCLUSION: The short-term outcomes demonstrated equal effectiveness of the both
procedures, but 2-year follow-up showed that LGCP is worse than LSG as a
restrictive procedure for weight loss.
PMID- 26541725
TI - Multidisciplinary treatment of T1a adenocarcinoma in Barrett's esophagus:
contemporary comparison of endoscopic and surgical treatment in physiologically
fit patients.
AB - BACKGROUND: Previous reports comparing endoscopic therapy (ET) and surgical
therapy (ST) have predominantly assessed patients with high-grade dysplasia. The
study aim was to compare ET to ST in physiologically fit patients with cT1a
adenocarcinoma (EAC). METHODS: Review of two prospective databases yielded 100
patients presenting with clinical cT1a EAC between 2000 and 2013. Only
physiologically fit patients who were candidates for either treatment were
analyzed. RESULTS: Presenting patient characteristics were similar between ET (n
= 36) and ST groups (n = 49). Surgical patients were less likely to be staged
with EMR (43 vs 100 %) and were associated with mass lesions >1 cm at EGD (p =
0.01), multifocal EAC (p = 0.03), and positive margins for EAC on EMR (p < 0.05).
On multivariate analysis, only multifocal HGD was an independent factor for
surgery. Following esophagectomy, R0 resection rates for Barrett's esophagus and
cancer were 100 %. Incidence of surgery decreased over the study period from 85
to 25 %. All ET patients had EMR, and 28 patients underwent additional ablative
therapies for Barrett's esophagus. Following ET, eradication rates of EAC,
dysplasia, and BE were 92, 81, and 53 %, respectively. Morbidity rates were
comparable between groups (ST 51 % vs ET 39 %, p = 0.31). In-hospital mortality
rate was zero in each group. Recurrence rates in ST and ET group were 2 and 11 %
(p = 0.08). In the ET group, two patients with endoluminal cancer recurrence
after complete eradication underwent esophagectomy. Age-adjusted overall survival
was comparable. CONCLUSION: In high-volume esophageal centers, ST and ET provide
equally safe and effective treatment for cT1a EAC in medically fit patients.
While the results of this study provide a historical perspective and clearly
demonstrate an evolution toward ET over time, the appropriate treatment modality
is best selected in a multidisciplinary fashion with EMR providing the most
accurate staging. In endoscopically treated patients, indefinite endoscopic
follow-up required, however, standardized long-term follow-up protocols are
needed.
PMID- 26541726
TI - Sutureless onlay hernia repair: a review of 97 patients.
AB - BACKGROUND: Repair of large ventral/incisional (V/I) hernias is a common problem.
Outside of recurrence, other factors such as wound complications and mesh
infection can create significant morbidity. Chevrel described the premuscular
repair and later modified it by using glue over the midline closure. We
previously described our onlay technique using fibrin glue alone in a small case
series. The aim of this study is to review the largest case series of sutureless
onlay V/I hernia repair whereby mesh is fixated with fibrin glue alone for
complex ventral hernias, and how the technique has evolved. METHODS: All patients
who underwent onlay V/I hernia repair over a 3-year period were reviewed. Patient
demographics, operative details, complications, and follow-up were reviewed.
RESULTS: In total, 97 patients were included. 54.6 % were female, with a mean age
of 57.3 years. Mean BMI was 32.2. 23(23.7 %) patients had diabetes. 90 (92.8 %)
of the operations were for incisional hernias, 3 (3.1 %) primary ventral hernias,
2 (2.1 %) flank hernias, and 2 (2 %) complex abdominal wall reconstruction. 88
(90.7 %) of the cases were performed on an elective basis. 77 (77.3 %) cases were
classified as clean, 21 (21.6 %) clean-contaminated, and 1 (1.0 %) contaminated.
The mean defect size was 150 cm(2). Mean follow-up was 386 days, and maximum was
3.1 years. There were 21 (21.6 %) seromas, 4 (4.1 %) wound infections, 7 (7.4 %)
had skin necrosis, and 9 (9.3 %) required re-operation due to a complication. At
3 years, there have been no recurrences or mesh explants. CONCLUSIONS: The
sutureless onlay V/I hernia repair with fibrin glue fixation has proven to be
durable with a comparable complication profile to other techniques. The most
common sequela, seroma, is easily managed in the outpatient setting. This
sutureless technique is an effective option for onlay hernia repair that may
provide several advantages over traditional suture techniques.
PMID- 26541727
TI - Safety and efficacy of 1020 consecutive laparoscopic sleeve gastrectomies
performed as a primary treatment modality for morbid obesity. A single-center
experience from the metabolic and bariatric surgical accreditation quality and
improvement program.
AB - BACKGROUND: LSG is widely accepted as a definitive treatment for obesity. Due to
lack of large series with long-term follow-up, disagreement remains regarding its
safety and efficacy. We present a series of 1020 consecutive LSGs performed with
long-term follow-up. METHODS: A retrospective review of a prospectively collected
database was conducted on patients who underwent LSG from January 2005 to
February 2014. Data included weight, height, BMI, ideal body weight, comorbid
conditions, intraoperative complications, mean length of hospital stay (LOS),
early (<30 days) readmission, early and late (>30 days) postoperative
complications, and percentage of excess weight loss (%EWL). RESULTS: Of the 1020
patients, 66.6 % (n = 679) were female, with a mean age of 38.4 +/- 16.5 years.
Mean BMI at the time of first visit was 43.4 +/- 5.8 kg/m(2). The most common
comorbid conditions identified were diabetes mellitus (89.4 %), sleep apnea (86.4
%), hypertension (80.3 %), and hyperlipidemia (70 %). Over the entire follow-up
period, 57 % of diabetic patients experienced significant improvement or
remission. There was no mortality in this series. Early postoperative
complications within 30 days of surgery included leak in 0.1 % (n = 1), stricture
in 0.1 % (n = 1), emesis in 23 % (n = 234), dehydration in 19 % (n = 194),
prolonged ileus in 18 % (183), and self-limited bleeding in 3 % (n = 30). Mean
LOS was of 3.4 +/- 2.1 days, with a 3.8 % overall rate of early readmissions.
Long-term morbidity was found in 3.9 % of patients (n = 40) and included
stricture in 0.49 % (n = 5) and GERD in 6 % (n = 61). The overall 30-day
mortality rate was 0 %. Mean %EWL at 3 months to 1, 3, 5, and 8 years was 72 +/-
16.8, 86 +/- 22.3, 63 +/- 19, 61 +/- 11, and 52 +/- 9.2, respectively.
CONCLUSIONS: This study confirms that LSG is safe and has very low rates of early
and long-term complications when compared to other well-established procedures.
Additionally, LSG that appears results in significant improvement of obesity
related comorbid conditions.
PMID- 26541728
TI - A multicenter study of initial experience with single-incision robotic
cholecystectomies (SIRC) demonstrating a high success rate in 465 cases.
AB - BACKGROUND: Recently, the robotic single-site platform has been used to
ameliorate the difficulties seen in single-incision laparoscopic surgery (SILC)
while preserving the benefits of standard laparoscopic cholecystectomy. The
purpose of this study is to describe the clinical outcomes of a large series of
single-incision robotic cholecystectomy (SIRC). METHODS: Medical records of
consecutive patients who underwent SIRC were retrospectively reviewed. All
procedures were performed by six surgeons at five different North American
centers involved in the study. All patients included in the study underwent a
cholecystectomy attempted through single site at the umbilicus, using the da
Vinci((r)) Surgical System (Intuitive Surgical Inc. Sunnyvale, CA). RESULTS: A
total of 465 patients met study criteria. Median age was 48 years (range 18-89);
351 (75.5 %) were female and 304 (66.4 %) were overweight or obese. Except for
gender, case characteristics differed significantly by surgeon/site. Previous
abdominal surgery was reported for 226 (48.6 %) cases. SIRC was successfully
completed in 455 (97.8 %) cases, and there were no conversions to open surgery.
Median surgical time was 52 min with a decreasing trend after 55-85 cases. Male
gender, obesity and diagnoses other than biliary dyskinesia were independent
predictors of longer surgical times. The complication rate was 2.6 %.
CONCLUSIONS: Our large, multicenter study demonstrates that robotic single-site
cholecystectomy is safe and feasible in a wide range of patients.
PMID- 26541729
TI - Quality-of-life scores in laparoscopic preperitoneal inguinal hernia repair.
AB - BACKGROUND: Published support exists for using lightweight polypropylene mesh
(PPM) to repair inguinal hernias with increased biocompatibility and decreased
foreign body reaction and pain. However, quality of life (QOL) has not been
assessed. We assess QOL in patients undergoing laparoscopic totally
extraperitoneal hernia repair (TEP) with lightweight PPM. METHODS: We performed
an IRB-approved study of patients undergoing TEP hernia repair. Demographic
information and hernia characteristics were collected perioperatively. Baseline
Short Form-36 (SF-36), Carolinas Comfort Scale (CCS), and visual analog scale
(VAS) for pain were performed preoperatively, and then after 1, 26, and 52 weeks.
RESULTS: Forty-eight patients undergoing TEP with mesh were selected. Average age
was 43.2 years (SD = 13.2), and average BMI was 26.1 kg/m(2) (SD = 4.3).
Procedures include bilateral hernia, right inguinal hernia, and left inguinal
hernia repairs. Mean scores on the CCS((r)) and VAS were low during the immediate
post-op period and 1 year. SF-36 mean scores for body pain, physical function,
and role physical showed decreases at the postoperative survey and then
subsequent increases. Pain-associated scores increased during the immediate post
op period. CCS and SF-36 scores demonstrated improvement after 1 year. There was
no significant difference in VAS. Bilateral repair patients reported more pain
and reduced physical function versus unilateral repairs. Patients with larger
mesh reported greater pain scores and reduced physical function scores.
CONCLUSIONS: Laparoscopic inguinal hernia repair is associated with initial
declines in QOL in the postoperative period. Improvements appear in the long
term. General health does not appear to be impacted by laparoscopic TEP. Smaller
mesh and unilateral repairs are associated with improved QOL following
laparoscopic TEP with PPM. Multiple metrics for QOL are required to reflect
patient recovery.
PMID- 26541730
TI - Charges, outcomes, and complications: a comparison of magnetic sphincter
augmentation versus laparoscopic Nissen fundoplication for the treatment of GERD.
AB - BACKGROUND: Magnetic sphincter augmentation (MSA) is approved for uncomplicated
GERD. Multiple studies have shown MSA to compare favorably to laparoscopic Nissen
fundoplication (LNF) in terms of symptom control with results out to 5 years. The
MSA device itself, however, is an added cost to an anti-reflux surgery, and
direct cost comparison studies have not been done between MSA and LNF. The aim of
the study was to compare charges, complications, and outcome of MSA versus LNF at
1 year. METHODS: This is a retrospective analysis of all patients who underwent
MSA or LNF for the treatment of GERD between January 2010 and June 2013. Patient
charges were collected for the surgical admission. We also collected data on 30
day complications and symptom control at 1 year assessed by GERD-HRQL score and
PPI use. RESULTS: There were 119 patients included in the study, 52 MSA and 67
LNF. There was no significant difference between the mean charges for MSA and LNF
($48,491 vs. $50,111, p = 0.506). There were significant differences in OR time
(66 min MSA vs. 82 min LNF, p < 0.01) and LOS (17 h MSA vs. 38 h LNF, p < 0.01).
At 1-year follow-up, mean GERD-HRQL was 4.3 for MSA versus 5.1 for LNF (p = 0.47)
and 85 % of MSA patients versus 92 % of LNF patients were free from PPIs (p =
0.37). MSA patients reported less gas bloat symptoms (23 vs. 53 %, p <= 0.01) and
inability to belch (10 vs. 36 %, p <= 0.01) and vomit (4 vs. 19 %, p <= 0.01).
CONCLUSION: The side effect profile of MSA is better than LNF as evidenced by
less gas bloat and increase ability to belch and vomit. LNF and MSA are
comparable in symptom control, safety, and overall hospital charges. The charge
for the MSA device is offset by less charges in other categories as a result of
the shorter operative time and LOS.
PMID- 26541731
TI - Knotless choledochorraphy with barbed suture, safe and feasible.
AB - PURPOSE: To describe the safety and feasibility of a running continuous
unidirectional barbed suture (V-Loc, Covidien, Mansfield, MA) for primary common
bile duct closure while performing laparoscopic common bile duct exploration
(LCBDE). INTRODUCTION: LCBDE is nowadays the best approach for treating complex
common bile duct lithiasis or cases where the endoscopic retrograde
cholangiopancreatography has failed. It is clear that the primary closure of the
common bile duct must be preferred over the T-tube drainage. The actual technical
aspects offer room for improvement. We present our experience with barbed suture,
for which recently, various fields of surgery have become interested in and which
now has a series of studies that support it for several uses. METHODS: Between
July 2012 and July 2014, 54 consecutive patients with bile duct stones underwent
LCBDE by a single surgeon. Perioperative outcomes and 30-day complications were
recorded. RESULTS: Upon the completion of the exploration, 50 patients had
primary common bile duct closure using knotless unidirectional barbed 3-0 V-Loc
90 suture, and 4 patients were excluded. All of the sutures were performed
without knot tying. The procedure in all patients was successfully performed with
no intraoperative complications. There were no bile leaks in the 50 patients or
other postoperative complications such as infection, need for reintervention or
death. CONCLUSION: The use of unidirectional knotless barbed suture (V-Loc 90) is
safe, feasible and effective on LCBDE for primary common bile duct closure. The
biliary leak rate is acceptably low and comparable to the rate reported in the
literature. This report is our initial experience that needs further clinical
trials.
PMID- 26541732
TI - Is there anything we can modify among factors associated with morbidity following
elective laparoscopic sigmoidectomy for diverticulitis?
AB - BACKGROUND: Laparoscopic sigmoidectomy for diverticulitis is widely accepted,
using either endolinear staplers or traditional linear staplers under direct
vision through the extraction site to transect the rectum. The aim of this study
was to assess modifiable factors affecting perioperative morbidity after elective
laparoscopic sigmoidectomy for diverticulitis. METHODS: Potential associations
between perioperative morbidity and demographic, disease-related, and treatment
related factors were assessed on all consecutive patients included in a
prospectively collected database undergoing elective laparoscopic sigmoidectomy
for diverticulitis between 1992 and 2013. Rectal transection with a linear
stapler under direct vision through the extraction site was considered compatible
with laparoscopic technique. RESULTS: There were two deaths out of 1059 patients
(0.19 %). Conversion rate was 13.1 %, overall morbidity 28 %, and anastomotic
leak 3.7 %. Independent factors associated with morbidity in an intent-to-treat
analysis were ASA 3 (OR 1.53, p = 0.006), conversion (OR 1.71, p = 0.015), and
rectal transection without endolinear stapling (traditional linear stapler: OR
1.75, p = 0.003; surgical knife: OR 2.09, p = 0.002). The same factors along with
complicated diverticulitis (OR 1.56, p = 0.013) were independently associated
with overall morbidity among laparoscopically completed cases. BMI >= 35 (OR 2.3,
p = 0.017), complicated diverticulitis (OR 2.37, p = 0.002), and rectal
transection with a traditional linear stapler (OR 2.19, p = 0.018) were
independently associated with abdomino-pelvic infections, both in an intent-to
treat analysis and among laparoscopically completed cases. The number of
endolinear stapler firings was not associated with morbidity. CONCLUSIONS: Most
factors associated with morbidity of laparoscopic sigmoidectomy for
diverticulitis cannot be easily modified. With the limitation of a retrospective
analysis, modifiable factors to minimize morbidity are laparoscopic completion
and endolinear stapling.
PMID- 26541733
TI - Is laparoscopic repair of incarcerated abdominal hernias safe? Analysis of short
term outcomes.
AB - BACKGROUND: There is a paucity of literature surrounding the safety and
feasibility of laparoscopic repair for acutely incarcerated abdominal hernias.
The objective of this study was to compare the 30-day morbidity and mortality
between laparoscopic and open repairs of incarcerated abdominal hernias. METHODS:
A retrospective cohort study was conducted using data from the National Surgery
Quality Improvement Program from 2005 to 2012. The study population was selected
using ICD-9 diagnostic codes describing abdominal hernias with obstruction, but
without gangrene. Cases with documented bowel resection were excluded. Group
classification was based on CPT coding. Study outcomes included the 30-day major
complication, reoperation and mortality rates. Multivariable logistic regression
models were used to adjust for confounding for all study outcomes. RESULTS: A
total of 2688 and 15,562 patients were in the laparoscopic and open group,
respectively. After adjustment for clinically relevant confounders, laparoscopic
surgery was associated with a significantly lower 30-day infectious (OR 0.36, p <
0.001, 95 % CI 0.23-0.56) and serious complication rates (OR 0.66, p < 0.001, 95
% CI 0.55-0.80). However, there was no statistical difference with respect to the
30-day reoperation (OR 0.81, p = 0.28, 95 % CI 0.56-1.18) or mortality rates (OR
0.94, p = 0.80, 95 % CI 0.58-1.53). CONCLUSIONS: Patients with incarcerated
abdominal hernias who underwent laparoscopic repair had a significantly lower 30
day morbidity compared to patients with open repair. Although the 30-day
reoperation and mortality rates were also lower, there was no statistically
significant difference. Laparoscopic surgery appears to be safe in the management
of select incarcerated abdominal hernias.
PMID- 26541734
TI - Prospective evaluation of a simplified narrowband imaging scoring system for a
differential diagnosis of colorectal lesions.
AB - BACKGROUND: Narrowband imaging (NBI) allows characterization of colorectal polyps
during endoscopy; however, this is underutilized by most US physicians. The aim
of this study was to assess diagnostic performance of an NBI scoring system,
based on the NBI international colorectal endoscopic classification, and
determine a threshold score yielding the highest negative predictive value (NPV)
in the characterization of colorectal neoplasia. METHODS: During colonoscopy,
colorectal lesions were scored using the NBI scoring system on a 0-3 scale for
NBI findings. All lesions were biopsied or endoscopically removed for
pathological examinations. RESULTS: Two hundred and three patients were enrolled,
and a total of 156 colorectal lesions were detected in 67 patients. Diagnostic
yields under white light mode showed limited diagnostic performance [accuracy
75.6 % (68.9-82.3), sensitivity 69.2 % (58.6-78.3), specificity 84.6 % (73.1
92.0), positive predictive value (PPV) 86.3 % (75.8-92.9), NPV 66.3 % (55.0
76.0)]. Of NBI threshold scores from 1 to 3 for the diagnosis of neoplastic
lesion, the score of >=1 resulted in an accuracy of 88.5 % (83.5-93.5),
sensitivity of 97.8 % (91.5-96.6), and specificity of 75.4 % (62.9-84.9), with
PPV of 84.8 % (76.1-90.8) and NPV of 96.1 % (85.4-99.3). CONCLUSIONS: The
threshold value of >=1 in this simplified NBI scoring system yielded the highest
sensitivity and NPV for non-adenomatous colorectal polyps. This scoring system is
simple to apply and is superior to white light endoscopy.
PMID- 26541735
TI - Trends in colorectal cancer admissions and stage at presentation: impact of
screening.
AB - BACKGROUND: Colorectal cancer (CRC) incidence is rising among patients under age
50. As such, we set out to determine the proportion of CRC-related hospital
admissions and distribution of colon cancer by stage in different age groups.
METHODS: The NIS database for 2002-2012 was used to investigate trends of
colorectal cancer resection by age, and the ACS NSQIP database for 2012-2013 was
used to investigate contemporary stage at diagnosis for colon cancer in different
age groups. RESULTS: A total of 1,198,421 patients were admitted to a hospital
with a diagnosis of CRC and captured by the NIS database. Although the number of
hospitalized CRC patients decreased from 2002 to 2012, the observed decrease was
predominant in patients older than 65 years (P < 0.01) and in colon cancer
compared to rectal cancer patients (P < 0.01). The proportion of patients younger
than 65 years increased from 32.8 % in 2002 to 41.1 % in 2012, and the proportion
of patients under age 50 increased from 9 to 12 %. In the NSQIP database, the age
<50 group also had a significantly higher proportion of advanced disease (stage
III/IV) compared to patients age 50 and older (62.3 vs. 47.5 %, P < 0.01). In
2012, it was observed that most patients with rectal cancer were younger than 65
years (55.8 %). CONCLUSION: There was a steady decrease in the number of
hospitalized patients with colorectal cancer during the last decade, primarily
attributable to a decrease in the older than 65 years age patients and colon
cancer patients. The proportion of hospitalized patients age <50 is rising. In
addition, patients younger than 50 years were more likely to have advanced
disease compared to older patients.
PMID- 26541736
TI - Comparison of the cost and outcomes following totally laparoscopic and
laparoscopy-assisted distal gastrectomies for gastric cancer: a single
institution comparison.
AB - OBJECTIVE: This study aimed to compare the short-term surgical outcomes and cost
benefits following totally laparoscopic distal gastrectomy (TLDG) and laparoscopy
assisted distal gastrectomy (LADG) for the treatment of gastric cancer. METHODS:
Between April 2007 and December 2013, a total of 100 patients with gastric cancer
underwent laparoscopic distal gastrectomy. The patients were classified into two
groups according to whether intracorporeal anastomosis or extracorporeal
anastomosis had been performed. The comparison between the groups was based on
clinicopathological characteristics and surgical and economic outcomes. RESULTS:
There were 57 and 43 patients who underwent TLDG and LADG, respectively. The
patients' demographics and tumor characteristics did not show any statistically
significant differences with the exception for tumor location. In the LADG group,
tumors were localized to relatively higher positions (p = 0.024) and received
Roux-en-Y reconstruction more frequently (p < 0.001). There were no differences
in the incidence of morbidity. Anastomotic leakage was not recorded in either
group, although anastomotic stenosis occurred in one patient (1.8 %) after TLDG
and in two patients (4.7 %) after LADG. Compared with the LADG group, the TLDG
group was associated with significantly less operative blood loss (p < 0.001), a
shorter time to oral intake (p = 0.012), and hospital stay (p = 0.018). The
median operation costs were greater in the TLDG group than in the LADG group
(Y982,000 in TLDG vs. Y879,830 in LADG; p < 0.001), whereas the median total
hospital costs were similar between the two groups (Y1302,665 in LADG vs.
Y1383,322 in TLDG: p = 0.119). CONCLUSION: This study suggests that TLDG is as
technically feasible, safe, and effective as LADG for treating patients with
gastric cancer. Furthermore, TLDG is associated with equivalent total hospital
costs compared with LADG. The increased operation cost is offset by the decreased
costs associated with longer periods of hospitalization.
PMID- 26541737
TI - Laparoscopic sigmoid colectomy: Are all laparoscopic techniques created equal?
AB - BACKGROUND: Laparoscopic colectomy has been associated with improved
postoperative pain control, earlier return to work, and shorter hospital stays
compared to open colectomy. However, there are varied technical approaches to
laparoscopic resections. We therefore sought to determine whether the straight
laparoscopic approach was associated with shorter length of stay compared to hand
assisted and laparoscopic-assisted techniques for sigmoid colectomies. METHODS: A
retrospective review of laparoscopic sigmoid colectomies performed by five
colorectal surgeons from 2010 to 2014 was performed. Approaches were defined as:
(1) straight laparoscopic if colon mobilization, inferior mesenteric artery
transection and intra-corporeal anastomosis were performed laparoscopically, (2)
hand assisted if a hand port was utilized to assist with mobilization and vessel
transection, and (3) laparoscopic assisted if only the colon mobilization was
performed intra-corporeally. Poisson regression was performed to determine the
impact of surgical technique on LOS while controlling for differences in patient
factors. RESULTS: A total of 191 patients were identified with 71 straight
laparoscopic, 57 hand-assisted, and 63 laparoscopic-assisted cases. Substantial
variability in choice of surgical technique was seen across surgeons. Patient
populations were similar, with the exception of hand-assisted procedures being
more often used in obese patients. Unadjusted average postoperative days to
discharge were 3.6 days for straight laparoscopic and 4.1 and 4.0 days for hand
assisted and laparoscopic-assisted approaches, respectively. While controlling
for factors associated with longer hospital stay, the straight laparoscopic
approach was associated with a 14 % shorter stay compared to laparoscopic
assisted colectomy and a 15 % shorter stay compared to hand-assisted colectomy.
The straight laparoscopic approach was also associated with earlier return of
bowel function compared to other approaches. CONCLUSIONS: The straight
laparoscopic approach to sigmoid colectomy is associated with substantially
shorter postoperative stay and earlier return of bowel function when compared to
hand-assisted and laparoscopic-assisted techniques. When technically feasible,
the straight laparoscopic approach is preferred.
PMID- 26541738
TI - Dexmedetomidine versus morphine infusion following laparoscopic bariatric
surgery: effect on supplemental narcotic requirement during the first 24 h.
AB - INTRODUCTION: The primary aim of this pilot study was to determine whether the
dexmedetomidine infusion initiated immediately after laparoscopic bariatric
surgery, offers an advantage over a morphine infusion with respect to rescue
morphine and paracetamol requirements over the first 24 post-operative hours.
METHODS: Sixty morbidly obese adult patients scheduled for laparoscopic bariatric
surgery were randomly assigned to receive an infusion of either 0.3 mcg/kg/h
dexmedetomidine (Group D) or 3 mg/h Morphine (Group M) for 24 h immediately post
operatively. All patients received standardized general anesthesia and were
evaluated and treated for pain in the intensive care unit by providers who were
blinded to their treatment group. The primary outcome was the need for
supplemental, "rescue" paracetamol (Dolargan. Hikma, Jordan) and morphine
titrated to achieve visual analog scales (VAS) of <40 and <70, respectively.
RESULTS: A total of 60 patients (77 % female, mean age 33.5 years +/- 9.5 and
body mass index (BMI) 43.0 +/- 4.5) were randomized to Group M and 30 to Group D.
There were no significant differences in mean rescue paracetamol and morphine
requirements. Mean total morphine requirements in Group D were 6.1 +/- 3.1 mg,
whereas 72.9 +/- 2.2 mg in Group M (p < 0.0001). CONCLUSIONS: An intravenous
infusion of dexmedetomidine, initiated and continued for 24 h following
laparoscopic bariatric surgery, can decrease the overall morphine requirements
during this period. This pilot study demonstrated that the post-operative
initiation of dexmedetomidine can be morphine sparing following laparoscopic
bariatric surgery.
PMID- 26541739
TI - Applicability of laparoscopic approach to the resection of large adrenal tumours:
a retrospective cohort study on 200 patients.
AB - BACKGROUND: Controversies exist in the best surgical approach (open vs.
laparoscopy) to large adrenal tumours without peri-operative evidence of primary
carcinoma, mainly due to possible capsular disruption of an unsuspected
malignancy. In addition, intra-operative blood loss, conversion rate, operative
time, and hospital stay may be increased with laparoscopy. THE AIMS OF OUR STUDY
WERE: (1) to compare clinical outcomes of laparoscopic adrenalectomy for large
versus small adrenal tumours and (2) to identify risk factors associated with
increased operative time and hospital stay in laparoscopic adrenalectomy.
METHODS: This is a multicentre retrospective cohort study in a large patient
population (N = 200) who underwent laparoscopic adrenalectomy in 2004-2014 at
three Italian academic hospitals. Patients were divided into two cohorts
according to tumour size: "large" tumours were defined as >=5 cm (N = 50) and
"small" tumours as <5 cm (N = 150). Further analysis adopting a >=8 cm (N = 15)
cut-off size was performed. RESULTS: The study groups were comparable in age and
gender distribution as well as their tumour characteristics. The operative time
(p = 0.671), conversion rate (p = 0.488), intra- (p = 0.876) and post-operative
(p = 0.639) complications, and hospital stay (p = 0.229) were similar between
groups. With a cut-off size >=5 cm, the early study period (2004-2009), which
included operators' learning curve, was associated with increased risk of longer
operative time (HR 0.57; 95 % CI 0.40-0.82), while American Society of
Anaesthesiology score >=3 was associated with prolonged hospital stay (HR 0.67;
95 % CI 0.47-0.97). Tumour size >=8 cm was associated with prolonged operative
time (HR 0.47; 95 % CI 0.24-0.94). CONCLUSIONS: Surgeons skilled in advanced
laparoscopy and adrenal surgery can perform laparoscopic adrenalectomy safely in
patients with >=5-cm tumours with no increase in hospital stay, or conversion
rate, although operative time may be increased for >=8-cm tumours. Surgeon'
experience, size >=8 cm, and patient comorbidities have the largest impact on
operative time and length of hospital stay in laparoscopic large adrenal tumour
resection.
PMID- 26541740
TI - Multi-institutional outcomes using magnetic sphincter augmentation versus Nissen
fundoplication for chronic gastroesophageal reflux disease.
AB - BACKGROUND: Magnetic sphincter augmentation (MSA) has emerged as an alternative
surgical treatment of gastroesophageal reflux disease (GERD). The safety and
efficacy of MSA has been previously demonstrated, although adequate comparison to
Nissen fundoplication (NF) is lacking, and required to validate the role of MSA
in GERD management. METHODS: A multi-institutional retrospective cohort study of
patients with GERD undergoing either MSA or NF. Comparisons were made at 1 year
for the overall group and for a propensity-matched group. RESULTS: A total of 415
patients (201 MSA and 214 NF) underwent surgery. The groups were similar in age,
gender, and GERD-HRQL scores but significantly different in preoperative obesity
(32 vs. 40 %), dysphagia (27 vs. 39 %), DeMeester scores (34 vs. 39), presence of
microscopic Barrett's (18 vs. 31 %) and hiatal hernia (55 vs. 69 %). At a minimum
of 1-year follow-up, 354 patients (169 MSA and 185 NF) had significant
improvement in GERD-HRQL scores (pre to post: 21-3 and 19-4). MSA patients had
greater ability to belch (96 vs. 69 %) and vomit (95 vs. 43 %) with less gas
bloat (47 vs. 59 %). Propensity-matched cases showed similar GERD-HRQL scores and
the differences in ability to belch or vomit, and gas bloat persisted in favor of
MSA. Mild dysphagia was higher for MSA (44 vs. 32 %). Resumption of daily PPIs
was higher for MSA (24 vs. 12, p = 0.02) with similar patient-reported
satisfaction rates. CONCLUSIONS: MSA for uncomplicated GERD achieves similar
improvements in quality of life and symptomatic relief, with fewer side effects,
but lower PPI elimination rates when compared to propensity-matched NF cases. In
appropriate candidates, MSA is a valid alternative surgical treatment for GERD
management.
PMID- 26541741
TI - A novel approach of optical biopsy using probe-based confocal laser
endomicroscopy for peritoneal metastasis.
AB - BACKGROUND: In digestive cancers, it is mandatory to diagnose peritoneal
metastasis prior to selecting therapy. Therefore, exploratory laparoscopy has
gained wider clinical acceptance. In laparoscopy, the peritoneal metastasis is
pathologically confirmed by excisional biopsy; however, there remain technical
difficulties in performing precise diagnosis and adequate biopsy on small
peritoneal lesions without damaging organs. We have focused on "optical biopsy"
using probe-based confocal laser endomicroscopy (pCLE). The aims of this study
were (1) to optimize current CLE system for real-time observation of peritoneal
metastases and (2) to assess its potential usefulness as diagnostic modality in
preclinical settings. METHODS: To optimize condition and evaluate feasibility, we
prepared peritoneal metastasis mice model with gastric cancer cell line (MKN-45).
On Day 10 after seeding, the mice were laparotomized and performed pCLE
observations with CellvizioLAB (LSU-F 400/488 nm, Mauna Kea Technologies, Paris,
France). We evaluated two different CLE probes, three different dyes, and optimal
interval time. The detected sites were excised and pathologically evaluated on
its morphology. Next, the feasibility and safety were validated in porcine model
for clinical usage. After injection of fluorescein, pCLE was applied for the
observation of intra-abdominal organs. RESULT: A miniature probe-type pCLE system
with 60 MUm focal depth (UltraMini O) and 1 % fluorescein dye was chosen for good
visualization in mice model. The irregular microarchitecture images suspected to
malignancy were obtained from the metastases. In the porcine model, observation
of abdominal organs was feasible without any organ injury in the laparoscopic
procedures. The dosage of 1 % fluorescein (3 ml/body) was appropriate in
observing intra-abdominal organs, and each intra-abdominal organ was clearly
observed with the same imaging quality we obtained in mice model. CONCLUSION: The
pCLE was feasible and safe and potentially useful for the diagnosis of the
peritoneal metastasis in in vivo animal models.
PMID- 26541742
TI - Lateral approach liver hanging maneuver in laparoscopic anatomical liver
resections.
AB - BACKGROUND: The liver hanging maneuver is a novel technique that is widely used
in open liver resection. The hanging technique has been rarely applied during
laparoscopic liver resection because a blind dissection between the anterior
surface of the inferior vena cava and the liver is required. Dissection between
the right and middle hepatic vein is necessary in right hepatectomy, as is
dissection between the middle and left hepatic vein in left hepatectomy. The aim
of this study was to introduce the lateral approach liver hanging maneuver in
laparoscopic anatomical liver resections. METHOD: For this technique, the upper
end of the hanging tape was placed on the lateral side of the right or left
hepatic vein and the lower end of the hanging tape between three Glisson's
pedicles. The pathway of the tape was situated along the lateral side of the
inferior vena cava in right-sided hepatectomy or the ligamentum venosum in left
sided hepatectomy. RESULTS: From February 2013 to October 2014, this technique
was performed in 35 patients. Of these patients, ten patients underwent a right
hepatectomy, 5 patients underwent a right posterior sectionectomy, 12 patients
underwent a left hepatectomy, and 8 patients underwent a left lateral
sectionectomy. The median operative time was 240 min (range 90-390 min), and
median blood loss was 350 ml (range 60-700 ml). Blood transfusion was required in
six patients (17.1 %). In two patients (5.7 %), postoperative complications were
observed due to intra-abdominal fluid collection and pneumonia, respectively. No
postoperative mortality was encountered. CONCLUSION: The lateral approach liver
hanging maneuver is a simple, safe, and reproducible approach as dissection of
the anterior surface of the inferior vena cava and between the three major
hepatic veins is not required. This technique may be useful in laparoscopic
anatomical liver resections.
PMID- 26541743
TI - Total laparoscopic resection for advanced gastric cancer is safe and feasible in
the Western population.
AB - BACKGROUND: There is debate surrounding the use of laparoscopic resection for
advanced gastric cancer in the Western population. Here we aim to assess the
feasibility and short-term outcomes of laparoscopic gastrectomy in consecutive
patients in a Western population. METHODS: From 2012 to 2014, retrospective
review of 28 patients with clinically staged advanced gastric cancer (>=T3 or
>=N1) treated with laparoscopic resection. RESULTS: Sixty-one percentage of
patients were male. Median age was 67 years (range 35-86). Median BMI was 26.5
(range 19.4-46.1). Resection types were proximal (n = 2), distal (n = 14), and
total (n = 12). Twenty-six (93 %) patients underwent D2 lymphadenectomy. Four
patients underwent conversion to open. Median blood loss was 125 mL (range 30
300). Median LOS was 7 days (range 4-16). Of postoperative complications, five
were minor: arrhythmia (n = 1), surgical site infection (n = 3), in-hospital fall
(n = 1); and four were major (intra-abdominal abscess, stricture, PE, and
anastomotic bleed). T stages were Tx (n = 1), T2 (n = 3), T3 (n = 18), and T4 (n
= 6). N stages were N0 (n = 4), N1 (n = 8), N2 (n = 1), and N3 (n = 15). Median
tumor size was 5.8 cm (range 0-9.5). Median lymph node yield was 22 (range 6-53).
All margins were negative. Median follow-up was 12.8 months (range 2-27). Six
patients have died of progressive disease. CONCLUSION: Following total
laparoscopic resection for advanced gastric cancer, oncologic endpoints,
postoperative course, and early cancer-specific follow-up are excellent. The
results demonstrated here support the routine use of these techniques in the
Western patient population.
PMID- 26541746
TI - Erratum.
PMID- 26541747
TI - Regenerative potential of human adipose-derived stromal cells of various origins.
AB - In regenerative concepts, the potential of adult stem cells holds great promise
concerning an individualized therapeutic approach. These cells provide renewable
progenitor cells to replace aged tissue, and play a significant role in tissue
repair and regeneration. In this investigation, the characteristics of different
types of adipose tissue are analysed systematically with special attention to
their proliferation and differentiation potential concerning the angiogenic and
osteogenic lineage. Tissue samples from subcutaneous, visceral, and omental fat
were processed according to standard procedures. The cells were characterized and
cultivated under suitable conditions for osteogenic and angiogenic cell culture.
The development of the different cell cultures as well as their differentiation
were analysed morphologically and immunohistochemically from cell passages P1 to
P12. Harvesting and isolation of multipotent cells from all three tissue types
could be performed reproducibly. The cultivation of these cells under osteogenic
conditions led to a morphological and immunohistochemical differentiation;
mineralization could be detected. The most stable results were observed for the
cells of subcutaneous origin. An osteogenic differentiation from adipose-derived
cells from all analysed fatty tissues can be achieved easily and reproducibly. In
therapeutic concepts including angiogenic regeneration, adipose-derived cells
from subcutaneous tissue provide the optimal cellular base.
PMID- 26541748
TI - Analysis of the 50 most cited papers in craniofacial surgery.
AB - The intent of this study is to discuss the most prominent literature in
craniofacial surgery. To do so, using the ISI Web of Science, a ranking by
average number of citations per year of the top 50 craniofacial surgery articles
was compiled. All plastic surgery journals listed in the "Surgery" category in
the ISI Web of Knowledge Journal Citation Reports 2013 Science Edition were
considered. Journal of publication, country of origin, collaborating
institutions, topic of interest, and level of evidence were analyzed. The total
number of citations ranged from 47 to 1017. Average number of citations per year
ranged from 46.2 to 8.6. The oldest article in the top 50 was published in 1988
and the most recent in 2009. The majority of the articles came from Plastic and
Reconstructive Surgery with 28 of the 50. The majority of the articles,
originated from the United States (56%). Reconstruction of acquired defects was
the most commonly examined topic at 46.2%; followed by articles discussing
reconstruction of congenital defects (23.1%). The most common level of evidence
was level 3. This extensive examination of the craniofacial literature highlights
the important part that craniofacial surgery takes in the field of plastic
surgery.
PMID- 26541749
TI - A modified nasoalveolar molding technique for correction of unilateral cleft nose
deformity.
AB - INTRODUCTION: Traditional Figueroa nasoalveolar molding (NAM) requires weekly or
biweekly adjustments to remove acrylic from the palatal plate to narrow the
alveolar gap. These frequent adjustments create a burden for patients living far
from a hospital. To minimize this burden, we developed a modified NAM technique
using simplified lip taping and a passive palatal plate. Herein we present our
clinical experience and outcomes using the traditional and modified methods.
MATERIAL AND METHODS: In this blinded, retrospective study of 66 patients with
complete unilateral cleft lip and palate, 33 received the traditional NAM and 33
received the modified NAM. Pretreatment and posttreatment facial photographs and
clinical charts were used to compare efficacy (nostril height ratio, nostril
width ratio, columellar angle, nasal base angle), efficiency (molding frequency),
incidence of complications (facial irritation, mucosal ulceration), and medical
cost. RESULTS: Traditional and modified NAM did not differ in treatment efficacy
for nostril height ratio (0.88 +/- 0.14 vs. 0.90 +/- 0.12), nostril width ratio
(2.22 +/- 0.39 vs. 2.38 +/- 0.50), columellar angle (73.5 +/- 9.1 degrees vs.
71.3 +/- 11.8 degrees), nasal base angle (5.1 +/- 2.4 degrees vs. 5.9 +/- 2.7
degrees), or alveolar gap width (2.0 +/- 2.0 mm vs. 2.0 +/- 1.7 mm) (all p >
0.05). Traditional NAM was less efficient, i.e., required more adjustments (8.6
+/- 2.0 vs. 6.7 +/- 1.1), and cost more (22016.4 +/- 2012.7 New Taiwan dollars
vs. 20137.6 +/- 1173.4 New Taiwan dollars) (both p < 0.001). CONCLUSIONS: Both
NAM techniques similarly improved nasal deformities and reduced alveolar gaps,
but the modified technique was more efficient and cost less in terms of insurance
reimbursement and supplies (labial tapes).
PMID- 26541750
TI - Protein cysteine S-nitrosylation inhibits vesicular uptake of neurotransmitters.
AB - Previous studies have shown that nitric oxide can induce cysteine S-nitrosylation
of total protein in synaptosomes, suggesting that nitric oxide may contribute to
the regulation of synaptic protein function. Vesicular neurotransmitter
transporters pack neurotransmitters into synaptic vesicles and play an important
role in neurotransmission. In the central nervous system, vesicular monoamine
transporter 2 (VMAT2) is responsible for the uptake of monoamines, vesicular
acetylcholine transporter (VAChT) is responsible for the uptake of acetylcholine,
while vesicular glutamate transporters 1 and 2 (VGLUT1 and VGLUT2) are
responsible for the uptake of glutamate. The purpose of this study was to
investigate the role of cysteine S-nitrosylation in the regulation of these
vesicular neurotransmitter transporters. Using the biotin switch assay followed
by avidin precipitation and immunoblotting we found that the nitric oxide donor
nitrosoglutathione (GSNO) not only increased total cysteine S-nitrosylation, but
also increased cysteine S-nitrosylation of VMAT2, VAChT, VGLUT1 and VGLUT2 in the
mouse brain. Further, GSNO also decreased the vesicular uptake of [(3)H]dopamine,
[(3)H]acetylcholine and [(3)H]glutamate. Our studies suggest that the cysteine S
nitrosylation may play an important role in the regulation of vesicular
neurotransmitter transport.
PMID- 26541751
TI - Biobanking of fresh-frozen endoscopic biopsy specimens from esophageal
adenocarcinoma.
AB - The process of preparing endoscopic esophageal adenocarcinoma samples for next
generation DNA/RNA sequencing is poorly described. Therefore, we assessed the
feasibility and pitfalls of preparing esophageal adenocarcinoma endoscopic
biopsies toward DNA/RNA samples suitable for next-generation sequencing. In this
prospective study, four tumor biopsy samples were collected from consecutive
esophageal cancer patients during esophagogastroduodenoscopy and fresh-frozen in
liquid nitrogen. DNA and RNA were isolated from samples with a tumor percentage
of at least 50%. For next-generation sequencing, double-stranded DNA (dsDNA) is
required and high-quality RNA preferred. The quantity dsDNA and RNA quantity and
quality were assessed with the Nanodrop 2000 spectrophotometer (Thermo Fisher
Scientific, Waltham, MA, USA) and Agilent 2100 Bioanalyzer (Agilent, Santa Clara,
CA, USA). Biopsy samples of 69 consecutive patients with esophageal
adenocarcinoma were included. In five patients (7%), the tumor percentage was
less than 50% in all four biopsies. Using a protocol allowing simultaneous DNA
and RNA isolation, the median dsDNA yield was 2.4 MUg (range 0.1-12.0 MUg) and
the median RNA yield was 0.5 MUg (range 0.01-2.05 MUg). The median RNA integrity
number of samples that were fresh-frozen within 30 minutes after sampling was 6.7
(range 4.2-8.9) compared with 2.5 (1.8-4.5) for samples that were fresh-frozen
after 2 hours. The results from this study show that obtaining dsDNA and RNA for
next-generation sequencing from endoscopic esophageal adenocarcinoma samples is
feasible. Tumor percentage and dsDNA/RNA yield and quality emphasize the need for
sampling multiple biopsies and minimizing the delay before fresh-freezing.
PMID- 26541745
TI - Hyaluronan as a therapeutic target in human diseases.
AB - Accumulation and turnover of extracellular matrix is a hallmark of tissue injury,
repair and remodeling in human diseases. Hyaluronan is a major component of the
extracellular matrix and plays an important role in regulating tissue injury and
repair, and controlling disease outcomes. The function of hyaluronan depends on
its size, location, and interactions with binding partners. While fragmented
hyaluronan stimulates the expression of an array of genes by a variety of cell
types regulating inflammatory responses and tissue repair, cell surface
hyaluronan provides protection against tissue damage from the environment and
promotes regeneration and repair. The interactions of hyaluronan and its binding
proteins participate in the pathogenesis of many human diseases. Thus, targeting
hyaluronan and its interactions with cells and proteins may provide new
approaches to developing therapeutics for inflammatory and fibrosing diseases.
This review focuses on the role of hyaluronan in biological and pathological
processes, and as a potential therapeutic target in human diseases.
PMID- 26541752
TI - Modelling body mass index and endometrial cancer risk in a pooled-analysis of
three case-control studies.
AB - OBJECTIVE: To quantify the relation between body mass index (BMI) and endometrial
cancer risk, and to describe the shape of such a relation. DESIGN: Pooled
analysis of three hospital-based case-control studies. SETTING: Italy and
Switzerland. POPULATION: A total of 1449 women with endometrial cancer and 3811
controls. METHODS: Multivariate odds ratios (OR) and 95% confidence intervals
(95% CI) were obtained from logistic regression models. The shape of the relation
was determined using a class of flexible regression models. MAIN OUTCOME MEASURE:
The relation of BMI with endometrial cancer. RESULTS: Compared with women with
BMI 18.5 to <25 kg/m(2) , the odds ratio was 5.73 (95% CI 4.28-7.68) for women
with a BMI >=35 kg/m(2) . The odds ratios were 1.10 (95% CI 1.09-1.12) and 1.63
(95% CI 1.52-1.75) respectively for an increment of BMI of 1 and 5 units. The
relation was stronger in never-users of oral contraceptives (OR 3.35, 95% CI 2.78
4.03, for BMI >=30 versus <25 kg/m(2) ) than in users (OR 1.22, 95% CI 0.56
2.67), and in women with diabetes (OR 8.10, 95% CI 4.10-16.01, for BMI >=30
versus <25 kg/m(2) ) than in those without diabetes (OR 2.95, 95% CI 2.44-3.56).
The relation was best fitted by a cubic model, although after the exclusion of
the 5% upper and lower tails, it was best fitted by a linear model. CONCLUSIONS:
The results of this study confirm a role of elevated BMI in the aetiology of
endometrial cancer and suggest that the risk in obese women increases in a cubic
nonlinear fashion. The relation was stronger in never-users of oral
contraceptives and in women with diabetes.
PMID- 26541753
TI - Celiac disease: role of intestinal compartments in the mucosal immune response.
AB - Different approaches have been used to study the pattern of cytokines in celiac
disease (CD). Laser capture microdissection (LCM) is a powerful tool for the
isolation of specific tissue compartments. We aimed to investigate the mucosal
immune response that takes place in different intestinal compartments of CD
patients, dissected by LCM, analyzing cytokine expression profile. Frozen section
of jejunum was obtained from 15 untreated CD and 15 control. Surface epithelium
and lamina propria compartment were isolated by LCM. RNA from each LCM sample was
extracted and, after a retrotranscription step, messenger RNA levels for MxA, IL
15, TNF-alpha, IFN-gamma, IL-17alpha, IL-21, IL-10, and TGF-beta were determined
by quantitative reverse transcriptase-PCR. Increased gene expression levels of
MxA, IL-15, TNF-alpha, IL-10, and TGF-beta was observed in the surface epithelium
of untreated CD with respect to control. Furthermore, all the cytokines
investigated were upregulated in the lamina propria of untreated CD as compared
to control. Within the untreated CD group the expression of IL-15 was higher, in
the surface epithelium than in the lamina propria, whereas the expression levels
of IL-17 and IL-21 were higher in the lamina propria than in the surface
epithelium. Finally, high levels of IL-10 and TGF-beta were detected in both
compartments of untreated CD biopsies. In CD, surface epithelium and lamina
propria compartments, play a prominent role in determining innate and adaptive
immunity, respectively. Conversely, surface epithelium and lamina propria produce
high levels of anti-inflammatory cytokines, suggesting that both compartments are
involved in the immunoregulatory response.
PMID- 26541754
TI - Protective role of carbon dioxide (CO2) in generation of reactive oxygen species.
AB - The results testify to the fact that CO2 is a powerful inhibitor of reactive
oxygen species (ROS) generation by cells (blood phagocytes and alveolar
macrophages of 96 people and cells of inner organs and tissue phagocytes (of
liver, brain, myocardium, lungs, kidneys, stomach, and skeleton muscles), as well
as by mitochondria of the liver of 186 white mice and human tissues. Generation
of ROS was determined using various methods with CO2 directly acting on the cells
and bioptates and indirectly on the organism as a whole. CO2 in the concentration
of 5.1% (P = 37.5 mmHg), 8.2% (P = 60.0 mmHg), and 20% (P = 146.0 mmHg) in a
mixture with air (total pressure = 730 mmHg) inhibits the basal ROS generation by
phagocytes on the average by 3.52, 5.69, and 10.03 times, respectively (p <
0.05), and the stimulated by corpuscular particles: (a) zymosan by 3.24, 4.43,
and 7.95 times; (b)SiO2: by 2.99, 3.24, and 5.76 times (p < 0.05). This is
confirmed by the feet that CO2, along with inhibiting the O2 (-) generation by
cells of the various organs, including the liver, as a rule, by 2.19-4.7 times, p
< 0.01 or <0.001 induces simultaneously a decrease in the O2 (-) generation by
mitochondria isolated from the liver (by 1.91-3.2 times, p < 0.001). The
mechanism of CO2 influence is realized, in part, by inhibition of NADPH-oxidase
activity. Taken into consideration proven role of CO2 in different
pathophysiological conditions, (such as endoarteritis, bronchial asthma, and
infectious diseases), present findings may be of clinical interest in terms of
potential implementation of CO2 donors as adjuvant therapeutics in these
diseases.
PMID- 26541755
TI - GalNAc-T4 putatively modulates the estrogen regulatory network through FOXA1
glycosylation in human breast cancer cells.
AB - GALNT4 belongs to a family of N-acetylgalactosaminyltransferases, which catalyze
the transfer of GalNAc to Serine or Threonine residues in the initial step of
mucin-type O-linked protein glycosylation. This glycosylation type is the most
complex post-translational modification of proteins, playing important roles
during cellular differentiation and in pathological disorders. Most of the breast
cancer subtypes are estrogen receptor positive, and hence, the estrogen pathway
represents a key regulatory network. We investigated the expression of GalNAc-T4
in a panel of mammary epithelial cell lines and found its expression is
associated with the estrogen status of the cells. FOXA1, a key transcription
factor, functions to promote estrogen responsive gene expression by acting as a
cofactor to estrogen receptor alpha (ERalpha), but all the aspects of this
regulatory mechanism are not fully explored. This study found that knockdown of
GALNT4 expression in human breast cancer cells attenuated the protein expression
of ERalpha, FOXA1, and Cyclin D1. Further, our immunoprecipitation assays
depicted the possibility of FOXA1 to undergo O-GalNAc modifications with a
decrease of GalNAc residues in the GALNT4 knockdown cells and also impairment in
the FOXA1-ERalpha association. Rescuing GALNT4 expression could restore the
interaction as well as the glycosylation of FOXA1. Together, these findings
suggest a key role for GalNAc-T4 in the estrogen pathway through FOXA1
glycosylation.
PMID- 26541756
TI - Mir-351-5p contributes to the establishment of a pro-inflammatory environment in
the H9c2 cell line by repressing PTEN expression.
AB - The activated renin-angiotensin-aldosterone system modulates several metabolic
pathways that contribute to left ventricular hypertrophy and heart failure. In
this metabolic system, angiotensin II modulates heart morphophysiological changes
triggered by a series of inflammatory and pro-inflammatory responses; however,
the fine tuning associated with the control of this biochemical pathway remains
unknown. Here, we investigated elements involved in the post-transcriptional
regulation of the pro-inflammatory environment in the H9c2 cardiac cell line,
focusing on miRNA elements that modulate PTEN expression. A cellular model of
investigation was established and the miR-315-5p was identified as a novel
element targeting PTEN in this cardiac cell line, thereby controlling the protein
level. This interconnected pathway contributes to the control of the pro
inflammatory environment in Ang II-treated cells.
PMID- 26541757
TI - Epidemiology of Hirschsprung's Disease in Taiwanese Children: A 13-year
Nationwide Population-based Study.
AB - BACKGROUND: Hirschsprung's disease (HD) is an important colon disease in
children. The aim of this study is to describe the epidemiological features of HD
in Taiwanese children. METHODS: We conducted a study from the Taiwan National
Health Insurance Research Database and analyzed cases who received surgical
intervention between 1998 and 2010 due to HD (International Classification of
Diseases, 9(th) Revision, Clinical Modification 751.3) or megacolon
(International Classification of Diseases, 9(th) Revision, Clinical Modification
564.7). The incidence, sex ratio, age at the surgical intervention, associated
complication, and medical expenditures were analyzed. RESULTS: There were a total
of 629 HD cases, including 458 boys and 171 girls, with an overall incidence of
2.2 per 10,000 live births. The male-to-female incidence ratio was 2.38. There
was no secular trend of incidence across the years. Seventy-two percent of cases
received surgical treatment before the age of 1 year. The younger cases had
higher operation-related medical expenditures. Those patients with preoperative
enterocolitis (EC) had a higher possibility of postoperative EC than those
patients without preoperative EC (34.6% vs. 24.3%, p = 0.013). There were 169
(26.9%) HD cases with additional anomalies, the most common being
gastrointestinal and circulatory system anomalies. Of these, 12 (1.9%) cases were
Down syndrome. CONCLUSION: The incidence of HD in Taiwanese children, a majority
Chinese population, was one per 4545 live births with a male predominance.
Preoperative EC was a significant factor that was associated with postoperative
EC. The percentage associated with Down syndrome was relatively low, probably due
to a prenatal screening program.
PMID- 26541759
TI - RETRACTED ARTICLE: The expression of mitochondrial complexes I and III correlates
with prognosis or diagnosis in retinoblastoma: immunohistochemical and
histopathological parameters.
PMID- 26541758
TI - IL-22: a promising candidate to inhibit viral-induced liver disease progression
and hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) is a growing concern all over the world. With the
number of patients rising exponentially with each passing day, HCC is a problem
that needs immediate attention. Currently, available treatment strategies focus
on controlling the damage after the development of HCC. The options available
from chemo- and radio-embolization to surgical resection and transplantation are
not efficacious as required due to the complex nature of the disease. Liver
regeneration and tissue healing are the subject of great interest today.
Interleukin-22 (IL-22) is a cytokine with the ability to regenerate and therefore
reverse the injuries caused by a wide range of agents. IL-22 acts via STAT
molecule and controls the activity of a wide variety of cell survival and
proliferation genes. Experimental data has given a positive insight into the role
of IL-22 in inhibition of viral and alcohol-induced hepatocellular carcinoma. A
further insight into the nature of IL-22 and the factors that can be manipulated
in controlling the activity of IL-22 can help to counter the menace caused by the
devastating effects of HCC.
PMID- 26541760
TI - The increase of circulating PD-L1-expressing CD68(+) macrophage in ovarian
cancer.
AB - Tumor-associated macrophages (TAMs) have been characterized as a critical
population of immunosuppressive cells in a variety of tumor types. PD-L1 (also
termed B7-H1) has been described to exert co-inhibitory and immune regulatory
functions. Here, in ovarian cancer, PD-L1 is selectively overexpressed on some
TAM compared that of benign ovarian disease. When expanding the data in
peripheral blood, the proportion of PD-L1(+)CD68(+) cell among CD68(+) cells and
the intensity of PD-L1 staining on CD68(+) cell in healthy group were similar to
that observed in ovarian cyst group; instead, these two measures were
significantly higher in ovarian cancer group, thereafter related to TNM stage.
Interestingly, intracellular levels of IL-10, IL-6, TNF-alpha, and IFN-gamma in
PD-L1(+)CD68(+) macrophage were higher than those in PD-L1(-)CD68(+) macrophage,
especially IL-6 expression. Based on the PD-L1 receptor PD-1 expression on tumor
infiltrating cytotoxic cells, our data supported that expression of PD-L1 on TAM
promoted apoptosis of T cells via interaction with PD-1 on CD8(+)T cells. Taken
together, these results suggested that PD-L1-expressing macrophage represents a
novel suppressor cell population in ovarian cancer, which contributes immune
escape of ovarian cancer.
PMID- 26541761
TI - Ambulatory blood pressure monitoring in daily clinical practice - the Spanish
ABPM Registry experience.
AB - Many patients are hypertensive at the medical settings but show normal blood
pressure out of the doctor's office, and are classified as white-coat
hypertensives. On the other hand, many patients with controlled hypertension at
the clinic show ambulatory blood pressure levels above the thresholds considered
for an adequate blood pressure control, known as having masked hypertension.
Using data from the Spanish Ambulatory Blood Pressure Monitoring Registry
(Spanish ABPM Registry), a national program developed to promote the use of the
ambulatory technique for hypertension management in daily practice, we have
reviewed the main strengths of this approach, that is the ability to detect
discrepancies of blood pressure status with respect to office blood pressure
measurement, and to better assess accurate rates of hypertension control. White
coat hypertension within patients with elevated office blood pressure, and masked
hypertension within office-controlled patients affected one of three patients in
each office status. On the other hand, rates of ambulatory blood pressure control
(50%) doubled those of office blood pressure control (25%), still remaining half
the patients uncontrolled. We think that a systematic use of ambulatory blood
pressure monitoring, and strategies to improve blood pressure control constitute
key priorities in hypertension management.
PMID- 26541762
TI - Staged laryngeal reconstruction with a prefabricated flap for radiation recurrent
glottic carcinoma.
AB - OBJECTIVES/HYPOTHESIS: Although salvage total laryngectomy remains the definitive
approach to recurrent/persistent glottic cancer following failed radiation
therapy for favorable early-stage disease, it comes at the price of a permanent
laryngostome and an impact on quality of life. We describe a three-stage method
of laryngeal reconstruction for salvage partial laryngectomy to address the
unique challenges of operating on radiation recurrent/persistent cancer. STUDY
DESIGN: This was a single-surgeon retrospective case series of patients who
underwent a three-stage laryngeal reconstruction for salvage partial
laryngectomy. METHODS: We performed a comprehensive review of the clinical,
pathologic, and radiologic files of all patients who underwent a three-stage
laryngeal reconstruction for salvage partial laryngectomy. RESULTS: Seven male
patients underwent a three-stage laryngeal reconstruction following open partial
salvage laryngectomy. The average follow-up time since salvage surgery was 55
months. All patients were without evidence of recurrence and demonstrated
satisfactory functional outcomes. CONCLUSION: Staged reconstruction provides a
more controlled assessment of wound healing and valuable pathologic information
regarding the specific disease virulence and adequacy of the margins. LEVEL OF
EVIDENCE: 4. Laryngoscope, 126:1061-1070, 2016.
PMID- 26541763
TI - Evogliptin: First Global Approval.
AB - Evogliptin (Suganon) is an orally bioavailable, selective dipeptidyl peptidase-4
(DPP-4; CD26 antigen) inhibitor being developed by Dong-A ST for the treatment of
type 2 diabetes mellitus. DPP-4 inhibitors control glucose levels by preventing
the breakdown of the incretin hormones glucose-dependent insulinotropic
polypeptide (GIP) and glucagon-like peptide-1 (GLP-1), which stimulate insulin
secretion in response to the increased levels of glucose in the period following
meals. In October 2015, evogliptin received its first global approval in South
Korea for blood glucose control in patients with type 2 diabetes mellitus. This
article summarizes the milestones in the development of evogliptin leading to
this first approval for type 2 diabetes mellitus.
PMID- 26541764
TI - Albumin-Bound Paclitaxel: A Review in Non-Small Cell Lung Cancer.
AB - Nanoparticle albumin-bound paclitaxel (Abraxane) [hereafter referred to as nab
paclitaxel] is a taxane developed to avoid some of the toxicities associated with
solvent-bound (sb) paclitaxel. Nab-paclitaxel, in combination with carboplatin,
is indicated for the first-line treatment of non-small cell lung cancer (NSCLC)
in patients who are not candidates for curative surgery and/or radiation therapy.
This article summarizes pharmacological, efficacy and tolerability data relevant
to the use of nab-paclitaxel in this indication. Compared with sb-paclitaxel plus
carboplatin, nab-paclitaxel plus carboplatin significantly improved the objective
response rate (ORR), but did not prolong progression-free survival or overall
survival (OS), in the overall population of patients with advanced NSCLC in a
multinational phase III trial. The nab-paclitaxel regimen also provided benefit
over the sb-paclitaxel regimen in certain patient subgroups, including patients
with squamous cell histology (in terms of ORR) and patients who were elderly (in
terms of OS). Nab-paclitaxel plus carboplatin had a manageable tolerability
profile with some benefits over sb-paclitaxel plus carboplatin, including lower
rates of grade >=3 neutropenia, peripheral neuropathy, arthralgia and myalgia,
although was associated with more grade >=3 anaemia and thrombocytopenia. Given
its efficacy and tolerability, intravenous nab-paclitaxel plus carboplatin is a
valuable first-line treatment option for patients with advanced NSCLC.
PMID- 26541765
TI - Sub-wavelength Laser Nanopatterning using Droplet Lenses.
AB - When a drop of liquid falls onto a screen, e.g. a cell phone, the pixels lying
underneath appear magnified. This lensing effect is a combination of the
curvature and refractive index of the liquid droplet. Here, the spontaneous
formation of such lenses is exploited to overcome the diffraction limit of a
conventional laser direct-writing system. In particular, micro-droplets are first
laser-printed at user-defined locations on a surface and they are later used as
lenses to focus the same laser beam. Under conditions described herein,
nanopatterns can be obtained with a reduction in spot size primarily limited by
the refractive index of the liquid. This all-optics approach is demonstrated by
writing arbitrary patterns with a feature size around 280 nm, about one fourth of
the processing wavelength.
PMID- 26541766
TI - Robotic gastrectomy for elderly gastric cancer patients: comparisons with robotic
gastrectomy in younger patients and laparoscopic gastrectomy in the elderly.
AB - BACKGROUND: Robotic surgery for gastric cancer has been adopted to overcome
technical difficulties in performing laparoscopic gastrectomy. This study aimed
to investigate the feasibility and safety of robotic gastrectomy in elderly
gastric cancer patients. METHODS: Patients who underwent laparoscopic or robotic
gastrectomy from 2003 to 2010 in a single high-volume center were included in
this study. We retrospectively compared preoperative characteristics,
perioperative factors, and oncological parameters among an elderly (>=70 years
old) robotic gastrectomy group (n = 49), a younger (<70 years old) robotic
gastrectomy group (n = 321), and an elderly laparoscopic gastrectomy group (n =
132). RESULTS: The elderly robotic group presented with more comorbidities than
the younger robotic group. Except for number of retrieved lymph nodes (36.5 vs.
41.5; P = 0.007), short-term operative outcomes including complications and
pathological parameters were comparable between the two robotic groups. The
elderly robotic group showed comparable disease-specific survival to the younger
robotic group although overall survival was worse. Compared to their laparoscopic
counterparts, the elderly robotic group showed longer mean operation time (227
vs. 174 min). Nevertheless, the incidence and severity of postoperative
complications was not different between the two elderly groups. Overall and
disease-specific survival were also comparable between the elderly groups. In
multivariate analysis, age and surgical approach were not risk factors for
overall and major complications. CONCLUSIONS: The outcomes of robotic gastrectomy
in the elderly did not differ from those in younger robotic gastrectomy patients
and were comparable to those in elderly patients who underwent laparoscopic
gastrectomy. Thus, robotic gastrectomy could be a safe and feasible approach in
elderly patients.
PMID- 26541767
TI - Portomesenteric vein thrombosis after gastric surgery.
AB - BACKGROUND: Postoperative portomesenteric venous thrombosis (PMVT) is a rare but
potentially serious complication of gastric surgery. This study analyzed the
incidence, characteristics, risk factors, and outcomes of PMVT following gastric
surgery. METHODS: Medical records of patients who underwent gastric surgery
between January 2007 and December 2012 were reviewed retrospectively. The risk
factors of PMVT were analyzed by a logistic regression analysis with control
group matched 1:4 by age, sex, and cancer stage and by a Poisson regression
analysis with unmatched control group. The resolution rate of PMVT in 12 months
was compared between the treatment group and the nontreatment group. RESULTS: The
total incidence of PMVT after gastric surgery was 0.67 % (31/4611). Most (54.84
%) PMVT cases were detected within 1 month postoperatively. No accompanying deep
vein thrombosis (DVT) was noted. Multivariate comparison with 1:4 matched control
showed that combined splenectomy, synchronous malignancy, and intra-abdominal
complication were independent risk factors. Advanced stage, combined splenectomy,
and synchronous malignancy were independent risk factors in Poisson regression
analysis using unmatched controls. The resolution rate of PMVT was not different
from patients treated with anticoagulation (n = 6) or antiplatelet therapy (n =
1) and were not significantly different with those of the untreated group [85.7 %
(6/7) vs. 82.3 % (14/17), p = 0.935] during 1-year follow up. CONCLUSIONS: PMVT
after gastric surgery was associated with advanced cancer stage, combined
splenectomy, and synchronous malignancy, but it was not related to laparoscopy or
DVT. Significant differences in the natural course of PMVT were not found between
the treatment group and observation group.
PMID- 26541768
TI - Improved survival in resected oesophageal and gastric adenocarcinomas over a
decade: the Royal Marsden experience 2001-2010.
AB - BACKGROUND: Oesophageal and gastric adenocarcinoma (OGA) treatment remains
challenging. Improvements in early diagnosis, staging and management might have
contributed to survival prolongation. To examine this hypothesis, we assessed
outcomes of resected OGA patients in our institution over 10 years, comparing two
time periods, 2001-2005 and 2006-2010. METHODS: Records from patients who had
undergone surgery with radical intent and follow-up for OGA were retrospectively
reviewed. Patients followed up at hospitals other than the Royal Marsden Hospital
were excluded. Two different cohorts were identified: patients with oesophageal
and type I or type II oesophagogastric junction (OGJ) tumours, and patients with
gastric and type III OGJ tumours. RESULTS: We identified 360 patients: 147 from
2001-2005 and 213 from 2006-2010. The characteristics were comparable across the
two time periods. Between 2001-2005 and 2006-2010, the percentage of R0
resections increased (from 67.1 to 81.1 % for proximal tumours and from 76.3 to
95.9 % for gastric and type III OGJ tumours). The mean number of lymph nodes
retrieved increased over time. The 5-year overall survival rate increased
significantly from 42.3 to 56.6 % for proximal tumours and from 38.8 to 55.3 %
for gastric and type III OGJ tumours. Similarly, the disease-free survival rate
significantly increased from 34.6 to 53.5 % for proximal tumours and from 35.9 to
51.1 % for gastric and type III OGJ tumours. CONCLUSION: This study
comprehensively describes the improvement in survival outcomes in a major UK
referral centre over a 10-year period, identifying potentially relevant factors
such as increased number of R0 resections and higher lymph node yield.
PMID- 26541769
TI - Inflammation-induced oxidative stress in breast cancer patients.
AB - Inflammation induced by cytokines has been linked to increased production of
reactive oxygen species and breast cancer development. The aim of this study was
to evaluate the influence COX-2, IL-1beta, IL-8, and TNF-alpha gene expressions
on DNA damage, and investigate a possible link between these factors with
neoplastic process. The mRNA expression was measured by real-time PCR, and the
DNA damage was analyzed by single-cell gel electrophoresis (comet assay). Our
data indicated a significant increase on inflammatory gene expression in tumor
tissues compared with normal tissue, and it was also associated with
undifferentiated grade patients. Moreover, the results showed that the higher
levels of DNA damage were observed among tumor tissue samples. Taken together,
the findings presented in this study highlight the relevance of inflammation
induced oxidative stress in breast carcinogenesis.
PMID- 26541770
TI - High-throughput sexing of mouse blastocysts by real-time PCR using dissociation
curves.
PMID- 26541771
TI - Ln12 -Containing 60-Tungstogermanates: Synthesis, Structure, Luminescence, and
Magnetic Studies.
AB - A new class of hexameric Ln12 -containing 60-tungstogermanates, [Na(H2 O)6 ?Eu12
(OH)12 (H2 O)18 Ge2 (GeW10 O38 )6 ](39-) (Eu12 ), [Na(H2 O)6 ?Gd12 (OH)6 (H2 O)24
Ge(GeW10 O38 )6 ](37-) (Gd12 ), and [(H2 O)6 ?Dy12 (H2 O)24 (GeW10 O38 )6 ](36-)
(Dy12 ), comprising six di-Ln-embedded {beta(4,11)-GeW10 } subunits was prepared
by reaction of [alpha-GeW9 O34 ](10-) with Ln(III) ions in weakly acidic (pH 5)
aqueous medium. Depending on the size of the Ln(III) ion, the assemblies feature
selective capture of two (for Eu12 ), one (for Gd12 ), or zero (for Dy12 ) extra
Ge(IV) ions. The selective encapsulation of a cationic sodium hexaaqua complex
[Na(H2 O)6 ](+) was observed for Eu12 and Gd12 , whereas Dy12 incorporates a
neutral, distorted-octahedral (H2 O)6 cluster. The three compounds were
characterized by single-crystal XRD, ESI-MS, photoluminescence, and magnetic
studies. Dy12 was shown to be a single-molecule magnet.
PMID- 26541772
TI - Mutant neurogenin-3 in a Turkish boy with congenital malabsorptive diarrhea.
AB - Congenital diarrheal disorders are caused by disruption in nutrient digestion,
absorption, or transport, enterocyte development and functioning, or
enteroendocrine functioning. Many additional rare forms of congenital diarrhea
are expected to be linked to genes associated with appropriate intestinal fluid
and electrolyte balance. Neurogenin-3 mutation, a very rare form of congenital
diarrhea, disrupts enteroendocrine cell differentiation and is characterized by
malabsorption and the absence of pancreatic islet cells. Diabetes mellitus is
typically associated with malabsorptive diarrhea at early onset or at later
presentation in neurogenin-3 mutation. Here, we describe the case of an infant
with homozygous neurogenin-3 mutation who had severe malabsorptive diarrhea and
episodes of hyperchloremic metabolic acidosis after birth. Remarkably,
cholestyramine was effective at reducing stool volume and frequency and improved
the consistency of the stools; diabetes was not present in this patient.
PMID- 26541773
TI - Imaging Angiogenesis Using 99mTc-Macroaggregated Albumin Scintigraphy in Patients
with Peripheral Artery Disease.
AB - One problem of vascular angiogenesis therapy is the lack of reliable methods for
evaluating blood flow in the microcirculation. We aimed to assess whether (99m)Tc
macroaggregated albumin perfusion scintigraphy ((99m)Tc-MAA) predicts quantitated
blood flow after therapeutic angiogenesis in patients with peripheral artery
disease. METHODS: Forty-six patients with peripheral artery disease were treated
with bone marrow mononuclear cell implantation (BMCI). Before and 4 wk after
BMCI, blood flow was evaluated via transcutaneous oxygen tension (TcPO2), ankle
brachial index, intravenous (99m)Tc-tetrofosmin perfusion scintigraphy ((99m)Tc
TF), and intraaortic (99m)Tc-MAA. RESULTS: Four weeks after BMCI, TcPO2 improved
significantly (20.4 +/- 14.4 to 36.0 +/- 20.0 mm Hg, P < 0.01), but ankle
brachial index did not (0.65 +/- 0.30 to 0.76 +/- 0.24, P = 0.07). Improvement in
(99m)Tc-TF count (0.60 +/- 0.23 to 0.77 +/- 0.29 count ratio/pixel, P < 0.01) and
(99m)Tc-MAA count (5.21 +/- 3.56 to 10.33 +/- 7.18 count ratio/pixel, P = 0.02)
was observed in the foot region but not the lower limb region, using both
methods. When these data were normalized by subtracting the pixel count of the
untreated side, the improvements in (99m)Tc-TF count (-0.04 +/- 0.26 to 0.08 +/-
0.32 count ratio/pixel, P = 0.04) and (99m)Tc-MAA count (1.49 +/- 3.64 to 5.59 +/
4.84 count ratio/pixel, P = 0.03) in the foot remained significant. (99m)Tc-MAA
indicated that the newly developed arteries were approximately 25 MUm in
diameter. CONCLUSION: BMCI induced angiogenesis in the foot, which was detected
using (99m)Tc-TF and (99m)Tc-MAA. (99m)Tc-MAA is a useful method to quantitate
blood flow, estimate vascular size, and evaluate flow distribution after
therapeutic angiogenesis.
PMID- 26541774
TI - 18F-THK5351: A Novel PET Radiotracer for Imaging Neurofibrillary Pathology in
Alzheimer Disease.
AB - Imaging of neurofibrillary pathology in the brain helps in diagnosing dementia,
tracking disease progression, and evaluating the therapeutic efficacy of
antidementia drugs. The radiotracers used in this imaging must be highly
sensitive and specific for tau protein fibrils in the human brain. We developed a
novel tau PET tracer, (18)F-THK5351, through compound optimization of
arylquinoline derivatives. METHODS: The in vitro binding properties,
pharmacokinetics, and safety of (18)F-THK5351 were investigated, and a clinical
study on Alzheimer disease (AD) patients was performed. RESULTS: (18)F-THK5351
demonstrated higher binding affinity for hippocampal homogenates from AD brains
and faster dissociation from white-matter tissue than did (18)F-THK5117. The
THK5351 binding amount correlated with the amount of tau deposits in human brain
samples. Autoradiography of brain sections revealed that THK5351 bound to
neurofibrillary tangles selectively and with a higher signal-to-background ratio
than did THK5117. THK5351 exhibited favorable pharmacokinetics and no
defluorination in mice. In first-in-human PET studies in AD patients, (18)F
THK5351 demonstrated faster kinetics, higher contrast, and lower retention in
subcortical white matter than(18)F-THK5117. CONCLUSION: (18)F-THK5351 is a useful
PET tracer for the early detection of neurofibrillary pathology in AD patients.
PMID- 26541775
TI - 18F-FDG PET/CT for the Diagnosis of Residual or Recurrent Nasopharyngeal
Carcinoma After Radiotherapy: A Metaanalysis.
AB - The aim of this work was to assess the overall value of (18)F-FDG PET/CT in the
diagnosis of residual or recurrent nasopharyngeal carcinoma using a metaanalysis.
METHODS: The literature published between January 1990 and September 2014 was
searched in the PubMed, EMBASE, Cochrane Library, EBSCO, VIP, CNKI, and Wanfang
databases to identify eligible studies on PET/CT of residual or recurrent
lesions. The methodologic quality of the included studies was evaluated using the
"quality assessment for studies of diagnostic accuracy" tool. Summary
sensitivity, specificity, positive likelihood ratio, negative likelihood ratio,
diagnostic odds ratio, and receiver-operating characteristic curve were obtained
using Meta-DiSc freeware. Subgroups were also analyzed. RESULTS: A total of 23
studies, involving 1,253 subjects, were included in the metaanalysis. Pooled
sensitivity, specificity, positive likelihood ratio, negative likelihood ratio,
and diagnostic odds ratio, with 95% confidence intervals in parentheses, for
(18)F-FDG PET or PET/CT were 0.93 (0.91-0.95), 0.87 (0.84-0.89), 5.52 (3.96
7.71), 0.12 (0.09-0.15), and 55.31 (34.94-87.57), respectively. The area under
the receiver-operating characteristic curve and Q* index estimate of PET/CT were
0.9473 and 0.8869, respectively. There was no significant difference between the
area under the curve of PET and PET/CT (P > 0.05). CONCLUSION: Our study has
confirmed that (18)F-FDG PET/CT has high sensitivity and specificity but
significant heterogeneity in the diagnosis of residual or recurrent
nasopharyngeal carcinoma.
PMID- 26541776
TI - Partial-Volume Effect Correction Improves Quantitative Analysis of 18F
Florbetaben beta-Amyloid PET Scans.
AB - Neocortical atrophy reduces PET signal intensity, potentially affecting the
diagnostic efficacy of beta-amyloid (Abeta) brain PET imaging. This study
investigated whether partial-volume effect correction (PVEC), adjusting for this
atrophy bias, improves the accuracy of (18)F-florbetaben Abeta PET. METHODS: We
analyzed (18)F-florbetaben PET and MRI data obtained from 3 cohorts. The first
was 10 patients with probable Alzheimer disease (AD) and 10 age-matched healthy
controls (HCs), the second was 31 subjects who underwent in vivo imaging and
postmortem histopathology for Abeta plaques, and the third was 5 subjects who
underwent PET and MRI at baseline and 1 y later. The imaging data were
coregistered and segmented. PVEC was performed using the voxel-based modified
Muller-Gartner method (PVELab, SPM8). From the PET data, regional and composite
SUV ratios (SUVRs) with and without PVEC were obtained. In the MRI data, mesial
temporal lobe atrophy was determined by the Scheltens mesial temporal atrophy
scale and gray matter volumes by voxel-based morphometry. RESULTS: In cohort 1,
PVEC increased the effect on AD-versus-HC discrimination from a Cohen d value of
1.68 to 2.0 for composite SUVRs and from 0.04 to 1.04 for mesial temporal cortex
SUVRs. The PVEC-related increase in mesial temporal cortex SUVR correlated with
the Scheltens score (r = 0.84, P < 0.001), and that of composite SUVR correlated
with the composite gray matter volume (r = -0.75, P < 0.001). In cohort 2, PVEC
increased the correlation coefficient between mesial temporal cortex SUVR and
histopathology score for Abeta plaque load from 0.28 (P = 0.09) to 0.37 (P =
0.03). In cohort 3, PVEC did not affect the composite SUVR dynamics over time for
the Abeta-negative subject. This finding was in contrast to the 4 Abeta-positive
subjects, in 2 of whom PVEC changed the composite SUVR dynamics. CONCLUSION: The
influence of PVEC on (18)F-florbetaben PET data is associated with the degree of
brain atrophy. Thus, PVEC increases the ability of (18)F-florbetaben PET to
discriminate between AD patients and HCs, to detect Abeta plaques in the atrophic
mesial temporal cortex, and potentially to evaluate changes in brain Abeta load
over time. As such, the use of PVEC should be considered for quantitative (18)F
florbetaben PET scans, especially in assessing patients with brain atrophy.
PMID- 26541777
TI - Synthesis and Preliminary Evaluation of Phenyl 4-123I-Iodophenylcarbamate for
Visualization of Cholinesterases Associated with Alzheimer Disease Pathology.
AB - Acetylcholinesterase and butyrylcholinesterase accumulate with brain beta-amyloid
(Abeta) plaques in Alzheimer disease (AD). The overall activity of
acetylcholinesterase is found to decline in AD, whereas butyrylcholinesterase has
been found to either increase or remain the same. Although some cognitively
normal older adults also have Abeta plaques within the brain, cholinesterase
associated plaques are generally less abundant in such individuals. Thus, brain
imaging of cholinesterase activity associated with Abeta plaques has the
potential to distinguish AD from cognitively normal older adults, with or without
Abeta accumulation, during life. Current Abeta imaging agents are not able to
provide this distinction. To address this unmet need, synthesis and evaluation of
a cholinesterase-binding ligand, phenyl 4-(123)I-iodophenylcarbamate ((123)I
PIP), is described. METHODS: Phenyl 4-iodophenylcarbamate was synthesized and
evaluated for binding potency toward acetylcholinesterase and
butyrylcholinesterase using enzyme kinetic analysis. This compound was
subsequently rapidly radiolabeled with (123)I and purified by high-performance
liquid chromatography. Autoradiographic analyses were performed with (123)I-PIP
using postmortem orbitofrontal cortex from cognitively normal and AD human
brains. Comparisons were made with an Abeta imaging agent, 2-(4'
dimethylaminophenyl)-6-(123)I-iodo-imidazo[1,2-a]pyridine ((123)I-IMPY), in
adjacent brain sections. Tissues were also stained for Abeta and cholinesterase
activity to visualize Abeta plaque load for comparison with radioligand uptake.
RESULTS: Synthesized and purified PIP exhibited binding to cholinesterases.
(123)I was successfully incorporated into this ligand. (123)I-PIP autoradiography
with human tissue revealed accumulation of radioactivity only in AD brain tissues
in which Abeta plaques had cholinesterase activity. (123)I-IMPY accumulated in
brain tissues with Abeta plaques from both AD and cognitively normal individuals.
CONCLUSION: Radiolabeled ligands specific for cholinesterases have potential for
use in neuroimaging AD plaques during life. The compound herein described, (123)I
PIP, can detect cholinesterases associated with Abeta plaques and can distinguish
AD brain tissues from those of cognitively normal older adults with Abeta
plaques. Imaging cholinesterase activity associated with Abeta plaques in the
living brain may contribute to the definitive diagnosis of AD during life.
PMID- 26541779
TI - New Insights: PET Imaging to Document Synergistic Therapy Effect of the "Old,"
Ancient Recipe and the "New," Modern Drug in Stroke.
PMID- 26541778
TI - PET Imaging of VEGFR-2 Expression in Lung Cancer with 64Cu-Labeled Ramucirumab.
AB - Lung cancer accounts for 17% of cancer-related deaths worldwide, and most
patients present with locally advanced or metastatic disease. Novel PET imaging
agents for assessing vascular endothelial growth factor receptor-2 (VEGFR-2)
expression can be used for detecting VEGFR-2-positive malignancies and subsequent
monitoring of therapeutic response to VEGFR-2-targeted therapies. Here, we report
the synthesis and characterization of an antibody-based imaging agent for PET
imaging of VEGFR-2 expression in vivo. METHODS: Ramucirumab (named RamAb), a
fully humanized IgG1 monoclonal antibody, was conjugated to 2-S-(4
isothiocyanatobenzyl)-1,4,7-triazacyclononane-1,4,7-triacetic acid (p-SCN-Bn
NOTA) and labeled with (64)Cu. Flow cytometry analysis and microscopy studies
were performed to compare the VEGFR-2 binding affinity of RamAb and NOTA-RamAb.
PET imaging and biodistribution studies were performed in nude mice bearing
HCC4006 and A549 xenograft tumors. Ex vivo histopathology was performed to
elucidate the expression patterns of VEGFR-2 in different tissues and organs to
validate in vivo results. RESULTS: Flow cytometry examination revealed the
specific binding capacity of fluorescein isothiocyanate-RamAb to VEGFR-2, and no
difference in VEGFR-2 binding affinity was seen between RamAb and NOTA-RamAb.
After being labeled with (64)Cu, PET imaging revealed specific and prominent
uptake of (64)Cu-NOTA-RamAb in VEGFR-2-positive HCC4006 tumors (9.4 +/- 0.5
percentage injected dose per gram at 48 h after injection; n = 4) and
significantly lower uptake in VEGFR-2-negative A549 tumors (4.3 +/- 0.2
percentage injected dose per gram at 48 h after injection; n = 3). Blocking
experiments revealed significantly lower uptake in HCC4006 tumors, along with
histology analysis, further confirming the VEGFR-2 specificity of (64)Cu-NOTA
RamAb. CONCLUSION: This study provides initial evidence that (64)Cu-NOTA-RamAb
can function as a PET imaging agent for visualizing VEGFR-2 expression in vivo,
which may also find potential applications in monitoring the treatment response
of VEGFR-2-targeted cancer therapy.
PMID- 26541782
TI - Face-Capped M(4) L4 Tetrahedral Metal-Organic Cage: Iodine Capture and Release,
Ion Exchange, and Electrical Conductivity.
AB - An M(4) L4 type metal-organic cage (MOC-19) has been synthesized from the one-pot
reaction of tri(pyridinylmethylene)phenylbenzeneamine (TPBA) with hydrated
Zn(ClO4 )2 under mild conditions and characterized by single-crystal X-Ray
diffraction. Iodine capture studies show that the porous crystals of MOC-19
exhibit a versatile behavior to accumulate iodine species not only in vapor (for
I2 ) but also in solution (for I2 and I3 (-) ), and anion-exchange experiments
indicate the capacity to extract IO3 (-) anions from aqueous solution. Enrichment
of iodine species from KI/I2 aqueous solution proceeds facilely, revealing a
pseudo-second-order kinetics of I3 (-) adsorption. Furthermore, the electrical
conductivity of MOC-19 single crystals could be significantly altered by I2
inclusion.
PMID- 26541780
TI - New Approaches to Molecular Imaging of Multiple Myeloma.
AB - Molecular imaging plays an important role in detection and staging of hematologic
malignancies. Multiple myeloma (MM) is an age-related hematologic malignancy of
clonal bone marrow plasma cells characterized by destructive bone lesions and is
fatal in most patients. Traditional skeletal survey and bone scans have
sensitivity limitations for osteolytic lesions manifested in MM. Progressive
biomedical imaging technologies such as low-dose CT, molecularly targeted PET,
MRI, and the functional-anatomic hybrid versions (PET/CT and PET/MRI) provide
incremental advancements in imaging MM. Imaging with PET and MRI using
molecularly targeted probes is a promising precision medicine platform that might
successfully address the clinical ambiguities of myeloma spectrum diseases. The
intent of this focus article is to provide a concise review of the present status
and promising developments on the horizon, such as the new molecular imaging
biomarkers under investigation that can either complement or potentially
supersede existing standards.
PMID- 26541783
TI - Retrospective complication rate comparison between surgical techniques in
paediatric cochlear implantation.
AB - OBJECTIVE: To compare paediatric complication occurrence between the
Mastoidectomy with Posterior Tympanotomy and the SupraMeatal Approach for
cochlear implantation. DESIGN: Retrospective cohort study. SETTING: Children
receiving a cochlear implant before 5 years of age between 1996 and 2014 in our
tertiary center. PARTICIPANTS: A total of 144 patients receiving a cochlear
implant (121 by Mastoidectomy with Posterior Tympanotomy and 23 by SupraMeatal
Approach) operated on 165 ears (129 and 39 respectively). MAIN OUTCOME MEASURES:
The severity (minor or major) using Cohen and Hoffman criteria and time of
occurrence of complications (intraoperative, early postoperative or late
postoperative) were identified. Intraoperative surgical challenges were
correlated to complication occurrence. RESULTS: The mean age at implantation was
2.13 +/- 1.14 years old. Patients operated by the SupraMeatal Approach (1.27 +/-
0.69 years old) were significantly (P < .001) younger than those receiving a
cochlear implant by Mastoidectomy with Posterior Tympanotomy Approach (2.40 +/-
1.12). Most complications were minor (Mastoidectomy with Posterior Tympanotomy
Approach: 64.0%; SupraMeatal Approach: 73.1%) and occurred early postoperatively
(Mastoidectomy with Posterior Tympanotomy Approach: 61.5%; SupraMeatal Approach:
76.9%). More overall complications occurred in SupraMeatal compared to
Mastoidectomy with Posterior Tympanotomy Approach cases (61.5% versus 20.6%; P <
.001). Younger SupraMeatal Approach cohort patients (6 - 12 and 18 - 24 months; P
< .008 and P = .016) most often developed these complications. When looking at
specific complications, more infectious complications occurred in patients
receiving a cochlear implant through the SupraMeatal Approach (P < .05). Logistic
regression showed that the surgical technique and not the age at implantation was
responsible for the documented complications. No relationship between
complications and intraoperative difficulties was identified. CONCLUSION: In our
institution, cochlear implantation in young patients through the SupraMeatal
Approach resulted in significantly more (infectious) complications than those
operated through the Mastoidectomy with Posterior Tympanotomy Approach. Outcomes
from our institution recommends using the Mastoidectomy with Posterior
Tympanotomy Approach when opting for a cochlear implant surgical technique in
young children who are more prone to develop infectious complications.
PMID- 26541784
TI - Long-term effect of mass chemotherapy of Schistosoma mansoni on infection rate
and diagnosis accuracy.
AB - OBJECTIVES: To assess the performance of microscopic stool examination, which is
used widely for the diagnosis and assessment of infection rates of Schistosoma
mansoni in Egypt, for the evaluation of chemotherapy efficacy after a decade of
regular mass treatment. METHODS: A total of 651 individuals from Lower Egypt (55
children and 596 adults) were examined for S. mansoni ova by microscopic stool
examination (MSE) alone (n=166; 111 adults and 55 children), rectal biopsy (RB)
alone (n=32 adults), or both MSE and RB (n=453 adults). RESULTS: Infection
detection rates were significantly lower in the MSE alone group (9%; 15/166)
compared to the RB alone group (40.6%; 13/32) and to the RB+MSE group (37.7%;
171/453). Out of all positive cases in the MSE+RB group, only 23/171 patients
(13.5%) were positive by stool examination, of whom 21 were also positive by RB,
in contrast to 169/171 patients (86.5%) positive by RB in the same group. It was
noted that adding MSE to RB did not increase the prevalence compared to RB alone:
37.3% in the MSE+RB group vs. 40.6% in the RB only group. Using the summation of
both MSE and RB tests as the gold standard, the sensitivity of MSE was
significantly lower than that of RB: 13.5% vs. 98.8%. CONCLUSIONS: The
implementation of mass treatment programmes has resulted in a new era of light
infection, for which conventional parasitological methods for the diagnosis and
monitoring of infection can miss many patients.
PMID- 26541785
TI - Mechanical Pre-Conditioning With Acute Circulatory Support Before Reperfusion
Limits Infarct Size in Acute Myocardial Infarction.
AB - OBJECTIVES: This study tested the hypothesis that first reducing myocardial work
by unloading the left ventricle (LV) with a novel intracorporeal axial flow
catheter while delaying coronary reperfusion activates a myocardial protection
program and reduces infarct size. BACKGROUND: Ischemic heart disease is a major
cause of morbidity and mortality worldwide. Primary myocardial reperfusion
remains the gold standard for the treatment of an acute myocardial infarction
(AMI); however, ischemia-reperfusion injury contributes to residual myocardial
damage and subsequent heart failure. Stromal cell-derived factor (SDF)-1alpha is
a chemokine that activates cardioprotective signaling via Akt, extracellular
regulated kinase, and glycogen synthase kinase-3beta. METHODS: AMI was induced by
occlusion of the left anterior descending artery (LAD) via angioplasty for 90 min
in 50-kg male Yorkshire swine (n = 5/group). In the primary reperfusion (1
degrees Reperfusion) group, the LAD was reperfused for 120 min. In the primary
unloading (1 degrees Unloading) group, after 90 min of ischemia the axial flow
pump was activated and the LAD left occluded for an additional 60 min, followed
by 120 min of reperfusion. Myocardial infarct size and kinase activity were
quantified. RESULTS: Compared with 1 degrees Reperfusion, 1 degrees Unloading
reduced LV wall stress and increased myocardial levels of SDF-1alpha, CXCR4, and
phosphorylated Akt, extracellular regulated kinase, and glycogen synthase kinase
3beta in the infarct zone. 1 degrees Unloading increased antiapoptotic signaling
and reduced myocardial infarct size by 43% compared with 1 degrees Reperfusion
(73 +/- 13% vs. 42 +/- 8%; p = 0.005). Myocardial levels of SDF-1 correlated
inversely with infarct size (R = 0.89; p < 0.01). CONCLUSIONS: Compared with the
contemporary strategy of primary reperfusion, mechanically conditioning the
myocardium using a novel axial flow catheter while delaying coronary reperfusion
decreases LV wall stress and activates a myocardial protection program that up
regulates SDF-1alpha/CXCR4 expression, increases cardioprotective signaling,
reduces apoptosis, and limits myocardial damage in AMI.
PMID- 26541786
TI - Limiting Infarct Size in ST-Segment Myocardial Infarction: The Holy Grail of
Reperfusion Therapy.
PMID- 26541787
TI - Prognostic Value of Estimated Plasma Volume in Heart Failure.
AB - OBJECTIVES: The purpose of this study was to assess the prognostic value of the
estimation of plasma volume or of its variation beyond clinical examination in a
post-hoc analysis of EPHESUS (Eplerenone Post-Acute Myocardial Infarction Heart
Failure Efficacy and Survival Study). BACKGROUND: Assessing congestion after
discharge is challenging but of paramount importance to optimize patient
management and to prevent hospital readmissions. METHODS: The present analysis
was performed in a subset of 4,957 patients with available data (within a full
dataset of 6,632 patients). The study endpoint was cardiovascular death or
hospitalization for heart failure (HF) between months 1 and 3 after post-acute
myocardial infarction HF. Estimated plasma volume variation (DeltaePVS) between
baseline and month 1 was estimated by the Strauss formula, which includes
hemoglobin and hematocrit ratios. Other potential predictors, including
congestion surrogates, hemodynamic and renal variables, and medical history
variables, were tested. An instantaneous estimation of plasma volume at month 1
was defined and also tested. RESULTS: Multivariate analysis was performed with
stepwise logistic regression. DeltaePVS was selected in the model (odds ratio:
1.01; p = 0.004). The corresponding prognostic gain measured by integrated
discrimination improvement was significant (7.57%; p = 0.01). Nevertheless,
instantaneous estimation of plasma volume at month 1 was found to be a better
predictor than DeltaePVS. CONCLUSIONS: In HF complicating myocardial infarction,
congestion as assessed by the Strauss formula and an instantaneous derived
measurement of plasma volume provided a predictive value of early cardiovascular
events beyond routine clinical assessment. Prospective trials to assess
congestion management guided by this simple tool to monitor plasma volume are
warranted.
PMID- 26541788
TI - Tackling Early Heart Failure Deaths and Readmissions by Estimating Congestion.
PMID- 26541789
TI - Bundle Up for Value-Based Heart Failure Care.
PMID- 26541790
TI - Extending landscape of volatile metabolites as novel diagnostic biomarkers of
inflammatory bowel disease - a review.
AB - The diagnosis of inflammatory bowel disease (IBD) remains a challenging task
despite significant increase in the understanding of the disease aetiology and
pathogenesis. Recent decade has seen a massive interest in the non-invasive
diagnostic biomarkers of IBD, consequently a number of studies have explored a
variety of potential biomarkers to diagnose the disease and monitor the disease
activity. Volatile metabolites are the chemicals, which emanate from biological
fluids and can reflect the status of health and disease of an individual. Recent
advances in the analytical techniques have enabled the detection and
interpretation of the changes in volatile metabolites in breath, urine, faeces
and blood of an individual in correlation with various gastrointestinal (GI)
disorders including IBD. This can provide a simple, fast and reproducible
diagnosis at the point of care. This review focuses on the current and future
novel approaches for detecting and the monitoring gut inflammation in IBD by
using volatile organic metabolites.
PMID- 26541791
TI - New therapeutic strategies regarding endovascular treatment of glioblastoma, the
role of the blood-brain barrier and new ways to bypass it.
AB - The treatment protocols for glioblastoma multiforme (GBM) involve a combination
of surgery, radiotherapy and adjuvant chemotherapy. Despite this multimodal
approach, the prognosis of patients with GBM remains poor and there is an urgent
need to develop novel strategies to improve quality of life and survival in this
population. In an effort to improve outcomes, intra-arterial drug delivery has
been used in many recent clinical trials; however, their results have been
conflicting. The blood-brain barrier (BBB) is the major obstacle preventing
adequate concentrations of chemotherapy agents being reached in tumor tissue,
regardless of the method of delivering the drugs. Therapeutic failures have often
been attributed to an inability of drugs to cross the BBB. However, during the
last decade, a better understanding of BBB physiology along with the development
of new technologies has led to innovative methods to circumvent this barrier.
This paper focuses on strategies and techniques used to bypass the BBB already
tested in clinical trials in humans and also those in their preclinical stage. We
also discuss future therapeutic scenarios, including endovascular treatment
combined with BBB disruption techniques, for patients with GBM.
PMID- 26541792
TI - Molecularly imprinted polymeric stir bar: Preparation and application for the
determination of naftopidil in plasma and urine samples.
AB - In this study, molecularly imprinting technology and stir bar absorption
technology were combined to develop a microextraction approach based on a
molecularly imprinted polymeric stir bar. The molecularly imprinted polymer stir
bar has a high performance, is specific, economical, and simple to prepare. The
obtained naftopidil-imprinted polymer-coated bars could simultaneously agitate
and adsorb naftopidil in the sample solution. The ratio of template/monomer/cross
linker and conditions of template removal were optimized to prepare a stir bar
with highly efficient adsorption. Fourier transform infrared spectroscopy,
scanning electron microscopy, selectivity, and extraction capacity experiments
showed that the molecularly imprinted polymer stir bar was prepared successfully.
To utilize the molecularly imprinted polymer stir bar for the determination of
naftopidil in complex body fluid matrices, the extraction time, stirring speed,
eluent, and elution time were optimized. The limits of detection of naftopidil in
plasma and urine sample were 7.5 and 4.0 ng/mL, respectively, and the recoveries
were in the range of 90-112%. The within-run precision and between-run precision
were acceptable (relative standard deviation <7%). These data demonstrated that
the molecularly imprinted polymeric stir bar based microextraction with high
performance liquid chromatography was a convenient, rapid, efficient, and
specific method for the precise determination of trace naftopidil in clinical
analysis.
PMID- 26541793
TI - Simultaneous effective carbon and nitrogen removals and phosphorus recovery in an
intermittently aerated membrane bioreactor integrated system.
AB - Recovering nutrients, especially phosphate resource, from wastewater have
attracted increasing interest recently. Herein, an intermittently aerated
membrane bioreactor (MBR) with a mesh filter was developed for simultaneous
chemical oxygen demand (COD), total nitrogen (TN) and phosphorous removal,
followed by phosphorus recovery from the phosphorus-rich sludge. This integrated
system showed enhanced performances in nitrification and denitrification and
phosphorous removal without excess sludge discharged. The removal of COD, TN and
total phosphorus (TP) in a modified MBR were averaged at 94.4 +/- 2.5%, 94.2 +/-
5.7% and 53.3 +/- 29.7%, respectively. The removed TP was stored in biomass, and
68.7% of the stored phosphorous in the sludge could be recovered as concentrated
phosphate solution with a concentration of phosphate above 350 mg/L. The sludge
after phosphorus release could be returned back to the MBR for phosphorus uptake,
and 83.8% of its capacity could be recovered.
PMID- 26541794
TI - Ferulic acid improves lipid and glucose homeostasis in high-fat diet-induced
obese mice.
AB - Ferulic acid (FA) is a plant phenolic acid that has several pharmacological
effects including antihyperglycaemic activity. Thus, the objective of this study
is to investigate the effect of FA on glucose and lipid metabolism in high-fat
diet (HFD)-induced obese mice. Institute for Cancer Research (ICR) mice were fed
a HFD (45 kcal% fat) for 16 weeks. At the ninth week of induction, the obese mice
were orally administered with daily FA doses of 25 and 50 mg/kg for the next
eight weeks. The results show that FA significantly reduced the elevated blood
glucose and serum leptin levels, lowered the insulin resistance, and increased
the serum adiponectin level. Moreover, serum lipid level, and liver cholesterol
and triglyceride accumulations were also reduced. The histological examination
showed clear evidence of a decrease in the lipid droplets in liver tissues and
smaller size of fat cells in the adipose tissue in the obese mice treated with
FA. Interestingly, FA reduced the expression of hepatic lipogenic genes such as
sterol regulatory element-binding protein 1c (SREBP1c), fatty acid synthase
(FAS), and acetyl-CoA carboxylase (ACC). It could also up-regulate hepatic
carnitine palmitoyltransferase 1a (CPT1a) gene and peroxisome proliferator
activated receptor alpha (PPARalpha) proteins. The FA treatment was also found to
suppress the protein expressions of hepatic gluconeogenic enzymes,
phosphoenolpyruvate carboxylase (PEPCK) and glucose-6-phosphatase (G6Pase). In
conclusion, the findings of this study demonstrate that FA improves the glucose
and lipid homeostasis in HFD-induced obese mice probably via modulating the
expression of lipogenic and gluconeogenic genes in liver tissues.
PMID- 26541795
TI - Localized atrophy of the thalamus and slowed cognitive processing speed in MS
patients.
AB - BACKGROUND: Deep gray matter (DGM) atrophy is common in multiple sclerosis (MS),
but no studies have investigated surface-based structure changes over time with
respect to healthy controls (HCs). Moreover, the relationship between cognition
and the spatio-temporal evolution of DGM atrophy is poorly understood.
OBJECTIVES: To explore DGM structural differences between MS and HCs over time in
relation to neuropsychological (NP) outcomes. METHODS: The participants were 44
relapsing-remitting and 20 secondary progressive MS patients and 22 HCs. All were
scanned using 3T magnetic resonance imaging (MRI) at baseline and 3-year follow
up. NP examination emphasized consensus standard tests of processing speed and
memory. We performed both volumetric and shape analysis of DGM structures and
assessed their relationships with cognition. RESULTS: Compared to HCs, MS
patients presented with significantly smaller DGM volumes. For the thalamus and
caudate, differences in shape were mostly localized along the lateral ventricles.
NP outcomes were related to both volume and shape of the DGM structures. Over 3
years, decreased cognitive processing speed was related to localized atrophy on
the anterior and superior surface of the left thalamus. CONCLUSIONS: These
findings highlight the role of atrophy in the anterior nucleus of the thalamus
and its relation to cognitive decline in MS.
PMID- 26541796
TI - Self-reported cue-induced physical symptoms of craving as an indicator of cocaine
dependence.
AB - BACKGROUND: The presence of cocaine dependence is under-recognized by cocaine
users and requires a careful standardized interview to be ascertained by
clinicians. OBJECTIVE: To test if past experiences of cue-induced physical
symptoms of craving (nausea, vomiting, sweating, shaking, nervousness) before
cocaine use could be a useful way to boost the diagnosis of cocaine dependence.
METHODS: A cross-sectional study of 221 cocaine users from several outpatient
addiction treatment services in France, addressing the most severe period of
cocaine use. DSM-IV cocaine dependence was determined with the MINI International
Neuropsychiatric Interview (MINI). Physical symptoms before using cocaine were
retrospectively assessed with a single item rated on a 0-5 scale. RESULTS: The
prevalence of DSM-IV cocaine dependence was 84.6%. The mean score on the physical
symptoms item was 1.3 (SD 1.3). A cut-off score of >= 1 on this item alone
resulted in a sensitivity of 62%, a specificity of 88.2%, a positive predictive
value of 96.6% and a negative predictive value of 29.7% to detect DSM IV cocaine
dependence in this sample. Adding this item to a model with the frequency of
cocaine use significantly increased the predictive power: Nagelkerke's R(2)
increased from .149 to .326 (p < .001). DISCUSSION AND CONCLUSION: Recalling past
experiences of cue-induced physical signs of cocaine craving is associated with a
clinical diagnosis of lifetime cocaine dependence and could be a simple way to
improve its detection in clinical settings.
PMID- 26541797
TI - Professionalism in interventional cardiology and the new value-based payment
system.
PMID- 26541798
TI - Ageless benefits of transradial access for percutaneous coronary
revascularization.
PMID- 26541799
TI - Implantation of everolimus-eluting bioresorbable scaffolds in a diabetic all
comers population--the future is now?
PMID- 26541800
TI - Refining rather than redefining the BVS in "real world".
PMID- 26541801
TI - When a stent gets shorty.
PMID- 26541802
TI - Will invasive coronary imaging identify vulnerable plaque and predict future
cardiac events?
PMID- 26541803
TI - Closure of the patent foramen ovale, perhaps the best thing interventional
cardiology has to offer.
PMID- 26541804
TI - Emulsification at the Liquid/Liquid Interface: Effects of Potential, Electrolytes
and Surfactants.
AB - Emulsification of oils at liquid/liquid interfaces is of fundamental importance
across a range of applications, including detergency. Adsorption and partitioning
of the anionic surface active ions at the interface between two immiscible
solutions is known to cause predictable chaos at the transfer potential region of
the surfactant. In this work, the phenomenon that leads to the chaotic behaviour
shown by sodium dodecylbenzene sulfonate (SDBS) at the water/1,2-dichloroethane
interface is applied to commercial surfactants and aqueous/glyceryl trioleate
interface. Electrochemical methods, electrocapillary curves, optical microscopy
and conductivity measurements demonstrated that at 1.5 mm of SDBS, surfactants
are adsorbed at the interface and assemble into micelles, leading to interfacial
instability. As the concentration of the anionic surfactant was enhanced to 8 and
13.4 mm, the Marangoni effect and the interfacial emulsification became more
prominent. The chaotic behaviour was found to be dependent on the surfactant
concentration and the electrolytes present.
PMID- 26541805
TI - V-Y and rectangular flap combination for syndactyly repair.
AB - OBJECTIVE: For syndactyly repair, several delicate, well-planned flap combination
techniques have been reported. This study presents technique details with
functional and aesthetic results of a dorsal rectangular and volar V-Y
advancement flap combination for web reconstruction and S incisions for finger
separation in patients with syndactyly. METHOD: Ten patients with 16 syndactyly
webs were treated. Patients were examined in terms of function and aesthetic.
Evaluation criteria included the Vancouver Scar Scale, range of motion, degree of
web creeping, parent's satisfaction rates, and finger abduction. During the
initial period, vascular compromise of fingers, haematoma, infection, seroma,
flap necrosis, or graft failures were not noted in any patients. No patients
required revision surgery. During the later period, parent satisfaction scores
were excellent or good, finger function was complete, and the Vancouver Scar
Scale showed that two webs had hyperpigmented areas and two had supple
pliability. CONCLUSION: This simple syndactyly release technique can provide a
low rate of web creep, good scar quality, and optimal functional results.
PMID- 26541806
TI - Music identification skills of children with specific language impairment.
AB - BACKGROUND: To date very few studies have investigated the musical skills of
children with specific language impairment (SLI). There is growing evidence that
SLI affects areas other than language, and it is therefore reasonable to
hypothesize that children with this disorder may have difficulties in perceiving
musical stimuli appropriately. AIMS: To compare melody and song identification
skills in a group of children with SLI and in a control group of children with
typical language development (TD); and to study possible correlations between
music identification skills and language abilities in the SLI group. METHODS &
PROCEDURES: This is a prospective case control study. Two groups of children were
enrolled: one meeting DSM-IV-TR((r)) diagnostic criteria for SLI and the other
comprising an age-matched group of children with TD. All children received a
melody and a song identification test, together with a test battery assessing
receptive and productive language abilities. OUTCOMES & RESULTS: 30 children with
SLI (mean age = 56 +/- 9 months) and 23 with TD (mean age = 60 +/- 10 months)
were included. Melody and song identification scores among SLI children were
significantly lower than those of TD children, and in both groups song
identification scores were significantly higher than melody identification
scores. Song identification skills bore a significant correlation to
chronological age in both groups (TD: r = 0.529, p = 0.009; SLI: r = 0.506, p =
0.004). Whereas no other variables were found explaining the variability of
melody or song identification scores in either group, the correlation between
language comprehension and song identification in the SLI group approached
significance (r = 0.166, p = 0.076). CONCLUSIONS & IMPLICATIONS: The poorer music
perception skills of SLI children as compared with TD ones suggests that SLI may
also affect music perception. Therefore, training programmes that simultaneously
stimulate via language and music may prove useful in the rehabilitation of
children affected by SLI.
PMID- 26541807
TI - Hantavirus pulmonary syndrome and rodent reservoirs in the savanna-like biome of
Brazil's southeastern region.
AB - This paper describes the diversity of rodent fauna in an area endemic for
hantavirus cardiopulmonary syndrome (HCPS) in Brazil, the population dynamics and
the relationship of rodents with hantavirus in the Cerrado (savanna-like) biome.
Additionally, an analysis is made of the partial S segment sequences of the
hantaviruses obtained from serologically confirmed human HCPS cases and from
rodent specimens. Rodents were collected during four campaigns. Human serum
samples were collected from suspected cases of HCPS at hospitals in the state of
Minas Gerais. The samples antibody-reactive by ELISA were processed by RT-PCR.
The PCR product was amplified and sequenced. Hantavirus was detected only in
Necromys lasiurus, the wild rodent species most prevalent in the Cerrado biome
(min-max: 50-83.7%). All the six human serum samples were hantavirus seropositive
and five showed amplified PCR products. The analysis of the nucleotide sequences
showed the circulation of a single genotype, the Araraquara hantavirus. The
environmental changes that have occurred in the Cerrado biome in recent decades
have favoured N. lasiurus in interspecific competition of habitats, thus
increasing the risk of contact between humans and rodent species infected with
hantavirus. Our data corroborate the definition of N. lasiurus as the main
hantavirus reservoir in the Cerrado biome.
PMID- 26541808
TI - Impact of arrhythmia on diagnostic performance of adenosine stress CMR in
patients with suspected or known coronary artery disease.
AB - BACKGROUND: The diagnostic performance of adenosine stress cardiovascular
magnetic resonance (CMR) in patients with arrhythmias presenting for work-up of
suspected or known CAD is largely unknown, since most CMR studies currently
available exclude arrhythmic patients from analysis fearing gating problems, or
other artifacts will impair image quality. The primary aim of our study was to
evaluate the diagnostic performance of adenosine stress CMR for detection of
significant coronary stenosis in patients with arrhythmia presenting for 1) work
up of suspected coronary artery disease (CAD), or 2) work-up of ischemia in known
CAD. METHODS: Patients with arrhythmia referred for work-up of suspected CAD or
work-up of ischemia in known CAD undergoing adenosine stress CMR were included if
they had coronary angiography within four weeks of CMR. RESULTS: One hundred
fifty-nine patients were included (n = 64 atrial fibrillation, n = 87 frequent
ventricular extrasystoles, n = 8 frequent supraventricular extrasystoles). Of
these, n = 72 had suspected CAD, and n = 87 had known CAD. Diagnostic accuracy of
the adenosine stress CMR for detection of significant CAD was 73 % for the entire
population (sensitivity 72 %, specificity 76 %). Diagnostic accuracy was 75 %
(sensitivity 80 %, specificity 74 %) in patients with suspected CAD, and 74 %
(sensitivity 71 %, specificity 79 %) in the group with known CAD. For different
types of arrhythmia, diagnostic accuracy of CMR was 70 % in the atrial
fibrillation group, and 79 % in patients with ventricular extrasystoles. On a per
coronary territory analysis, diagnostic accuracy of CMR was 77 % for stenosis of
the left and 82 % for stenosis of the right coronary artery. CONCLUSION: The
present data demonstrates good diagnostic performance of adenosine stress CMR for
detection of significant coronary stenosis in patients with arrhythmia presenting
for work-up of suspected CAD, or work-up of ischemia in known CAD. This holds
true for a per patient, as well as for a per coronary territory analysis.
PMID- 26541809
TI - Global effects of agriculture on fluvial dissolved organic matter.
AB - Agricultural land covers approximately 40% of Earth's land surface and affects
hydromorphological, biogeochemical and ecological characteristics of fluvial
networks. In the northern temperate region, agriculture also strongly affects the
amount and molecular composition of dissolved organic matter (DOM), which
constitutes the main vector of carbon transport from soils to fluvial networks
and to the sea, and is involved in a large variety of biogeochemical processes.
Here, we provide first evidence about the wider occurrence of agricultural
impacts on the concentration and composition of fluvial DOM across climate zones
of the northern and southern hemispheres. Both extensive and intensive farming
altered fluvial DOM towards a more microbial and less plant-derived composition.
Moreover, intensive farming significantly increased dissolved organic nitrogen
(DON) concentrations. The DOM composition change and DON concentration increase
differed among climate zones and could be related to the intensity of current and
historical nitrogen fertilizer use. As a result of agriculture intensification,
increased DON concentrations and a more microbial-like DOM composition likely
will enhance the reactivity of catchment DOM emissions, thereby fuelling the
biogeochemical processing in fluvial networks, and resulting in higher ecosystem
productivity and CO2 outgassing.
PMID- 26541810
TI - Targeting extra-oral bitter taste receptors modulates gastrointestinal motility
with effects on satiation.
AB - Bitter taste receptors (TAS2Rs) are present in extra-oral tissues, including gut
endocrine cells. This study explored the presence and mechanism of action of
TAS2R agonists on gut smooth muscle in vitro and investigated functional effects
of intra-gastric administration of TAS2R agonists on gastric motility and
satiation. TAS2Rs and taste signalling elements were expressed in smooth muscle
tissue along the mouse gut and in human gastric smooth muscle cells (hGSMC).
Bitter tastants induced concentration and region-dependent contractility changes
in mouse intestinal muscle strips. Contractions induced by denatonium benzoate
(DB) in gastric fundus were mediated via increases in intracellular Ca(2+)
release and extracellular Ca(2+)-influx, partially masked by a hyperpolarizing
K(+)-efflux. Intra-gastric administration of DB in mice induced a TAS2R-dependent
delay in gastric emptying. In hGSMC, bitter compounds evoked Ca(2+)-rises and
increased ERK-phosphorylation. Healthy volunteers showed an impaired fundic
relaxation in response to nutrient infusion and a decreased nutrient volume
tolerance and increased satiation during an oral nutrient challenge test after
intra-gastric DB administration. These findings suggest a potential role for
intestinal TAS2Rs as therapeutic targets to alter gastrointestinal motility and
hence to interfere with hunger signalling.
PMID- 26541811
TI - The effect of intrinsic crumpling on the mechanics of free-standing graphene.
AB - Free-standing graphene is inherently crumpled in the out-of-plane direction due
to dynamic flexural phonons and static wrinkling. We explore the consequences of
this crumpling on the effective mechanical constants of graphene. We develop a
sensitive experimental approach to probe stretching of graphene membranes under
low applied stress at cryogenic to room temperatures. We find that the in-plane
stiffness of graphene is 20-100 N m(-1) at room temperature, much smaller than
340 N m(-1) (the value expected for flat graphene). Moreover, while the in-plane
stiffness only increases moderately when the devices are cooled down to 10 K, it
approaches 300 N m(-1) when the aspect ratio of graphene membranes is increased.
These results indicate that softening of graphene at temperatures <400 K is
caused by static wrinkling, with only a small contribution due to flexural
phonons. Together, these results explain the large variation in reported
mechanical constants of graphene devices and pave the way towards controlling
their mechanical properties.
PMID- 26541813
TI - Amygdala Activity During Autobiographical Memory Recall in Depressed and
Vulnerable Individuals: Association With Symptom Severity and Autobiographical
Overgenerality.
AB - OBJECTIVE: In healthy individuals, autobiographical memory recall is biased
toward positive and away from negative events, while the opposite is found in
depressed individuals. This study examined amygdala activity during
autobiographical memory recall as a putative mechanism underlying biased memory
recall and depressive symptoms in currently depressed adults and two vulnerable
populations: individuals remitted from depression and otherwise healthy
individuals at high familial risk of developing depression. Identification of
such vulnerability factors could enable interception strategies that prevent
depression onset. METHOD: Sixty healthy control subjects, 45 unmedicated
currently depressed individuals, 25 unmedicated remitted depressed individuals,
and 30 individuals at high familial risk of developing depression underwent
functional MRI while recalling autobiographical memories in response to
emotionally valenced cue words. Amygdala reactivity and connectivity with
anatomically defined amygdala regions were examined. RESULTS: During positive
recall, depressed participants exhibited significantly decreased left amygdala
activity and decreased connectivity with regions of the salience network compared
with the other groups. During negative recall, control subjects had significantly
decreased left amygdala activity compared with the other groups, while depressed
participants exhibited increased amygdala connectivity with the salience network.
In depressed participants, left amygdala activity during positive recall
correlated significantly with depression severity (r values >-0.38) and percent
of positive specific memories recalled (r values >0.59). CONCLUSIONS: The results
suggest that left amygdala hyperactivity during negative autobiographical recall
is a trait-like marker of depression, as both vulnerable groups showed activity
similar to the depressed group, while amygdala hypoactivity during positive
autobiographical recall is a state marker of depression manifesting in active
disease. Treatments targeting amygdala hypoactivity and blunted salience during
positive autobiographical recall could exert antidepressant effects.
PMID- 26541812
TI - Preventing anxiety problems in children with Cool Little Kids Online: study
protocol for a randomised controlled trial.
AB - BACKGROUND: Anxiety disorders are the most common type of mental health problem
and begin early in life. Early intervention to prevent anxiety problems in young
children who are at risk has the potential for long-term impact. The 'Cool Little
Kids' parenting group program was previously established to prevent anxiety
disorders in young children at risk because of inhibited temperament. This group
program was efficacious in two randomised controlled trials and has recently been
adapted into an online format. 'Cool Little Kids Online' was developed to widen
and facilitate access to the group program's preventive content. A pilot
evaluation of the online program demonstrated its perceived utility and
acceptability among parents. This study aims to evaluate the efficacy of Cool
Little Kids Online in a large randomised controlled trial. METHODS/DESIGN:
Parents of young children who are 3-6 years old and who have an inhibited
temperament will be recruited (n = 385) and randomly assigned to either immediate
access to Cool Little Kids Online or delayed access after a waiting period of 24
weeks. The online program contains eight modules that help parents address key
issues in the development of anxiety problems in inhibited children, including
children's avoidant coping styles, overprotective parenting behaviours, and
parents' own fears and worries. Intervention participants will be offered
clinician support when requested. The primary outcome will be change in parent
reported child anxiety symptoms. Secondary outcomes will be child internalising
symptoms, child and family life interference due to anxiety, over
involved/protective parenting, plus child anxiety diagnoses assessed by using a
new online diagnostic tool. Assessments will take place at baseline and 12 and 24
weeks after baseline. DISCUSSION: This trial expands upon previous research on
the Cool Little Kids parenting group program and will evaluate the efficacy of
online delivery. Online delivery of the program could result in an easily
accessible evidence-based resource to help families with young children at
temperamental risk for anxiety disorders. TRIAL REGISTRATION: Australian New
Zealand Clinical Trials Registry 12615000217505 (registered 5 March 2015).
PMID- 26541814
TI - An 8-Week Randomized, Double-Blind, Placebo-Controlled Evaluation of the Safety
and Efficacy of Cariprazine in Patients With Bipolar I Depression.
AB - OBJECTIVE: The authors evaluated the efficacy, safety, and tolerability of
cariprazine, an atypical antipsychotic candidate, in adult patients with acute
bipolar I depression. METHOD: This was an 8-week multinational, multicenter,
randomized, double-blind, placebo-controlled, parallel-group, fixed-dose study in
adult patients with bipolar I disorder experiencing a current major depressive
episode. Patients were randomly assigned (1:1:1:1) to receive placebo or
cariprazine at 0.75, 1.5, or 3.0 mg/day. The primary and secondary efficacy
parameters were change from baseline to week 6 on the Montgomery-Asberg
Depression Rating Scale (MADRS) and the Clinical Global Impressions severity
subscale (CGI-S), respectively, analyzed using a mixed-effects model for repeated
measures on the modified intent-to-treat population. RESULTS: The intent-to-treat
population comprised 571 patients (141 in the placebo group and 140, 145, and 145
in the cariprazine 0.75-, 1.5-, and 3.0-mg/day groups). Cariprazine at 1.5 mg/day
showed significantly greater improvement on MADRS total score change from
baseline to week 6 compared with placebo; the least squares mean difference was
4.0 (95% CI=-6.3, -1.6; significant after adjustment for multiple comparisons).
Cariprazine at 3.0 mg/day showed greater MADRS score reduction than placebo (
2.5, 95% CI=-4.9, -0.1; not significant when adjusted for multiple comparisons).
The 0.75 mg/day dosage was similar to placebo. A similar pattern for significance
was observed on the CGI-S (1.5 mg/day: least squares mean difference=-0.4, 95%
CI=-0.6, -0.1; 3.0 mg/day: -0.3, 95% CI=-0.5, -0.0). The most common adverse
events (>=10%) in cariprazine-treated patients were akathisia and insomnia;
weight gain was slightly higher with cariprazine than with placebo. CONCLUSIONS:
Cariprazine at 1.5 mg/day demonstrated consistent efficacy compared with placebo
across outcomes and was generally well tolerated, suggesting efficacy for the
treatment of bipolar I depression.
PMID- 26541815
TI - Comparative Effectiveness of Clozapine and Standard Antipsychotic Treatment in
Adults With Schizophrenia.
AB - OBJECTIVE: The authors compared the effectiveness of initiating treatment with
either clozapine or a standard antipsychotic among adults with evidence of
treatment-resistant schizophrenia in routine clinical practice. METHOD: U.S.
national Medicaid data from 2001 to 2009 were used to examine treatment outcomes
in a cohort of patients with schizophrenia and evidence of treatment resistance
that initiated clozapine (N=3,123) and in a propensity score-matched cohort that
initiated a standard antipsychotic (N=3,123). Interventions were new initiation
of clozapine or a standard antipsychotic medication, defined as no exposure to
the new medication in the prior 365 days. The primary outcome was hospital
admission for a mental disorder. Secondary outcomes included discontinuation of
the index antipsychotic, use of an additional antipsychotic, incidence of serious
medical conditions, and mortality. RESULTS: Initiation of clozapine was
associated with a significantly decreased rate of psychiatric hospital admission
(hazard ratio=0.78, 95% CI=0.69-0.88), index antipsychotic discontinuation
(hazard ratio=0.60, 95% CI=0.55-0.65), and use of an additional antipsychotic
(hazard ratio=0.76, 95% CI=0.70-0.82). Clozapine was associated with
significantly increased incidence of diabetes mellitus (2.8% for clozapine vs.
1.4% for standard antipsychotic; hazard ratio=1.63, 95% CI=0.98-2.70),
hyperlipidemia (12.9% for clozapine vs. 8.5% for standard antipsychotic; hazard
ratio=1.40, 95%CI=1.09-1.78), and intestinal obstruction (0.9% for clozapine vs.
0.3% for standard antipsychotic; hazard ratio=2.50, 95% CI=0.97-6.44).
CONCLUSIONS: In adults with schizophrenia and evidence of treatment resistance,
initiating clozapine compared with initiating a standard antipsychotic was
associated with greater effectiveness on several important outcomes. Increasing
the judicious use of clozapine is warranted together with vigilance to prevent
and detect serious medical adverse effects.
PMID- 26541816
TI - MetAnnotate: function-specific taxonomic profiling and comparison of metagenomes.
AB - BACKGROUND: Metagenomes provide access to the taxonomic composition and
functional capabilities of microbial communities. Although metagenomic analysis
methods exist for estimating overall community composition or metabolic
potential, identifying specific taxa that encode specific functions or pathways
of interest can be more challenging. Here we present MetAnnotate, which addresses
the common question: "which organisms perform my function of interest within my
metagenome(s) of interest?" MetAnnotate uses profile hidden Markov models to
analyze shotgun metagenomes for genes and pathways of interest, classifies
retrieved sequences either through a phylogenetic placement or best hit approach,
and enables comparison of these profiles between metagenomes. RESULTS: Based on a
simulated metagenome dataset, the tool achieves high taxonomic classification
accuracy for a broad range of genes, including both markers of community
abundance and specific biological pathways. Lastly, we demonstrate MetAnnotate by
analyzing for cobalamin (vitamin B12) synthesis genes across hundreds of aquatic
metagenomes in a fraction of the time required by the commonly used Basic Local
Alignment Search Tool top hit approach. CONCLUSIONS: MetAnnotate is multi
threaded and installable as a local web application or command-line tool on Linux
systems. Metannotate is a useful framework for general and/or function-specific
taxonomic profiling and comparison of metagenomes.
PMID- 26541817
TI - Effects of in vitro endochondral priming and pre-vascularisation of human MSC
cellular aggregates in vivo.
AB - INTRODUCTION: During endochondral ossification, both the production of a
cartilage template and the subsequent vascularisation of that template are
essential precursors to bone tissue formation. Recent studies have found the
application of both chondrogenic and vascular priming of mesenchymal stem cells
(MSCs) enhanced the mineralisation potential of MSCs in vitro whilst also
allowing for immature vessel formation. However, the in vivo viability,
vascularisation and mineralisation potential of MSC aggregates that have been pre
conditioned in vitro by a combination of chondrogenic and vascular priming, has
yet to be established. In this study, we test the hypothesis that a tissue
regeneration approach that incorporates both chondrogenic priming of MSCs, to
first form a cartilage template, and subsequent pre-vascularisation of the
cartilage constructs, by co-culture with human umbilical vein endothelial cells
(HUVECs) in vitro, will improve vessel infiltration and thus mineral formation
once implanted in vivo. METHODS: Human MSCs were chondrogenically primed for 21
days, after which they were co-cultured with MSCs and HUVECs and cultured in
endothelial growth medium for another 21 days. These aggregates were then
implanted subcutaneously in nude rats for 4 weeks. We used a combination of
bioluminescent imaging, microcomputed tomography, histology (Masson's trichrome
and Alizarin Red) and immunohistochemistry (CD31, CD146, and alpha-smooth actin)
to assess the vascularisation and mineralisation potential of these MSC
aggregates in vivo. RESULTS: Pre-vascularised cartilaginous aggregates were found
to have mature endogenous vessels (indicated by alpha-smooth muscle actin walls
and erythrocytes) after 4 weeks subcutaneous implantation, and also viable human
MSCs (detected by bioluminescent imaging) 21 days after subcutaneous
implantation. In contrast, aggregates that were not pre-vascularised had no
vessels within the aggregate interior and human MSCs did not remain viable beyond
14 days. Interestingly, the pre-vascularised cartilaginous aggregates were also
the only group to have mineralised nodules within the cellular aggregates,
whereas mineralisation occurred in the alginate surrounding the aggregates for
all other groups. CONCLUSIONS: Taken together these results indicate that a
combined chondrogenic priming and pre-vascularisation approach for in vitro
culture of MSC aggregates shows enhanced vessel formation and increased
mineralisation within the cellular aggregate when implanted subcutaneously in
vivo.
PMID- 26541818
TI - The natural dual cyclooxygenase and 5-lipoxygenase inhibitor flavocoxid is
protective in EAE through effects on Th1/Th17 differentiation and
macrophage/microglia activation.
AB - Prostaglandins and leukotrienes, bioactive mediators generated by cyclooxygenases
(COX) and 5-lipoxygenase (5-LO) from arachidonic acid, play an essential role in
neuroinflammation. High levels of LTB4 and PGE2 and increased expression of COX
and 5-LO, as well as high expression of PGE2 receptors were reported in multiple
sclerosis (MS) patients and in experimental autoimmune encephalomyelitis (EAE).
Prostaglandins and leukotrienes have an interdependent and compensatory role in
EAE, which led to the concept of therapy using dual COX/5-LO inhibitors. The
plant derived flavocoxid, a dual COX/5-LO inhibitor with anti-inflammatory and
antioxidant properties, manufactured as a prescription pharmaconutrient, was
reported to be neuroprotective in models of transient ischemic stroke and brain
injury. The present study is the first report on prophylactic and therapeutic
effects of flavocoxid in EAE. The beneficial effects correlate with reduced
expression of proinflammatory cytokines and of COX2 and 5-LO in spinal cords and
spleens of EAE mice. The protective mechanisms include: 1. reduction in
expression of MHCII/costimulatory molecules and production of proinflammatory
cytokines; 2. promotion of the M2 phenotype including IL-10 expression and
release by macrophages and microglia; 3. inhibition of Th1 and Th17
differentiation through direct effects on T cells. The direct inhibitory effect
on Th1/Th17 differentiation, and promoting the development of M2 macrophages and
microglia, represent novel mechanisms for the flavocoxid anti-inflammatory
activity. As a dual COX/5-LO inhibitor with antioxidant properties, flavocoxid
might be useful as a potential therapeutic medical food agent in MS patients.
PMID- 26541819
TI - Microglia regulate hippocampal neurogenesis during chronic neurodegeneration.
AB - Neurogenesis is altered in neurodegenerative disorders, partly regulated by
inflammatory factors. We have investigated whether microglia, the innate immune
brain cells, regulate hippocampal neurogenesis in neurodegeneration. Using the
ME7 model of prion disease we applied gain- or loss-of CSF1R function, as means
to stimulate or inhibit microglial proliferation, respectively, to dissect the
contribution of these cells to neurogenesis. We found that increased hippocampal
neurogenesis correlates with the expansion of the microglia population. The
selective inhibition of microglial proliferation caused a reduction in
neurogenesis and a restoration of normal neuronal differentiation, supporting a
pro-neurogenic role for microglia. Using a gene screening strategy, we identified
TGFbeta as a molecule controlling the microglial pro-neurogenic response in
chronic neurodegeneration, supported by loss-of-function mechanistic experiments.
By the selective targeting of microglial proliferation we have been able to
uncover a pro-neurogenic role for microglia in chronic neurodegeneration,
suggesting promising therapeutic targets to normalise the neurogenic niche during
neurodegeneration.
PMID- 26541820
TI - Updated prevalence rates of overweight and obesity in 11- to 17-year-old
adolescents in Germany. Results from the telephone-based KiGGS Wave 1 after
correction for bias in self-reports.
AB - BACKGROUND: The nationwide "German Health Interview and Examination Survey for
Children and Adolescents" (KiGGS), conducted in 2003-2006, showed an increase in
the prevalence rates of overweight and obesity compared to the early 1990s,
indicating the need for regularly monitoring. Recently, a follow-up-KiGGS Wave 1
(2009-2012)-was carried out as a telephone-based survey, providing self-reported
height and weight. Since self-reports lead to a bias in prevalence rates of
weight status, a correction is needed. The aim of the present study is to obtain
updated prevalence rates for overweight and obesity for 11- to 17-year olds
living in Germany after correction for bias in self-reports. METHODS: In KiGGS
Wave 1, self-reported height and weight were collected from 4948 adolescents
during a telephone interview. Participants were also asked about their body
perception. From a subsample of KiGGS Wave 1 participants, measurements for
height and weight were collected in a physical examination. In order to correct
prevalence rates derived from self-reports, weight status categories based on
self-reported and measured height and weight were used to estimate a correction
formula according to an established procedure under consideration of body
perception. The correction procedure was applied and corrected rates were
estimated. RESULTS: The corrected prevalence of overweight, including obesity,
derived from KiGGS Wave 1, showed that the rate has not further increased
compared to the KiGGS baseline survey (18.9 % vs. 18.8 % based on the German
reference). CONCLUSION: The rates of overweight still remain at a high level. The
results of KiGGS Wave 1 emphasise the significance of this health issue and the
need for prevention of overweight and obesity in children and adolescents.
PMID- 26541822
TI - Impact of intrafractional respiratory-induced prostate mobility on PTV size.
AB - PURPOSE: Daily image-guided radiation therapy significantly reduces setup errors,
but it does not minimize intrafractional target mobility; respiratory-induced
target motion is one of the reasons for this. Therefore, the main aim of this
study was to evaluate the impact of respiratory-induced prostate motion on the
clinical target volume (CTV) margins. METHODS: The analysis comprised 50 videos
stored in digital format and recorded in a group of 50 patients during image
guided radiation therapy for prostate cancer. Fluoroscopy time was 10 seconds.
Respiratory motion of the prostate in the anterior-posterior and lateral
direction was assessed on the basis of a fiducial marker (GoldAnchor) implanted
into the prostate before the treatment planning procedure. RESULTS: The average
values and standard deviations of respiratory-induced prostate motion in the
superior-inferior and left-right (lateral) directions were 2.6 +/- 2.1 mm and 0.7
+/- 0.7 mm, respectively. The CTV margins calculated according to the van Herk
formula based on respiratory prostate motion were 8 mm in the superior-inferior
direction and 2 mm in the lateral direction. CONCLUSIONS: Respiratory-induced
prostate mobility during radiotherapy is significant especially in the superior
inferior direction, and can thus induce a geographical error. This confirms the
need for determining CTV margins for this type of respiratory-induced mobility.
PMID- 26541823
TI - The legacy of a pioneer.
PMID- 26541821
TI - Region-specific dendritic simplification induced by Abeta, mediated by tau via
dysregulation of microtubule dynamics: a mechanistic distinct event from other
neurodegenerative processes.
AB - BACKGROUND: Dendritic simplification, a key feature of the neurodegenerative
triad of Alzheimer's disease (AD) in addition to spine changes and neuron loss,
occurs in a region-specific manner. However, it is unknown how changes in
dendritic complexity are mediated and how they relate to spine changes and neuron
loss. RESULTS: To investigate the mechanisms of dendritic simplification in an
authentic CNS environment we employed an ex vivo model, based on targeted
expression of enhanced green fluorescent protein (EGFP)-tagged constructs in
organotypic hippocampal slices of mice. Algorithm-based 3D reconstruction of
whole neuron morphology in different hippocampal regions was performed on slices
from APPSDL-transgenic and control animals. We demonstrate that induction of
dendritic simplification requires the combined action of amyloid beta (Abeta) and
human tau. Simplification is restricted to principal neurons of the CA1 region,
recapitulating the region specificity in AD patients, and occurs at sites of
Schaffer collateral input. We report that gamma-secretase inhibition and
treatment with the NMDA-receptor antagonist, CPP, counteract dendritic
simplification. The microtubule-stabilizing drug epothilone D (EpoD) induces
simplification in control cultures per se. Similar morphological changes were
induced by a phosphoblocking tau construct, which also increases microtubule
stability. In fact, low nanomolar concentrations of naturally secreted Abeta
decreased phosphorylation at S262 in a cellular model, a site which is known to
directly modulate tau-microtubule interactions. CONCLUSIONS: The data provide
evidence that dendritic simplification is mechanistically distinct from other
neurodegenerative events and involves microtubule stabilization by dendritic tau,
which becomes dephosphorylated at certain sites. They imply that treatments
leading to an overall decrease of tau phosphorylation might have a negative
impact on neuronal connectivity.
PMID- 26541824
TI - NtGNL1a ARF-GEF acts in endocytosis in tobacco cells.
AB - BACKGROUND: Processes of anterograde and retrograde membrane trafficking play an
important role in cellular homeostasis and dynamic rearrangements of the plasma
membrane (PM) in all eukaryotes. These processes depend on the activity of
adenosine ribosylation factors (ARFs), a family of GTP-binding proteins and their
guanine exchange factors (GEFs). However, knowledge on the function and
specificity of individual ARF-GEFs for individual steps of membrane trafficking
pathways is still limited in plants. RESULTS: In this work, treatments with
various trafficking inhibitors showed that the endocytosis of FM 4-64 is largely
dynamin-dependent and relies on proteins containing endocytic tyrosine-based
internalization motif and intact cytoskeleton. Interestingly, brefeldin A (BFA),
reported previously as an inhibitor of anterograde membrane trafficking in
plants, appeared to be the most potent inhibitor of endocytosis in tobacco. In
concert with this finding, we demonstrate that the point mutation in the Sec7
domain of the GNOM-LIKE protein1a (NtGNL1a) confers intracellular trafficking
pathway-specific BFA resistance. The internalization of FM 4-64 and trafficking
of PIN-FORMED1 (PIN1) auxin efflux carrier in BY-2 tobacco cells were studied to
reveal the function of the ARF-GEF NtGNL1a in these. CONCLUSIONS: Altogether, our
observations uncovered the role of NtGNL1a in endocytosis, including endocytosis
of PM proteins (as PIN1 auxin efflux carrier). Moreover these data emphasize the
need of careful evaluation of mode of action of non-native inhibitors in various
species. In addition, they demonstrate the potential of tobacco BY-2 cells for
selective mapping of ARF-GEF-regulated endomembrane trafficking pathways.
PMID- 26541826
TI - Preparing Patients and Partners for Recovery From the Side Effects of Prostate
Cancer Surgery: A Group Approach.
AB - OBJECTIVE: To evaluate the acceptance and knowledge attained in a preoperative
psychoeducational group seminar for patients and partners. Education before
radical prostatectomy (RP) helps patients set appropriate expectations for
functional recovery. We hypothesized that the seminar would be acceptable and
would facilitate learning. MATERIALS AND METHODS: Men scheduled for RP from March
1, 2012, to July 31, 2013, were eligible, and partners were invited. The 2.5-hour
interactive seminar included multidisciplinary presentations about surgery
related urinary and sexual outcomes, rehabilitation, and couples' work toward
recovering sexual intimacy. A satisfaction and knowledge survey was administered
immediately afterward. We analyzed demographic and satisfaction data with
descriptive statistics and evaluated congruence of patients' and partners'
knowledge responses using nonparametric statistics. RESULTS: Of 618 patients
scheduled, 426 patients and 342 partners attended; 323 couples provided complete
data. Over 90% of participants found the seminar informative and 74% found a
group setting comfortable; 84% found travel to the seminar burdensome. Most
patients and partners (84% and 90%, respectively) expected some urinary
incontinence and understood rehabilitation strategies to regain bladder control;
84% of patients and 78% of partners expected postsurgery sexual activity to be
different and 73% of patients and 65% of partners expected surgery to make
erections worse. Couples were incongruent regarding frequency of incontinence,
likelihood of erectile dysfunction, and sex being different after surgery:
patients were more realistic. CONCLUSION: A preoperative psychoeducational group
seminar on the recovery from RP side effects promotes realistic expectations and
is acceptable to patients and partners. Incongruent couples may need further
instruction after surgery. Web-based methodology could improve access and should
be studied in future research.
PMID- 26541827
TI - WITHDRAWN: Editorial Comment on "Abnormal Expression of Sg I is Closely Related
to Seminal Vesiculitis".
AB - The Publisher regrets that this article is an accidental duplication of an
article that has already been published,
http://dx.doi.org/10.1016/j.urology.2015.08.052. The duplicate article has
therefore been withdrawn. The full Elsevier Policy on Article Withdrawal can be
found at http://www.elsevier.com/locate/withdrawalpolicy.
PMID- 26541825
TI - DDX3, a potential target for cancer treatment.
AB - RNA helicases are a large family of proteins with a distinct motif, referred to
as the DEAD/H (Asp-Glu-Ala-Asp/His). The exact functions of all the human DEAD/H
box proteins are unknown. However, it has been consistently demonstrated that
these proteins are associated with several aspects of energy-dependent RNA
metabolism, including translation, ribosome biogenesis, and pre-mRNA splicing. In
addition, DEAD/H box proteins participate in nuclear-cytoplasmic transport and
organellar gene expression.A member of this RNA helicase family, DDX3, has been
identified in a variety of cellular biogenesis processes, including cell-cycle
regulation, cellular differentiation, cell survival, and apoptosis. In cancer,
DDX3 expression has been evaluated in patient samples of breast, lung, colon,
oral, and liver cancer. Both tumor suppressor and oncogenic functions have been
attributed to DDX3 and are discussed in this review. In general, there is
concordance with in vitro evidence to support the hypothesis that DDX3 is
associated with an aggressive phenotype in human malignancies. Interestingly,
very few cancer types harbor mutations in DDX3, which result in altered protein
function rather than a loss of function.Efficacy of drugs to curtail cancer
growth is hindered by adaptive responses that promote drug resistance, eventually
leading to treatment failure. One way to circumvent development of resistant
disease is to develop novel drugs that target over-expressed proteins involved in
this adaptive response. Moreover, if the target gene is developmentally
regulated, there is less of a possibility to abruptly accumulate mutations
leading to drug resistance. In this regard, DDX3 could be a druggable target for
cancer treatment. We present an overview of DDX3 biology and the currently
available DDX3 inhibitors for cancer treatment.
PMID- 26541828
TI - Fast lithium-ionic conduction in a new complex hydride-sulphide crystalline
phase.
AB - A new crystalline phase derived from a 90LiBH4:10P2S5 mixture displays high
lithium-ionic conductivity of log(sigma/S cm(-1)) = -3.0 at 300 K. It is stable
up to 473 K and has both a wide potential window of 0-5 V and favorable
mechanical properties for battery assembly. Its incorporation into a bulk-type
all-solid-state TiS2/InLi battery enabled repeated battery operation at 300 K.
PMID- 26541829
TI - Atypical Fast-Slow Atrioventricular Nodal Reentrant Tachycardia Incorporating a
"Superior" Slow Pathway: A Distinct Supraventricular Tachyarrhythmia.
AB - BACKGROUND: The existence of an atypical fast-slow (F/S) atrioventricular nodal
reentrant tachycardia (AVNRT) including a superior (sup) pathway with slow
conductive properties and an atrial exit near the His bundle has not been
confirmed. METHODS AND RESULTS: We studied 6 women and 2 men (age, 74 +/- 7
years) with sup-F/S-AVNRT who underwent successful radiofrequency ablation near
the His bundle. Programmed ventricular stimulation induced retrograde conduction
over a superior SP with an earliest atrial activation near the His bundle, a mean
shortest spike-atrial interval of 378 +/- 119 milliseconds, and decremental
properties in all patients. sup-F/S-AVNRT was characterized by a long-RP
interval; a retrograde atrial activation sequence during tachycardia identical to
that over a sup-SP during ventricular pacing; ventriculoatrial dissociation
during ventricular overdrive pacing of the tachycardia in 5 patients or
atrioventricular block occurring during tachycardia in 3 patients, excluding
atrioventricular reentrant tachycardia; termination of the tachycardia by ATP;
and a V-A-V activation sequence immediately after ventricular induction or
entrainment of the tachycardia, including dual atrial responses in 2 patients.
Elimination or modification of retrograde conduction over the sup-SP by ablation
near the right perinodal region or from the noncoronary cusp of Valsalva
eliminated and confirmed the diagnosis of AVNRT in 4 patients each. CONCLUSIONS:
sup-F/S-AVNRT is a distinct supraventricular tachycardia, incorporating an SP
located above the Koch triangle as the retrograde limb, that can be eliminated by
radiofrequency ablation.
PMID- 26541830
TI - Minimal or maximal surgery for esophageal cancer?
PMID- 26541831
TI - Outcome after aortic valve replacement in children: A systematic review and meta
analysis.
AB - OBJECTIVE: Despite an increasing interest in pediatric aortic valve repair,
aortic valve replacement in children may be unavoidable. The evidence on outcome
after pediatric aortic valve replacement is limited and usually reported in small
case series. This systematic review and meta-analysis aims to provide an overview
of reported outcome of pediatric patients after aortic valve replacement.
METHODS: A systematic literature search for publications reporting outcome after
pediatric aortic valve replacement published between January 1990 and May 2015
was conducted. Studies written in English with a study size of more than 30
patients were included. RESULTS: Thirty-four publications reporting on 42 cohorts
were included in this review: 26 concerning the Ross procedure (n = 2409), 13
concerning mechanical prosthesis aortic valve replacement (n = 696), and 3
concerning homograft aortic valve replacement (n = 224). There were no studies on
bioprostheses that met our inclusion criteria. The pooled mean patient age was
9.4 years, 12.8 years, and 8.9 years for Ross, mechanical prosthesis, and
homograft recipients, respectively. Pooled mean follow-up was 6.6 years. The Ross
procedure was associated with lower early (4.20%; 95% confidence interval [CI],
3.37-5.22 vs 7.34%; 95% CI, 5.21-10.34 vs 12.82%; 95% CI, 8.91-18.46) and late
mortality (0.64%/y; 95% CI, 0.49-0.84 vs 1.23%/y; 95% CI, 0.85-1.79 vs 1.59%/y;
95% CI, 1.03-2.46) compared with mechanical prosthesis aortic valve replacement
and homograft aortic valve replacement, respectively. No significantly different
aortic valve reoperation rates were observed between the Ross procedure and
mechanical prosthesis aortic valve replacement (1.60%/y; 95% CI, 1.27-2.02 vs
1.07%/y; 95% CI, 0.68-1.68, respectively), whereas homograft aortic valve
replacement was associated with significantly higher aortic valve reoperation
rates (5.44%/y; 95% CI, 4.24-6.98). The Ross procedure-associated right
ventricular outflow tract reoperation rate was 1.91% per year (95% CI, 1.50
2.44). CONCLUSIONS: This systematic review illustrates that all currently
available aortic valve substitutes are associated with suboptimal results in
children, reflecting the urgent need for reliable and durable repair techniques
and innovative replacement solutions for this challenging group of patients.
PMID- 26541832
TI - Minimally invasive mitral repair: The cost is the same, but what is the price?
PMID- 26541833
TI - A prospective study of a modified pin-in-plaster technique for treatment of
fractures of the distal radius.
AB - OBJECTIVES: There are various pin-in-plaster methods for treating fractures of
the distal radius. The purpose of this study is to introduce a modified technique
of 'pin in plaster'. METHODS: Fifty-four patients with fractures of the distal
radius were followed for one year post-operatively. Patients were excluded if
they had type B fractures according to AO classification, multiple injuries or
pathological fractures, and were treated more than seven days after injury. Range
of movement and functional results were evaluated at three and six months and one
and two years post-operatively. Radiographic parameters including radial
inclination, tilt, and height, were measured pre- and post-operatively. RESULTS:
The average radial tilt was 10.6 degrees of volar flexion and radial height was
10.2 mm at the sixth month post-operatively. Three cases of pin tract infection
were recorded, all of which were treated successfully with oral antibiotics.
There were no cases of pin loosening. A total of 73 patients underwent surgery,
and three cases of radial nerve irritation were recorded at the time of cast
removal. All radial nerve palsies resolved at the six-month follow-up. There were
no cases of median nerve compression or carpal tunnel syndrome, and no cases of
tendon injury. CONCLUSION: Our modified technique is effective to restore
anatomic congruity and maintain reduction in fractures of the distal radius. Cite
this article: Bone Joint Res 2015;4:176-180.
PMID- 26541834
TI - Components of chicken egg white extract smaller than 3 kDa in size promote 293T
cell proliferation.
AB - We previously found that chicken egg white extract could promote cell survival
and proliferation. In the present study, we further separated this extract into
its components to identify those primarily responsible for promoting cell
proliferation. Components of differing molecular weight were separated from
chicken egg white extract by ultrafiltration and 293T cell cultures were
supplemented with various concentrations. The effects on cell proliferation were
subsequently determined by a CellTiter 96 Aqueous One Solution Cell Proliferation
Assay kit (Promega). We demonstrate that components from chicken egg white
smaller than 3 kDa in size are able to function as active ingredients promoting
cellular proliferation. This discovery may identify a new and convenient additive
for cell culture media to promote cell growth and proliferation.
PMID- 26541835
TI - Endurance training in patients with schizophrenia and healthy controls:
differences and similarities.
AB - The aims were to examine the feasibility of and adaptations to endurance training
in persons diagnosed with schizophrenia and to address the question whether the
principles and beneficial effects of endurance training established in the
healthy population apply also to patients with schizophrenia. In this controlled
interventional study, 22 patients with schizophrenia and 22 healthy controls
performed a standardized aerobic endurance training on bicycle ergometers over 12
weeks. Another group of 21 patients with schizophrenia played table soccer.
Endurance capacity was measured with incremental cycle ergometry before and after
the intervention and 3 months later. A specific set of outcome parameters was
defined. The training stimuli can be assumed to be similar in both endurance
groups. Endurance capacity improved significantly in the endurance groups, but
not in the table soccer group. Patients and healthy controls showed comparable
adaptations to endurance training, as assessed by physical working capacity and
maximal achieved power. Differences were found in changes of performance at a
lactate concentration of 3 mmol/l. Endurance training was feasible and effective
in both groups. The principles and types of training that are usually applied to
healthy controls need to be verified in patients with schizophrenia.
Nevertheless, patients benefited from endurance training in terms of improvement
of endurance capacity and reduction in the baseline deficit in comparison with
healthy controls. Therefore, endurance training should be implemented in future
therapy programs. These programs need to pay special attention to the differences
between patients with schizophrenia and healthy controls.
PMID- 26541836
TI - [Management of uveomeningitis in internal medicine: Proposal for a diagnostic
work-up].
AB - Uveomeningitis relates to an inflammatory state extending from iris and ciliary
bodies to the choroid behind the eye. Because of a close contact between eye and
brain, and barrier disruption, the inflammation can spread into the central
nervous system (CNS). We review the clinical manifestations of uveitis, which are
known to provide helpful clues to the diagnosis and describe the infectious,
inflammatory, and neoplastic conditions classically associated with the
uveomeningitis. Inflammatory or auto-immune diseases are probably the most common
clinically recognized causes of uveomeningitis associated with a significant
pleiocytosis. These entities often cause inflammation of various tissues in the
body, including ocular structures and the meninges (i.e., sarcoidosis, Behcet's
disease, and Vogt-Koyanagi-Harada syndrome). The association of an infectious
uveitis with an acute or a chronic meningo-encephalitis is unusual but
occasionally the eye examination may suggest an infectious etiology or even a
specific organism responsible for an uveomeningitis. One should consider the
diagnosis of primary ocular-CNS lymphoma in patients of 40 years of age or older
with bilateral uveitis, especially with prominent vitritis, showing poor response
to corticosteroid therapy. Finally, an algorithm for the diagnostic approach of
uveomeningitis is proposed.
PMID- 26541837
TI - [MiRNA-381 inhibits the invasion of renal carcinoma and ?the underlying
mechanisms].
AB - OBJECTIVE: To determine the inhibitory effect of miRNA-381 on renal carcinoma
invasion and to explore the underlying mechanisms.? METHODS: After up-regulation
of miRNA-381, the inhibitory effect of miR-381 on cell invasion was investigated.
We screened the target genes of miRNA-381 in a database (starBase) through
combination of five programs including targetscan, picTar, RNA22, PITA and
miRanda. Then, the predicted targeting genes were verified by the dual luciferase
reporter assay. We also examined the expression of miRNA-381 and its target genes
in renal cancer cells and tissues.? RESULTS: Transfection and up-regulation of
miRNA-381 resulted in a significant decrease in trans-membrane cell numbers and
the ability of renal cell invasion. Bioinformatics analysis showed that CREB
binding protein (CBP), beta-catenin and lymphoid enhancer binding factor-1 (LEF
1) were the potential targets of miRNA-381. In the luciferase reporter gene
system, co-transfection of miRNA-381 with the 3'UTR of wild-type target gene led
to a significant decrease in luciferase activity. The expression of miRNA-381 was
decreased in various renal cancer cells, and it was particularly lower in highly
metastatic cell lines (786-OHM). On the contrary, the expression levels of miRNA
381 target genes (CBP, beta-catenin and LEF-1) were significantly increased in
cells and tissues.? CONCLUSION: MiRNA-381 can inhibit cell invasion in renal
cancer by block the function of CBP, beta-catenin and LEF-1.
PMID- 26541838
TI - [MicroRNA-33a regulates the invasion of cervical cancer cells via targeting
Twist1].
AB - OBJECTIVE: To examine the expression of Twist1 in cervical cancer and to explore
its biological function in the progression of cervical cancer.? METHODS: The
expressions of Twist1 in 32 cervical cancers and matched normal tissues were
examined by immunohistochemistry (IHC). Cell invasive ability and the expression
of invasion-related genes were determined in RNAi-based Twist1-silencing HeLa
cells. The relationship between Twist1 and microRNA-33a (miR-33a) in cervical
cancer was studied by Pearson correlation analysis, and the roles of miR-33a in
regulation of Twist1 and cell invasiveness were studied.? RESULTS: The positive
expression rate of Twist1 was 75.0% (24/32) and 21.9% (7/32) in the cervical
cancer and the matched normal tissues, respectively, with significant difference
between them (P<0.05). Twist1 shRNA significantly decreased the invasiveness of
HeLa cells (P<0.05). Compared with the matched normal tissues, the expression of
miR-33a was increased in the cervical cancer tissues, which was negatively
correlated with Twist1 (r=-0.661, P<0.05). Overexpression of miR-33a could
significantly suppress Twist1 expression as well as cell invasiveness (P<0.05).?
CONCLUSION: Twist1 is critical for the invasiveness of cervical cancer cells; miR
33a, as a tumor suppressor gene, functions as an upstream regulator of Twist1 and
is involved in the invasiveness of cervical cancer cell.
PMID- 26541839
TI - [Effect of nano-realgar on proliferation and apoptosis of human cervical
carcinoma cells].
AB - OBJECTIVE: To investigate the effect of nano-realgar on proliferation and
apoptosis of cervical cancer cells.? METHODS: Different cervical cancer cell
lines (Caski/HPV16+, adeno carcinoma; Hela/HPV18+, squmous carcinoma; C33A/HPV-,
adeno carcinoma) were incubated with nano-realgar at different concentrations (5,
10, 20, 40 mg/L) for different times (24, 48, 72, 96 h). The morphology was
observed under phase contrast microscope. The cell viability and apoptosis were
examined by MTT and flow cytometry, respectively.? RESULTS: The inhibitory effect
of nano-realgar on the proliferation of cervical cancer cells was in a dose
dependent manner, with a range of inhibitory rate from 9.02% to 49.06%. Taking
the group (20 mg/L) for an example, the inhibitory rates for Caski, Hela and C33A
were 39.15%, 36.17% and 30.56%, respectively. The results of flow cytometry
showed that the nano-realgar induced apoptosis in a concentration-dependent
manner, with a range of apoptosis rate from 19.29% to 99.54%. Also taking the
group (20 mg/L) for an example, the apoptosis rates for Caski, Hela and C33A were
(60.43 +/- 2.88)%, (41.95 +/- 3.01)% and (43.49 +/- 2.19)%, respectively. High
concentration of nano-realgar (20 or 40 mg/L) could induce block of Hela and
Caski at G2/M stage.? CONCLUSION: Nano-realgar can inhibit the proliferation of
different cervical carcinoma cell lines and can induce the cell apoptosis. The
inhibitory effect on cell proliferation is strongest for Caski, followed by Hela
and C33A. It can also induce G2/M stage block on HPV positive cervical cancer
cells at high enough concentration.
PMID- 26541840
TI - [Diagnosis of primary tracheobronchial amyloidosis by multiplanar reconstruction
of the computed tomography combined with bronchoscope].
AB - OBJECTIVE: To summarize the specific CT characteristics and the endoscopic
findings of primary tracheobronchial amyloidosis (PTBA) for improvement of the
diagnostic accuracy.? METHODS: The imaging features of 6 patients with PTBA were
analyzed by multiplanar reconstructed CT and the fiberoptic bronchoscope, and the
pathology were summarized retrospectively.? RESULTS: All PTBA patients received
bronchoscopic examination and the definite diagnosis were confirmed by positive
staining with Congo red. PTBA presented diffuse thickening of major airway and
lumen stenosis in various degrees with scattered hemorrhage of the mucous
membrane under CT and bronchoscope, which was more obvious in low part of
trachea, main bronchus and lobar bronchus. The mucosa of trachea and bilateral
main bronchi were irregular and bumpy with jutting nodes in 5 patients, which was
called "wavy path" pattern. Widely nodular or stripy calcifications of airway
were found in 4 patients, which was considered as specific imaging features in
PTBA and was involved bilateral main bronchi largely. There were obstructive
atelectasis in 2 patients, and calcifications of hilus of lung with longitudinal
diaphragm lymph nodes in 3 patients, but they were not specific. Ignoring the
extensive circumferential thickening of large airway, "wavy path sign" and rail
like calcification was mainly responsible for misdiagnosis of PTBA as
endobronchial tuberculosis or other diseases.? CONCLUSION: Attentions to the
specific imaging features on multiplanar CT and the endoscopic findings are the
fundamentals to avoid the misdiagnosis of PTBA.
PMID- 26541841
TI - [Value of acute renal injury associated biomarkers for patients in intensive care
unit].
AB - OBJECTIVE: To evaluate the early predictive and diagnostic significance of the
acute kidney injury (AKI) associated biomarkers for patients in the intensive
care unit (ICU).? METHODS: From January to June, 2014, relevant clinical data of
participants were collected upon admission to the intensive care unit (ICU) in
Affiliated Hospital of Zunyi Medical College. Levels of serum cystatin C (sCys
C), neutrophil gelatinase-associated lipocalin (sNGAL), urinary neutrophil
gelatinase-associated lipocalin (uNGAL), urinary kidney injury molecule-1 (uKIM
1), interleukin-18 (uIL-18), and N-acetyl-beta-D-glucosaminidase (uNAG) were
detected by enzyme linked immune sorbent assay (ELISA), and compared between AKI
and non-AKI patients. Diagnostic significance of these biomarkers was evaluated
by a receiver operating characteristic (ROC) curve and the area under the ROC
curve.? RESULTS: A total of 176 patients were enrolled in this study. Among them,
71 patients were diagnosed as AKI, in which 57 patients hospitalized with AKI and
14 developed AKI after 24 h hospitalization. The renal replacement therapy ratio
was increased with the progress of clinical stage for AKI. AKI mortality rate was
18.8% (46.5% of the total number of deaths). The levels of sCys C, sNGAL, uNGAL,
and uIL-18 in AKI patients were increased compared with those in the non-AKI
patients (P<0.05). With the progress of AKI, sCys C, and uNGAL levels were also
elevated. In 14 patients who suffered from AKI 24 h after hospitalization, the
average levels of sCys C, uNGAL, uIL-18, and uKIM-1 were significantly increased
(P<0.05). Sensitivity and specificity of the uNGAL, sCys C, and uIL-18 in AKI
diagnosis were 97.2%, 76.1%, 54.9% and 93.3 %, 96.2%, 78.1%, respectively. The
areas under the ROC curve of uNGAL, sCys C, and uIL-18 were 0.99, 0.90, and 0.69,
respectively.? CONCLUSION: uNGAL, sCys C and uIL-18 can be used to predict and
diagnose AKI, and to evaluate the AKI clinical stage.
PMID- 26541842
TI - [Clinical observation on the combined therapy of sitagliptin with insulin for
patients with brittle diabetes].
AB - OBJECTIVE: To observe the clinical efficacy of sitagliptin plus insulin on
patients with brittle diabetes and to determine the effect of the combined
therapy on glucagon secretion.? METHODS: This randomized, double-blinded and
placebo-controlled trial included 30 patients with brittle diabetes. Participants
were randomly assigned (1:1) to receive the treatment of either sitagliptin plus
insulin or placebo plus insulin for 12 weeks. The blood glucose, hemoglobin A1c,
insulin dose, C-peptide, glucagon, glucagon-like peptide-1 (GLP-1), glucose
dependent insulinotropic polypeptide (GIP) and other parameters were determined.?
RESULTS: After 12 weeks of treatment, blood glucose was controlled better by
sitagliptin plus insulin (P<0.01). The patients had significantly lower glucose
variability indices, lower daily insulin requirement and hemoglobin A1c in the
group of sitagliptin plus insulin (P<0.01). After steamed bun test, past-meal GLP
1 levels at 30 min were higher (P<0.01) while GIP levels were lower (P<0.01),
with glucagon suppression in the sitagliptin plus insulin group. No significant
change was observed at any time point in placebo plus insulin group.? CONCLUSION:
Sitagliptin significantly decreases blood glucose level and blood glucose
fluctuation, which may contribute to the ability of sitagliptin in decreasing
glucagon secretion.
PMID- 26541843
TI - [System evaluation on Ginkgo Biloba extract in the treatment of acute cerebral
infarction].
AB - OBJECTIVE: To evaluate the effect and safety of Ginkgo Biloba extract on the
treatment of acute cerebral infarction.? METHODS: The Database of Wanfang, China
National Knowledge Infrastructure (CNKI) and VIPU were screened for literatures
regarding Ginkgo Biloba extract in the treatment of acute cerebral infarction,
including the clinical randomized controlled trials. Meta-analysis based on the
Revman 4.2 system was performed.? RESULTS: Compared with the control group,
treatment with Ginkgo Biloba extract enhanced efficacy in the treatment of acute
cerebral infarction (OR: 1.60-5.53), which displayed an improved neural function
defect score [WMD -3.12 (95%CI: -3.96- -2.28)].? CONCLUSION: Ginkgo Biloba
extract is beneficial to the improvement of neurological function in patients
with acute cerebral infarction and it is safe for patients.
PMID- 26541844
TI - [Effect of underground work on cardiovascular system ?in coal miners].
AB - OBJECTIVE: To study the effect of underground work on cardiovascular system
health in coal miners.? METHODS: Male coal miners, who received
electrocardiographic examinations between June, 2013 and August, 2014 in Hunan
Prevention and Treatment Institute for Occupational Diseases to exclude
pneumoconiosis, were enrolled for this study (n=3 134). Miners with 2 years or
more underground work experience were selected as the exposed group (n=2 370),
while miners without underground work experience were selected as the control
group (n=764). The prevalence of electrocardiographic abnormalities and the
influential factors were compared between the 2 groups.? RESULTS: The prevalences
of electrocardiographic abnormalities, hypertension, heart rate abnormalities and
cardiovascular system abnormalities in the exposed group vs the control group
were 37.6% vs 25.4%, 20.5% vs 13.4%, 5.7% vs 6.0%, 49.8% vs 35.2%, respectively.
The cardiovascular system abnormalities were correlated with the underground work
(OR=3.128, 95% CI: 1.969-4.970), the underground work experience (OR=1.205, 95%
CI: 1.070-1.358) and the type of works (mining worker OR=1.820, 95% CI: 1.527
2.169; auxiliary worker OR=1.937, 95% CI: 1.511-2.482; other worker OR=3.291,
95%CI: 2.120-5.109).? CONCLUSION: Underground work may increase the prevalence of
cardiovascular system abnormalities for coal miners. The longer the coal miners
work in underground, the higher the risk of the cardiovascular system
abnormalities they are.
PMID- 26541845
TI - [Relationship between dietary vitamin C and Type 2 diabetes].
AB - OBJECTIVE: To examine the correlation between dietary vitamin C intake and Type 2
diabetes.? METHODS: A total of 5 168 participants from Xiangya Hospital, Central
South University were randomly selected. According to the vitamin C intake, the
participants were divided into 5 groups: a Q1 group (n=1 033), a Q2 group (n=1
034), a Q3 group (n=1 034), a Q4 group (n=1 034) and a Q5 group (n=1 033). They
were also divided into a Type 2 diabetes group (n=502) and a non-diabetes group
(n=4 666). The height, weight, and blood pressure were measured, and vitamin C
intake and other dairy consumption were evaluated using a food frequency
questionnaire and fasting plasma glucose (FPG). The analysis of variance (ANOVA),
Chi-square test, Mann-Whitney U test and logistic regression model were used to
analyze the relationship between dietary vitamin C and Type 2 diabetes.? RESULTS:
The univariate analysis showed that there were significant differences in the
vitamin C consumption in energy intake, activity level, dietary fiber intake,
nutritional supplementation status, drinking or not drinking, education level
among the different vitamin C intake groups (all P<0.05). There were also
significant differences in age, sex, body mass index (BMI), smoking status and
vitamin C intake between the Type 2 diabetes group and the non-diabetes group
(all P<0.05). After the adjustment for age, gender, hypertension, energy intake
or smoking status, the multiple logistic regression model found that the
multivariable adjusted OR was 0.610 (95% CI 0.428-0.870) for ?the highest level
of vitamin C intake (>154.78 mg/d) in comparison with the lowest level (<= 63.26
mg/d). The results suggested that the vitamin C intake was inversely associated
with the Type 2 diabetes (r=-0.029, P<0.05).? CONCLUSION: There is a significant
negative correlation between the dietary vitamin C intake and the risk of Type 2
diabetes.
PMID- 26541846
TI - [Depression in patients with facial acne vulgaris and ?the influential factors].
AB - OBJECTIVE: To understand the influential factors for depression in patients with
facial acne vulgaris and to provide scientific evidence for a comprehensive and
systematic treatment for acne vulgaris.? METHODS: A total of 287 outpatients with
facial acne vulgaris, who visited the dermatology of the Third Xiangya Hospital,
were surveyed by Beck Depression Inventory (BDI). The data was collected by
Epidata software (version 3.1) and processed by SPSS software package (version
18.0). The influential factors for the depression of outpatients with facial acne
vulgaris were analyzed by multinomial logistic regression.? RESULTS: A total of
181 patients with facial acne vulgaris showed various degrees of depression (BDI
score>=5) and the rate was 63.1%. The symptoms for depression included sad and
pessimistic attitude as well as the decreased attention to others (social
withdrawal). The influential factors for mild, moderate or severe depression were
gender, the degree and the course of acne. Female patients were more likely to
suffer mild, moderate or severe depression (OR=3.62, 2.63, respectively); the
risk of depression in acne patients was increased with the increase in degree of
the severity (OR=2.31, 4.51, respectively); the patients with the acne course
more than a year were more likely to show mild depression than those with a
course less than a year (OR=4.30, 7.44, respectively). The patients with acne
course more than 3 years were more likely to show moderate or severe depression
compared to those with a course less than a year (OR=3.60).? CONCLUSION: Most of
facial acne patients show a different degree of depression. The acne course is
longer in female patients. The more severe the acne vulgaris is, the more
suffering of the depression is. Psychological care should be considered to
improve the treatment and quality of life.
PMID- 26541847
TI - [Free radial forearm flap for reconstruction of head and neck soft tissue defects
after tumor resection].
AB - OBJECTIVE: To explore the clinical effect of the free radial forearm flap on
repairing tissue defects and reconstructing functions after tumor resection.?
METHODS: From January, 2003 to December, 2011, 70 patients, including 43 squamous
cell carcinomas of tongue, 12 buccal cancers, 5 carcinomas of the soft palate, 4
basal cell carcinomas of external nose, 3 lower lip cancers, 2 upper lip cancers,
and 1 posterior wall of hypopharynx carcinoma, with the soft tissue defects in
the head and neck underwent reconstructive operations with the free radial
forearm flap after the malignant tumor resection. The area of defects ranged from
5 cm * 4 cm to 14 cm * 8 cm with the process of diseases from 4 to 30 months. The
technique for grafting the free radial forearm flap and the appearance at sites
of the donor and recipient, and the influence on the anatomy and function in both
local sites were analyzed.? RESULTS: In the 70 patients, only 1 case of flap
appeared necrosis due to venous reflux obstacle, and the remaining (98.4 %)
survived. During the follow-up for 12-36 months, one case of hypopharyngeal
carcinoma died from distant metastasis a year later, 2 cases of tongue cancer
died of cardiovascular accident. Morphology and function for the sites at donor
and recipient were satisfactory.? CONCLUSION: Free radical forearm flap is a good
choice for the repair and functional reconstruction for tissue defects after
tumor resection.
PMID- 26541848
TI - [Effect of T4 endoscopic thoracic sympathicotomy on life quality in patients with
primary palmar hyperhidrosis].
AB - OBJECTIVE: To assess the quality of life in patients with primary palmar
hyperhidrosis before and after T4 endoscopic thoracic sympathicotomy (ETS) using
the modified rating scales system for life quality.? METHODS: Between June, 2009
and May, 2014, forty-eight patients with primary palmar hyperhidrosis received
ETS at the thoracic level T4. Patients completed self assessment of life quality
by the modified rating scales system before and after the surgery. Follow-up data
including effectiveness of operation, patient satisfaction and life quality were
obtained at 1 and 6 months after the surgery.? RESULTS: ETS at the thoracic level
T4 was performed successfully for all cases. No mortality or serious
complications were observed. No one needed thoracotomy in the period of surgery.
Mild or moderate compensatory sweating was appeared in 38 cases (79.1%) or 1 case
(2.1%), respectively. None severe case was observed after T4 ETS. About 97.9% of
the patients were very satisfied with the result of the operation and no patient
regretted the surgical procedure. All patients answered the quality of life (QoL)
questionnaire and showed the improvement of QoL after the procedure (F=763.67,
P<0.001).? CONCLUSION: Primary palmar hyperhidrosis led to the reduction of life
quality. ETS at T4 level could reduce sweating production and improve QoL in
patients with primary palmar hyperhidrosis.
PMID- 26541849
TI - [Imaging manifestations and pathologic basis for hepatic capsular retraction
syndrome caused by benign and malignant liver tumors].
AB - OBJECTIVE: To investigate the imaging manifestations of CT, MRI and pathological
basis for hepatic capsular retraction syndrome caused by benign and malignant
liver tumors.? METHODS: CT or MRI images and pathological features for hepatic
capsular retraction syndrome were retrospectively analyzed in 50 patients with
benign and malignant liver tumors. Picture archive and communication system
(PACS) was used to observe and compare the morphology, size, width, depth, edge
of the capsular retraction and the status of liquid under the liver capsule. The
structure, differentiation and proliferation of the tumor were analyzed under the
microscope.? RESULTS: There were malignant liver tumors in 44 patients and benign
tumor in 6 patients. The smooth or rough for the edge of capsular retraction was
significant difference between the benign tumors and the malignant tumors with
three differentiated grades (all P<0.05). There were significant difference in
the width and depth for capsule retraction with different amount of fibrous
tissues (all P<0.05). The width and depth of capsule retraction were positively
correlated to the size of the tumors (r=0.557, 0.309 respectively, both P<0.05).?
CONCLUSION: Benign and malignant hepatic tumors may appear capsule retraction
syndrome, but there are morphological differences between them. The differences
are closely related with the lesion size, differentiated degree of tumor and
fibrous tissue proliferation.
PMID- 26541850
TI - [Relationship between main pulmonary artery diameter and process of chronic
pulmonary disease].
AB - OBJECTIVE: To explore the relationship between main pulmonary artery diameter and
process of chronic pulmonary disease.? METHODS: We retrospectively reviewed 9
cases without pulmonary diseases (control group) and 100 cases with chronic
pulmonary diseases, which were divided into 3 groups: the simple chronic
pulmonary disease (A group, 37 cases), the compensatory period of chronic cor
pulmonale (B group, 20 cases) and the decompensatory period of chronic cor
pulmonale (C group, 43 cases). Main pulmonary artery diameter (MPAD) was measured
by chest CT. The differences of MPAD among these 4 groups were analyzed.?
RESULTS: There was a strong positive correlation between pulmonary artery
diameter and process of chronic pulmonary disease. Mean MPAD in the group C was
higher than that in the group B (P<0.05), and mean MPAD in the group B was higher
than that in the group A (P<0.05). Mean MPAD in control group was the smallest
one among all groups (P<0.05).? CONCLUSION: Main pulmonary artery diameter could
reflect the process of chronic pulmonary disease.
PMID- 26541851
TI - [Analysis and discussion on the facet of the spinal column, spiral CT lock
multiplanar reconstruction and ?3D reconstruction].
AB - OBJECTIVE: To investigate the imaging appearances and diagnostic value of axial
CT scanning, spiral CT multiplanar reconstruction (MPR) and three-dimensional
(3D) reconstruction in vertebral facet joints locking.? METHODS: A total of 31
cases of vertebral facet joints locking, with injuries in different parts, were
recruited to explore their CT features, and to evaluate their advantages in
diagnosis against each other.? RESULTS: Among the CT images of 31 cases with
"Hamburger" sign in axial view, there were 21 cases of cervical spine and 10
cases of thoracolumbar segment; in vertical plane of MPR, "top to top" form was
formed below the inferior and the superior articular process, accompanied by I
degrees spondylolisthesis and inferior articular process tip fracture; 5 cases
were unilateral locked cervical spine; none case for thoracolumbar segment. The
inferior articular process was crossed with the superior articular process below
and moved forward, formed "back to back" form, accompanied by II degrees -III
degrees spondylolisthesis. 9 or 6 cases were bilateral or unilateral locking
cervical spine, 10 cases were thoracolumbar segment, accompanied by teardrop
fracture in the vertebral body below cervical spine. In coronal plane of MPR,
inferior articular process showed ingression in different extent, and relied on
the superior articular process below or locked in the articular fossa (21 cases
for cervical spine); inferior articular process displayed upward displacement or
appeared with the superior articular process at the same time, which meant joint
structure disappearing thoracolumbar segment (10 cases). In 3D reconstruction, 31
cases displayed clearly in the spatial form of vertebral facet joints locking and
the degree of spondylolisthesis of vertebral body.? CONCLUSION: MPR and 3D image
were more clear and intuitive in vertebral facet joints locking comparing to
axial CT scan image. Spiral CT MPR and 3D reconstruction contributed to the
diagnosis of vertebral facet joints locking and the reduction of misdiagnoses
rates.
PMID- 26541852
TI - [Analysis of satisfactions for services among outpatients and inpatients at the
age of equal to or more than 15 years old in Hunan province].
AB - OBJECTIVE: To evaluate the satisfaction of service for outpatient within two
weeks and for inpatient service within a year in Hunan Province in 2013 and to
analyze the influential factors.? METHODS: Using the data from the First Health
Service Survey of Hunan Province, we evaluated the satisfactions for service in
outpatients and inpatients based on the listed satisfaction indicators of the
Fifth National Health Service Survey questionnaire. Weighted logistic regression
was used to examine the influential factors for patients' satisfactions.
SURVEYFREQ and SURVEYLOGISTIC procedures in SAS9.2 were used to conduct
statistical analysis.? RESULTS: The overall satisfaction proportion was 73.85%
(95% CI: 68.67%-79.03%) and 66.31% (95% CI: 61.28%-71.34%) for outpatients and
inpatients, respectively. After adjusting the location, gender, age and household
income, high degree of satisfaction for outpatients was associated with good
patience and trust in medical personnel as well as the low medical costs, with
the adjusted odds ratios of 3.64, 5.38 and 3.34, respectively; high degree of
satisfaction for inpatients was associated with a good attitude from medical
personnel to patients' questions, high patients' trust in medical personnel and
low medical costs, with the adjusted odds ratios of 2.56, 4.69 and 4.35,
respectively.? CONCLUSION: Most of outpatients and inpatients were satisfied with
medical services in 2013 in Hunan province. High degree of satisfaction is
associated with good attitude from medical personnel to patients' questions, good
patience and trust in medical personnel, and low medical costs.
PMID- 26541853
TI - [Progress in studies on the relationship between ?Dicer and ovarian tumor].
AB - MiRNAs are short, noncoding RNAs that modulate gene expression at the
posttranscriptional level and induce the degradation of the mRNA transcript or
the inhibition of protein translation. Dicer is an endoribonuclease in the RNase
III family that is essential for the production of miRNAs. The abnormal
expression of Dicer is frequently found in the occurrence and development process
of many kinds of tumors, which is closely related to the treatment and prognosis
of tumor.
PMID- 26541854
TI - [Portopulmonary hypertension with recurrent syncope: ?a case report and review of
literature].
AB - A case of portopulmonary hypertension characterized by repeated syncope was
retrospectively analyzed. Intrahepatic or extrahepatic factor-induced portal
hypertension complicated with metabolic disorder of vasoactive substances,
vascular pressure, inflammation, etc. may result in systolic and diastolic
dysfunction of pulmonary arteries and systemic hyperdynamic circulation, the long
term effect of which can induce vascular remodeling and consequently, pulmonary
hypertension. The pathogenic process is rather insidious. Pulmonary hypertension
is clinically characterized by the raised average pulmonary artery pressure,
normal pulmonary capillary wedge pressure and high pulmonary vascular resistance.
Currently available therapeutic approaches include drug therapy targeting on
pulmonary hypertension and liver transplantation.
PMID- 26541855
TI - Osteoporosis treatment may benefit breast cancer patients.
PMID- 26541856
TI - [Survey of pain after ambulatory surgery: An internet-based instrument].
AB - BACKGROUND AND AIM: Pain after surgery continues to be undermanaged. Studies and
initiatives aiming to improve the management of postoperative pain are growing;
however, most studies focus on inpatients and pain on the first day after
surgery. The management of postoperative pain after ambulatory surgery and for
several days thereafter is not yet a major focus. One reason is the low return
rate of the questionnaires in the ambulatory sector. This article reports the
development and feasibility of a web-based electronic data collection system to
examine pain and pain-related outcome on predefined postoperative days after
ambulatory surgery. MATERIAL AND METHODS: In this prospective pilot study 127
patients scheduled for ambulatory surgery were asked to participate in a survey
to evaluate aspects related to pain after ambulatory surgery. The data survey was
divided in (1) a preoperative, intraoperative and postoperative part and (2) a
postoperative internet-based electronic questionnaire which was sent via e-mail
link to the patient on days 1, 3 and 7 after surgery. A software was developed
using a PHP-based platform to send e-mails and retrieve the data after web-based
entries via a local browser. Feasibility, internet-based hitches and compliance
were assessed by an additional telephone call after day 7. RESULTS: A total of
100 patients (50 female) between 18 and 71 years (mean 39.1 +/- 12.7 years) were
included in the pilot study. Return rates of the electronic questionnaires were
86% (days 3 and 7) and 91% (day 1 after surgery). All 3 electronic questionnaires
were answered by 82% of patients. Aspects influencing the return rate of
questionnaires were work status but not age, gender, education level and
preoperative pain. Telephone interviews were performed with 81 patients and
revealed high operability of the internet-based survey without any major
problems. CONCLUSION: The user-friendly feasibility and operability of this
internet-based electronic data survey system explain the high compliance and
return rate of electronic questionnaires by patients at home after ambulatory
surgery. This survey tool therefore provides unique opportunities to evaluate and
improve postoperative pain management after ambulatory surgery.
PMID- 26541857
TI - Estimating the hCGbetacf in urine during pregnancy.
AB - OBJECTIVE: Elevated urine concentrations of hCG beta core fragment (hCGbetacf)
are known to cause false negative qualitative point-of-care hCG test results, but
limited information is available regarding urine hCGbetacf. In this study, we
evaluate the relationship between serum and urine hCG concentrations and the
frequency of elevated urine hCGbetacf concentrations. DESIGN AND METHODS: Paired
serum and urine specimens were obtained from 60 women at various stages of
pregnancy and hCG was measured using the Abbott Architect and Roche Cobas e602
assays. Urine specimens with the greatest difference in urine hCG concentrations
between these two instruments were tested using a qualitative point-of-care
device and hCGbetacf was quantified using LC-MS/MS. RESULTS: Urine hCG
concentrations were lower than serum and the magnitude of the difference depended
on whether the hCG assay detected hCGbetacf. Elevated hCGbetacf concentrations
(>280,000pmol/L) were observed in 12% of specimens from an unselected patient
population. There was a significant correlation (r=0.97; p<0.0001) between the
difference (Roche hCG-Abbott hCG) and the hCGbetacf concentration as measured by
LC-MS/MS (Roche-Abbott difference IU/L=(hCGbetacf (pmol/L)*0.131+656)).
CONCLUSIONS: A correlation exists between serum and urine hCG concentrations but
this correlation is variable. hCGbetacf concentrations can be estimated using two
automated assay reagent platforms that differ in their recognition of hCGbetacf.
PMID- 26541858
TI - Chronic kidney disease and 10-year risk of cardiovascular death.
AB - BACKGROUND: In recent clinical guidelines, individuals with chronic kidney
disease are considered to have a similar 10-year absolute risk of cardiovascular
death as individuals with diabetes or established cardiovascular disease. There
is limited evidence to support this claim. METHODS: We investigated the 10-year
risk for cardiovascular death in individuals with moderate or severe chronic
kidney disease (glomerular filtration rate of 30-60 or <30 mL/min/1.73 m(2),
respectively) in a cohort of primary care health check-ups in Stockholm, Sweden
(n = 295,191, 46% women, 4290 cardiovascular deaths during 10 years follow-up).
We also assessed the risk associated with diabetes or cardiovascular disease. The
inclusion criteria, exposure, study outcome and follow-up period adhered strictly
to the definitions of the European Society of Cardiology guidelines. RESULTS: The
absolute 10-year risk of cardiovascular death was 3.9% and 14.0% in individuals
with moderate and severe chronic kidney disease, respectively, but was
substantially lower in women and in younger individuals. The risk in individuals
with prevalent diabetes and cardiovascular disease was approximately two and
three times higher compared to the risk estimate for moderate chronic kidney
disease (hazard ratio (HR) 4.1, 95% confidence interval (CI) 3.8-4.5 and HR 6.2,
95% CI 5.7-6.7 vs. HR 2.3 95% CI 2.0-2.6, respectively) while the risk for
individuals with severe chronic kidney disease appeared more congruent to that of
diabetes and cardiovascular disease (HR 5.5, 95% CI 3.3-8.9). CONCLUSIONS:
Although moderate chronic kidney disease is an independent predictor for an
increased 10-year risk of cardiovascular death, only those with severe chronic
kidney disease had similar risk to those with diabetes or cardiovascular disease.
PMID- 26541859
TI - Predictors of suboptimal breastfeeding: an opportunity for public health
interventions.
AB - BACKGROUND: Sub-optimum breastfeeding significantly contributes to the global
burden of disease. Our aim was to identify risk factors associated with
suboptimal breastfeeding in Southern Croatia. METHODS: Between February 2008 and
August 2009, 773 mother-infant pairs were recruited from University Hospital of
Split Maternity Unit. Mothers were interviewed at birth, 3, 6, 12 and 24 months.
RESULTS: Ninety-nine percent of mothers initiated breastfeeding but only 2.2% of
them exclusively breastfed whilst in hospital. At 24 months, 4.1% of mothers were
breastfeeding. Exclusive and any breastfeeding at 3 months was negatively
associated with maternal education of 12 years or less, smoking during pregnancy,
intention to use a pacifier and in-hospital formula supplementation. In addition,
exclusive breastfeeding at 3 months was negatively associated with primiparity,
antenatal course non-attendance and not receiving assistance with breastfeeding
from hospital staff. Antenatal course non-attendance and discussing infant
feeding with a health professional during pregnancy lowered the odds for any
breastfeeding at 6 months. At 12 and 24 months, a lower level of education,
antenatal course non-attendance and not receiving advice in hospital on feeding
frequency was significantly associated with lower odds of breastfeeding.
Additionally, intention to use a pacifier was found to be a negative predictor of
breastfeeding at 12 months. CONCLUSIONS: Important modifiable risk factors found
to be significantly associated with suboptimal breastfeeding include smoking
during pregnancy, intention to use a pacifier, in-hospital formula
supplementation, not receiving advice from hospital staff on normal feeding
patterns, not receiving assistance with breastfeeding in hospital and antenatal
course non-attendance.
PMID- 26541860
TI - Making decisions about colorectal cancer screening. A qualitative study among
citizens with lower educational attainment.
AB - BACKGROUND: Few decision aids (DAs) have been developed to support an informed
choice to citizens with lower educational attainment about colorectal cancer
screening. The aim of this study was to identify information needs and
preferences for formats and content in a DA for this group of citizens. METHODS:
Four focus groups were conducted among Danish men and women aged 50-74 years with
lower educational attainment. A semi-structured interview guide was developed to
explore participants' perceptions about colorectal cancer screening and wishes
for a DA. The interviews were transcribed and analysed using an ethnographic
approach. RESULTS: The participants appreciated information about the causes,
symptoms, incidence and mortality of colorectal cancer compared with other common
cancers. The majority preferred the information to be presented in a clear and
simple way with numbers and figures kept to a minimum. Values clarification
exercises were not found useful. Receiving a screening kit to collect a sample of
faeces along with the invitation letter was seen by the participants as a clear
request from the health authorities to get screened. However, the overall message
in the DA was perceived as ambiguous by the participants as it both recommended
screening and seemed to disclaim responsibility for it. CONCLUSION: The results
are relevant to a discussion of the delicate balance between participants' call
for a clear recommendation, and the purpose of a DA to present options in a
neutral and balanced way. This discussion is relevant beyond the group of
citizens with lower educational attainment.
PMID- 26541861
TI - Ethyl pyruvate ameliorates experimental colitis in mice by inhibiting the HMGB1
Th17 and Th1/Tc1 responses.
AB - Ethyl pyruvate (EP), a simple lipophilic pyruvate ester, has demonstrated
protective effects against murine colitis through inhibition the release of
inflammatory factor high-mobility group protein box 1 (HMGB1). HMGB1 has been
implicated in several autoimmune diseases by inducing Thl and Thl7 cells
activation. This study was designed to investigate whether EP amelioration of
murine colitis is related to the blocking of the HMGB1-Th17/Thl pathway. We
induced murine colitis by intrarectal administration of 2, 4, 6-trinitrobenzene
sulfonic acid (TNBS). Ethyl pyruvate was injected intraperitoneally once a day
for 7days. One week after intrarectal challenge with TNBS, HMGB1, IL-17 and IFN
gamma protein levels were remarkably increased following severe colon
inflammation. Meanwhile, excessive infiltration of Th17 cells in colonic tissues,
and an upregulated proportion of Th17 and Th1/Tc1 cells in the spleen and
mesenteric lymph nodes (MLN) were found in the TNBS-treated group compared to the
control group. Treatment with the HMGB1 inhibitor EP not only remarkably improved
colon pathological damage, but also significantly reduced the number of Th17
cells in the local tissues of the colitis-induced mice. Furthermore, the
percentage of Th1/Tc1 and Th17 cells in the spleen and MLN, as well as levels of
serum IFN-gamma and IL-17A, were all markedly decreased in the EP-treated group.
Moreover, in vitro, our results showed that EP in a dose dependent manner
inhibited HMGB1 release induced by LPS from CT26 cells (murine colon
adenocarcinoma cell line). These results suggest that HMGB1 contributes to the
development of murine colitis by promoting the Th17 and Th1/Tc1 responses, and
that EP can significantly inhibit HMGB1-Th17 and Thl/Tc1 pathway activation,
which may provide better protection to mice with TNBS-induced colitis.
PMID- 26541862
TI - Editor's Choice - Treatment of Aortic Prosthesis Infections by Graft Removal and
In Situ Replacement with Autologous Femoral Veins and Fascial Strengthening.
AB - INTRODUCTION: Aortic prosthetic graft infection (AGI) is a major challenge in
vascular surgery. Eradicating the infection requires prosthetic material removal,
debridement, and lower limb revascularization. For the past 15 years, we have
used femoral veins for aorto-iliac reconstruction and tensor fascia lata to
strengthen the upper anastomosis. OBJECTIVE: The purpose of this single
institution retrospective study is to present results regarding in situ
replacement of infected aortic grafts with autologous femoral veins (FVs).
METHODS: From October 2000 to March 2013, patients treated for AGI with graft
removal and autologous FV reconstruction at Helsinki University Hospital were
included. Primary outcome measures were 30 day mortality, long-term treatment
related mortality, and re-infection rate. Secondary outcome measures were long
term all cause mortality and event free survival (graft rupture, re-intervention,
major amputation). RESULTS: During a 13 year period 55 patients (42 male, 13
female) were operated on using a venous neo-aorto-iliac system for AGI. The mean
follow up was 32 months (1-157 months). The 30 day mortality rate was 9% (5) and
overall treatment related mortality 18% (10). All cause mortality during follow
up was 22 (40%) and overall Kaplan-Meier survival was 90.7% at 30 days, 81.5% at
1 year, and 59.3% at 5 years. Graft rupture occurred in three (5%) cases, two of
which were caused by graft re-infection (4%). Four patients required major
amputation, one of them on arrival and three (5%) during the post-operative
period. Nine (16%) patients needed interventions for the vein graft, and two
graft limbs occluded during follow up. CONCLUSION: In situ reconstruction for
aortic graft infection with autologous FV presents acceptable rates of morbidity
and mortality, and remains the treatment of choice for AGI at Helsinki University
Hospital.
PMID- 26541863
TI - Utility of Intra-operative Cone Beam Computed Tomography in Endovascular
Treatment of Aorto-iliac Occlusive Disease.
AB - OBJECTIVE: Endovascular treatment of aorto-iliac occlusive disease (AIOD) is well
established, but to maintain long-term patency, secondary interventions are
common. Multiple stents and iliac artery tortuosity often make it difficult to
evaluate stent compression intra-operatively and this might be a cause for later
failure. Completion angiography (CA) and pressure gradient (PG) measurement are
often used to assess the final intra-operative result. The purpose of this study
was to evaluate the role of intra-operative cone beam computed tomography (CBCT)
to optimize the primary operation results. METHOD: Fifty-three patients (28
females) were enrolled in a prospective study. All patients underwent
endovascular aorto-iliac revascularization. Final intra-operative results were
evaluated with additional CBCT, after CA and PG were found to be satisfactory.
Imaging findings and imaging based adjunctive procedures were recorded. RESULTS:
One hundred and sixty five stents were placed because of AIOD. Twenty patients
underwent adjunctive procedures after the primary stenting. In 24.5% (13/53)
cases, adjunctive procedures were indicated solely by the CBCT findings, as both
standard CA and PG were normal. Twenty-six of the 53 patients had kissing stents
placed at the aortic bifurcation. Of the kissing stent patients, 34.6% required
adjunctive procedures and in two thirds these stent compressions were detected
only by CBCT. CONCLUSION: The use of CBCT revealed a significant number of stent
compressions that were not found with CA and PG. When performing endovascular
procedures at the aortic bifurcation, CBCT is an excellent intra-operative
evaluation method to assess the configuration of deployed stents. In this study,
CBCT improved the technical results intra-operatively, which might influence the
long-term patency positively.
PMID- 26541864
TI - Prediction of Lateral Pelvic Lymph-Node Metastasis in Low Rectal Cancer by
Magnetic Resonance Imaging.
AB - OBJECTIVE: This study was designed to evaluate the diagnostic accuracy of
magnetic resonance imaging (MRI) for lateral pelvic lymph-node metastasis.
PATIENTS: Eighty-four patients with primary lower rectal cancer were examined by
MRI and subsequently underwent radical surgery with lateral pelvic lymph-node
dissection, without preoperative treatment. Lateral lymph-node metastases were
assessed preoperatively by MRI, and the results were compared with the
histopathological findings. The criterion for lateral lymph-node metastasis was
any recognizable lymph node in the pelvic wall, regardless of diameter. RESULT:
Lateral pelvic lymph-node metastasis was diagnosed on preoperative MRI in 16
patients (19.9 %). The overall patient-based sensitivity, specificity, positive
predictive value, negative predictive value, and accuracy of MRI were 75, 69.1,
36.4, 92.2, and 70.2 %, respectively. When a cut-off value of 10 mm was used for
diagnosis, the corresponding values were 43.8, 98.5, 87.5, 88.1, and 88.1 %,
respectively. The mean diameter of metastatic nodes (14.7 mm) was significantly
larger than that of negative nodes (5.7 mm; P < 0.01). CONCLUSION: MRI is an
effective technique for predicting lateral pelvic node involvement and thus may
facilitate preoperative decision-making in rectal cancer treatment. A cut-off
value of 10 mm is useful for avoiding unnecessary lateral lymph-node dissection.
PMID- 26541865
TI - Long-Term Surveillance of Treated Hyperparathyroidism for Multiple Endocrine
Neoplasia Type 1: Recurrence or Hypoparathyroidism?
AB - BACKGROUND: Primary hyperparathyroidism (HPT) in multiple endocrine neoplasia
type 1 (MEN1) is surgically treated with either a subtotal parathyroidectomy
removing 3 or 3,5 glands (SPX), less than 3 glands (LSPX), or a total
parathyroidectomy with autotransplantation (TPX). Previous studies with shorter
follow-up have shown that LSPX and SPX are associated with recurrent HPT, and TPX
with hypocalcemia and substitution therapy. We examined the situation after long
term follow-up (median 20,6 years). METHODS: Sixty-nine patients with MEN1 HPT
underwent 110 operations, the first operation being 31 LSPX, 30 SPX, and 8 TPX.
Thirty patients underwent reoperative surgery in median 120 months later, as
completion to TPX (n = 12), completion of LSPX to SPX (n = 9), extirpation of
single glands (n = 3) still resulting in LSPX, and resection of forearm grafts (n
= 3). Nine patients underwent a second, and 2 a third reoperation. In 24 patients
genetic testing confirmed MEN1, and in the remaining heredity and phenotype led
to the diagnosis. RESULTS: TPX had higher risk for hypoparathyroidism
necessitating substitution therapy, at latest follow-up 50%, compared to SPX (16%
after 3-6 months; none at latest follow-up). Recurrent HPT was common after LSPX,
leading to 24 reoperations in 17 patients. No need for substitution therapy after
SPX indicated forthcoming recurrent disease. Not having hypocalcemia in the
postoperative period and less radical surgery than TPX were significantly
associated to risk for recurrence. Further, mutation in exon 3 in the MEN1 gene
may eventually be linked to risk of recurrence. CONCLUSION: LSPX is highly
associated with recurrence and TPX with continuous hypoparathyroidism, also after
long-term follow-up. SPX should be the chosen method in the majority of patients
with MEN1 HPT.
PMID- 26541866
TI - Use of 3D Prototypes for Complex Surgical Oncologic Cases.
AB - INTRODUCTION: Physical 3D models known by the industry as rapid prototyping
involve the creation of a physical model from a 3D computer version. In recent
years, there has been an increasing number of reports on the use of 3D models in
medicine. Printing such 3D models with different materials integrating the many
components of human anatomy is technically challenging. In this article, we
report our technological developments along with our clinical implementation
experience using high-fidelity 3D prototypes of tumors encasing major vessels in
anatomically sensitive areas. METHODS: Three patients with tumors encasing major
vessels that implied complex surgery were selected for surgical planning using 3D
prototypes. 3D virtual models were obtained from routine CT and MRI images. The
models, with all their anatomical relations, were created by an expert pediatric
radiologist and a surgeon, image by image, along with a computerized-aided design
engineer. RESULTS: Surgeons had the opportunity to practice on the model before
the surgery. This allowed questions regarding surgical approach; feasibility and
potential complications to be raised in advance of the actual procedure. All
patients then successfully underwent surgery as planned. CONCLUSION: Having a
tumor physically printed in its different main component parts with its
anatomical relationships is technically feasible. Since a gross total resection
is prognostic in a significant percentage of tumor types, refinements in planning
may help achieve greater and safer resections therefore contributing to improve
surgical management of complex tumors. In this early experience, 3D prototyping
helped significantly in the many aspects of surgical oncology planning.
PMID- 26541867
TI - Pathologic Assessment of Pancreatic Fibrosis in Predicting Pancreatic Fistula and
Management of Prophylactic Drain Removal After Pancreaticoduodenectomy.
PMID- 26541868
TI - The Characteristics of Bone Metastasis in Patients with Colorectal Cancer: A Long
Term Report from a Single Institution.
AB - BACKGROUND: Bone metastasis in patients with colorectal cancer (CRC) is very
rare, and data are extremely lacking. We aimed to evaluate the characteristics of
bone metastasis in patients with CRC. MATERIALS AND METHODS: We performed a chart
review of 63 patients (1.1 %) with bone metastasis among 5479 patients who
underwent surgery for CRC. RESULTS: Most patients were stage 3 (17.5 %) or 4
(73.0 %), and 32 patients (50.8 %) were diagnosed with bone metastasis at initial
diagnoses of CRC. Thirty-one patients developed bone metastasis during the follow
up period with median 10.1-month interval. PET-CT was most frequently used for
the diagnosis of bone metastasis (71.4 %), and the spine was the most commonly
involved site (77.8 %). Most patients had multiple bone metastases (73.0 %) and
other metastases (87.3 %). Bone pain was the most common skeletal-related event
(25.4 %), and patients were treated with radiation (25.4 %), surgery (14.3 %), or
bisphosphonate (6.3 %). The median survival time was 17.8 months, and the 5-year
survival rate was 5.7 %. In the multivariate analysis, the risk factors for
survival included initial bone metastasis (hazard ratio [HR] 3.03; P < 0.001) and
bone metastasis from colon cancer (HR 1.87; P = 0.04). CONCLUSION: Bone
metastasis in patients with CRC is extremely rare and shows poor prognosis.
PMID- 26541869
TI - Fatal Cases of Bloodstream Infection by Fusarium solani and Review of Published
Literature.
AB - Fusarium species are ubiquitously present in environment and are well known as
human pathogens with high mortality rate in immunocompromised patients. We report
here two cases where immunocompromised patients developed fatal bloodstream
infections by this organism. Isolates were further identified by ITS1 region
sequencing which confirmed them as Fusarium solani. Antifungal susceptibility
testing was done following CLSI M38-A2 guidelines to amphotericin B, fluconazole,
itraconazole, voriconazole, posaconazole, caspofungin, and micafungin. Both
patients had a fatal outcome and expired of septic shock. Therefore,
identification up to species level is of utmost importance as that helps in
directing the management of the patient thereby leading to a favourable outcome.
PMID- 26541870
TI - A comprehensive study of sensorimotor cortex excitability in chronic cocaine
users: Integrating TMS and functional MRI data.
AB - BACKGROUND: Disruptions in motor control are often overlooked features of chronic
cocaine users. During a simple sensorimotor integration task, for example,
cocaine users activate a larger area of cortex than controls but have lower
functional connectivity between the cortex and dorsal striatum, which is further
correlated with poor performance. The purpose of this study was to determine
whether abnormal cortical excitability in cocaine users was related to disrupted
inhibitory or excitatory mechanisms, as measured by transcranial magnetic
stimulation (TMS). METHODS: A battery of TMS measures were acquired from 87
individuals (50 cocaine dependent, 37 controls). Functional MRI data were
acquired from a subset of 28 individuals who performed a block-design finger
tapping task. RESULTS: TMS measures revealed that cocaine users had significantly
higher resting motor thresholds and higher intracortical cortical facilitation
(ICF) than controls. There was no between-group difference in either measure of
cortical inhibition. Task-evoked BOLD signal in the motor cortex was
significantly correlated with ICF in the cocaine users. There was no significant
difference in brain-skull distance between groups. CONCLUSION: These data
demonstrated that cocaine users have disrupted cortical facilitation (as measured
with TMS), which is related to elevated BOLD signal. Cortical inhibition,
however, is largely intact. Given the relationship between ICF and glutamatergic
agents, this may be a potentially fruitful and treatable target in addiction.
Finally, among controls the distance from the scalp to the cortex was correlated
with the motor threshold which may be a useful parameter to integrate into
therapeutic TMS protocols in the future.
PMID- 26541871
TI - Reduction in sphingosine kinase 1 influences the susceptibility to dengue virus
infection by altering antiviral responses.
AB - Sphingosine kinase (SK) 1 is a host kinase that enhances some viral infections.
Here we investigated the ability of SK1 to modulate dengue virus (DENV) infection
in vitro. Overexpression of SK1 did not alter DENV infection; however, targeting
SK1 through chemical inhibition resulted in reduced DENV RNA and infectious virus
release. DENV infection of SK1-/ - murine embryonic fibroblasts (MEFs) resulted
in inhibition of infection in an immortalized line (iMEF) but enhanced infection
in primary MEFs (1 degrees MEFs). Global cellular gene expression profiles showed
expected innate immune mRNA changes in DENV-infected WT but no induction of these
responses in SK1-/- iMEFs. Reverse transciption PCR demonstrated a low-level
induction of IFN-beta and poor induction of mRNA for the interferon-stimulated
genes (ISGs) viperin, IFIT1 and CXCL10 in DENV-infected SK1-/- compared with WT
iMEFs. Similarly, reduced induction of ISGs was observed in SK1-/- 1 degrees
MEFs, even in the face of high-level DENV replication. In both iMEFs and 1
degrees MEFs, DENV infection induced production of IFN-beta protein.
Additionally, higher basal levels of antiviral factors (IRF7, CXCL10 and OAS1)
were observed in uninfected SK1-/- iMEFs but not 1 degrees MEFs. This suggests
that, in this single iMEF line, lack of SK1 upregulates the basal levels of
factors that may protect cells against DENV infection. More importantly,
regardless of the levels of DENV replication, all cells that lacked SK1 produced
IFN-beta but were refractory to induction of ISGs such as viperin, IFIT1 and
CXCL10. Based on these findings, we propose new roles for SK1 in affecting innate
responses that regulate susceptibility to DENV infection.
PMID- 26541872
TI - An efficient synthesis of an exo-enone analogue of LL-Z1640-2 and evaluation of
its protein kinase inhibitory activities.
AB - An efficient synthesis of an exo-enone analogue (5) of resorcylic acid lactone
(RAL), natural product LL-Z1640-2 (1), has been achieved using a Ni-catalysed
regioselective reductive coupling macrocyclisation of an alkyne-aldehyde as a key
step. The synthetic route is significantly shorter than those for the natural
product and avoids the isomerisation problem of the cis-double bond in the
molecule. The preliminary biological evaluation showed that the exo-enone
analogue is a potent inhibitor of several important kinases relevant to cancer
drug development.
PMID- 26541873
TI - What do we really know about the impacts of one of the 100 worst invaders in
Europe? A reality check.
AB - Invasive species are one of the greatest threats to biodiversity worldwide, and
to successfully manage their introductions is a major challenge for society.
Knowledge on the impacts of an invasive species is essential for motivating
decision makers and optimally allocating management resources. We use a prominent
invasive fish species, the round goby (Neogobius melanostomus) to objectively
quantify the state of scientific knowledge on its impacts. Focusing on how native
fish species are affected by round goby invasions, we analyzed 113 peer-reviewed
papers and found that impacts are highly ecosystem and time scale dependent. We
discovered round goby impacts to be profound, but surprisingly complex. Even if
identical native species were affected, the impacts remained less comparable
across ecosystems than expected. Acknowledging the breadth but also limitations
in scientific knowledge on round goby impacts would greatly improve scientists'
ability to conduct further research and inform management measures.
PMID- 26541874
TI - Improving invasive species management by integrating priorities and contributions
of scientists and decision makers.
AB - Managing invasive species is a major challenge for society. In the case of newly
established invaders, rapid action is key for a successful management. Here, we
develop, describe and recommend a three-step transdisciplinary process (the
"butterfly model") to rapidly initiate action for invasion management. In the
framing of a case study, we present results from the first of these steps:
assessing priorities and contributions of both scientists and decision makers.
Both scientists and decision makers prioritise research on prevention. The
available scientific knowledge contributions, however, are publications on
impacts rather than prevention of the invasive species. The contribution of
scientific knowledge does thus not reflect scientists' perception of what is
essentially needed. We argue that a more objective assessment and transparent
communication of not only decision makers' but also scientists' priorities is an
essential basis for a successful cooperation. Our three-step model can help
achieve objectivity via transdisciplinary communication.
PMID- 26541875
TI - ED breast cases and other breast emergencies.
AB - Patients with pathologic processes of the breast commonly present in the
Emergency Department (ED). Familiarity with the imaging and management of the
most common entities is essential for the radiologist. Additionally, it is
important to understand the limitations of ED imaging and management in the acute
setting and to recognize when referrals to a specialty breast center are
necessary. The goal of this article is to review the clinical presentations,
pathophysiology, imaging, and management of emergency breast cases and common
breast pathology seen in the ED.
PMID- 26541876
TI - Electron transfer from the A1A and A1B sites to a tethered Pt nanoparticle
requires the FeS clusters for suppression of the recombination channel.
AB - In this work, a previously described model of electron withdrawal from the
A1A/A1B sites of Photosystem I (PS I) was tested using a dihydrogen-producing PS
I-NQ(CH2)15S-Pt nanoconstruct. According to this model, the rate of electron
transfer from A1A/A1B to a tethered Pt nanoparticle is kinetically unfavorable
relative to the rate of forward electron transfer to the FeS clusters. Dihydrogen
is produced only when an external donor rapidly reduces P700(+), thereby
suppressing the recombination channel and allowing the electron in the FeS
clusters to proceed via uphill electron transfer through the A1A/A1B quinones to
the Pt nanoparticle. We tested this model by sequentially removing the FeS
clusters, FB, FA, and FX, and determining the concentration of cytochrome c6 (Cyt
c6) at which the backreaction was outcompeted and dihydrogen production was
observed. P700-FA cores were generated in a menB insertionally inactivated strain
by removing FB with HgCl2; P700-FX cores were generated in a menB psaC
insertionally inactivated strain that lacks FA and FB, and P700-A1 cores were
generated in a menB rubA insertionally inactivated strain that lacks FX, FA and
FB. Quinone incorporation was measured using transient electron paramagnetic
resonance spectroscopy and time resolved optical spectroscopy. Cyt c6 was
titrated into each of these PS I preparations and the kinetics of P700(+)
reduction were measured. A similar experiment was carried out on PS I-NQ(CH2)15S
Pt nanoconstructs assembled from these PS I preparations. This study showed that
the concentration of Cyt c6 needed to produce dihydrogen was comparable to that
needed to suppress the backreaction. We conclude that the FeS clusters serve to
'park' the electron and thereby extend the duration of the charge-separated
state; however, in doing so, the redox advantage of removing the electron at
A1A/A1B is lost.
PMID- 26541877
TI - Robust Cluster Building Unit: Icosanuclear Heteropolyoxocopperate Templated by
Carbonate.
AB - The encapsulation of carbonate derived from atmospheric CO2 has resulted in an
icosanuclear heteropolyoxocopperate, isolated as a metal-organic 1D chain, 2D
sheet, or 3D framework, in which the Cu20 nanocluster represents the first eight
capped alpha-Keggin polyoxometalate with the late-transition-metal Cu(II) as the
polyatom, CO3(2-) as the heteroanion, and OH(-) and suc(2-) or glu(2-)
(H2suc=succinic acid; H2glu=glutaric acid) as the terminal ligands, which
suggests a conceptual similarity to classical polyoxometalates. Even in the
presence of competitive SO4(2-) in the assembly system, the CO3(2-) anion is
still captured as a template to direct the formation of the Cu20 nanocluster,
which indicates the stronger templation ability of CO3(2-) compared with SO4(2-).
When other aliphatic dicarboxylates, such as glutaric acid, were used as ligands,
the CO3(2-)-templated Cu20 nanocluster was maintained and acted as a cluster
building unit (CBU) to be linked by two glutarate bridges to generate a distinct
1D metal-organic chain. This report presents not only a rare example of a huge
anion-templated transition-metal cluster, but also its use as a robust CBU to
construct novel coordination architectures. Variable-temperature magnetic
susceptibility studies revealed that an antiferromagnetic interaction exists
within the Cu20 nanocluster. The correlation between the coordination structure
and the electron paramagnetic resonance spectra recorded of both powder and
single-crystal samples are discussed in detail.
PMID- 26541878
TI - Comparison of Two Forms of Loperamide-Simeticone and a Probiotic Yeast
(Saccharomyces boulardii) in the Treatment of Acute Diarrhoea in Adults: A
Randomised Non-Inferiority Clinical Trial.
AB - BACKGROUND: Acute diarrhoea is a frequent health problem in both travellers and
residents that has a social and economic impact. This study compared the efficacy
and tolerability of two loperamide-simeticone formulations and a Saccharomyces
boulardii capsule as symptomatic treatment. METHODS: This was a prospective,
randomised, single (investigator)-blind, three-arm, parallel group, non
inferiority clinical trial in adult subjects with acute diarrhoea at clinics in
Mexico and India, with allocation to a loperamide-simeticone 2/125 mg caplet or
chewable tablet (maximum eight in 48 h) or S. boulardii (250 mg twice daily for 5
days). OUTCOME MEASURES: The primary outcome measure was the number of unformed
stools between 0 and 24 h following the initial dose of study medication (NUS 0
24). The secondary outcome measures were time to last unformed stool (TLUS), time
to complete relief of diarrhoea (TCRD), time to complete relief of abdominal
discomfort (TCRAD) and the subject's evaluation of treatment effectiveness.
Follow-up endpoints at 7 days were feeling of complete wellness; stool passed
since final study visit; and continued or recurrent diarrhoea. SUBJECTS: In this
study, 415 subjects were randomised to either a loperamide-simeticone caplet (n =
139), loperamide-simeticone chewable tablet (n = 139) or S. boulardii capsule (n
= 137) and were included in the intention-to-treat analysis. RESULTS: With
regards to mean NUS 0-24, the loperamide-simeticone caplet was non-inferior to
loperamide-simeticone tablets (3.4 vs. 3.3; one-sided 97.5 % confidence interval
<=0.5), with both significantly lower than S. boulardii (4.3; p < 0.001). The
loperamide-simeticone groups had a shorter median TLUS [14.9 and 14.0 vs. 28.5 h
(loperamide-simeticone caplet and chewable tablet groups, respectively, vs. S.
boulardii); p < 0.001], TCRD (26.0 and 26.0 vs. 45.8 h; p < 0.001) and TCRAD
(12.2 and 12.0 vs. 23.9 h; p < 0.005) than S. boulardii. Treatment effectiveness
for overall illness, diarrhoea and abdominal discomfort relief was greater (p <
0.001) in the loperamide-simeticone groups than with S. boulardii. At 7-day
follow-up most subjects reported passing stool at least once since the final
study visit (loperamide-simeticone caplet 94.1 %, loperamide-simeticone chewable
tablet 94.8 %, S. boulardii 97.0 %), did not experience continued or recurrent
diarrhoea [loperamide-simeticone caplet 3.7 % (p < 0.03 vs. S. boulardii),
loperamide-simeticone chewable tablet 3.7 %, S. boulardii 5.7 %] and felt
completely well [loperamide-simeticone caplet 96.3 % (p < 0.02 vs. S. boulardii),
loperamide-simeticone chewable tablet 96.3 % (p < 0.02 vs. S. boulardii), S.
boulardii 88.6 %]. All treatments were well-tolerated with few adverse events.
CONCLUSIONS: The loperamide-simeticone caplet was non-inferior to the original
loperamide-simeticone chewable tablet formulation; both formulations can be
expected to demonstrate similar clinical efficacy in the relief of symptoms of
acute diarrhoea. Both loperamide-simeticone formulations were superior to the S.
boulardii capsule in the primary and secondary endpoints. CLINICAL TRIAL
REGISTRATION: ClinicalTrials.gov identifier NCT00807326.
PMID- 26541879
TI - James P. Allison Receives the 2015 Lasker-Debakey Award in Clinical Medical
Research.
PMID- 26541880
TI - Reducing Toxicity of Immune Therapy Using Aptamer-Targeted Drug Delivery.
AB - Modulating the function of immune receptors with antibodies is ushering in a new
era in cancer immunotherapy. With the notable exception of PD-1 blockade used as
monotherapy, immune modulation can be associated with significant toxicities that
are expected to escalate with the development of increasingly potent immune
therapies. A general way to reduce toxicity is to target immune potentiating
drugs to the tumor or immune cells of the patient. This Crossroads article
discusses a new class of nucleic acid-based immune-modulatory drugs that are
targeted to the tumor or to the immune system by conjugation to oligonucleotide
aptamer ligands. Cell-free chemically synthesized short oligonucleotide aptamers
represent a novel and emerging platform technology for generating ligands with
desired specificity that offer exceptional versatility and feasibility in terms
of development, manufacture, and conjugation to an oligonucleotide cargo. In
proof-of-concept studies, aptamer ligands were used to target immune-modulatory
siRNAs or aptamers to induce neoantigens in the tumor cells, limit costimulation
to the tumor lesion, or enhance the persistence of vaccine-induced immunity.
Using increasingly relevant murine models, the aptamer-targeted immune-modulatory
drugs engendered protective antitumor immunity that was superior to that of
current "gold-standard" therapies in terms of efficacy and lack of toxicity or
reduced toxicity. To overcome immune exhaustion aptamer-targeted siRNA conjugates
could be used to downregulate intracellular mediators of exhaustion that
integrate signals from multiple inhibitory receptors. Recent advances in aptamer
development and second-generation aptamer-drug conjugates suggest that we have
only scratched the surface.
PMID- 26541881
TI - In vitro activity of oritavancin and comparator agents against staphylococci,
streptococci and enterococci from clinical infections in Europe and North
America, 2011-2014.
AB - Oritavancin is a lipoglycopeptide that has been approved for the treatment of
acute bacterial skin and skin-structure infections (ABSSSIs) caused by
susceptible organisms. Oritavancin causes cell death by inhibiting cell wall
synthesis as well as depolarising and permeabilising the cellular membrane of
Gram-positive pathogens. The activities of oritavancin in comparison with
vancomycin, daptomycin and linezolid were determined against a collection of over
11000 recent clinical Gram-positive isolates from patient infections (2011-2014),
including skin and skin-structure infections. A total of 7253 Staphylococcus
aureus, 839 coagulase-negative staphylococci (CoNS), 1464 enterococci and 1637
beta-haemolytic streptococci (betaHS) were collected from the USA and Europe.
Minimum inhibitory concentrations (MICs) were determined using Clinical and
Laboratory Standards Institute (CLSI) broth microdilution methods, and
susceptibility was determined using CLSI and US Food and Drug Administration
(FDA) (for oritavancin) breakpoint criteria. Equivalent in vitro activity
(MIC50/90, 0.015-0.03/0.06 MUg/mL) was observed for oritavancin against
meticillin-resistant S. aureus (MRSA), meticillin-susceptible S. aureus (MSSA)
and Enterococcus faecalis in both regions. Slightly higher oritavancin MICs were
obtained against CoNS, Streptococcus agalactiae, Enterococcus faecium (MIC90,
0.12 MUg/mL) and against other betaHS (MIC90, 0.25 MUg/mL). Oritavancin
demonstrated comparatively lower MICs than daptomycin and vancomycin when tested
against multidrug-resistant S. aureus, vancomycin-resistant enterococci and
erythromycin-resistant betaHS. Oritavancin exhibited potent in vitro activity
against the most common pathogens associated with ABSSSIs in the USA and Europe.
PMID- 26541882
TI - Effectiveness of the addition of the brain region to the FDG-PET/CT imaging area
in patients with suspected or diagnosed lung cancer.
AB - PURPOSE: We aimed to evaluate the effectiveness of the brain region imaging in
FDG-PET/CT scanning of patients with suspected or diagnosed lung cancer.
MATERIALS AND METHODS: We performed the study retrospectively on the medical
charts of 427 patients. We divided the FDG-PET/CT field of view (FOV) into four
major imaging regions: brain, head-neck, abdomen and pelvis. Metastatic findings
on these regions were checked and determined the potential of these findings to
affect the chemotherapy or radiotherapy protocol or surgical management. If
metastatic findings had a potential to modify these parameters, we named this
situation as "clinical contribution". Considering the number of bed positions of
these regions, we calculated the clinical contribution of each region and named
as "effective clinical contribution". Then, we calculated the metastatic
findings, clinical contribution, and effective clinical contribution ratios.
RESULTS: We found different brain metastasis ratios for lung cancer, solitary
pulmonary mass (SPM), and solitary pulmonary nodule (SPN) groups (8.7, 2.8 and
0.9 %, respectively). In addition, the clinical contribution and effective
clinical contribution ratios in the brain region for these three groups were 6.4,
2.8, 0.0 and 6.4, 2.8, 0.0 %, respectively. The highest metastatic findings (30.6
%) and clinical contribution (9.8 %) ratios were found in the abdomen region of
the lung cancer group. However, the highest effective clinical contribution ratio
(6.8 %) was found in the brain region within the same group. CONCLUSIONS: The
addition of the brain region to the limited whole-body FOV in FDG-PET/CT scanning
seems to be effective in the lung cancer and SPM groups, but not in the SPN
group.
PMID- 26541884
TI - NRF2 Mediates Neuroblastoma Proliferation and Resistance to Retinoic Acid
Cytotoxicity in a Model of In Vitro Neuronal Differentiation.
AB - Retinoic acid (RA) morphogenetic properties have been used in different kinds of
therapies, from neurodegenerative disorders to some types of cancer such as
promyelocytic leukemia and neuroblastoma. However, most of the pathways
responsible for RA effects remain unknown. To investigate such pathways, we used
a RA-induced differentiation model in the human neuroblastoma cells, SH-SY5Y. Our
data showed that n-acetyl-cysteine (NAC) reduced cells' proliferation rate and
increased cells' sensitivity to RA toxicity. Simultaneously, NAC pre-incubation
attenuated nuclear factor erythroid 2-like factor 2 (NRF2) activation by RA. None
of these effects were obtained with Trolox(r) as antioxidant, suggesting a
cysteine signalization by RA. NRF2 knockdown increased cell sensibility to RA
after 96 h of treatment and diminished neuroblastoma proliferation rate.
Conversely, NRF2 overexpression limited RA anti-proliferative effects and
increased cell proliferation. In addition, a rapid and non-genomic activation of
the ERK 1/2 and PI3K/AKT pathways revealed to be equally required to promote NRF2
activation and necessary for RA-induced differentiation. Together, we provide
data correlating NRF2 activity with neuroblastoma proliferation and resistance to
RA treatments; thus, this pathway could be a potential target to optimize
neuroblastoma chemotherapeutic response as well as in vitro neuronal
differentiation protocols.
PMID- 26541883
TI - Role of Matrix Metalloproteinases in the Pathogenesis of Traumatic Brain Injury.
AB - Traumatic brain injury (TBI) is a major cause of mortality and morbidity
worldwide. Studies revealed that the pathogenesis of TBI involves upregulation of
MMPs. MMPs form a large family of closely related zinc-dependent endopeptidases,
which are primarily responsible for the dynamic remodulation of the extracellular
matrix (ECM). Thus, they are involved in several normal physiological processes
like growth, development, and wound healing. During pathophysiological
conditions, MMPs proteolytically degrade various components of ECM and tight
junction (TJ) proteins of BBB and cause BBB disruption. Impairment of BBB causes
leakiness of the blood from circulation to brain parenchyma that leads to
microhemorrhage and edema. Further, MMPs dysregulate various normal physiological
processes like angiogenesis and neurogenesis, and also they participate in the
inflammatory and apoptotic cascades by inducing or regulating the specific
mediators and their receptors. In this review, we explore the roles of MMPs in
various physiological/pathophysiological processes associated with neurological
complications, with special emphasis on TBI.
PMID- 26541885
TI - Acyl Ghrelin Improves Synapse Recovery in an In Vitro Model of Postanoxic
Encephalopathy.
AB - Comatose patients after cardiac arrest have a poor prognosis. Approximately half
never awakes as a result of severe diffuse postanoxic encephalopathy. Several
neuroprotective agents have been tested, however without significant effect. In
the present study, we used cultured neuronal networks as a model system to study
the general synaptic damage caused by temporary severe hypoxia and the
possibility to restrict it by ghrelin treatment. Briefly, we applied hypoxia (pO2
lowered from 150 to 20 mmHg) during 6 h in 55 cultures. Three hours after
restoration of normoxia, half of the cultures were treated with ghrelin for 24 h,
while the other, non-supplemented, were used as a control. All cultures were
processed immunocytochemically for detection of the synaptic marker
synaptophysin. We observed that hypoxia led to drastic decline of the number of
synapses, followed by some recovery after return to normoxia, but still below the
prehypoxic level. Additionally, synaptic vulnerability was selective: large- and
small-sized neurons were more susceptible to synaptic damage than the medium
sized ones. Ghrelin treatment significantly increased the synapse density, as
compared with the non-treated controls or with the prehypoxic period. The effect
was detected in all neuronal subtypes. In conclusion, exogenous ghrelin has a
robust impact on the recovery of cortical synapses after hypoxia. It raises the
possibility that ghrelin or its analogs may have a therapeutic potential for
treatment of postanoxic encephalopathy.
PMID- 26541887
TI - Comparison of environmental risk factors for esophageal atresia, anorectal
malformations, and the combined phenotype in 263 German families.
AB - Esophageal atresia with or without tracheoesophageal fistula (EA/TEF) and
anorectal malformations (ARM) represent the severe ends of the fore- and hindgut
malformation spectra. Previous research suggests that environmental factors are
implicated in their etiology. These risk factors might indicate the influence of
specific etiological mechanisms on distinct developmental processes (e.g. fore-
vs. hindgut malformation). The present study compared environmental factors in
patients with isolated EA/TEF, isolated ARM, and the combined phenotype during
the periconceptional period and the first trimester of pregnancy in order to
investigate the hypothesis that fore- and hindgut malformations involve differing
environmental factors. Patients with isolated EA/TEF (n = 98), isolated ARM (n =
123), and the combined phenotype (n = 42) were included. Families were recruited
within the context of two German multicenter studies of the genetic and
environmental causes of EA/TEF (great consortium) and ARM (CURE-Net). Exposures
of interest were ascertained using an epidemiological questionnaire. Chi-square,
Fisher's exact, and Mann-Whitney U-tests were used to assess differences between
the three phenotypes. Newborns with isolated EA/TEF and the combined phenotype
had significantly lower birth weights than newborns with isolated ARM (P = 0.001
and P < 0.0001, respectively). Mothers of isolated EA/TEF consumed more alcohol
periconceptional (80%) than mothers of isolated ARM or the combined phenotype
(each 67%). Parental smoking (P = 0.003) and artificial reproductive techniques
(P = 0.03) were associated with isolated ARM. Unexpectedly, maternal
periconceptional multivitamin supplementation was most frequent among patients
with the most severe form of disorder, i.e. the combined phenotype (19%).
Significant differences in birth weight were apparent between the three phenotype
groups. This might be attributable to the limited ability of EA/TEF fetuses to
swallow amniotic fluid, thus depriving them of its nutritive properties.
Furthermore, the present data suggest that fore- and hindgut malformations
involve differing environmental factors. Maternal periconceptional multivitamin
supplementation was highest among patients with the combined phenotype. This
latter finding is contrary to expectation, and warrants further analysis in large
prospective epidemiological studies.
PMID- 26541889
TI - Novel application of the Sonopet for endoscopic posterior split and cartilage
graft laryngoplasty.
PMID- 26541888
TI - The effect of encapsulated glutamine on gut peptide secretion in human
volunteers.
AB - CONTEXT: Weight loss and improved blood glucose control after bariatric surgery
have been attributed in part to increased ileal nutrient delivery with enhanced
release of glucagon-like peptide 1 (GLP-1). Non-surgical strategies to manage
obesity are required. The aim of the current study was to assess whether
encapsulated glutamine, targeted to the ileum, could increase GLP-1 secretion,
improve glucose tolerance or reduce meal size. METHODS: A single-center,
randomised, double blind, placebo-controlled, cross-over study was performed in
24 healthy volunteers and 8 patients with type 2 diabetes. Fasting participants
received a single dose of encapsulated ileal-release glutamine (3.6 or 6.0 g) or
placebo per visit with blood sampling at baseline and for 4h thereafter. Glucose
tolerance and meal size were studied using a 75 g oral glucose tolerance test and
ad libitum meal respectively. RESULTS: In healthy volunteers, ingestion of 6.0 g
glutamine was associated with increased GLP-1 concentrations after 90 min
compared with placebo (mean 10.6 pg/ml vs 6.9 pg/ml, p=0.004), increased insulin
concentrations after 90 min (mean 70.9 vs 48.5, p=0.048), and increased meal size
at 120 min (mean 542 g eaten vs 481 g, p=0.008). Ingestion of 6.0 g glutamine was
not associated with significant differences in GLP-1, glucose or insulin
concentrations after a glucose tolerance test in healthy or type 2 diabetic
participants. CONCLUSIONS: Single oral dosing of encapsulated glutamine did not
provoke consistent increases in GLP-1 and insulin secretion and was not
associated with beneficial metabolic effects in healthy volunteers or patients
with type 2 diabetes.
PMID- 26541890
TI - Self-efficacy versus perceived enjoyment as predictors of physical activity
behaviour.
AB - OBJECTIVE: Self-efficacy and physical activity (PA) enjoyment are related to PA
behaviour, but it is unclear which is more important and how they interrelate.
The purpose of this study was to examine how these two constructs interrelate to
influence PA behaviour. DESIGN: Participants were low-active adults (n = 448)
participating in a RCT examining the effect of a PA promotion intervention.
Participants completed physical activity, enjoyment and self-efficacy measures at
baseline, six and 12 months. RESULTS: Self-efficacy and enjoyment at both
baseline and six months predicted PA at 12 months. However, enjoyment was a
stronger predictor than self-efficacy, in that self-efficacy no longer predicted
PA behaviour when included alongside enjoyment. In follow-up mediation analyses,
enjoyment at six months did not mediate the effect of baseline self-efficacy on
12-month PA; however, six-month self-efficacy mediated the effect of baseline
enjoyment on 12-month PA. CONCLUSION: Our results indicate that interventions
should perhaps initially focus on increasing enjoyment of physical activity.
Greater PA enjoyment appears to influence individuals' self-reported ability to
engage in regular PA (i.e. higher self-efficacy ratings). Additional research is
needed to better understand the interrelationships between self-efficacy and
enjoyment and how these constructs affect PA.
PMID- 26541891
TI - Is psychiatry in need of a course correction?
PMID- 26541892
TI - Albumin administration prevents neurological damage and death in a mouse model of
severe neonatal hyperbilirubinemia.
AB - Therapies to prevent severe neonatal unconjugated hyperbilirubinemia and
kernicterus are phototherapy and, in unresponsive cases, exchange transfusion,
which has significant morbidity and mortality risks. Neurotoxicity is caused by
the fraction of unconjugated bilirubin not bound to albumin (free bilirubin, Bf).
Human serum albumin (HSA) administration was suggested to increase plasma
bilirubin-binding capacity. However, its clinical use is infrequent due to
difficulties to address its potential preventive and curative benefits, and to
the absence of reliable markers to monitor bilirubin neurotoxicity risk. We used
a genetic mouse model of unconjugated hyperbilirubinemia showing severe
neurological impairment and neonatal lethality. We treated mutant pups with
repeated HSA administration since birth, without phototherapy application. Daily
intraperitoneal HSA administration completely rescued neurological damage and
lethality, depending on dosage and administration frequency. Albumin infusion
increased plasma bilirubin-binding capacity, mobilizing bilirubin from tissues to
plasma. This resulted in reduced plasma Bf, forebrain and cerebellum bilirubin
levels. We showed that, in our experimental model, Bf is the best marker to
determine the risk of developing neurological damage. These results support the
potential use of albumin administration in severe acute hyperbilirubinemia
conditions to prevent or treat bilirubin neurotoxicity in situations in which
exchange transfusion may be required.
PMID- 26541893
TI - Reference periods in retrospective behavioral self-report: A qualitative
investigation.
AB - BACKGROUND: Self-report questions in substance use research and clinical
screening often ask individuals to reflect on behaviors, symptoms, or events over
a specified time period. However, there are different ways of phrasing
conceptually similar time frames (eg, past year vs. past 12 months). METHODS: We
conducted focused, abbreviated cognitive interviews with a sample of community
health center patients (N = 50) to learn how they perceived and interpreted
questions with alternative phrasing of similar time frames (past year vs. past 12
months; past month vs. past 30 days; past week vs. past 7 days). RESULTS: Most
participants perceived the alternative time frames as identical. However, 28%
suggested that the "past year" and "past 12 months" phrasings would elicit
different responses by evoking distinct time periods and/or calling for different
levels of recall precision. Different start and end dates for "past year" and
"past 12 months" were reported by 20% of the sample. There were fewer
discrepancies for shorter time frames. CONCLUSIONS: Use of "past 12 months"
rather than "past year" as a time frame in self-report questions could yield more
precise responses for a substantial minority of adult respondents. SCIENTIFIC
SIGNIFICANCE: Subtle differences in wording of conceptually similar time frames
can affect the interpretation of self-report questions and the precision of
responses.
PMID- 26541886
TI - Lutein, zeaxanthin, and meso-zeaxanthin: The basic and clinical science
underlying carotenoid-based nutritional interventions against ocular disease.
AB - The human macula uniquely concentrates three carotenoids: lutein, zeaxanthin, and
meso-zeaxanthin. Lutein and zeaxanthin must be obtained from dietary sources such
as green leafy vegetables and orange and yellow fruits and vegetables, while meso
zeaxanthin is rarely found in diet and is believed to be formed at the macula by
metabolic transformations of ingested carotenoids. Epidemiological studies and
large-scale clinical trials such as AREDS2 have brought attention to the
potential ocular health and functional benefits of these three xanthophyll
carotenoids consumed through the diet or supplements, but the basic science and
clinical research underlying recommendations for nutritional interventions
against age-related macular degeneration and other eye diseases are
underappreciated by clinicians and vision researchers alike. In this review
article, we first examine the chemistry, biochemistry, biophysics, and physiology
of these yellow pigments that are specifically concentrated in the macula lutea
through the means of high-affinity binding proteins and specialized transport and
metabolic proteins where they play important roles as short-wavelength (blue)
light-absorbers and localized, efficient antioxidants in a region at high risk
for light-induced oxidative stress. Next, we turn to clinical evidence supporting
functional benefits of these carotenoids in normal eyes and for their potential
protective actions against ocular disease from infancy to old age.
PMID- 26541894
TI - TGF-beta induces the differentiation of human CXCL13-producing CD4(+) T cells.
AB - In the ectopic lymphoid-like structures present in chronic inflammatory
conditions such as rheumatoid arthritis, a subset of human effector memory CD4(+)
T cells that lacks features of follicular helper T (Tfh) cells produces CXCL13.
Here, we report that TGF-beta induces the differentiation of human CXCL13
producing CD4(+) T cells from naive CD4(+) T cells. The TGF-beta-induced CXCL13
producing CD4(+) T cells do not express CXCR5, B-cell lymphoma 6 (BCL6), and
other Tfh-cell markers. Furthermore, expression levels of CD25 (IL-2Ralpha) in
CXCL13-producing CD4(+) T cells are significantly lower than those in FoxP3(+) in
vitro induced Treg cells. Consistent with this, neutralization of IL-2 and
knockdown of STAT5 clearly upregulate CXCL13 production by CD4(+) T cells, while
downregulating the expression of FoxP3. Furthermore, overexpression of FoxP3 in
naive CD4(+) T cells downregulates CXCL13 production, and knockdown of FoxP3
fails to inhibit the differentiation of CXCL13-producing CD4(+) T cells. As
reported in rheumatoid arthritis, proinflammatory cytokines enhance secondary
CXCL13 production from reactivated CXCL13-producing CD4(+) T cells. Our findings
demonstrate that CXCL13-producing CD4(+) T cells lacking Tfh-cell features
differentiate via TGF-beta signaling but not via FoxP3, and exert their function
in IL-2-limited but TGF-beta-rich and proinflammatory cytokine-rich inflammatory
conditions.
PMID- 26541896
TI - Reactions to the meta-analyses of the Prototype Willingness Model.
PMID- 26541895
TI - Targeted exome sequencing profiles genetic alterations in leiomyosarcoma.
AB - Leiomyosarcoma (LMS) belongs to the class of genetically complex sarcomas and
shows numerous, often non-recurrent chromosomal imbalances and aberrations. We
investigated a group of LMS using NGS platform to identify recurrent genetic
abnormalities and possible therapeutic targets. Targeted exome sequencing of 230
cancer-associated genes was performed on 35 primary soft tissue and visceral
(extra-uterine) LMS. Sequence data were analyzed to identify single nucleotide
variants, small insertions/deletions (indels), and copy number alterations. Key
alterations were further investigated using FISH assay. The study group included
patients with median age of 64 years and median tumor size of 7 cm. The primary
sites included retroperitoneal/intra-abdominal, extremity, truncal, and visceral.
Thirty-one tumors were high grade LMS, while four were low grade. Losses of
chromosomal regions involving key tumor suppressor genes PTEN (10q), RB1 (13q),
CDH1 (16q), and TP53 (17p) were the most frequent genetic events. Gains mainly
involved chromosome regions 17p11.2 (MYOCD) and 15q25-26 (IGF1R). The most
frequent mutations were identified in the TP53 gene in 13 of 35 (37%) cases. FISH
analysis showed amplification of the myocardin (MYOCD) gene in 5 of 25 (20%)
cases analyzed. None of the four low grade LMS showed losses or mutations of PTEN
or TP53 genes. Genetic complexity is the hallmark of LMS with losses of important
tumor suppressor genes being a common feature. MYOCD, a key gene associated with
smooth muscle differentiation, is amplified in a subset of both retroperitoneal
and extremity LMS. Further studies are necessary to investigate the significance
of gains/amplifications in the development of these tumors.
PMID- 26541897
TI - Complete bone regeneration in hemophilic pseudotumor of the mandible.
AB - Hemophilic pseudotumor (HP) is rare, seen in 1-2% of patients with hemophilia,
and is extremely uncommon in the mandible. A 6-year-old boy with moderate
hemophilia A presented to our hospital with left mandibular swelling. Based on
clinical and radiological findings, a tentative diagnosis of HP was made. After
factor VIII administration, the lesion was curetted and HP was confirmed on
histopathology. The patient was treated with twice-weekly factor VIII until the
lesion had completely resolved and bone had regenerated at 1 year. The best
treatment for HP is not established; however, appropriate initial treatment and
postoperative prophylaxis are effective.
PMID- 26541898
TI - Haemoglobin kenitra identified in a Portuguese man with type 2 diabetes and
pheochromocytoma.
PMID- 26541900
TI - Proteomics of endometrial cancer diagnosis, treatment, and prognosis.
AB - This review discusses the current status of proteomics technology in endometrial
cancer diagnosis, treatment and prognosis. The first part of this review focuses
on recently identified biomarkers for endometrial cancer, their importance in
clinical use as well as the proteomic methods used in their discovery. The second
part highlights some of the emerging mass spectrometry based proteomic
technologies that promise to contribute to a better understanding of endometrial
cancer by comparing the abundance of hundreds or thousands of proteins
simultaneously.
PMID- 26541899
TI - Enhanced response inhibition in experienced fencers.
AB - The inhibition of a prepotent response is an essential executive function which
enables us to suppress inappropriate actions in a given context. Individuals with
fencing expertise exhibit behavioral advantages on tasks with high demands on
response inhibition. This study examines the electrophysiological basis for the
superior response inhibition in experienced fencers. In the Go/Nogo task where
frequent stimuli required a motor response while reaction had to be withheld to
rare stimuli, the fencers, compared with the non-fencers, exhibited behavioral as
well as electrophysiological advantages when suppressing prepotent responses. The
superior response inhibition in the fencers was characterized by enhanced Nogo-N2
and reduced Nogo-P3. Single-trial analysis revealed that the amplitude difference
of the Nogo-N2 between two groups was caused by lower single-trial latency
variability in the fencers (may be due to low attentional fluctuation and/or
stable neural processing speed) while the amplitude difference of the Nogo-P3
resulted from truly weaker neural activity in the fencers (may be because few
cognitive sources are needed and few control efforts are made). The two
inhibition-related components are distinct neurophysiological indexes that, on
the one hand, provide effective guidance to titrate the level of executive
function in fencers, and on the other hand, facilitate to monitor fencers'
improvement in the training process.
PMID- 26541901
TI - Recombinant Helicoverpa armigera nucleopolyhedrovirus with arthropod-specific
neurotoxin gene RjAa17f from Rhopalurus junceus enhances the virulence against
the host larvae.
AB - A recombinant Helicoverpa armigera nucleopolyhedrovirus (HearNPV) expressing the
insect-selective neurotoxin (RjAa17f) from Cuban scorpion Rhopalurus junceus was
constructed by replacing the UDP-glucosyltransferase gene (egt) using lambda-red
homologous recombination system. Another egt deleted control HearNPV was
constructed in a similar way by inserting egfp gene into the egt locus. One-step
viral growth curve and viral DNA replication curve analysis confirmed that the
recombination did not affect the viral growth and DNA replication in host cells.
There is no discernable difference in occlusion-body morphogenesis between
RjAa17f-HearNPV, Egfp-HearNPV and HZ8-HearNPV, which was confirmed by
transmission electron microscopy analysis. However, the insecticidal activity of
RjAa17f-HearNPV is enhanced against the third instar H. armigera larvae according
to the bioassay on virulence comparison. There is a dramatic reduction (56.9%) in
median lethal dose (LD50 ) and also a reduction (13.4%) in median survival time
(ST50 ) for the recombinant RjAa17f-HearNPV compared to the HZ8-HearNPV, but only
a 27.5% reduction in LD50 and 10.1% reduction in ST50 value when Egfp-HearNPV is
compared with HZ8-HearNPV. The daily diet consumption analysis showed that the
RjAa17f-HearNPV was able to inhibit the infected larvae feeding compared with the
egt minus HearNPV. These results demonstrated that this novel recombinant RjAa17f
HearNPV could improve the insecticidal effect against its host insects and
RjAa17f could be a considerable candidate for other recombinant baculovirus
constructions.
PMID- 26541902
TI - Proliferative events and apoptotic remodelling in retinal development of common
toad (Bufo bufo).
AB - Proliferation and apoptosis are fundamental processes in the development of the
retina, and a proper balance of the two phenomena is crucial to correct
development of the organ. Despite intense investigation in different vertebrates,
only a few studies have analyzed the cell death and the cell division
quantitatively in the same species during development. Here we studied the time
course of apoptosis and proliferation in the retina of common toad, Bufo bufo,
and discuss the findings in an evolutionary perspective. We found cells that were
dividing first scattered throughout the retina, then, in later stages,
proliferation was confined to the ciliary marginal zone. This pattern was
confirmed by the expression of the proliferative marker PCNA. Both proliferation
and apoptosis occurred in successive waves, and two apoptotic peaks were
detected: one at premetamorphosis 1 and the second at prometamorphosis. PARP-1, a
known molecular marker of apoptosis, was used to confirm the data obtained by
counting pyknotic nuclei. In summary, proliferative and apoptotic waves display
an inverse time-relationship through development, with apoptotic peaks coinciding
with low proliferation phases. In a comparative perspective, amphibians follow a
developmental pattern similar to other vertebrates, although with different
timing.
PMID- 26541903
TI - Using probe-microphone measurements to improve the match to target gain and
frequency response slope, as a function of earmould style, frequency, and input
level.
AB - OBJECTIVE: Matching a prescription gain target at 60-65 dB SPL does not ensure
audibility of lower input levels, nor does it mean the fitted frequency response
slope is conducive to good sound quality. The aim of the present study was to
evaluate the use of probe-microphone measurements to match target gain and slope,
as a function of earmould style, frequency, and input level. DESIGN: The real-ear
insertion gain was calculated for an input of 50, 65, and 80 dB SPL for the
manufacturer's 'initial fit' (IF) settings and after adjustment to target in 49
and 51 open slim-tube and custom earmould fittings, respectively. STUDY SAMPLE:
One hundred adults with median age 74 years (range 32-93). RESULTS: Some 18%-67%
of the IF settings were within 10 dB of the target gain but this increased to
>85% after adjustment. Some 47%-71% of the IF settings were within 10 dB of the
target slope but, with the exception of 2-4 kHz, this increased to >88% after
adjustment. CONCLUSIONS: The results indicate that IF settings are inadequate, at
least for the model of hearing aid used in the present study; however,
significant discrepancies remained, even after adjustment.
PMID- 26541904
TI - Low-dose metronomic chemotherapy with cisplatin enhanced immunity in a murine
model of ectopic cervical cancer.
AB - Previous researchers have claimed that metronomic low-dose/dense chemotherapy can
enhance the therapeutic effectiveness of cisplatin treatment in the control of
cancer. Therefore, the aim of this study was to explore the effectiveness of
metronomic drug delivery with regards to its effects on adaptive immunity in a
murine model of ectopic cervical cancer. The effectiveness of long-term low
dose/dense cisplatin treatment in HPV E7-expressing TC-1 cells was evaluated via
morphological observations. Tumour mass and survival curves were used to
determine the antitumour effect against E7-expressing tumours. After experimental
mice had been treated with low-dose/dense cisplatin therapy, flow cytometry was
used to measure the expression of MHC class I surface antigens on cultured TC-1
cells. Splenocytes expressing both interferon (IFN)-gamma and CD8 responsible for
E7 antigens and the Treg population were also quantified using flow cytometry.
The results indicate that in vivo treatment with metronomic cisplatin suppresses
the growth of cultured TC-1 cells. An increase was also observed in the number of
splenocytes expressing both IFN-gamma and CD8 responsible for E7 antigens and the
Treg population. These results support previous reports that metronomic low
dose/dense cisplatin chemotherapy is an effective treatment against ectopic
cervical cancer with E7-expression.
PMID- 26541905
TI - Factors Associated With Infarct-Related Artery Patency Before Primary
Percutaneous Coronary Intervention for ST-Elevation Myocardial Infarction (from
the FAST-MI 2010 Registry).
AB - Early infarct-related artery (IRA) patency is associated with better clinical
outcomes in patients with ST-elevation myocardial infarction (STEMI). Using the
French Registry of ST-elevation and non-ST-elevation Myocardial Infarction (FAST
MI) 2010 registry, we investigated factors related to IRA patency (thrombolysis
in myocardial infarction [TIMI] 2/3 flow) at the start of procedure in patients
admitted for primary percutaneous coronary intervention. FAST-MI 2010 is a
nationwide French registry including 4,169 patients with acute MI. Of 1,452
patients with STEMI with primary percutaneous coronary intervention, 466 (32%)
had TIMI 2/3 flow of IRA before the procedure. Mean age (62 +/- 14 years in both
groups), Global Registry of Acute Coronary Event score (141 +/- 31 vs 142 +/-
34), and time from onset to angiography (472 +/- 499 vs 451 +/- 479 minutes) did
not differ according to IRA patency (TIMI 2/3 vs TIMI 0/1). Using multivariate
logistic regression analysis, IRA patency was more frequently found in patients
having called earlier (time from onset to electrocardiogram [ECG] <120 minutes;
odds ratio [OR] 1.49; 95% confidence interval [CI] 1.17 to 1.89), or receiving
rapid-onset of action (prasugrel or glycoprotein IIb-IIIa) antiplatelet therapy
in the prehospital setting (OR 1.59, 95% CI 1.14 to 2.21). Increasing time from
diagnostic ECG to angiography was also associated with IRA patency (>90 minutes;
OR 1.37, 95% CI 1.08 to 1.75). In conclusion, preprocedural IRA patency is
observed in one third of patients with STEMI, it is more frequently found in
patients having received fast-acting antiplatelet therapy before angiography, and
in patients having called early. Higher IRA patency with increasing time delays
from qualifying ECG to angiography suggests an additional role of spontaneous or
medication-mediated fibrinolysis.
PMID- 26541906
TI - Effects of Swimming and Cycling Exercise Intervention on Vascular Function in
Patients With Osteoarthritis.
AB - Swimming exercise is an ideal and excellent form of exercise for patients with
osteoarthritis (OA). However, there is no scientific evidence that regular
swimming reduces vascular dysfunction and inflammation and elicits similar
benefits compared with land-based exercises such as cycling in terms of reducing
vascular dysfunction and inflammation in patients with OA. Forty-eight middle
aged and older patients with OA were randomly assigned to swimming or cycling
training groups. Cycling training was included as a non-weight-bearing land-based
comparison group. After 12 weeks of supervised exercise training, central
arterial stiffness, as determined by carotid-femoral pulse wave velocity, and
carotid artery stiffness, through simultaneous ultrasound and applanation
tonometry, decreased significantly after both swimming and cycling training.
Vascular endothelial function, as determined by brachial flow-mediated dilation,
increased significantly after swimming but not after cycling training. Both
swimming and cycling interventions reduced interleukin-6 levels, whereas no
changes were observed in other inflammatory markers. In conclusion, these results
indicate that regular swimming exercise can exert similar or even superior
effects on vascular function and inflammatory markers compared with land-based
cycling exercise in patients with OA who often has an increased risk of
developing cardiovascular disease.
PMID- 26541907
TI - Insurance and Prehospital Delay in Patients <=55 Years With Acute Myocardial
Infarction.
AB - This prospective study assessed whether gender differences in health insurance
help explain gender differences in delay in seeking care for patients in the US,
with acute myocardial infarction (AMI). We also assessed gender differences in
such prehospital delay for AMI in Spain, a country with universal insurance. We
used data from 2,951 US and 496 Spanish patients aged 18 to 55 years with AMI. US
patients were grouped by insurance status: adequately insured, underinsured, or
uninsured. For each country, we assessed the association between gender and
prehospital delay (symptom onset to hospital arrival). For the US cohort, we
modeled the relation between insurance groups and delay of >12 hours. US women
were less likely than men to be uninsured but more likely to be underinsured, and
a larger proportion of women than men experienced delays of >12 hours (38% vs
29%). We found no association between insurance status and delays of >12 hours in
men or women. Only 17.3% of Spanish patients had delays of >12 hours, and there
were no significant gender differences. In conclusion, women were more likely
than men to delay, although it was not explained by differences in insurance
status. The lack of gender differences in prehospital delays in Spain suggests
that these differences may vary by health care system and culture.
PMID- 26541908
TI - Trends in Coronary Angiography, Revascularization, and Outcomes of Cardiogenic
Shock Complicating Non-ST-Elevation Myocardial Infarction.
AB - Early revascularization is the mainstay of treatment for cardiogenic shock (CS)
complicating acute myocardial infarction. However, data on the contemporary
trends in management and outcomes of CS complicating non-ST-elevation myocardial
infarction (NSTEMI) are limited. We used the 2006 to 2012 Nationwide Inpatient
Sample databases to identify patients aged >= 18 years with NSTEMI with or
without CS. Temporal trends and differences in coronary angiography,
revascularization, and outcomes were analyzed. Of 2,191,772 patients with NSTEMI,
53,800 (2.5%) had a diagnosis of CS. From 2006 to 2012, coronary angiography
rates increased from 53.6% to 60.4% in patients with NSTEMI with CS (ptrend
<0.001). Among patients who underwent coronary angiography, revascularization
rates were significantly higher in patients with CS versus without CS (72.5% vs
62.6%, p <0.001). Patients with NSTEMI with CS had significantly higher risk
adjusted in-hospital mortality (odds ratio 10.09, 95% confidence interval 9.88 to
10.32) as compared to those without CS. In patients with CS, an invasive strategy
was associated with lower risk-adjusted in-hospital mortality (odds ratio 0.43,
95% confidence interval 0.42 to 0.45). Risk-adjusted in-hospital mortality,
length of stay, and total hospital costs decreased over the study period in
patients with and without CS (ptrend <0.001). In conclusion, we observed an
increasing trend in coronary angiography and decreasing trend in in-hospital
mortality, length of stay, and total hospital costs in patients with NSTEMI with
and without CS. Despite these positive trends, overall coronary angiography and
revascularization rates remain less than optimal and in-hospital mortality
unacceptably high in patients with NSTEMI and CS.
PMID- 26541909
TI - Indications and immediate and long-term results of a novel pericardium covered
stent graft: Consecutive 5 year single center experience.
AB - BACKGROUND: The use of covered stent grafts during percutaneous coronary
intervention (PCI) is a life saving solution to seal acute iatrogenic vessel
rupture. However, the presence of an impenetrable mechanical barrier is also
appealing during treatment of friable coronary plaques but the synthetic PTFE
membrane that might trigger excessive neointimal proliferation has limited its
elective-use. Pericardium tissue may offer an appealing "natural" alternative.
Aim of our study is to report the consecutive 5-year single center experience
with the use of pericardium-covered stents (PCS) (ITGI-Medical, Israel) in a
variety of emergency and elective applications. METHODS: Nineteen consecutive
patients undergoing implantation of PCS at the Royal Brompton in the last 5
years. Reasons for PCS implantation included treatment of degenerated vein
grafts, large coronary aneurysms, and acute iatrogenic vessel rupture. RESULTS:
Angiographic success, defined as the ability of the device to be deployed in the
indexed lesion with no contrast extravasation with residual angiographic stenosis
<30% and a final thrombolysis in myocardial infarction (TIMI)-3 flow was achieved
in all cases. Procedural success, defined as the achievement of angiographic
success without any major adverse cardiovascular event (MACE) was achieved in
94.7% of patients. In-stent restenosis (ISR) was observed in 26.3% and all
patients underwent successful target vessel revascularization with DES (mean time
to restenosis 9.0 +/- 4.0 months). At a mean follow-up of 32.5 +/- 23.3 months no
acute or late stent thrombosis was observed. CONCLUSION: PCSs were effective in
the treatment of friable embolization-prone coronary plaques, sealing of acute
iatrogenic vessel rupture and exclusion of large aneurysms with no thrombosis but
high target lesion revascularization.
PMID- 26541910
TI - Daylight-mediated photodynamic therapy for actinic damage in Latin America:
consensus recommendations.
AB - Although conventional photodynamic therapy (c-PDT) using methyl aminolevulinate
cream (MAL) is effective for the treatment of grade I-II facial and scalp actinic
keratosis (AK), it is associated with treatment-related pain for some patients.
Daylight-mediated PDT (DL-PDT) has shown similar efficacy to c-PDT, was nearly
painless, and was well tolerated. Overall, DL-PDT effectively treats AK and
offers a simpler and better tolerated treatment option than c-PDT. This consensus
panel provided recommendations on the use of DL-PDT in Latin America (LATAM) for
the treatment of actinic damage associated with few or multiple AKs. The panel
was comprised of eight dermatologists from different LATAM countries who have
experience using PDT for the treatment of actinic damage. The panel reviewed the
relevant literature and provided personal expertise with regard to using DL-PDT
for the treatment of photodamage with or without AK. The recommendations
formulated by the expert panel provide evidence-based guidelines on all aspects
of DL-PDT for the treatment of actinic damage associated with AK in different
regions of LATAM. These recommendations provide guidance for dermatologists to
ensure maintenance of efficacy and safety of DL-PDT when treating actinic damage,
associated with few or multiple AKs in sun-exposed skin.
PMID- 26541911
TI - CYP2A6 Effects on Subjective Reactions to Initial Smoking Attempt.
AB - INTRODUCTION: In very novice smokers, CYP2A6 genotypes that reduce nicotine
metabolism to an intermediate rate may increase smoking risk, relative to both
normal and slow rates. The present study examined the hypothesis that
intermediate metabolism variants are associated with greater pleasurable effects
of the initial smoking attempt than either normal or slow metabolism variants.
METHODS: Participants were novice smokers (N = 261, 65% female) of European
descent. Predicted nicotine metabolic rate based on CYP2A6 diplotypes (CYP2A6
Diplotype Predicted Rate [CDPR]) was partitioned into Normal, Intermediate, and
Slow categories using a metabolism metric. Subjective reactions to the initial
smoking attempt were assessed by the Pleasurable Smoking Experiences (PSE) scale,
which was collected within 3 years of the initial smoking attempt. The effect of
CDPR on PSE was tested using a generalized linear model in which CDPR was dummy
coded and Intermediate CDPR was the reference condition. Gender was included in
the model as a control for higher PSE scores by males. RESULTS: Lower PSE scores
were associated with Normal CDPR, beta = -0.34, P = .008, and Slow CDPR, beta =
0.52, P = .001, relative to Intermediate CDPR. CONCLUSIONS: Intermediate CDPR
enhanced pleasurable effects of the initial smoking attempt relative to other
CYP2A6 variants. This finding is consistent with the hypothesis that the risk
effect of Intermediate CDPR on early smoking is a function of optimal pleasurable
effects. IMPLICATIONS: This study supports our recent hypothesis that CYP2A6
diplotypes that encode intermediate nicotine metabolism rate are associated with
enhanced pleasurable events following the initial smoking attempt, compared with
diplotypes that encode either normal or slow metabolism. This hypothesis was
offered to account for our unexpected previous finding of enhanced smoking risk
in very novice smokers associated with intermediate metabolism rate. Our new
finding encourages further investigation of time-dependent relations between
CYP2A6 effects and smoking motives, and it encourages laboratory study of the
mechanisms underlying the initial smoking enhancement in novice smokers
associated with intermediate metabolism.
PMID- 26541912
TI - Oestradiol Regulates Neuropeptide Y Release and Gene Coupling with the GABAergic
and Glutamatergic Synapses in the Adult Female Rat Dentate Gyrus.
AB - Neuropeptide Y (NPY) is an endogenous modulator of neuronal activity affecting
both GABAergic and glutamatergic transmission. Previously, we found that
oestradiol modifies the number of NPY immunoreactive neurones in the hippocampal
dentate gyrus. In the present study, we investigated which oestrogen receptor
type is responsible for these changes in the number of NPY-positive neurones.
Furthermore, we determined the effects of oestrogen receptor activation on NPY
release. Finally, we examined the contribution of oestrogen toward the
remodelling of the GABAergic and glutamatergic gene networks in terms of coupling
with Npy gene expression in ovariectomised rats. We found that activation of
either oestrogen receptor type (ERalpha or ERbeta) increases the number of NPY
immunopositive neurones and enhances NPY release in the dentate gyrus. We also
found that, compared to oestrogen-lacking ovariectomised rats, oestrogen
replacement increases the probability of synergistic/antagonistic coupling
between the Npy and GABAergic synapse genes, whereas the glutamatergic synapse
genes are less likely to be coupled with Npy under similar conditions. The data
together suggest that oestrogens play a critical role in the regulation of NPY
system activity and are also involved in the coupling/uncoupling of the Npy gene
with the GABAergic and glutamatergic synapses in the female rat dentate gyrus.
PMID- 26541913
TI - Professional values of Turkish nurses: A descriptive study.
AB - BACKGROUND: Professional values improve the quality of nurses' professional
lives, reduce emotional exhaustion and depersonalization, increase personal
success, and help to make collaborations with the members of the healthcare team
more frequent. OBJECTIVE: The purpose of this study was to describe the
professional values of Turkish nurses and to explore the relationships between
nurses' characteristics. METHODS: This was a descriptive study of a convenience
sample consisting of 269 clinical nurses. A questionnaire was used to identify
socio-demographic characteristics, and the Nurses' Professional Values Scale was
applied. Ethical considerations: Permission to conduct the study was received
from the hospital and the Institutional Review Boards of the Suleyman Demirel
University ethic committee. RESULTS: The mean scale score of the participant
nurses was 165.41 +/- 20.79. The results of this study revealed that human
dignity was the most important professional value for nurses, and the importance
attached to these values showed statistically significant differences by age,
length of service, educational level, marital status, position at work, and
receiving relevant in-service training. CONCLUSION: Nurses' Professional Values
Scale scores showed that nurses give above average and attached importance to
professional values.
PMID- 26541914
TI - Evaluation of affinity interaction between small molecules and platelets by open
tubular affinity capillary electrochromatography.
AB - In this paper, an open tubular affinity capillary electrochromatography (OT-ACEC)
was developed by physical adsorption of rabbit platelets on the inner surface of
capillary. The interactions between small molecules include adenosine diphosphate
(ADP) (positive control), protocatechuic acid (negative control) and seven
natural products (salvianolic acid B, salvianic acid A sodium, hydroxysafflor
yellow A, ferulic acid, chlorogenic acid, sinapic acid, caffeic acid) and
platelets were evaluated by their retention factors and binding constants
obtained based on peak-shift assay. Then, the activities of anti-platelet
aggregation induced by thrombin (THR), ADP and arachidonic acid (AA) for those
small molecules (except ADP) were evaluated by turbidimetric method. The results
indicate that: (i) ADP, a platelet aggregation inducer, had strong interaction
with platelet, while protocatechuic acid that had no inhibition on platelet
aggregation behaved no specific interaction; (ii) there was a positive
correlation between the anti-platelet aggregation activities of small molecules
and their interactions with platelet, generally those compounds with higher
binding constants with platelet exhibited higher activities. Therefore, the OT
ACEC method developed in the present study can be a potential method to evaluate
affinity interactions between small molecules and platelets, so as to predict the
biological activities such as anti-platelet aggregation for the small molecules.
PMID- 26541916
TI - LCZ696 (sacubitril/valsartan): can we predict who will benefit?
PMID- 26541915
TI - Comparing LCZ696 with enalapril according to baseline risk using the MAGGIC and
EMPHASIS-HF risk scores: an analysis of mortality and morbidity in PARADIGM-HF.
AB - BACKGROUND: Although most patients in the PARADIGM-HF (Prospective Comparison of
ARNI With ACEI to Determine Impact on Global Mortality and Morbidity in Heart
Failure) trial had mild symptoms, there is a poor correlation between reported
functional limitation and prognosis in heart failure. OBJECTIVES: The aim of this
study was to examine the spectrum of risk in PARADIGM-HF and the effect of LCZ696
across that spectrum. METHODS: This study analyzed rates of the primary composite
outcome of cardiovascular death or heart failure hospitalization, its components,
and all-cause mortality using the MAGGIC (Meta-Analysis Global Group in Chronic
Heart Failure) and EMPHASIS-HF (Eplerenone in Mild Patients Hospitalization and
Survival Study in Heart Failure) risk scores to categorize patients. The authors
determined whether risk, on the basis of these scores, modified the treatment
effect of LCZ696. RESULTS: The complete MAGGIC risk score was available for 8,375
of the 8,399 patients in PARADIGM-HF. The median MAGGIC score was 20 (IQR: 16 to
24). An increase of 1 point was associated with a 6% increased risk for the
primary endpoint (p < 0.001) and a 7% increased risk for cardiovascular death (p
< 0.001). The benefit of LCZ696 over enalapril for the primary endpoint was
similar across the spectrum of risk (p = 0.159). Treating 100 patients for 2
years with LCZ696 instead of enalapril led to 7 fewer patients in the highest
quintile of risk experiencing primary outcomes, compared with 3 in the lowest
quintile. Analyses using the EMPHASIS-HF risk score gave similar findings.
CONCLUSIONS: Although most PARADIGM-HF patients had mild symptoms, many were at
high risk for adverse outcomes and obtained a large absolute benefit from LCZ696,
compared with enalapril, over a relatively short treatment period. LCZ696's
benefit was consistent across the spectrum of risk. (PARADIGM-HF trial
[Prospective Comparison of ARNI With ACEI to Determine Impact on Global Mortality
and Morbidity in Heart Failure]; NCT01035255).
PMID- 26541917
TI - Predictors and impact of myocardial injury after transcatheter aortic valve
replacement: a multicenter registry.
AB - BACKGROUND: Cardiac biomarker release signifying myocardial injury post
transcatheter aortic valve replacement (TAVR) is common, yet its clinical impact
within a large TAVR cohort receiving differing types of valve and procedural
approaches is unknown. OBJECTIVES: This study sought to determine the incidence,
clinical impact, and factors associated with cardiac biomarker elevation post
TAVR. METHODS: This multicenter study included 1,131 consecutive patients
undergoing TAVR with balloon-expandable (58%) or self-expandable (42%) valves.
Transfemoral and transapical (TA) approaches were selected in 73.1% and 20.3% of
patients, respectively. Creatine kinase-myocardial band (CK-MB) measurements were
obtained at baseline and at several time points within the initial 72 h post
TAVR. Echocardiography was performed at baseline and at 6- to 12-month follow-up.
RESULTS: Overall, 66% of the TAVR population demonstrated some degree of
myocardial injury as determined by a rise in CK-MB levels (peak value: 1.6-fold
[interquartile range (IQR): 0.9 to 2.8-fold]). A TA approach and major procedural
complications were independently associated with higher peak of CK-MB levels (p <
0.01 for all), which translated into impaired systolic left ventricular function
at 6 to 12 months post TAVR (p < 0.01). A greater rise in CK-MB levels
independently associated with an increased 30-day, late (median of 21 [IQR: 8 to
36] months) overall and cardiovascular mortality (p < 0.001 for all). Any
increase in CK-MB levels was associated with poorer clinical outcomes, and there
was a stepwise rise in late mortality according to the various degrees of CK-MB
increase after TAVR (p < 0.001). CONCLUSIONS: Some degree of myocardial injury
was detected in two-thirds of patients post TAVR, especially in those undergoing
TA-TAVR or presenting with major procedural complications. A greater rise in CK
MB levels associated with greater acute and late mortality, imparting a negative
impact on left ventricular function.
PMID- 26541918
TI - Clinical relevance of myocardial injury after transcatheter aortic valve
replacement.
PMID- 26541920
TI - Angina in revascularization of ischemic cardiomyopathy: the whole quilt, or just
a STICH?
PMID- 26541919
TI - Importance of angina in patients with coronary disease, heart failure, and left
ventricular systolic dysfunction: insights from STICH.
AB - BACKGROUND: Patients with left ventricular (LV) systolic dysfunction, coronary
artery disease (CAD), and angina are often thought to have a worse prognosis and
a greater prognostic benefit from coronary artery bypass graft (CABG) surgery
than those without angina. OBJECTIVES: This study investigated: 1) whether angina
was associated with a worse prognosis; 2) whether angina identified patients who
had a greater survival benefit from CABG; and 3) whether CABG improved angina in
patients with LV systolic dysfunction and CAD. METHODS: We performed an analysis
of the STICH (Surgical Treatment for Ischemic Heart Failure) trial, in which
1,212 patients with an ejection fraction <=35% and CAD were randomized to CABG or
medical therapy. Multivariable Cox and logistic models were used to assess long
term clinical outcomes. RESULTS: At baseline, 770 patients (64%) reported angina.
Among patients assigned to medical therapy, all-cause mortality was similar in
patients with and without angina (hazard ratio [HR]: 1.05; 95% confidence
interval [CI]: 0.79 to 1.38). The effect of CABG was similar whether the patient
had angina (HR: 0.89; 95% CI: 0.71 to 1.13) or not (HR: 0.68; 95% CI: 0.50 to
0.94; p interaction = 0.14). Patients assigned to CABG were more likely to report
improvement in angina than those assigned to medical therapy alone (odds ratio:
0.70; 95% CI: 0.55 to 0.90; p < 0.01). CONCLUSIONS: Angina does not predict all
cause mortality in medically treated patients with LV systolic dysfunction and
CAD, nor does it identify patients who have a greater survival benefit from CABG.
However, CABG does improve angina to a greater extent than medical therapy alone.
(Comparison of Surgical and Medical Treatment for Congestive Heart Failure and
Coronary Artery Disease [STICH]; NCT00023595).
PMID- 26541922
TI - Going beyond the hard endpoints: "quality of life" may be dependent on quality of
available data.
PMID- 26541921
TI - Impact of multivessel revascularization on health status outcomes in patients
with ST-segment elevation myocardial infarction and multivessel coronary artery
disease.
AB - BACKGROUND: Up to 65% of patients with ST-segment elevation myocardial infarction
(STEMI) have multivessel coronary artery disease (MVCAD). Long-term health status
of STEMI patients after multivessel revascularization is unknown. OBJECTIVES:
This study investigated the relationship between multivessel revascularization
and health status outcomes (symptoms and quality of life [QoL]) in STEMI patients
with MVCAD. METHODS: Using a U.S. myocardial infarction registry and the Seattle
Angina Questionnaire (SAQ), we determined the health status of patients with
STEMI and MVCAD at the time of STEMI and 1 year later. We assessed the
association of multivessel revascularization during index hospitalization with 1
year health status using multivariable linear regression analysis, and also
examined demographic, clinical, and angiographic factors associated with
multivessel revascularization. RESULTS: Among 664 STEMI patients with MVCAD, 251
(38%) underwent multivessel revascularization. Most revascularizations were
staged during the index hospitalization (64.1%), and 8.0% were staged after
discharge, with 27.9% performed during primary percutaneous coronary
intervention. Multivessel revascularization was associated with age and more
diseased vessels. At 1 year, multivessel revascularization was independently
associated with improved symptoms (4.5 points higher SAQ angina frequency score;
95% confidence interval [CI]: 1.0 to 7.9) and QoL (6.6 points higher SAQ QoL
score; 95% CI: 2.7 to 10.6). One-year mortality was not different between those
who did and did not undergo multivessel revascularization (3.6% vs. 3.4%; log
rank test p = 0.88). CONCLUSIONS: Multivessel revascularization improved angina
and QoL in STEMI patients with MVCAD. Patient-centered outcomes should be
considered in future trials of multivessel revascularization.
PMID- 26541923
TI - Carotid stiffness is associated with incident stroke: a systematic review and
individual participant data meta-analysis.
AB - BACKGROUND: Carotid stiffening is considered a key element in the pathogenesis of
stroke. However, results of studies evaluating the association between carotid
stiffness and incident stroke have been inconsistent. OBJECTIVES: This study
investigated whether carotid stiffness (as determined by ultrasonography) is
associated with incident stroke and whether this association is independent of
aortic stiffness as estimated by carotid-femoral pulse wave velocity (cfPWV).
Additionally, we evaluated the incremental value of carotid stiffness for stroke
risk prediction beyond Framingham risk factors and cfPWV. METHODS: This study
included a systematic review and meta-analyses of aggregate and individual
participant data (IPD), the latter of which was obtained by requesting individual
level data of all cohort studies with available data on carotid stiffness and
cfPWV. RESULTS: Ten studies (n = 22,472) were included in the aggregate data meta
analysis and 4 (n = 4,540) in the IPD meta-analysis. After adjusting for
cardiovascular (CV) factors, the aggregate data meta-analysis showed that greater
carotid stiffness (per SD) was associated with stroke (hazard ratio: 1.18; 95%
confidence interval: 1.05 to 1.33). In addition, carotid stiffness was associated
with total CV events and CV and all-cause mortality, but not with coronary heart
disease events. In the IPD meta-analysis, additional adjustment for cfPWV did not
materially change these associations. Carotid stiffness did improve stroke risk
prediction beyond Framingham and cfPWV (integrative discrimination improvement:
0.4 percentage point [95% confidence interval: 0.1 to 0.6 percentage point] and
continuous net reclassification improvement: 18.6% [95% confidence interval: 5.8%
to 31.3%]). CONCLUSIONS: Carotid stiffness is associated with incident stroke
independently of CV factors and aortic stiffness. In addition, carotid stiffness
improves stroke risk prediction beyond Framingham and aortic stiffness.
PMID- 26541924
TI - Carotid stiffness and cerebrovascular disease: the physiology beyond the anatomy.
PMID- 26541925
TI - The role of the clinical pharmacist in the care of patients with cardiovascular
disease.
AB - Team-based cardiovascular care, including the use of clinical pharmacists, can
efficiently deliver high-quality care. This Joint Council Perspectives paper from
the Cardiovascular Team and Prevention Councils of the American College of
Cardiology provides background information on the clinical pharmacist's role,
training, certification, and potential utilization in a variety of practice
models. Selected systematic reviews and meta-analyses, highlighting the benefit
of clinical pharmacy services, are summarized. Clinical pharmacists have a
substantial effect in a wide variety of roles in inpatient and ambulatory
settings, largely through optimization of drug use, avoidance of adverse drug
events, and transitional care activities focusing on medication reconciliation
and patient education. Expansion of clinical pharmacy services is often impeded
by policy, legislation, and compensation barriers. Multidisciplinary
organizations, including the American College of Cardiology, should support
efforts to overcome these barriers, allowing pharmacists to deliver high-quality
patient care to the full extent of their education and training.
PMID- 26541926
TI - Cardiac risk of noncardiac surgery.
AB - Major perioperative cardiac events are estimated to complicate between 1.4% and
3.9% of surgeries. Because most surgeries are elective, there is the opportunity
to implement strategies to reduce this risk. Accurate identification of patients
at risk for such events will allow patients to be better informed about the
benefit-to-risk ratio of procedures, and guide allotment of limited clinical
resources, utilization of preventive interventions, and areas of future research.
This review focuses on important features of the initial pre-operative clinical
risk assessment, indications for diagnostic testing to quantify cardiac risk, and
the methods and indications for pre-emptive therapies.
PMID- 26541927
TI - A moment of reflection on the past and future of the Association of Black
Cardiologists: the history, legacy, and relevance of a socially conscious
organization dedicated to cardiovascular health equity.
PMID- 26541928
TI - Characterization of the first PCSK9 gain of function homozygote.
PMID- 26541929
TI - Prediction of long-term survival after liver transplantation for familial
transthyretin amyloidosis.
PMID- 26541930
TI - Neglecting enterococci may lead to a misinterpretation of the consequences of
last changes in endocarditis prophylaxis American Heart Association guidelines.
PMID- 26541931
TI - Vasa vasorum: still an invisible factor?
PMID- 26541932
TI - Reply: neglecting enterococci may lead to a misinterpretation of the consequences
of last changes in endocarditis prophylaxis American Heart Association
guidelines.
PMID- 26541933
TI - Reply: vasa vasorum: still an invisible factor?
PMID- 26541934
TI - Copper Sulfide Nanocrystal Level Structure and Electrochemical Functionality
towards Sensing Applications.
AB - The level structure of copper sulfide nanocrystals of different sizes was
investigated by correlating scanning tunneling spectroscopy and cyclic
voltammetry data in relation to sensing applications. Upon oxidation of Cu2 S
nanocrystals in the low-chalcocite phase, correlated changes are detected by both
methods. The cyclic voltammetry oxidation peak of Cu(1+) down shifts, while in
gap states, adjacent to the valence-band edge, appeared in the tunneling spectra.
These changes are attributed to Cu vacancy formation leading to a Cu depleted
phase of the nanocrystals. The relevance of the oxidation to the use of copper
sulfide nanocrystals in hydrogen peroxide sensing was also addressed, showing
that upon oxidation the sensitivity vanishes. These findings bare significance to
the use of copper sulfide nanocrystals in glucose sensing applications.
PMID- 26541935
TI - Patient-based outcomes following surgical debridement and flap coverage of
digital mucous cysts.
AB - PURPOSE: The purpose of this prospective cohort study was to evaluate patient
based outcomes and complications following excision of mucous cysts, joint
debridement, and closure with one of three types of local flaps. METHODS: From
2000-2011, 35 consecutive patients with 37 digital mucous cysts were treated
surgically. The surgical procedure included excision of the cyst together with
the attenuated skin, joint debridement on the affected side including
capsulectomy, and removal of osteophytes. Depending on the size and location of
the cyst, the skin defect was covered by a transposition flap (31 cysts), an
advancement flap (two cysts), or a rotation flap (four cysts). RESULTS: At an
average follow-up time of 4 years, 4 months, there was no wound infection, flap
necrosis, or joint stiffness. Preoperative nail ridging resolved in seven of nine
fingers, and no nail deformities developed after surgery. One cyst, treated with
a transposition flap, recurred 10 months after surgery. The average satisfaction
score for the affected finger significantly improved from 4.3 to 6.8, and the
average pain score decreased from 4.7 to 2.3. CONCLUSION: This treatment protocol
provides reliable results. Patients were satisfied with the reduction of
associated pain and the postoperative appearance of the treated finger, and
postoperative complications were minimal.
PMID- 26541936
TI - Rethinking the connection between working memory and language impairment.
AB - BACKGROUND: Working memory deficits have been found for children with specific
language impairment (SLI) on tasks imposing increasing short-term memory load
with or without additional, consistent (and simple) processing load. AIMS: To
examine the processing function of working memory in children with low language
(LL) by employing tasks imposing increasing processing loads with constant
storage demands individually adjusted based on each participant's short-term
memory capacity. METHODS & PROCEDURES: School-age groups with LL (n = 17) and
typical language with either average (n = 28) or above-average nonverbal
intelligence (n = 15) completed complex working memory-span tasks varying
processing load while keeping storage demands constant, varying storage demands
while keeping processing load constant, simple storage-span tasks, and measures
of language and nonverbal intelligence. Teachers completed questionnaires about
cognition and learning. OUTCOMES & RESULTS: Significantly lower scores were found
for the LL than either matched group on storage-based tasks, but no group
differences were found on the tasks varying processing load. Teachers' ratings of
oral expression and mathematics abilities discriminated those who did or did not
complete the most challenging cognitive tasks. CONCLUSIONS & IMPLICATIONS: The
results implicate a deficit in the phonological storage but not in the central
executive component of working memory for children with LL. Teacher ratings may
reveal personality traits related to perseverance of effort in cognitive
research.
PMID- 26541938
TI - Modelling considerations in the analysis of associations between antimicrobial
use and resistance in beef feedlot cattle.
AB - A number of sophisticated modelling approaches are available to investigate
potential associations between antimicrobial use (AMU) and resistance (AMR) in
animal health settings. All have their advantages and disadvantages, making it
unclear as to which model is most appropriate. We used advanced regression
modelling to investigate AMU-AMR associations in faecal non-type-specific
Escherichia coli (NTSEC) isolates recovered from 275 pens of feedlot cattle. Ten
modelling strategies were employed to investigate AMU associations with
resistance to chloramphenicol, ampicillin, sulfisoxazole, tetracycline and
streptomycin. Goodness-of-fit statistics did not show a consistent advantage for
any one model type. Three AMU-AMR associations were significant in all models.
Recent parenteral tetracycline use increased the odds of finding tetracycline
resistant NTSEC [odds ratios (OR) 1.1-3.2]; recent parenteral sulfonamide use
increased the odds of finding sulfisoxazole-resistant NTSEC (OR 1.4-2.5); and
recent parenteral macrolide use decreased the odds of recovering ampicillin
resistant NTSEC (OR 0.03-0.2). Other results varied markedly depending on the
modelling approach, emphasizing the importance of exploring and reporting
multiple modelling methods based on a balanced consideration of important factors
such as study design, mathematical appropriateness, research question and target
audience.
PMID- 26541937
TI - Adjuvant use of antibiotics with corticosteroids in inflammatory bowel disease
exacerbations requiring hospitalisation: a retrospective cohort study and meta
analysis.
AB - BACKGROUND: Patients hospitalised with an exacerbation of inflammatory bowel
disease (IBD) often receive antibiotics in addition to intravenous steroids.
However, their efficacy in this setting is unclear. AIM: To ascertain if the
addition of antibiotics to intravenous steroids modifies short and long-term
clinical outcomes. METHODS: Our study included IBD patients hospitalised between
2009 and 2014 who received intravenous (IV) steroids with or without adjuvant
antibiotics. Outcomes of interest included length of stay (LOS), need for medical
and surgical rescue therapy during the hospitalisation, and at 90 and 365 days. A
meta-analysis of previously published randomised trials was additionally
performed. RESULTS: A total of 354 patients were included [145 ulcerative colitis
(UC); 209 Crohn's disease (CD)]. In CD, combination of IV steroids and
antibiotics did not change need for in-hospital medical rescue therapy, surgery
or hospitalisations at 1 year but was associated with greater LOS (6.1 vs. 4.6
days, P = 0.02). In UC, patients receiving antibiotics were less likely to
require in-hospital medical rescue therapy [odds ratio (OR): 0.42, 95% confidence
interval (CI): 0.19-0.93] but experienced no statistically significant
differences in LOS, in-hospital surgery, re-hospitalisations or surgery by 1
year. A meta-analysis of three relevant randomised trials demonstrated no
difference in clinical improvement with antibiotics over placebo (OR: 1.08, 95%
CI: 0.50-2.32). CONCLUSIONS: The addition of antibiotics to intravenous steroids
for treatment of IBD exacerbations was associated with a reduced need for in
hospital medical rescue therapy in ulcerative colitis without significant long
term benefit, and did not affect short- or long-term outcomes in Crohn's disease.
PMID- 26541939
TI - De novo protein conformational sampling using a probabilistic graphical model.
AB - Efficient exploration of protein conformational space remains challenging
especially for large proteins when assembling discretized structural fragments
extracted from a protein structure data database. We propose a fragment-free
probabilistic graphical model, FUSION, for conformational sampling in continuous
space and assess its accuracy using 'blind' protein targets with a length up to
250 residues from the CASP11 structure prediction exercise. The method reduces
sampling bottlenecks, exhibits strong convergence, and demonstrates better
performance than the popular fragment assembly method, ROSETTA, on relatively
larger proteins with a length of more than 150 residues in our benchmark set.
FUSION is freely available through a web server at
http://protein.rnet.missouri.edu/FUSION/.
PMID- 26541942
TI - FDA urged to reduce REMS program burdens.
PMID- 26541941
TI - A relay strategy for the mercury (II) chemodosimeter with ultra-sensitivity as
test strips.
AB - A relay strategy has been proposed to design a new Hg(2+) chemodosimeter (TPE-S),
by coupling Hg(2+)-promoted deprotection reaction with ketone-enol isomerization,
realizing the multistage amplifying effect. Changes in both of color and
fluorescence could occur immediately, and TPE-S displayed high selectivity for
Hg(2+), other metal ions (Ag(+), Fe(3+), Cu(2+), Pb(2+), Co(2+), Cr(3+), Al(3+),
Cd(2+), Mg(2+), Mn(2+), Ba(2+), Fe(2+), Ca(2+), Ni(2+), Zn(2+), Li(+), K(+) and
Na(+)) gave nearly no disturbance to the sensing process. When fabricated as test
strips similar to pH-indicator papers, immediate color change from colorless to
purple could be visually observed by naked-eyes without the aid of any additional
equipment, with the detection limit as low as 1 * 10(-7) M (Hg(2+) in aqueous
solution). Due to its easy synthesis, high selectivity and sensitivity, combined
with the portable test strips, TPE-S could be developed as a convenient and cost
effective tool for the detection of Hg(2+) in on-site inspections.
PMID- 26541943
TI - Pharmacists find ways to make ADCs work in the ED.
PMID- 26541940
TI - Late Na(+) current and protracted electrical recovery are critical determinants
of the aging myopathy.
AB - The aging myopathy manifests itself with diastolic dysfunction and preserved
ejection fraction. We raised the possibility that, in a mouse model of
physiological aging, defects in electromechanical properties of cardiomyocytes
are important determinants of the diastolic characteristics of the myocardium,
independently from changes in structural composition of the muscle and collagen
framework. Here we show that an increase in the late Na(+) current (INaL) in
aging cardiomyocytes prolongs the action potential (AP) and influences temporal
kinetics of Ca(2+) cycling and contractility. These alterations increase force
development and passive tension. Inhibition of INaL shortens the AP and corrects
dynamics of Ca(2+) transient, cell contraction and relaxation. Similarly,
repolarization and diastolic tension of the senescent myocardium are partly
restored. Thus, INaL offers inotropic support, but negatively interferes with
cellular and ventricular compliance, providing a new perspective of the biology
of myocardial aging and the aetiology of the defective cardiac performance in the
elderly.
PMID- 26541944
TI - FIP hospital pharmacy section releases new version of Basel Statements.
PMID- 26541945
TI - Interdisciplinary care team advocate Thomas J. Mattei dies at 70.
PMID- 26541946
TI - Substitution of sodium acetate for sodium bicarbonate for urine alkalinization in
high-dose methotrexate therapy.
PMID- 26541947
TI - Development of a discharge pharmacy service.
PMID- 26541948
TI - Tips for succeeding at pharmacy residency phone interviews.
PMID- 26541949
TI - Empagliflozin: a sodium-glucose cotransporter 2 inhibitor for treatment of type 2
diabetes.
AB - PURPOSE: The pharmacology, pharmacokinetics, pharmacodynamics, clinical efficacy,
adverse effects, dosage and administration, and drug-drug interactions of
empagliflozin are reviewed. SUMMARY: Empagliflozin is a direct inhibitor of
sodium-glucose cotransporter 2 (SGLT2), which acts to lower the renal threshold
and increase urinary glucose excretion. SGLT2 is found in the proximal tubules of
the kidneys and reabsorbs about 90% of the filtered glucose. Because the
mechanism of action of empagliflozin is not insulin dependent or insulin
sensitive, it may be used in patients at different stages of diabetes with
nonfunctional or impaired pancreatic beta cells. Furthermore, empagliflozin can
be used with other antidiabetic drugs due to its lack of any additive
hypoglycemic effects. Long-term efficacy studies revealed significant reductions
with empagliflozin in glycosylated hemoglobin (HbA1c) values at week 78 compared
with placebo. Secondary endpoints in clinical trials showed improvements in
lowering blood pressure and reductions in body weight. The risk:benefit ratio
must be assessed for empagliflozin as the safety profile includes an increase in
urinary and genital infections. CONCLUSION: Empagliflozin has shown efficacy in
lowering HbA1c and blood glucose levels both as monotherapy and as an add-on to
existing therapy. Despite the drug's promising outlook, empagliflozin also leads
to common but serious adverse events not seen with other classes of
antihyperglycemic agents. Considering the current data on its efficacy and its
safety profile, empagliflozin can be used as a second- or third-line agent in
treating diabetes.
PMID- 26541950
TI - Acetylcysteine for treatment of autism spectrum disorder symptoms.
AB - PURPOSE: Successful use of acetylcysteine to control irritability and aggressive
behaviors in a hospitalized adolescent patient with autism spectrum disorder
(ASD) is described. SUMMARY: A 17-year-old Hispanic male with ASD and
intellectual disability was hospitalized for inpatient psychiatric treatment due
to impulsive and violent behavior. Despite receiving various medications in the
initial weeks of hospitalization, including intramuscular lorazepam and
diphenhydramine injections (four days a week on average), the patient continued
to exhibit aggressive and unpredictable behaviors. Treatment with 20%
acetylcysteine oral solution was initiated at a dosage of 600 mg twice daily as
an adjunct to quetiapine therapy. Over the next six weeks, reductions in the
patient's aggressive behavior, tantrums, and irritability were noted. The use of
as-needed medications to control aggression was decreased, and the dosage of
quetiapine was lowered from 700 to 400 mg daily over the course of the
hospitalization. Acetylcysteine was well tolerated, with no observed or reported
adverse effects. Unlike clonidine or guanfacine (other medications used for ASD
related behavioral symptoms), acetylcysteine is not sedating; moreover, it lacks
the metabolic, extrapyramidal, and endocrine adverse effects of atypical
antipsychotics. Published data from small controlled trials and case reports
suggest that acetylcysteine use is associated with improvements in irritability
and aggression in prepubertal children with ASD; these therapeutic benefits may
be associated with acetylcysteine's glutamatergic, dopaminergic, antioxidant, and
anti-inflammatory properties. CONCLUSION: Treatment with acetylcysteine improved
ASD symptoms, including irritability and aggression, in a teenage patient.
PMID- 26541951
TI - Effect of i.v. acetaminophen on total hip or knee replacement surgery: a case
matched evaluation of a national patient database.
AB - PURPOSE: The effects of i.v. acetaminophen on adverse events, hospital length of
stay (LOS), and overall hospital costs for total hip or knee replacements were
evaluated. METHODS: We conducted a matched-pairs analysis of adult inpatients who
underwent elective total hip arthroplasty or total knee arthroplasty in hospitals
participating in the Premier Healthcare Alliance from January 1, 2011, to
November 30, 2012. Each case who received i.v. acetaminophen on the day of
surgery was matched to a control who did not receive i.v. acetaminophen within
the same hospital. Treatment groups were analyzed for differences in the rate of
adverse effects, LOS, and hospital resource utilization. RESULTS: A total of
22,146 cases and controls were similar in terms of age, race, sex, marital
status, insurance status, and preoperative comorbidities. Overall adverse events
were significantly lower with i.v. acetaminophen (24.3%) than with controls
(26.3%, p < 0.001), numerically less frequent in all subgroups, and significantly
less frequent for renal, infectious, and miscellaneous adverse events (all p <
0.05). I.V. acetaminophen was also associated with a shorter LOS, with 1 out of
11 patients discharged one day earlier (p < 0.001) and lower average hospital
costs: $16,381 for cases compared with $16,927 for controls (p < 0.001). Cost
savings estimated by structural equation modeling of $547 per patient were due to
$325 from direct effects and $222 from indirect effects, the latter mediated
through adverse events and reduced LOS. CONCLUSION: In this retrospective cohort
study of case-matched patients who underwent total hip or knee replacement
surgery, multimodal analgesia with i.v. acetaminophen was associated with
improved clinical outcomes in terms of fewer adverse events, shortened LOS, and
reduced total hospital resources compared with patients who did not receive i.v.
acetaminophen.
PMID- 26541952
TI - Using institutional track programs and block scheduling to help students prepare
for postgraduate residency training.
AB - PURPOSE: The development and implementation of institutional track programs and
block scheduling to help students prepare for postgraduate residency training are
described. SUMMARY: Institutional track and block scheduling models were
implemented into advanced pharmacy practice experience rotations to provide
students with several of these experiences at the same practice site. Students at
Purdue University College of Pharmacy (PUCOP) and Butler University College of
Pharmacy and Health Sciences (BUCOPHS) can apply for an institutional track or
block schedule. The application process for the institutional track and block
scheduling programs provides students with an opportunity to refine written and
oral skills that are necessary for residency applications and interviews, since
the process mimics that of the ASHP Resident Matching Program. Students are
frequently provided with mentors to assist in the residency or fellowship
preparation, curriculum vitae or cover letter design, and career planning.
Students at the site may also be paired with pharmacy residents enrolled in
blocked rotations to serve as mentors. The top students are matched with a
practice site and then assigned to five consecutive patient care rotations. Since
2011, a total of 71 students have participated in institutional tracks at PUCOP
or block scheduling at BUCOPHS. Most institutional track students (83%) and block
scheduling students (81%) were successful in matching to residency programs or
hospital pharmacy positions after graduation. CONCLUSION: Block scheduling and
institutional track programs were offered to students at two colleges of pharmacy
interested in pursuing postgraduate residency training. Most institutional track
students and block scheduling students successfully matched to residency programs
or hospital pharmacy positions after graduation.
PMID- 26541953
TI - Major publications in the critical care pharmacotherapy literature: January
December 2014.
AB - PURPOSE: Nine recently published articles and one guideline with important
implications for critical care pharmacy practice are summarized. SUMMARY: The
Critical Care Pharmacotherapy Literature Update (CCPLU) group includes more than
40 experienced critical care pharmacists across the United States. Group members
monitor 29 peer-reviewed journals on an ongoing basis to identify literature
relevant to pharmacy practice in the critical care setting. After evaluation by
CCPLU group members, selected articles are chosen for summarization and
distribution to group members nationwide based on applicability to practice,
relevance, and study design and strength. Hundreds of relevant articles were
evaluated by the group in 2014, of which 114 were summarized and disseminated to
CCPLU group members. From among those 114 publications, 10 deemed to be of
particularly high utility to the critical care practitioner were selected for
inclusion in this review for their potential to change practice or reinforce
current evidence-based practice. One of the selected articles presents updated
recommendations on the management of patients with atrial fibrillation (AF); the
other 9 address topics such as albumin replacement in patients with severe
sepsis, use of enteral statins for acute respiratory distress syndrome,
fibrinolysis for patients with intermediate-risk pulmonary embolism, the use of
unfractionated heparin versus bivalirudin for primary percutaneous coronary
intervention, and early protocol-based care for septic shock. CONCLUSION: There
were many important additions to the critical care pharmacotherapy literature in
2014, including a joint guideline for the management of AF and reports of
clinical trials.
PMID- 26541954
TI - Achieving blood pressure control among renal transplant recipients by integrating
electronic health technology and clinical pharmacy services.
AB - PURPOSE: The implementation and outcomes of a program combining electronic home
blood pressure monitoring (HBPM) and pharmacist-provided medication therapy
management (MTM) services in a renal transplantation clinic are described.
SUMMARY: Patients enrolled in the program were provided with a computer-enabled
blood pressure monitor. A dedicated renal transplantation pharmacist was
integrated into the renal transplantation team under a collaborative care
practice agreement. The collaborative care agreement allowed the pharmacist to
authorize medication additions, deletions, and dosage changes. Comprehensive
disease and blood pressure education was provided by a clinical pharmacist. In
the pretransplantation setting, the pharmacist interviewed the renal transplant
candidate and documents allergies, verified the patient's medication profile, and
identified and assessed barriers to medication adherence. A total of 50 renal
transplant recipients with at least one recorded home blood pressure reading and
at least one year of follow-up were included in our analysis. A significant
reduction in mean systolic and diastolic blood pressure values were observed at
30, 90, 180, and 360 days after enrollment in the program (p < 0.05). Pharmacist
interventions were documented for 37 patients. Medication-related problems
accounted for 46% of these interventions and included dosage modifications,
regimen changes, and mitigation of barriers to medication access and adherence.
CONCLUSION: Implementation of electronic HBPM and pharmacist-provided MTM
services implemented in a renal transplant clinic was associated with sustained
improvements in blood pressure control. Incorporation of a pharmacist in the
renal transplant clinic resulted in the detection and resolution of medication
related problems.
PMID- 26541956
TI - Immunogenicity evaluation strategy for a second-generation therapeutic, PEG-IFN
beta-1a.
AB - AIMS: Neutralizing antibodies can diminish clinical efficacy of IFN-beta in
multiple sclerosis patients. Therefore, monitoring immunogenicity was considered
critical during clinical development of a second-generation, pegylated IFN-beta
product, PEG-IFN-beta-1a. MATERIALS & METHODS: Assays previously used to evaluate
immunogenicity of IFN-beta-1a were used to assess PEG-IFN-beta-1a immunogenicity,
with modifications to apply current best bioanalytical practices. A separate
testing paradigm was used to monitor antibodies to polyethylene glycol. RESULTS &
CONCLUSION: Final assay cut points and relevant titer levels were established in
study. Immunogenicity evaluation strategies for second-generation therapeutics
should take into consideration current best bioanalytical practices while
retaining consistency with legacy assays to facilitate data comparison and
interpretation. This study illustrates challenges in assessing immunogenicity of
second-generation therapeutics.
PMID- 26541955
TI - In silico characterization and Molecular modeling of double-strand break repair
protein MRE11 from Phoenix dactylifera v deglet nour.
AB - BACKGROUND: DNA double-strand breaks (DSBs) are highly cytotoxic and mutagenic.
MRE11 plays an essential role in repairing DNA by cleaving broken ends through
its 3' to 5' exonuclease and single-stranded DNA endonuclease activities.
METHODS: The present study aimed to in silico characterization and molecular
modeling of MRE11 from Phoenix dactylifera L cv deglet nour (DnMRE11) by various
bioinformatic approaches. To identify DnMRE11 cDNA, assembled contigs from our
cDNA libraries were analysed using the Blast2GO2.8 program. RESULTS: The DnMRE11
protein length was 726 amino acids. The results of HUMMER show that DnMRE11 is
formed by three domains: the N-terminal core domain containing the nuclease and
capping domains, the C-terminal half containing the DNA binding and coiled coil
region. The structure of DnMRE11 is predicted using the Swiss-Model server, which
contains the nuclease and capping domains. The obtained model was verified with
the structure validation programs such as ProSA and QMEAN servers for
reliability. Ligand binding studies using COACH indicated the interaction of
DnMRE11 protein with two Mn(2+) ions and dAMP. The ConSurf server predicted that
residues of the active site and Nbs binding site have high conservation scores
between plant species. CONCLUSIONS: A model structure of DnMRE11 was constructed
and validated with various bioinformatics programs which suggested the predicted
model to be satisfactory. Further validation studies were conducted by COACH
analysis for active site ligand prediction, and revealed the presence of six
ligands binding sites and two ligands (2 Mn(2+) and dAMP).
PMID- 26541957
TI - Fast and sensitive HPLC method for the determination of neopterin, kynurenine and
tryptophan in amniotic fluid, malignant effusions and wound exudates.
AB - AIM: A new HPLC method for the determination of neopterin, kynurenine and
tryptophan using a second-generation monolith stationary phase and high
throughput sample preparation procedure based on microplates was developed and
fully validated. MATERIALS & METHODS: As the stationary phase a monolithic C18
Chromolith high-resolution column with dimensions of 4.6 * 100 mm connected to a
monolithic 4.6 * 10-mm security guard was used. Separation was achieved using 15
mM phosphate buffer (KH2PO4 +K2HPO4.3H2O at pH 3) and acetonitrile in gradient
mode. RESULTS: Target analytes were determined in 5.5 minutes in amniotic fluid,
effusions and wound exudates with a limit of quantification (LOQ) of 1.25 nM for
neopterin, 2.5 uM for tryptophan and 0.25 uM for kynurenine. DISCUSSION: The
method was applied to real clinical sample measurements, and it will be used to
monitor neopterin, kynurenine and tryptophan levels in biological fluids to
assess the patient response to therapy and clinical status.
PMID- 26541958
TI - The Warden procedure can be successfully performed using minimally invasive
cardiac surgery without aortic clamping.
AB - Currently, minimally invasive cardiac surgery has found widespread use even in
congenital heart surgery. The number of defects, which can be corrected through a
small incision or totally endoscopic, is on the rise. Nowadays, surgeons can
repair atrial septal defect, ventricular septal defect, patent ductus arteriosus
and other congenital heart defects using minimally invasive techniques. In this
paper, we report 21 cases of successful repair of supracardiac partial anomalous
right upper and middle pulmonary venous connection, using the Warden procedure.
It was performed in children through the right-sided midaxillary thoracotomy with
direct cardiopulmonary bypass cannulation and induction of ventricular
fibrillation. There were no operative or early postoperative deaths or
complications. All patients were in sinus rhythm at discharge. According to
echocardiography, there were no cases of early SVC or pulmonary veins narrowing.
The Warden procedure can be performed safely and efficiently using the minimally
invasive cardiac surgery.
PMID- 26541959
TI - Iatrogenic aortic root and left main dissection during non-emergency coronary
surgery: a solution applicable to heavily calcified coronary arteries?.
AB - Dissection of the left main coronary artery during coronary artery bypass graft
(CABG) surgery is a rare, potentially lethal complication, usually diagnosed at
post-mortem. During the cross-clamp period of a 4-vessel coronary artery bypass
graft procedure in a 74-year old hypertensive woman, retrograde dissection
occurred in a diffusely diseased marginal artery when perfused with cardioplegic
solution at a pressure of 140-150 mmHg through a vein graft. The dissection
extended back to the left main artery, included the posterior proximal ascending
aorta and then down the left anterior descending artery (LAD). Transoesophageal
echocardiography (TOE) confirmed the left main dissection and showed anterior
septal-lateral akinesis in a previously normally functioning left ventricle (LV).
The circumferentially calcified proximal LAD was grafted with a saphenous vein by
carving an oval area of calcium creating an elliptical opening in the artery
wall. Normal LV function returned and, in the area of the left main dissection,
there was only thickening with no colour flow. Eight months postoperatively
cardiac catheterization showed normal LV function, patent vein grafts to the
right coronary artery and proximal LAD, left internal mammary artery to distal
LAD and an occluded sequential marginal vein graft. Twelve years postoperatively,
the patient is well with Class I angina, on medication. There is no previous
documentation of a diagnosed and successfully treated left main dissection during
CABG surgery. Since this case using the technique of creating an oval opening in
a circumferentially calcified coronary artery (with an otherwise satisfactory
lumen), the author has been using this technique to bypass otherwise non
bypassable arteries; this technique may be useful to help patients with severe
calcific coronary artery disease.
PMID- 26541960
TI - Intraoperative bronchial stump air leak control by Progel(r) application after
pulmonary lobectomy.
AB - Diffuse tracheobronchial calcification is a physiological condition associated
with advanced age, especially in women. A calcified bronchus can be fractured
during major lung resections (lobectomy, bilobectomy, and pneumonectomy),
exposing patients to intraoperative air leakage and broncho-pleural fistula (BPF)
occurrence. We retrospectively evaluated the use of Progel(r) application on the
suture line of bronchial stump after pulmonary lobectomy analysing the
intraoperative air leak and BPF occurrence. Between January 2014 and December
2014, Progel(r) was applied in 11 patients who presented intraoperative bronchial
fractures after suture resection by mechanical staplers and air leak from
bronchial stump, in order to treat air leakage. Patients were 7 men and 4 women,
aged between 56 and 81 years (mean age 71.2 +/- 12.1 years). Surgical procedures
included 6 upper lobectomies (4 right, 2 left), 1 bilobectomy and 4 lower
lobectomies (3 right, 1 left). Mean hospital stay was 4.5 +/- 2.6 days (2-8
days). None of the patients had postoperative air leakage. No Progel(r)
application-related complications occurred. No other major complications
occurred. No mortality occurred. Progel(r) proved to be useful in treating
intraoperative air leakage during major lung resections, particularly those
occurring as a result of fracture of the bronchus from a mechanical stapler.
PMID- 26541961
TI - The TEVAR App: a contemporary guide to thoracic endovascular aortic repair?.
AB - There is a growing number of devices used for thoracic endovascular aortic repair
(TEVAR). The designs of stent grafts and their delivery systems differ
substantially. The success of TEVAR is based on the correct use of stent graft
delivery systems, the identification and understanding of radiopaque markers, and
the stent graft's accurate placement. In this brief communication, we introduce
the TEVAR App-a novel guide for thoracic endovascular aortic repair. It is a tool
that provides key information that is quick to access and easy to understand on
the thoracic aortic stent grafts currently available. It includes instructions
for use, animations demonstrating the stent grafts' deployment, troubleshooting
information, size tables, the locations of radiopaque markers, stent graft and
delivery system photos, chest X-rays, and information on magnetic resonance
safety and compatibility. Furthermore, it contains the TEVAR Calculator, which
assists one in planning stent graft size according to individual aortic
dimensions and oversizing factors. The TEVAR App is cost-free, and its
development has not been supported financially by any industry. It is a non
profit project that aims to educate and help physicians performing TEVARs.
PMID- 26541962
TI - Cardiac Amorphous Tumor on the Mitral Valve.
PMID- 26541963
TI - Testing the effectiveness of a mentoring intervention to improve social
participation of adolescents with visual impairments: study protocol for a
randomized controlled trial.
AB - BACKGROUND: Social participation is challenging for people with visual
impairments. As a result, on average, social networks are smaller, romantic
relationships formed later, educational achievements lower, and career prospects
limited. Adolescents on their way towards achieving these goals may benefit from
the knowledge and experience of adults who have overcome similar difficulties.
Therefore, a mentoring intervention, called Mentor Support, will be set up and
studied in which adolescents with visual impairments are matched with
successfully social participating adults with and without visual impairments. The
main objective of this study is to evaluate the effectiveness of Mentor Support.
Secondary aims are to distinguish the importance of the disability-specific
experience of mentors, predictors of success, and mediating factors.
METHODS/DESIGN: The effect of Mentor Support will be tested in a randomized
clinical trial, using pre-test one week before starting, post-test after 12
months, and follow-up after 18 months. Participants will be referred to one of
the experimental groups or the control group, and this randomization will be
stratified according to country region. Three groups are included in the trial:
40 participants will receive Mentor Support by mentors with a visual impairment
in combination with care-as-usual, 40 participants will receive Mentor Support by
mentors without visual impairments in combination with care-as-usual, and 40
participants will receive care-as-usual only. Mentor Support consists of 12 face
to-face meetings of the mentee with a mentor with an overall time period of one
year. On a weekly basis, dyads have contact via email, the Internet, or
telephone. The primary outcome measure is improved social participation within
three domains (work/school, leisure activities, and social relationships).
Mediator variables are psychosocial functioning and self-determination.
Predictors such as demographics and personality are also investigated in order to
distinguish the pathways to successful social participation. Intention-to-treat
and completer analyses will be conducted. DISCUSSION: The primary outcomes of
this trial regard increased social participation. The study may yield insights to
further improve effects of support programs to adolescents with visual
impairments. TRIAL REGISTRATION: Netherlands Trial Register NTR4768 (registered 4
September 2014).
PMID- 26541964
TI - The Impact of Geniculate Artery Collateral Circulation on Lower Limb Salvage
Rates in Injured Patients.
AB - BACKGROUND: This study aimed to determine the association between geniculate
artery flow on admission computed tomography (CT) angiography and limb salvage
outcomes in patients with lower extremity arterial injury. METHODS: All injured
patients at a level I trauma center with CT angiogram (CTA) confirmed limited or
no flow to the tibial vessels were included. Demographics, injury severity score
(ISS), mechanism of injury, physiological parameters, the presence of geniculate
artery collateral circulation (superior medial, superior lateral, medial,
inferior medial, inferior lateral), and 30-day limb salvage outcome were
recorded. Statistical analysis was completed using descriptive statistics and the
chi-squared tests. RESULTS: From 2009 to 2012, a total of 84 patients with lower
extremity arterial injury underwent diagnostic evaluation with CTA on admission
that confirmed limited or no flow to the tibial vessels. A total of 10 patients
(12%) underwent amputation. Primary amputation was performed in 3 (4%) patients,
and secondary amputation was performed in 7 (8%) patients. There was no
difference in age, gender, ISS, extremity abbreviated injury score, mechanism of
injury, admission systolic blood pressure, heart rate, respiratory rate,
transfusion volume, or type of vascular interventions between patients who had
successful limb salvage and those who received an amputation. The number of
patent geniculate arterial vessels was inversely associated with amputation with
3.3 patent geniculate arteries in the limb salvage group compared to 2.1 in the
amputation group (P < 0.05). The 2 geniculate artery vessels that were
significantly associated with limb salvage were the superior lateral geniculate
and the inferior medial geniculate arteries (P < 0.05). CONCLUSIONS: Geniculate
collateral circulation may have an important role in limb salvage after lower
extremity vascular injury. The geniculate arteries that are associated with the
highest rates of limb salvage appear to be the superior lateral geniculate and
the inferior medical geniculate artery.
PMID- 26541965
TI - Treatment of Abdominal Aortic Aneurysms in Cancer Patients.
AB - BACKGROUND: The aim of this study was to analyze the outcomes of oncologic
patients with associated aneurysm of the abdominal aorta (AAA), treated at a
specialized cancer (Ca) hospital more than a 10-year period. METHODS: This was a
retrospective study, and the data were obtained from our institution's
prospective database. Between September 2003 and 2013, a total of 36 consecutive
patients with AAA in association with Ca underwent surgical repair. Of these, 9
patients were excluded because the Ca treatment was performed at another service.
Most of the patients were male (22) and the most frequent form of neoplasia was
prostate Ca. Surgery for AAA repair was performed after the Ca treatment in 19
cases, before Ca treatment in 7 cases and concomitantly in 1 case. The
intraoperative characteristics, treatment technique used, complications,
patients' clinical evolution, and survival outcomes were analyzed. RESULTS:
Endovascular aneurysm repair (EVAR) was used in 19 cases (70.4%) and conventional
open repair (OR) in 8 cases (29.6%). Surgical treatment was uneventful in 19
cases, however, when present, postoperative complications occurred more
frequently with EVAR (36.84% vs. 12.5%). There were no cases of death related to
the aneurysm surgery. Most of the patients in both groups were alive at the end
of the study. The probability of survival in our study was 65.8% at 3 years and
53% at 5 years, with no statistically significant difference between the EVAR and
OR groups. The main cause of death was progression of the neoplastic disease.
CONCLUSIONS: Patients who present Ca in association with AAA benefit from
surgical treatment of both conditions, simultaneously or not. In these cases, it
is important for the treatment to be individualized, and the disease of greater
severity should be treated first. The endovascular and conventional open
techniques were shown to be equivalent.
PMID- 26541966
TI - Clinical Outcomes after Endovascular Treatment Failure in Patients with
Femoropopliteal Occlusive Disease.
AB - BACKGROUND: To analyze the clinical impact derived from endovascular treatment
failure on patients with femoropopliteal occlusive disease (FPOD) regarding their
preoperative clinical stage. METHODS: Retrospective review for primary
endovascular procedures for FPOD from 2008 to 2013. Primary end point included
clinical deterioration defined as acute limb ischemia (ALI) or clinical worsening
by, at least, one Rutherford's classification category, related to procedure's
failure (restenosis >70% or occlusion). RESULTS: Ninety procedures were analyzed
in 85 patients, 87.8% operated due to critical limb ischemia. The lesion treated
was classified as Trans-Atlantic Inter-Society Consensus (TASC)-A/B in 76.7%,
with a mean length of 98.5 +/- 54 mm. Covered stent graft (SG) was used in 31.1%
of the cases. Median follow-up was 14.5 months and treatment failure occurred in
33.3% of cases (n = 30, 9 restenosis and 21 occlusions). Clinical worsening was
assessed in 40% of treatment failures and 6 of 21 (28.6%) presented as ALI.
Twenty-two major adverse limb events (MALEs) were recorded and 8 major
amputations. Regarding the type of stent, more occlusions were recorded on
patients treated with SG compared with bare metal stent (39.3% vs. 16%; P =
0.02). However, no differences were found between groups regarding clinical
worsening attributable to treatment failure (HR, 1.33; CI 95%, 0.5-3.5; P = 0.5).
On multivariate analysis, TASC-C/D lesions (HR, 5.5; CI 95%, 2.3-13.3; P < 0.001)
and female sex (HR, 4.9; CI 95%, 1.9-12.5; P = 0.001) behaved as significant
predictors for failure and dual-antiplatelet therapy as a protective factor (HR,
0.3; CI 95%, 0.3-0.13; P = 0.03). No predictors were obtained regarding clinical
worsening and occurrence of MALEs in our series. CONCLUSIONS: Patients with
failure of endovascular procedures on FPOD appeared with clinical worsening in a
no negligible number of cases in our sample regarding their preoperative clinical
situation. Thus, we believe that endovascular treatment should be carefully
deliberated.
PMID- 26541967
TI - Gender Differences in Aortic Neck Morphology in Patients with Abdominal Aortic
Aneurysms Undergoing Elective Endovascular Aneurysm Repair.
AB - BACKGROUND: Previous studies have demonstrated that women tend to have adverse
aortic neck morphology leading to exclusion of some women from undergoing
endovascular aneurysm repair (EVAR). The objective of this study is to
investigate differences in aortic neck morphology in men versus women, changes in
the neck morphology and sac behavior after EVAR, and investigate how these
features may influence outcomes. METHODS: We conducted a retrospective review of
elective EVARs (2004-2013). We excluded patients who underwent elective EVAR with
no postoperative imaging available and those patients with fenestrated repairs.
Using TeraRecon and volumetric analysis, several features were investigated.
These included percent thrombus, shape, length, angulation of the neck, and
changes in neck and abdominal aortic aneurysm diameter. RESULTS: A total of 146
patients were found to meet inclusion criteria (115 men and 31 women) with
similar baseline characteristics. Neck angulation was greater in women (23.9
degrees vs. 13.5 degrees ; P < 0.028). The percent thrombus in women was higher
than men (35.4% vs. 31%; P < 0.02). Abdominal aneurysm's were smaller in women at
1 year (4.2 cm vs. 5.1 cm; P < 0.002), and secondary interventions were higher in
men (11.3% vs. 0%; P < 0.05). Other features such as neck shape, changes in neck
diameter, neck length, and percent oversizing of graft where not statistically
different between genders. CONCLUSIONS: Gender differences in neck
characteristics and changes in neck morphology do not appear to adversely affect
EVAR outcomes. Longer follow-up is necessary to further assess whether these
findings are clinically durable.
PMID- 26541968
TI - Complication Rate after Carotid Endarterectomy Comparing Patch Angioplasty and
Primary Closure.
AB - BACKGROUND: Carotid endarterectomy (CEA) reduces the risk for stroke in patients
with internal carotid artery stenosis. The optimal surgical technique remains
subject of debate. Literature suggests patch angioplasty reduces complication
risk. However, primary closure shortens cross-clamp times and eliminates graft
specific complications. This study aimed to assess complication rate after CEA
with selective patching. METHODS: A total of 213 consecutive CEAs over a 3-year
period from January 5th, 2011 to December 19th, 2013 were retrospectively
analyzed. Postoperative complications were evaluated within 1 month after
surgery. RESULTS: Primary closure was used in 110 operations and patch
angioplasty in 103 procedures. Primary closure was performed when the carotid
artery had a diameter above 5 mm, when there was a high carotid bifurcation, and
when the contralateral carotid artery was occluded. After primary closure, we
found 4 (3.6%) complications: 2 (1.8%) bleeding and 2 (1.8%) cranial nerve
damage. After patch angioplasty 5 (4.9%) complications occurred: 1 (1.0%)
bleeding, 2 (1.9%) cranial nerve damage, 1 (1.0%) cerebrovascular event, and 1
(1.0%) cerebral hyperperfusion resulting in mortality. There was no higher
complication risk after primary closure (P = 0.68). Clamp time was significantly
longer when using patch angioplasty (P < 0.001). CONCLUSIONS: Primary closure
appears to be an equivalent closure technique compared with patch angioplasty
when used in selected patients.
PMID- 26541969
TI - Clinical Features and Therapeutic Options for Isolated Visceral Artery
Dissection.
AB - BACKGROUND: The diagnosis of isolated visceral artery dissection (IVAD) has
become more common with the increasing use of computed tomography angiography
(CTA). We examined the presentation, treatment, and outcomes of patients with
IVAD treated at our institution. METHODS: The records of 72 patients treated for
IVAD between January 2010 and August 2014 were analyzed retrospectively. All were
treated with antiplatelet or anticoagulant drugs after admission and were
continued on oral aspirin for at least 1 year. Four asymptomatic and 52
symptomatic patients were managed conservatively with blood pressure control,
bowel rest, fluid supplementation, and nutritional support. Two patients
underwent open surgery because of hematochezia and 16 underwent endovascular bare
metal stenting. RESULTS: Symptoms gradually resolved in those treated
conservatively, and favorable arterial wall remodeling was observed in 16
patients. Twenty-one stents were implanted in 16 patients with superior
mesenteric artery dissection; 3 patients required overlapping stents. During
follow-up (range, 3-53 months), all patients were symptom-free, and there were no
recurrences. Follow-up CTA of patients who underwent endovascular stenting
demonstrated satisfactory stent and true lumen patency. CONCLUSIONS: IVAD is not
uncommon. It occurs most frequently between the ages of 46 and 60 years and
affects more men than women. A favorable outcome can be achieved in most of the
patients with conservative management. Ischemic bowel necrosis is rare but
requires open surgery. Endovascular bare-metal stenting is recommended when there
is persistent abdominal pain, progression of the lesion, apparent stenosis of a
true lumen compressed by a false lumen, or dilation of false lumen at a high risk
of rupture.
PMID- 26541970
TI - Open Mesenteric Interventions Are Equally Safe as Endovascular Interventions and
Offer Better Midterm Patency for Chronic Mesenteric Ischemia.
AB - BACKGROUND: Endovascular (EV) techniques are being advocated as the preferred
method for mesenteric interventions because of their safety profile. However,
midterm and long-term results are thought to be inferior to open interventions.
We sought to compare our institutional experience with treatment of acute and
chronic mesenteric ischemia (AMI and CMI, respectively) using EV and open
techniques. METHODS: The medical records of open and EV mesenteric procedures
performed at a single center were queried from 2002 to 2012. Demographic,
perioperative, and follow-up data were extracted and analyzed. RESULTS: Thirty
eight patients underwent EV mesenteric interventions, whereas 77 patients
underwent open revascularization. The demographic and perioperative
characteristics for patients were similar. Most EV procedures (89.2%) comprised
stenting, whereas open procedures included 25 (32.1%) antegrade bypasses, 38
(48.7%) retrograde bypasses, 8 (10.3%) thromboembolectomies, and 7 (9%)
transaortic endarterectomies. Postoperative complications, overall 30-day
morbidity and mortality were not significantly different in the open and EV
groups for AMI or CMI. Thirty-day mortality in AMI (n = 34) was 38.2% (EV: 45.5%
vs. open: 34.8%; P = nonsignificant). There was no mortality in either group for
CMI patients. Mean follow-up was much longer for the open procedures (34.9 vs.
12.7 months, P = 0.004). Primary and secondary patency rates were better for open
revascularization for CMI patients. CONCLUSIONS: Open revascularizations are
equally safe as EV interventions for AMI and CMI. Patency of open
revascularization for CMI is better than EV procedures at midterm follow-up.
PMID- 26541971
TI - Chronic Mesenteric Ischemia from Diaphragmatic Compression of the Celiac and
Superior Mesenteric Arteries.
AB - Median arcuate ligament syndrome (MALS) is caused by extrinsic compression of the
celiac axis leading to postprandial epigastric abdominal pain, weight loss, and
vomiting. The condition is most often identified in young women, and it is a
controversial, unusual cause of chronic mesenteric ischemia. Rarely, the median
arcuate ligament can compress the superior mesenteric artery (SMA) in addition to
the celiac artery. We present a case of MALS in a young female patient with
chronic abdominal pain resulting from external compression of not only the celiac
artery but also the SMA. This patient was treated with release of the median
arcuate ligament via an open retroperitoneal approach in addition to limited
endarterectomy and patch angioplasty of the SMA. Preoperative and postoperative 3
dimensional computed tomographic angiographic images depict the lesions and their
resolution after surgery. Few other cases of MALS caused by compression of both
the celiac artery and SMA have ever been described in the literature. Although
rare, it is important to keep the diagnosis of MALS in mind when encountering
certain patients with chronic mesenteric ischemia of unclear etiology. Updated
diagnostic work-up and treatment options for this condition are described.
PMID- 26541972
TI - Statistical or biological significance?
AB - Oat plants grown at an agricultural research facility produce higher yields in
Field 1 than in Field 2, under well fertilised conditions and with similar
weather exposure; all oat plants in both fields are healthy and show no sign of
disease. In this study, the authors hypothesised that the soil microbial
community might be different in each field, and these differences might explain
the difference in oat plant growth. They carried out a metagenomic analysis of
the 16 s ribosomal 'signature' sequences from bacteria in 50 randomly located
soil samples in each field to determine the composition of the bacterial
community. The study identified >1000 species, most of which were present in both
fields. The authors identified two plant growth-promoting species that were
significantly reduced in soil from Field 2 (Student's t-test P < 0.05), and
concluded that these species might have contributed to reduced yield.
PMID- 26541973
TI - Adipose tissue-derived mesenchymal stem cells and platelet-rich plasma: stem cell
transplantation methods that enhance stemness.
AB - Because of their ease of isolation and relative abundance, adipose-derived
mesenchymal stem cells (ASCs) are a particularly attractive autologous cell
source for various therapeutic purposes. ASCs retain a high proliferation
capacity in vitro and have the ability to undergo extensive differentiation into
multiple cell lineages. Moreover, ASCs secrete a wide range of growth factors
that can stimulate tissue regeneration. Therefore, the clinical use of ASCs is
feasible. However, the potential of ASCs differs depending on the donor's medical
condition, including diseases such as diabetes. Recent studies demonstrated that
ASCs from diabetic donors exhibit reduced proliferative potential and a smaller
proportion of stem cell marker-positive cells. Therefore, to ensure the success
of regenerative medicine, tissue engineering methods must be improved by the
incorporation of factors that increase the proliferation and differentiation of
stem/progenitor cells when autologous cells are used. Platelet-rich plasma (PRP),
which contains high levels of diverse growth factors that can stimulate stem cell
proliferation and cell differentiation in the context of tissue regeneration, has
recently been identified as a biological material that could be applied to tissue
regeneration. Thus, co-transplantation of ASCs and PRP represents a promising
novel approach for cell therapy in regenerative medicine. In this review, we
describe the potential benefits of adding PRP to ASCs and preclinical and
clinical studies of this approach in various medical fields. We also discuss the
mechanisms of PRP action and future cell-based therapies using co-transplantation
of ASCs and PRP.
PMID- 26541974
TI - Enhanced Cross-Linking of Diazirine-Modified Sialylated Glycoproteins Enabled
through Profiling of Sialidase Specificities.
AB - Sialic-acid-mediated interactions play critical roles on the cell surface,
providing an impetus for the development of methods to study this important
monosaccharide. In particular, photo-cross-linking sialic acids incorporated onto
cell surfaces have allowed covalent capture of transient interactions between
sialic acids and sialic-acid-recognizing proteins via cross-linking. However,
natural sialic acids also present on the cell surface compete with photo-cross
linking sialic acids in binding events, limiting cross-linking yields. In order
to improve the utility of one such photo-cross-linking sialic acid, SiaDAz, we
examined a number of sialidases, enzymes that remove sialic acids from
glycoconjugates, to find one that would cleave natural sialic acids but remain
inactive toward SiaDAz. Using this sialidase, we improved SiaDAz-mediated cross
linking of an antisialyl Lewis X antibody and of endoglin. This protocol can be
applied generally to sialic-acid-mediated interactions and will facilitate
identification of sialic acid binding partners.
PMID- 26541975
TI - Relationships between self-reported ankle function and modulation of Hoffmann
reflex in patients with chronic ankle instability.
AB - OBJECTIVE: To examine relationships between self-reported ankle function and
Hoffmann (H) reflex modulation during changes in body positions in patients with
chronic ankle instability (CAI). DESIGN: Observational. SETTING: Laboratory.
PARTICIPANTS: Thirty-one young adults with CAI (19 males, 12 females)
participated. MAIN OUTCOME MEASURES: There were two subscales of Foot and Ankle
Ability Measure (FAAM) to quantify self-reported ankle function during activities
of daily living (ADL) and sports activities. Maximum H-reflexes (H-max) and motor
waves (M-max) from soleus and fibularis longus were recorded while participants
lied prone and stood in bipedal and unipedal stances. For each muscle, percent
change scores in Hmax:Mmax ratios were calculated between each pair of positions:
prone-to-bipedal, bipedal-to-unipedal, and prone-to-unipedal, and used as a
measure of H-reflex modulation. Pearson correlation coefficients were calculated
between FAAM and H-reflex modulation measures. RESULTS: There were significant
correlations between: (1) FAAM-ADL and soleus prone-to-unipedal modulation (r =
0.384, p = 0.04), (2) FAAM-Sport and soleus prone-to-unipedal modulation (r =
0.505, p = 0.005), (3) FAAM-Sport and fibular bipedal-to-unipedal modulation (r =
0.377, p = 0.05), and (4) FAAM-Sport and fibular prone-to-unipedal modulation (r
= 0.396, p = 0.04). CONCLUSIONS: CAI patients presented moderate, positive
relationships between self-reported ankle function and H-reflex modulation during
changes in body positions.
PMID- 26541976
TI - Knowledge, attitudes and perceptions towards polio immunization among residents
of two highly affected regions of Pakistan.
AB - BACKGROUND: Despite the efforts of national and international organizations,
polio has not been eradicated from Pakistan. The prevalence of polio in Pakistan
is exceptional in global context. Quetta and Peshawar divisions are amongst the
most affected regions hit by polio in Pakistan. This study was carried out to
assess the knowledge, attitudes and perceptions towards polio immunization among
residents of Quetta and Peshawar divisions in Pakistan. METHODS: A descriptive,
cross-sectional study involving 768 participants was conducted from August to
December, 2014 in Quetta and Peshawar divisions in Pakistan. Multistage sampling
technique was used to draw a sample of residents from each division. A pre
tested, self-administered questionnaire was used to collect the data from
eligible participants. Descriptive and logistic regression analyses were used to
express the results. RESULTS: A total of 38.8 % participants exhibited good
knowledge about polio. Mean knowledge score of the participants was 7.35 +/- 2.54
(based on 15 knowledge questions). Older age (p < 0.001), low qualification (p <
0.05), rural locality (p < 0.05) and Quetta division (p < 0.001) were
significantly associated with poor knowledge of polio. A large proportion of
participants displayed negative attitudes towards polio immunization (84.8 %),
with a mean score of 19.19 +/- 2.39 (based on 8 attitude statements). Lack of
education (p < 0.001) and rural residence (p < 0.001) were significantly
associated with the negative attitudes of participants towards polio
immunization. False religious beliefs (39.06 %), lack of knowledge (33.7 %), fear
of infertility by polio vaccines (32.16 %) and security issues (29.42 %) were
reported by the participants as the main barriers towards polio immunization.
CONCLUSION: The findings of this study showed poor knowledge and negative
attitudes of participants towards polio immunizations. Religious beliefs and lack
of knowledge about polio immunization were reported as the major barriers towards
polio immunization.
PMID- 26541978
TI - Health-related quality of life after robotic-assisted laparoscopic hysterectomy
for women with endometrial cancer--A prospective cohort study.
AB - OBJECTIVE: The aim of this prospective cohort study using patient-reported
outcome measures (PROMs) was to detect short term changes in functioning,
symptoms and health-related quality of life (HRQoL) after robotic-assisted
laparoscopic hysterectomy (RALH) for endometrial cancer or atypical complex
hyperplasia. METHODS/MATERIALS: A total of 139 women answered the EORTC C-30, EN
24 and EQ-5D-3L preoperatively (baseline) by face to face interview and again 1
week, 5 weeks and 4 months postoperatively by telephone interview. The women
furthermore reported their level of activity compared to their habitual level in
a diary during the first 5 weeks after surgery. RESULTS: We found a clinically
relevant decrease in HRQoL after 1 week. At 5 weeks postoperatively, HRQoL was
again at the preoperative level. Fatigue, pain, constipation, gastrointestinal
symptoms, and appetite were all negatively affected 1 week postoperatively, but
back to baseline level at 5 weeks. Ability to perform work or hobbies and change
of taste were still affected at 5 weeks. CONCLUSIONS: HRQoL and postoperative
symptoms were overall back to the preoperative level 5 weeks after RALH. These
findings indicate fatigue, pain, constipation, gastrointestinal symptoms,
appetite, ability to perform work and hobbies, change of taste and sexually
related problems should be addressed in future research and in the pre- and
postoperative care for women undergoing RALH.
PMID- 26541979
TI - Evaluation of germline BRCA1 and BRCA2 mutations in a multi-ethnic Asian cohort
of ovarian cancer patients.
AB - OBJECTIVE: Despite the discovery of breast and ovarian cancer predisposition
genes BRCA1 and BRCA2 more than two decades ago, almost all the available data
relate to women of European ancestry, with only a handful of studies in Asian
populations. In this study, we determined the frequency of germline alterations
in BRCA1 and BRCA2 in ovarian cancer patients from a multi-ethnic cross-sectional
cohort of Asian ovarian cancer patients from Malaysia. METHODS: From October 2008
to February 2015, we established a hospital-based cohort of ovarian cancer
patients and the germline status of all 218 women with invasive epithelial
ovarian cancer was tested using targeted amplification and sequencing of the
intron-exon junctions and exonic sequences of BRCA1, BRCA2, PALB2 and TP53.
RESULTS: BRCA1 and BRCA2 mutations were found in 8% (17 cases) and 3% (7 cases)
of the ovarian cancer patients, respectively. Mutation carriers were diagnosed at
a similar age to non-carriers, but were more likely to be Indian, have serous
ovarian cancer, and have more relatives with breast or ovarian cancer.
Nonetheless, 42% (10/24) of mutation carriers did not have any family history of
breast or ovarian cancer and offering genetic counselling and genetic testing
only to women with family history would mean that 35% (6/17) of BRCA1 mutation
carriers and 57% (4/7) of BRCA2 mutation carriers would not be offered genetic
testing. CONCLUSIONS: Our data suggest that, similar to Caucasians, a significant
proportion of Asian ovarian cancer was attributed to germline mutations in BRCA1
and to a lesser extent in BRCA2.
PMID- 26541980
TI - Risk-prediction model of severe postoperative complications after primary
debulking surgery for advanced ovarian cancer.
AB - OBJECTIVES: To refine models to predict surgical morbidity and 90-day mortality
after primary debulking surgery (PDS) for advanced epithelial ovarian cancer
(EOC). METHODS: Women with stage IIIC/IV EOC who underwent PDS with curative
intent between 1/2/2003 and 12/30/2011 were included. Patient characteristics,
intraoperative and postoperative outcomes, and vital status were abstracted.
Complications were graded using the Accordion classification. Nomograms were
generated based on multivariate modeling. RESULTS: 138 (22.3%) of the 620
patients who underwent PDS experienced a grade>=3 complication. Age (OR 1.21 per
10 years increase in age), BMI (OR 1.35 for BMI<25 kg/m2 versus reference, OR
2.83 for BMI>=40 kg/m2 versus reference), ASA score>=3 (OR 1.49), stage (OR 1.69
stage IV) and surgical complexity (OR 2.32 high complexity versus intermediate)
were predictive of an accordion grade>=3 complication Within 90 days of surgery,
55 (8.9%) patients died. A multivariable model included age (OR 1.76 per 10 year
increase in age), ASA score>=3 (OR 3.28), preoperative albumin<3.5 (OR 4.31), and
BMI (OR 2.04 for BMI<25 kg/m2 versus reference, OR 3.64 for BMI>= 40 kg/m2 versus
reference) was predictive of 90-day mortality. CONCLUSION: Using an independent
cohort we report the importance of age, ASA score, preoperative albumin, FIGO
stage, and surgical complexity, and BMI, to refine a prediction model for
complications after PDS for advanced EOC. This information is useful in
preoperative counseling and can be utilized to aid in patient-centered decision
making and risk stratification.
PMID- 26541977
TI - Genes and brain malformations associated with abnormal neuron positioning.
AB - Neuronal positioning is a fundamental process during brain development.
Abnormalities in this process cause several types of brain malformations and are
linked to neurodevelopmental disorders such as autism, intellectual disability,
epilepsy, and schizophrenia. Little is known about the pathogenesis of
developmental brain malformations associated with abnormal neuron positioning,
which has hindered research into potential treatments. However, recent advances
in neurogenetics provide clues to the pathogenesis of aberrant neuronal
positioning by identifying causative genes. This may help us form a foundation
upon which therapeutic tools can be developed. In this review, we first provide a
brief overview of neural development and migration, as they relate to defects in
neuronal positioning. We then discuss recent progress in identifying genes and
brain malformations associated with aberrant neuronal positioning during human
brain development.
PMID- 26541981
TI - Dual responsive polymeric nanoparticles prepared by direct functionalization of
polylactic acid-based polymers via graft-from ring opening metathesis
polymerization.
AB - Polylactic acid (PLA) has found widespread use in plastics and in biomedical
applications due to its biodegradability into natural benign products. However,
PLA-based materials remain limited in usefulness due to difficulty of
incorporating functional groups into the polymer backbone. In this paper, we
report a strategy for PLA functionalization that establishes the preparation of
highly derivatized materials in which ring opening metathesis polymerization
(ROMP) is employed as a graft-from polymerization technique utilizing a
norbornene-modified handle incorporated into the PLA backbone. As a demonstration
of this new synthetic methodology, a PLA-derived nanoparticle bearing imidazole
units protected with a photolabile group was prepared. The morphology of this
material could be controllably altered in response to exposure of UV light or
acidic pH as a stimulus. We anticipate that this graft-from approach to
derivatization of PLA could find broad use in the development of modified,
biodegradable PLA-based materials.
PMID- 26541982
TI - A re-randomisation design for clinical trials.
AB - BACKGROUND: Recruitment to clinical trials is often problematic, with many trials
failing to recruit to their target sample size. As a result, patient care may be
based on suboptimal evidence from underpowered trials or non-randomised studies.
METHODS: For many conditions patients will require treatment on several
occasions, for example, to treat symptoms of an underlying chronic condition
(such as migraines, where treatment is required each time a new episode occurs),
or until they achieve treatment success (such as fertility, where patients
undergo treatment on multiple occasions until they become pregnant). We describe
a re-randomisation design for these scenarios, which allows each patient to be
independently randomised on multiple occasions. We discuss the circumstances in
which this design can be used. RESULTS: The re-randomisation design will give
asymptotically unbiased estimates of treatment effect and correct type I error
rates under the following conditions: (a) patients are only re-randomised after
the follow-up period from their previous randomisation is complete; (b)
randomisations for the same patient are performed independently; and (c) the
treatment effect is constant across all randomisations. Provided the analysis
accounts for correlation between observations from the same patient, this design
will typically have higher power than a parallel group trial with an equivalent
number of observations. CONCLUSIONS: If used appropriately, the re-randomisation
design can increase the recruitment rate for clinical trials while still
providing an unbiased estimate of treatment effect and correct type I error
rates. In many situations, it can increase the power compared to a parallel group
design with an equivalent number of observations.
PMID- 26541983
TI - Baseline monitoring of organic sunscreen compounds along South Carolina's coastal
marine environment.
AB - Organic ultraviolet filters (UV-F) are increasingly being used in personal care
products to protect skin and other products from the damaging effects of UV
radiation. In this study, marine water was collected monthly for approximately
one year from six coastal South Carolina, USA sites and analyzed for the
occurrence of seven organic chemicals used as UV filters (avobenzone,
dioxybenzone, octocrylene, octinoxate, oxybenzone, padimate-o and sulisobenzone).
The results were used to examine the relationship between beach use and the
distribution of UV-F compounds along coastal South Carolina, USA. Five of the
seven target analytes were detected in seawater along coastal South Carolina
during this study. Dioxybenzone and sulisobenzone were not detected. The highest
concentrations measured were >3700 ng octocrylene/L and ~2200 ng oxybenzone/L and
beach use was greatest at this site; a local beach front park. Patterns in
concentrations were assessed based on season and a measure of beach use.
PMID- 26541984
TI - Carbon and nitrogen isotope ratios of juvenile winter flounder as indicators of
inputs to estuarine systems.
AB - Stable carbon and nitrogen isotope ratios were measured in young-of-the-year
(YOY) winter flounder, Pseudopleuronectes americanus, collected from several
Rhode Island, USA estuarine systems. These included three coastal lagoons, an
estuarine river and Narragansett Bay. The delta(13)C trends observed along
transects in several systems showed isotopically depleted terrestrial signals in
the upper reaches of the estuaries. Significant differences (P<0.05) in
delta(15)N were observed among all estuarine systems and these differences
correlated (P<0.01) with human population densities in the watersheds. Although
Narragansett Bay has a strong north-south gradient in nutrient concentrations
this trend was not reflected in flounder delta(15)N. The northernmost station
with the highest nutrient concentrations unexpectedly had significantly lower
delta(15)N values. Depleted delta(15)N values at this nutrient-rich station may
indicate that concentration-dependent fractionation needs to be considered when
using nitrogen isotope ratios in biota to monitor anthropogenic nitrogen inputs
in systems with high nitrogen loadings.
PMID- 26541985
TI - Strategy for assessing impacts in ephemeral tropical seagrasses.
AB - We investigated the phenology and spatial patterns in Halophila decipiens by
assessing biomass, reproduction and seed density in ~400 grab samples collected
across nine sites (8 to 14 m water depth) between June 2011 and December 2012.
Phenology correlated with light climate which is governed by the summer monsoon
(wet period). During the wet period, sedimentary seed banks prevailed, varying
spatially at both broad and fine scales, presenting a source of propagules for re
colonisation following the unfavourable growing conditions of the monsoon.
Spatial patterns in H. decipiens biomass following monsoon conditions were highly
variable within a landscape that largely comprised potential seagrass habitat.
Management strategies for H. decipiens and similar transient species must
recognise the high temporal and spatial variability of these populations and be
underpinned by a framework that emphasises vulnerability assessments of different
life stages instead of relying solely on thresholds for standing stock at fixed
reference sites.
PMID- 26541986
TI - Occurrence of diverse alkane hydroxylase alkB genes in indigenous oil-degrading
bacteria of Baltic Sea surface water.
AB - Formation of specific oil degrading bacterial communities in diesel fuel, crude
oil, heptane and hexadecane supplemented microcosms of the Baltic Sea surface
water samples was revealed. The 475 sequences from constructed alkane hydroxylase
alkB gene clone libraries were grouped into 30 OPFs. The two largest groups were
most similar to Pedobacter sp. (245 from 475) and Limnobacter sp. (112 from 475)
alkB gene sequences. From 56 alkane-degrading bacterial strains 41 belonged to
the Pseudomonas spp. and 8 to the Rhodococcus spp. having redundant alkB genes.
Together 68 alkB gene sequences were identified. These genes grouped into 20
OPFs, half of them being specific only to the isolated strains. Altogether 543
diverse alkB genes were characterized in the brackish Baltic Sea water; some of
them representing novel lineages having very low sequence identities with
corresponding genes of the reference strains.
PMID- 26541987
TI - Overdose prevention for prisoners in New York: a novel program and collaboration.
AB - This is a brief report on the establishment of a new program in New York State
prisons to prepare prisoners to avoid the increased risks of drug overdose death
associated with the transition to the community by training them in overdose
prevention and making available naloxone, a medication that quickly reverses the
effects of an opioid overdose, to all prisoners as they re-enter the community.
It is a milestone collaboration in the USA between public health, the
correctional system, and a community-based harm reduction program in response to
the growth of heroin and opioid analgesic use and related morbidity and
mortality, working together to get naloxone into the hands of the people at high
risk of overdosing and/or of witnessing an opioid overdose.
PMID- 26541988
TI - Factors contributing to student nurses'/midwives' perceived competency in
spiritual care.
AB - BACKGROUND: The spiritual part of life is important to health, well-being and
quality of life. Spiritual care is expected of nurses/midwives, but it is not
clear how students can achieve competency in spiritual care at point of
registration as required by regulatory bodies. AIM: To explore factors
contributing to undergraduate nurses'/midwives' perceived competency in giving
spiritual care. DESIGN: A pilot cross-sectional, multinational, correlational
survey design. METHOD: Questionnaires were completed by 86% (n=531) of a
convenience sample of 618 undergraduate nurses/midwives from six universities in
four countries in 2010. Bivariate and multivariate analyses were performed.
RESULTS: Differences between groups were small. Two factors were significantly
related to perceived spiritual care competency: perception of
spirituality/spiritual care and student's personal spirituality. Students
reporting higher perceived competency viewed spirituality/spiritual care broadly,
not just in religious terms. This association between perceived competency and
perception of spirituality is a new finding not previously reported. Further
results reinforce findings in the literature that own spirituality was a strong
predictor of perceived ability to provide spiritual care, as students reporting
higher perceived competency engaged in spiritual activities, were from secular
universities and had previous healthcare experience. They were also religious,
practised their faith/belief and scored highly on spiritual well-being and
spiritual attitude/involvement. CONCLUSIONS: The challenge for nurse/midwifery
educators is how they might enhance spiritual care competency in students who are
not religious and how they might encourage students who hold a narrow view of
spirituality/spiritual care to broaden their perspective to include the full
range of spiritual concerns that patients/clients may encounter. Statistical
models created predicted factors contributing to spiritual care competency to
some extent but the picture is complex requiring further investigation involving
a bigger and more diverse longitudinal sample.
PMID- 26541989
TI - Budesonide Multi-matrix for the Treatment of Patients with Ulcerative Colitis.
AB - Ulcerative colitis (UC) is a chronic idiopathic inflammatory disorder in which
patients cycle between active disease and remission. Budesonide multi-matrix
(MMX) is an oral second-generation corticosteroid designed to deliver active drug
throughout the colon. In pharmacokinetic studies, the mean relative absorption of
budesonide in the region between the ascending colon and the descending/sigmoid
colon was 95.9 %. In 2 identically designed, phase 3 studies (CORE I and II),
budesonide MMX 9 mg once daily was efficacious and well tolerated for induction
of remission of mild to moderate UC. Clinical and endoscopic remission rates were
17.9 % (CORE I) and 17.4 % (CORE II) for budesonide MMX 9 mg compared with 7.4
and 4.5 %, respectively, with placebo (p < 0.05, budesonide MMX 9 mg vs. placebo
in both studies), 12.1 % with mesalamine 2.4 g, and 12.6 % with budesonide
controlled ileal release capsules 9 mg. A 12-month maintenance therapy study
suggested that budesonide MMX 6 mg may prolong time to clinical relapse: Median
time was >1 year with budesonide MMX 6 mg versus 181 days (p = 0.02) with
placebo; however, further studies are needed. In the CORE studies, budesonide MMX
exhibited a favorable safety profile; the majority of adverse events were mild or
moderate in intensity, and serious adverse events were uncommon. Furthermore,
rates of potential glucocorticoid-related adverse events were comparable across
treatment groups. The long-term (12-month) safety of budesonide MMX appears to be
comparable with placebo. Data support budesonide MMX in the management algorithm
of UC.
PMID- 26541990
TI - Self-Limited Sepsis Syndrome Following Fecal Microbiota Therapy for Refractory C.
difficile Infection.
PMID- 26541991
TI - Microwave Ablation for the Treatment of Hypersplenism: Short Waves, Low Cost, Big
Results.
PMID- 26541993
TI - Competency in ECG Interpretation Among Medical Students.
AB - BACKGROUND: Electrocardiogram (ECG) is commonly used in diagnosis of heart
diseases, including many life-threatening disorders. We aimed to assess skills in
ECG interpretation among Polish medical students and to analyze the determinants
of these skills. MATERIAL AND METHODS: Undergraduates from all Polish medical
schools were asked to complete a web-based survey containing 18 ECG strips.
Questions concerned primary ECG parameters (rate, rhythm, and axis), emergencies,
and common ECG abnormalities. Analysis was restricted to students in their
clinical years (4th-6th), and students in their preclinical years (1st-3rd) were
used as controls. RESULTS: We enrolled 536 medical students (females: n=299;
55.8%), aged 19 to 31 (23+/-1.6) years from all Polish medical schools. Most
(72%) were in their clinical years. The overall rate of good response was better
in students in years 4th-5th than those in years 1st-3rd (66% vs. 56%; p<0.0001).
Competency in ECG interpretation was higher in students who reported ECG self
learning (69% vs. 62%; p<0.0001) but no difference was found between students who
attended or did not attend regular ECG classes (66% vs. 66%; p=0.99). On
multivariable analysis (p<0.0001), being in clinical years (OR: 2.45 [1.35-4.46]
and self-learning (OR: 2.44 [1.46-4.08]) determined competency in ECG
interpretation. CONCLUSIONS: Polish medical students in their clinical years have
a good level of competency in interpreting the primary ECG parameters, but their
ability to recognize ECG signs of emergencies and common heart abnormalities is
low. ECG interpretation skills are determined by self-education but not by
attendance at regular ECG classes. Our results indicate qualitative and
quantitative deficiencies in teaching ECG interpretation at medical schools.
PMID- 26541992
TI - Outcomes of Propofol Sedation During Emergency Endoscopy Performed for Upper
Gastrointestinal Bleeding.
AB - BACKGROUND: Although propofol-based sedation can be used during emergency
endoscopy for upper gastrointestinal bleeding (UGIB), there is a potential risk
of sedation-related adverse events, especially in patients with variceal
bleeding. AIM: We compared adverse events related to propofol-based sedation
during emergency endoscopy between patients with non-variceal and variceal
bleeding. METHODS: Clinical records of patients who underwent emergency endoscopy
for UGIB under sedation were reviewed. Adverse events, including shock, hypoxia,
and paradoxical reaction, were compared between the non-variceal and variceal
bleeding groups. RESULTS: Of 703 endoscopies, 539 and 164 were performed for non
variceal and variceal bleeding, respectively. Shock was more common in patients
with variceal bleeding compared to those with non-variceal bleeding (12.2 vs.
3.5%, P < 0.001). All patients except one recovered from shock after normal
saline hydration, and emergency endoscopy could be finished without interruption
in most cases. The incidence of hypoxia and paradoxical reaction did not differ
based on the source of bleeding (non-variceal bleeding vs. variceal bleeding:
hypoxia, 3.5 vs. 1.8%, P = 0.275; paradoxical reaction interfering with the
procedure, 4.1 vs. 5.5%, P = 0.442). CONCLUSIONS: Although shock was more common
in patients with variceal bleeding compared to those with non-variceal bleeding,
most cases could be controlled without procedure interruption. Paradoxical
reaction, rather than shock or hypoxia, was the most common cause of procedure
interruption in patients with variceal bleeding, but the rate did not differ
between patients with non-variceal and variceal bleeding.
PMID- 26541994
TI - Relationship inference based on DNA mixtures.
AB - Today, there exists a number of tools for solving kinship cases. But what happens
when information comes from a mixture? DNA mixtures are in general rarely seen in
kinship cases, but in a case presented to the Norwegian Institute of Public
Health, sample DNA was obtained after a rape case that resulted in an unwanted
pregnancy and abortion. The only available DNA from the fetus came in form of a
mixture with the mother, and it was of interest to find the father of the fetus.
The mother (the victim), however, refused to give her reference data and so
commonly used methods for paternity testing were no longer applicable. As this
case illustrates, kinship cases involving mixtures and missing reference profiles
do occur and make the use of existing methods rather inconvenient. We here
present statistical methods that may handle general relationship inference based
on DNA mixtures. The basic idea is that likelihood calculations for mixtures can
be decomposed into a series of kinship problems. This formulation of the problem
facilitates the use of kinship software. We present the freely available R
package relMix which extends on the R version of Familias. Complicating factors
like mutations, silent alleles, and theta-correction are then easily handled for
quite general family relationships, and are included in the statistical methods
we develop in this paper. The methods and their implementations are exemplified
on the data from the rape case.
PMID- 26541995
TI - What controls the expression of the core-1 (Thomsen-Friedenreich) glycotope on
tumor cells?
AB - Malignant transformation is tightly connected with changes in the glycosylation
of proteins and lipids, which in turn are contributing to the invasive and
metastatic behavior of tumor cells. One example of such changes is demasking of
the otherwise hidden core-1 structure, also known as Thomsen-Friedenreich
antigen, which is a highly tumor-specific glycotope and potentially a cancer stem
cell marker. This review summarizes what is known about the mechanism(s) of its
expression on tumor cells. New data reveal a close connection between tumor
metabolism and Golgi function. Based on these data, we suggest that the
expression of this antigen is also a marker of aerobic glycolysis.
PMID- 26541996
TI - Gangliosides in breast cancer: new perspectives.
AB - Gangliosides are essential compounds of the plasma membrane involved in cell
adhesion, proliferation, and recognition processes, as well as in the modulation
of signal transduction pathways. These functions are mainly supported by the
glycan moiety, and changes in the structure of gangliosides occur under
pathological conditions including cancers. With progress in mass spectrometric
analysis of gangliosides, the role of gangliosides in breast cancer progression
was recently demonstrated. In this review, we summarize current knowledge on the
biosynthesis of gangliosides and of the role of disialogangliosides in triple
negative breast cancer progression and metastasis. New perspectives in breast
cancer therapy targeting gangliosides are also discussed.
PMID- 26541997
TI - Hypotheses of the origin of natural antibodies: a glycobiologist's opinion.
AB - It is generally accepted that the generation of antibodies proceeds due to
immunization of an organism by alien antigens, and the level and affinity of
antibodies are directly correlated to the presence of immunogen. At the same
time, vast experimental material has been obtained providing evidence of
antibodies whose level remains unchanged and affinity is constant during a
lifetime. In contrast to the first, adaptive immunoglobulins, the latter are
named natural antibodies (nAbs). The nAbs are produced by B1 cells, whereas
adaptive Abs are produced by B2. This review summarizes general data on nAbs and
presents in more detail data on antigens of carbohydrate origin. Hypotheses on
the origin of nAbs and their activation mechanisms are discussed. We present our
thoughts on this matter supported by our experimental data on nAbs to glycans.
PMID- 26541998
TI - Exploiting natural anti-carbohydrate antibodies for therapeutic purposes.
AB - Natural anti-carbohydrate antibodies (NAbC) are antibodies that target glycans
and are continuously produced without apparent external antigen stimulation.
Clinically, NAbC are recognized by the adverse reactions to ABO mismatched blood
transfusions or organ transplantation and the rejection of xenografts. These
clinical effects do not reflect the biological functions of NAbC. However, they
launch the possibility of using NAbC for boosting immunity in different clinical
settings by means of: 1) expression of glycan antigens in elements that do not
hold them to allow the binding and reactivity of existing NAbC; 2) removal of
existing NAbC; 3) manipulation of the glycosylation pattern of NAbC.
PMID- 26541999
TI - Specificity of human galectins on cell surfaces.
AB - Galectins are beta-galactoside-binding proteins sharing homology in amino acid
sequence of their carbohydrate-recognition domain. Their carbohydrate specificity
outside cells has been studied previously. The main conclusion of these studies
was that several levels of glycan ligand recognition exist for galectins: (i)
disaccharide Galbeta1-4GlcNAc (LN, N-acetyllactosamine) binds stronger than beta
galactopyranose; (ii) substitution at O-2 and O-3 of galactose residue as well as
core fragments ("right" from GlcNAc) provides significant increase in affinity;
(iii) similarly glycosylated proteins can differ significantly in affinity to
galectins. Information about the natural cellular receptors of galectins is
limited. Until recently, it was impossible to study specificity of cell-bound
galectins. A model based on controlled incorporation of a single protein into
glycocalyx of cells and subsequent interaction of loaded cells with synthetic
glycoprobes measured by flow cytometry made this possible recently. In this
review, data about glycan specificity of proto-, chimera-, and tandem-repeat type
galectins on the cell surface are systematized, and comparative analysis of the
results with data on specificity of galectins in artificial systems was
performed. The following conclusions from these studies were made: (i) cellular
galectins have practically no ability to bind disaccharide LNn, but display
affinity to 3'-substituted oligolactosamines and oligomers LNn; (ii) tandem
repeat type galectins recognize another disaccharide, namely Galbeta1-3GlcNAc
(Le(c)); (iii) on the cell surface, tandem-repeat type galectins conserve the
ability to display high affinity to blood group antigens of ABH system; (iv) in
general, when galectins are immersed into glycocalyx, they are more selective
regarding glycan interactions. Thus, we conclude that competitive interaction of
galectins with cell microenvironment (endogenous cell glycans) is the main factor
providing selectivity of galectins in vivo.
PMID- 26542000
TI - Synthetic glycolipid-like constructs as tools for glycobiology research,
diagnostics, and as potential therapeutics.
AB - Function-spacer-lipid (FSL) constructs are amphiphilic molecules that are able to
disperse in water and then self-assemble into cell membranes or onto solid
surfaces. Modification of a biological or non-biological surface is very easy and
achieved by simple contact of the surface with an appropriately buffered solution
containing one or more FSLs. When the functional head group of the FSL is a
glycan, glycan modified surfaces can be rapidly formed. Once cells, viruses, or
solid surfaces are FSL modified with either simple or complex glycans, they can
be used in vitro and/or in vivo to measure interactions with cells, viruses,
antibodies, and lectins. FSLs have already been used in a variety of techniques
including antibody specificity mapping, antibody/toxin neutralization, diagnostic
assays, immune system manipulation, and animal modeling of transfusion reactions.
FSLs offer the easiest and fastest method available to achieve a glycan-modified
surface.
PMID- 26542001
TI - What adaptive changes in hemagglutinin and neuraminidase are necessary for
emergence of pandemic influenza virus from its avian precursor?
AB - Wild ducks serve as the primary host for numerous and various influenza type A
viruses. Occasionally, viruses from this reservoir can be transferred to other
host species and cause outbreaks of influenza in fowl, swine, and horses, as well
as result in novel human pandemics. Cellular tropism and range of susceptible
host species are determined by interaction between virus and receptor molecules
on cells. Here we discuss modern data regarding molecular features underlying
interactions of influenza viruses with cellular receptors as well as a role for
receptor specificity in interspecies transmission. By analyzing the earliest
available pandemic influenza viruses (1918, 1957, 1968, 2009), we found that
hemagglutinin reconfigured to recognize 2-6 sialic acid-containing receptors in
the human upper airway tract together with altered enzymatic activity of
neuraminidase necessary for maintaining functional balance with hemagglutinin are
responsible for effective spread of influenza viruses in human populations.
Resistance to low pH also contributes to this. Thus, a combination of such
parameters makes it possible that influenza viruses give rise to novel pandemics.
PMID- 26542002
TI - Plant oligosaccharides - outsiders among elicitors?
AB - This review substantiates the need to study the plant oligoglycome. The available
information on oligosaccharins - physiologically active fragments of plant cell
wall polysaccharides - is summarized. The diversity of such compounds in chemical
composition, origin, and proved biological activity is highlighted. At the same
time, plant oligosaccharides can be considered as outsiders among elicitors of
various natures in research intensity of recent decades. This review discusses
the reasons for such attitude towards these regulators, which are largely
connected with difficulties in isolation and identification. Together with that,
approaches are suggested whose potentials can be used to study oligosaccharins.
The topics of oligosaccharide metabolism in plants, including the ways of
formation, transport, and inactivation are presented, together with data on
biological activity and interaction with plant hormones. The current viewpoints
on the mode of oligosaccharin action - perception, signal transduction, and
possible "targets" - are considered. The potential uses of such compounds in
medicine, food industry, agriculture, and biotechnology are discussed.
PMID- 26542003
TI - O-antigen modifications providing antigenic diversity of Shigella flexneri and
underlying genetic mechanisms.
AB - O-Antigens (O-specific polysaccharides) of Shigella flexneri, a primary cause of
shigellosis, are distinguished by a wide diversity of chemical modifications
following the oligosaccharide O-unit assembly. The present review is devoted to
structural, serological, and genetic aspects of these modifications, including O
acetylation and phosphorylation with phosphoethanolamine that have been
identified recently. The modifications confer the host with specific
immunodeterminants (O-factors or O-antigen epitopes), which accounts for the
antigenic diversity of S. flexneri considered as a virulence factor of the
pathogen. Totally, 30 O-antigen variants have been recognized in these bacteria,
the corresponding O-factors characterized using specific antibodies, and a
significant extension of the serotyping scheme of S. flexneri on this basis is
suggested. Multiple genes responsible for the O-antigen modifications and the
resultant serotype conversions of S. flexneri have been identified. The genetic
mechanisms of the O-antigen diversification by acquisition of mobile genetic
elements, including prophages and plasmids, followed occasionally by gene
mobilization and inactivation have been revealed. These findings further our
understanding of the genetics and antigenicity of S. flexneri and assist control
of shigellosis.
PMID- 26542004
TI - Tissue-specific rhamnogalacturonan I forms the gel with hyperelastic properties.
AB - Rhamnogalacturonans I are complex pectin polysaccharides extremely variable in
structure and properties and widely represented in various sources. The
complexity and diversity of the structure of rhamnogalacturonans I are the
reasons for the limited information about the properties and supramolecular
organization of these polysaccharides, including the relationship between these
parameters and the functions of rhamnogalacturonans I in plant cells. In the
present work, on the example of rhamnogalacturonan I from flax gelatinous fibers,
the ability of this type of pectic polysaccharides to form at physiological
concentrations hydrogels with hyperelastic properties was revealed for the first
time. According to IR spectroscopy, water molecules are more tightly retained in
the gelling rhamnogalacturonan I from flax fiber cell wall in comparison with the
non-gelling rhamnogalacturonan I from primary cell wall of potato. With increase
in strength of water binding by rhamnogalacturonan I, there is an increase in
elastic modulus and decrease in Poisson's ratio of gel formed by this
polysaccharide. The model of hyperelastic rhamnogalacturonan I capture by
laterally interacting cellulose microfibrils, constructed using the finite
element method, confirmed the suitability of rhamnogalacturonan I gel with the
established properties for the function in the gelatinous cell wall, allowing
consideration of this tissue- and stage-specific pectic polysaccharide as an
important factor in creation of gelatinous fiber contractility.
PMID- 26542005
TI - Influence of fucoidans and their derivatives on antitumor and phagocytic activity
of human blood leucocytes.
AB - The immunotropic activity of structurally different fucoidans and their
derivatives towards isolated immune blood cells, effectors of innate immune
system, was studied. The most potent effect was observed for high molecular
weight fucoidan CF from the alga Chordaria flagelliformis, whose backbone is
built of (1->3)-linked units of alpha-L-fucopyranose, and branches included
residues of alpha-D-glucuronic acid and alpha-L-fucofuranose. This compound at
the concentration of 0.05 mg/ml potentiated phagocytosis of Saccharomyces
cerevisiae and Lactobacillus acidophilus by neutrophils, increasing relative
quantity of phagocytes as well as their effectiveness. Along with this, 14%
increase in the concentration of membrane-bound integrin CD11c molecules was
observed. The systemic effect of CF at the dose of 0.01 mg/mouse i.p. led to
potentiation of cytotoxic activity of spleen mononuclear leucocytes towards
melanoma cells of line B16 by 1.9-fold and towards chronic myelogenous leukemia
cells of line K-562 by 1.7-fold. These results indicate that fucoidan CF can
stimulate anti-infective and antitumor activity of effectors of the innate immune
system via CD11c integrins.
PMID- 26542006
TI - High-throughput glycomics: optimization of sample preparation.
AB - Glycosylation affects structure, folding, and function of numerous proteins.
Aberrant glycosylation has been shown to be associated with different diseases. A
wide range of analytical methods is available for glycan analysis of antibodies
(mainly IgG), but analysis of plasma glycans is less established due to
additional challenges encountered with higher complexity of the sample. Here we
describe development and optimization of a high-throughput sample preparation
method for hydrophilic interaction liquid chromatography and ultra-performance
liquid chromatography analysis of plasma N-glycans. Clean-up of labeled glycans
was found to be the largest source of variation, and we tested cellulose, silica
gel, Bio-Gel, and hydrophilic GHP filter as stationary phases for solid-phase
extraction. All stationary phases were shown to be suitable for purification of
labeled glycans, but GHP filter plate in combination with cold 96% acetonitrile
had the highest reproducibility and was easiest to work with. The method was
further optimized with Plackett-Burman screening design and validated in terms of
analysis of major step variation and between-day and between-person variation.
The developed method is fast, cost-effective, and easy to perform, and it has
very good reproducibility during long period of time, enabling the detection of
biological variability of the plasma N-glycome.
PMID- 26542007
TI - X-ray reflectivity and grazing incidence diffraction studies of interaction
between human adhesion/growth-regulatory galectin-1 and DPPE-GM1 lipid monolayer
at an air/water interface.
AB - The specific interaction of ganglioside GM1 with the homodimeric (prototype)
endogenous lectin galectin-1 triggers growth regulation in tumor and activated
effector T cells. This proven biorelevance directed interest to studying
association of the lectin to a model surface, i.e. a 1,2-dihexadecanoyl-sn
glycero-3-phosphoethanolamine/ganglioside GM1 (80 : 20 mol%) monolayer, at a
bioeffective concentration. Surface expansion by the lectin insertion was
detected at a surface pressure of 20 mN/m. On combining the methods of grazing
incidence X-ray diffraction and X-ray reflectivity, a transient decrease in lipid
ordered phase of the monolayer was observed. The measured electron density
distribution indicated that galectin-1 is oriented with its long axis in the
surface plane, ideal for cis-crosslinking. The data reveal a conspicuous
difference to the way the pentameric lectin part of the cholera toxin, another
GM1-specific lectin, is bound to the monolayer. They also encourage further
efforts to monitor effects of structurally different members of the galectin
family such as the functionally antagonistic chimera-type galectin-3.
PMID- 26542008
TI - En1 directs superior olivary complex neuron positioning, survival, and expression
of FoxP1.
AB - Little is known about the genetic pathways and transcription factors that control
development and maturation of central auditory neurons. En1, a gene expressed by
a subset of developing and mature superior olivary complex (SOC) cells, encodes a
homeodomain transcription factor important for neuronal development in the
midbrain, cerebellum, hindbrain and spinal cord. Using genetic fate-mapping
techniques, we show that all En1-lineal cells in the SOC are neurons and that
these neurons are glycinergic, cholinergic and GABAergic in neurotransmitter
phenotype. En1 deletion does not interfere with specification or neural fate of
these cells, but does cause aberrant positioning and subsequent death of all En1
lineal SOC neurons by early postnatal ages. En1-null cells also fail to express
the transcription factor FoxP1, suggesting that FoxP1 lies downstream of En1. Our
data define important roles for En1 in the development and maturation of a
diverse group of brainstem auditory neurons.
PMID- 26542009
TI - ACAM, a novel member of the neural IgCAM family, mediates anterior neural tube
closure in a primitive chordate.
AB - The neural IgCAM family of cell adhesion molecules, which includes NCAM and
related molecules, has evolved via gene duplication and alternative splicing to
allow for a wide range of isoforms with distinct functions and homophilic binding
properties. A search for neural IgCAMs in ascidians (Ciona intestinalis, Ciona
savignyi, and Phallusia mammillata) has identified a novel set of truncated
family members that, unlike the known members, lack fibronectin III domains and
consist of only repeated Ig domains. Within the tunicates this form appears to be
unique to the ascidians, and it was designated ACAM, for Ascidian Cell Adhesion
Molecule. In C. intestinalis ACAM is expressed in the developing neural plate and
neural tube, with strongest expression in the anterior sensory vesicle precursor.
Unlike the two other conventional neural IgCAMs in C. intestinalis, which are
expressed maternally and throughout the morula and blastula stages, ACAM
expression initiates at the gastrula stage. Moreover, C. intestinalis ACAM is a
target of the homeodomain transcription factor OTX, which plays an essential role
in the development of the anterior central nervous system. Morpholino (MO)
knockdown shows that ACAM is required for neural tube closure. In MO-injected
embryos neural tube closure was normal caudally, but the anterior neuropore
remained open. A similar phenotype was seen with overexpression of a secreted
version of ACAM. The presence of ACAM in ascidians highlights the diversity of
this gene family in morphogenesis and neurodevelopment.
PMID- 26542010
TI - Dynamin-mediated endocytosis is required for tube closure, cell intercalation,
and biased apical expansion during epithelial tubulogenesis in the Drosophila
ovary.
AB - Most metazoans are able to grow beyond a few hundred cells and to support
differentiated tissues because they elaborate multicellular, epithelial tubes
that are indispensable for nutrient and gas exchange. To identify and
characterize the cellular behaviors and molecular mechanisms required for the
morphogenesis of epithelial tubes (i.e., tubulogenesis), we have turned to the D.
melanogaster ovary. Here, epithelia surrounding the developing egg chambers first
pattern, then form and extend a set of simple, paired, epithelial tubes, the
dorsal appendage (DA) tubes, and they create these structures in the absence of
cell division or cell death. This genetically tractable system lets us assess the
relative contributions that coordinated changes in cell shape, adhesion,
orientation, and migration make to basic epithelial tubulogenesis. We find that
Dynamin, a conserved regulator of endocytosis and the cytoskeleton, serves a key
role in DA tubulogenesis. We demonstrate that Dynamin is required for distinct
aspects of DA tubulogenesis: DA-tube closure, DA-tube-cell intercalation, and
biased apical-luminal cell expansion. We provide evidence that Dynamin promotes
these processes by facilitating endocytosis of cell-cell and cell-matrix adhesion
complexes, and we find that precise levels and sub-cellular distribution of E
Cadherin and specific Integrin subunits impact DA tubulogenesis. Thus, our
studies identify novel morphogenetic roles (i.e., tube closure and biased apical
expansion), and expand upon established roles (i.e., cell intercalation and
adhesion remodeling), for Dynamin in tubulogenesis.
PMID- 26542011
TI - Multiple mouse models of primary lymphedema exhibit distinct defects in
lymphovenous valve development.
AB - Lymph is returned to the blood circulation exclusively via four lymphovenous
valves (LVVs). Despite their vital importance, the architecture and development
of LVVs is poorly understood. We analyzed the formation of LVVs at the molecular
and ultrastructural levels during mouse embryogenesis and identified three
critical steps. First, LVV-forming endothelial cells (LVV-ECs) differentiate from
PROX1(+) progenitors and delaminate from the luminal side of the veins. Second,
LVV-ECs aggregate, align perpendicular to the direction of lymph flow and
establish lympho-venous connections. Finally, LVVs mature with the recruitment of
mural cells. LVV morphogenesis is disrupted in four different mouse models of
primary lymphedema and the severity of LVV defects correlate with that of
lymphedema. In summary, we have provided the first and the most comprehensive
analysis of LVV development. Furthermore, our work suggests that aberrant LVVs
contribute to lymphedema.
PMID- 26542013
TI - Adolescents with Special Needs: Clinical Challenges in Reproductive Health Care.
AB - Adolescents with special needs have unique reproductive health care needs related
to their physical and cognitive issues. This review discusses some of the most
common concerns that are encountered in clinical practice, as the clinician will
partner with the adolescent and her family to guide her through the pubertal
transition and to help navigate the risks and rights of reproduction. Families
often seek anticipatory guidance before menarche on menstrual hygiene, abuse risk
and sexuality and can be reassured that most teens with special needs do very
well with menstruation. The clinician needs to evaluate the teenager's
reproductive knowledge as well her risk for abuse and coercion and her ability to
consent to sexual activity, if she requests contraception. Menstrual management
is mostly based on the impact of the menstrual cycles on the teenager's life and
activities. The adolescents may have a decreased ability to tolerate menses or
pain, or experience changes in seizure pattern or altered mood. Hormonal
treatment is often used to assist with menstrual hygiene, cyclical mood changes
or dysmenorrhea. The goal of treatment can be complete amenorrhea, alleviate pain
or regulate and decrease menstrual flow. The unique risks and benefits of
hormonal treatment for this special population are highlighted.
PMID- 26542012
TI - Primary cilia are critical for Sonic hedgehog-mediated dopaminergic neurogenesis
in the embryonic midbrain.
AB - Midbrain dopaminergic (mDA) neurons modulate various motor and cognitive
functions, and their dysfunction or degeneration has been implicated in several
psychiatric diseases. Both Sonic Hedgehog (Shh) and Wnt signaling pathways have
been shown to be essential for normal development of mDA neurons. Primary cilia
are critical for the development of a number of structures in the brain by
serving as a hub for essential developmental signaling cascades, but their role
in the generation of mDA neurons has not been examined. We analyzed mutant mouse
lines deficient in the intraflagellar transport protein IFT88, which is critical
for primary cilia function. Conditional inactivation of Ift88 in the midbrain
after E9.0 results in progressive loss of primary cilia, a decreased size of the
mDA progenitor domain, and a reduction in mDA neurons. We identified Shh
signaling as the primary cause of these defects, since conditional inactivation
of the Shh signaling pathway after E9.0, through genetic ablation of Gli2 and
Gli3 in the midbrain, results in a phenotype basically identical to the one seen
in Ift88 conditional mutants. Moreover, the expansion of the mDA progenitor
domain observed when Shh signaling is constitutively activated does not occur in
absence of Ift88. In contrast, clusters of Shh-responding progenitors are
maintained in the ventral midbrain of the hypomorphic Ift88 mouse mutant,
cobblestone. Despite the residual Shh signaling, the integrity of the mDA
progenitor domain is severely disturbed, and consequently very few mDA neurons
are generated in cobblestone mutants. Our results identify for the first time a
crucial role of primary cilia in the induction of mDA progenitors, define a
narrow time window in which Shh-mediated signaling is dependent upon normal
primary cilia function for this purpose, and suggest that later Wnt signaling
dependent events act independently of primary cilia.
PMID- 26542014
TI - Teaching Trainees to Deliver Adolescent Reproductive Health Services.
AB - STUDY OBJECTIVE: Delivery of reproductive services to adolescents varies
according to specialty and has been linked to differences in clinical training.
Few studies have explored how different specialties' graduate medical education
(GME) programs prepare providers to deliver adolescent reproductive services. We
explored the perceptions of resident physicians regarding their training in
delivering adolescent reproductive health services. DESIGN: Between November 2008
and February 2009, 9 focus groups were conducted with graduate medical trainees
in 3 specialties that routinely care for adolescents. The semistructured
discussions were audio-recorded, transcribed, and analyzed using an inductive
approach to content analysis. SETTING: Large, urban academic medical center in
Pittsburgh, Pennsylvania. PARTICIPANTS: Fifty-four resident trainees in
pediatrics, family medicine, and obstetrics/gynecology. INTERVENTIONS: None. MAIN
OUTCOME MEASURES: Trainees' perspectives regarding the didactic teaching and
clinical training in providing adolescent reproductive services. RESULTS: Five
themes emerged, reflecting trainees' beliefs regarding the best practices in
which GME programs can engage to ensure that trainees graduate with the belief
that they are competent and will be comfortable delivering adolescent
reproductive services. Trainees believed programs need to: (1) provide didactic
lectures and diverse inpatient and outpatient clinical experiences; (2) have
faculty preceptors skilled in providing and supervising adolescent reproductive
services; (3) teach skills for engaging adolescents in clinical assessments and
decision-making; (4) train providers to navigate confidentiality issues with
adolescents and caregivers; and (5) provide infrastructure and resources for
delivering adolescent reproductive services. CONCLUSION: The 3 specialties
differed in how well each of the 5 best practices were reportedly addressed
during GME training. Policy recommendations are provided.
PMID- 26542015
TI - Reirradiation and hyperthermia for irresectable locoregional recurrent breast
cancer in previously irradiated area: Size matters.
AB - BACKGROUND/PURPOSE: Treatment options for irresectable locoregional recurrent
breast cancer in previously irradiated area are limited. Hyperthermia, elevating
tumor temperature to 40-45 degrees C, sensitizes radio-and-chemotherapy. Four
hundred and fourteen patients treated with reirradiation+hyperthermia (reRT+HT)
in the AMC(n=301) and the BVI(n=113), from 1982 to 2005 were retrospectively
analyzed for treatment response, locoregional control (LC) and prognostic factors
for LC and toxicity. PATIENTS/METHODS: All patients received previous irradiation
(median 50 Gy). reRT consisted of 8 * 4 Gy-2/week (AMC) or 12 * 3 Gy-4/week
(BVI). Hyperthermia was added once (AMC)/twice (BVI) a week. RESULTS: Overall
clinical response rate was 86%. The 3-year LC rate was 25%. The number of
recurrence episodes, distant metastases (DM), tumor site, tumor size, time to
recurrence and treatment year were significant for LC. Acute ? grade 3 toxicity
occurred in 24% of patients. Actuarial late ? grade 3 toxicity was 23% at 3
years. In multivariable analysis reRT fraction dose was significantly related to
late ? grade 3 toxicity. CONCLUSION: reRT+HT is an effective curative and
palliative treatment option for patients with irresectable locoregional recurrent
breast cancer in previously irradiated area. Early referral, treatment of chest
wall recurrences ? 5 cm in the absence of distant metastases, provided the
highest local control rates. The cumulative effects of past and present
treatments should be accounted for by adjusting treatment protocol to minimize
toxicity.
PMID- 26542016
TI - Compilation of basal metabolic and blood perfusion rates in various multi
compartment, whole-body thermoregulation models.
AB - The assignments of basal metabolic rates (BMR), basal cardiac output (BCO), and
basal blood perfusion rates (BBPR) were compared in nine multi-compartment, whole
body thermoregulation models. The data are presented at three levels of detail:
total body, specific body regions, and regional body tissue layers. Differences
in the assignment of these quantities among the compared models increased with
the level of detail, in the above order. The ranges of variability in the total
body BMR was 6.5 % relative to the lowest value, with a mean of 84.3 +/- 2 W, and
in the BCO, it was 8 % with a mean of 4.70 +/- 0.13 l/min. The least variability
among the body regions is seen in the combined torso (shoulders, thorax, and
abdomen: +/-7.8 % BMR and +/-5.9 % BBPR) and in the combined head (head, face,
and neck +/-9.9 % BMR and +/-10.9 % BBPR), determined by the ratio of the
standard deviation to the mean. Much more variability is apparent in the
extremities with the most showing in the BMR of the feet (+/-117 %), followed by
the BBPR in the arms (+/-61.3 %). In the tissue layers, most of the bone layers
were assigned zero BMR and BBPR, except in the shoulders and in the extremities
that were assigned non-zero values in a number of models. The next lowest values
were assigned to the fat layers, with occasional zero values. Skin basal values
were invariably non-zero but involved very low values in certain models, e.g.,
BBPR in the feet and the hands. Muscle layers were invariably assigned high
values with the highest found in the thorax, abdomen, and legs. The brain, lung,
and viscera layers were assigned the highest of all values of both basal
quantities with those of the brain layers showing rather tight ranges of
variability in both basal quantities. Average basal values of the "time-seasoned"
models presented in this study could be useful as a first step in future modeling
efforts subject to appropriate adjustment of values to conform to most recently
available and reliable data.
PMID- 26542017
TI - Effect of two sweating simulation methods on clothing evaporative resistance in a
so-called isothermal condition.
AB - The effect of sweating simulation methods on clothing evaporative resistance was
investigated in a so-called isothermal condition (T manikin = T a = T r ). Two
sweating simulation methods, namely, the pre-wetted fabric "skin" (PW) and the
water supplied sweating (WS), were applied to determine clothing evaporative
resistance on a "Newton" thermal manikin. Results indicated that the clothing
evaporative resistance determined by the WS method was significantly lower than
that measured by the PW method. In addition, the evaporative resistances measured
by the two methods were correlated and exhibited a linear relationship.
Validation experiments demonstrated that the empirical regression equation showed
highly acceptable estimations. The study contributes to improving the accuracy of
measurements of clothing evaporative resistance by means of a sweating manikin.
PMID- 26542018
TI - Morphology of pedestrian roads and thermal responses during summer, in the urban
area of Bucheon city, Korea.
AB - The purpose of this study was to elucidate the effect of urban morphology
representing sky view factor (SVF) on urban microclimate and on human thermal
responses. The physical environments and the changes in body temperatures as well
as psychological responses were investigated in summer in Bucheon, Korea. The dry
bulb temperature ranged from 31.5 degrees C at SVF 0.082 site to 35.7 degrees C
at SVF 0.922 site. Most of the environmental elements were statistically
correlated to the SVF: the dry bulb temperature R (2) = 0.602, UVB R (2) = 0.556
and the illumination level R (2) = 0.609. The mean skin temperature increased up
to 36.0 degrees C at the SVF 0.940 site and decreased to 33.9 degrees C at the
SVF 0.082 site. The mean skin temperature was statistically correlated to the SVF
(p = 0.005). However, the core body temperature was not correlated to SVF because
of time delay effect to the previously exposed thermal environment. In the
investigation of thermal acceptability, only 5 % of subjects were dissatisfied
with the road that was covered with plentiful trees; in contrast, approximately
50 % of subjects were dissatisfied with the road with poor solar obstacles in the
summer. The thermal stress was affected by the urban morphology, and the
plentiful urban greening improved thermal comfort.
PMID- 26542019
TI - P2X7 receptor activation regulates rapid unconventional export of
transglutaminase-2.
AB - Transglutaminases (denoted TG or TGM) are externalized from cells via an unknown
unconventional secretory pathway. Here, we show for the first time that
purinergic signaling regulates active secretion of TG2 (also known as TGM2), an
enzyme with a pivotal role in stabilizing extracellular matrices and modulating
cell-matrix interactions in tissue repair. Extracellular ATP promotes TG2
secretion by macrophages, and this can be blocked by a selective antagonist
against the purinergic receptor P2X7 (P2X7R, also known as P2RX7). Introduction
of functional P2X7R into HEK293 cells is sufficient to confer rapid, regulated
TG2 export. By employing pharmacological agents, TG2 release could be separated
from P2X7R-mediated microvesicle shedding. Neither Ca(2+) signaling alone nor
membrane depolarization triggered TG2 secretion, which occurred only upon
receptor membrane pore formation and without pannexin channel involvement. A gain
of-function mutation in P2X7R associated with autoimmune disease caused enhanced
TG2 externalization from cells, and this correlated with increased pore activity.
These results provide a mechanistic explanation for a link between active TG2
secretion and inflammatory responses, and aberrant enhanced TG2 activity in
certain autoimmune conditions.
PMID- 26542020
TI - The selective inhibition of protein phosphatase-1 results in mitotic catastrophe
and impaired tumor growth.
AB - The serine/threonine protein phosphatase-1 (PP1) complex is a key regulator of
the cell cycle. However, the redundancy of PP1 isoforms and the lack of specific
inhibitors have hampered studies on the global role of PP1 in cell cycle
progression in vertebrates. Here, we show that the overexpression of nuclear
inhibitor of PP1 (NIPP1; also known as PPP1R8) in HeLa cells culminated in a
prometaphase arrest, associated with severe spindle-formation and chromosome
congression defects. In addition, the spindle assembly checkpoint was activated
and checkpoint silencing was hampered. Eventually, most cells either died by
apoptosis or formed binucleated cells. The NIPP1-induced mitotic arrest could be
explained by the inhibition of PP1 that was titrated away from other mitotic PP1
interactors. Consistent with this notion, the mitotic-arrest phenotype could be
rescued by the overexpression of PP1 or the inhibition of the Aurora B kinase,
which acts antagonistically to PP1. Finally, we demonstrate that the
overexpression of NIPP1 also hampered colony formation and tumor growth in
xenograft assays in a PP1-dependent manner. Our data show that the selective
inhibition of PP1 can be used to induce cancer cell death through mitotic
catastrophe.
PMID- 26542021
TI - A direct interaction between fascin and microtubules contributes to adhesion
dynamics and cell migration.
AB - Fascin is an actin-binding and bundling protein that is highly upregulated in
most epithelial cancers. Fascin promotes cell migration and adhesion dynamics in
vitro and tumour cell metastasis in vivo. However, potential non-actin bundling
roles for fascin remain unknown. Here, we show for the first time that fascin can
directly interact with the microtubule cytoskeleton and that this does not depend
upon fascin-actin bundling. Microtubule binding contributes to fascin-dependent
control of focal adhesion dynamics and cell migration speed. We also show that
fascin forms a complex with focal adhesion kinase (FAK, also known as PTK2) and
Src, and that this signalling pathway lies downstream of fascin-microtubule
association in the control of adhesion stability. These findings shed light on
new non actin-dependent roles for fascin and might have implications for the
design of therapies to target fascin in metastatic disease.
PMID- 26542023
TI - Ras-activated Dsor1 promotes Wnt signaling in Drosophila development.
AB - Wnt/Wingless (Wg) and Ras-MAPK signaling both play fundamental roles in growth
and cell fate determination, and when dysregulated, can lead to tumorigenesis.
Several conflicting modes of interaction between Ras-MAPK and Wnt signaling have
been identified in specific cellular contexts, causing synergistic or
antagonistic effects on target genes. We find novel evidence that the Drosophila
homolog of the dual specificity kinases MEK1/2 (also known as MAP2K1/2),
Downstream of Raf1 (Dsor1), is required for Wnt signaling. Knockdown of Dsor1
results in loss of Wg target gene expression, as well as reductions in stabilized
Armadillo (Arm; Drosophila beta-catenin). We identify a close physical
interaction between Dsor1 and Arm, and find that catalytically inactive Dsor1
causes a reduction in active Arm. These results suggest that Dsor1 normally
counteracts the Axin-mediated destruction of Arm. We find that Ras-Dsor1 activity
is independent of upstream activation by EGFR, and instead it appears to be
activated by the insulin-like growth factor receptor to promote Wg signaling.
Taken together, our results suggest that there is a new crosstalk pathway between
insulin and Wg signaling that is mediated by Dsor1.
PMID- 26542022
TI - CDK9 and its repressor LARP7 modulate cardiomyocyte proliferation and response to
injury in the zebrafish heart.
AB - Cyclin dependent kinase (Cdk)9 acts through the positive transcription elongation
factor-b (P-TEFb) complex to activate and expand transcription through RNA
polymerase II. It has also been shown to regulate cardiomyocyte hypertrophy, with
recent evidence linking it to cardiomyocyte proliferation. We hypothesised that
modification of CDK9 activity could both impair and enhance the cardiac response
to injury by modifying cardiomyocyte proliferation. Cdk9 expression and activity
were inhibited in the zebrafish (Danio rerio) embryo. We show that
dephosphorylation of residue Ser2 on the C-terminal domain of RNA polymerase II
is associated with impaired cardiac structure and function, and cardiomyocyte
proliferation and also results in impaired functional recovery following cardiac
laser injury. In contrast, de-repression of Cdk9 activity, through knockdown of
La-related protein (Larp7) increases phosphorylation of Ser2 in RNA polymerase II
and increases cardiomyocyte proliferation. Larp7 knockdown rescued the structural
and functional phenotype associated with knockdown of Cdk9. The balance of Cdk9
and Larp7 plays a key role in cardiomyocyte proliferation and response to injury.
Larp7 represents a potentially novel therapeutic target to promote cardiomyocyte
proliferation and recovery from injury.
PMID- 26542024
TI - Spatial and temporal translational control of germ cell mRNAs mediated by the
eIF4E isoform IFE-1.
AB - Regulated mRNA translation is vital for germ cells to produce new proteins in the
spatial and temporal patterns that drive gamete development. Translational
control involves the de-repression of stored mRNAs and their recruitment by
eukaryotic initiation factors (eIFs) to ribosomes. C. elegans expresses five
eIF4Es (IFE-1-IFE-5); several have been shown to selectively recruit unique pools
of mRNA. Individual IFE knockouts yield unique phenotypes due to inefficient
translation of certain mRNAs. Here, we identified mRNAs preferentially translated
through the germline-specific eIF4E isoform IFE-1. Differential polysome
microarray analysis identified 77 mRNAs recruited by IFE-1. Among the IFE-1
dependent mRNAs are several required for late germ cell differentiation and
maturation. Polysome association of gld-1, vab-1, vpr-1, rab-7 and rnp-3 mRNAs
relies on IFE-1. Live animal imaging showed IFE-1-dependent selectivity in
spatial and temporal translation of germline mRNAs. Altered MAPK activation in
oocytes suggests dual roles for IFE-1, both promoting and suppressing oocyte
maturation at different stages. This single eIF4E isoform exerts positive,
selective translational control during germ cell differentiation.
PMID- 26542026
TI - One-pot synthesis of carbazoles via tandem C-C cross-coupling and reductive
amination.
AB - We have developed a highly efficient synthetic route to carbazoles that employs
sequential C-C/C-N bond formation via Suzuki cross-coupling and Cadogan
cyclization using commercially available or easily preparable starting materials.
The developed method is compatible with electron neutral, rich or deficient
substrates. The synthetic utility of this method was demonstrated by the concise
syntheses of four natural products (glycozoline, glycozolicine, glycozolidine and
clausenalene).
PMID- 26542025
TI - Feasibility and clinical impact of sharing patient-reported symptom toxicities
and performance status with clinical investigators during a phase 2 cancer
treatment trial.
AB - BACKGROUND: Clinicians can miss up to half of patients' symptomatic toxicities in
cancer clinical trials and routine practice. Although patient-reported outcome
questionnaires have been developed to capture this information, it is unclear
whether clinicians will make use of patient-reported outcomes to inform their own
toxicity documentation, or to prompt symptom management activities. METHODS: 44
lung cancer patients that participated in a phase 2 treatment trial self-reported
13 symptomatic toxicities derived from the National Cancer Institute's Common
Terminology Criteria for Adverse Events and Karnofsky Performance Status via
tablet computers in waiting areas immediately preceding scheduled visits. During
visits, clinicians viewed patients' self-reported toxicity and performance status
ratings on a computer interface and could agree or disagree/reassign grades
("shared" reporting). Agreement of clinicians with patient-reported grades was
tabulated, and compared using weighted kappa statistics. Clinical actions in
response to patient-reported severe (grade 3/4) toxicities were measured (e.g.
treatment discontinuation, dose reduction, supportive medications). For
comparison, 45 non-trial patients with lung cancer being treated in the same
clinic by the same physicians were simultaneously enrolled in a parallel cohort
study in which patients also self-reported toxicity grades but reports were not
shared with clinicians ("non-shared" reporting). RESULTS: Toxicities and
performance status were reported by patients and reviewed by clinicians at
(780/782) 99.7% of study visits in the phase 2 trial which used "shared"
reporting. Clinicians agreed with patients 93% of the time with kappas 0.82-0.92.
Clinical actions were taken in response to 67% of severe patient-reported
toxicities. In the "non-shared" reporting comparison group, clinicians agreed
with patients 56% of the time with kappas 0.04-0.48 (significantly worse than
shared reporting for all symptoms), and clinical actions were taken in response
to 44% of severe patient-reported toxicities. CONCLUSION: Clinicians will
frequently agree with patient-reported symptoms and performance status, and will
use this information to guide documentation and symptom management.
(ClinicalTrials.gov: NCT00807573).
PMID- 26542027
TI - Intra-vesical Prostatic Protrusion (IPP) Can Be Reduced by Prostatic Artery
Embolization.
AB - BACKGROUND: Prostate artery embolization (PAE) is a new approach to improve lower
urinary tract symptoms (LUTS) related to benign prostatic hyperplasia. PAE
results in global reduction of prostate volume (PV). There are no data available
on the efficacy of PAE in reducing intra-vesical prostatic protrusion (IPP), an
anatomic feature that is clinically related with bladder outlet obstruction and
LUTS. OBJECTIVE: To assess the results of PAE in patients with significant IPP
due to median lobe hyperplasia and to compare the IPSS decrease and IPP change.
MATERIAL AND METHODS: Prospective analysis of 18 consecutive patients with
significant IPP (>5 mm) related to median lobe hyperplasia undergoing PAE using
30-500-MUm-calibrated trisacryl microspheres. We measured IPP on sagittal T2
weighted images before and 3 months after PAE. IPSS and clinical results were
also evaluated at 3 months. RESULTS: PAE resulted in significant IPP reduction
(1.57 cm +/- 0.55 before PAE and 1.30 cm +/- 0.46 after PAE, p = 0.0005) (Fig. 1)
with no complication. IPSS, quality of life (QoL), total prostate-specific
antigen (PSA) level, and PV showed significant reduction after PAE, and maximum
urinary flow rate (Q max) showed significant increase after PAE. No significant
change of International Index of Erectile Function (IIEF) for clinical evaluation
after PAE. A significant correlation was found between the IPP change and the
IPSS change (r = 0.636, p = 0.0045). CONCLUSION: Patients had significant IPP
reduction as well as significant symptomatic improvement after PAE, and these
improvements were positively correlated.
PMID- 26542028
TI - Percutaneous Treatment of Intrahepatic Biliary Leak: A Modified Occlusion Balloon
Technique.
AB - PURPOSE: To report a novel modified occlusion balloon technique to treat biliary
leaks. METHODS: A 22-year-old female patient underwent liver transplantation with
biliary-enteric anastomosis. She developed thrombosis of the common hepatic
artery and extensive ischemia in the left hepatic lobe. Resection of segments II
and III was performed and a biliary-cutaneous leak originating at the resection
plane was identified in the early postoperative period. Initial treatment with
percutaneous transhepatic drainage was unsuccessful. Therefore, an angioplasty
balloon was coaxially inserted within the biliary drain and positioned close to
the leak. RESULTS: The fistula output abruptly decreased after the procedure and
stopped on the 7th day. At the 3-week follow-up, cholangiography revealed
complete resolution of the leakage. CONCLUSION: This novel modified occlusion
balloon technique was effective and safe. However, greater experience and more
cases are necessary to validate the technique.
PMID- 26542029
TI - How to train glioma cells to die: molecular challenges in cell death.
AB - The five-year survival rate for patients with malignant glioma is less than 10%.
Despite aggressive chemo/radiotherapy these tumors have remained resistant to
almost every interventional strategy evaluated in patients. Resistance to these
agents is attributed to extrinsic mechanisms such as the tumor microenvironment,
poor drug penetration, and tumoral heterogeneity. In addition, genetic and
molecular examination of these tumors has revealed defective apoptotic
regulation, enhanced pro-survival autophagy signaling, and a propensity for
necrosis that aids in the adaptation to environmental stress and resistance to
treatment. The combination of extrinsic and intrinsic hallmarks in glioma
contributes to the multifaceted resistance to traditional anti-tumor agents. Here
we describe the biology of the disease relevant to therapeutic resistance, with a
specific focus on molecular deregulation of cell death pathways. Emerging studies
investigating the targeting of these pathways including BH3 mimetics and
autophagy inhibitors that are being evaluated in both the preclinical and
clinical settings are discussed. This review highlights the pathways exploited by
glioblastoma cells that drive their hallmark pro-survival predisposition and
makes therapy development such a challenge.
PMID- 26542030
TI - Outcome of treatment of recurrent glioblastoma multiforme in elderly and/or frail
patients.
AB - Optimal treatment of recurrent glioblastoma multiforme (rGBM) in elderly and/or
frail patients remains virtually unexplored, the best supportive care (BSC) only
is routinely administered due to the fatal prognosis. We evaluated the impact of
different treatment methods on post-progression survival (PPS) and overall
survival (OS) of such patients. Data from 98 elderly and/or frail rGBM patients,
treated initially with 1-week or 3-week radiotherapy (RT) within the phase III
IAEA study (2010-2013), were analyzed. KPS at relapse and salvage treatment
methods were recorded. Kaplan-Meier method was used to estimate PPS and OS for
different treatment modalities. Eighty-four patients experienced recurrence: 47
(56%) received BSC, 21 (25%)-chemotherapy (CHT), 8 (9.5%)-surgery, 3 (3.5%)-RT,
for 5 (6%) the data was unavailable. Median OS from randomization for all 84
patients was 35 weeks: 55 versus 30 weeks for any treatment versus BSC, p <
0.0001. Median PPS was 15 weeks: 23 weeks with any treatment versus 9 weeks with
BSC, p < 0.0001. For local treatment (surgery and/or RT) median PPS was 51 versus
21 weeks for CHT, p = 0.36. In patients with poor KPS (<=60) at relapse median
PPS was 9 weeks with BSC versus 21 weeks with any treatment, p = 0.014. In poor
KPS patients median PPS for local treatment was 14 weeks versus 21 weeks with
CHT, p = 0.88. An active therapeutic approach may be beneficial for selected
elderly and/or frail rGBM patients. Poor KPS patients may also benefit from
active treatment, but there is no benefit of local treatment over CHT.
PMID- 26542031
TI - Natural killer cell hyporesponsiveness and impaired development in a CD247
deficient patient.
PMID- 26542032
TI - Rigid substrate induces esophageal smooth muscle hypertrophy and eosinophilic
esophagitis fibrotic gene expression.
PMID- 26542034
TI - Allergic disease is associated with childhood seizures: An analysis of the 1997
2013 National Health Interview Survey.
PMID- 26542033
TI - Expansion of inflammatory innate lymphoid cells in patients with common variable
immune deficiency.
AB - BACKGROUND: Common variable immunodeficiency (CVID) is an antibody deficiency
treated with immunoglobulin; however, patients can have noninfectious
inflammatory conditions that lead to heightened morbidity and mortality.
OBJECTIVES: Modular analyses of RNA transcripts in whole blood previously
identified an upregulation of many interferon-responsive genes. In this study we
sought the cell populations leading to this signature. METHODS: Lymphoid cells
were measured in peripheral blood of 55 patients with CVID (31 with and 24
without inflammatory/autoimmune complications) by using mass cytometry and flow
cytometry. Surface markers, cytokines, and transcriptional characteristics of
sorted innate lymphoid cells (ILCs) were defined by using quantitative PCR.
Gastrointestinal and lung biopsy specimens of subjects with inflammatory disease
were stained to seek ILCs in tissues. RESULTS: The linage-negative, CD127(+),
CD161(+) lymphoid population containing T-box transcription factor, retinoic acid
related orphan receptor (ROR) gammat, IFN-gamma, IL-17A, and IL-22, all hallmarks
of type 3 innate lymphoid cells, were expanded in the blood of patients with CVID
with inflammatory conditions (mean, 3.7% of PBMCs). ILCs contained detectable
amounts of the transcription factors inhibitor of DNA binding 2, T-box
transcription factor, and RORgammat and increased mRNA transcripts for IL-23
receptor (IL-23R) and IL-26, demonstrating inflammatory potential. In
gastrointestinal and lung biopsy tissues of patients with CVID, numerous IFN
gamma(+)RORgammat(+)CD3(-) cells were identified, suggesting a role in these
mucosal inflammatory states. CONCLUSIONS: An expansion of this highly
inflammatory ILC population is a characteristic of patients with CVID with
inflammatory disease; ILCs and the interferon signature are markers for the
uncontrolled inflammatory state in these patients.
PMID- 26542035
TI - The Bacillus anthracis Exosporium: What's the Big "Hairy" Deal?
AB - In some Bacillus species, including Bacillus subtilis, the coat is the outermost
layer of the spore. In others, such as the Bacillus cereus family, there is an
additional layer that envelops the coat, called the exosporium. In the case of
Bacillus anthracis, a series of fine hair-like projections, also referred to as a
"hairy" nap, extends from the exosporium basal layer. The exact role of the
exosporium in B. anthracis, or for any of the Bacillus species possessing this
structure, remains unclear. However, it has been assumed that the exosporium
would play some role in infection for B. anthracis, because it is the outermost
structure of the spore and would make initial contact with host and immune cells
during infection. Therefore, the exosporium has been a topic of great interest,
and over the past decade much progress has been made to understand its
composition, biosynthesis, and potential roles. Several key aspects of this spore
structure, however, are still debated and remain undetermined. Although insights
have been gained on the interaction of exosporium with the host during infection,
the exact role and significance of this complex structure remain to be
determined. Furthermore, because the exosporium is a highly antigenic structure,
future strategies for the next-generation anthrax vaccine should pursue its
inclusion as a component to provide protection against the spore itself during
the initial stages of anthrax.
PMID- 26542037
TI - Infectious Risks of Air Travel.
AB - Infectious diseases are still among the leading causes of death worldwide due to
their persistence, emergence, and reemergence. As the recent Ebola virus disease
and MERS-CoV outbreaks demonstrate, the modern epidemics and large-scale
infectious outbreaks emerge and spread quickly. Air transportation is a major
vehicle for the rapid spread and dissemination of communicable diseases, and
there have been a number of reported outbreaks of serious airborne diseases
aboard commercial flights including tuberculosis, severe acute respiratory
syndrome, influenza, smallpox, and measles, to name a few. In 2014 alone, over
3.3 billion passengers (a number equivalent to 42% of the world population) and
50 million metric tons of cargo traveled by air from 41,000 airports and 50,000
routes worldwide, and significant growth is anticipated, with passenger numbers
expected to reach 5.9 billion by 2030. Given the increasing numbers of travelers,
the risk of infectious disease transmission during air travel is a significant
concern, and this chapter focuses on the current knowledge about transmission of
infectious diseases in the context of both transmissions within the aircraft
passenger cabin and commercial aircraft serving as vehicles of worldwide
infection spread.
PMID- 26542039
TI - Diseases Transmitted by Cats.
AB - Humans and cats have shared a close relationship since ancient times. Millions of
cats are kept as household pets, and 34% of households have cats. There are
numerous diseases that may be transmitted from cats to humans. General modes of
transmission, with some overlapping features, can occur through inhalation (e.g.,
bordetellosis); vector-borne spread (e.g., ehrlichiosis); fecal-oral route (e.g.,
campylobacteriosis); bite, scratch, or puncture (e.g., rabies); soil-borne spread
(e.g., histoplasmosis); and direct contact (e.g., scabies). It is also likely
that the domestic cat can potentially act as a reservoir for many other zoonoses
that are not yet recognized. The microbiology of cat bite wound infections in
humans is often polymicrobial with a broad mixture of aerobic (e.g., Pasteurella,
Streptococcus, Staphylococcus) and anaerobic (e.g., Fusobacterium, Porphyromonas,
Bacteroides) microorganisms. Bacteria recovered from infected cat bite wounds are
most often reflective of the oral flora of the cat, which can also be influenced
by the microbiome of their ingested prey and other foods. Bacteria may also
originate from the victim's own skin or the physical environment at the time of
injury.
PMID- 26542040
TI - Integrated Pathophysiology of Pyelonephritis.
AB - Pyelonephritis represents a subset of urinary tract infections that occur from
bacteria ascending from the lower to the upper reaches of the genitourinary
system, such as the kidney. The renal system contains a range of hydrodynamically
and immunologically challenging, interconnected microenvironments where the
invading pathogen may populate during the course of the infection. The situation
at the infection foci changes dynamically, vacillating between bacterial
colonization and clearance, to which the outcome is a summation of all host
pathogen elements in play. A selection of important determinants includes factors
of microbial origin, effects of eukaryotic cell signaling, physiological facets
of the infected organ, and signals from distal organs. Improved understanding of
the multifactorial aspects of molecular pathogenesis of infection requires
intravital, cross-disciplinary approaches with high spatio-temporal resolution.
The advancement of such approaches promises to eventually provide a comprehensive
understanding of the integrated pathophysiology of pyelonephritis.
PMID- 26542041
TI - Reservoirs of Extraintestinal Pathogenic Escherichia coli.
AB - Several potential reservoirs for the Escherichia coli strains that cause most
human extraintestinal infections (extraintestinal pathogenic E. coli; ExPEC) have
been identified, including the human intestinal tract and various non-human
reservoirs, such as companion animals, food animals, retail meat products,
sewage, and other environmental sources. Understanding ExPEC reservoirs, chains
of transmission, transmission dynamics, and epidemiologic associations will
assist greatly in finding ways to reduce the ExPEC-associated disease burden. The
need to clarify the ecological behavior of ExPEC is all the more urgent because
environmental reservoirs may contribute to acquisition of antimicrobial
resistance determinants and selection for and amplification of resistant ExPEC.
In this chapter, we review the evidence for different ExPEC reservoirs, with
particular attention to food and food animals, and discuss the public health
implications of these reservoirs for ExPEC dissemination and transmission.
PMID- 26542036
TI - Proteus mirabilis and Urinary Tract Infections.
AB - Proteus mirabilis is a Gram-negative bacterium and is well known for its ability
to robustly swarm across surfaces in a striking bulls'-eye pattern. Clinically,
this organism is most frequently a pathogen of the urinary tract, particularly in
patients undergoing long-term catheterization. This review covers P. mirabilis
with a focus on urinary tract infections (UTI), including disease models, vaccine
development efforts, and clinical perspectives. Flagella-mediated motility, both
swimming and swarming, is a central facet of this organism. The regulation of
this complex process and its contribution to virulence is discussed, along with
the type VI-secretion system-dependent intra-strain competition, which occurs
during swarming. P. mirabilis uses a diverse set of virulence factors to access
and colonize the host urinary tract, including urease and stone formation,
fimbriae and other adhesins, iron and zinc acquisition, proteases and toxins,
biofilm formation, and regulation of pathogenesis. While significant advances in
this field have been made, challenges remain to combatting complicated UTI and
deciphering P. mirabilis pathogenesis.
PMID- 26542042
TI - Urosepsis: Overview of the Diagnostic and Treatment Challenges.
AB - Urosepsis is defined as sepsis caused by an infection in the urogenital tract. In
approximately 30% of all septic patients the infectious focus is localized in the
urogenital tract, mainly due to obstructions at various levels, such as ureteral
stones. Urosepsis may also occur after operations in the urogenital tract. In
urosepsis, complete bacteria and components of the bacterial cell wall from the
urogenital tract trigger the host inflammatory event and act as exogenous
pyrogens on eukaryotic target cells of patients. A burst of second messenger
molecules leads to several different stages of the septic process, from
hyperactivity to immunosuppression. As pyelonephritis is the most frequent cause
for urosepsis, the kidney function is therefore most important in terms of cause
and as a target organ for dysfunction in the course of the sepsis.Since effective
antimicrobial therapy must be initiated early during sepsis, the empiric
intravenous therapy should be initiated immediately after microbiological
sampling. For the selection of appropriate antimicrobials, it is important to
know risk factors for resistant organisms and whether the sepsis is primary or
secondary and community or nosocomially acquired. In addition, the preceding
antimicrobial therapies should be recorded as precisely as possible. Resistance
surveillance should, in any case, be performed locally to adjust for the best
suitable empiric treatment. Treatment challenges arise from the rapid increase of
antibiotic resistance in Gram-negative bacteria, especially extended-spectrum
beta-lactamase (ESBL)-producing bacteria. Treatment of urosepsis comprises four
basic strategies I) supportive therapy (stabilizing and maintaining blood
pressure), II) antimicrobial therapy, III) control or elimination of the
complicating factor, and IV) specific sepsis therapy.
PMID- 26542043
TI - Origin and Dissemination of Antimicrobial Resistance among Uropathogenic
Escherichia coli.
AB - Antimicrobial agents of various types have important bearing on the outcomes of
microbial infections. These agents may be bacteriostatic or -cidal, exert their
impact via various means, originate from a living organism or a laboratory, and
appropriately be used in or on living tissue or not. Though the primary focus of
this chapter is on resistance to the antimicrobial agents used to treat
uropathogenic Escherichia coli (UPEC)-caused urinary tract infections (UTIs),
some attention will be given to UPEC's resistance to silver-containing
antiseptics, which may be incorporated into catheters to prevent foreign body
associated UTIs.
PMID- 26542044
TI - Infections Acquired in the Garden.
AB - Gardening is a wonderful pastime, and the garden is a very peaceful place to
enjoy one's vacation. However, the garden may be a treacherous place for very
young or compromised hosts when one takes into account the infectious potential
residing in the soil, as well as the insect vectors on plants and animals. Even
normal hosts may acquire a variety of infections from the soil, animals, or
animal-related insect bites. The location of the garden, its natural animal and
insect inhabitants, and the characteristics of the soil play a part in
determining its infectious potential. The most important factor making the garden
an infectious and dangerous place is the number and interaction of animals,
whether they are pets or wild, that temporarily use the garden for part of their
daily activities. The clinician should always ask about garden exposure, which
will help in eliminating the diagnostic possibilities for the patient. The
diagnostic approach is to use epidemiological principles in concert with clinical
clues, which together should suggest a reasonable list of diagnostic
possibilities. Organ involvement and specific laboratory tests help further
narrow the differential diagnosis and determine the specific tests necessary to
make a definitive diagnosis.
PMID- 26542045
TI - Sexually Transmitted Diseases and Travel: From Boudoir to Bordello.
AB - Travel has historically been an important risk factor for acquisition of sexually
transmitted infections (STIs). Travel is often associated with a sense of
adventure, periods of loneliness, and exploration away from one's home
environment-which often form a milieu in which sexual activity can occur with new
partners. Survey data clearly demonstrate that out-of-country travel is
associated with recruitment of new sex partners and increased STI risk. Pretravel
counseling to prevent STI risk is variable, and there is little evidence that it
modifies risk behavior. Some travel occurs specifically for sexual purposes, such
as the sexual tourism junkets to Southeast Asian destinations which became
popular during the 1980s or the more recent rise in the popularity of circuit
parties for men who have sex with men. Some travel situations pose particularly
high risks. For example, military deployments and assignments to work camps such
as those for oil extraction occur in the context of large groups of individuals
of reproductive age, often predominantly males, exposed to high levels of stress
in unfamiliar environments. Additionally, over the past decade, the Internet has
dramatically changed the ability to identify sexual partners while traveling.
PMID- 26542046
TI - Asymptomatic Bacteriuria and Bacterial Interference.
AB - Asymptomatic bacteriuria is very common. In healthy women, asymptomatic
bacteriuria increases with age, from <1% in newborns to 10% to 20% of women age
80 years, but is uncommon in men until after age 50 years. Individuals with
underlying genitourinary abnormalities, including indwelling devices, may also
have a high frequency of asymptomatic bacteriuria, irrespective of age or gender.
The prevalence is very high in residents of long-term-care facilities, from 25%
to 50% of women and 15% to 40% of men. Escherichia coli is the most frequent
organism isolated, but a wide variety of other organisms may occur. Bacteriuria
may be transient or persist for a prolonged period. Pregnant women with
asymptomatic bacteriuria identified in early pregnancy and who are untreated have
a risk of pyelonephritis later in pregnancy of 20% to 30%. Bacteremia is frequent
in bacteriuric subjects following mucosal trauma with bleeding, with 5% to 10% of
patients developing severe sepsis or septic shock. These two groups with clear
evidence of negative outcomes should be screened for bacteriuria and
appropriately treated. Asymptomatic bacteriuria in other populations is benign
and screening and treatment are not indicated. Antimicrobial treatment has no
benefits but is associated with negative outcomes including reinfection with
antimicrobial resistant organisms and a short-term increased frequency of
symptomatic infection post-treatment. The observation of increased symptomatic
infection post-treatment, however, has led to active investigation of bacterial
interference as a strategy to prevent symptomatic episodes in selected high risk
patients.
PMID- 26542047
TI - Infections Associated with Exotic Cuisine: The Dangers of Delicacies.
AB - "Exotic" food dishes are an expression of regional culture, religion, and
ethnicity worldwide. With the increase in international travel to remote areas of
the world, globalization of the food supply, and changes in food habits, more
people are consuming dishes once considered exotic. Such behavioral changes
require awareness by consumers and clinicians about the risks of food-borne
infections. This chapter addresses pathogens associated with consumption of raw
or undercooked seafood including anisakidosis, Diphyllobothrium latum infection,
flukes, and other infectious and toxin-mediated diseases. We discuss the
geographic distribution of the pathogens, symptomatology, and basic principles of
treatment. Food products derived from turtles, snakes, and other reptiles are
reviewed, and we address the risk of gnathostomiasis, sparganosis,
trichinellosis, and other pathogens. In discussing infections associated with
undercooked beef, pork, and bush meat, we address dysentery, amebiasis,
toxoplasmosis, Taenia infections, and risks of novel viral infections, among
others. We also review infectious risks from poultry, dairy, and other food
items, focusing on those organisms encountered less frequently by clinicians in
developed countries. The wide range of infectious organisms related to exotic
cuisine underscores the importance of educating the adventurous traveler and
warrants continued vigilance on the part of the clinician.
PMID- 26542038
TI - Structure, Function, and Assembly of Adhesive Organelles by Uropathogenic
Bacteria.
AB - Bacteria assemble a wide range of adhesive proteins, termed adhesins, to mediate
binding to receptors and colonization of surfaces. For pathogenic bacteria,
adhesins are critical for early stages of infection, allowing the bacteria to
initiate contact with host cells, colonize different tissues, and establish a
foothold within the host. The adhesins expressed by a pathogen are also critical
for bacterial-bacterial interactions and the formation of bacterial communities,
including biofilms. The ability to adhere to host tissues is particularly
important for bacteria that colonize sites such as the urinary tract, where the
flow of urine functions to maintain sterility by washing away non-adherent
pathogens. Adhesins vary from monomeric proteins that are directly anchored to
the bacterial surface to polymeric, hair-like fibers that extend out from the
cell surface. These latter fibers are termed pili or fimbriae, and were among the
first identified virulence factors of uropathogenic Escherichia coli. Studies
since then have identified a range of both pilus and non-pilus adhesins that
contribute to bacterial colonization of the urinary tract, and have revealed
molecular details of the structures, assembly pathways, and functions of these
adhesive organelles. In this review, we describe the different types of adhesins
expressed by both Gram-negative and Gram-positive uropathogens, what is known
about their structures, how they are assembled on the bacterial surface, and the
functions of specific adhesins in the pathogenesis of urinary tract infections.
PMID- 26542049
TI - Sports: The Infectious Hazards.
AB - Although the medical complications of sports are usually traumatic in nature,
infectious hazards also arise. While blood-borne pathogens such as HIV, hepatitis
B, and hepatitis C, cause significant illness, the risk of acquiring these agents
during sporting activities is minimal. Skin infections are more commonplace,
arising from a variety of microbial agents including bacterial, fungal, and viral
pathogens. Sports involving water contact can lead to enteric infections, eye
infections, or disseminated infections such as leptospirosis. Mumps, measles, and
influenza are vaccine-preventable diseases that have been transmitted during
sporting events, both in players and in spectators. Prevention is the key to many
of these infections. Players should be vaccinated and should not participate in
sports if their infection can be spread by contact, airborne, or droplet
transmission.
PMID- 26542051
TI - Improved Transversal Relaxivity for Highly Crystalline Nanoparticles of Pure
gamma-Fe2O3 Phase.
AB - Pure and highly crystalline gamma-Fe2O3 nanocrystals (NCs) are obtained when
hydrolysis and oxidation of a Fe(II) organometallic precursor are performed in
successive steps. Their synthesis in pure alkylamine leads to NCs of about 6 nm.
In aqueous solutions of poly(vinyl)pyrrolidone, such pristine NCs form aggregates
of about 150 nm that exhibit a high transversal relaxivity (r2 =466 mM(-1) s(-1))
about four times higher than that of a commercial Feridex magnetic resonance
imaging (MRI) contrast agent. Consequently, they provide a significant decrease
in the NMR signal at very short echo time (8 ms), which is of paramount
importance in clinical practice because of the reduced duration of MRI
measurements.
PMID- 26542048
TI - Post-Genomic Analysis of Members of the Family Vibrionaceae.
AB - Similar to other genera and species of bacteria, whole genomic sequencing has
revolutionized how we think about and address questions of basic Vibrio biology.
In this review we examined 36 completely sequenced and annotated members of the
Vibrionaceae family, encompassing 12 different species of the genera Vibrio,
Aliivibrio, and Photobacterium. We reconstructed the phylogenetic relationships
among representatives of this group of bacteria by using three housekeeping genes
and 16S rRNA sequences. With an evolutionary framework in place, we describe the
occurrence and distribution of primary and alternative sigma factors, global
regulators present in all bacteria. Among Vibrio we show that the number and
function of many of these sigma factors differs from species to species. We also
describe the role of the Vibrio-specific regulator ToxRS in fitness and survival.
Examination of the biochemical capabilities was and still is the foundation of
classifying and identifying new Vibrio species. Using comparative genomics, we
examine the distribution of carbon utilization patterns among Vibrio species as a
possible marker for understanding bacteria-host interactions. Finally, we discuss
the significant role that horizontal gene transfer, specifically, the
distribution and structure of integrons, has played in Vibrio evolution.
PMID- 26542052
TI - 40 yrs CPMAS & 25 yrs REDOR.
PMID- 26542050
TI - Obesity Impairs the Action of the Neuroendocrine Ghrelin System.
AB - Ghrelin is a metabolic hormone that promotes energy conservation by regulating
appetite and energy expenditure. Although some studies suggest that antagonizing
ghrelin function attenuates body weight gain and glucose intolerance on a high
calorie diet, there is little information about the metabolic actions of ghrelin
in the obese state. In this review, we discuss the novel concept of obesity
induced central ghrelin resistance in neural circuits regulating behavior, and
impaired ghrelin secretion from the stomach. Interestingly, weight loss restores
ghrelin secretion and function, and we hypothesize that ghrelin resistance is a
mechanism designed to protect a higher body weight set-point established during
times of food availability, to maximize energy reserves during a time of food
scarcity.
PMID- 26542053
TI - [History of conservative spinal therapy].
AB - Hippocrates was the first to intensively describe and document the principles for
the treatment of injuries and diseases of the spine. His principles for abrupt
treatment of the "hunchback" were followed by physicians even up to the end of
the nineteenth century. The non-operative treatment of scoliosis was improved in
the beginning of the sixteenth century by the introduction of mechanical devices
that started the development of corsets which are still in use in modern
scoliosis treatment. Stretching beds were only in temporary use. With the
beginning of the nineteenth century gymnastics and physiotherapy became more and
more important. Manual therapy was exercised by physicians until the late Middle
Ages. After a long period of time in which bonesetters and other laymen performed
manual therapy it was professionalized at the end of the nineteenth century again
by the introduction of osteopathy and chiropractic. In Germany the development
and introduction of manual treatment started relatively late in the twentieth
century, predominantly as manual medicine.
PMID- 26542054
TI - Effect of 22 Novel Cytochrome P450 2D6 (CYP2D6) Variants Found in the Chinese
Population on Hemangeol Metabolism In Vitro.
AB - BACKGROUND AND OBJECTIVES: Hemangeol, approved for the treatment of proliferative
infantile hemangiomas requiring systemic therapy, is metabolized by cytochrome
P450 2D6 (CYP2D6), which is a highly polymorphic enzyme that metabolizes a large
number of drugs. More than 100 CYP2D6 allelic variants have been reported so far,
including 22 novel variants that discovered in our lab in the Chinese population.
Our study aimed to probe the enzymatic activity of these variants toward
hemangeol in vitro with recombinant microsomes that expressed in sf21 insect
cells using a baculovirus-mediated expression system. METHODS: The wild-type
CYP2D6.1 and other variants (CYP2D6.2, CYP2D6.10 and 22 novel CYP2D6 variants)
were incubated with 1-200 MUM hemangeol for 50 min at 37 degrees C. Then the
products were extracted, and signal detection was performed by high-performance
liquid chromatography with fluorescence detector. RESULTS: All of the variants
exhibited changed apparent Michaelis-Menten constant (Km) or maximum velocity of
the reaction (V max) values compared with that of wild-type protein. The
intrinsic clearances (V max /Km) were significantly decreased by 0.37 to 42.74 %.
However, CYP2D6.92 and CYP2D6.96 showed no or minimal enzymatic activity as no
concentration of 4'-hydroxypropranolol was detected. CONCLUSIONS: The
comprehensive in vitro assessment of CYP2D6 variants provides significant
insights into allele-specific activity towards hemangeol in vivo.
PMID- 26542055
TI - Comparison of the predictive ability of albuminuria and dipstick proteinuria for
mortality in the Japanese population: the Yamagata (Takahata) study.
AB - BACKGROUND: Albuminuria and proteinuria are known risk factors for premature
death. This study compared the ability of albuminuria and proteinuria to predict
mortality in a community-based population. METHODS: We evaluated the urinary
albumin creatinine ratio (ACR) and proteinuria by dipstick at a baseline survey
and examined the association between the 7-year mortality and three categories
(albuminuria [ACR >= 30 mg/g], trace proteinuria, and >=[1+] proteinuria) in 3446
Japanese subjects at a local health check. RESULTS: Albuminuria, >=trace
proteinuria, and >=(1+) proteinuria were identified in 514 (14.9 %), 290 (8.4 %),
and 151 (4.4 %) subjects, respectively. There were 138 deaths during the follow
up period, including 41 cardiovascular deaths. A Kaplan-Meier analysis showed
that all-cause mortality significantly increased along with the increase in ACR
and proteinuria levels (log-rank P < 0.01). The mortality rate (deaths per 1000
person-year) was higher in subjects with albuminuria (12.8), >=trace proteinuria
(12.6), and >=(1+) proteinuria (16.2) than in all subjects (6.9). A Cox
proportional hazard model analysis showed that all three categories were
significant predictors of all-cause mortality in the unadjusted model, although
after adjustment for possible confounders, a significant association was observed
only with albuminuria. Albuminuria, but not proteinuria, was a significant
predictor of cardiovascular mortality in both the unadjusted and adjusted models.
CONCLUSION: Albuminuria had a high prevalence and was strongly associated with
mortality, as compared with proteinuria by dipstick, suggesting that albuminuria
might be a superior predictor of poor prognosis in the Japanese population.
PMID- 26542056
TI - Resident Memory T Cells as Surrogate Markers of the Efficacy of Cancer Vaccines.
AB - Cancer vaccine boost via the cervicovaginal rather than the intramuscular route
of immunization appears to be crucial to induce genital CD8(+) T cells and tumor
regression. This clinical activity is correlated with the ability of the mucosal
boost to elicit resident memory T cells in the genital tract.
PMID- 26542057
TI - DNA Topoisomerase I Gene Copy Number and mRNA Expression Assessed as Predictive
Biomarkers for Adjuvant Irinotecan in Stage II/III Colon Cancer.
AB - PURPOSE: Prospective-retrospective assessment of the TOP1 gene copy number and
TOP1 mRNA expression as predictive biomarkers for adjuvant irinotecan in stage
II/III colon cancer. EXPERIMENTAL DESIGN: Formalin-fixed, paraffin-embedded
tissue microarrays were obtained from an adjuvant colon cancer trial (PETACC3)
where patients were randomized to 5-fluorouracil/folinic acid with or without
additional irinotecan. TOP1 copy number status was analyzed by fluorescence in
situ hybridization (FISH) using a TOP1/CEN20 dual-probe combination. TOP1 mRNA
data were available from previous analyses. RESULTS: TOP1 FISH and follow-up data
were obtained from 534 patients. TOP1 gain was identified in 27% using a single
probe enumeration strategy (>=4 TOP1 signals per cell) and in 31% when defined by
a TOP1/CEN20 ratio >= 1.5. The effect of additional irinotecan was not dependent
on TOP1 FISH status.TOP1 mRNA data were available from 580 patients with stage
III disease. Benefit of irinotecan was restricted to patients characterized by
TOP1 mRNA expression >= third quartile (RFS: HRadjusted, 0.59;P= 0.09; OS:
HRadjusted, 0.44;P= 0.03). The treatment by TOP1 mRNA interaction was not
statistically significant, but in exploratory multivariable fractional polynomial
interaction analysis, increasing TOP1 mRNA values appeared to be associated with
increasing benefit of irinotecan. CONCLUSIONS: In contrast to the TOP1 copy
number, a trend was demonstrated for a predictive property of TOP1 mRNA
expression. On the basis of TOP1 mRNA, it might be possible to identify a
subgroup of patients where an irinotecan doublet is a clinically relevant option
in the adjuvant setting of colon cancer.
PMID- 26542058
TI - ERRalpha Is a Marker of Tamoxifen Response and Survival in Triple-Negative Breast
Cancer.
AB - PURPOSE: Estrogen-related receptor alpha (ERRalpha) signaling has recently been
implicated in breast cancer. We investigated the clinical value of ERRalpha in
randomized cohorts of tamoxifen-treated and adjuvant-untreated patients.
EXPERIMENTAL DESIGN: Cox proportional hazards regression was used to evaluate the
significance of associations between ERRalpha gene expression levels and patient
DMFS in a previously published microarray dataset representing 2,000 breast tumor
cases derived from multiple medical centers worldwide. The 912 tumors used for
immunostaining were from a tamoxifen-randomized primary breast cancer trial
conducted in Stockholm, Sweden, during 1976-1990. Mouse model was used to study
the effect of tamoxifen treatment on lung colonization of MDA-MB-231 control
cells and MDA-MB-231 cells with stable knockdown of ERRalpha. The phenotypic
effects associated with ERRalpha modulation were studied using immunoblotting
analyses and wound-healing assay. RESULTS: We found that in ER-negative and
triple-negative breast cancer (TNBC) adjuvant-untreated patients, ERRalpha
expression indicated worse prognosis and correlated with poor outcome predictors.
However, in tamoxifen-treated patients, an improved outcome was observed with
high ERRalpha gene and protein expression. Reduced ERRalpha expression was
oncogenic in the presence of tamoxifen, measured by in vitro proliferation and
migration assays and in vivo metastasis studies. CONCLUSIONS: Taken together,
these data show that ERRalpha expression predicts response to tamoxifen
treatment, and ERRalpha could be a biomarker of tamoxifen sensitivity and a
prognostic factor in TNBC.
PMID- 26542060
TI - The clinical benefits, ethics, and economics of stratified medicine and companion
diagnostics.
AB - The stratified medicine companion diagnostic (CDx) cut-off decision integrates
scientific, clinical, ethical, and commercial considerations, and determines its
value to developers, providers, payers, and patients. Competition already
sharpens these issues in oncology, and might soon do the same for emerging
stratified medicines in autoimmune, cardiovascular, neurodegenerative,
respiratory, and other conditions. Of 53 oncology targets with a launched
therapeutic, 44 have competing therapeutics. Only 12 of 141 Phase III candidates
addressing new targets face no competition. CDx choices might alter competitive
positions and reimbursement. Under current diagnostic incentives, payers see
novel stratified medicines that improve public health and increase costs, but do
not observe companion diagnostics for legacy treatments that would reduce costs.
It would be in the interests of payers to rediscover their heritage of direct
investment in diagnostic development.
PMID- 26542059
TI - Protecting Newborns Against Pertussis: Treatment and Prevention Strategies.
AB - Pertussis is a potentially severe respiratory disease, which affects all age
groups from young infants to older adults and is responsible for an estimated
195,000 deaths occurred globally in 2008. Active research is ongoing to better
understand the pathogenesis, immunology, and diagnosis of pertussis. For
diagnosis, molecular assays (e.g., polymerase chain reaction) for detection of
Bordetella pertussis have become more widely available and support improved
outbreak detection. In children, pertussis vaccines have been incorporated into
routine immunization schedules and deployed for pertussis outbreak control. Lower
levels of vaccine coverage are now being observed in communities where vaccine
hesitancy is rising. Additionally, recognition that newborn babies are at risk of
pertussis in the USA and UK has led to recommendations to immunize pregnant
women. Among adolescents and older adults in the USA, Tetanus Toxoid, Reduced
Diphtheria Toxoid and Acellular pertussis (Tdap) Vaccines are recommended, but
substantial individual- and system-level barriers exist that will make achieving
national Healthy People 2020 targets for immunization challenging. Current
antimicrobial regimens for pertussis are focused on reducing the severity of
disease, reducing rates of sequelae, and minimizing transmission of infection to
susceptible individuals. Continued surveillance for pertussis will be important
to identify opportunities for reducing young infants' exposure and reducing the
impact of outbreaks among school-aged children. Laboratory-based surveillance for
newly emerging strains of B. pertussis will be important to identify strains that
may evade protection elicited by currently available vaccines. Efforts to develop
new-generation pertussis vaccines should be considered now in anticipation of
vaccine development programs, which may require ten or more years to deliver a
licensed vaccine.
PMID- 26542062
TI - Managing Cognitive Load to Uncover an Unusual Cause of Syncope: Exercises in
Clinical Reasoning.
PMID- 26542061
TI - Persistence of Bronchial Dysplasia Is Associated with Development of Invasive
Squamous Cell Carcinoma.
AB - Bronchial dysplasia (BD), a presumed precursor of pulmonary squamous cell
carcinoma (SCC), rarely progresses to invasive cancer. A high-risk cohort at the
University of Colorado provided an opportunity to directly sample airway
epithelium at mapped sites on successive bronchoscopies. We have hypothesized
that persistent dysplastic lesions showing a similar or higher level of dysplasia
on follow-up biopsy, are associated with increased risk for the development of
SCC. Endoscopic biopsies from 188 high-risk subjects were histologically
classified according to the current WHO classification for BD using a numeric
histology score ranging from 1 to 8 representing normal bronchial mucosa through
invasive lung cancer. Differences in follow-up histology scores were compared
between sites classified by clinical, histologic, and immunohistochemical
variables. Subjects with a higher frequency of sites that persist or progress to
high-grade dysplasia (>=37.5% persist/progress, N = 35 versus <37.5%
persist/progress, N = 114) show a significant association with development of
incident invasive SCC (adjusted HR, 7.84; 95% confidence interval, 1.56-39.39),
and those with incident lung SCC have adjusted mean follow-up histology scores
1.55 U higher than in subjects without lung cancer. Current smoking, elevated
Ki67 growth fraction, histologic features of angiogenic squamous dysplasia (ASD)
and higher histology score in baseline biopsies are significantly associated with
increased follow-up histology scores. These results show that persistent BD is
associated with the development of invasive SCC. Furthermore, increased
expression of Ki67, the presence of angiogenic change and degree of baseline
atypia are associated with persistence of BD.
PMID- 26542063
TI - Capsule Commentary on Fortuna et al., Health Conditions and Functional Status in
Adults with Autism: A Cross Sectional Evaluation.
PMID- 26542064
TI - Detection of human papillomavirus in laryngeal squamous cell carcinoma:
Systematic review and meta-analysis.
AB - BACKGROUND: Recent studies have reported a human papillomavirus (HPV) prevalence
of 20% to 30% in laryngeal squamous cell carcinoma (LSCC), although clinical data
on HPV involvement remain largely inconsistent, ascribed by some to differences
in HPV detection methods or in geographic origin of the studies. OBJECTIVE: To
perform a systematic review and formal meta-analysis of the literature reporting
on HPV detection in LSCC. METHODS: Literature was searched from January 1964
until March 2015. The effect size was calculated as event rates (95% confidence
interval [CI]), with homogeneity testing using Cochran's Q and I(2) statistics.
Meta-regression was used to test the impact of study-level covariates (HPV
detection method, geographic origin) on effect size. Potential publication bias
was estimated using funnel plot symmetry. RESULTS: One hundred seventy nine
studies were eligible, comprising a sample size of 7,347 LSCCs from different
geographic regions. Altogether, 1,830 (25%) cases tested HPV-positive considering
all methods, with effect size of 0.269 (95% CI: 0.242 to 0.297; random-effects
model). In meta-analysis stratified by the 1) HPV detection technique and 2)
geographic study origin, the between-study heterogeneity was significant only for
geographic origin (P = .0001). In meta-regression, the HPV detection method (P =
.876) or geographic origin (P = .234) were not significant study-level
covariates. Some evidence for publication bias was found only for studies from
North America and those using non-polymerase chain reaction methods, with a
marginal effect on adjusted point estimates for both. CONCLUSIONS: Variability in
HPV detection rates in LSCC is explained by geographic origin of study but not by
HPV detection method. However, they were not significant study-level covariates
in formal meta-regression. LEVEL OF EVIDENCE: NA.
PMID- 26542065
TI - Increasing the interval between neoadjuvant chemoradiotherapy and surgery in
esophageal cancer: a meta-analysis of published studies.
AB - The aim of this meta-analysis was to clarify whether a longer interval between
the end of neoadjuvant chemoradiotherapy (nCRT) and surgery is associated with
better outcomes in esophageal cancer. nCRT followed by surgery is the most common
approach for patients with resectable esophageal cancer. Operations are performed
within 2-8 weeks after nCRT; however, the optimal interval between nCRT and
surgery for esophageal cancer is unknown. We performed a systematic literature
search in MEDLINE, EMBASE, the Cochrane Central Register of Controlled Trials,
and the Clinical Trials database for studies published between January 2000 and
December 2014. Eligible studies were prospective or retrospective studies of
esophageal cancer that assessed the effects of intervals longer or shorter than 7
8 weeks between the end of nCRT and surgery. The primary end-points were the
overall survival (OS) and pathologic complete response (pCR). Secondary end
points were anastomotic leak, R0 resection, and postoperative mortality rate. A
meta-analysis was performed to estimate odds ratios (ORs) using fixed-effect and
random-effect models, with Review Manager 5.2. The five studies that met the
eligibility requirements included 1,016 patients: 520 in the shorter interval
group (<=7-8 weeks) and 496 in the longer interval group (>7-8 weeks). The
results of our meta-analysis indicate that a longer interval between nCRT and
surgery may be disadvantageous for 2-year OS (OR = 1.40, 95% confidence interval
[CI]: 1.09-1.80, P = 0.010) and R0 resection rate (OR = 1.71, 95% CI: 1.14-2.22,
P = 0.009). The pCR, anastomotic leak rate, and postoperative morbidity were
similar in the two groups. A longer interval (more than the standard 7-8 weeks)
from the end of preoperative nCRT to surgery did not increase the rate of pCR in
esophageal cancer, and the different intervals had similar effects on anastomotic
leak rate and postoperative mortality rates. However, the longer interval between
nCRT and surgery may be disadvantageous for long-term OS. These results should be
validated prospectively in a randomized trial.
PMID- 26542066
TI - Investigation of activating and inhibitory killer cell immunoglobulin-like
receptors and their putative ligands in type 1 diabetes (T1D).
AB - Genetic and environmental factors play important roles in predisposing an
individual to the development of type 1 diabetes (T1D). Several studies have
investigated the role of killer cell immunoglobulin-like receptors (KIRs) and
their HLA-class I ligands in susceptibility to T1D development, but only some of
these studies have demonstrated an association. KIRs and their corresponding HLA
class I ligands were investigated in Saudi patients with T1D compared with
healthy controls. No significant differences in KIR gene distribution were
observed between T1D patients and healthy controls. However, the homozygous C1/C1
ligand was considered a risk factor in predisposing individuals to T1D, whereas
C2/C2 and HLA-Bw4 were considered protective factors against T1D. KIR2DL2/2DS2
C1C1 and KIR2DL3-C1C1 were significantly associated with T1D, and KIR2DS1-C2C2
and KIR2DL1-C2C2 were significantly less frequent in T1D patients. Stratification
of KIR-HLA class I ligands in terms of the absence/presence of specific genotypes
has different indications for susceptibility to T1D.
PMID- 26542067
TI - Significant association of the KIR2DL3/HLA-C1 genotype with susceptibility to
Crohn's disease.
AB - We aimed to analyze the possible association of KIR/HLA-C genotypes with the
susceptibility to Crohn's disease (CD) in a Spanish population. A total of 125
patients with CD and 339 healthy controls were selected for this study. KIR and
HLA-C typing were developed by sequence-specific oligonucleotide probing. We
found that the centromeric A/A genotype and HLA-C1 combination was significantly
increased in CD patients (P<10(-3)). The KIR2DL3/2DL3 genotype was significantly
increased in CD patients (P<0.0005). Moreover, we also observed a highly
significant increase of KIR2DL3-HLA-C1 homozygosis in CD patients (P<0.0005). Our
results confirm the relevance of the KIR2DL2/KIR2DL3 genes and their interaction
with HLA-C to CD. We show that the contribution of the KIR genes to CD
susceptibility extends beyond the association with individual KIRs, with an
imbalance between activating and inhibitory KIR genes seeming to influence the
susceptibility to CD.
PMID- 26542068
TI - Daclatasvir-containing all-oral regimens for the treatment of hepatitis C virus
infection.
AB - The treatment of chronic hepatitis C is revolutionizing rapidly. The aim of this
study is to review the efficacy and safety of daclatasvir (DCV)-containing all
oral regimens in clinical studies for chronic hepatitis C treatment. Using PubMed
and search terms of 'DCV,' 'hepatitis C virus (HCV) treatment,' and 'HCV NS5A
inhibitors,' literature on the clinical development of DCV, as well as abstracts
presented at the April 2015 annual meeting of the European Association for the
Study of the Liver (EASL) and November 2014 annual meeting of the American
Association for the Study of Liver Diseases were reviewed. The final search was
undertaken on 14 July 2015. With its potent antiviral activity to all HCV
genotypes (GT) demonstrated in preclinical, phases 1-3 studies, DCV has been
acting as a very competent team player in clinical trials of all-oral regimens.
It is generally safe and well tolerated with a low genetic barrier to resistance
and low potential for drug-drug interaction. Administered with a non-structural
protein 3 (NS3) protease inhibitor (asunaprevir, ASV) with or without a non
nucleoside NS5B polymerase inhibitor (beclabuvir, BCV), or a nucleotide NS5B
polymerase inhibitor (sofosbuvir, SOF), DCV is able to achieve greater than a 90
% HCV eradication rate in both treatment-naive and treatment-experienced patients
with GT 1. A triple combination regimen with DCV/ASV/BCV results in 100%
sustained virologic response (SVR) rates in HCV GT 4 treatment-naive subjects.
DCV/SOF combination also had demonstrated up to 90-% SVR rates in GT 3-infected
non-cirrhotic patients. The efficacy and safety of DCV-containing all-oral
regimens highlight a new era of interferon-free therapy for chronic hepatitis C.
PMID- 26542069
TI - Genetic causal beliefs about obesity, self-efficacy for weight control, and
obesity-related behaviours in a middle-aged female cohort.
AB - OBJECTIVE: Obesity is a heritable condition with well-established risk-reducing
behaviours. Studies have shown that beliefs about the causes of obesity are
associated with diet and exercise behaviour. Identifying mechanisms linking
causal beliefs and behaviours is important for obesity prevention and control.
DESIGN: Cross-sectional multi-level regression analyses of self-efficacy for
weight control as a possible mediator of obesity attributions (diet, physical
activity, genetic) and preventive behaviours in 487 non-Hispanic White women from
South King County, Washington. MAIN OUTCOME MEASURES: Self-reported daily fruit
and vegetable intake and weekly leisure-time physical activity. RESULTS: Diet
causal beliefs were positively associated with fruit and vegetable intake, with
self-efficacy for weight control partially accounting for this association. Self
efficacy for weight control also indirectly linked physical activity attributions
and physical activity behaviour. Relationships between genetic causal beliefs,
self-efficacy for weight control, and obesity-related behaviours differed by
obesity status. Self-efficacy for weight control contributed to negative
associations between genetic causal attributions and obesity-related behaviours
in non-obese, but not obese, women. CONCLUSION: Self-efficacy is an important
construct to include in studies of genetic causal beliefs and behavioural self
regulation. Theoretical and longitudinal work is needed to clarify the causal
nature of these relationships and other mediating and moderating factors.
PMID- 26542070
TI - Can cemented dual-mobility cups be used without a reinforcement device in cases
of mild acetabular bone stock alteration in total hip arthroplasty?
AB - INTRODUCTION: Cemented versions of dual-mobility cups (DMCs), helpful in cases of
bone stock alteration, are usually used in association with a reinforcement
device. To simplify the intervention in elderly subjects or those with a poor
bone stock, the cups can be cemented directly into the bone, but the long-term
result remains uncertain. We conducted a retrospective study in this population
so as to: (1) assess whether cemented fixation of a DMC without a reinforcement
device leads to a higher loosening rate, (2) confirm its efficacy in preventing
dislocations in subjects at high risk of instability, and (3) measure the
functional results. HYPOTHESIS: Cemented fixation of a DMC is reliable in cases
of moderate alteration of bone stock. MATERIAL AND METHODS: Sixty-four patients
(66 hips) undergoing implantation of a cemented DMC (SaturneTM) without a
reinforcement device were included in this single-center retrospective study.
Their mean age was 79.8 years (range, 40-95 years). The indications varied: hip
osteoarthritis (30.3%), prosthesis revision (44.0%), and trauma (25.8%). The
patients were evaluated radiologically and clinically at follow-up. The main
evaluation criterion was the revision rate for aseptic loosening. Dislocations,
the infection rate, and the Postel Merle d'Aubigne (PMA) score were noted.
RESULTS: At the mean follow-up of 4.2 years, three (4.6%) patients had been lost
to follow-up and 22 (33.3%) had died. There was one case of aseptic loosening
(1.5%). Cup survival was 98% at 5 years (95%CI [94-100]). There were no
dislocations. There was one revision for infection. The mean PMA score was 15.5
(range, 9-18). DISCUSSION: The frequency of acetabular loosening was comparable
to the frequency in cemented DMCs with a reinforcement device. A cemented DMC
without a reinforcement device is possible and is a simple and viable option when
there is moderate bone stock alteration. LEVEL OF EVIDENCE: IV, retrospective
cohort study.
PMID- 26542072
TI - Fear of Unemployment and its Effect on the Mental Health of Spouses.
AB - Unemployment has been shown to have adverse effects on different aspects of a
person's life, and even the fear of losing a job affects individuals negatively.
In addition, not only the individuals directly affected but also their spouses
and other family members might be affected. Using data from the German Socio
economic Panel, this study analyzes the relationship between individual job
worries and spouse's mental well-being. The empirical results remain robust to
different specifications and indicate that fear of job loss is negatively related
to spouses' mental well-being and that this relationship seems to be stronger in
single-income than in dual-income households. Copyright (c) 2015 John Wiley &
Sons, Ltd.
PMID- 26542071
TI - Pachychoroid neovasculopathy and age-related macular degeneration.
AB - Pachychoroid neovasculopathy is a recently proposed clinical entity of choroidal
neovascularization (CNV). As it often masquerades as neovascular age-related
macular degeneration (AMD), it is currently controversial whether pachychoroid
neovasculopathy should be distinguished from neovascular AMD. This is because its
characteristics have yet to be well described. To estimate the relative
prevalence of pachychoroid neovasculopathy in comparison with neovascular AMD and
to investigate the phenotypic/genetic differences of the two diseases, we
evaluated 200 consecutive Japanese patients who agreed to participate in the
genetic study and diagnosed with pachychoroid neovasculopathy or neovascular AMD.
Pachychoroid neovasculopathy was observed in 39 individuals (19.5%), which
corresponds to one fourth of neovascular AMD. Patients with pachychoroid
neovasculopathy were significantly younger (p = 5.1 * 10(-5)) and showed a
greater subfoveal choroidal thickness (p = 3.4 * 10(-14)). Their genetic
susceptibility to AMD was significantly lower than that of neovascular AMD; ARMS2
rs10490924 (p = 0.029), CFH rs800292 (p = 0.013) and genetic risk score
calculated from 11 AMD susceptibility genes (p = 3.8 * 10(-3)). Current results
implicate that the etiologies of the two conditions must be different. Thus, it
will be necessary to distinguish these two conditions in future studies.
PMID- 26542073
TI - A minimalistic microbial food web in an excavated deep subsurface clay rock.
AB - Clay rocks are being considered for radioactive waste disposal, but relatively
little is known about the impact of microbes on the long-term safety of
geological repositories. Thus, a more complete understanding of microbial
community structure and function in these environments would provide further
detail for the evaluation of the safety of geological disposal of radioactive
waste in clay rocks. It would also provide a unique glimpse into a poorly studied
deep subsurface microbial ecosystem. Previous studies concluded that
microorganisms were present in pristine Opalinus Clay, but inactive. In this
work, we describe the microbial community and assess the metabolic activities
taking place within borehole water. Metagenomic sequencing and genome-binning of
a porewater sample containing suspended clay particles revealed a remarkably
simple heterotrophic microbial community, fueled by sedimentary organic carbon,
mainly composed of two organisms: a Pseudomonas sp. fermenting bacterium growing
on organic macromolecules and releasing organic acids and H2, and a sulfate
reducing Peptococcaceae able to oxidize organic molecules to CO(2). In Opalinus
Clay, this microbial system likely thrives where pore space allows it. In a
repository, this may occur where the clay rock has been locally damaged by
excavation or in engineered backfills.
PMID- 26542074
TI - Temporal dynamics of the metabolically active rumen bacteria colonizing fresh
perennial ryegrass.
AB - This study investigated successional colonization of fresh perennial ryegrass
(PRG) by the rumen microbiota over time. Fresh PRG was incubated in sacco in the
rumens of three Holstein * Friesian cows over a period of 8 h, with samples
recovered at various times. The diversity of attached bacteria was assessed using
454 pyrosequencing of 16S rRNA (cDNA). Results showed that plant epiphytic
communities either decreased to low relative abundances or disappeared following
rumen incubation, and that temporal colonization of the PRG by the rumen bacteria
was biphasic with primary (1 and 2 h) and secondary (4-8 h) events evident with
the transition period being with 2-4 h. A decrease in sequence reads pertaining
to Succinivibrio spp. and increases in Pseudobutyrivibrio, Roseburia and
Ruminococcus spp. (the latter all order Clostridiales) were evident during
secondary colonization. Irrespective of temporal changes, the continually high
abundances of Butyrivibrio, Fibrobacter, Olsenella and Prevotella suggest that
they play a major role in the degradation of the plant. It is clear that a
temporal understanding of the functional roles of these microbiota within the
rumen is now required to unravel the role of these bacteria in the ruminal
degradation of fresh PRG.
PMID- 26542076
TI - Evaluation of the bacterial microbiome of two flea species using different DNA
isolation techniques provides insights into flea host ecology.
AB - Fleas (Siphonaptera) are ubiquitous blood-sucking pests of animals worldwide and
are vectors of zoonotic bacteria such as Rickettsia and Bartonella. We performed
Ion Torrent PGM amplicon sequencing for the bacterial 16S rRNA gene to compare
the microbiome of the ubiquitous cat flea (Ctenocephalides f. felis) and the host
specific echidna stickfast flea (Echidnophaga a. ambulans) and evaluated
potential bias produced during common genomic DNA-isolation methods. We
demonstrated significant differences in the bacterial community diversity between
the two flea species but not between protocols combining surface sterilisation
with whole flea homogenisation or exoskeleton retention. Both flea species were
dominated by obligate intracellular endosymbiont Wolbachia, and the echidna
stickfast fleas possessed the endosymbiont Cardinium. Cat fleas that were not
surface sterilised showed presence of Candidatus 'Rickettsia senegalensis' DNA,
the first report of its presence in Australia. In the case of Rickettsia, we show
that sequencing depth of 50 000 was required for comparable sensitivity with
Rickettsia qPCR. Low-abundance bacterial genera are suggested to reflect host
ecology. The deep-sequencing approach demonstrates feasibility of pathogen
detection with simultaneous quantitative analysis and evaluation of the inter
relationship of microbes within vectors.
PMID- 26542075
TI - What is living on your dog's skin? Characterization of the canine cutaneous
mycobiota and fungal dysbiosis in canine allergic dermatitis.
AB - To characterize the skin-associated fungal microbiota (mycobiota) in dogs, and to
evaluate the influence of body site, individual dog or health status on the
distribution of fungi, next-generation sequencing was performed targeting the
internal transcribed spacer region. A total of 10 dogs with no history of skin
disease were sampled at 10 distinct body sites consisting of haired and mucosal
skin, and 8 dogs with diagnosed skin allergies were sampled at six body sites
commonly affected by allergic disease. Analysis of similarities revealed that
body site was not an influencing factor on membership or structure of fungal
communities in healthy skin; however, the mucosal sites were significantly
reduced in fungal richness. The mycobiota from body sites in healthy dogs tended
to be similar within a dog, which was visualized in principle coordinates
analysis (PCoA) by clustering of all sites from one dog separate from other dogs.
The mycobiota of allergic skin was significantly less rich than that of healthy
skin, and all sites sampled clustered by health status in PCoA. Interestingly,
the most abundant fungi present on canine skin, across all body sites and health
statuses, were Alternaria and Cladosporium--two of the most common fungal
allergens in human environmental allergies.
PMID- 26542077
TI - An infant with MLH3 variants, FOXG1-duplication and multiple, benign cranial and
spinal tumors: A clinical exome sequencing study.
AB - A 4-month-old male infant presented with severe developmental delay, cerebellar,
brainstem, and cutaneous hemangiomas, bilateral tumors (vestibular, hypoglossal,
cervical, and lumbar spinal), and few cafe-au-lait macules. Cerebellar and lumbar
tumor biopsies revealed venous telangiectasia and intraneural perineuroma,
respectively. Sequencing NF1, NF2, and RASA1 (blood), and NF2 and SMARCB1 (lumbar
biopsy) was negative for pathogenic mutations. Clinical exome sequencing (CES),
requested for tumor syndrome diagnosis, revealed two heterozygous missense
variants, c.359T>C;p.Phe120Ser and c.3344G>A;p.Arg1115Gln, in MLH3
(NM_001040108.1), a DNA mismatch repair (MMR) gene, Polyphen-predicted as
probably damaging, and benign, respectively. Sanger sequencing confirmed both
variants in the proband, and their absence in the mother; biological father
unavailable. Both biopsied tissues were negative for microsatellite instability,
and expressed MLH1, MSH2, PMS2, MSH6, and MLH3 immunohistochemically. Chromosomal
microarray showed a 133 kb segment copy number duplication of 14q12 region
encompassing FOXG1, possibly explaining the developmental delay, but not the
tumors. The presence of MLH3 variants with multiple benign neural and vascular
tumors was intriguing for their possible role in the pathogenesis of these
neoplasms, which were suspicious for, but not diagnostic of, constitutional MMR
deficiency. However, functional assays of non-neoplastic patient-derived cells
showed intact base-base MMR function. Also, no previous FOXG1-aberrant patient
was reported with tumors. We now report a 3-year-old FOXG1-duplicated patient
with a yet undescribed tumor syndrome with clinical features of neurofibromatosis
types I and II, where several validation studies could not ascertain the
significance of CES findings; further studies may elucidate precise mechanisms
and diagnosis for clinical management, including tumor surveillance.
PMID- 26542078
TI - Exercise-related transient abdominal pain secondary to median arcuate ligament
syndrome: a case report.
AB - Exercise-related transient abdominal pain is a common entity in young athletes.
An uncommon aetiology of this type of pain is median arcuate ligament syndrome.
This article details an 18-year-old field hockey player who presented with a 1
year history of exercise-related transient abdominal pain. Despite a trial of
preventative strategies, the patient's pain persisted, prompting surgical
intervention. Following a laparoscopic median arcuate ligament release, the
patient's symptoms resolved. Therefore, when exercise-related transient abdominal
pain persists despite precautionary measures, median arcuate ligament syndrome
should be considered.
PMID- 26542079
TI - Toward a cure for HIV--Seeking effective therapeutic vaccine strategies.
AB - This review article focuses on the rationale and evaluation of therapeutic
vaccines against HIV. This strategy has been developed in order to restore or
restimulate HIV-specific immunity in patients treated with antiretroviral
therapies. Despite the lack of good candidate vaccines against HIV, two
objectives have been targeted during the past 15 years. Therapeutic immunization
was first proposed to help control virus relapses during treatment interruptions.
More recently, the concept of therapeutic immunization has been boosted by
efforts to reach HIV remission or cure, in combination to HIV reactivating
agents, to help purge HIV reservoirs in a "shock and kill" strategy. This review
analyses the rationales for these strategies and the results of the most widely
therapeutic vaccines designed to generate T-cell immunity, i.e. recombinant viral
vectors and dendritic cell-based strategies, while extremely few strategies
targeted HIV-specific Abs. Only marginal control of HIV was obtained with
cellular-based strategies, suggesting that approaches targeting or using broadly
neutralizing Abs, should be of benefit for future efforts of therapeutic
immunization against HIV in the quest toward a cure for HIV.
PMID- 26542080
TI - The human rs1050286 polymorphism alters LOX-1 expression through modifying miR-24
binding.
AB - The up-regulation of lectin-like oxidized low-density lipoprotein receptor-1 (LOX
1), encoded by the OLR1 gene, plays a fundamental role in the pathogenesis of
atherosclerosis. Moreover, OLR1 polymorphisms were associated with increased
susceptibility to acute myocardial infarction (AMI) and coronary artery diseases
(CAD). In these pathologies, the identification of therapeutic approaches that
can inhibit or reduce LOX-1 overexpression is crucial. Predictive analysis showed
a putative hsa-miR-24 binding site in the 3'UTR of OLR1, 'naturally' mutated by
the presence of the rs1050286 single nucleotide polymorphism (SNP). Luciferase
assays revealed that miR-24 targets OLR1 3'UTR-G, but not 3'UTR-A (P < 0.0005).
The functional relevance of miR-24 in regulating the expression of OLR1 was
established by overexpressing miR-24 in human cell lines heterozygous (A/G, HeLa)
and homozygous (A/A, HepG2) for rs1050286 SNP. Accordingly, HeLa (A/G), but not
HepG2 (A/A), showed a significant down-regulation of OLR1 both at RNA and protein
level. Our results indicate that rs1050286 SNP significantly affects miR-24
binding affinity to the 3'UTR of OLR1, causing a more efficient post
transcriptional gene repression in the presence of the G allele. On this basis,
we considered that OLR1 rs1050286 SNP may contribute to modify OLR1
susceptibility to AMI and CAD, so ORL1 SNPs screening could help to stratify
patients risk.
PMID- 26542081
TI - Proximal segmentation of the dorsal mesogastrium reveals new anatomical
implications for laparoscopic surgery.
AB - Generally, the gold standard of radical surgery for gastrointestinal (GI) tumors
is en bloc resection of primary lesions and their related tissues. For gastric
cancer, the ideal surgical treatment should be D2 radical gastrectomy plus
complete mesogastrium excision. Complete mesogastrium excision is rarely done or
mentioned since little is known about the mesogastrium and its presence is still
with controversy. Topographically, the "mesogastrium" refers to a peri-gastric
structure composed of "fascia propria", enveloping lymph nodes, blood vessels and
adipose tissues, which by connecting to the stomach, suspends from the posterior
abdominal wall. In this study, by employing video laparoscopy, a number of
proximal segments of dorsal mesogastrium were found being extensively scattered
around the pancreas. The structure of the mesogastrium was further identified
intraoperatively and then confirmed both grossly and histologically after the
operation. Our results demonstrated the existence of mesogastrium (gastric
mesentery) and its architecture. We suggest for the first time a "Table model" to
describe the relationship between the stomach and gastric mesenteries enveloped
by fascia propria, which might provide an improvement in the surgical methods for
excision of gastric cancer.
PMID- 26542083
TI - Satyrization and satyrization-resistance in competitive displacements of invasive
mosquito species.
AB - Competitive displacements or reductions of resident populations of insects, often
effected by a related species, may be caused by a variety of mechanisms.
Satyrization is a form of mating interference in which males of one species mate
with females of another species, significantly decreasing their fitness and not
generating hybrids. Satyrization has been established to be the probable cause of
competitive displacements of resident mosquitoes by invasive species, especially
of Aedes aegypti by Aedes albopictus, two important vectors of dengue and
chikungunya viruses. Mathematical models predict that even low levels of
asymmetric mating interference are capable of producing competitive displacements
or reductions. Couplings of virgin Ae. aegypti females with Ae. albopictus males
effectively sterilize these females through the monogamizing actions of male
accessory gland products, but the converse interspecific mating does not impact
the future reproduction of Ae. albopictus females. Populations of Ae. aegypti
exposed to satyrization quickly evolve resistance to interspecific mating, which
is believed to ameliorate reproductive interference from, and promote co
existence with, Ae. albopictus. The evolution of satyrization resistance among
Ae. aegypti in laboratory cages is accompanied by fitness costs, such as reduced
fecundity and slower receptivity to conspecific males. Cage experiments and field
observations indicate that Ae. albopictus males are capable of satyrizing females
of other species of the Stegomyia subgenus, potentially leading to competitive
displacements, and possible extinctions, especially of endemic species on
islands. Examination of other examples of reproductive interference in insects
reveals few parallels to the mechanism and outcomes of satyrization by Ae.
albopictus. We conclude by posing the hypothesis that satyrization may favor the
ecological success of Ae. albopictus, and suggest many lines for future research
on this phenomenon.
PMID- 26542082
TI - Vagus Nerve Stimulation During Rehabilitative Training Improves Forelimb Recovery
After Chronic Ischemic Stroke in Rats.
AB - BACKGROUND AND OBJECTIVE: Stroke is a leading cause of long-term disability.
Currently, there are no consistently effective rehabilitative treatments for
chronic stroke patients. Our recent studies demonstrate that vagus nerve
stimulation (VNS) paired with rehabilitative training improves recovery of
function in multiple models of stroke. Here, we evaluated the ability of VNS
paired with rehabilitative training to improve recovery of forelimb strength when
initiated many weeks after a cortical and subcortical ischemic lesion in subjects
with stable, chronic motor deficits. METHODS: Rats were trained to perform an
automated, quantitative measure of voluntary forelimb strength. Once proficient,
rats received injections of endothelin-1 to cause a unilateral cortical and
subcortical ischemic lesion. Then, 6 weeks after the lesion, rats underwent
rehabilitative training paired with VNS (Paired VNS; n = 10), rehabilitative
training with equivalent VNS delivered 2 hours after daily rehabilitative
training (Delayed VNS; n = 10), or rehabilitative training without VNS (Rehab, n
= 9). RESULTS: VNS paired with rehabilitative training significantly improved
recovery of forelimb function compared with control groups. The Paired VNS group
displayed an 86% recovery of strength, the Rehab group exhibited 47% recovery,
and the Delayed VNS group exhibited 42% recovery. Improvement in forelimb
function was sustained in the Paired VNS group after the cessation of
stimulation, potentially indicating lasting benefits. No differences in intensity
of rehabilitative training, lesion size, or MAP-2 expression were observed
between groups. CONCLUSION: VNS paired with rehabilitative training confers
significantly greater recovery of forelimb function after chronic ischemic stroke
in rats.
PMID- 26542084
TI - Quantification, 2DE analysis and identification of enriched glycosylated proteins
from mouse muscles: Difficulties and alternatives.
AB - One of the problems with 2DE is that proteins present in low amounts in a sample
are usually not detected, since their signals are masked by the predominant
proteins. The elimination of these abundant proteins is not a guaranteed solution
to achieve the desired results. The main objective of this study was the
comparison of common and simple methodologies employed for 2DE analysis followed
by MS identification, focusing on a pre-purified sample using a wheat germ
agglutinin (WGA) column. Adult male C57Black/Crj6 (C57BL/6) mice were chosen as
the model animal in this study; the gastrocnemius muscles were collected and
processed for the experiments. The initial fractionation with succinylated WGA
was successful for the elimination of the most abundant proteins. Two
quantification methods were employed for the purified samples, and bicinchoninic
acid (BCA) was proven to be most reliable for the quantification of
glycoproteins. The gel staining method, however, was found to be decisive for the
detection of specific proteins, since their structures affect the interaction of
the dye with the peptide backbone. The Coomassie Blue R-250 dye very weakly
stained the gel with the WGA purified sample. When the same gel was stained with
silver nitrate, however, MS could positively assign 12 new spots. The structure
of the referred proteins was not found to be prone to interaction with Coomassie
blue.
PMID- 26542085
TI - Government Response to the Discovery of a Rabies Virus Reservoir Species on a
Previously Designated Rabies-Free Island, Taiwan, 1999-2014.
AB - Taiwan had been considered rabies free since 1961. In 2013, Taiwan confirmed the
detection of rabies virus in wild Taiwan ferret-badgers. Up to December 2014,
there have been 423 rabies-confirmed ferret-badgers and three cases of spillover
infection into non-reservoir hosts. Genetic analysis indicates that TFBV is
distinct from all other known rabies virus variants. To date, ferret-badger
rabies is known to occur only in China and Taiwan. The temporal dynamics of rabid
ferret-badgers in Taiwan suggests that the epizootic appears to have subsided to
enzootic levels as of December 2014. According to the current epidemiologic data,
there is only one TFBV strain in Taiwan. TFBV is still sequestered to the
mountainous regions. Humans are at risk mainly through exposure to the virus from
infected domestic meso-carnivores, mainly dogs and cats. Dogs and cats should be
vaccinated to establish an immunological barrier to stop the spread of the
disease from mountainous regions to domestic meso-carnivores.
PMID- 26542088
TI - Application of a fluorescent biosensor based-on magneto-gamma-Fe2 O3 -methyldopa
nanoparticles for adsorption of human serum albumin.
AB - Understanding and controlling the interaction between the polymer methyldopa (2
amino-3-(3,4-dihydroxyphenyl)-2-methyl-propanoic acid) (PMDP)-gamma-Fe2 O3
nanoparticles and biological fluids is important if the potential of
nanoparticles (NPs) in biomedicine is to be realized. Physicochemical studies on
the interactions between proteins and NPs are influenced by the surface
properties of the NPs. To identify the effects of the NP surface, interactions
between human serum albumin (HSA) and PMDP-gamma-Fe2 O3 NPs were investigated.
Here, the adsorption of HSA onto small (10-30 nm diameter) PMDP-gamma-Fe2 O3 NPs
was quantitatively analyzed using spectroscopic methods. The fluorescence
quenching data were checked for the inner-filter effect, the main confounding
factor in the observed quenching. The binding constants, Ka , were calculated at
different temperatures, using a nonlinear fit to the experimental data, and the
thermodynamic parameters ?H, ?S and ?G were given. The obtained thermodynamic
signature suggests that hydrophobic interactions at least are present. This
result indicates that the structure of the protein turns from a structureless
denatured state at pH 3 into an ordered biologically active native state on
addition of PMDP-gamma-Fe2 O3 NPs. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26542087
TI - Histopathologic patterns among achalasia subtypes.
AB - BACKGROUND: Achalasia has three distinct manometric phenotypes. This study aimed
to determine if there were corresponding histopathologic patterns. METHODS: We
retrospectively examined surgical muscularis propria biopsies obtained from 46
patients during laparoscopic esophagomyotomy. Pre-operative (conventional)
manometry tracings were reviewed by two expert gastroenterologists who
categorized patients into Chicago Classification subtypes. Pathology specimens
were graded on degree of neuronal loss, inflammation, fibrosis, and muscle
changes. KEY RESULTS: Manometry studies were categorized as follows: type I (n =
20), type II (n = 20), type III (n = 3), and esophagogastric junction outflow
obstruction (EGJOO) (n = 3). On histopathology, complete ganglion cell loss
occurred in 74% of specimens, inflammation in 17%, fibrosis in 11%, and muscle
atrophy in 2%. Comparing type I and type II specimens, there was a statistically
significant greater proportion of type I specimens with aganglionosis (19/20 vs
13/20, p = 0.044) and a statistically significant greater degree of ganglion cell
loss in type I specimens (Wilcoxon Rank-Sum, p = 0.016). CD3(+) /CD8(+) cytotoxic
T cells represented the predominant inflammatory infiltrate on
immunohistochemistry. Three patients had completely normal appearing tissue (1
each in type II, type III, EGJOO). CONCLUSIONS & INFERENCES: The greater degree,
but similar pattern, of ganglion cell loss observed in type I compared to type II
achalasia specimens suggests that type I achalasia represents a progression from
type II achalasia. The spectrum of histopathologic findings - from complete
neuronal loss to lymphocytic inflammation to apparently normal histopathology -
emphasizes that 'achalasia' represents a pathogenically heterogeneous patient
group with the commonality being EGJ outflow obstruction.
PMID- 26542089
TI - Epigenetic-Imprinting Changes Caused by Neonatal Fasting Stress Protect From
Future Fasting Stress.
AB - Unfavourable nutritional conditions during the neonatal critical period can cause
both acute metabolic disorders and severe metabolic syndromes in later life.
These phenomena have been tightly related to the epigenetic modification
controlling the balance between satiety and hunger in the hypothalamus. In the
present study, we investigated epigenetic modification associated with both the
fasting stress effects and the short-term resilience to fasting stress in the
hypothalamic paraventricular nucleus (PVN) of chicks. Fasting for 24 h at 3 days
of age (D) (i.e. D3) significantly increased global methylation at lysine 27 of
histone 3 (H3K27) and its specific histone methyltransferase (HMT) expression
level in the PVN. Because global methylation could not fully reveal the changes
at specific genes, the regulation of the gene for brain-derived neurotrophic
factor (Bdnf), which was recently also found to have an anorexigenic effect, was
evaluated as a potential target. Chromatin immunoprecipitation assay analysis
revealed that tri- (me3) and di-methylated (me2) H3K27 exhibited an instant (on
D4 only) and latent increase (on both D11 and D41), respectively, at the putative
promoter of Bdnf after 24 h of fasting on D3. This indicated that fasting could
regulate energy-expenditure-related genes via modifying methylation at H3K27,
which we suspected might be a protective mechanism for keeping the inner
environment homeostatic. To test this hypothesis, a short-term repetitive fasting
stress was applied to chickens, which were fasted for 24 h either on D10 only or
on both D3 and D10. It was found that pre-existing fasting on D3 could induce a
short-term fasting resilience, which rescued the reduction of Bdnf expression
from future fasting on D10. We call this phenomenon the 'molecular memory', which
was mainly conducted by HMTs and H3K27me2/me3 in the PVN. In conclusion, chicks
respond to fasting with dynamic methylation at H3K27 in the PVN during the
neonatal critical period. This allows the PVN to form a 'molecular memory', which
keeps the individual inner environment homeostatic and resilient to future
fasting over the short term.
PMID- 26542090
TI - The outcome of management of "troublesome" vs "non-troublesome" phalangeal neck
fractures in children less than 2 years of age.
AB - BACKGROUND: Several authors have indicated that there are specific poor
prognostic factors which may affect the outcome of management of phalangeal neck
fractures. In the authors' centre, phalangeal neck fractures with any of these
poor prognostic factors are labelled "troublesome". Any of the following five
criteria will qualify the fracture to be regarded as "troublesome": concurrent
vascular compromise of the fractured digit, open/partial amputation injuries,
comminution of the fractured phalangeal head, concurrent epiphyseal or juxta
epiphyseal fractures distal to the fractured phalangeal head, and all Type III
fractures (as per Al-Qattan classification). METHODS: The effect of these poor
prognostic factors has not been investigated in the youngest paediatric age
group; the hands of whom are known to be most forgiving. The purpose of this
study is to compare the outcome of management of seven "troublesome" vs nine "non
troublesome" phalangeal neck fractures in children less than 2 years of age.
Demographic data (age, sex, fracture site/type, and troublesome factors) were
reviewed for the 16 cases. All patients were treated according to a specific
protocol, and the outcome was documented using a modified Al-Qattan's outcome
grading system. RESULTS: Of the nine children with non-troublesome fractures,
eight obtained a satisfactory outcome and the ninth case had an unsatisfactory
outcome. In contrast, all seven children with troublesome fractures had an
unsatisfactory outcome and the difference was highly significant (p = 0.0007).
CONCLUSION: The authors strongly advise discussing the outcome of troublesome
fractures with the parents and also advise documenting this in the file (prior to
management) for medico-legal reasons.
PMID- 26542091
TI - Bayesian evidence synthesis to estimate HIV prevalence in men who have sex with
men in Poland at the end of 2009.
AB - HIV spread in men who have sex with men (MSM) is an increasing problem in Poland.
Despite the existence of a surveillance system, there is no direct evidence to
allow estimation of HIV prevalence and the proportion undiagnosed in MSM. We
extracted data on HIV and the MSM population in Poland, including case-based
surveillance data, diagnostic testing prevalence data and behavioural data
relating to self-reported prior diagnosis, stratified by age (?35, >35 years) and
region (Mazowieckie including the capital city of Warsaw; other regions). They
were integrated into one model based on a Bayesian evidence synthesis approach.
The posterior distributions for HIV prevalence and the undiagnosed fraction were
estimated by Markov Chain Monte Carlo methods. To improve the model fit we
repeated the analysis, introducing bias parameters to account for potential lack
of representativeness in data. By placing additional constraints on bias
parameters we obtained precisely identified estimates. This family of models
indicates a high undiagnosed fraction [68.3%, 95% credibility interval (CrI) 53.9
76.1] and overall low prevalence (2.3%, 95% CrI 1.4-4.1) of HIV in MSM.
Additional data are necessary in order to produce more robust epidemiological
estimates. More effort is urgently needed to ensure timely diagnosis of HIV in
Poland.
PMID- 26542092
TI - Flow cytometry in hematological nonmalignant disorders.
AB - Multiparameter flow cytometry (MFC) has become an integral part of the diagnosis
and classification of hematological malignancies. However, several nonmalignant
or premalignant disorders may benefit from this technology in hematology
laboratories. This review provides information on the normal immunophenotypic
characteristics of peripheral blood leukocyte subsets and their modifications in
several clinical conditions. The usefulness of MFC and the specific markers that
can be investigated in hyperlymphocytosis, infection, hypereosinophilia,
paroxysmal nocturnal hemoglobinuria, and large granular lymphocyte disorders is
described. Mention is also made of the developments of MFC for analyses of red
blood cells or platelets.
PMID- 26542093
TI - Microfluidic co-cultures with hydrogel-based ligand trap to study paracrine
signals giving rise to cancer drug resistance.
AB - Targeted cancer therapies are designed to deactivate signaling pathways used by
cancer cells for survival. However, cancer cells are often able to adapt by
activating alternative survival pathways, thereby acquiring drug resistance. An
emerging theory is that autocrine or paracrine growth factor signaling in the
cancer microenvironment represent an important mechanism of drug resistance. In
the present study we wanted to examine whether paracrine interactions between
groups of melanoma cells result in resistance to vemurafenib - an FDA approved
drug targeting the BRAF mutation in metastatic melanoma. We used a vemurafenib
resistant melanoma model which secretes fibroblast growth factor (FGF)-2 to test
our hypothesis that this is a key paracrine mediator of resistance to
vemurafenib. Sensitive cells treated with media conditioned by resistant cells
did not protect from the effects of vemurafenib. To query paracrine interactions
further we fabricated a microfluidic co-culture device with two parallel
compartments, separated by a 100 MUm wide hydrogel barrier. The gel barrier
prevented resorting/contact of cells while permitting paracrine cross-talk. In
this microfluidic system, sensitive cells did become refractive to the effects of
vemurafenib when cultured adjacent to resistant cells. Importantly, incorporation
of FGF-2 capture probes into the gel barrier separating the two cell types
prevented onset of resistance to vemurafenib. Microfluidic tools described here
allow for more sensitive analysis of paracrine signals, may help better
understand signaling in the cancer microenvironment and may enable development of
more effective cancer therapies.
PMID- 26542094
TI - Performance of South African children on the Communication and Symbolic Behavior
Scales-Developmental Profile (CSBS DP).
AB - BACKGROUND: Substantial development in social communication skills occurs in the
first two years of life. Growth should be evident in sharing emotion and eye
gaze; rate of communication, communicating for a variety of functions; using
gestures, sounds and words; understanding language, and using functional and
pretend actions with objects in play. A delay in these early social communication
skills may be the first sign of a developmental delay in young children in nearly
all categories of disabilities-including specific language impairment, autism
spectrum disorder, HIV/AIDS, lack of environmental stimulation or
institutionalization, and global developmental delays-and early detection of
these delays is critical for enrolment in appropriate early intervention
services. AIMS: No standardized tests of early social communication skills exist
for very young children in South Africa (SA). An existing evaluation tool that
has the potential to be culturally fair for children from cultural backgrounds
different to the standardization group is the Communication and Symbolic
Behaviour Scales-Developmental Profile (CSBS DP). This study aimed to document
the performance of a group of English-speaking SA children ranging in age from 12
to 24 months on the CSBS DP and to compare this performance with the original
standardization sample. METHODS & PROCEDURES: Sixty-seven English-speaking SA
children from a range of cultural and linguistic backgrounds were assessed on the
CSBS DP Behaviour Sample. Group scores were compared with the original
standardization sample using inferential statistics. OUTCOMES & RESULTS: The
results provide preliminary support for the suitability and validity of the face
to-face Behaviour Sample as a measure of early social communication skills in
this sample of English-speaking SA children from a range of cultural groups
between 12 and 24 months of age. CONCLUSIONS & IMPLICATIONS: While further
research in the SA population is needed, these findings are a first step towards
validating a culturally appropriate measure for early detection of social
communication delays in a sample of SA toddlers.
PMID- 26542095
TI - American College of Cardiology: Environmental Scanning Report Update 2015.
PMID- 26542097
TI - Clinical efficacy of early loading versus conventional loading of dental
implants.
AB - The aim of this study was to determine the clinical differences between early and
conventional loading protocols for dental implants. A comprehensive search of the
Medline, Embase, and OVID databases for studies published through January 10,
2015 was conducted. Fourteen studies were included in our analysis. We found that
early loading imposed a significantly higher risk of implant failure than did
conventional loading (risk ratio = 2.09, 95% confidence interval [CI] [1.18,
3.69], P = 0.01), while no significant differences between the methods were found
with regards to the marginal bone loss (weighted mean differences [WMD] = 0.11,
95% CI [-0.07, 0.28], P = 0.23), periotest value (WMD = 0.02, 95% CI [-0.83,
0.87], P = 0.96), or implant stability quotient (WMD = 0.79, 95% CI [-0.03,
1.62], P = 0.06). As for the health status of the peri-implant tissue,
conventionally loaded implants demonstrated better performance than did early
loaded implants. Subgroup analyses demonstrated that the sample size, time of
publication, loading definition, implant position, extent, and restoration type
influenced the results. Although early implant loading is convenient and
comfortable for patients, this method still cannot achieve the same clinical
outcomes as the conventional loading method.
PMID- 26542098
TI - (Z)3,4,5,4'-trans-tetramethoxystilbene, a new analogue of resveratrol, inhibits
gefitinb-resistant non-small cell lung cancer via selectively elevating
intracellular calcium level.
AB - Calcium is a second messenger which is required for regulation of many cellular
processes. However, excessive elevation or prolonged activation of calcium
signaling would lead to cell death. As such, selectively regulating calcium
signaling could be an alternative approach for anti-cancer therapy. Recently, we
have identified an effective analogue of resveratrol, (Z)3,4,5,4'-trans
tetramethoxystilbene (TMS) which selectively elevated the intracellular calcium
level in gefitinib-resistant (G-R) non-small-cell lung cancer (NSCLC) cells. TMS
exhibited significant inhibitory effect on G-R NSCLC cells, but not other NSCLC
cells and normal lung epithelial cells. The phosphorylation and activation of
EGFR were inhibited by TMS in G-R cells. TMS induced caspase-independent
apoptosis and autophagy by directly binding to SERCA and causing endoplasmic
reticulum (ER) stress and AMPK activation. Proteomics analysis also further
confirmed that mTOR pathway, which is the downstream of AMPK, was significantly
suppressed by TMS. JNK, the cross-linker of ER stress and mTOR pathway was
significantly activated by TMS. In addition, the inhibition of JNK activation can
partially block the effect of TMS. Taken together, TMS showed promising anti
cancer activity by mediating calcium signaling pathway and inducing apoptosis as
well as autophagy in G-R NSCLC cells, providing strategy in designing multi
targeting drug for treating G-R patients.
PMID- 26542099
TI - Mondini dysplasia with recurrent bacterial meningitis caused by three different
pathogens.
AB - Mondini dysplasia is rare, but has an important association with recurrent
bacterial meningitis. We herein describe the case of a 3-year-old girl with
unilateral sensorineural hearing loss who presented with three independent
episodes of bacterial meningitis within 8 months. Temporal bone computed
tomography indicated the characteristic features of Mondini dysplasia in the
right inner ear. This was treated by surgical closure of the inner ear defect via
oval window and additional vaccination was administered. Appropriate vaccination
might prevent the recurrent bacterial meningitis associated with Mondini
dysplasia.
PMID- 26542100
TI - Imaging mass spectrometry in drug discovery and development.
PMID- 26542096
TI - Meta-analysis identifies seven susceptibility loci involved in the atopic march.
AB - Eczema often precedes the development of asthma in a disease course called the
'atopic march'. To unravel the genes underlying this characteristic pattern of
allergic disease, we conduct a multi-stage genome-wide association study on
infantile eczema followed by childhood asthma in 12 populations including 2,428
cases and 17,034 controls. Here we report two novel loci specific for the
combined eczema plus asthma phenotype, which are associated with allergic disease
for the first time; rs9357733 located in EFHC1 on chromosome 6p12.3 (OR 1.27;
P=2.1 * 10(-8)) and rs993226 between TMTC2 and SLC6A15 on chromosome 12q21.3 (OR
1.58; P=5.3 * 10(-9)). Additional susceptibility loci identified at genome-wide
significance are FLG (1q21.3), IL4/KIF3A (5q31.1), AP5B1/OVOL1 (11q13.1),
C11orf30/LRRC32 (11q13.5) and IKZF3 (17q21). We show that predominantly eczema
loci increase the risk for the atopic march. Our findings suggest that eczema may
play an important role in the development of asthma after eczema.
PMID- 26542101
TI - Is Right Ventricular Remodeling in Pulmonary Hypertension Dependent on Etiology?
An Echocardiographic Study.
AB - AIMS: Survival in patients (pts) with pulmonary hypertension (PH) differs between
subgroups at similar levels of pressure overload. We set to analyze right
ventricular (RV) morphology and function in different types of PH using
conventional and deformation imaging echocardiography. MATERIAL AND METHODS:
Thirty-four pts with PH: 12 pts with idiopathic pulmonary arterial hypertension
(IPAH, 42.2 +/- 13 years), 11 pts with chronic thromboembolic PH (CTEPH, 50.8 +/-
12 years), 11 pts with Eisenmenger syndrome [ES 41.2 +/- 15 years, 4 with atrial
septal defect (ASD) and 7 with ventricular septal defect (VSD)], and 13 age
matched healthy individuals (38.1 +/- 15 years) were evaluated. The following
echocardiographic parameters were measured: echo-derived systolic pulmonary
pressure (sPAPecho), RV end-diastolic diameter (RVEDD), RV wall thickness (RVWT),
TAPSE, RV fractional area change (RVFAC), Tei index, peak systolic velocity of
the tricuspid ring (S't), and speckle tracking-derived RV free wall strain.
Furthermore, right heart catheterization (RHC) was performed in pts with PH and
mean, and systolic pulmonary artery pressure (mPAPcath, sPAPcath), cardiac output
(CO), cardiac index (COi), and pulmonary vascular resistance (PVR) were noted.
RESULTS: The levels of mPAPcath and sPAPcath were similar between pts with PH
(pANOVA = NS). Patients with ES had higher COi compared to other groups (2.94 +/-
0.79, 2.28 +/- 0.69, and 1.74 +/- 0.46 L/min/m(2) for pts with ES, IPAH, and
CTEPH respectively, pANOVA = 0.004, P post hoc ES versus all other groups <
0.05). TAPSE, Tei index, and S't were similar between groups and impaired versus
controls (pANOVA < 0.001, P post hoc between groups of patients = NS). Patients
with ES had better RVFAC (41.1 +/- 9, 30.5 +/- 10.8, 23.2 +/- 9.8%) and RV free
wall strain (-20.6 +/- 3.5, -16.3 +/- 7.5, -10.8 +/- 5%), as well as an increased
thickness of the RV free wall compared to other groups of patients (9.2 +/- 1.5,
7.2 +/- 1 and 7.2 +/- 1.6 mm for pts with ES, IPAH and CTEPH, respectively)
(pANOVA<0.001, P post hoc <0.05 ES versus all other groups). RVFAC and RV free
wall strain significantly correlated with COi (r = 0.53, P = 0.006 and r = -0.77,
P < 0.001, respectively). CONCLUSION: Patients with ES have a more hypertrophied
RV free wall, better RV performance as assessed by RVFAC and RV free wall strain
and increased COi compared to other types of PH. Furthermore, RV performance
appears to be less dependent on the level of pressure overload. These findings
could contribute to the better survival profile of patients with ES.
PMID- 26542102
TI - A meta-analysis provides evidence that prenatal smoking exposure decreases age at
menarche.
AB - Since studies of association between prenatal tobacco exposure and age at
menarche have reported inconsistent results so far, we conducted a meta-analysis
to examine this association. In total 36 relevant articles (1995-2014) were
identified, 17 of which satisfied the inclusion criteria and were used in the
analysis. Nearly one month decrease (-0.092 [95%CI:-0.160, -0.024] year) in age
at menarche was found in women who were exposed to tobacco in utero. The meta
regression analysis showed that average year of birth in the cohorts might
significantly influence association between maternal smoking and daughter's age
at menarche. Based on results obtained from 5 studies where age at menarche was
treated as a categorical variable, maternal smoking status during pregnancy
increased a risk for daughters to have menarche earlier than at 11 years old by
15%.
PMID- 26542103
TI - Prevalence and characteristics of intended adolescent pregnancy: an analysis of
the Canadian maternity experiences survey.
AB - BACKGROUND: There is limited research focusing on adolescent women who intended
to become pregnant, as majority of research examines unintended adolescent
pregnancies. The objective was to examine the prevalence and characteristics of
Canadian adolescent women who intended to become pregnant. METHODS: The analysis
was based on the national 2006 Maternity Experiences Survey consisting of women
who had a singleton live birth. The sample was restricted to adolescent women
between 15 to 19 years of age. The main outcome of this study was the adolescent
woman's pregnancy intention. A variety of sociodemographic, maternal, and
pregnancy related factors were examined using a multivariable logistic
regression. Adjusted odds ratios (OR) and 95 % confidence intervals (CI) were
reported for all variables. RESULTS: The sample size was 290, weighted to
represent 2224 adolescent women. Based on the adjusted model, the odds of
experiencing an intended pregnancy were increased if the adolescent woman was
between 18-19 years old (OR 2.62, 95 % CI 1.05, 6.57), had a partner (OR 2.37, 95
% CI 1.12, 4.99), experienced no violence/abuse (OR 3.08, 95 % CI 1.38, 6.86),
and consumed no alcohol before pregnancy (OR 3.17, 95 % CI 1.56, 6.45).
Additionally, adolescent women who reported drug use prior to pregnancy were more
likely to have an intended pregnancy (OR 0.39, 95 % CI 0.16, 0.95). CONCLUSION:
The findings from this study can be used as the basis for future research to
investigate the characteristics and needs represented by this group of
adolescents and to aid in the development of effective policies and programs.
PMID- 26542104
TI - Functional rehabilitation of upper limb apraxia in poststroke patients: study
protocol for a randomized controlled trial.
AB - BACKGROUND: Upper limb apraxia is a common disorder associated with stroke that
can reduce patients' independence levels in activities of daily living and
increase levels of disability. Traditional rehabilitation programs designed to
promote the recovery of upper limb function have mainly focused on restorative or
compensatory approaches. However, no previous studies have been completed that
evaluate a combined intervention method approach, where patients concurrently
receive cognitive training and learn compensatory strategies for enhancing daily
living activities. METHODS/DESIGN: This study will use a two-arm, assessor
blinded, parallel, randomized controlled trial design, involving 40 patients who
present a left- or right-sided unilateral vascular lesion poststroke and a
clinical diagnosis of upper limb apraxia. Participants will be randomized to
either a combined functional rehabilitation or a traditional health education
group. The experimental group will receive an 8-week combined functional program
at home, including physical and occupational therapy focused on restorative and
compensatory techniques for upper limb apraxia, 3 days per week in 30-min
intervention periods. The control group will receive a conventional health
education program once a month over 8 weeks, based on improving awareness of
physical and functional limitations and facilitating the adaptation of patients
to the home. Study outcomes will be assessed immediately postintervention and at
the 2-month follow-up. The primary outcome measure will be basic activities of
daily living skills as assessed with the Barthel Index. Secondary outcome
measures will include the following: 1) the Lawton and Brody Instrumental
Activities of Daily Living Scale, 2) the Observation and Scoring of ADL
Activities, 3) the De Renzi Test for Ideational Apraxia, 4) the De Renzi Test for
Ideomotor Apraxia, 5) Recognition of Gestures, 6) the Test of Upper Limb Apraxia
(TULIA), and 7) the Quality of Life Scale For Stroke (ECVI-38). DISCUSSION: This
trial is expected to clarify the effectiveness of a combined functional
rehabilitation approach compared to a conservative intervention for improving
upper limb movement and function in poststroke patients. TRIAL REGISTRATION:
Clinical Trial Gov number NCT02199093 . The protocol registration was received 23
July 2014. Participant enrollment began on 1 May 2014. The trial is expected to
be completed in March 2016.
PMID- 26542105
TI - Study on the kinetics and influence of feline platelet aggregation and
deaggregation.
AB - BACKGROUND: Feline platelets are prone to clumping after blood collection,
rendering the determination of accurate platelet counts difficult for clinical
laboratories and resulting in a high incidence of pseudothrombocytopenia in
feline haematology reports. No information is available about the kinetics of
platelet aggregate formation in feline ethylenediaminetetraacetic acid blood and
the course of platelet counts over a clinically relevant time period. The aim of
the present study was to determine platelet counts in healthy cats over a time
period of 24 h after blood collection at 9 time points; to assess potential
effects of platelet aggregates, anaesthesia and bleeding conditions on feline
platelets and white blood cell counts; and finally, to investigate if glucose
concentration is associated with the presence of aggregates. From 30 clinically
healthy cats, blood samples were analysed at 9 different time points using two
different haematology instruments (using fluorescence and impedance-based flow
cytometry) in the counting chamber and by blood smear evaluation. RESULTS:
Fourteen of the 30 samples were thrombocytopenic at one to 8 time points after
collection as analysed on a fluorescence flow cytometry haematology analyser. At
the 24-h timepoint, all thrombocytopenic samples had returned to normal platelet
counts. Seventeen of the 30 samples showed platelet aggregates in the counting
chamber. Significant differences in platelet counts were associated with the
presence and size of aggregates and time since bleeding. No statistically
significant differences in counts were found with regard to the quality of blood
collection or the use of anaesthesia. Platelet aggregation and, therefore,
pseudothrombocytopenia occurred in 57 % of the investigated samples at different
time points. CONCLUSION: For the first time, deaggregation of feline platelet
aggregates could be demonstrated as a reversible effect of platelet aggregation.
For clinical laboratories or veterinarians, it may be helpful to rerun feline
samples with pseudothrombocytopenia to obtain a more reliable platelet count. The
quality of blood collection seems not to be causative for platelet aggregation.
Blood smear evaluation is absolutely indicated in cases when haematology
instruments give PLT counts below the reference interval.
PMID- 26542106
TI - Nursing students' conception of clinical skills training before and after their
first clinical placement: A quantitative, evaluative study.
AB - Education institution and practice field have a joint responsibility with regard
to facilitating a learning environment for the nursing students that provides
learning outcomes in accordance with the National Curriculum. Using simulated
patient situations is about ensuring a safe learning environment where mistakes
are not putting real patients' lives in danger. THE AIM OF THE STUDY: To compare
nursing students' experiences with a skills training situation immediately after
the training and after their ten weeks clinical placement in nursing homes. STUDY
DESIGN: Quantitative, cross-sectional and evaluative. SAMPLE: Full- and part-time
students in their first year of a Bachelor of Nursing degree. The students
answered a questionnaire on two different occasions, immediately after skills
training and after internship in a nursing home. FINDINGS: Being a "patient" and
a "nurse" in simulation was experienced as useful to clinical practice. Students
with previous experience had a significantly higher perception of mastering the
procedure after the internship, while unexperienced fellow students did not
report any significant increase with regard to a sense of coping during their
clinical practice. The findings raise questions if there are aspects with the
education institution or the practice field that should be improved to help
facilitate a better learning process for students without any previous
experience.
PMID- 26542107
TI - Let-7b-mediated pro-survival of transplanted mesenchymal stem cells for cardiac
regeneration.
AB - Stem cell-based repair and regeneration for cardiac regeneration following
myocardial injury remain unmet challenges largely due to low viability of cells
transplanted in the recipient sites. Accumulating evidence has revealed that
local existence of reactive oxygen species (ROS) causes transplanted cell death
via both apoptosis and autophagy. Ham and colleagues have identified let-7b as
one of the primary mediators for ROS-induced apoptosis and autophagy of
mesenchymal stem cells (MSCs) through direct targeting of caspase-3. Importantly,
intramyocardial injection of let-7b-modified MSCs significantly enhanced
ventricular function and facilitated myocardial repair by protecting transplanted
cells from apoptosis and autophagy in the rat cardiac ischemia-reperfusion model.
These findings provide novel insights into the roles of microRNA underlying stem
cell survival following in vivo delivery, and offer further evidence that
microRNA-modified MSC transplantation might be an effective therapeutic approach
for tissue repair and regeneration.
PMID- 26542108
TI - Colorimetric Humidity and Solvent Recognition Based on a Cation-Exchange Clay
Mineral Incorporating Nickel(II)-Chelate Complexes.
AB - Solvatochromic nickel(II) complexes with diketonato and diamine ligands were
incorporated into a saponite clay by ion exchange, and their colorimetric
humidity- and solvent-recognition properties were investigated. These powders
exhibit color change from red to blue-green depending on humidity, and the
detection range can be controlled by modifying the metal complex. The humidity
response takes advantage of the humidity-dependent water content in clay and the
coordination of water molecules to the metal complex in equilibrium. The addition
of organic solvents to the powders causes a color change to occur, varying from
red to blue-green depending on the donor number of the solvent, thereby enabling
solvent recognition. In the clay, the affinity of less sterically hindered
complexes to water or solvent molecules is decreased compared with that in
solution because the cationic complexes interact with the anionic layers in the
clay. Incorporating diethylene glycol into the materials produced thermochromic
powders.
PMID- 26542109
TI - Boosting AthaMap Database Content with Data from Protein Binding Microarrays.
AB - The AthaMap database generates a map of predicted transcription factor binding
sites (TFBS) and small RNA target sites for the whole Arabidopsis thaliana
genome. With the advent of protein binding microarrays (PBM), the number of known
TFBS for A. thaliana transcription factors (TFs) has increased dramatically.
Using 113 positional weight matrices (PWMs) generated from a single PBM study and
representing a total number of 68 different TFs, the number of predicted TFBS in
AthaMap was now increased by about 3.8 * 10(7) to 4.9 * 10(7). The number of TFs
with PWM-predicted TFBS annotated in AthaMap has increased to 126, representing a
total of 29 TF families and newly including ARF, AT-Hook, YABBY, LOB/AS2 and SRS.
Furthermore, links from all Arabidopsis TFs and genes to the newly established
Arabidopsis Information Portal (AIP) have been implemented. With this qualitative
and quantitative update, the improved AthaMap increases its value as a resource
for the analysis of A. thaliana gene expression regulation at www.athamap.de.
PMID- 26542110
TI - High-Level Accumulation of Triacylglycerol and Starch in Photoautotrophically
Grown Chlamydomonas debaryana NIES-2212.
AB - Microalgae have the potential to produce triacylglycerol (TAG) and starch, which
provide alternative sources of biofuel. A problem in using Chlamydomonas
reinhardtii as a model for TAG production has been that this alga lacks
phosphatidylcholine (PC), which is thought to be important for TAG synthesis in
plants. We found that C. debaryana is one of the rare species of Chlamydomonas
having PC. Here we show that this strain, grown under complete photoautotrophic
conditions, accumulated TAG and starch up to 20 and 250 pg per cell,
respectively, during the stationary phase without nutrient deprivation. Addition
of nutrients in this state did not cause loss of TAG, which was found in dilution
with fresh medium. The photosynthetically produced TAG contained a high level of
monounsaturated fatty acids, which is a preferred property as a material for
biodiesel. The oil bodies were present in the cytoplasm, either between the
cytoplasmic membrane and the chloroplast or between the chloroplast and the
nucleus, whereas the starch granules were present within the chloroplast. Oil
bodies were also deposited as a broad layer in the peripheral space of the
cytoplasm outside the chloroplast, and might be easily released from the cells by
genetic, chemical or mechanical manipulation. These results suggest that C.
debaryana is a promising seed organism for developing a good biofuel producer.
PMID- 26542112
TI - How fluorescent labelling alters the solution behaviour of proteins.
AB - A complete understanding of the role of molecular anisotropy in directing the
self assembly of colloids and proteins remains a challenge for soft matter
science and biophysics. For proteins in particular, the complexity of the surface
at a molecular level poses a challenge for any theoretical and numerical
description. A soft matter approach, based on patchy models, has been useful in
describing protein phase behaviour. In this work we examine how chemical
modification of the protein surface, by addition of a fluorophore, affects the
physical properties of protein solutions. By using a carefully controlled
experimental protein model (human gamma-D crystallin) and numerical simulations,
we demonstrate that protein solution behaviour defined by anisotropic surface
effects can be captured by a custom patchy particle model. In particular, the
chemical modification is found to be equivalent to the addition of a large
hydrophobic surface patch with a large attractive potential energy well, which
produces a significant increase in the temperature at which liquid-liquid phase
separation occurs, even for very low fractions of fluorescently labelled
proteins. These results are therefore directly relevant to all applications based
on the use of fluorescent labelling by chemical modification, which have become
increasingly important in the understanding of biological processes and
biophysical interactions.
PMID- 26542111
TI - Root ABA Accumulation in Long-Term Water-Stressed Plants is Sustained by Hormone
Transport from Aerial Organs.
AB - The reduced pool of the ABA precursors, beta,beta-carotenoids, in roots does not
account for the substantial increase in ABA content in response to water stress
(WS) conditions, suggesting that ABA could be transported from other organs.
Basipetal transport was interrupted by stem-girdling, and ABA levels were
determined in roots after two cycles of WS induced by transplanting plants to dry
perlite. Leaf applications of isotope-labeled ABA and reciprocal grafting of ABA
deficient tomato mutants were used to confirm the involvement of aerial organs on
root ABA accumulation. Disruption of basipetal transport reduced ABA accumulation
in roots, and this decrease was more severe after two consecutive WS periods.
This effect was linked to a sharp decrease in the beta,beta-carotenoid pool in
roots in response to water deficit. Significant levels of isotope-labeled ABA
were transported from leaves to roots, mainly in plants subjected to water
dehydration. Furthermore, the use of different ABA-deficient tomato mutants in
reciprocal grafting combinations with wild-type genotypes confirmed the
involvement of aerial organs in the ABA accumulation in roots. In conclusion,
accumulation of ABA in roots after long-term WS periods largely relies on the
aerial organs, suggesting a reduced ability of the roots to synthesize ABA from
carotenoids. Furthermore, plants are able to transport ABA basipetally to sustain
high hormone levels in roots.
PMID- 26542113
TI - Exonuclease-Catalyzed Target Recycling Amplification and Immobilization-free
Electrochemical Aptasensor.
AB - A simple, sensitive, and selective immobilization-free electrochemical aptasensor
had been developed which combines the advantages of the discrimination of the
aggregation of long and short DNA on a negatively charged indium tin oxide (ITO)
electrode, high selectivity of the aptamer, and high efficiency of exonuclease
catalyzed target recycling amplification. Ochratoxin A (OTA), a type of
mycotoxin, has been chosen as the model target. Methylene blue (MB) labeled probe
DNA had been hybridized with the OTA aptamer first, which cannot diffuse freely
to the negative charged ITO electrode surface due to the repulsion of the
negative charges, since the hybridized DNA contains large negative charges. In
the presence of target (OTA), the aptamer prefers to form an OTA-aptamer complex
in lieu of an aptamer-DNA duplex, which results in the dissociation of probe DNA
from the probe DNA-aptamer complex. The released probe DNA could be digested into
mononucleotides, including a MB-labeled electroactive mononucleotide (eT), due to
the employment of the RecJf exonuclease, a single-stranded DNA specific
exonuclease. Since the eT contains little negative charge, it can diffuse easily
to the negative charged ITO electrode surface, which results in the enhanced
electrochemical response detected. At the same time, the aptamer in the OTA
aptamer complex can be digested by RecJf exonuclease also to liberate the target,
which can participate in the next reaction cycling and realize the
electrochemical signal amplification. Based on this strategy, an ultrasensitive
homogeneous immobilization-free electrochemical aptasensor for OTA can be
developed with a low detection limit (LOD) of 0.004 ng mL(-1) (S/N = 3). The
proposed biosensor combines the advantages of the simplicity of immobilization
free homogeneous ITO based electrochemical determination, high efficiency of
exonuclease-catalyzed target recycling, and high selectivity of the aptamer. The
fabricated biosensor has been applied to detect OTA in real samples with
satisfactory results. The same strategy can be applied to develop biosensors for
diverse targets.
PMID- 26542114
TI - In vitro and in vivo single-agent efficacy of checkpoint kinase inhibition in
acute lymphoblastic leukemia.
AB - BACKGROUND: Although progress in children, in adults, ALL still carries a dismal
outcome. Here, we explored the in vitro and in vivo activity of PF-00477736
(Pfizer), a potent, selective ATP-competitive small-molecule inhibitor of
checkpoint kinase 1 (Chk1) and with lower efficacy of checkpoint kinase 2 (Chk2).
METHODS: The effectiveness of PF-00477736 as single agent in B-/T-ALL was
evaluated in vitro and in vivo studies as a single agent. The efficacy of the
compound in terms of cytotoxicity, induction of apoptosis, and changes in gene
and protein expression was assessed using different B-/T-ALL cell lines. Finally,
the action of PF-00477736 was assessed in vivo using leukemic mouse generated by
a single administration of the tumorigenic agent N-ethyl-N-nitrosourea. RESULTS:
Chk1 and Chk2 are overexpressed concomitant with the presence of genetic damage
as suggested by the nuclear labeling for gamma-H2A.X (Ser139) in 68 % of ALL
patients. In human B- and T-ALL cell lines, inhibition of Chk1/2 as a single
treatment strategy efficiently triggered the Chk1-Cdc25-Cdc2 pathway resulting in
a dose- and time-dependent cytotoxicity, induction of apoptosis, and increased
DNA damage. Moreover, treatment with PF-00477736 showed efficacy ex vivo in
primary leukemic blasts separated from 14 adult ALL patients and in vivo in mice
transplanted with T-ALL, arguing in favor of its future clinical evaluation in
leukemia. CONCLUSIONS: In vitro, ex vivo, and in vivo results support the
inhibition of Chk1 as a new therapeutic strategy in acute lymphoblastic leukemia,
and they provide a strong rationale for its future clinical investigation.
PMID- 26542115
TI - Infection control knowledge, beliefs and behaviours amongst cystic fibrosis
patients with epidemic Pseudomonas aeruginosa.
AB - BACKGROUND: Epidemic P. aeruginosa (ePA) infections are common in cystic fibrosis
(CF) and have been associated with accelerated clinical decline. Factors
associated with ePA are unclear, and evidence based infection control
interventions are lacking. METHODS: We prospectively collect all bacterial
pathogens from adult CF patients. We performed PA strain typing on
retrospectively collected enrollment samples and recent isolates to identify
patients infected with ePA. All patients attending our clinic were approached to
complete a survey on infection control knowledge, beliefs and exposures. We
analyzed responses of those with ePA relative to the entire cohort without ePA as
well as those infected with unique strains of P. aeruginosa to assess for risk
factors for ePA and differences in infection control knowledge, beliefs or
behaviours. RESULTS: Of 144 participants, 30 patients had ePA (two Liverpool
epidemic strain, 28 Prairie epidemic strain), 83 % of which had established
infection prior to transition to the adult clinic. Risk of concomitant infecting
pathogens was no different between groups although, Staphylococcus aureus and non
tuberculous mycobacteria were less common in those with ePA. Patients with ePA
were more likely to have attended CF-camp and have a history of CF fundraising.
Patients with ePA did not differ with respect to beliefs regarding pathogens or
transmission risk, except they believed indirect contact posed little risk.
Furthermore, patients with ePA were more likely to continue to associate with
others with CF despite extensive counselling. Use of peer-peer online networking
was minimal in both groups. CONCLUSION: Infections with ePA are closely linked to
past exposures, now routinely discouraged. As socialization is the greatest risk
factor for ePA, infection control strategies for ePA must focus on discouraging
face-to-face interactions amongst CF patients. As peer support remains a desire
amongst patients, investment in technologies and strategies that enable indirect
communication and support are required.
PMID- 26542116
TI - Any versus long-term prescribing of high risk medications in older people using
2012 Beers Criteria: results from three cross-sectional samples of primary care
records for 2003/4, 2007/8 and 2011/12.
AB - BACKGROUND: High risk medications are commonly prescribed to older US patients.
Currently, less is known about high risk medication prescribing in other Western
Countries, including the UK. We measured trends and correlates of high risk
medication prescribing in a subset of the older UK population
(community/institutionalized) to inform harm minimization efforts. METHODS: Three
cross-sectional samples from primary care electronic clinical records (UK
Clinical Practice Research Datalink, CPRD) in fiscal years 2003/04, 2007/08 and
2011/12 were taken. This yielded a sample of 13,900 people aged 65 years or over
from 504 UK general practices. High risk medications were defined by 2012 Beers
Criteria adapted for the UK. Using descriptive statistical methods and regression
modelling, prevalence of 'any' (drugs prescribed at least once per year) and
'long-term' (drugs prescribed all quarters of year) high risk medication
prescribing and correlates were determined. RESULTS: While polypharmacy rates
have risen sharply, high risk medication prevalence has remained stable across a
decade. A third of older (65+) people are exposed to high risk medications, but
only half of the total prevalence was long-term (any = 38.4 % [95 % CI: 36.3,
40.5]; long-term = 17.4 % [15.9, 19.9] in 2011/12). Long-term but not any high
risk medication exposure was associated with older ages (85 years or over). Women
and people with higher polypharmacy burden were at greater risk of exposure;
lower socio-economic status was not associated. Ten drugs/drug classes accounted
for most of high risk medication prescribing in 2011/12. CONCLUSIONS: High risk
medication prescribing has not increased over time against a background of
increasing polypharmacy in the UK. Half of patients receiving high risk
medications do so for less than a year. Reducing or optimising the use of a
limited number of drugs could dramatically reduce high risk medications in older
people. Further research is needed to investigate why the oldest old and women
are at greater risk. Interventions to reduce high risk medications may need to
target shorter and long-term use separately.
PMID- 26542117
TI - Pain, instability, and familial discord: a qualitative study into women who use
drugs in Malaysia.
AB - BACKGROUND: Out of 20,887 persons who use drugs that came into contact with the
National Anti-Drugs Agency (NADA) officials in the year 2013, 3.2% were women.
Because women who use drugs (WWUD) are often a hidden population, this may be an
underestimate. International literature shows that women who use drugs face
increased risk of HIV, intimate partner violence, and mental health issues.
Similar literature in Malaysia is lacking, and thus, the objective of our study
was exploratory in nature. METHODS: Thirty-eight women who use drugs were
interviewed using a semi-structured topic guide in Kelantan, Penang, Johor, Kuala
Lumpur, and Selangor. Locations were chosen purposively. Nineteen women were
interviewed individually and the remaining 19 were in focus group discussions
(FGDs). All interviews were transcribed verbatim, translated to English, and
analyzed with NVivo. RESULTS: Median age of respondents was 35.5 years old, 89.5%
ethnic Malays, majority having married below the age of 20, and were of low
socioeconomic backgrounds. Youngest age of initiation into drug use was 9 years
old. Most reported is inhalation of amphetamine-type substances. Seven reported
ever injecting. Three themes emerged: (a) repeating patterns of fluid family
structures and instability; (b) "pain" and "difficulty" as features of home life;
and (c) seeking marriage as a source of stabilization and practices of power
within those marriages. Respondents often came from very fluid family
environments and married to find stability, only to be drawn into a similar
cycle. None of the women who had been separated from their children either
institutionally, by family members, or by third parties, had accessed legal
recourse for the loss of their parental rights. CONCLUSION: Unstable familial
relationships or environments contributed to earlier initiation of drug use which
raised questions about support services for WWUD and children who use drugs.
Respondents were drawn into unstable and/or abusive relationships, perpetuating
social inequalities that marked their own familial environments during childhood.
These findings support the need for additional services to support the unique
needs of WWUD, including domestic violence services, financial and life skills,
parental rights assistance, and empowerment programs.
PMID- 26542118
TI - Acute loss of vision after an intravitreal injection ocriplasmin: a functional
evolutionary study for 1-year follow-up.
AB - INTRODUCTION: Ocriplasmin is a human plasmin fragment indicated for vitreomacular
traction treatment. With its increasing use, several reported cases have
suggested possible toxicity to the retina. CASE: We describe a case of a 55-year
old woman with symptomatic vitreomacular traction and a macular hole in the right
eye who showed an acute decrease in visual acuity after an intravitreal
ocriplasmin injection. Spectral-domain optical coherence tomography showed an
alteration in the ellipsoid layer. Significant retinal vessel constriction was
observed by angiography. The visual acuity improved to 20/100, and the
electroretinogram progressively improved after the 1-year follow-up and following
pars plana vitrectomy. CONCLUSIONS: A decrease in visual acuity and an
enlargement of the macular hole were observed while studying this patient. This
study shows the recovery of adverse effects caused by intravitreal injection of
ocriplasmin for 1-year follow-up.
PMID- 26542119
TI - Performance of the Orsiro Hybrid drug-eluting stent in high-risk subgroups.
AB - Newer-generation drug-eluting stents (DES) with enhanced biocompatibility that
deliver antiproliferative drugs from a durable polymer have significantly
improved safety and efficacy outcomes, compared with both early-generation DES
and bare-metal stents, and they represent the current standard of care in all
patient and lesion subsets. However, newer durable polymers have been associated
with the occurrence of chronic inflammation, delayed vascular healing, incomplete
endothelialisation, and neoatherosclerosis, which may result in persistent late
adverse cardiovascular events, particularly in patients with high-risk baseline
clinical features and angiographic complex coronary artery disease. Newer
generation DES with biodegradable polymer and controlled drug release have been
recently introduced to overcome long-term adverse outcomes observed with both
early-generation and newer-generation permanent polymer-based DES, and they may
be of incremental clinical value in subgroups of patients at higher risk of stent
failure. The recent ultrathin-strut cobalt-chromium Orsiro Hybrid DES (BIOTRONIK
AG, Bulach, Switzerland) eluting sirolimus from a biodegradable polymer was
designed to improve arterial healing and clinical outcomes. The Orsiro Hybrid DES
has demonstrated clinical performance comparable to the current state-of-the-art
newer-generation thin-strut cobalt-chromium, permanent polymer-based everolimus
eluting stent in a broad patient population. In subgroups of patients at highest
risk of adverse ischemic events, such as patients with diabetes mellitus, small
vessels, long lesions, complex coronary lesions, multivessel disease, chronic
total occlusion, or ST-segment elevation myocardial infarction, the Orsiro Hybrid
DES has shown low rates of adverse clinical outcomes, similar to rates observed
in lower-risk patients, and extremely low rates of definite stent thrombosis.
This article reviews current evidence on safety and efficacy of the recent
ultrathin-strut biodegradable polymer Orsiro Hybrid DES in high-risk subgroups.
PMID- 26542120
TI - A new principle for the standardization of long paragraphs for reading speed
analysis.
AB - PURPOSE: To investigate the reliability, validity, and statistical comparability
of long paragraphs that were developed to be equivalent in construction and
difficulty. METHODS: Seven long paragraphs were developed that were equal in
syntax, morphology, and number and position of words (111), with the same number
of syllables (179) and number of characters (660). For validity analyses, the
paragraphs were compared with the mean reading speed of a set of seven sentence
optotypes of the RADNER Reading Charts (mean of 7 * 14 = 98 words read).
Reliability analyses were performed by calculating the Cronbach's alpha value and
the corrected total item correlation. Sixty participants (aged 20-77 years) read
the paragraphs and the sentences (distance 40 cm; font: Times New Roman 12 pt).
Test items were presented randomly; reading length was measured with a stopwatch.
RESULTS: Reliability analysis yielded a Cronbach's alpha value of 0.988. When the
long paragraphs were compared in pairwise fashion, significant differences were
found in 13 of the 21 pairs (p < 0.05). In two sequences of three paragraphs each
and in eight pairs of paragraphs, the paragraphs did not differ significantly,
and these paragraph combinations are therefore suitable for comparative research
studies. The mean reading speed was 173.34 +/- 24.01 words per minute (wpm) for
the long paragraphs and 198.26 +/- 28.60 wpm for the sentence optotypes. The
maximum difference in reading speed was 5.55 % for the long paragraphs and 2.95 %
for the short sentence optotypes. The correlation between long paragraphs and
sentence optotypes was high (r = 0.9243). CONCLUSIONS: Despite good reliability
and equivalence in construction and degree of difficulty, a statistically
significant difference in reading speed can occur between long paragraphs. Since
statistical significance should be dependent only on the persons tested, either
standardizing long paragraphs for statistical equality of reading speed
measurements or increasing the number of presented paragraphs is recommended for
comparative investigations.
PMID- 26542121
TI - Spectral-domain optical coherence tomography findings of tractional retinal
elevation in patients with diabetic retinopathy.
AB - PURPOSE: To evaluate the clinical and morphological characteristics as well as
the surgical outcomes of tractional retinal elevation (TRE) in patients with
proliferative diabetic retinopathy (PDR) by analyzing spectral-domain optical
coherence tomography (SD-OCT). METHODS: SD-OCT images of 26 eyes (24 patients)
who visited our clinic because of TRE and PDR from August 2011 to August 2014
were reviewed. According to the presence or absence of tractional retinal
detachment (TRD), patients were classified into group 1 (without TRD) or group 2
(with TRD), and the clinical characteristics and surgical outcomes of the two
groups were compared. Furthermore, we categorized the SD-OCT morphological
components into sponge, cystoid, saw tooth, bridging columnar, and TRD and
compared the characteristics among patients who had different components.
RESULTS: Group 1 had 18 eyes and group 2 had eight eyes. No differences in age,
best corrected visual acuity (BCVA), or spherical equivalent were observed
between the two groups, but group 2 had longer axial length than that of group 1
(p = 0.02). A large variety of combined OCT findings was found in group 1
compared to that in group 2. TRD was the least combined form with the other
morphological components. Although 92 % of eyes with the bridging columnar
component had the cystoid component, TRD and tractional retinoschisis (TRS,
bridging columnar morphology) were combined in only one eye. CONCLUSION: Diabetic
TRE may progress to TRD or TRS, which are mutually exclusive. They may progress
to TRD in eyes with a long axial length, and cystoid macular edema seems to
develop into TRS.
PMID- 26542122
TI - Impaired systemic vascular endothelial function in patients with non-arteritic
anterior ischaemic optic neuropathy.
AB - PURPOSE: The purpose of this study was to evaluate systemic endothelial function
in elderly hypertension patients with non-arteritic anterior ischaemic optic
neuropathy (NAION) by using a noninvasive physiological method: endothelium
dependent, flow-mediated vasodilation (FMD). METHODS: Forty-two systemic
hypertension patients with NAION (NAION group), 64 age- and sex-matched patients
with systemic hypertension and no other ocular disease (hypertension group), and
100 age- and sex-matched healthy volunteers (normal group) were enrolled. FMD was
evaluated using a high-resolution ultrasonography. Traditional cardiovascular
risk factors and vascular parameters were measured. RESULTS: Systolic blood
pressure and diastolic blood pressure were significantly higher in patients with
NAION compared with the control groups (p < 0.001). The FMD decreased
significantly in the NAION group (6.02 +/- 1.87 %) compared to in the
hypertension group (7.86 +/- 2.94 %, p < 0.001) and the normal group (8.99 +/-
2.44 %, p < 0.001). By multivariable logistic regression analysis, FMD was
significantly associated with NAION (OR, 1.79; 95%CI, 1.67-2.01). CONCLUSIONS:
NAION may be associated with systemic vascular endothelial dysfunction. FMD might
be useful in the treatment monitoring of NAION.
PMID- 26542123
TI - Collective learning modeling based on the kinetic theory of active particles.
AB - This paper proposes a systems approach to the theory of perception and learning
in populations composed of many living entities. Starting from a phenomenological
description of these processes, a mathematical structure is derived which is
deemed to incorporate their complexity features. The modeling is based on a
generalization of kinetic theory methods where interactions are described by
theoretical tools of game theory. As an application, the proposed approach is
used to model the learning processes that take place in a classroom.
PMID- 26542124
TI - Crosstalk between exercise and galanin system alleviates insulin resistance.
AB - Studies have demonstrated that aerobic exercise can enhance insulin sensitivity,
however, the precise mechanism for this outcome is not entirely identified.
Emerging evidences point out that exercise can upregulate galanin protein and
mRNA expression, resulting in improvement of insulin sensitivity via an increase
in translocation of glucose transporter 4 and subsequent glucose uptake in
myocytes and adipocytes of healthy and type 2 diabetic rats, which may be blocked
by galanin antagonist. In return, galanin can exert the exercise-protective roles
to prevent excessive movement of skeletal muscle and to accelerate exercise
trauma repair in exercise-relative tissues. Studies also implicated that
combination of aerobic exercise and activation of galanin system may make more
significant improvement in insulin sensitivity than that of either one did. These
suggest that galanin system is essential for physical activity to alleviate
insulin resistance, namely, the beneficial effect of physical activity on glucose
uptake is at least partly mediated by galanin system. Besides, co-treatment with
galanin and exercise is an effective therapeutic strategy for reducing insulin
resistance.
PMID- 26542125
TI - The upper respiratory tract is a natural reservoir of haemolytic Mannheimia
species associated with ovine mastitis.
AB - Lamb suckling has been suggested to be an important way of infecting a ewe's
udder with different bacteria, including Mannheimia haemolytica. To test the
potential role of lambs in transferring Mannheimia species to the ewe's udder,
the restriction endonuclease cleavage patterns of isolates obtained from
nasopharyngeal swabs were compared with those obtained from cases of mastitis.
Sterile cotton swabs were used to collect nasopharyngeal samples from 50 ewes and
36 lambs from three flocks. M. haemolytica and Mannheimia glucosida as well as
haemolytic Mannheimia ruminalis-like organisms were detected in the upper
respiratory tract of lambs and ewes. Comparison of the restriction endonuclease
cleavage patterns of the isolates suggested that the M. haemolytica isolates
obtained from different milk samples from ewes with mastitis were more clonal
than those obtained from the nasal swabs. However, some nasal isolates within
both Mannheimia species had restriction endonuclease cleavage patterns identical
to those obtained from milk samples from ewes with mastitis, indicating that
lambs may have a role in transferring these organisms to the udder. More
clonality was observed between the M. glucosida isolates than between M.
haemolytica isolates.
PMID- 26542126
TI - Effects of Thiazolidinediones on metabolism and cancer: Relative influence of
PPARgamma and IGF-1 signaling.
AB - Thiazolidinediones (TZDs) are peroxisome proliferator-activated receptor-gamma
(PPARgamma) agonists. TZDs are orally effective medicines for metabolic syndrome
and type 2 diabetes. In addition to metabolic effects these molecules also
possess anti-cancer effects. Data from diabetes clinical trials also support anti
cancer effects of TZDs. The anti-cancer effects of TZDs neither correlate well
with their ability to activate PPARgamma receptor, nor are affected by the
presence of PPARgamma receptor antagonists. Accumulating evidence suggests that
TZDs act as selective inhibitors of insulin-like growth factor-1 (IGF-1) receptor
signaling, and IGF-1 signaling is known to be aberrantly regulated in various
cancers. Structural analysis of TZDs suggest that the presence of 5-exo C-C
single bond of the thiazolidine-2,4-dione ring is important for the metabolic
effects but not for anti-cancer effects, as inclusion of C=C double bond at this
position promotes antagonistic properties to the PPARgamma receptor without
compromising its anti-proliferative effects. The objectives of this review
includes summarization of the relative influence of TZDs on PPARgamma and IGF-1
signaling in mediating pharmacological effects, and to discuss the possibility of
multiple pharmacophores, and thereby independent regulation of PPARgamma and IGF
1 signaling.
PMID- 26542128
TI - [Not Available].
PMID- 26542127
TI - The Southwestern fringe of Europe as an important reservoir of caprine
biodiversity.
AB - BACKGROUND: Portugal and Spain, with six and 22 officially recognized caprine
breeds, encompass 25 % of the European Union goat census. Many of these
populations have suffered strong demographic declines because of competition with
exotic breeds and the phasing-out of low income rural activities. In this study,
we have investigated the consequences of these and other demographic processes on
the genetic diversity, population structure and inbreeding levels of Iberian and
Atlantic goats. METHODS: A sample of 975 individuals representing 25 officially
recognized breeds from Portugal and Spain, two small populations not officially
recognized (Formentera and Ajui goats) and two ecotypes of the Tinerfena and
Blanca Celtiberica breeds were genotyped with a panel of 20 microsatellite
markers. A wide array of population genetics methods was applied to make
inferences about the genetic relationships and demography of these caprine
populations. RESULTS: Genetic differentiation among Portuguese and Spanish breeds
was weak but significant (FST = 0.07; P < 0.001), which is probably the
consequence of their short splitting times and extensive gene flow due to
transhumance. In contrast, Canarian goats were strongly differentiated because of
prolonged geographic isolation. Most populations displayed considerable levels of
diversity (mean He = 0.65). CONCLUSIONS: High diversity levels and weak
population structures are distinctive features of Portuguese and Spanish breeds.
In general, these local breeds have a reduced census, but are still important
reservoirs of genetic diversity. These findings reinforce the need for the
implementation of management and breeding programs based on genetic data in order
to minimize inbreeding, maintain overall genetic and allelic diversities and
breed identities, while at the same time taking into account the within-breed
genetic structure.
PMID- 26542129
TI - Length of abdominal aortic aneurysm and incidence of endoleaks type II after
endovascular repair.
AB - OBJECTIVE: To evaluate the predicting factors for the development of endoleak
type II, its frequency and influencing factors after elective endovascular repair
(EVAR) of infrarenal abdominal aortic aneurysms (AAA). METHODS: Data were
prospectively collected in a unicenter observational study (tertiary center of
[endo-] vascular surgery) and retrospectively evaluated in patients who had
undergone elective EVAR of AAA. Vascular (lumbar arteries (LA) and inferior
mesenteric artery, aneurysm) and general patient (habits, medication, basic
diseases) as well as procedural characteristics, were analyzed for their
association with the development of endoleak type II. Pre and postinterventional
computed tomography (CT) scans were evaluated for aneurysm anatomy, in
particular, postinterventional growth or shrinkage as well detection of an
endoleak of each type. RESULTS: The study cohort included 82 patients (mean age,
72 (52-87) years; 77 men, 93.9%) throughout 36 months. The median follow-up
period was 29.5 months (range, 1-57). Overall, 51 endoleaks type II (62.2%) were
identified at any time during the postinterventional follow-up period. In the Cox
regression, AAA length was the only significant predictor (P = 0.024; hazard
ratio (HR), 1.07; 95% confidence interval (CI), 1.01-1.14). Thirteen patients
(15.8%) underwent at least one secondary intervention. Aneurysm growth was
observed in four patients because of an endoleak type II (4.9%). No AAA rupture
occurred in association with an isolated endoleak type II. CONCLUSION: The
preoperative AAA length (correlating with the number of LA) can be considered a
risk factor for postinterventional occurrence of endoleak type II prompting to
greater attention and possible preemptive therapy.
PMID- 26542130
TI - Thelazia callipaeda--first human case of thelaziosis in Croatia.
AB - A case of the first ocular thelaziosis in a human male patient in Croatia is
presented. Thelaziosis is a zoonosis caused by the nematodes of the genus
Thelazia. In all, two types of the genus have been described as causes of
infection in humans, Thelazia callipaeda and Thelazia californiensis. This
zoonosis, called "oriental eye worm" is very rare in humans in European
countries, with high incidence in Asian countries, especially in China, Thailand,
and Japan.
PMID- 26542131
TI - Case fatality rate related to nosocomial and ventilator-associated pneumonia in
an ICU: a single-centre retrospective cohort study.
AB - BACKGROUND: Nosocomial pneumonia (NP) and ventilator associated pneumonia (VAP)
have been associated with financially significant economic burden and increased
case fatality rate in adult intensive care units (ICUs). This study was designed
to evaluate case fatality rate among patients with NP and VAP in a respiratory
ICU. METHODS: In 2008-2013, VAP and NP in the ICUs were included in this
retrospective single-centre cohort study. Data on demographics, co-morbidities,
severity of illness, mechanical ventilation, empirical treatment, length of
hospital stay and laboratory findings were recorded in each group, as were case
fatality rate during ICU admission and after discharge including short-term (28
day) and long-term (a year) case fatality rate. RESULTS: A total of 108 patients
with VAP (n = 64, median (IQR) age: 70 (61-75) years, 67.2% were men) or NP (n =
44, median (IQR) age: 68 (62-74) years, 68.2% were men) were found. Appropriate
empirical antibiotic therapy was identified only in 45.2 and 42.9% of patients
with VAP and NP, respectively. Overall case fatality rate in VAP and NP (81.3 vs
84.1), ICU case fatality rate (42.2 vs 45.5%), short-term case fatality rate
(15.6 vs 27.3%) and long-term case fatality rate (23.4 vs 11.4%) were similar
between VAP and NP groups along with occurrence 50% of case fatality rate cases
in the first 2 months and 90% within the first year of discharge. Multivariate
analysis showed that chronic obstructive pulmonary disease (COPD) (HR: 3.15, 95%
CI: 1.06-9.38; p = 0.039) and presence of septic shock (HR: 3.83, 95% CI: 1.26
11.60; p = 0.018) were independently associated with lower survival. CONCLUSION:
In conclusion, our findings in a retrospective cohort of respiratory ICU patients
with VAP or NP revealed high ICU, short- and long-term case fatality rates within
1 year of diagnosis, regardless of the diagnosis of NP after 48 h of initial
admission or after induction of ventilator support. COPD and presence of septic
shock are associated with high fatality rate and our findings speculate that as
increasing compliance with infection control programs and close monitoring
especially in 2 months of discharge might reduce high-case fatality rate in
patients with VAP and NP.
PMID- 26542132
TI - Case report: spontaneous rupture of spleen in patient with Plasmodium ovale
malaria.
AB - Malaria may lead to spontaneous splenic rupture as a rare but potentially lethal
complication. Most frequently, this has been reported in patients infected with
Plasmodium falciparum and Plasmodium vivax, while other parasitic agents are less
likely to be the cause.We report a 29-year-old British Caucasian, who after
returning from a business trip in Democratic Republic Congo was diagnosed with
tertian malaria caused by Plasmodium ovale.During his in-patient stay, the
patient suffered a splenic rupture requiring immediate surgical intervention and
splenectomy. Following this surgical intervention, there was an uneventful
recovery, and the patient was discharged in a good general condition.
PMID- 26542134
TI - Putative embryonic stem cells derived from porcine cloned blastocysts using
induced pluripotent stem cells as donors.
AB - The establishment of porcine embryonic stem cells (ESCs) would have great impact
in biomedical studies and preclinical trials through their use in genetic
engineering. However, authentic porcine ESCs have not been established until now.
In this study, a total of seven putative ESC lines were derived from porcine
embryos of various origins, including in vitro fertilization, parthenogenetic
activation, and, in particular, induced pluripotent stem (iPS) nuclear transfer
(NT) from a donor cell with induced pluripotent stem cells (iPSCs). To
characterize these cell lines, several assays including an assessment of
intensive alkaline phosphatase activity, karyotyping, embryoid body formation,
expression analysis of the pluripotency-associated markers, and the three germ
layerassociated markers were performed. Based on quantitative polymerase chain
reaction, the expression levels of REX1 and FGFR2 in iPS-NT lines were higher
than those of cells of other origins. Additionally, only iPS-NT lines showed
multiple aberrant patterns of nuclear foci elucidated by immunofluorescence
staining of H3K27me3 as a marker of the state of X chromosome inactivation and a
less mature form of mitochondria like naive ESCs, by transmission electron
microscopy. Together, these data suggested that established putative porcine ESC
lines generally exhibited a primed pluripotent state, like human ESCs. However,
iPS-NT lines have especially unique characteristics distinct from other origins
because they have more epigenetic instability and naive-like mitochondrial
morphology than other putative ESC lines. This is the first study to establish
and characterize the iPSC-derived putative ESC lines and compare them with other
lines derived from different origins in pigs.
PMID- 26542133
TI - Monocytes and monocyte chemoattractant protein 1 (MCP-1) as early predictors of
disease outcome in patients with cerebral ischemic stroke.
AB - In this study to identify prognostic biomarkers for ischemic stroke (IS) outcome,
we monitored monocyte number and monocyte chemoattractant protein (MCP-1)
concentration in peripheral blood of 44 patients with IS during the week
following IS. According to the severity of IS, patients were allocated to three
groups: patients with transient ischemic attack (TIA), patients with National
Institute of Health Stroke Scale (NIHSS) score <= 12, and patients with NIHSS >
12. In patients with NIHSS > 12 statistically significant increased number of
monocytes was observed on day 7. MCP-1 plasma concentration initially increased,
decreased at day 3 in patients with NIHSS > 12 and increased and restored on day
7. A negative correlation between the number of monocytes and MCP-1 concentration
was observed on day 3 after IS. Higher day-7 MCP-1 level was associated with
higher modified Rankin Scale (mRS) value (indicating worse outcome) at 90 days
post-IS in patients with NIHSS > 12. Our findings suggest that number of
monocytes and plasma MCP-1 level could be clinical prognostic biomarkers as early
predictors of disease outcome in patients with IS.
PMID- 26542135
TI - The tolerance of feline corpus and cauda spermatozoa to cryostress.
AB - Epididymal sperm preservation can be used to avoid the total loss of genetic
material in threatened species. Spermatozoa from the corpus, as from the cauda,
are motile and can undergo capacitation. Thus, they can potentially be preserved
for assisted reproductive technologies. However, cryopreservation of spermatozoa
has a direct detrimental effect on sperm quality. The aim of this study was to
compare the chromatin stability and the survival rate of spermatozoa from the
corpus and cauda epididymis after cryopreservation. Epididymal spermatozoa were
collected and cryopreserved from the corpus and cauda of 12 domestic cats. Sperm
motility, progressive motility, membrane integrity, acrosome integrity, and DNA
integrity were evaluated before and after freezing thawing. The average total
number of spermatozoa collected from the corpus was lower (10.2 * 10(6) +/- 7.4)
than that from the cauda epididymis (24.9 * 10(6) +/- 14.4; P = 0.005). The
percentage of spermatozoa with intact DNA did not differ significantly whether it
was collected from the corpus or cauda regions and did not decrease after
freezing thawing in either region. However, motility of spermatozoa from both
regions was affected by the freezing thawing process with a significant decline
in motility after thaw compared with fresh spermatozoa. A significant difference
in the percentage of motile sperm between the corpus and cauda was observed after
the freezing thawing process (P < 0.001). Although sperm motility was lower in
postthaw spermatozoa from the corpus epididymidis than from the cauda, the rate
of the reduction did not differ between regions. This study indicates that the
cryopreservation process does not have a negative effect on chromatin stability
of feline epididymal spermatozoa. Spermatozoa from the corpus region have a
similar freezability as spermatozoa from the cauda region. Therefore,
preservation of spermatozoa from the corpus and the cauda epididymidis might be
of value in preserving genetic material from endangered or valuable felids.
PMID- 26542136
TI - Impact of spontaneous Neospora caninum infection on pregnancy loss and subsequent
pregnancy in grazing lactating dairy cows.
AB - The impact of spontaneous Neospora caninum infection on pregnancy loss and
subsequent pregnancy in grazing lactating dairy cows was evaluated. Data from
1273 females (878 multiparous and 395 first-calving cows) from six preselected
dairy herds were analyzed. Cows were classified as seropositive (SP) (prevalence,
24%; range, 11%-33%) or seronegative (SN) by indirect immunofluorescence
detection of antibodies against N caninum. Seropositive cows (prevalence, 40.0%)
presented higher (P < 0.001) incidence of abortion compared with SN cows
(prevalence, 4.1%). Neospora caninum DNA was detected by real-time polymerase
chain reaction in 44.4% of intact aborted fetuses from SP cows, whereas none was
found in those aborted from SN cows. The average daily milk production adjusted
to 305 days was lower (P < 0.001) in SP (22.5 +/- 0.3 L/day) than in SN cows
(24.8 +/- 0.2 L/day). Furthermore, SP cows presented greater occurrence of
retained placenta (17.1% vs. 6.0%; P < 0.001) and acute postpartum metritis (9.8%
vs. 2.4%; P < 0.001). Despite similar pregnancy rates after first postpartum
artificial insemination (27.6% vs. 31.8%; P = 0.40), cumulative pregnancy rates
during 300 days in milk (94.7% vs. 98.5%; P = 0.005) were greater in SN cows. A
reduced (P = 0.0001) Cox proportional hazard of pregnancy rate at 300 days in
milk and a longer interval from parturition or abortion to conception (median,
111 vs. 101 days) were observed in SP compared with SN cows. Spontaneous N
caninum infection is a significant contributing factor of pregnancy loss and
occurrence of uterine disease (i.e., retained placenta and metritis), negatively
affecting subsequent pregnancy in grazing lactating dairy cows.
PMID- 26542137
TI - L-ergothioneine supplementation during culture improves quality of bovine in
vitro-produced embryos.
AB - The aim of this study was to evaluate whether supplementation of bovine culture
medium with the natural antioxidant L-ergothioneine (LE), improves in vitro
blastocyst development and quality, assessed as resistance to cryopreservation,
total cells number, cellular differentiation, and apoptosis index. Abattoir
derived oocytes were matured and fertilized in vitro according to standard
procedure. Twenty hours after IVF, presumptive zygotes were cultured in synthetic
oviduct fluid with 0, 0.05 mM, 0.1 mM, 0.5 mM, and 1 mM of LE (experiment 1) at
39 degrees C under humidified air with 5% CO2, 7% O2, and 88% N2. On the basis
of the results of this dose-response trial, the range of concentrations to test
was reduced in experiment 2, in which presumptive zygotes were cultured with 0,
0.05 mM, and 0.1 mM of LE. On Day 7, embryo yields were assessed, and the
blastocysts (BL) were vitrified by Cryotop method in 16.5% ethylene glycol, 16.5%
DMSO and 0.5 M sucrose. Finally, BL produced on Day 8 in the absence (control)
and presence of 0.1 mM LE were used for transferase-mediated dUTP nick end
labeling and differential staining to evaluate, respectively the apoptotic rate
and the allocation of cells into inner cell mass (ICM) and trophectoderm lineages
(experiment 3). Despite similar blastocyst yields, supplementation of culture
medium with 0.1 mM LE improved the cryotolerance of in vitro-produced (IVP)
embryos compared to the control group, as indicated by higher (P < 0.05) hatching
rates recorded after 48-hour post-warming culture (48.5%, 50.0%, and 63.8%,
respectively with 0, 0.05, and 0.1 mM LE). Interestingly, when embryos were
cultured in the presence of 0.1 mM LE, the percentage of BL with the most
physiological ICM:total cells ratio (20%-40%) increased (85.1 vs. 66.0%, P <
0.05), confirming a beneficial effect on embryo quality. Furthermore, 0.1 mM LE
decreased (P < 0.01) both the average number (4.3 +/- 0.2 vs. 9.1 +/- 0.3) and
the proportion (3.6 +/- 0.3 vs. 8.1 +/- 0.5) of apoptotic cells in BL compared to
the control. In conclusion, the enrichment of bovine culture medium with 0.1 mM
LE improves embryo quality, as indicated by the improved cryotolerance, the lower
apoptotic rate, and the higher percentage of BL with the most physiological
ICM:total cells ratio.
PMID- 26542138
TI - Identification and profiling of microRNAs in the ovaries of polytocous and
monotocous goats during estrus.
AB - MicroRNAs (miRNAs) play critical roles in almost all ovarian biological
processes, including folliculogenesis, ovulation, luteal development, and
regression. The study identified known and novel miRNAs in the ovaries of
polytocous and monotocous goats by combining Solexa sequencing with
bioinformatics. In total, 862 known and 53 novel miRNAs were identified in the
ovaries of polytocous and monotocous goats. A total of 771 miRNAs were co
expressed in both libraries. One hundred twenty miRNAs in the ovaries of
polytocous goats and 24 miRNAs in the ovaries of monotocous goats were
specifically expressed. In addition, 445 miRNAs were differentially expressed in
the ovaries of polytocous and monotocous goats, of which 348 were upregulated,
and 97 were downregulated in the ovaries of polytocous goats compared with the
ovaries of monotocous goats (P values < 0.05 and |log2 (fold change)|> 1). The
expression levels of 12 randomly selected miRNAs were analyzed by stem-loop real
time quantitative polymerase chain reaction, and the results demonstrated that
the expression patterns were consistent with Solexa sequencing results. KEGG
analysis showed that GnRH, transforming growth factor-beta, vascular endothelial
growth factor, and mammalian target of rapamycin signaling pathways, oocyte
meiosis and ovarian steroidogenesis participated in follicular development and
ovulation. On the basis of miRNA-mRNA network analysis and luciferase reporter
assays, the ggo-miR-4488-p3_1ss10CG, bta-miR-2892-p5_1ss8CG and hsa-miR-4532_L+1R
3 were closely related with prolific traits. The results will help to further
understand the role of miRNAs in kidding rate regulation.
PMID- 26542139
TI - Drag reduction effects facilitated by microridges inside the mouthparts of
honeybee workers and drones.
AB - The mouthpart of a honeybee is a natural well-designed micropump that uses a
reciprocating glossa through a temporary tube comprising a pair of galeae and
labial palpi for loading nectar. The shapes and sizes of mouthparts differ among
castes of honeybees, but the diversities of the functional microstructures inside
the mouthparts of honeybee workers and drones remain poorly understood. Through
scanning electron microscopy, we found the dimensional difference of uniformly
distributed microridges on the inner galeae walls of Apis mellifera ligustica
workers and drones. Subsequently, we recorded the feeding process of live
honeybees by using a specially designed high-speed camera system. Considering the
microridges and kinematics of the glossa, we constructed a hydrodynamic model to
calculate the friction coefficient of the mouthpart. In addition, we test the
drag reduction through the dimensional variations of the microridges on the inner
walls of mouthparts. Theoretical estimations of the friction coefficient with
respect to dipping frequency show that inner microridges can reduce friction
during the feeding process of honeybees. The effects of drag reduction regulated
by specific microridges were then compared. The friction coefficients of the
workers and drones were found to be 0.011+/-0.007 (mean+/-s.d.) and 0.045+/
0.010, respectively. These results indicate that the mouthparts of workers are
more capable of drag reduction compared with those of drones. The difference was
analyzed by comparing the foraging behavior of the workers and drones. Workers
are equipped with well-developed hypopharyngeal, and their dipping frequency is
higher than that of drones. Our research establishes a critical link between
microridge dimensions and drag reduction capability during the nectar feeding of
honeybees. Our results reveal that microridges inside the mouthparts of honeybee
workers and drones reflect the caste-related life cycles of honeybees.
PMID- 26542140
TI - Erratum, Vol. 12, October 15 Release.
AB - [This corrects the article DOI: 10.5888/pcd12.140583.]. In the article
"Effectiveness of Fresh to You, a Discount Fresh Fruit and Vegetable Market in
Low-Income Neighborhoods, on Children's Fruit and Vegetable Consumption, Rhode
Island, 2010-2011," we inadvertently listed an author affiliation for Sara Gorham
incorrectly. Ms. Gorham is affiliated with the Institute for Community Health
Promotion, Brown University School of Public Health, Providence, Rhode Island.
The changes were made to our website on October 16, 2015, and appear online at
http://www.cdc.gov/pcd/issues/2015/14_0583.htm. We regret any inconvenience or
confusion this error may have caused.
PMID- 26542141
TI - Increasing Referrals to a YMCA-Based Diabetes Prevention Program: Effects of
Electronic Referral System Modification and Provider Education in Federally
Qualified Health Centers.
AB - INTRODUCTION: The Diabetes Prevention Program has been translated to community
settings with varying success. Although primary care referrals are used for
identifying and enrolling eligible patients in the Diabetes Prevention Program,
little is known about the effects of strategies to facilitate and sustain
eligible patient referrals using electronic health record systems. METHODS: To
facilitate and sustain patient referrals, a modification to the electronic health
record system was made and combined with provider education in 6 federally
qualified health centers in the Bronx, New York. Referral data from April 2012
through November 2014 were analyzed using segmented regression analysis. RESULTS:
Patient referrals increased significantly after the modification of the
electronic health record system and implementation of the provider education
intervention. Before the electronic system modification, 0 to 2 patients were
referred per month. During the following year (September 2013 through August
2014), which included the provider education intervention, referrals increased to
1 to 9 per month and continued to increase to 5 to 11 per month from September
through November 2014. CONCLUSIONS: Modification of an electronic health record
system coupled with a provider education intervention shows promise as a strategy
to identify and refer eligible patients to community-based Diabetes Prevention
Programs. Further refinement of the electronic system for facilitating referrals
and follow-up of eligible patients should be explored.
PMID- 26542142
TI - A Pharmacy Student-Facilitated Interprofessional Diabetes Clinic With the
Penobscot Nation.
AB - BACKGROUND: American Indians/Alaska Natives have a greater increased risk for
diabetes than non-Hispanic whites. Lifestyle interventions are effective in
preventing and treating diabetes, and an interprofessional approach is important
in diabetes management. COMMUNITY CONTEXT: The Penobscot Nation has a health
center with a wide range of services. Our goal with the Nation was to 1)
establish an interprofessional, student-facilitated diabetes clinic in the health
center; 2) assess the clinic's preliminary impact. METHODS: Relationship building
and problem solving was instrumental in working toward the first goal. A survey
was developed to assess satisfaction with the clinic. The clinical outcomes, mean
and median values of HbA1c, were calculated at baseline (spring 2013) and were
used to establish 2 groups of patients: those with controlled levels (<7%) and
those with uncontrolled levels (>= 7%). HbA1c was reassessed in fall 2013.
Changes in HbA1c were calculated and compared using the Wilcoxon signed-rank
test. OUTCOMES: The student-facilitated, interprofessional diabetes clinic has
operated for 2 years, and changes are under way. More than 90% of participants
reported being well satisfied with the clinic in the first year. Among the group
with uncontrolled HbA1c (n = 18), mean HbA1c values declined from 9.3% to 7.6% (P
= .004). Among the group with controlled HbA1c (n = 30), 83% were controlled at
follow-up. INTERPRETATION: The Penobscot diabetes clinic is evolving to meet the
needs of community members, and pharmacy students have an interprofessional
practice site well suited for experiential learning.
PMID- 26542143
TI - The Dose-Response Relationship Between Tobacco Education Advertising and Calls to
Quitlines in the United States, March-June, 2012.
AB - INTRODUCTION: We estimated changes in call volume in the United States in
response to increases in advertising doses of the Tips From Former Smokers (Tips)
campaign, the first federal national tobacco education campaign, which aired for
12 weeks from March 19 to June 10, 2012. We also measured the effectiveness of ad
taglines that promoted calls directly with a quitline number (1-800-QUIT-NOW) and
indirectly with a cessation help website (Smokefree.gov). METHODS: Multivariate
regressions estimated the weekly number of calls to 1-800-QUIT-NOW by area code
as a function of weekly market-level gross rating points (GRPs) from CDC's Tips
campaign in 2012. The number of quitline calls attributable solely to Tips was
predicted. RESULTS: For quitline-tagged ads, an additional 100 television GRPs
per week was associated with an increase of 89 calls per week in a typical area
code in the United States (P < .001). The same unit increase in advertising GRPs
for ads tagged with Smokefree.gov was associated with an increase of 29 calls per
week in any given area code (P < .001). We estimated that the Tips campaign was
responsible for more than 170,000 additional calls to 1-800-QUIT-NOW during the
campaign and that it would have generated approximately 140,000 additional calls
if all ads were tagged with 1-800-QUIT-NOW. CONCLUSION: For campaign planners,
these results make it possible to estimate 1) the likely impact of tobacco
prevention media buys and 2) the additional quitline capacity needed at the
national level should future campaigns of similar scale use 1-800-QUIT-NOW
taglines exclusively.
PMID- 26542144
TI - Selected Diagnosed Chronic Conditions by Sexual Orientation: A National Study of
US Adults, 2013.
AB - INTRODUCTION: Research is needed on chronic health conditions among lesbian, gay,
and bisexual populations. The objective of this study was to examine 10 diagnosed
chronic conditions, and multiple (>=2) chronic conditions (MCC), by sexual
orientation among US adults. METHODS: The 2013 National Health Interview Survey
was used to generate age-adjusted prevalence rates and adjusted odds ratios of
diagnosed chronic conditions and MCC for civilian, noninstitutionalized US adults
who identified as gay/lesbian, straight, or bisexual, and separately for men and
women. Chronic conditions were selected for this study on the basis of previous
research. RESULTS: Hypertension and arthritis were the most prevalent conditions
for all groups. Gay/lesbian adults had a 4.7 percentage-point higher prevalence
of cancer than bisexual adults, and a 5.6 percentage-point higher prevalence of
arthritis and a 2.9 percentage point higher prevalence of hepatitis than straight
adults. The prevalence of chronic obstructive pulmonary disease was 8.1
percentage points higher among bisexual adults than among gay/lesbian adults and
7.0 percentage points higher than among straight adults. These differences
remained in the multivariate analyses. Additional differences were found in the
sex-stratified analyses. No significant differences were found in MCC by sexual
orientation. CONCLUSION: After age adjustment and controlling for
sociodemographic characteristics, only a few significant health disparities for
diagnosed chronic conditions were found by sexual orientation, and none for MCC.
However, for conditions where differences were found, magnitudes were relatively
large. Further examination of these differences among gay/lesbian and bisexual
adults could yield a better understanding of why these disparities exist.
PMID- 26542145
TI - Mechanisms of fibroblast growth factor signaling in the ovarian follicle.
AB - Fibroblast growth factors (FGFs) have been shown to alter growth and
differentiation of reproductive tissues in a variety of species. Within the
female reproductive tract, the effects of FGFs have been focused on the ovary,
and the most studied one is FGF2, which stimulates granulosa cell proliferation
and decreases differentiation (decreased steroidogenesis). Other FGFs have also
been implicated in ovarian function, and this review summarizes the effects of
members of two subfamilies on ovarian function; the FGF7 subfamily that also
contains FGF10, and the FGF8 subfamily that also contains FGF18. There are data
to suggest that FGF8 and FGF18 have distinct actions on granulosa cells, despite
their apparent similar receptor binding properties. Studies of non-reproductive
developmental biology also indicate that FGF8 is distinct from FGF18, and that
FGF7 is also distinct from FGF10 despite similar receptor binding properties. In
this review, the potential mechanisms of differential action of FGF7/FGF10 and
FGF8/FGF18 during organogenesis will be reviewed and placed in the context of
follicle development. A model is proposed in which FGF8 and FGF18 differentially
activate receptors depending on the properties of the extracellular matrix in the
follicle.
PMID- 26542146
TI - Surviving Mass Extinctions through Biomineralized DNA.
AB - Even in the worst of conditions, such as those which occurred during mass
extinction events, life on Earth never totally stopped. Aggressive chemical and
physical attacks able to sterilize or poison living organisms occurred
repeatedly. Surprisingly, DNA was not degraded, denatured or modified to the
point of losing the capability of transferring the genetic information to the
next generations. After the events of mass extinction life was able to survive
and thrive. DNA was passed on despite being an extremely fragile biomolecule. The
potential implications of hydroxyapatite protection of DNA are discussed in this
Concept article including how DNA acts as a template for hydroxyapatite (HAp)
formation, how cell death can trigger biomineralization, and how DNA can be
successfully released from HAp when the conditions are favorable for life.
PMID- 26542147
TI - Hand-foot syndrome following decitabine.
PMID- 26542148
TI - Paraneoplastic cerebellar degeneration as an early sign of classical Hodgkin
lymphoma.
PMID- 26542151
TI - [The place of pediatricians in newborn screening].
AB - Newborn screening was implemented in France 40 years ago, initiated by a small
group of pediatricians and biologists. Pediatricians play a central role in this
action, from the reflection on new prospects to the care of affected children.
This article reviews all the steps in newborn screening where pediatricians play
a role as well as recent and future changes.
PMID- 26542149
TI - The Role of 3-O-Sulfogalactosylceramide, Sulfatide, in the Lateral Organization
of Myelin Membrane.
AB - Sulfatide (3-O-sulfogalactosylceramide, SM4s) was isolated by Thudichum from the
human brain in 1884. Together with galactosylceramide, its direct metabolic
precursor in the biosynthetic pathway, sulfatide is highly enriched in myelin in
the central and peripheral nervous system, and it has been implicated in several
aspects of the biology of myelin-forming cells. Studies obtained using
galactolipid-deficient mice strongly support the notion that sulfatide plays
critical roles in the correct structure and function of myelin membrane. A number
of papers are suggesting that these roles are mediated by a specific function of
sulfatide in the lateral organization of myelin membrane, thus affecting the
sorting, lateral assembly, membrane dynamics and also the function of specific
myelin proteins in different substructures of the myelin sheath. The consequences
of altered sulfatide metabolism and sulfatide-mediated myelin organization with
respect to myelin diseases are still poorly understood, but it's very likely that
sulfatide might represent not only a critical player in the pathogenesis of
several diseases, including multiple sclerosis and Alzheimer's disease, but also
a potentially promising therapeutic target.
PMID- 26542150
TI - Effects of Levetiracetam, Carbamazepine, Phenytoin, Valproate, Lamotrigine,
Oxcarbazepine, Topiramate, Vinpocetine and Sertraline on Presynaptic Hippocampal
Na(+) and Ca(2+) Channels Permeability.
AB - Ion channels are targets of various antiepileptic drugs. In cerebral presynaptic
nerve endings Na(+) and Ca(2+) channels are particularly abundant, as they
control neurotransmitter release, including the release of glutamate (Glu), the
most concentrated excitatory amino acid neurotransmitter in the brain. Several
pre-synaptic channels are implicated in the mechanism of action of the pro
convulsive agent, 4-aminopyridine (4-AP). In the present study the effects of
levetiracetam and other established and newer (vinpocetine) anti-epileptic drugs,
as well as of the anti-depressant, sertraline on the increase in Ca(2+) induced
by 4-AP in hippocampal isolated nerve endings were investigated. Also the effects
of some of the anti-seizure drugs on the selective increase in Ca(2+) induced by
high K(+), or on the selective increase in Na(+) induced by veratridine were
tested. Sertraline and vinpocetine effectively inhibited the rise in Ca(2+)
induced by 4-AP, which was dependent on the out-in Na(+) gradient and
tetrodotoxin sensitive. Carbamazepine, phenytoin, lamotrigine and oxcarbazepine
inhibited the rise in Ca(2+) induced by 4-AP too, but at higher concentrations
than sertraline and vinpocetine, whereas levetiracetam, valproic acid and
topiramate did not. The three latter antiepileptic drugs also failed in modifying
other responses mediated by the activation of brain presynaptic Na(+) or Ca(2+)
channels, including Glu release. This indicates that levetiracetam, valproic acid
and topiramate mechanisms of action are unrelated with a decrease in presynaptic
Na(+) or Ca(2+) channels permeability. It is concluded that depolarized cerebral
isolated nerve endings represent a useful tool to unmask potential antiepileptic
drugs targeting presynaptic Na(+) and/or Ca(2+) channels in the brain; such as
vinpocetine or the anti-depressant sertraline, which high effectiveness to
control seizures in the animal in vivo has been demonstrated.
PMID- 26542152
TI - Development and evaluation of ultra-small nanostructured lipid carriers: novel
topical delivery system for athlete's foot.
AB - Athlete's foot is a fungal infection of the foot which causes dry, itchy, flaky
condition of the skin caused by Trichophyton species. In this study, the
potential of ultra-small nanostructured lipid carrier (usNLC)-based topical gel
of miconazole nitrate for the treatment of athlete's foot was evaluated.
Nanostructure lipid carriers (NLCs) prepared by melt emulsification and
sonication technique were characterized for particle size, drug entrapment, zeta
potential and drug release. The optimized usNLC revealed particle size 53.79 nm,
entrapment efficiency 86.77%, zeta potential -12.9 mV and polydispersity index
(PDI) of 0.27. The drug release studies of usNLC showed initial fast release
followed by sustained release with 91.99% drug released in 24 h. Optimized usNLCs
were incorporated into carbopol-934 gel and evaluated for pH (6.8), viscosity
(36,400 mPa s) and texture analysis. Antifungal activity against Trichophyton
mentagrophytes exhibited wider zone of inhibition, 6.6 +/- 1.5 mm for optimized
usNLC3 gel viz-a-viz marketed gel formulation (3.7 +/- 1.2 mm). Hen's egg test
chorioallantoic membrane (HET-CAM) irritation test confirmed optimized usNLC gel
to be non-irritant to chorioallantoic membrane. Improved dermal delivery of
miconazole by usNLC gel could be achieved for treatment of athlete's foot.
PMID- 26542153
TI - Beneficial Effects of Improvement in Depression, Pain Catastrophizing, and
Anxiety on Pain Outcomes: A 12-Month Longitudinal Analysis.
AB - Depression, pain catastrophizing, and anxiety commonly co-occur with chronic
pain. However, the degree to which improvement in these psychological
comorbidities predicts subsequent pain outcomes and, in particular, the relative
effects of these 3 psychological factors with respect to each other is only
partially known. Longitudinal analysis of 250 primary care patients with chronic
musculoskeletal pain enrolled in the Stepped Care to Optimize Pain care
Effectiveness (SCOPE) trial was examined, using data gathered at baseline, and at
3 and 12 months. Mixed effects model repeated measures analyses were used to
determine if changes in depression, pain catastrophizing, and anxiety predicted a
subsequent reduction in pain intensity or interference and pain-related
disability. Defining a clinically significant change as twice the standard error
of measurement for each predictor, we found that a 2-standard error of
measurement improvement in depression, pain catastrophizing, and anxiety resulted
in, respectively, an effect size decrease in pain intensity or interference of
.45, .33, and .12; a 14%, 12%, and 6% reduction in the number of pain-specific
disability days; and a 43%, 30%, and 28% decreased likelihood of high disability
(defined as >=10 pain-specific disability days in the past 4 weeks). In summary,
improvements in 3 common psychological comorbidities predicted better pain
outcomes. PERSPECTIVE: Because depression, pain catastrophizing, and anxiety
commonly accompany chronic pain and might adversely affect pain outcomes,
treatment of these modifiable psychological factors is warranted to optimize the
effectiveness of pain-specific therapies.
PMID- 26542154
TI - [Concept of budget-based remuneration system for the fields of psychiatry and
psychotherapy, psychosomatic medicine and psychotherapy, child and adolescent
psychiatry and psychotherapy].
AB - A new remuneration system is currently being developed for the hospital care of
people with mental disorders. Last year, because of sharp criticism the option
phase of the planned Flat-rate Charges in Psychiatry and Psychosomatics
(Pauschalierende Entgelte Psychiatrie und Psychosomatik, PEPP) was extended by 2
years. During this time the Federal Ministry of Health wants to look for
alternatives and possible starting points for the further development of care.
Now, 16 scientific professional associations and organisations have presented a
joint concept for a sustainable solution: the budget-based remuneration system.
The system is suitable for ensuring that people with mental disorders are treated
according to their particular needs and for promoting the appropriate further
development of regional care in all treatment settings. It corresponds with the
objectives as formulated in Section 17d of the Hospital Finance Act
(Krankenhausfinanzierungsgesetz, KHG) and translates the PEPP system, which is
currently being developed and focusses on average prices, into a performance
oriented, transparent budgetary system. The fundamental principle is the
separation of the individual hospitals' budgeting on the basis of evidence-based,
feature- and performance-related modules and billing in the form of advance
payments from the agreed budget.
PMID- 26542155
TI - [Should antipsychotics be used in prodromal stages of schizophrenia to prevent
psychosis? Pro].
PMID- 26542156
TI - [Should antipsychotics be used in prodromal stages of schizophrenia to prevent
psychosis? Con].
PMID- 26542157
TI - [Trauma-focused psychotherapy: Technique, area of application, and treatment
outcome].
AB - Traumatic events may lead to trauma-related disorders such as the posttraumatic
stress disorder (PTSD) and constraints in quality of life. Meanwhile, there are
different trauma-focused psychotherapies that aim to prevent PTSD shortly after
experiencing a traumatic event and interventions that aim to treat PTSD. In
Germany, cognitive-behavioral and psychodynamic trauma-focused approaches are
commonly applied. While cognitive-behavioral programs aim at early exposure with
the traumatic event, psychodynamic approaches emphasize the need of a period of
stabilization before undergoing exposure. With regard to empirical evidence,
cognitive-behavioral programs were able to prove their efficacy most often and
are recommended in national and international guidelines. The German S3 guideline
PTSD is currently under revision.
PMID- 26542160
TI - Direct medical costs attributable to type 2 diabetes mellitus: a population-based
study in Catalonia, Spain.
AB - We estimated healthcare costs associated with patients with type 2 diabetes
compared with non-diabetic subjects in a population-based primary care database
through a retrospective analysis of economic impact during 2011, including
126,811 patients with type 2 diabetes in Catalonia, Spain. Total annual costs
included primary care visits, hospitalizations, referrals, diagnostic tests, self
monitoring test strips, medication, and dialysis. For each patient, one control
matched for age, gender and managing physician was randomly selected from a
population database. The annual average cost per patient was ?3110.1 and ?1803.6
for diabetic and non-diabetic subjects, respectively (difference ?1306.6; i.e.,
72.4 % increased cost). The costs of hospitalizations were ?1303.1 and ?801.6
(62.0 % increase), and medication costs were ?925.0 and ?489.2 (89.1 % increase)
in diabetic and non-diabetic subjects, respectively. In type 2 diabetic patients,
hospitalizations and medications had the greatest impact on the overall cost
(41.9 and 29.7 %, respectively), generating approximately 70 % of the difference
between diabetic and non-diabetic subjects. Patients with poor glycaemic control
(glycated haemoglobin >7 %; >53 mmol/mol) had average costs of ?3296.5 versus
?2848.5 for patients with good control. In the absence of macrovascular
complications, average costs were ?3008.1 for diabetic and ?1612.4 for non
diabetic subjects, while its presence increased costs to ?4814.6 and ?3306.8,
respectively. In conclusion, the estimated higher costs for type 2 diabetes
patients compared with non-diabetic subjects are due mainly to hospitalizations
and medications, and are higher among diabetic patients with poor glycaemic
control and macrovascular complications.
PMID- 26542161
TI - Effect of First Ray Insufficiency and Metatarsal Index on Metatarsalgia in Hallux
Valgus.
AB - BACKGROUND: Two concepts have been proposed to explain the etiology of
metatarsalgia in hallux valgus patients: First, as the magnitude of hallux valgus
increases, there is a mechanical overload of the lesser metatarsals. Second,
increased relative lesser metatarsal length is a factor in the development of
metatarsalgia. However, there is no current evidence that these structural
factors lead to primary metatarsalgia. The purpose of the study was to evaluate
the factors associated with metatarsalgia in hallux valgus patients. METHODS: A
cross-sectional study of 121 consecutive adult patients with non-arthritic hallux
valgus was carried out. Binary logistic regression was performed to identify the
effect of the clinical and demographic factors on the occurrence of
metatarsalgia. One hundred twenty-one patients (184 feet) with hallux valgus were
analyzed. The median weight was 65 kg (interquartile range 58-72). RESULTS:
Metatarsalgia was present in 84 (45.6%) feet. The binary logistic regression
showed that lesser toe deformity (OR 2.6, 95% CI 0.2-0.5), gastrocnemius
shortening (OR 5.8, 95% CI 2.8-12.3), metatarsal index (OR 0.3, 95% CI 0.2-0.5),
and weight (OR 2.5, 95% CI 1.2-5.3) were significantly associated. CONCLUSION:
Metatarsalgia occurs in almost half of hallux valgus patients. It has a
multifactorial etiology. Our findings contradict the common theory that both the
magnitude of hallux valgus deformity and an increased length of the lesser
metatarsals, by themselves, lead to primary metatarsalgia. Metatarsalgia was
associated with Achilles shortening, excessive weight, and associated lesser toe
deformity. These factors should be addressed in order to treat this disorder
adequately. LEVEL OF EVIDENCE: Level III, comparative series.
PMID- 26542162
TI - Effect of Obesity on Clinical and Radiographic Outcomes Following Reconstruction
of Stage II Adult Acquired Flatfoot Deformity.
AB - BACKGROUND: Obesity is a known risk factor for the development of adult acquired
flatfoot deformity (AAFD), but obesity's effects on outcomes following AAFD
reconstruction are unknown. We hypothesized that obesity would negatively impact
outcomes following joint-preserving stage II AAFD reconstruction. METHODS: This
retrospective study compared the outcomes of normal-weight (18.5 kg/m(2) <= BMI <
25 kg/m(2)), overweight (25 kg/m(2) <= BMI < 30 kg/m(2)), and obese (BMI >= 30
kg/m(2)) patients after AAFD reconstruction. Clinical outcome measures included
the Foot and Ankle Outcome Score (FAOS), Short-Form 12 (SF-12), and Numeric
Rating Scale of Pain (NRS Pain) administered preoperatively and at least 1 year
postoperatively. Anteroposterior and lateral radiographs were taken
preoperatively and at least 6 months postoperatively. Pre- to postoperative
changes in outcome measures were assessed within BMI classes. Preoperative,
postoperative, and pre- to postoperative changes in outcomes were compared among
BMI classes. There were 41 normal-weight patients, 39 overweight patients, and 44
obese patients with a mean age of 56 years, FAOS follow-up of 2.9 years, and
radiographic follow-up of 2.1 years. Demographics and reconstructive procedures
were comparable among the 3 BMI classes. RESULTS: All outcomes significantly
increased pre- to postoperatively in the 3 groups with the exception of the FAOS
Symptoms subscale for normal-weight patients (P = .340) and SF-12 Mental
Component score for all 3 BMI classes (P > .999). Preoperatively, obese patients
had more symptoms than normal-weight patients, scoring 12 points lower on the
FAOS Symptoms subscore (P = .008). Obese patients also scored 11 points lower
preoperatively on the SF-12 Overall score (P = .028) and had 31% greater pain
than normal-weight patients (P = .003). There were no differences among the 3 BMI
classes in any postoperative outcomes assessed. CONCLUSION: Although obese
patients had significantly worse symptoms, overall health, and NRS pain scores
preoperatively, the short-term clinical and radiographic outcomes of stage II
AAFD reconstruction were similar for normal-weight, overweight, and obese
patients. We suggest that joint-preserving reconstruction remains a viable
alternative to fusion of the triple joint complex for the treatment of overweight
and obese stage II AAFD patients. LEVEL OF EVIDENCE: Level III, retrospective
cohort study.
PMID- 26542163
TI - Association of Serum C3 Concentration and Histologic Signs of Thrombotic
Microangiopathy with Outcomes among Patients with ANCA-Associated Renal
Vasculitis.
AB - BACKGROUND AND OBJECTIVES: Complement alternative pathway (cAP) activation has
recently been recognized as a key pathogenic event in ANCA-associated vasculitis
(AAV). cAP dysregulation is also a major determinant of thrombotic
microangiopathies (TMA), which can in turn complicate AAV. We explored the
prognostic significance of cAP activation and of histologic evidence of TMA in a
cohort of patients with renal AAV. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS:
We studied 46 patients with AAV diagnosed between January 1990 and December 2011
at the Nephrology Unit of Parma University Hospital; 30 of them had undergone
renal biopsy. We analyzed serum levels of C3 (sC3) and C4 (sC4) and, for 19
patients who had frozen plasma, plasma Bb and C5b-9 levels. We also reviewed all
kidney biopsy specimens, specifically searching for histologic signs of TMA, and
performed immunofluorescence or immunohistochemistry for C3d, C4d, Bb and C5b-9.
RESULTS: sC3 was below the lower limit of normal in 35% of the patients, whereas
C4 was low in only 2%. Patients with low sC3 tended to be older (P=0.04) and to
have lower eGFR at diagnosis (P=0.06). The median follow-up was 78 months
(interquartile range, 18-135 months); 18 patients reached ESRD (10 of 14 and 8 of
26 in the low and normal sC3 groups, respectively). Death-censored renal survival
was lower in the low sC3 group than in the normal sC3 group (log-rank test,
P=0.01). Eight of the 30 patients who had undergone biopsy (27%) had histologic
signs of TMA; these signs were more frequent in patients with low sC3 (5 of 10
versus 3 of 20; P=0.04). Notably, patients with histologic signs of TMA had a
dramatically worse death-censored renal survival than patients without TMA (log
rank test, P=0.01), with ESRD occurring in 8 of 8 patients with TMA versus 8 of
22 patients without TMA. CONCLUSIONS: Low sC3 levels and histologic signs of TMA
are associated with a poor renal prognosis in patients with AAV.
PMID- 26542164
TI - Sex Differences in Landing Biomechanics and Postural Stability During
Adolescence: A Systematic Review with Meta-Analyses.
AB - BACKGROUND: The adolescent 'growth spurt' results in rapid growth of the skeletal
system. It has been theorised that absence of a concomitant increase in muscular
adaptations in female athletes may predispose them to an increased risk of
anterior cruciate ligament injuries. OBJECTIVES: To determine if sex differences
exist in landing biomechanics and postural stability of adolescent athletes; with
a further objective of determining if such differences are propagated during
adolescence. DATA SOURCES: The following databases were searched: MEDLINE,
EMBASE, CINAHL, PEDro, PubMed, SPORTDiscus and Web of Science. Research papers
were identified by including search terms for neuromuscular control, lower limb
and pubertal development. INCLUSION CRITERIA: Studies were required to be written
in English; report on biomechanical analyses; include landing or postural control
tasks; be cross-sectional or longitudinal; and include healthy
adolescent/pubertal subjects. STUDY APPRAISAL AND SYNTHESIS METHODS: A modified
version of the Strengthening the Reporting of Observational studies in
Epidemiology checklist was used to rate methodological quality. Meta-analyses
were performed when more than one study reported on an outcome measure. RESULTS:
Sixteen articles were included. The overall methodological quality of evaluated
studies was low (mean score = 5.75/10 points). Adolescent females exhibited
increased knee valgus with increasing maturity. There was no consensus on sex
differences in postural stability. CONCLUSIONS: With increasing maturation,
females are characterized by increased knee valgus during landing tasks. To date,
no research has longitudinally investigated postural stability development during
adolescence in females, despite the importance of postural control training in
injury prevention programmes. Therefore, further research on this topic is
warranted.
PMID- 26542165
TI - Intra-bolus pressure and esophagogastric gradient, assessed with high-resolution
manometry, are associated with acid exposure and proximal migration of refluxate.
AB - Trans-sphincteric pressure gradient (TSPG) seems to play a relevant role in
eliciting refluxes during transient lower esophageal sphincter relaxations
(TLESRs). Intra-bolus pressure (IBP) is considered to be correlated to esophageal
wall tone. We aimed to evaluate the relationship between IBP, TSPG during TLESRs
and the dynamic properties of refluxate in gastroesophageal reflux disease.
Sixteen non-erosive reflux disease (NERD), 10 erosive disease (ERD) patients and
12 healthy volunteers (HVs), underwent 24-hour impedance-pH monitoring and
combined high-resolution manometry-impedance before and 60 minutes. After a meal,
ERD patients presented a significantly lower mean IBP (4.7 +/- 1.6 mmHg) respect
to NERD patients (8.9 +/- 2.8 mmHg) and HVs (9.2 +/- 3.2 mmHg). NERD patients
with physiological abnormal acid exposure time showed a mean IBP (10.4 +/- 3.1
mmHg) significantly higher than that in NERD with pathological abnormal acid
exposure time (5.1 +/- 1.5 mmHg). The TSPG value was significantly higher during
TLESRs accompanied by reflux than during TLESRs not associated with reflux, both
in patients and in HVs. A significant direct correlation was found between IBP,
TSPG and proximal spread of refluxes in patients and in HVs. Gastroesophageal
reflux disease patients display different degrees of esophageal distension. An
increased compliance of the distal esophagus may accommodate larger volumes of
refluxate and likely facilitates the injuries development. Higher TSPG values
appear to facilitate the occurrence of refluxes during TLESRs. In patients with
NERD, higher TSPG and IBP values favor proximal spread of refluxate and hence may
play a relevant role in symptom generation.
PMID- 26542166
TI - The survival of direct composite restorations in the management of severe tooth
wear including attrition and erosion: A prospective 8-year study.
AB - OBJECTIVES: Survival of directly placed composite to restore worn teeth has been
reported in studies with small sample sizes, short observation periods and
different materials. This study aimed to estimate survival for a hybrid composite
placed by one clinician up to 8-years follow-up. METHODS: All patients were
referred and recruited for a prospective observational cohort study. One
composite was used: Spectrum((r)) (DentsplyDeTrey). Most restorations were placed
on the maxillary anterior teeth using a Dahl approach. RESULTS: A total of 1010
direct composites were placed in 164 patients. Mean follow-up time was 33.8
months (s.d. 27.7). 71 of 1010 restorations failed during follow-up. The
estimated failure rate in the first year was 5.4% (95% CI 3.7-7.0%). Time to
failure was significantly greater in older subjects (p=0.005) and when a lack of
posterior support was present (p=0.003). Bruxism and an increase in the occlusal
vertical dimension were not associated with failure. The proportion of failures
was greater in patients with a Class 3 or edge-to-edge incisal relationship than
in Class 1 and Class 2 cases but this was not statistically significant. More
failures occurred in the lower arch (9.6%) compared to the upper arch (6%) with
the largest number of composites having been placed on the maxillary incisors
(n=519). CONCLUSION: The worn dentition presents a restorative challenge but
composite is an appropriate restorative material. CLINICAL SIGNIFICANCE: This
study shows that posterior occlusal support is necessary to optimise survival.
PMID- 26542167
TI - Fascia compared to one-piece composite cartilage-perichondrium grafting for
tympanoplasty.
AB - OBJECTIVE: To evaluate the effectiveness of type 1 tympanoplasty with one-piece
composite cartilage-perichondrium (CCP) grafts compared to temporalis fascia (TF)
grafts for tympanic membrane (TM) closure and hearing improvement in adult
patients with a subtotal TM perforation and chronic otitis media (COM). DATA
SOURCES: PubMed, Embase, Cochrane Library. REVIEW METHODS: A systematic search
was conducted. Relevance and validity of selected articles were assessed. Studies
that scored moderate or high on relevance were included, and relevant data for
both outcomes were extracted. For the outcome of TM closure, absolute risk
differences (RD), relative risks, and number needed to treat with their
respective 95% confidence intervals were calculated when possible. RESULTS: We
retrieved 3,783 unique studies. Ten studies satisfied the eligibility criteria.
Four studies of moderate validity showed RD ranging from 0.08 to 0.13 in favor of
the CCP graft compared to the TF graft for TM closure 1 year or more
postoperatively, but this was not statistically significant. Five studies of
moderate to high validity showed no clinically relevant difference in hearing
improvement between both intervention groups at a minimum follow-up of 3 months.
The relative air-bone gap closure ranged from 5.7 to 11.5 dB in the TF group and
from 8.9 to 12.7 dB in the CCP group. CONCLUSIONS: There is no evidence of
superiority of one-piece CCP grafting over TF grafting in type 1 tympanoplasty
regarding complete closure of a subtotal perforated TM 1 year or more
postoperatively or hearing improvement at a minimum of 3 months follow-up.
Laryngoscope, 126:1662-1670, 2016.
PMID- 26542168
TI - Inactivation of Bombyx mori macula-like virus under physical conditions.
AB - The Bombyx mori macula-like virus (BmMLV) is a member of the genus Maculavirus,
family Tymoviridae, and contains a positive-sense single-stranded RNA genome.
Previously, we reported that almost all B. mori-derived cell lines have already
been contaminated with BmMLV via an unknown infection route. Since B. mori
derived cell lines are used for the baculovirus expression vector system, the
invasion of BmMLV will cause a serious safety risk in the production of
recombinant proteins. In this study, to determine the inactivation effectiveness
of BmMLV, viruses were treated with various temperatures as well as gamma and
ultraviolet (UV) light radiation. After these treatments, the virus solutions
were inoculated into BmMLV-free BmVF cells. At 7 days postinoculation, the amount
of virus in cells was evaluated by real-time reverse transcription PCR. Regarding
heat treatment, conditions under 56 degrees C for 3 h were tolerated, whereas
infectivity disappeared after treatment at 75 degrees C for 1 h. Regarding gamma
radiation treatment, viruses were relatively stable at 1 kGy; however, their
infectivity was entirely eliminated at a dose of 10 kGy. With 254 nm UV-C
treatment, viruses were still active at less than 120 mJ/cm(2); however, their
infectivity was completely lost at greater than 140 mJ/cm(2) UV-C radiation.
These results provide quantitative evidence of the potential for BmMLV
inactivation under a variety of physical conditions.
PMID- 26542169
TI - The function and interrelationship between GDF5 and ERG-010 during chondrogenesis
in vitro.
AB - Joint formation begins with the establishment of an interzone within the
cartilaginous anlagen of the future skeleton. Both GDF5 and ERG are proposed as
regulators of chondrocyte differentiation during and post interzone formation.
The aim of this study was to examine the relationship between Gdf5 and Erg
expression and downstream effects on chondrocyte gene expression. Erg expression
was identified in mouse knee joints at E13.5. Expression analyses were performed
using micromass cultures of murine C3H10T1/2 mesenchymal cells undergoing induced
chondrogenesis in the presence and absence of GDF5 and ERG. At E13.5, Erg
expression was found to surround epiphyseal chondrocytes and span the interzone
up to the intermediate zone. Erg splice forms were expressed in micromass
cultures, and their expression profile was altered by the addition of recombinant
GDF5 depending on the stage of differentiation. Overexpression of Erg-010
resulted in a downregulation of Col2a1 and Col10a1. Microarray analysis following
Erg-010 overexpression identified two potential downstream targets, Ube2b and
Osr2, which were also differentially regulated by GDF5. Erg regulation by GDF5 in
induced mesenchymal cells in vitro is dependent on the stage of chondrogenesis,
and its expression in vivo demarcates chondrocytes that are not destined to be
consumed by endochondral ossification. Functionally, Erg expression causes
downregulation of Col2a1 and Col10a1 expression and this effect is potentially
mediated by Osr2 and/or Ube2b. Combined, these data suggest a possible pathway
linking GDF5, ERG and downstream factors in the processes of chondrocyte
differentiation during articular joint formation.
PMID- 26542170
TI - Scaffold-free and scaffold-assisted 3D culture enhances differentiation of bone
marrow stromal cells.
AB - 3D cultures of stem cells can preserve differentiation potential or increase the
efficiency of methods that induce differentiation. Mouse bone marrow-derived
stromal cells (BMSCs) were cultured in 3D as scaffold-free spheroids or "mesoid
bodies" (MBs) and as aggregates on poly(lactic) acid microspheres (MB/MS). 3D
cultures demonstrated viable cells, interaction on multiple planes, altered cell
morphology, and the formation of structures similar to epithelial cell bridges.
Cell proliferation was limited in suspension cultures of MB and MB/MS; however,
cells regained proliferative capacity when transferred to flat substrates of
tissue culture plates (TCPs). Expanded as monolayer, cells retained expression of
Sca-1 and CD44 stem cell markers. 3D cultures demonstrated enhanced potential for
adipogenic and osteogenic differentiation showing higher triglyceride
accumulation and robust mineralization in comparison with TCP cultures. Enhanced
and efficient adipogenesis was also observed in 3D cultures generated in a
rotating cell culture system. Preservation of multilineage potential of BMSC was
demonstrated in 5-azacytidine treatment of 3D cultures and TCP by expression of
cardiac markers GATA4 and ACTA1 although functioning cardiomyocytes were not
derived.
PMID- 26542172
TI - Development and Validation of an Expanded Version of the Three-Factor Levenson
Self-Report Psychopathy Scale.
AB - The Levenson Self-Report Psychopathy Scale (LSRP; Levenson, Kiehl, & Fitzpatrick,
1995 ) is a brief self-report questionnaire frequently used in psychopathy
research. Although the scale has many desirable properties such as brevity and
being available in the public domain, there are also several psychometric
concerns associated with it, including low internal consistency, problematic
construct validity, and incomplete conceptual coverage of several components of
psychopathy. In 2 studies, we provide evidence that additional items can augment
the LSRP to address the aforementioned concerns. In the first study, using a
large sample of students and members of the general Australian community (n =
729), we found that an expanded 36-item, 3-factor version of the LSRP was
associated with improvements in internal consistency and construct coverage with
little degradation in model fit. In the second study, using another Australian
community sample (n = 300), we replicated the results of Study 1 and demonstrated
improvements in construct validity for the expanded 36-item, 3-factor scale
compared to the 19-item, 3-factor scale. Our results indicate that, although
slightly longer, the expanded version of the 3-factor LSRP ameliorates many of
the concerns associated with its original counterpart.
PMID- 26542171
TI - Calmodulin kinase II inhibitor regulates calcium homeostasis changes caused by
acute beta-adrenergic receptor agonist stimulation in mouse ventricular myocytes.
AB - Ca(2+)/calmodulin-dependent kinase II (CaMKII) is an important regulatory
molecule under chronic beta-adrenergic receptor agonist stimulation but cardiac
diseases also occur when beta-adrenergic elevated acutely in the circulation, of
which the most harmful is lethal arrhythmia. The purpose of this study was to
explore the effects of acute isoproterenol (ISO) stimulation on intracellular
calcium handling and evaluate whether CaMKII inhibitor may change the effects
caused by isoproterenol. Mouse ventricular myocytes were acutely isolated by
enzymatic method and divided into four groups: control group, ISO group, KN-93
group, ISO + KN-93 group. The whole-cell patch clamp was used to study the effect
of ISO and KN-93 on L-type calcium current (IL-Ca) in isolated ventricular
myocytes. The technology of laser scanning confocal microscopy was used to record
cardiomyocyte calcium transients after ISO and KN-93 were used. ISO significantly
increased current density of IL-Ca (p < 0.01) and decreased the half activation
voltage (p < 0.01), half inactivation voltage (p < 0.01), and the recovery time
constant (p < 0.01). In the presence of CaMKII inhibitor, KN-93 decreased the
increased current density of IL-Ca (p < 0.05), increased the reduced half
activation voltage caused by ISO (p < 0.01), and prolonged the shortened recovery
time constant caused by ISO (p < 0.01). In addition, KN-93 alone can change the
activation, inactivation, and recovery kinetics of L-type calcium channels.
Moreover, ISO significantly increased the Ca(2+) transient amplitude during both
stimulation frequencies (0.5 Hz: p < 0.01, 1 Hz: p < 0.01) and was easy to induce
calcium disorders; in the presence of KN-93, these changes were weakened (0.5 Hz:
p < 0.05, 1 Hz: p < 0.05). Therefore, changes of the calcium homeostasis in
cardiomyocytes caused by ISO can be adjusted by KN-93, thus KN-93 plays a vital
role in regulating calcium homeostasis changes caused by ISO.
PMID- 26542174
TI - Pregnancy in Women With Structural Heart Disease: Experience in a Centre.
PMID- 26542173
TI - ZFP36L1 promotes monocyte/macrophage differentiation by repressing CDK6.
AB - RNA binding proteins (RBPs)-mediated post-transcriptional control has been
implicated in influencing various aspects of RNA metabolism and playing important
roles in mammalian development and pathological diseases. However, the functions
of specific RBPs and the molecular mechanisms through which they act in
monocyte/macrophage differentiation remain to be determined. In this study,
through bioinformatics analysis and experimental validation, we identify that
ZFP36L1, a member of ZFP36 zinc finger protein family, exhibits significant
decrease in acute myeloid leukemia (AML) patients compared with normal controls
and remarkable time-course increase during monocyte/macrophage differentiation of
PMA-induced THP-1 and HL-60 cells as well as induction culture of CD34(+)
hematopoietic stem/progenitor cells (HSPCs). Lentivirus-mediated gain and loss of
function assays demonstrate that ZFP36L1 acts as a positive regulator to
participate in monocyte/macrophage differentiation. Mechanistic investigation
further reveals that ZFP36L1 binds to the CDK6 mRNA 3'untranslated region bearing
adenine-uridine rich elements and negatively regulates the expression of CDK6
which is subsequently demonstrated to impede the in vitro monocyte/macrophage
differentiation of CD34(+) HSPCs. Collectively, our work unravels a ZFP36L1
mediated regulatory circuit through repressing CDK6 expression during
monocyte/macrophage differentiation, which may also provide a therapeutic target
for AML therapy.
PMID- 26542175
TI - Conduction Abnormalities and Pacemaker Implantations After SAPIEN 3 Vs SAPIEN XT
Prosthesis Aortic Valve Implantation.
AB - INTRODUCTION AND OBJECTIVES: Transcatheter aortic valve implantation is
increasingly used in patients with aortic stenosis. Post-procedural
intraventricular conduction abnormalities and permanent pacemaker implantations
remain a serious concern. Recently, the Edwards SAPIEN 3 prosthesis has replaced
the SAPIEN XT. We sought to determine the incidences of new-onset
intraventricular conduction abnormalities and permanent pacemaker implantations
by comparing the 2 devices. METHODS: We analyzed the last consecutive 103
patients undergoing transcatheter aortic valve implantation with SAPIEN XT before
SAPIEN 3 was used in the next 105 patients. To analyze permanent pacemaker
implantations and new-onset intraventricular conduction abnormalities, patients
with these conditions at baseline were excluded. Electrocardiograms were recorded
at baseline, after the procedure, and before discharge. RESULTS: SAPIEN 3 was
associated with higher device success (100% vs 92%; P=.005) and less paravalvular
leakage (0% vs 7%; P<.001). The incidence of permanent pacemaker implantations
was 12.6% (23 of 183) with no difference between the 2 groups (SAPIEN 3: 12.5%
[12 of 96] vs SAPIEN XT: 12.6% [11 of 87]; P=.99). SAPIEN 3 was associated with a
higher rate of new-onset intraventricular conduction abnormalities (49% vs 27%;
P=.007) due to a higher rate of fascicular blocks (17% vs 5%; P=.021). There was
no statistically significant difference in transient (29% [20 of 69] vs
persistent 19% [12 of 64]; P=.168) left bundle branch blocks (28% [19 of 69] vs
17% [11 of 64]; P=.154) when SAPIEN 3 was compared with SAPIEN XT. CONCLUSIONS:
We found a trend toward a higher rate of new-onset intraventricular conduction
abnormalities with SAPIEN 3 compared with SAPIEN XT, although this did not result
in a higher permanent pacemaker implantation rate.
PMID- 26542176
TI - Endostatin exerts radiosensitizing effect in non-small cell lung cancer cells by
inhibiting VEGFR2 expression.
AB - BACKGROUND: To determine the effects of endostatin on vascular growth factor
receptor 2 (VEGFR2) expression in non-small cell lung cancer (NSCLC) cells and
the mechanisms underlying its radiosensitizing effect. METHODS: VEGFR2 mRNA
levels were determined in different NSCLC cell lines using qRT-PCR. RT-PCR and
Western blot assays were used to assess the expression of mRNA and proteins. The
radiosensitivity of the cells was determined by colony-formation assays; and cell
apoptosis and cell cycle distribution were determined by flow cytometry. RESULTS:
VEGFR2 mRNA levels differed among the five NSCLC cell lines (P < 0.01), with the
highest expression in Calu-1 cells and lowest in A549 cells. Endostatin
significantly inhibited the growth of Calu-1 cells (P < 0.01) (IC20 = 296.5
MUg/ml), and the expression of VEGFR2 and HIF-1alpha (P < 0.05). Phosphorylation
of protein kinase B (Akt), extracellular signal-regulated kinases 1/2 (ERK1/2),
and p38 were significantly lower in endostatin-treated cells than control (P <
0.05). Endostatin enhanced the radiosensitivity of Calu-1 cells to SER = 1.38 and
induced apoptosis (P < 0.01) and G2/M blockage (P < 0.01). However, endostatin
had limited effects on A549 cells. Compared with Calu-1 cells, there was not
significantly effects on cell radiosensitivity (SER = 1.09). CONCLUSIONS:
Endostatin induces apoptosis and enhances radiosensitivity of the VEGFR2 high
expressing cell line Calu-1, but it has a limited effect on the VEGFR2 low
expressing cell line A549.
PMID- 26542177
TI - GEINOFOTE: efficacy and safety of fotemustine in patients with high-grade
recurrent gliomas and poor performance status.
AB - PURPOSE: The treatment of recurrent high-grade gliomas (HGG) is controversial.
There are different therapeutic schedules but without a clear orientation about
which of them should be used in each clinical situation. In addition, when
patients suffer a second recurrence or they have poor performance status, they
are excluded from clinical trials, although second recurrences and poor
performance status are indeed more and more real and common situations in the
clinical setting. In this study, we assessed the efficacy and safety of
fotemustine (FTM) in HGG [fundamentally, glioblastomas (GB)], independent of time
of recurrence or performance status. METHODS/PATIENTS: Retrospective study in HGG
patients treated with FTM in second or further line according to standard, the
Addeo or any other scheme, starting treatment prior to 30 November 2012. Included
patients reflect the regular situation in which the drug is used in terms of
comorbidities and analytic situation (hematologic, renal and hepatic functions).
Response assessment was performed by MRI and according to the clinical protocols
of each center (every 8-12 weeks). Clinical situation and supportive care drugs
were evaluated in each medical consultation. Clinical end-points analyzed, among
others, were: PFS-6, PFS, OS, response rates, toxicity, quality of life and
neurocognitive impact. RESULTS: In terms of activity, an overall response rate of
8 % was observed: partial response 6 % (7 patients) and complete response 2 % (2
patients). The median time to achieve the greater response with FTM was 73 days
(4-841 days). Patients treated according to the Addeo schedule had a shorter time
to greater response in comparison with other schedules (85.9 vs 114 days),
although without statistical significance. There were no significant differences
in progression-free survival (PFS) when comparing different FTM schedules or
using FTM in first or second recurrence. Median PFS: 3 months. PFS-6: 30.3 %.
Overall survival (OS): although without significant differences, a tendency to
better survival when using the Addeo schedule versus other schedules was observed
(at 6 months, 44.6 vs 34.5 %; at 12 months, 25 vs 23.6 %; at 18 months, 11.5 vs
7.9 %), as well as if earlier use (second vs third line) concerning OS-12 (33.7
vs 18.2 %). Median OS: 5.2 months. Grades 3-4 toxicity was 28 % (31 patients),
being neutropenia (4 %) and thrombocytopenia (17 %) the most frequent adverse
reactions. From quality of life and neuro-cognitive function perspectives, 11
patients (10 %) and 16 (14 %) improved the Karnofsky Index and neurological
impairment, respectively, after FTM treatment. CONCLUSION: This study has shown
that FTM is safe and has a comparable activity with other available therapeutic
options of use in the treatment of recurrent HGG.
PMID- 26542178
TI - Prognostic value of ERCC1, RRM1, BRCA1 and SETDB1 in early stage of non-small
cell lung cancer.
AB - INTRODUCTION: Nowadays, 40 % of early-stage NSCLC patients relapse in the 2 years
following resection, suggesting a mis-staging in this group of patients who are
not receiving adjuvant chemotherapy. Although different biomarkers, such as
ERCC1, RRM1 and BRCA1 have been found to present prognostic value in advanced
NSCLC patients, in early-stage NSCLC patients its relevance remains unclear.
Moreover, SETDB1 has been recently proposed as a bona fide oncogene in lung
tumourigenesis and related with metastasis. The aim of the present study was to
analyze the prognostic value of ERCC1, RRM1, BRCA1 and SETDB1 expression levels
in NSCLC patients at stage I. PATIENTS AND METHODS: ERCC1, RRM1, BRCA1 and SETDB1
expression at mRNA level was analyzed by real-time quantitative RT-PCR in fresh
frozen tumor and normal adjacent lung tissue samples from 64 stage I NSCLC
patients. Later, significant association between gene expression levels,
clinicopathological characteristics and patient's disease-free survival was
assessed. RESULTS: We did not find any statistically significant correlation
between gene expression and gender, age, histological type or smoking status.
Univariate followed by multivariate Cox analysis showed that higher levels of
BRCA1 and SETDB1 expression were significantly associated with shorter disease
free survival in stage I NSCLC patients. CONCLUSION: Our study finds that ERCC1
and RRM1 are not independent prognostic factors of recurrence in stage I NSCLC
patients. By contrast, BRCA1 and SETDB1 stand out as the most significant
prognostic markers in this group of patients, appearing as promising tools to
predict tumor recurrence in early-stage NSCLC patients.
PMID- 26542180
TI - Our panel of experts highlight the most important research articles across the
spectrum of topics relevant to the field of regenerative medicine.
PMID- 26542179
TI - The clinical phenotype of YWHAE-NUTM2B/E positive pediatric clear cell sarcoma of
the kidney.
AB - Clear cell sarcoma of the kidney (CCSK) although uncommon, is the second most
frequent renal malignancy of childhood. Until now, the sole recurrent genetic
aberration identified in CCSKs is t(10;17)(q22;p13), which gives rise to a fusion
transcript of YWHAE and NUTM2B/E. So far, the clinical relevance of this fusion
transcript is unknown. The aim of this descriptive study was to determine the
clinical phenotype of t(10;17)(q22;p13) positive CCSKs. Snap-frozen tissues,
formalin-fixed paraffin-embedded tissues or RNA previously extracted from CCSK
samples throughout European, North-American and Japanese study groups were
screened by RT-PCR for the YWHAE-NUTM2B/E transcript. Clinical characteristics,
tumor characteristics, and outcome of patients with and without the fusion
transcript were studied. The cohort comprised 51 previously published cases to
which were added 139 internationally collected CCSK samples. RNA from 57 of these
additionally collected cases was of sufficient quality to be successfully
screened for the YWHAE-NUTM2B/E transcript. In total, seven of the 108 cases
harbored the fusion transcript. Patients with tumors containing the fusion
transcript were relatively young (median age 10 months), had associated low
median tumor volumes and stage I disease was not observed in these patients. Two
of seven patients relapsed and one of seven patients died of disease. Ranges of
values were not overtly different between patients with and without the fusion
transcript; however, the number of fusion transcript positive cases turned out to
be too small to permit reliable statistical analysis. The current study did not
identify an explicit clinical phenotype of CCSK cases harboring the YWHAE
NUTM2B/E fusion transcript.
PMID- 26542181
TI - Difference in vacuum-formed mouthguard thickness according to timing of vacuum
application.
AB - AIM: The purpose of this study was to examine the differences of the vacuum
formed mouthguard thickness by the timing of vacuum application. MATERIALS AND
METHODS: The material used in this study was a mouthguard sheet of 3.8-mm
ethylene vinyl acetate. Three conditions of the timing of vacuum application were
examined: the vacuum was applied immediately, 5 s after, and 10 s after the sheet
holder was lowered over the vacuum-forming stand. We measured mouthguard
thickness at the labial surface of the central incisor, buccal surface of the
first molar, and occlusal surface of the first molar. Differences in thickness in
different regions of mouthguards formed under different timing of vacuum
application were analyzed by two-way analysis of variance and Bonferroni method.
RESULTS: We found that mouthguard thickness differed in different regions of the
central incisors and the first molars (P < 0.01). The mouthguard thickness at the
labial surface of the central incisor and buccal surface of the first molar
became thinner when the vacuum was applied immediately after the sheet holder was
lowered over the forming stand. The thickness at the occlusal surface of the
first molar did not vary with the timing of vacuum application. CONCLUSIONS: Our
results suggest that the thicknesses of the labial surface of the central incisor
and buccal surface of the first molar became larger when the vacuum was applied
several seconds after the sheet holder was lowered over the forming stand. This
finding is necessary knowledge when forming a mouthguard sheet.
PMID- 26542182
TI - Differential uptake and cross-presentation of soluble and necrotic cell antigen
by human DC subsets.
AB - Cross-presentation is the mechanism by which exogenous Ag is processed for
recognition by CD8(+) T cells. Murine CD8alpha(+) DCs are specialized at cross
presenting soluble and cellular Ag, but in humans this process is poorly
characterized. In this study, we examined uptake and cross-presentation of
soluble and cellular Ag by human blood CD141(+) DCs, the human equivalent of
mouse CD8alpha(+) DCs, and compared them with human monocyte-derived DCs (MoDCs)
and blood CD1c(+) DC subsets. MoDCs were superior in their capacity to
internalize and cross-present soluble protein whereas CD141(+) DCs were more
efficient at ingesting and cross-presenting cellular Ag. Whilst cross
presentation by CD1c(+) DCs and CD141(+) DCs was dependent on the proteasome, and
hence cytosolic translocation, cross-presentation by MoDCs was not. Inhibition of
endosomal acidification enhanced cross-presentation by CD1c(+) DCs and MoDCs but
not by CD141(+) DCs. These data demonstrate that CD1c(+) DCs, CD141(+) DCs, and
MoDCs are capable of cross-presentation; however, they do so via different
mechanisms. Moreover, they demonstrate that human CD141(+) DCs, like their murine
CD8alpha(+) DC counterparts, are specialized at cross-presenting cellular Ag,
most likely mediated by an enhanced capacity to ingest cellular Ag combined with
subtle changes in lysosomal pH during Ag processing and use of the cytosolic
pathway.
PMID- 26542184
TI - Identifying medical wearables and sensor technologies that deliver data on
clinical endpoints.
PMID- 26542183
TI - Dense sampling reveals behavioral oscillations in rapid visual categorization.
AB - Perceptual systems must create discrete objects and events out of a continuous
flow of sensory information. Previous studies have demonstrated oscillatory
effects in the behavioral outcome of low-level visual tasks, suggesting a cyclic
nature of visual processing as the solution. To investigate whether these effects
extend to more complex tasks, a stream of "neutral" photographic images (not
containing targets) was rapidly presented (20 ms/image). Embedded were one or two
presentations of a randomly selected target image (vehicles and animals).
Subjects reported the perceived target category. On dual-presentation trials, the
ISI varied systematically from 0 to 600 ms. At randomized timing before first
target presentation, the screen was flashed with the intent of creating a phase
reset in the visual system. Sorting trials by temporal distance between flash and
first target presentation revealed strong oscillations in behavioral performance,
peaking at 5 Hz. On dual-target trials, longer ISIs led to reduced performance,
implying a temporal integration window for object category discrimination. The
"animal" trials exhibited a significant oscillatory component around 5 Hz. Our
results indicate that oscillatory effects are not mere fringe effects relevant
only with simple stimuli, but are resultant from the core mechanisms of visual
processing and may well extend into real-life scenarios.
PMID- 26542186
TI - Covalent bonding of homochiral metal-organic framework in capillaries for
stereoisomer separation by capillary electrochromatography.
AB - In this work, a [Cu(mal)(bpy)]?H2O (mal, L-(-)-malic acid; bpy, 4,4'-bipyridyl)
homochiral metal-organic frameworks (MOFs) was synthesized and used for modifying
the inner walls of capillary columns by utilizing amido bonds to form covalent
links between the MOFs particles and capillary inner wall. The synthesized
[Cu(mal)(bpy)]?H2 O and MOFs-modified capillary column were characterized by X
ray diffraction, thermogravimetric analysis, particle size distribution analysis,
nitrogen absorption characterization, FTIR spectroscopy, SEM, and energy
dispersive X-ray spectroscopy (EDX). The MOFs-modified capillary column was used
for the stereoisomer separation of some drugs. The LODs and LOQs of six analytes
were 0.1 and 0.25 MUg/mL, respectively. The linear range was 0.25-250 MUg/mL for
ephedrine, 0.25-250 MUg/mL for pseudoephedrine, 0.25-180 MUg/mL for D
penicillamine, 0.25-120 MUg/mL for L-penicillamine, 0.25-180 MUg/mL for D
phenylalanine, and 0.25-160 MUg/mL for L-phenylalanine, all with R(2) > 0.999.
Finally, the MOFs-modified capillary column was applied for the analysis of
active ingredients in a real sample of the traditional Chinese medicine ephedra.
PMID- 26542187
TI - High-volume surgeons vs high-volume hospitals: are best outcomes more due to who
or where?
AB - BACKGROUND: High-volume hospitals are purported to provide "best" outcomes. We
undertook this study to evaluate the outcomes after pancreaticoduodenectomy when
high-volume surgeons relocate to a low-volume hospital (ie, no
pancreaticoduodenectomies in >5 years). METHODS: Outcomes after the last 50
pancreaticoduodenectomies undertaken at a high-volume hospital in 2012 (ie,
before relocation) were compared with the outcomes after the first 50
pancreaticoduodenectomies undertaken at a low-volume hospital (ie, after
relocation) in 2012 to 2013. RESULTS: Patients undergoing
pancreaticoduodenectomies at a high-volume vs a low-volume hospital were not
different by age or sex. Patients who underwent pancreaticoduodenectomy at the
low-volume hospital had shorter operations with less blood loss, spent less time
in the intensive care unit, and had shorter length of stay (P < .05 for each); 30
day mortality and 30-day readmission rates were not different. CONCLUSIONS: The
salutary benefits of undertaking pancreaticoduodenectomy at a high-volume
hospital are transferred to a low-volume hospital when high-volume surgeons
relocate. The "best" results follow high-volume surgeons.
PMID- 26542185
TI - Preventing environmental enteric dysfunction through improved water, sanitation
and hygiene: an opportunity for stunting reduction in developing countries.
AB - In 2011, one in every four (26%) children under 5 years of age worldwide was
stunted. The realization that most stunting cannot be explained by poor diet or
by diarrhoea, nor completely reversed by optimized diet and reduced diarrhoea has
led to the hypothesis that a primary underlying cause of stunting is subclinical
gut disease. Essentially, ingested microbes set in motion two overlapping and
interacting pathways that result in linear growth impairment. Firstly, partial
villous atrophy results in a reduced absorptive surface area and loss of
digestive enzymes. This in turn results in maldigestion and malabsorption of much
needed nutrients. Secondly, microbes and their products make the gut leaky,
allowing luminal contents to translocate into systemic circulation. This creates
a condition of chronic immune activation, which (i) diverts nutrient resources
towards the metabolically expensive business of infection fighting rather than
growth; (ii) suppresses the growth hormone-IGF axis and inhibits bone growth,
leading to growth impairment; and (iii) causes further damage to the intestinal
mucosa thereby exacerbating the problem. As such, the unhygienic environments in
which infants and young children live and grow must contribute to, if not be the
overriding cause of, this environmental enteric dysfunction. We suggest that a
package of baby-WASH interventions (sanitation and water improvement, handwashing
with soap, ensuring a clean play and infant feeding environment and food hygiene)
that interrupt specific pathways through which feco-oral transmission occurs in
the first two years of a child's life may be central to global stunting reduction
efforts.
PMID- 26542188
TI - Insulin dependence as an independent predictor of perioperative morbidity after
ventral hernia repair: a National Surgical Quality Improvement Program analysis
of 45,759 patients.
AB - BACKGROUND: Although diabetes mellitus has been identified as a predictor of
perioperative morbidity after ventral hernia repair (VHR), it is unclear whether
insulin-dependent diabetes mellitus (IDDM) and non-insulin-dependent diabetes
mellitus (NIDDM) confer the same degree of risk. We examined the variable effect
of IDDM and NIDDM on 30-day medical and surgical complications after VHR.
METHODS: We performed a retrospective analysis of patients in the National
Surgical Quality Improvement Program database from 2005 to 2012 undergoing VHR.
After perioperative variable comparison, regression analysis was performed to
determine whether IDDM and/or NIDDM independently predicted increased
complications after proper risk adjustment. RESULTS: A total of 45,759 patients
were identified to have undergone VHR. Of these, 38,026 patients (83.1%) were not
diabetic, 5,252 (11.5%) were NIDDM patients, and 2,481 (5.4%) were IDDM patients.
After controlling for other risk factors, we found that IDDM independently
predicted increased rates of overall, surgical, and medical complications (odds
ratio, 1.284, 1.251, 1.263, respectively) in open repair. IDDM independently
predicted increased overall and medical complications (odds ratio, 1.997, 1.889,
respectively) but not surgical complications in laparoscopic repair. NIDDM was
not significantly associated with any complication type in either procedure type.
CONCLUSIONS: Our present study suggests that much of the perioperative risk
associated with diabetes is attributable to IDDM. The effect of IDDM on
laparoscopic and open repair is subtly different. IDDM demonstrates increased
overall and medical complications in laparoscopic repair and increased overall,
medical, and surgical complications in open repair. Of note, IDDM does not
independently predict increased risk for surgical complications in laparoscopic
repair.
PMID- 26542189
TI - Postoperative complications and patient satisfaction: does payer status have an
impact?
AB - BACKGROUND: Patient demographics and outcomes may influence patient satisfaction.
We aim to investigate the relationship between postoperative complications and
survey-based satisfaction in the context of payer status. METHODS: Institutional
data were used to identify major complication occurrence and linked to patient
satisfaction surveys. The impact of complication occurrence on satisfaction was
investigated and stratified by payer status. RESULTS: In all, 1,597 encounters
were identified with an 18% major complication rate. Satisfaction scores in
specific domains were significantly more likely to be above the median for
patients without complications (P < .01) and for payer status Medicaid/low income
(P < .05). In sensitivity analyses, we found no significant interactions among
payer status, complications, and satisfaction scores. CONCLUSIONS: Significant
differences exist for individual satisfaction survey domains between patients
with and without major postoperative complications and by payer status. Payer
status was not found to have an impact on the intersection of major complications
and patient satisfaction.
PMID- 26542190
TI - High-Strength Composite Fibers from Cellulose-Lignin Blends Regenerated from
Ionic Liquid Solution.
AB - Composite fibres that contain cellulose and lignin were produced from ionic
liquid solutions by dry-jet wet spinning. Eucalyptus dissolving pulp and
organosolv/kraft lignin blends in different ratios were dissolved in the ionic
liquid 1,5-diazabicyclo[4.3.0]non-5-enium acetate to prepare a spinning dope from
which composite fibres were spun successfully. The composite fibres had a high
strength with slightly decreasing values for fibres with an increasing share of
lignin, which is because of the reduction in crystallinity. The total orientation
of composite fibres and SEM images show morphological changes caused by the
presence of lignin. The hydrophobic contribution of lignin reduced the vapour
adsorption in the fibre. Thermogravimetric analysis curves of the composite
fibres reveal the positive effect of the lignin on the carbonisation yield.
Finally, the composite fibre was found to be a potential raw material for textile
manufacturing and as a precursor for carbon fibre production.
PMID- 26542191
TI - Delayed absorbable synthetic plug (GORE(r) BIO-A(r)) for the treatment of fistula
in-ano: a systematic review.
AB - AIM: Recent advances in the treatment of fistula-in-ano have focused on surgical
techniques that preserve sphincter integrity. Plugs that obliterate the lumen of
the fistula track have been proposed as one such method, and may be derived from
biological or delayed absorbable synthetic materials. Biological plugs have
highly variable results and have not been widely adopted. The aim of this
systematic review was to assess the effectiveness and safety of a delayed
absorbable synthetic plug (GORE(r) BIO-A(r)) for treatment of anal fistula.
METHOD: A systematic review of all literature in the English language relevant to
the use of a plug to treat anal fistula and published between 1 January 2008 and
15 February 2015 was carried out by searching MEDLINE, EMBASE and the Cochrane
Library of Systematic Reviews/Controlled Trials for relevant literature. Relevant
articles were identified, quality assessed using the methodological index for
nonrandomized studies criteria and data were extracted by two independent
researchers (SKN and NNA). The identified articles were assessed with regard to
fistula healing rate, duration of follow-up and complication rates related to the
use of delayed absorbable synthetic fistula plugs. RESULTS: Twenty six potential
articles were identified from the literature search. Using the predefined
inclusion and exclusion criteria, six were included in the final analysis, data
extraction and data synthesis. Of these included in the review only three were
prospective in design. Complete data were available for 187 of the 221 patients
who underwent this treatment. The age of the participants ranged from 19 to 82
years. The fistula healing rates were reported to be between 15.8% and 72.7% at a
follow-up ranging between 2 and 19 months. Early or delayed plug extrusion
occurred in 16 (8.5%) of the 187 patients. Deterioration in continence was
reported in 11 (5.8%) of 187 patients. CONCLUSION: There are insufficient high
quality data on the delayed absorbable synthetic (GORE(r) BIO-A(r)) fistula plug
to draw meaningful conclusions regarding its effectiveness. It does, however,
appear to be a simple and safe technique associated with low complication rates
and a minor deterioration in continence in a few cases.
PMID- 26542192
TI - On-farm habitat restoration counters biotic homogenization in intensively managed
agriculture.
AB - To slow the rate of global species loss, it is imperative to understand how to
restore and maintain native biodiversity in agricultural landscapes. Currently,
agriculture is associated with lower spatial heterogeneity and turnover in
community composition (beta-diversity). While some techniques are known to
enhance alpha-diversity, it is unclear whether habitat restoration can re
establish beta-diversity. Using a long-term pollinator dataset, comprising ~9,800
specimens collected from the intensively managed agricultural landscape of the
Central Valley of California, we show that on-farm habitat restoration in the
form of native plant 'hedgerows', when replicated across a landscape, can boost
beta-diversity by approximately 14% relative to unrestored field margins, to
levels similar to some natural communities. Hedgerows restore beta-diversity by
promoting the assembly of phenotypically diverse communities. Intensively managed
agriculture imposes a strong ecological filter that negatively affects several
important dimensions of community trait diversity, distribution, and uniqueness.
However, by helping to restore phenotypically diverse pollinator communities,
small-scale restorations such as hedgerows provide a valuable tool for conserving
biodiversity and promoting ecosystem services.
PMID- 26542193
TI - Characteristics of Resting Metabolic Rate in Critically Ill, Mechanically
Ventilated Adults With Cystic Fibrosis.
AB - BACKGROUND: Critically ill patients with cystic fibrosis may be especially
sensitive to the negative consequences of overfeeding and underfeeding, yet there
is almost no information available about the energy needs of these patients. The
purpose of this study was to characterize the metabolic rate of critically ill
adult patients with cystic fibrosis requiring mechanical ventilation. METHODS:
This was an observational study in which the resting metabolic rate, oxygen
consumption, and carbon dioxide production of adult patients with cystic fibrosis
requiring critical care, sedation, and mechanical ventilation were measured with
indirect calorimetry. This group was compared with a cohort of adult critical
care patients without cystic fibrosis. RESULTS: Twelve patients with cystic
fibrosis were identified and measured. These were compared with a control group
of 25 critically ill patients. Both groups were underweight (body mass index,
17.4 +/- 4.0 kg/m2 in cystic fibrosis and 18.4 +/- 2.3 kg/m2 in control).
Adjusting for differences in age, sex, height, and weight, there was no
difference in resting metabolic rate between the cystic fibrosis and control
groups (1702 +/- 193 vs 1642 +/- 194 kcal/d, P = .388). Measured resting
metabolic rate matched predicted values 58% of the time in cystic fibrosis and
60% of the time in control. CONCLUSIONS: The resting metabolic rate of sedated
adult patients with cystic fibrosis being assisted with mechanical ventilation is
not different from that of adult critical care patients without cystic fibrosis.
In both these underweight groups, accurate prediction of resting metabolic rate
is difficult to obtain.
PMID- 26542194
TI - CdTe/ZnS quantum dots as fluorescent probes for ammonium determination.
AB - Novel CdTe/ZnS quantum dot (QD) probes based on the quenching effect were
proposed for the simple, rapid, and specific determination of ammonium in aqueous
solutions. The QDs were modified using 3-mercaptopropionic acid, and the
fluorescence responses of the CdTe/ZnS QD probes to ammonium were detected
through regularity quenching. The quenching levels of the CdTe/ZnS QDs and
ammonium concentration showed a good linear relationship between 4.0 * 10(-6) and
5.0 * 10(-4) mol/L; the detection limit was 3.0 * 10(-7) mol/L. Ammonium contents
in synthetic explosion soil samples were measured to determine the practical
applications of the QD probes and a probable quenching mechanism was described.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26542195
TI - Both exhaled nitric oxide and blood eosinophil count were associated with mild
allergic asthma only in non-smokers.
AB - BACKGROUND: The fractional exhaled nitric oxide (FENO) and the blood eosinophil
count (B-eos) are markers of eosinophilic inflammation used in the diagnosis and
management of asthma. The relationships between smoking cigarette and both FENO
and B-eos are complex and raise questions about the association between these
markers and asthma in smokers. OBJECTIVE: To determine the relationships between
both FENO and B-eos on one hand and asthma and atopy on the other, according to
smoking status. METHODS: FENO and B-eos were measured in, respectively, 1579 and
1496 of the 1607 middle-aged adults randomly selected from the general population
in the cross-sectional ELISABET survey. Allergic asthma was defined as asthma (a
self-report of physician-diagnosed asthma, and wheezing in the previous 12 months
or the use of asthma medications) with atopy (allergic rhinitis or hayfever in
the previous 12 months, or a previous positive prick test or allergen
desensitization therapy). Non-allergic asthma was defined as asthma without
atopy. RESULTS: The analysis included 812 (51.4%) never, 473 (30%) former and 294
(18.6%) current smokers. A total of 490 (32%) participants were atopic, 80 (5.1%)
had allergic asthma, and 31 (2%) had non-allergic asthma. Only 16.2% (18/111) of
asthmatics were treated with glucocorticoid inhalants, suggesting that among them
a majority of participants had mild asthma. A positive interaction between
smoking status and allergic asthma was observed in multivariate models explaining
FENO (P = 0.003) and B-eos (P = 0.001). Thus, compared to those without allergic
asthma, participants with allergic asthma had higher FENO values (+ 63.4%, 95% CI
= [39; 92]) and higher B-eos (+ 63.2% [38.2; 92.7]) in never and former smokers,
but not in current smokers. Lastly, an analysis of receiver-operating
characteristic curves showed that each of the two markers was able to
discriminate moderately allergic asthma but only in non-smokers. CONCLUSIONS &
CLINICAL RELEVANCE: FENO and B-eos were associated with the presence of mild
allergic asthma only in non-smokers, not in current smokers. These findings raise
questions about the clinical value of FENO and B-eos in smokers.
PMID- 26542196
TI - Use of dorsal intercostal artery perforator flap in the repair of back defects.
AB - BACKGROUND: The dorsal intercostal artery perforator (DICAP) flap is a well
vascularised flap that is elevated above the dorsal branch of the vertebral
segments of the posterior intercostal artery. The aim of this study was to repair
back defects using DICAP flaps. MATERIALS AND METHODS: Eight patients who had
undergone reconstruction with DICAP flaps for defects located on the back of the
torso due to conditions of various aetiologies between 2011-2014 were included in
this study. Patient age and gender, aetiology of the condition, dimensions of the
defect and the flap, site of the defect, and postoperative complications were
recorded. RESULTS: Three females and five males were included in this study. The
age of the patients ranged between 19-71 years (mean = 53.6 years). The aetiology
was skin tumour in five patients and pressure wound, gunshot injury, and plate
screw exposition subsequent to spinal surgery in one patient each. The sites of
the defects were successfully closed in all patients, and no flap loss was
observed in any patient. CONCLUSIONS: DICAP flaps have some advantages compared
to conventional muscle and muscle skin flaps, such as greater protection of
muscle functions, less invasiveness, and lower donor site morbidity. This flap
has a high mobilisation capacity due to its elevation above nine bilateral
perforator arteries. Therefore, the DICAP flap is useful for the repair of median
and paramedian back defects. Based on its advantages, it is suggested that the
DICAP flap should be considered as a useful option for the repair of back
defects.
PMID- 26542197
TI - Effect of socioeconomic deprivation on uptake of measles, mumps and rubella
vaccination in Liverpool, UK over 16 years: a longitudinal ecological study.
AB - Suboptimal uptake of the measles, mumps and rubella (MMR) vaccine by certain
socioeconomic groups may have contributed to recent large measles outbreaks in
the UK. We investigated whether socioeconomic deprivation was associated with MMR
vaccine uptake over 16 years. Using immunization data for 72,351 children born
between 1995 and 2012 in Liverpool, UK, we examined trends in vaccination uptake.
Generalized linear models were constructed to examine the relative effect of
socioeconomic deprivation and year of birth on MMR uptake. Uptake of MMR1 by age
24 months ranged between 82.5% in 2003 [95% confidence interval (CI) 81.2-83.7]
and 93.4% in 2012 (95% CI 92.7-94.2). Uptake of MMR2 by age 60 months ranged
between 65.3% (95% CI 64.4-67.4) in 2006 and 90.3% (95% CI 89.4-91.2) in 2012. In
analysis adjusted for year of birth and sex, children in the most deprived
communities were at significantly greater risk of not receiving MMR1 [risk ratio
(RR) 1.70, 95% CI 1.45-1.99] and MMR2 (RR 1.36, 95% CI 1.22-1.52). Higher
unemployment and lower household income were significantly associated with low
uptake. Contrary to concerns about lower MMR uptake in affluent families, over 16
years, children from the most socioeconomically deprived communities have
consistently had the lowest MMR uptake. Targeted catch-up campaigns and
strategies to improve routine immunization uptake in deprived areas are needed to
minimize the risk of future measles outbreaks.
PMID- 26542198
TI - A high-performance liquid chromatography method for the serotonin release assay
is equivalent to the radioactive method.
AB - INTRODUCTION: The serotonin release assay (SRA) is considered the gold standard
laboratory test for heparin-induced thrombocytopenia (HIT). The historic SRA
method uses platelets loaded with radiolabeled serotonin to evaluate platelet
activation by HIT immune complexes. However, a nonradioactive method is
desirable. We report the performance characteristics of a high-performance liquid
chromatography (HPLC) SRA method. METHODS: We validated the performance
characteristics of an HPLC-SRA method, including correlation with a reference
laboratory using the radioactive method. Serotonin released from reagent
platelets was quantified by HPLC using fluorescent detection. Results were
expressed as % release and classified as positive, negative, or indeterminate
based on previously published cutoffs. RESULTS: Serum samples from 250 subjects
with suspected HIT were tested in the HPLC-SRA and with the radioactive method.
Concordant classifications were observed in 230 samples (92%). Sera from 41
healthy individuals tested negative. Between-run imprecision studies showed
standard deviation of <6 (% release) for positive, weak positive, and negative
serum pools. Stability studies demonstrated stability after two freeze-thaw
cycles or up to a week of refrigeration. CONCLUSION: The HPLC-SRA has robust
performance characteristics, equivalent to the historic radioactive method, but
avoids the complexities of working with radioactivity.
PMID- 26542199
TI - Structure-based virtual screening and experimental validation of the discovery of
inhibitors targeted towards the human coronavirus nucleocapsid protein.
AB - Nucleocapsid protein (NP), an essential RNA-binding viral protein in human
coronavirus (CoV)-infected cells, is required for the replication and
transcription of viral RNA. Recent studies suggested that human CoV NP is a valid
target for antiviral drug development. Based on this aspect, structure-based
virtual screening targeting nucleocapsid protein (NP) was performed to identify
good chemical starting points for medicinal chemistry. The present study utilized
structure-based virtual screening against human CoV-OC43 using the Zinc database,
which is performed through docking with varying precisions and computational
intensities to identify eight potential compounds. The chosen potential leads
were further validated experimentally using biophysical means. Surface plasmon
resonance (SPR) analysis indicated that one among the potential leads, 6-chloro-7
(2-morpholin-4-yl-ethylamino) quinoxaline-5,8-dione (small-compound H3),
exhibited a significant decrease of RNA-binding capacity of NP by more than 20%.
The loss of binding activity was manifested as a 20% decrease in the minimum on
rate accompanied with a 70% increase in the maximum off-rate. Fluorescence
titration and X-ray crystallography studies indicated that H3 antagonizes the
binding between HCoV-OC43 NP and RNA by interacting with the N-terminal domain of
the NP. Our findings provide insight into the development of new therapeutics
that disrupt the interaction between RNA and viral NP in the HCoV. The discovery
of the new compound would be an impetus to design novel NP inhibitors against
human CoV.
PMID- 26542200
TI - Is Arthroscopy Techniques Podium Material? Is Arthroscopy Techniques Biased?
PMID- 26542201
TI - Anterior Cruciate Ligament Preservation: Early Results of a Novel Arthroscopic
Technique for Suture Anchor Primary Anterior Cruciate Ligament Repair.
AB - PURPOSE: To propose a technique of arthroscopic suture anchor primary anterior
cruciate ligament (ACL) preservation for patients with proximal avulsion ACL
tears that maintain excellent tissue quality. METHODS: We performed a
retrospective review and early follow-up of 11 consecutive cases of ACL
preservation. Patients were included if they had a proximal avulsion tear and
excellent tissue quality confirmed to be adequate for repair during arthroscopy.
Patients were excluded if these criteria were not met or if patients had
multiligamentous injury patterns or significant arthrosis. The ACL was reinforced
with a No. 2 FiberWire (Arthrex, Naples, FL) and a No. 2 TigerWire (Arthrex) and
was anchored to the femoral footprint by two 4.75-mm BioComposite SwiveLock
suture anchors (Arthrex). The surgical procedures were performed at 3 different
hospitals by a single surgeon. Anterior stability was determined with a KT-1000
arthrometer (MEDmetric, San Diego, CA). Clinical outcomes were measured using the
Lysholm score, modified Cincinnati score, Tegner activity score, Single
Assessment Numeric Evaluation, and subjective and objective International Knee
Documentation Committee (IKDC) scores. RESULTS: Ten of eleven patients had good
subjective and clinical outcomes after ACL preservation surgery at a minimum of 2
years' and mean of 3.5 years' follow-up. The mean Lysholm score was 93.2; the
mean modified Cincinnati score was 91.5; the preoperative Tegner activity score
was maintained postoperatively in 8 of 10 patients; the mean Single Assessment
Numeric Evaluation score was 91.5; the mean subjective IKDC score was 86.4; and
the objective IKDC score was A in 9 of 11 patients, B in 1 patient, and C in 1
patient. KT-1000 measurements were available in 8 of 11 patients, with 7 of 8
showing a side-to-side difference of less than 3 mm on maximum manual testing and
1 showing a 6-mm difference. CONCLUSIONS: Preservation of the native ACL using
the described arthroscopic primary repair technique can achieve short-term
clinical success in a carefully selected subset of patients with proximal
avulsion-type tears and excellent tissue quality. LEVEL OF EVIDENCE: Level IV,
therapeutic case series.
PMID- 26542202
TI - Editorial Commentary: Anterior Cruciate Ligament Primary Repair, Revisited.
AB - Anterior cruciate ligament (ACL) primary repair shows good results at 2-year
follow-up for proximal tears with good tissue quality. History teaches us to
remain cautious pending 5-year follow-up.
PMID- 26542203
TI - Editorial Commentary: Platelet-Rich Plasma Improves Knee Pain and Function in
Patients With Knee Osteoarthritis.
AB - Systematic review of overlapping meta-analyses shows that platelet-rich plasma
improves knee pain and function in patients with knee osteoarthritis. Ultimately,
biologics hold promise for chondroprotection in addition to symptomatic relief.
PMID- 26542204
TI - Editorial Commentary: Arthroscopic-Assisted Treatment of Ankle Fractures Could
Have Benefits That Outweigh the Risks.
AB - Review of ankle arthroscopy, as an adjunct during ankle fracture open reduction
and internal fixation, suggests that the benefits may outweigh the risks, because
cartilage injury and other soft-tissue pathology amenable to arthroscopic
treatment are common in patients with fracture of the ankle.
PMID- 26542205
TI - Editorial Commentary: Anterior Cruciate Ligament Primary Repair Is a Holy Grail.
AB - Anterior cruciate ligament primary repair shows preclinical promise, but
favorable clinical outcomes have yet to be reported over the long term.
PMID- 26542206
TI - Editorial Commentary: The Medial Side of the Knee Is Amenable to Repair.
AB - Systematic review of knee medial collateral ligament (MCL) and posteromedial
corner (PMC) "repair" finds low failure rates.
PMID- 26542207
TI - Editorial Commentary: Medial Collateral Ligament and Posteromedial Corner
Reconstruction Techniques Vary and Indications Are Not Clear.
AB - Medial collateral ligament (MCL) and posteromedial corner (PMC) reconstruction
techniques vary. Anatomic reconstruction results in outcomes superior to
nonanatomic reconstruction, but it remains unclear as to when reconstruction is
indicated versus MCL and PMC primary repair.
PMID- 26542208
TI - Editorial Commentary: Rotator Cuff Repair Imaging Shows Retear at 1 Year Follow
up.
AB - Based on robust numbers and strict methods to reduce selection bias, systematic
review shows that single-row rotator cuff repair results in a higher rate of
retear at 1 year than does double-row or transosseous equivalent techniques. It
makes some sense to speculate that retear could diminish long-term clinical
outcome. However, when combining all tear sizes, the statistical differences in
single-row retear rates appear graphically less significant.
PMID- 26542209
TI - Isolation of a Wickerhamomyces anomalus yeast strain from the sandfly Phlebotomus
perniciosus, displaying the killer phenotype.
AB - The yeast Wickerhamomyces anomalus has been studied for its wide biotechnological
potential, mainly for applications in the food industry. Different strains of W.
anomalus have been isolated from diverse habitats and recently from insects,
including mosquitoes of medical importance. This paper reports the isolation and
phylogenetic characterization of W. anomalus from laboratory-reared adults and
larvae of Phlebotomus perniciosus (Diptera: Psychodidae), a main phlebotomine
vector of human and canine leishmaniasis. Of 65 yeast strains isolated from P.
perniciosus, 15 strains were identified as W. anomalus; one of these was tested
for the killer phenotype and demonstrated inhibitory activity against four yeast
sensitive strains, as reported for mosquito-isolated strains. The association
between P. perniciosus and W. anomalus deserves further investigation in order to
explore the possibility that this yeast may exert inhibitory/killing activity
against Leishmania spp.
PMID- 26542210
TI - Identification of DNA lesions using a third base pair for amplification and
nanopore sequencing.
AB - Damage to the genome is implicated in the progression of cancer and stress
induced diseases. DNA lesions exist in low levels, and cannot be amplified by
standard PCR because they are frequently strong blocks to polymerases. Here, we
describe a method for PCR amplification of lesion-containing DNA in which the
site and identity could be marked, copied and sequenced. Critical for this method
is installation of either the dNaM or d5SICS nucleotides at the lesion site after
processing via the base excision repair process. These marker nucleotides
constitute an unnatural base pair, allowing large quantities of marked DNA to be
made by PCR amplification. Sanger sequencing confirms the potential for this
method to locate lesions by marking, amplifying and sequencing a lesion in the
KRAS gene. Detection using the alpha-hemolysin nanopore is also developed to
analyse the markers in individual DNA strands with the potential to identify
multiple lesions per strand.
PMID- 26542211
TI - Alcohol consumption and the risk of Barrett's esophagus: a comprehensive meta
analysis.
AB - Several studies have been proposed to investigate the association between alcohol
consumption and risk of Barrett's esophagus (BE), but as of yet, no quantitative
summary of the literature to clarify the relationship between them. In our study,
twenty eligible cohort studies involving 42925 participants were identified.
Combined relative risk (RR) ratios for the highest versus lowest alcohol
consumption levels were calculated. The alcohol dose-response analysis was
performed to investigate the association between the increment consumption of 10
g/d alcohol and the risk of developing BE. Subgroup analyses were used to examine
heterogeneity across the studies. A combined RR of 0.98 (0.62-1.34) was found
when comparing highest vs. lowest alcohol consumption levels for BE. An inverse
association between alcohol and incidence of BE (RR 0.51; 95% CI: 0.055-0.96) was
demonstrated in women. Moreover, Asian drinkers had a relative higher risk of BE
(RR 1.34; 95% CI: 1.11-1.56) compared with Western drinkers. In conclusion, our
results showed that overall alcohol consumption was not associated with increased
BE incidence. The limited data available on alcohol consumption supports a
tentative inversion of alcohol consumption with BE risk in women, while Asian
drinkers tend to have a higher risk of BE.
PMID- 26542212
TI - Lignosus rhinocerotis (Cooke) Ryvarden mimics the neuritogenic activity of nerve
growth factor via MEK/ERK1/2 signaling pathway in PC-12 cells.
AB - The traditional application of the sclerotium of Lignosus rhinocerotis (tiger's
milk mushroom) by the indigenous folks as tonic and remedy to treat a variety of
ailments has been documented in Malaysia. Indigenous communities claimed to have
consumed the decoction to boost their alertness during hunting. Mental alertness
is believed to be related to neuronal health and neuroactivity. In the present
study, the cell viability and neuritogenic effects of L. rhinocerotis sclerotium
hot aqueous and ethanolic extracts, and crude polysaccharides on rat
pheochromocytoma (PC-12) cells were studied. Interestingly, the hot aqueous
extract exhibited neuritogenic activity comparable to NGF in PC-12 cells.
However, the extracts and crude polysaccharides stimulated neuritogenesis without
stimulating the production of NGF in PC-12 cells. The involvements of the TrkA
receptor and MEK/ERK1/2 pathway in hot aqueous extract-stimulated neuritogenesis
were examined by Trk (K252a) and MEK/ERK1/2 (U0126 and PD98059) inhibitors. There
was no significant difference in protein expression in NGF- and hot aqueous
extract-treated cells for both total and phosphorylated p44/42 MAPK. The
neuritogenic activity in PC-12 cells stimulated by hot aqueous and ethanolic
extracts, and crude polysaccharides of L. rhinocerotis sclerotium mimicking NGF
activity via the MEK/ERK1/2 signaling pathway is reported for the first time.
PMID- 26542213
TI - Nitric Oxide Regulates Gene Expression in Cancers by Controlling Histone
Posttranslational Modifications.
AB - Altered nitric oxide (*NO) metabolism underlies cancer pathology, but mechanisms
explaining many *NO-associated phenotypes remain unclear. We have found that
cellular exposure to *NO changes histone posttranslational modifications (PTM) by
directly inhibiting the catalytic activity of JmjC-domain containing histone
demethylases. Herein, we describe how *NO exposure links modulation of histone
PTMs to gene expression changes that promote oncogenesis. Through high-resolution
mass spectrometry, we generated an extensive map of *NO-mediated histone PTM
changes at 15 critical lysine residues on the core histones H3 and H4.
Concomitant microarray analysis demonstrated that exposure to physiologic *NO
resulted in the differential expression of over 6,500 genes in breast cancer
cells. Measurements of the association of H3K9me2 and H3K9ac across genomic loci
revealed that differential distribution of these particular PTMs correlated with
changes in the level of expression of numerous oncogenes, consistent with
epigenetic code. Our results establish that *NO functions as an epigenetic
regulator of gene expression mediated by changes in histone PTMs.
PMID- 26542214
TI - Connexin 43 Inhibition Sensitizes Chemoresistant Glioblastoma Cells to
Temozolomide.
AB - Resistance of glioblastoma (GBM) to the front-line chemotherapeutic agent
temozolomide (TMZ) continues to challenge GBM treatment efforts. The repair of
TMZ-induced DNA damage by O-6-methylguanine-DNA methyltransferase (MGMT) confers
one mechanism of TMZ resistance. Paradoxically, MGMT-deficient GBM patients
survive longer despite still developing resistance to TMZ. Recent studies
indicate that the gap junction protein connexin 43 (Cx43) renders GBM cells
resistant to TMZ through its carboxyl terminus (CT). In this study, we report
insights into how Cx43 promotes TMZ resistance. Cx43 levels were inversely
correlated with TMZ sensitivity of GBM cells, including GBM stem cells. Moreover,
Cx43 levels inversely correlated with patient survival, including as observed in
MGMT-deficient GBM patients. Addition of the C-terminal peptide mimetic alphaCT1,
a selective inhibitor of Cx43 channels, sensitized human MGMT-deficient and TMZ
resistant GBM cells to TMZ treatment. Moreover, combining alphaCT1 with TMZ
blocked AKT/mTOR signaling, induced autophagy and apoptosis in TMZ-resistant GBM
cells. Our findings suggest that Cx43 may offer a biomarker to predict the
survival of patients with MGMT-independent TMZ resistance and that combining a
Cx43 inhibitor with TMZ could enhance therapeutic responses in GBM, and perhaps
other TMZ-resistant cancers.
PMID- 26542216
TI - Parachute-Like Mitral Valve with Symptomatic Stenosis--Imaging with Transthoracic
and Transesophageal Three-Dimensional Echocardiography and Treatment
Implications.
PMID- 26542215
TI - Naturally Occurring Isothiocyanates Exert Anticancer Effects by Inhibiting
Deubiquitinating Enzymes.
AB - The anticancer properties of cruciferous vegetables are well known and attributed
to an abundance of isothiocyanates such as benzyl isothiocyanate (BITC) and
phenethyl isothiocyanate (PEITC). While many potential targets of isothiocyanates
have been proposed, a full understanding of the mechanisms underlying their
anticancer activity has remained elusive. Here we report that BITC and PEITC
effectively inhibit deubiquitinating enzymes (DUB), including the enzymes USP9x
and UCH37, which are associated with tumorigenesis, at physiologically relevant
concentrations and time scales. USP9x protects the antiapoptotic protein Mcl-1
from degradation, and cells dependent on Mcl-1 were especially sensitive to BITC
and PEITC. These isothiocyanates increased Mcl-1 ubiquitination and either
isothiocyanate treatment, or RNAi-mediated silencing of USP9x decreased Mcl-1
levels, consistent with the notion that USP9x is a primary target of
isothiocyanate activity. These isothiocyanates also increased ubiquitination of
the oncogenic fusion protein Bcr-Abl, resulting in degradation under low
isothiocyanate concentrations and aggregation under high isothiocyanate
concentrations. USP9x inhibition paralleled the decrease in Bcr-Abl levels
induced by isothiocyanate treatment, and USP9x silencing was sufficient to
decrease Bcr-Abl levels, further suggesting that Bcr-Abl is a USP9x substrate.
Overall, our findings suggest that USP9x targeting is critical to the mechanism
underpinning the well-established anticancer activity of isothiocyanate. We
propose that the isothiocyanate-induced inhibition of DUBs may also explain how
isothiocyanates affect inflammatory and DNA repair processes, thus offering a
unifying theme in understanding the function and useful application of
isothiocyanates to treat cancer as well as a variety of other pathologic
conditions.
PMID- 26542217
TI - Late age increase in soluble amyloid-beta levels in the APP23 mouse model despite
steady-state levels of amyloid-beta-producing proteins.
PMID- 26542218
TI - Intimate partner violence and termination of pregnancy: a cross-sectional study
of married Bangladeshi women.
AB - BACKGROUND: The prevalence of intimate partner violence (IPV) and its
consequences on women's reproductive health and pregnancy outcomes have been well
documented. Bangladesh is burdened with the high prevalence of IPV and induced
abortion/menstrual regulation. Understanding their association may benefit
strategies to reduce termination of pregnancy (TOP). Therefore, this study
assesses the association between experience of IPV and TOP among married
Bangladeshi women age 15-49 years. METHODS: This cross-sectional study is based
on data from 10,146 married women of reproductive age from the Bangladesh
Demographic Health Survey, 2007 (BDHS). A subset of interviews from currently
married women, living with a husband and who had at least one pregnancy in the
last 5 years (n = 1875) were extracted. RESULTS: Results of this study showed
that among the respondents, 31.4 % experienced physical and/or sexual IPV: 13.4 %
experienced only sexual violence and 25.8 % experienced only physical violence.
21.0 % respondents ever had a TOP and 5.8 % had a TOP in last 5 years. Physical
IPV was significantly associated with both TOP ever (OR = 1.36; 95 % CI: 1.05
1.77) and TOP in last 5 years (OR = 1.72; 95 % CI: 1.11-2.06). CONCLUSIONS:
Prevention of intimate partner violence which was associated with pregnancy
termination may reduce the high incidence of termination of pregnancies in
Bangladesh.
PMID- 26542219
TI - Activating KIRs alter susceptibility to pulmonary tuberculosis in a South African
population.
AB - We investigate the role of killer immunoglobulin-like receptor (KIR) genes and
human leukocyte antigen class-I (HLA) variants in susceptibility to tuberculosis
in a South African population. In a sample set comprising 408 TB cases and 351
healthy controls, we show that the KIR3DS1 gene and KIR genotypes with five or
more activating KIRs, and the presence of 3DS1, protect against developing active
TB in the South African Coloured population. Several HLA class-I alleles were
identified as susceptibility factors for TB disease. However, none of the KIR-HLA
compound genotypes were found to be associated with TB. Our data suggests that
the KIR genes may play an important role in TB disease.
PMID- 26542220
TI - Translational misreading in Mycobacterium smegmatis increases in stationary
phase.
AB - The study of errors in gene translation has largely been confined to a small
number of model organisms. We have examined all possible misreading errors at a
defined codon in Mycobacterium smegmatis. Using a dual-luciferase gain of
function reporter system that employs a mutated essential lysine in firefly
luciferase, we accurately quantified mistranslation errors. Overall, accuracy of
gene translation was comparable with Escherichia coli at <1/2000 errors/codon
during exponential growth. Stationary phase was associated with a dramatic
increase in misincorporation errors by Lys-tRNACUU(Lys) at a subset of three
codons, each with a single base changed from the AAG lysine codon. The maximum
error rate detected was 0.2% with codon AUG. Treatment with streptomycin
increased misreading errors at several codons associated in particular with U.U,
G.U and C.U codon.anti-codon mismatches, but oxidative stress did not change
translational fidelity. Our study is the first comprehensive examination of
misreading errors for a defined codon in mycobacteria.
PMID- 26542221
TI - Whole genome sequence analysis of Mycobacterium suricattae.
AB - Tuberculosis occurs in various mammalian hosts and is caused by a range of
different lineages of the Mycobacterium tuberculosis complex (MTBC). A recently
described member, Mycobacterium suricattae, causes tuberculosis in meerkats
(Suricata suricatta) in Southern Africa and preliminary genetic analysis showed
this organism to be closely related to an MTBC pathogen of rock hyraxes (Procavia
capensis), the dassie bacillus. Here we make use of whole genome sequencing to
describe the evolution of the genome of M. suricattae, including known and novel
regions of difference, SNPs and IS6110 insertion sites. We used genome-wide
phylogenetic analysis to show that M. suricattae clusters with the chimpanzee
bacillus, previously isolated from a chimpanzee (Pan troglodytes) in West Africa.
We propose an evolutionary scenario for the Mycobacterium africanum lineage 6
complex, showing the evolutionary relationship of M. africanum and chimpanzee
bacillus, and the closely related members M. suricattae, dassie bacillus and
Mycobacterium mungi.
PMID- 26542223
TI - Modulation of pro- and anti-inflammatory cytokines in active and latent
tuberculosis by coexistent Strongyloides stercoralis infection.
AB - Helminth infections are known to induce modulation of both innate and adaptive
immune responses in active and latent tuberculosis (TB). However, the role of
helminth infections in modulating systemic cytokine responses in active and
latent tuberculosis (LTB) is not known. To define the systemic cytokine levels in
helminth-TB coinfection, we measured the circulating plasma levels of Type 1,
Type 2, Type 17, other pro-inflammatory and regulatory cytokines in individuals
with active TB (ATB) with or without coexistent Strongyloides stercoralis (Ss)
infection by multiplex ELISA. Similarly, we also measured the same cytokine
levels in individuals with LTB with or without concomitant Ss infection in a
cross-sectional study. Our data reveal that individuals with ATB or LTB and
coexistent Ss infection have significantly lower levels of Type 1 (IFNgamma,
TNFalpha and IL-2) and Type 17 (IL-17A and IL-17F) cytokines compared to those
without Ss infection. In contrast, those with ATB and LTB with Ss infection have
significantly higher levels of the regulatory cytokines (IL-10 and TGFbeta), and
those with LTB and Ss infection also have significantly higher levels of Type 2
cytokines (IL-4, IL-5 and IL-13) as well. Finally, those with LTB (but not ATB)
exhibit significantly lower levels of other pro-inflammatory cytokines (IFNalpha,
IFNbeta, IL-6, IL-12 and GM-CSF). Our data therefore reveal a profound effect of
Ss infection on the systemic cytokine responses in ATB and LTB and indicate that
coincident helminth infections might influence pathogenesis of TB infection and
disease.
PMID- 26542222
TI - Whole genome sequencing identifies circulating Beijing-lineage Mycobacterium
tuberculosis strains in Guatemala and an associated urban outbreak.
AB - Limited data are available regarding the molecular epidemiology of Mycobacterium
tuberculosis (Mtb) strains circulating in Guatemala. Beijing-lineage Mtb strains
have gained prevalence worldwide and are associated with increased virulence and
drug resistance, but there have been only a few cases reported in Central
America. Here we report the first whole genome sequencing of Central American
Beijing-lineage strains of Mtb. We find that multiple Beijing-lineage strains,
derived from independent founding events, are currently circulating in Guatemala,
but overall still represent a relatively small proportion of disease burden.
Finally, we identify a specific Beijing-lineage outbreak centered on a poor
neighborhood in Guatemala City.
PMID- 26542224
TI - An investigation on the population structure of mixed infections of Mycobacterium
tuberculosis in Inner Mongolia, China.
AB - OBJECTIVES: Mixed infections of Mycobacterium tuberculosis strains have attracted
more attention due to their increasing frequencies worldwide, especially in the
areas of high tuberculosis (TB) prevalence. In this study, we accessed the rates
of mixed infections in a setting with high TB prevalence in Inner Mongolia
Autonomous Region of China. METHODS: A total of 384 M. tuberculosis isolates from
the local TB hospital were subjected to mycobacterial interspersed repetitive
unit-variable number tandem repeat (MIRU-VNTR) typing method. The single clones
of the strains with mixed infections were separated by subculturing them on the
Lowenstein-Jensen medium. RESULTS: Of these 384 isolates, twelve strains (3.13%)
were identified as mixed infections by MIRU-VNTR. Statistical analysis indicated
that demographic characteristics and drug susceptibility profiles showed no
statistically significant association with the mixed infections. We further
subcultured the mixed infection strains and selected 30 clones from the
subculture for each mixed infection. Genotyping data revealed that eight (8/12,
66.7%) strains with mixed infections had converted into single infection through
subculture. The higher growth rate was associated with the increasing proportion
of variant subpopulation through subculture. CONCLUSIONS: In conclusion, by using
the MIRU-VNTR method, we demonstrate that the prevalence of mixed infections in
Inner Mongolia is low. Additionally, our findings reveal that the subculture
changes the population structures of mixed infections, and the subpopulation with
higher growth rate show better fitness, which is associated with high proportion
among the population structure after subculture. This study highlights that the
use of clinical specimens, rather than subcultured isolates, is preferred to
estimate the prevalence of mixed infections in the specific regions.
PMID- 26542225
TI - CASTB (the comprehensive analysis server for the Mycobacterium tuberculosis
complex): A publicly accessible web server for epidemiological analyses, drug
resistance prediction and phylogenetic comparison of clinical isolates.
PMID- 26542226
TI - An economic evaluation of expanding hookworm control strategies to target the
whole community.
AB - BACKGROUND: The WHO treatment guidelines for the soil-transmitted helminths (STH)
focus on targeting children for the control of morbidity induced by heavy
infections. However, unlike the other STHs, the majority of hookworm infections
are harboured by adults. This untreated burden may have important implications
for controlling both hookworm's morbidity and transmission. This is particularly
significant in the context of the increased interest in investigating STH
elimination strategies. METHODS: We used a deterministic STH transmission model
and parameter estimates derived from field epidemiological studies to evaluate
the impact of child-targeted (2-14 year olds) versus community-wide treatment
against hookworm in terms of preventing morbidity and the timeframe for breaking
transmission. Furthermore, we investigated how mass treatment may influence the
long-term programmatic costs of preventive chemotherapy for hookworm. RESULTS:
The model projected that a large proportion of the overall morbidity due to
hookworm was unaffected by the current child-targeted strategy. Furthermore,
driving worm burdens to levels low enough to potentially break transmission was
only possible when using community-wide treatment. Due to these projected
reductions in programme duration, it was possible for community-wide treatment to
generate cost savings - even if it notably increases the annual distribution
costs. CONCLUSIONS: Community-wide treatment is notably more cost-effective for
controlling hookworm's morbidity and transmission than the current child-targeted
strategies and could even be cost-saving in many settings in the longer term.
These calculations suggest that it is not optimum to treat using the same
treatment strategies as other STH. Hookworm morbidity and transmission control
require community-wide treatment.
PMID- 26542227
TI - Responsive Aqueous Foams Stabilized by Silica Nanoparticles Hydrophobized in Situ
with a Conventional Surfactant.
AB - In the recent past, switchable surfactants and switchable/stimulus-responsive
surface-active particles have been of great interest. Both can be transformed
between surface-active and surface-inactive states via several triggers, making
them recoverable and reusable afterward. However, the synthesis of these
materials is complicated. In this paper we report a facile protocol to obtain
responsive surface-active nanoparticles and their use in preparing responsive
particle-stabilized foams. Hydrophilic silica nanoparticles are initially
hydrophobized in situ with a trace amount of a conventional cationic surfactant
in water, rendering them surface-active such that they stabilize aqueous foams.
The latter can then be destabilized by adding equal moles of an anionic
surfactant, and restabilized by adding another trace amount of the cationic
surfactant followed by shaking. The stabilization-destabilization of the foams
can be cycled many times at room temperature. The trigger is the stronger
electrostatic interaction between the oppositely charged surfactants than that
between the cationic surfactant and the negatively charged particles. The added
anionic surfactant tends to form ion pairs with the cationic surfactant, leading
to desorption of the latter from particle surfaces and dehydrophobization of the
particles. Upon addition of another trace amount of cationic surfactant, the
particles are rehydrophobized in situ and can then stabilize foams again. This
principle makes it possible to obtain responsive surface-active particles using
commercially available inorganic nanoparticles and conventional surfactants.
PMID- 26542229
TI - Engineering oxygen vacancies towards self-activated BaLuAl(x)Zn(4-x)O(7-(1-x)/2)
photoluminescent materials: an experimental and theoretical analysis.
AB - Novel self-activated yellow-emitting BaLuAlxZn4-xO7-(1-x)/2 photoluminescent
materials were investigated by a combined experimental and theoretical analysis.
The effects of Al/Zn composition modulation, calcination atmosphere and
temperature on the crystal structure and photoluminescence properties have been
studied via engineering oxygen vacancies. Accordingly, BaLuAl0.91Zn3.09O7
prepared in an air atmosphere was found to be the stable crystalline phase with
optimal oxygen content and gave a broad yellow emission band with a maximum at
528 nm. The self-activated luminescence mechanism is ascribed to the O-vacancies
based on the density functional theory (DFT) calculation. A theoretical model
originating from the designed oxygen vacancies has been proposed in order to
determine the influence of O-vacancies on the band structure and self-activated
luminescence. Therefore, the appearance of a new local energy level in the band
gap will cause the wide-band optical transitions in the studied BaLuAlxZn4-xO7-(1
x)/2 materials.
PMID- 26542230
TI - Electrochemical Measurement of the beta-Galactosidase Reporter from Live Cells: A
Comparison to the Miller Assay.
AB - In order to match our ability to conceive of and construct cells with enhanced
function, we must concomitantly develop facile, real-time methods for elucidating
performance. With these, new designs can be tested in silico and steps in
construction incrementally validated. Electrochemical monitoring offers the above
advantages largely because signal transduction stems from direct electron
transfer, allowing for potentially quicker and more integrated measurements. One
of the most common genetic reporters, beta-galactosidase, can be measured both
spectrophotometrically (Miller assay) and electrochemically. However, since the
relationship between the two is not well understood, the electrochemical methods
have not yet garnered the attention of biologists. With the aim of demonstrating
the utility of an electrochemical measurement to the synthetic biology community,
we created a genetic construct that interprets and reports (with beta
galactosidase) on the concentration of the bacterial quorum sensing molecule
autoinducer-2. In this work, we provide a correlation between electrochemical
measurements and Miller Units. We show that the electrochemical assay works with
both lysed and whole cells, allowing for the prediction of one from the other,
and for continuous monitoring of cell response. We further present a conceptually
simple and generalized mathematical model for cell-based beta-galactosidase
reporter systems that could aid in building and predicting a variety of synthetic
biology constructs. This first-ever in-depth comparison and analysis aims to
facilitate the use of electrochemical real-time monitoring in the field of
synthetic biology as well as to facilitate the creation of constructs that can
more easily communicate information to electronic systems.
PMID- 26542228
TI - A multi-omic analysis of human naive CD4+ T cells.
AB - BACKGROUND: Cellular function and diversity are orchestrated by complex
interactions of fundamental biomolecules including DNA, RNA and proteins.
Technological advances in genomics, epigenomics, transcriptomics and proteomics
have enabled massively parallel and unbiased measurements. Such high-throughput
technologies have been extensively used to carry out broad, unbiased studies,
particularly in the context of human diseases. Nevertheless, a unified analysis
of the genome, epigenome, transcriptome and proteome of a single human cell type
to obtain a coherent view of the complex interplay between various biomolecules
has not yet been undertaken. Here, we report the first multi-omic analysis of
human primary naive CD4+ T cells isolated from a single individual. RESULTS:
Integrating multi-omics datasets allowed us to investigate genome-wide
methylation and its effect on mRNA/protein expression patterns, extent of RNA
editing under normal physiological conditions and allele specific expression in
naive CD4+ T cells. In addition, we carried out a multi-omic comparative analysis
of naive with primary resting memory CD4+ T cells to identify molecular changes
underlying T cell differentiation. This analysis provided mechanistic insights
into how several molecules involved in T cell receptor signaling are regulated at
the DNA, RNA and protein levels. Phosphoproteomics revealed downstream signaling
events that regulate these two cellular states. Availability of multi-omics data
from an identical genetic background also allowed us to employ novel
proteogenomics approaches to identify individual-specific variants and putative
novel protein coding regions in the human genome. CONCLUSIONS: We utilized
multiple high-throughput technologies to derive a comprehensive profile of two
primary human cell types, naive CD4+ T cells and memory CD4+ T cells, from a
single donor. Through vertical as well as horizontal integration of whole genome
sequencing, methylation arrays, RNA-Seq, miRNA-Seq, proteomics, and
phosphoproteomics, we derived an integrated and comparative map of these two
closely related immune cells and identified potential molecular effectors of
immune cell differentiation following antigen encounter.
PMID- 26542232
TI - Erratum.
PMID- 26542231
TI - Favorable Bridging Therapy Based on DWI-FLAIR Mismatch in Patients with Unclear
Onset Stroke.
AB - BACKGROUND AND PURPOSE: Standard selection criteria for revascularization therapy
usually exclude patients with unclear-onset stroke. Our aim was to evaluate the
efficacy and safety of revascularization therapy in patients with unclear-onset
stroke in the anterior circulation and to identify the predictive factors for
favorable clinical outcome. MATERIALS AND METHODS: We retrospectively analyzed 41
consecutive patients presenting with acute stroke with unknown time of onset
treated by intravenous thrombolysis and/or mechanical thrombectomy. Only patients
without well-developed fluid-attenuated inversion recovery changes of acute
diffusion lesions on MR imaging were enrolled. Twenty-one patients were treated
by intravenous thrombolysis; 19 received, simultaneously, intravenous
thrombolysis and mechanical thrombectomy (as a bridging therapy); and 1 patient,
endovascular therapy alone. Clinical outcome was evaluated at 90 days by using
the mRS. Mortality and symptomatic intracranial hemorrhage were also reported.
RESULTS: Median patient age was 72 years (range, 17-89 years). Mean initial NIHSS
score was 14.5 +/- 5.7. Successful recanalization (TICI 2b-3) was assessed in 61%
of patients presenting with an arterial occlusion, symptomatic intracranial
hemorrhage occurred in 2 patients (4.9%), and 3 (7.3%) patients died. After 90
days, favorable outcome (mRS 0-2) was observed in 25 (61%) patients. Following
multivariate analysis, initial NIHSS score (OR, 1.43; 95% CI, 1.13-1.82; P =
.003) and bridging therapy (OR, 37.92; 95% CI, 2.43-591.35; P = .009) were
independently associated with a favorable outcome at 3 months. CONCLUSIONS: The
study demonstrates the safety and good clinical outcome of acute recanalization
therapy in patients with acute stroke in the anterior circulation and an unknown
time of onset and a DWI/FLAIR mismatch on imaging. Moreover, bridging therapy
versus intravenous thrombolysis alone was independently associated with favorable
outcome at 3 months.
PMID- 26542233
TI - Mechanical Thrombectomy of Distal Occlusions in the Anterior Cerebral Artery:
Recanalization Rates, Periprocedural Complications, and Clinical Outcome.
AB - BACKGROUND AND PURPOSE: Patients with acute ischemic stroke in the anterior
circulation are at risk for either primary or, following mechanical thrombectomy,
secondary occlusion of the anterior cerebral artery. Because previous studies had
only a limited informative value, we report our data concerning the frequency and
location of distal anterior cerebral artery occlusions, recanalization rates,
periprocedural complications, and clinical outcome. MATERIALS AND METHODS: We
performed a retrospective analysis of prospectively collected data of patients
with acute ischemic stroke undergoing mechanical thrombectomy in the anterior
circulation between June 2010 and April 2015. RESULTS: Of 368 patients included
in this analysis, we identified 30 (8.1%) with either primary (n = 17, 4.6%) or
secondary (n = 13, 3.5%) embolic occlusion of the distal anterior cerebral
artery. The recanalization rate after placement of a stent retriever was 88%.
Periprocedural complications were rare and included vasospasms (n = 3, 10%) and
dissection (n = 1, 3.3%). However, 16 (53.5%) patients sustained an (at least
partial) infarction of the anterior cerebral artery territory. Ninety days after
the ictus, clinical outcome according to the modified Rankin Scale score was the
following: 0-2, n = 11 (36.6%); 3-4, n = 9 (30%); 5-6, n = 10 (33.3%).
CONCLUSIONS: Occlusions of the distal anterior cerebral artery affect
approximately 8% of patients with acute ischemic stroke in the anterior
circulation receiving mechanical thrombectomy. Despite a high recanalization rate
and a low complication rate, subsequent (partial) infarction in the anterior
cerebral artery territory occurs in approximately half of patients. Fortunately,
clinical outcome appears not to be predominately unfavorable.
PMID- 26542234
TI - Structural Brain Alterations in Community Dwelling Individuals with Chronic Joint
Pain.
AB - BACKGROUND AND PURPOSE: Central sensitization in chronic pain involves structural
brain changes that influence vulnerability to pain. Identifying brain regions
involved in pain processing and sensitization can provide more insight into
chronic pain. This study examines structural brain changes in chronic pain and
experimental pain in a large population-based study. MATERIALS AND METHODS: For
3892 participants in the Rotterdam study, global and regional MR imaging brain
volumes were automatically segmented and quantified. Chronic joint pain was
defined as pain for more than half of all days during the past 6 weeks. Heat pain
thresholds were measured in a subset of 1538 individuals. The association between
the presence of chronic joint pain and global and lobar brain volumes was
studied. Subsequently, literature was reviewed and the association of chronic
pain and heat pain thresholds with 11 brain regions associated with
musculoskeletal pain in previous publications was studied. RESULTS: Total gray
matter volume was smaller in women with chronic pain (beta = -0.066, P = .016).
This effect was primarily driven by lower gray matter volume in the temporal lobe
(beta = 0.086, P = .005), the frontal lobe (beta = -0.060, P = .039), and the
hippocampus (beta = -0.099, P = .002). In addition, we observed that a lower heat
pain threshold was associated with smaller volumes of the hippocampus (beta =
0.017, P = .048), the thalamus (beta = 0.018, P = .009), and the anterior
cingulate cortex (beta = -0.016, P = .037). In men, no significant associations
were observed. CONCLUSIONS: The primary identified brain areas, the temporal and
frontal lobes and the hippocampus, indicated involvement of emotional processing.
The volumetric differences found indicated a sex-specific neuroplasticity in
chronic pain. These results emphasized sex-specific and multidisciplinary pain
treatment.
PMID- 26542236
TI - Associations between Cerebral Embolism and Carotid Intraplaque Hemorrhage during
Protected Carotid Artery Stenting.
AB - BACKGROUND AND PURPOSE: Carotid artery stent placement in patients with
intraplaque hemorrhage remains controversial because of the incidence of cerebral
embolism after the procedure. The purpose of this study is to determine if
intraplaque hemorrhage is a significant risk factor for cerebral embolism during
carotid artery stent placement. MATERIALS AND METHODS: This prospective study
assessed 94 consecutive patients with severe carotid stenosis. These patients
underwent preprocedural carotid MR imaging and postprocedural DWI after carotid
artery stent placement. Intraplaque hemorrhage was defined as the presence of
high signal intensity within the carotid plaque that was >200% of the signal from
the adjacent muscle on MPRAGE. We then analyzed the incidence of postprocedural
ipsilateral ischemic events on DWI and primary outcomes within 30 days of carotid
artery stent placement. RESULTS: Forty-three patients (45.7%) had intraplaque
hemorrhage on an MPRAGE image. There was no significant difference in the
incidence of postprocedural ipsilateral ischemic events and primary outcomes
between the intraplaque hemorrhage and non-intraplaque hemorrhage group. However,
postprocedural ipsilateral ischemic events were more frequently observed in the
symptomatic group (17/41 [41.5%]) than in the asymptomatic group (8/53 [15.1%]; P
= .005). CONCLUSIONS: Intraplaque hemorrhage was not a significant risk factor
for cerebral embolism during carotid artery stent placement in patients with
severe carotid stenosis. Symptomatic patients should receive more careful
treatment during carotid artery stent placement because of the higher risk of
postprocedural ipsilateral ischemic events.
PMID- 26542235
TI - MR Elastography Demonstrates Increased Brain Stiffness in Normal Pressure
Hydrocephalus.
AB - BACKGROUND AND PURPOSE: Normal pressure hydrocephalus is a reversible neurologic
disorder characterized by a triad of cognitive impairment, gait abnormality, and
urinary incontinence that is commonly treated with ventriculoperitoneal shunt
placement. However, multiple overlapping symptoms often make it difficult to
differentiate normal pressure hydrocephalus from other types of dementia, and
improved diagnostic techniques would help patient management. MR elastography is
a novel diagnostic tool that could potentially identify patients with normal
pressure hydrocephalus. The purpose of this study was to assess brain stiffness
changes in patients with normal pressure hydrocephalus compared with age- and sex
matched cognitively healthy individuals. MATERIALS AND METHODS: MR elastography
was performed on 10 patients with normal pressure hydrocephalus and 21 age- and
sex-matched volunteers with no known neurologic disorders. Image acquisition was
conducted on a 3T MR imaging scanner. Shear waves with 60-Hz vibration frequency
were transmitted into the brain by a pillowlike passive driver. A novel
postprocessing technique resistant to noise and edge artifacts was implemented to
determine regional brain stiffness. The Wilcoxon rank sum test and linear
regression were used for statistical analysis. RESULTS: A significant increase in
stiffness was observed in the cerebrum (P = .001), occipital lobe (P < .001),
parietal lobe (P = .001), and the temporal lobe (P = .02) in the normal pressure
hydrocephalus group compared with healthy controls. However, no significant
difference was noted in other regions of the brain, including the frontal lobe (P
= .07), deep gray and white matter (P = .43), or cerebellum (P = .20).
CONCLUSIONS: This study demonstrates increased brain stiffness in patients with
normal pressure hydrocephalus compared with age- and sex-matched healthy
controls; these findings should motivate future studies investigating the use of
MR elastography for this condition and the efficacy of shunt therapy.
PMID- 26542238
TI - Radiation Doses in Patient Eye Lenses during Interventional Neuroradiology
Procedures.
AB - BACKGROUND AND PURPOSE: Eye lenses are among the most sensitive organs to x-ray
radiation and may be considered at risk during neurointerventional radiology
procedures. The threshold dose to produce eye lens opacities has been recently
reduced to 500 mGy by the International Commission on Radiologic Protection. In
this article, the authors investigated the radiation doses delivered to patients'
eyes during interventional neuroradiology procedures at a university hospital.
MATERIALS AND METHODS: Small optically stimulated luminescence dosimeters were
located over patients' eyes during 5 diagnostic and 31 therapeutic procedures
performed in a biplane x-ray system. Phantom measurements were also made to
determine the level of radiation to the eye during imaging runs with conebeam CT.
RESULTS: The left eye (located toward the lateral C-arm x-ray source) received a
4.5 times greater dose than the right one. The average dose during embolization
in the left eye was 300 mGy, with a maximum of 2000 mGy in a single procedure.
The patient who received this maximum eye dose needed 6 embolization procedures
to treat his high-volume AVM. If one took into account those 6 embolizations, the
eye dose could be 2-fold. Sixteen percent of the embolizations resulted in eye
doses of >500 mGy. CONCLUSIONS: A relevant fraction of patients received eye
doses exceeding the threshold of 500 mGy. A careful optimization of the
procedures and follow-up of these patients to evaluate potential lens opacities
should be considered.
PMID- 26542237
TI - GABA and Glutamate in Children with Primary Complex Motor Stereotypies: An 1H-MRS
Study at 7T.
AB - BACKGROUND AND PURPOSE: Complex motor stereotypies are rhythmic, repetitive,
fixed, purposeful but purposeless movements that stop with distraction. They can
occur in otherwise normal healthy children (primary stereotypies) as well in
those with autism spectrum disorders (secondary stereotypies). The underlying
neurobiologic basis for these movements is unknown but is thought to involve
cortical-striatal-thalamo-cortical pathways. To further clarify potential
neurochemical alterations, gamma-aminobutyric acid (GABA), glutamate, glutamine,
N-acetylaspartate, and choline levels were measured in 4 frontostriatal regions
by using (1)H MRS at 7T. MATERIALS AND METHODS: A total of 18 children with
primary complex motor stereotypies and 24 typically developing controls, ages 5
10 years, completed MR spectroscopy at 7T. Single voxel STEAM acquisitions from
the anterior cingulate cortex, premotor cortex, dorsolateral prefrontal cortex,
and striatum were obtained, and metabolites were quantified with respect to Cr by
using LCModel. RESULTS: The 7T scan was well tolerated by all the participants.
Compared with the controls, children with complex motor stereotypies had lower
levels of GABA in the anterior cingulate cortex (GABA/Cr, P = .049; GABA/Glu, P =
.051) and striatum (GABA/Cr, P = .028; GABA/Glu, P = .0037) but not the
dorsolateral prefrontal cortex or the premotor cortex. Glutamate, glutamine, NAA,
and Cho levels did not differ between groups in any of the aforementioned
regions. Within the complex motor stereotypies group, reduced GABA to Cr in the
anterior cingulate cortex was significantly associated with greater severity of
motor stereotypies (r = -0.59, P = .021). CONCLUSIONS: These results indicate
possible GABAergic dysfunction within corticostriatal pathways in children with
primary complex motor stereotypies.
PMID- 26542239
TI - Phenolic constituents of the Bangladeshi medicinal plant Pothos scandens and
their anti-estrogenic, hyaluronidase inhibition, and histamine release inhibitory
activities.
AB - Extracts from the stem and roots of the Bangladeshi medicinal plant Pothos
scandens L. (Araceae) were isolated, and three hemiterpene glucoside aromatic
esters, pothobanosides A (1), B (2), and C (3), and a phenylisobutanoid,
pothobanol (4), along with 14 known compounds, were characterized. The isolates
were tested for their estrogenic/anti-estrogenic activity using the estrogen
responsive human breast cancer cell lines MCF-7 and T47D, and syringoyl
derivatives (2, 3, and canthoside B) showed strong inhibitory activity against
both cell lines. Their less oxygenated analogs (1, and markhamioside F) were
almost inactive. The isolates were also evaluated for hyaluronidase and histamine
release inhibitory activities, and pothobanoside A (1) showed significant
hyaluronidase inhibitory activity among the isolated compounds, which was similar
to that of the positive control rosmarinic acid. Because hyaluronidase produces
an angiogenic response that has been implicated in tumor invasiveness and
metastasis, 1 could be valuable as an anti-tumor compound with a different
mechanism of action from related compounds (2, 3). Pothobanoside C (3) and
pothobanol (4) were also found to inhibit histamine release to a similar degree
to the positive control epigallocatechin 3-O-(3"-O-methyl)-gallate. The histamine
release inhibitory potency of these isolates may support the traditional uses of
this plant in folk medicine.
PMID- 26542240
TI - Protocol for a systematic review of quantitative burn wound microbiology in the
management of burns patients.
AB - BACKGROUND: Sepsis from burn injuries can result from colonisation of burn
wounds, especially in large surface area burns. Reducing bacterial infection will
reduce morbidity and mortality, and mortality for severe burns can be as high as
15 %. There are various quantitative and semi-quantitative techniques to monitor
bacterial load on wounds. In the UK, burn wounds are typically monitored for the
presence or absence of bacteria through the collection and culture of swabs, but
no absolute count is obtained. Quantitative burn wound culture provides a measure
of bacterial count and is gaining increased popularity in some countries. It is
however more resource intensive, and evidence for its utility appears to be
inconsistent. This systematic review therefore aims to assess the evidence on the
utility and reliability of different quantitative microbiology techniques in
terms of diagnosing or predicting clinical outcomes. METHODS/DESIGN: Standard
systematic review methods aimed at minimising bias will be employed for study
identification, selection and data extraction. Bibliographic databases and
ongoing trial registers will be searched and conference abstracts screened.
Studies will be eligible if they are prospective studies or systematic reviews of
burn patients (any age) for whom quantitative microbiology has been performed,
whether it is compared to another method. Quality assessment will be based on
quality assessment tools for diagnostic and prognostic studies and tailored to
the review as necessary. Synthesis is likely to be primarily narrative, but meta
analysis may be considered where clinical and methodological homogeneity exists.
DISCUSSION: Given the increasing use of quantitative methods, this is a timely
systematic review, which will attempt to clarify the evidence base. As far as the
authors are aware, it will be the first to address this topic. TRIAL
REGISTRATION: PROSPERO, CRD42015023903.
PMID- 26542241
TI - Programmed death 1 blockade, an Achilles heel for MMR-deficient tumors?
AB - Program death receptor-1 (PD-1) is upregulated in many tumors and in tumor
microenvironment, and PD-1 blockade has led to remarkable immune-based anti-tumor
responses in across many tumor types. Pembrolizumab, an anti-programmed death 1
checkpoint inhibitor, resulted in a high rate of immune response in 41 patients
with previously treated mismatch repair (MMR)-deficient tumor including
colorectal cancer but not in MMR-stable tumor with expectant toxicities. Both
immune-based progression-free and overall survival are quite promising and
correlate with high mutation loads in the tumor. MMR-deficient tumors made up not
an insignificant proportion of GI and GU cancers and are found mostly in younger
patients who had better prognosis than MMR-stable tumors. However, MMR-deficient
tumors do not respond to cytotoxic chemotherapy as these agents may require
intact DNA mismatch repair to be effective. MMR deficiency occurred as a result
of mutations in defined DNA repair complex mutations or epigenetics modifications
and gene upstream of DNA repair complex. PD-1 blockade represents our first
successful shot at one of the Achilles heels of this MMR-deficient tumor Goliath.
Only coordinated attack on all of its Achilles heels and healing mechanisms can
this tumor Goliath be brought down to its knees.
PMID- 26542242
TI - The role of mutations and overexpression of the fibroblast growth factor receptor
3 in bladder cancer.
AB - Bladder cancer (BC) is the seventh most common cancer worldwide. Throughout the
last decade, several studies demonstrated that the fibroblast growth factor (FGF)
signalling is altered in a significant proportion of patients with BC. FGF
receptor (FGFR) 3 may thus serve as a promising biomarker for BC. Mutations of
this gene are prevalent in BC (e.g., found in 74% of non-invasive papillary
tumours), suggesting that FGFR3 status is an important event in BC. The aim of
this review was to overview the outcomes of different mutations in FGFR3 receptor
in the context of BC. We first described FGFR3 receptor and continue with
mutations of FGFR3 gene, including activating mutations and overexpression of
this gene. Finally, we addressed the clinical relevance of mutated FGFR3 gene.
PMID- 26542244
TI - Statin-centered treatment of dyslipidemia. New evidence-based paradigm, or only
part of the evidence?
PMID- 26542243
TI - The relation between insulin resistance and lung function: a cross sectional
study.
AB - BACKGROUND: Impaired lung function and insulin resistance have been associated
and thereby have also been indicated to be powerful predictors of cardiovascular
mortality. Therefore, the co-existence of insulin resistance and impaired lung
function accompanied with cardiovascular risk factors should induce
cardiovascular mortality even in patients without known respiratory disease in a
cumulative pattern. It could be useful to determine the lung function of patients
with insulin resistance in order to decrease cardiovascular mortality by means of
taking measures that minimize the risk of decline in lung function. However, no
prior studies have been done on association between insulin resistance and lung
function in adults in Turkey. We aimed to determine if insulin resistance plays a
detrimental role in lung function in outpatients admitted to internal medicine
clinics in adults from Turkey. METHODS: A total of 171 outpatients (mean +/- SD)
age: 43.1 +/- 11.9) years) admitted to internal medicine clinics were included in
this single-center cross-sectional study, and were divided into patients with (n
= 63, mean +/- SD) age: 43.2 +/- 12.5) years, 83.5 % female) or without (n = 108,
mean +/- SD) age: 43.0 +/- 11.6) years, 93.5 % female) insulin resistance. All
patients were non-smokers. Data on gender, age, anthropometrics, blood pressure,
blood biochemistry, metabolic syndrome (MetS), and lung function tests were
collected in each patient. Correlates of insulin resistance were determined via
logistic regression analysis. RESULTS: Insulin resistance was present in 36.8 %
of patients. Logistic regression analysis revealed an increase in the likelihood
of having insulin resistance of 1.07 times with every 1-point increase in waist
circumference, 1.01 times with every 1-point increase in triglycerides, 0.93
times with every 1-point decrease in HDL (high density lipoprotein) cholesterol,
and 0.86 times with every 1-point decrease in percentage of FEV1/FVC pre
(FEV1%pre: Forced expiratory volume in the first second of expiration for
predicted values; FVC%pre.: Forced vital capacity for predicted values).
CONCLUSIONS: Insulin resistance should also be considered amongst the
contributing factors for decline in lung function.
PMID- 26542245
TI - Germline activating MTOR mutation arising through gonadal mosaicism in two
brothers with megalencephaly and neurodevelopmental abnormalities.
AB - BACKGROUND: In humans, Mammalian Target of Rapamycin (MTOR) encodes a 300 kDa
serine/ threonine protein kinase that is ubiquitously expressed, particularly at
high levels in brain. MTOR functions as an integrator of multiple cellular
processes, and in so doing either directly or indirectly regulates the
phosphorylation of at least 800 proteins. While somatic MTOR mutations have been
recognized in tumors for many years, and more recently in hemimegalencephaly,
germline MTOR mutations have rarely been described. CASE PRESENTATION: We report
the successful application of family-trio Diagnostic Exome Sequencing (DES) to
identify the underlying molecular etiology in two brothers with multiple
neurological and developmental lesions, and for whom previous testing was non
diagnostic. The affected brothers, who were 6 and 23 years of age at the time of
DES, presented symptoms including but not limited to mild Autism Spectrum
Disorder (ASD), megalencephaly, gross motor skill delay, cryptorchidism and
bilateral iris coloboma. Importantly, we determined that each affected brother
harbored the MTOR missense alteration p.E1799K (c.5395G>A). This exact variant
has been previously identified in multiple independent human somatic cancer
samples and has been shown to result in increased MTOR activation. Further,
recent independent reports describe two unrelated families in whom p.E1799K co
segregated with megalencephaly and intellectual disability (ID); in both cases,
p.E1799K was shown to have originated due to germline mosaicism. In the case of
the family reported herein, the absence of p.E1799K in genomic DNA extracted from
the blood of either parent suggests that this alteration most likely arose due to
gonadal mosaicism. Further, the p.E1799K variant exerts its effect by a gain-of
function (GOF), autosomal dominant mechanism. CONCLUSION: Herein, we describe the
use of DES to uncover an activating MTOR missense alteration of gonadal mosaic
origin that is likely to be the causative mutation in two brothers who present
multiple neurological and developmental abnormalities. Our report brings the
total number of families who harbor MTOR p.E1799K in association with
megalencephaly and ID to three. In each case, evidence suggests that p.E1799K
arose in the affected individuals due to gonadal mosaicism. Thus, MTOR p.E1799K
can now be classified as a pathogenic GOF mutation that causes megalencephaly and
cognitive impairment in humans.
PMID- 26542246
TI - Body mass index is associated with higher Gleason score and biochemical
recurrence risk following radical prostatectomy in Chinese men: a retrospective
cohort study and meta-analysis.
AB - BACKGROUND: The aim of the study is to investigate whether body mass index (BMI)
affected pathological characteristics and biochemical recurrence (BCR) of
prostate cancer after radical prostatectomy in Chinese men. METHODS: Medical
records of 211 Chinese patients who underwent radical prostatectomy between 2006
and 2014 were retrospectively reviewed, with follow-up time of 24.5 +/- 27.0
months. Multivariate logistic and Cox regression analyses were applied to address
the impact of BMI on adverse pathological outcomes and BCR following
prostatectomy. A meta-analysis of published studies from MEDLINE or EMBASE was
conducted to determine the relationship between BMI and BCR following
prostatectomy among Asian populations. RESULTS: Higher BMI was positively
correlated with higher biopsy Gleason score (odds ratios (OR) 1.163, 95 %
confidence interval (CI) 1.023-1.322, P = 0.021) and pathological Gleason score
(OR 1.220, 95 % CI 1.056-1.410, P = 0.007) in multivariate analysis. BCR was
detected in 48 patients (22.7 %). Multivariate Cox proportional hazards analysis
revealed that higher BMI (hazard ratio (HR) 1.145, 95 % CI 1.029-1.273, P =
0.013) and prostate-specific antigen (HR 1.659, 95 % CI 1.102-2.497, P = 0.015)
levels were independent predictors of BCR. The meta-analysis enrolled eight Asian
studies of 4145 patients treated by radical prostatectomy. Based on random
effects approach, a 5 kg/m(2) increase in BMI was correlated with 28 % higher
risk of BCR (HR 1.22, 95 % CI 0.86-1.72) without statistical significance.
CONCLUSIONS: The present study suggested that higher BMI was an independent risk
factor for a higher Gleason score, as well as an independent predictor of BCR
after radical prostatectomy in Chinese patients. Meta-analysis of Asian studies
also indicated that obese patients, although without statistical significance,
might be more likely to suffer from BCR.
PMID- 26542247
TI - Differential effects of lacosamide, phenytoin and topiramate on peripheral nerve
excitability: An ex vivo electrophysiological study.
AB - BACKGROUND: Antiepileptic drugs (AEDs) are mainly used to control cortical
hyperexcitability. Some of them (e.g. phenytoin (PHT) and topiramate (TPM)) have
also effects on the peripheral nervous system (PNS). Lacosamide (LCM) is a novel
AED that stabilizes hyperexcitable neuronal membranes by selectively enhancing
the slow inactivation of voltage-gated sodium channels (VGSCs). Although the
mechanism of action of LCM is fairly well understood, there are no in vitro data
available regarding any possible PNS effects of LCM. OBJECTIVE: To investigate,
in vitro, the effects of LCM on peripheral nerve excitability in comparison with
PHT and TPM, two AEDs that act, in part, by stabilizing the fast inactivation
state of VGSCs. METHODS: Experiments were conducted on the isolated sciatic nerve
of the adult rat using standard electrophysiological methods. The effects of LCM
on the amplitude and latency of the evoked compound action potential (CAP) during
a 48h period of drug exposure were recorded and compared with the effects of PHT
and TPM. RESULTS: LCM produced inhibitory effects on CAP at concentrations
significantly higher than the therapeutic levels (>25MUg/ml). At these
concentrations (62.57-125.15MUg/ml), an acute and immediate increment of the
latency and decrement of the amplitude of the CAP were observed. In contrast to
LCM, PHT caused an acute decrement in the amplitude as well as an increment in
the latency of the CAP even at subtherapeutic levels (5MUg/ml). With regard to
TPM, the amplitude of the CAP was not affected at the supratherapeutic
concentrations but at the therapeutic concentration of 33.94MUg/ml a reduced
decrement of the CAP amplitude compared to the controls was observed.
CONCLUSIONS: LCM, PHT and TPM exert differential effects on peripheral nerve
excitability. PHT inhibited the sciatic nerve CAP even at subtherapeutic levels
whereas LCM was safe within the therapeutic concentration range. TPM did not
affect the CAP amplitude even at high supratherapeutic concentrations whereas in
the therapeutic range a neuroprotective effect was observed. Possible underlying
mechanisms and the clinical implications of these findings are discussed.
PMID- 26542249
TI - First prospective clinical trial in adult HLH.
PMID- 26542248
TI - The role of HO-1 in protection against lead-induced neurotoxicity.
AB - Lead is a pervasive and persistent environmental pollutant that exerts
deleterious effects on all living organisms and continues to threaten public
health on a global scale. Heme oxygenase-1 (HO-1) is a stress-inducible enzyme
that mediates antioxidative and cytoprotective effects to maintain cellular redox
homeostasis and protect cells from oxidative stress. This study was designed to
explore the role of HO-1 in protection against lead neurotoxicity and the
signaling pathways involved. Lead acetate (PbAc) exposure resulted in increased
HO-1 expression in primary rat hippocampal neurons and SH-SY5Y cells. PbAc
induced intracellular reactive oxygen species (ROS) also increased, and cell
viability decreased in SH-SY5Y cells. We further demonstrated that HO-1 could be
induced by PbAc through the P38, ERK1/2, and PI3K/AKT signaling pathways in a ROS
dependent manner and through the JNK pathway in a ROS-independent manner. Further
investigation revealed that HO-1 overexpression significantly restrained cell
apoptosis and ROS production induced by PbAc in SH-SY5Y cells. Moreover, HO-1
knockdown aggravated PbAc-induced cell apoptosis and ROS production. Our results
indicated that HO-1 was a novel protective factor that could efficiently inhibit
PbAc-induced oxidative stress and cell death in the nervous system, thereby
providing the potential therapeutic strategies for the prevention and treatment
of lead-related diseases.
PMID- 26542250
TI - Cell-specific PD-L1 expression in DLBCL.
PMID- 26542251
TI - Ibrutinib in CLL: 2 sides of the same coin.
PMID- 26542252
TI - Platelets promote pulmonary pull of polys.
PMID- 26542253
TI - Inflammation is key to hemophilic arthropathy.
PMID- 26542254
TI - Animal models of VT: to change or not to change?
PMID- 26542255
TI - Gendercide and femineglect.
AB - Gendercide and femineglect (the deliberate neglect of females vis-a-vis health,
education, etc.) is rampant, especially in Asia. This paper reviews gendercide,
the selective abortion of female foetuses based solely on gender, and
femineglect, along with the inevitable and potentially catastrophic demographic
consequences.
PMID- 26542256
TI - Seasonal inconstancy of human sex ratio at birth.
AB - A large body of literature describes relationships between the sex ratio at birth
and modifying factors. The relationships that display seasonal fluctuations are
hypothesized to reflect causal impact of periconceptional and later intrauterine
effects. This short review summarizes the results of studies that investigated
internal and external influences on the seasonal pattern of human sex ratio at
birth.
PMID- 26542257
TI - Elevated DMBT1 levels in neonatal gastrointestinal diseases.
AB - Deleted in malignant brain tumor 1 (DMBT1) is involved in innate immunity and
epithelial differentiation. Previous studies in adults indicated a strong
intestinal expression of DMBT1 and an important role in inflammatory bowel
diseases. Here, we analyzed the DMBT1 expression in the fetal gastrointestinal
system depending on gestational age and in patients with necrotizing
enterocolitis (NEC), volvulus, intestinal perforation (IP), or herniation,
representing typical diseases of preterm and term infants. We used
immunohistochemistry and RNA in situ hybridization to detect DMBT1 protein and
mRNA in fetal tissues, supplemented by postmortem analysis of DMBT1 expression in
died newborns and analysis of surgically removed tissues. DMBT1 expression is
detectable in the early developmental stages of the gastrointestinal system. In
NEC, volvulus, IP, or herniation, characterized by high systemic inflammatory
responses, DMBT1 expression is strongly increased. High DMBT1 expression was also
found in the bile ducts of older infants with sepsis or cholestasis. The study
shows that DMBT1 expression is observed in the developing gastrointestinal system
and up-regulated in infants with NEC, volvulus, IP, and herniation. DMBT1 may
play a role in epithelial differentiation and local innate immunity during
neonatal inflammatory bowel processes.
PMID- 26542258
TI - Good people who try their best can have problems: recognition of human factors
and how to minimise error.
AB - Human error is as old as humanity itself and is an appreciable cause of mistakes
by both organisations and people. Much of the work related to human factors in
causing error has originated from aviation where mistakes can be catastrophic not
only for those who contribute to the error, but for passengers as well. The role
of human error in medical and surgical incidents, which are often multifactorial,
is becoming better understood, and includes both organisational issues (by the
employer) and potential human factors (at a personal level). Mistakes as a result
of individual human factors and surgical teams should be better recognised and
emphasised. Attitudes and acceptance of preoperative briefing has improved since
the introduction of the World Health Organization (WHO) surgical checklist.
However, this does not address limitations or other safety concerns that are
related to performance, such as stress and fatigue, emotional state, hunger,
awareness of what is going on situational awareness, and other factors that could
potentially lead to error. Here we attempt to raise awareness of these human
factors, and highlight how they can lead to error, and how they can be minimised
in our day-to-day practice. Can hospitals move from being "high risk industries"
to "high reliability organisations"?
PMID- 26542259
TI - Extended role of Thudichum's nasal speculum for maxillomandibular segmental
osteotomy: a new technique.
PMID- 26542260
TI - Molecular typing of the actin gene of Trichomonas vaginalis isolates by PCR-RFLP
in Iran.
AB - Trichomonas vaginalis is a human urogenital pathogen that causes trichomoniasis,
the most common nonviral, parasitic sexually transmitted infection in the world.
At present, little is known regarding the degree of strain variability of T.
vaginalis. A classification method for T. vaginalis strains would be a useful
tool in the study of the epidemiology, drug resistance, pathogenesis and
transmission of T. vaginalis. Eight different types of actin genes have been
identified by PCR-RFLP in T. vaginalis; the purpose of this study is to determine
the genotypes of this parasite in Karaj city, Iran. Forty-five clinical T.
vaginalis isolates from vaginal secretions and urine sediment were collected from
Karaj city from 2012 through 2014. DNA was extracted and the actin gene was
amplified by nested-PCR; all samples were positive. To determine the genetic
differences, sequencing on seven samples was conducted. Then, all PCR products
were digested with HindII, MseI, and RsaI restriction enzymes. Of 45 isolates, 23
samples (51.1%) were of actin genotype G, 11 samples (24.4%) of genotype E, six
samples (13.3%) of genotype H, three samples (6.6%) of genotype I, and two
samples (4.4%) were mixed genotypes of G and E. Genetic diversity of T. vaginalis
isolates is notable. The actin genotype G may be the dominant genotype in Karaj
city, Iran.
PMID- 26542261
TI - A single amino acid substitution in isozyme GST mu in Triclabendazole resistant
Fasciola hepatica (Sligo strain) can substantially influence the manifestation of
anthelmintic resistance.
AB - The helminth parasite Fasciola hepatica causes fascioliasis in human and domestic
ruminants. Economic losses due to this infection are estimated in U$S 2000-3000
million yearly. The most common method of control is the use of anthelmintic
drugs. However, there is an increased concern about the growing appearance of F.
hepatica resistance to Triclabendazole (TCBZ), an anthelmintic with activity over
adult and young flukes. F. hepatica has eight Glutathione S-Transferase (GST)
isozymes, which are enzymes involved in the detoxification of a wide range of
substrates through chemical conjugation with glutathione. In the present work we
identified and characterized the GST mu gene isolated from the TCBZ-susceptible
and TCBZ-resistant F. hepatica strains. Total RNA was transcribed into cDNA by
reverse transcription and a 657 bp amplicon corresponding to the GST mu gene was
obtained. The comparative genetic analysis of the GST mu gene of the TCBZ
susceptible strain (Cullompton) and TCBZ resistant strain (Sligo) showed three
nucleotide changes and one amino acid change at position 143 in the GST mu
isozyme of the TCBZ-resistant strain. These results have potential relevance as
they contribute better understand the mechanisms that generate resistance to
anthelmintics.
PMID- 26542262
TI - Interarm differences in systolic blood pressure and the risk of dementia and
subclinical brain injury.
AB - INTRODUCTION: This study examined whether interarm differences in systolic blood
pressure (IDSBP) >=10 mm Hg were associated with the risk of incident dementia
and subclinical brain injury. METHODS: Between 1992 and 1998, 2063 participants
of the Framingham Heart Study underwent assessment of IDSBP with results related
to the 10-year risk of incident dementia including clinically characterized
Alzheimer's disease. Secondary outcomes included markers of subclinical brain
injury on magnetic resonance imaging. RESULTS: High IDSBP were associated with a
greater risk of incident dementia (hazard ratio [HR] 1.92; 95% confidence
interval [CI], 1.09-3.40) and Alzheimer's disease (HR, 2.32; 95% CI, 1.29-4.18),
but only in those who carried an apolipoprotein E (APOE) epsilon4 allele. IDSBP
also predicted lower total brain volumes and more prevalent silent brain infarcts
in those who were APOE epsilon4 positive. DISCUSSION: High IDSBP were associated
with an increased risk of dementia, including clinical Alzheimer's disease, and
subclinical brain injury in those who were APOE epsilon4 positive.
PMID- 26542263
TI - Heat stress acutely activates insulin-independent glucose transport and 5'-AMP
activated protein kinase prior to an increase in HSP72 protein in rat skeletal
muscle.
AB - Heat stress (HS) stimulates heat shock protein (HSP) 72 mRNA expression, and the
period after an increase in HSP72 protein is characterized by enhanced glucose
metabolism in skeletal muscle. We have hypothesized that, prior to an increase in
the level of HSP72 protein, HS activates glucose metabolism by acutely
stimulating 5'-AMP-activated protein kinase (AMPK). Rat epitrochlearis muscle was
isolated and incubated either with or without HS (42 degrees C) for 10 and 30
min. HS for 30 min led to an increase in the level of Hspa1a and Hspa1b mRNA but
did not change the amount of HSP72 protein. However, HS for both 10 and 30 min
led to a significant increase in the rate of 3-O-methyl-d-glucose (3MG)
transport, and the stimulatory effect of 3MG transport was completely blocked by
cytochalasin B. HS-stimulated 3MG transport was also inhibited by dorsomorphin
but not by wortmannin. HS led to a decrease in the concentration of ATP,
phosphocreatine, and glycogen, to an increase in the level of phosphorylation of
AMPKalpha Thr(172), and to an increase in the activity of both AMPKalpha1 and
AMPKalpha2. HS did not affect the phosphorylation status of insulin receptor
signaling or Ca(2+)/calmodulin-dependent protein kinase II. These results suggest
that HS acts as a rapid stimulator of insulin-independent glucose transport, at
least in part by stimulating AMPK via decreased energy status. Although further
research is warranted, heat treatment of skeletal muscle might be a promising
method to promote glucose metabolism acutely.
PMID- 26542264
TI - Exenatide in obesity with accelerated gastric emptying: a randomized,
pharmacodynamics study.
AB - Obesity is associated with differences in satiety, gastric emptying (GE), gastric
volume, and psychological traits. Exenatide, a short-acting glucagon-like peptide
1 (GLP-1) receptor agonist, is associated with variable weight loss. We compared
the effects of exenatide, 5 MUg, and placebo SQ, twice daily for 30 days on GE of
solids and liquids (scintigraphy), satiety (ad libitum buffet meal), satiation
(nutrient drink test, maximum tolerated volume [MTV]), and weight loss in 20
participants with documented accelerated GE of solids (T1/2 < 90 min). Exenatide
delayed GE of solids (T1/2 [Delta] 86 min relative to placebo, P < 0.001) and
reduced calorie intake at buffet meal ([Delta] 129 kcal compared to placebo).
Median weight loss was -0.95 kg (IQR -0.7 to -2.1) for exenatide and -0.55 kg
(0.3 to -2.1) for placebo (P = 0.23); 80% of exenatide group had documented
reduction in weight. In the exenatide treatment group, there was an inverse
correlation between gastric emptying T1/2 and MTV (R = -0.548, P = 0.089). The
univariate association of weight change with posttreatment MTV was borderline (Rs
= 0.43, P = 0.06); in the multiple regression model, posttreatment MTV was
associated with weight change (P = 0.047). The effect of the short-acting GLP-1
receptor agonist, exenatide, on GE is associated with the change in food intake,
and the latter impacts weight loss in response to exenatide treatment.
PMID- 26542266
TI - Editorial Comment.
PMID- 26542267
TI - Editorial Comment.
PMID- 26542268
TI - Fluorouracil plasma monitoring: systematic review and economic evaluation of the
My5-FU assay for guiding dose adjustment in patients receiving fluorouracil
chemotherapy by continuous infusion.
AB - BACKGROUND: 5-Fluorouracil (5-FU) is a chemotherapy used in colorectal, head and
neck (H&N) and other cancers. Dose adjustment is based on body surface area (BSA)
but wide variations occur. Pharmacokinetic (PK) dosing is suggested to bring
plasma levels into the therapeutic range to promote fewer side effects and better
patient outcomes. We investigated the clinical effectiveness and cost
effectiveness of the My5-FU assay for PK dose adjustment to 5-FU therapy.
OBJECTIVES: To systematically review the evidence on the accuracy of the My5-FU
assay compared with gold standard methods [high-performance liquid chromatography
(HPLC) and liquid chromatography-mass spectrometry (LC-MS)]; the effectiveness of
My5-FU PK dosing compared with BSA; the effectiveness of HPLC and/or LC-MS
compared with BSA; the generalisability of published My5-FU and PK studies; costs
of using My5-FU; to develop a cost-effectiveness model. DATA SOURCES: We searched
MEDLINE, EMBASE, Science Citation Index and other databases between January and
April 2014. METHODS: Two reviewers independently screened titles and abstracts
with arbitration and consensus agreement. We undertook quality assessment. We
reconstructed Kaplan-Meier plots for progression-free survival (PFS) and overall
survival (OS) for comparison of BSA and PK dosing. We developed a Markov model to
compare My5-FU with BSA dosing which modelled PFS, OS and adverse events, using a
2-week cycle over a 20 year time horizon with a 3.5% discount rate. Health
impacts were evaluated from the patient perspective, while costs were evaluated
from the NHS and Personal Social Services perspective. RESULTS: A total of 8341
records were identified through electronic searches and 35 and 54 studies were
included in the clinical effectiveness and cost-effectiveness reviews
respectively. There was a high apparent correlation between My5-FU, HPLC and LC
MS/mass spectrometer but upper and lower limits of agreement were -18% to 30%.
Median OS were estimated as 19.6 [95% confidence interval (CI) 17.0 to 21.0]
months for PK versus 14.6 (95% CI 14.1 to 15.3) months for BSA for 5-FU+folinic
acid (FA); and 27.4 (95% CI 23.2 to 38.8) months for PK versus 20.6 (95% CI 18.4
to 22.9) months for BSA for FOLFOX6 in metastatic colorectal cancer (mCRC). PK
versus BSA studies were generalisable to the relevant populations. We developed
cost-effectiveness models for mCRC and H&N cancer. The base case assumed a cost
per My5-FU assay of L 61.03. For mCRC for 12 cycles of a oxaliplatin in
combination with 5-fluorouracil and FA (FOLFOX) regimen, there was a quality
adjusted life-year (QALY) gain of 0.599 with an incremental cost-effectiveness
ratio of L 4148 per QALY. Probabilistic and scenario analyses gave similar
results. The cost-effectiveness acceptability curve showed My5-FU to be 100% cost
effective at a threshold of L 20,000 per QALY. For H&N cancer, again, given
caveats about the poor evidence base, we also estimated that My5-FU is likely to
be cost-effective at a threshold of L 20,000 per QALY. LIMITATIONS: Quality and
quantity of evidence were very weak for PK versus BSA dosing for all cancers with
no randomised controlled trials (RCTs) using current regimens. For H&N cancer,
two studies of regimens no longer in use were identified. CONCLUSIONS: Using a
linked evidence approach, My5-FU appears to be cost-effective at a willingness to
pay of L 20,000 per QALY for both mCRC and H&N cancer. Considerable uncertainties
remain about evidence quality and practical implementation. RCTs are needed of PK
versus BSA dosing in relevant cancers.
PMID- 26542269
TI - Entropy-Driven 1,2-Type Friedel-Crafts Reaction of Phenols with N-tert
Butoxycarbonyl Aldimines.
AB - Differential activation entropy (DeltaDeltaS(?)) is revisited as an important
parameter that governs catalytic stereodiscrimination processes by investigating
temperature effects on the basis of the Eyring theory. However, correlating the
DeltaDeltaS(?) effect and the molecular structure of the asymmetric catalyst is
still an underdeveloped area. Efforts to identify factors (including catalyst
structure, reactants, and reaction conditions) that contribute to the attainment
of large DeltaDeltaS(?) values for enantioselective 1,2-type Friedel-Crafts
reactions of phenols with N-tert-butoxycarbonyl aldimines catalyzed by
conformationally flexible guanidine bisthioureas are described. First, we uncover
an interesting property of the DeltaDeltaS(?)-driven stereodiscrimination
process: maximum enantioselectivity is obtained at around room temperature.
Second, a plausible transition-state model accounting for the characteristic
DeltaDeltaS(?) effect and the structural dynamics of the conformationally
flexible organocatalyst in the stereodiscrimination process is discussed.
PMID- 26542270
TI - Keep your mind off negative things: coping with long-term effects of acute
myeloid leukemia (AML).
AB - PURPOSE OF STUDY: Acute myeloid leukemia (AML) is characterized by sudden onset,
intensive treatment, a poor prognosis, and significant relapse risk. Quality of
life (QOL) and well-being among AML survivors have been extensively studied
during the 6 months of active treatment. However, it is not clear what survivors
experience after active treatment. The purpose of our study was to explore how
AML survivors describe their longer-term physical and psychosocial well-being and
how they cope with these challenges. METHODS: We conducted a prospective
qualitative study and interviewed 19 adult participants (11 had completed
treatment, 8 were receiving maintenance chemotherapy). Data were collected using
semi-structured interviews that were audio-recorded and transcribed verbatim. The
grounded theory approach was used for data analysis. RESULTS: A marked
improvement in physical health was reported; however, psychosocial well-being was
compromised by enduring emotional distress. A range of emotion- and problem
focused coping strategies were reported. Keeping one's mind off negative things
through engaging in formal work or informal activities and seeking control were
the two most commonly used coping strategies. Seeking social support for
reassurance was also common. Problem-focused strategies were frequently described
by the ongoing treatment group to manage treatment side effects. CONCLUSION:
Although physical symptoms improved after completion of treatment, psychosocial
distress persisted over longer period of time. In addition, essential needs of
AML survivors shifted across survivorship as psychological burden gradually
displaced physical concerns. The integral role of coping mechanisms in the
adaptation process suggests a need for effective and ongoing psychological
interventions.
PMID- 26542271
TI - Effects of a physical therapy program combined with manual lymphatic drainage on
shoulder function, quality of life, lymphedema incidence, and pain in breast
cancer patients with axillary web syndrome following axillary dissection.
AB - PURPOSE: The aim of this study was to evaluate the effects of physical therapy
(PT) combined with manual lymphatic drainage (MLD) on shoulder function, pain,
lymphedema, visible cords, and quality of life (QOL) in breast cancer patients
with axillary web syndrome (AWS). METHODS: In this prospective, randomized trial,
41 breast cancer patients with visible and palpable cords on the arm and axilla
and a numeric rating scale (NRS) pain score of >3 were randomly assigned to PT (3
times/week for 4 weeks; n = 20) and PT combined with MLD (5 times/week for 4
weeks; PTMLD; n = 21) groups. MLD was performed by a physical therapist and the
patients themselves during week 1 and weeks 2-4, respectively. Arm volume,
shoulder function (muscular strength; active range of motion; and disabilities of
the arm, shoulder, and hand [DASH]); QOL (European Organization for Research and
Treatment of Cancer Core and Breast Cancer-Specific QOL questionnaires), and pain
(NRS) were assessed at baseline and after 4 weeks of treatment. RESULTS: QOL
including functional and symptom aspects, shoulder flexor strength, DASH, and NRS
scores were significantly improved in both groups after the 4-week intervention
(P < 0.05). NRS score and arm volume were significantly lower in the PTMLD group
than in the PT group (P < 0.05). Lymphedema was observed in the PT (n = 6), but
not PTMLD, group (P < 0.05). CONCLUSIONS: PT improves shoulder function, pain,
and QOL in breast cancer patients with AWS and combined with MLD decreases arm
lymphedema.
PMID- 26542273
TI - Erratum to: Elemental diet plus glutamine for the prevention of mucositis in
esophageal cancer patients receiving chemotherapy: a feasibility study.
PMID- 26542272
TI - Cognitive behavioral therapy for insomnia, but not armodafinil, improves fatigue
in cancer survivors with insomnia: a randomized placebo-controlled trial.
AB - PURPOSE: Fatigue is a prevalent, distressing side effect of cancer and cancer
treatment which commonly coexists with insomnia. Cognitive behavioral therapy for
insomnia (CBT-I) has been shown to improve insomnia in cancer patients, but less
is known about its ability to impact fatigue. This work is the analysis for a
secondary aim of a four-arm randomized controlled trial (RCT) study assessing the
combined and comparative effect of CBT-I and a wakefulness-promoting agent,
armodafinil (A), to improve sleep and daytime functioning in cancer survivors.
Herein, we examine the effect of CBT-I, with and without A, on fatigue in cancer
survivors. PATIENTS AND METHODS: This study was a four-arm factorial study with
CBTI-I (yes/no) versus A (yes/no). It consisted of 96 cancer survivors (average
age 56 years; 88 % female; 68 % breast cancer). Fatigue was assessed by the brief
fatigue inventory (BFI) and the FACIT-Fatigue scale. The analysis assessed the
additive effects of CBT-I and A and possible non-additive effects where the
effect of CBT-I changes depending on the presence or absence of A. RESULTS:
Analyses adjusting for baseline differences showed that CBT-I improved fatigue as
measured by two separate scales (BFI: P = 0.002, Std. error = 0.32, effect size
(ES) = 0.46; FACIT-Fatigue: P < 0.001, Std. error = 1.74, ES = 0.64). Armodafinil
alone did not show a statistically significant effect on fatigue levels (all Ps >
0.40) nor did the drug influence the efficacy of CBT-I. Structural equation
analysis revealed that reductions in insomnia severity were directly responsible
for improving cancer-related fatigue. CONCLUSIONS: CBT-I with and without
armodafinil resulted in a clinically and statistically significant reduction of
subjective daytime fatigue in cancer survivors with chronic insomnia. Armodafinil
did not improve cancer-related fatigue (CRF) and did not change the efficacy of
CBT-I. Patients reporting CRF should be screened and, if indicated, treated for
insomnia as part of a comprehensive fatigue management program.
PMID- 26542274
TI - Polypharmacy in the terminal stage of cancer.
AB - PURPOSE: This study aims to determine the numbers of patients with advanced
cancer receiving polypharmacy at the end of their lives and analyze differences
in drug prescription at a general oncology ward and a dedicated palliative care
ward. METHODS: A retrospective single-center cohort study at a university
hospital with a large cancer center was conducted. The charts of 100 patients who
had died because of advanced cancer were reviewed; data concerning
sociodemographic variables and medications were collected at four predefined time
points (9, 6, 3, 0 days before death). RESULTS: Nine days before death,
polypharmacy was registered in 95 % of patients; they had prescriptions for 11 (9
13) different medications per day (median, IQR). Although this number dropped
significantly, on the last day as many as 61 % of the patients were still taking
more than 4 drugs (median 6.5, IQR 4-9). No significant difference was noted
between the oncology ward and the palliative care ward. Polypharmacy was largely
dependent on the patients' ECOG performance status as well as the type of ward,
the number of days before death, and age. It was not influenced by gender, the
duration of hospital stays, and the devices facilitating drug administration. The
medications fulfilled the requirements of palliative care in the majority of
patients; 90 % received treatment for pain and anxiety. Patients treated at the
palliative ward received more opioids and psychoactive drugs while those at the
oncology ward received more anti-cancer drugs and fluids. CONCLUSIONS:
Polypharmacy still is a problem in the large majority of patients with terminal
cancer. Further studies should be focused on the patients' quality of life, drug
interactions, and adverse events.
PMID- 26542275
TI - Impact of Geographic Region on Benefit of Approved Anticancer Drugs Evaluated in
International Phase III Clinical Trials.
AB - AIMS: International collaboration allows for enhanced accrual and more
generalisable results of phase III randomised controlled trials (RCTs). The
impact of geographic region on the outcomes of new anticancer agents is unclear.
MATERIALS AND METHODS: International RCTs evaluating approved systemic therapy
for advanced solid tumours that reported efficacy of new anticancer drugs based
on geographic regions were eligible. Data for overall (OS) or progression-free
survival (PFS) were pooled in a meta-analysis. The primary analysis was the
comparison of developed versus developing countries. A meta-regression analysis
explored the impact of differences in gross national income (GNI) per capita on
the hazard ratio comparing developed and developing countries. Secondary analyses
compared geographic regions irrespective of GNI. RESULTS: Of the 63 identified
studies, 12 independent RCTs were eligible; five reported data for OS and nine
for PFS. Improvements in overall survival were greater in developed as compared
with developing countries (hazard ratio 0.82, 95% confidence interval 0.68-0.99,
P = 0.04). This effect was seen only among studies of cytotoxic chemotherapy and
not among those of targeted agents. No difference was seen for PFS (hazard ratio
0.93, 95% confidence interval 0.79-1.09, P = 0.36). Meta-regression showed a
significant negative association between GNI per capita and overall survival, but
a non-significant negative association with PFS (beta = -0.774, P = 0.05 and beta
= -0.211, P = 0.29, respectively). No differences were observed in PFS between
Asian and non-Asian countries or North America and Western Europe. CONCLUSION:
Compared with patients from developing countries, those from developed countries
derive greater improvement in overall survival from cytotoxic chemotherapy, but
similar benefit from targeted drugs.
PMID- 26542276
TI - Combatting the Myth of Neuropathology.
PMID- 26542278
TI - Nanoencapsulated curcumin and praziquantel treatment reduces periductal fibrosis
and attenuates bile canalicular abnormalities in Opisthorchis viverrini-infected
hamsters.
AB - This study investigated the effects of nanoencapsulated curcumin (NEC) and
praziquantel (PZQ) treatment on the resolution of periductal fibrosis (PDF) and
bile canalicular (BC) abnormalities in Opisthorchis viverrini infected hamsters.
Chronic O. viverrini infection (OV) was initially treated with either PZQ (OP)
and subsequently treated with NEC (OP+NEC), curcumin (OP+Cur) or unloaded
carriers (OP+carrier) daily for one month. OP+NEC treatment reduced the PDF by
suppression of fibrotic markers (hydroxyproline content, alpha-SMA, CTGF,
fibronectin, collagen I and III), cytokines (TGF-beta and TNF-alpha) and TIMP-1,
2, 3 expression and upregulation of MMP-7, 13 genes. Higher activity of NEC in
reducing fibrosis compared to curcumin was also demonstrated in in vitro studies.
Moreover, OP+NEC also prevented BC abnormalities and upregulated several genes
involved in bile acid metabolism. These results demonstrate that NEC and PZQ
treatment reduces PDF and attenuates BC defect in experimental opisthorchiasis.
From the Clinical Editor: Infection by Opisthorchis viverrini leads to liver
fibrosis and affects population in SE Asia. Currently, praziquantel (PZQ) is the
drug of choice but this drug has significant side effects. In this study, the
authors combined curcumin (NEC) and praziquantel in a nanocarrier to test the
anti-oxidative effect of curcumin in an animal model. The encouraging results may
pave a way for better treatment in the future.
PMID- 26542279
TI - Elevated preoperative plasma D-dimer level is a useful predictor of
chemoresistance and poor disease outcome for serous ovarian cancer patients.
AB - PURPOSE: To examine whether the elevated preoperative plasma D-dimer levels show
correlation with chemoresistance and poor prognosis in serous ovarian cancer
patients. METHODS: Preoperative plasma D-dimer levels were measured in 125
patients with primary serous ovarian cancer (SOC).The correlations of plasma D
dimer levels with clinicopathological features, chemotherapeutic response, and
survival outcome were further analyzed. Kaplan-Meier estimates were used to
compute the survival functions and were compared using log-rank tests. Cox
proportional-hazard regression analysis was used to evaluate the effects of D
dimer on progression-free survival (PFS) and overall survival (OS), controlling
for potential confounding factors. RESULTS: The median follow-up period was 49
(range 5-85) months. Elevated plasma D-dimer levels were positively associated
with advanced FIGO stage (P = 0.010), residual tumor size (P = 0.017), the
presence of malignant ascites (P = 0.028), increased serum CA125 level (P =
0.014), and neo-adjuvant chemotherapy (P = 0.008). The patients with elevated
plasma D-dimer levels had significantly higher chemoresistance rates (56.41 %)
compared to the normal plasma D-dimer levels (20.93 %). Additionally, it was
found by the univariate analysis that elevated plasma D-dimer levels were closely
related with a low 5-year PFS rate (28.21 vs 52.33 %, P = 0.002) and a poor 5
year OS (30.77 vs 63.95 %, P < 0.001). However, after adjustment for other
factors, high plasma D-dimer levels were only closely correlated with a poor 5
year OS (HR 1.901, 95 % CI 1.021-3.540; P = 0.043). CONCLUSIONS: Elevated
preoperative plasma D-dimer levels were associated with chemoresistance and poor
disease outcome in serous ovarian cancer patients. Further validation of this
easily available parameter as a promising prognostic biomarker for patients with
SOC in prospective studies should be encouraged.
PMID- 26542280
TI - Osilodrostat, a potent oral 11beta-hydroxylase inhibitor: 22-week, prospective,
Phase II study in Cushing's disease.
AB - PURPOSE: In a 10-week proof-of-concept study (LINC 1), the potent oral 11beta
hydroxylase inhibitor osilodrostat (LCI699) normalized urinary free cortisol
(UFC) in 11/12 patients with Cushing's disease. The current 22-week study (LINC
2; NCT01331239) further evaluated osilodrostat in patients with Cushing's
disease. METHODS: Phase II, open-label, prospective study of two patient cohorts.
Follow-up cohort: 4/12 patients previously enrolled in LINC 1, offered re
enrollment if baseline mean UFC was above ULN. Expansion cohort: 15 newly
enrolled patients with baseline UFC > 1.5 * ULN. In the follow-up cohort,
patients initiated osilodrostat twice daily at the penultimate
efficacious/tolerable dose in LINC 1; dose was adjusted as needed. In the
expansion cohort, osilodrostat was initiated at 4 mg/day (10 mg/day if baseline
UFC > 3 * ULN), with dose escalated every 2 weeks to 10, 20, 40, and 60 mg/day
until UFC <= ULN. Main efficacy endpoint was the proportion of responders (UFC <=
ULN or >=50% decrease from baseline) at weeks 10 and 22. RESULTS: Overall
response rate was 89.5% (n/N = 17/19) at 10 weeks and 78.9% (n/N = 15/19) at 22
weeks; at week 22, all responding patients had UFC <= ULN. The most common AEs
observed during osilodrostat treatment were nausea, diarrhea, asthenia, and
adrenal insufficiency (n = 6 for each). New or worsening hirsutism (n = 2) and/or
acne (n = 3) were reported among four female patients, all of whom had increased
testosterone levels. CONCLUSIONS: Osilodrostat treatment reduced UFC in all
patients; 78.9% (n/N = 15/19) had normal UFC at week 22. Treatment with
osilodrostat was generally well tolerated.
PMID- 26542281
TI - Developmental Screening Among Children Born Preterm in a High-Risk Follow-Up
Clinic.
AB - OBJECTIVE: The psychometric properties of two formats of developmental screening
tools that may be used in follow-up clinics providing primary care to children
born preterm are presented. METHODS: 28 children born extremely preterm (<27
weeks) attending a high-risk clinic at the time of their 18-24 month visit were
administered the Child Development Review, Brigance Early Head Start Screen II,
and Bayley Scales of Infant and Toddler Development-Third Edition. RESULTS: Both
screeners identified the majority of the sample as at-risk. The Brigance Screen
II more accurately identified children at-risk compared with the Child
Developmental Review (sensitivity: 1.00 and 0.44; specificity: 0.60 and 0.80;
positive predictive value: 79% and 80%; negative predictive value: 100% and 44%,
respectively). CONCLUSIONS: Screening assessments using direct skills assessment
may be an efficient and effective method of identifying children with
developmental delays, particularly high-frequency but lower severity
difficulties, in high-risk follow-up care settings.
PMID- 26542282
TI - Prevalence and predictors of anxiety and depression among esophageal cancer
patients prior to surgery.
AB - This study aims to establish the prevalence and predictors of anxiety and
depression among esophageal cancer patients, post-diagnosis but prior to
curatively intended surgery. This was a cross-sectional study using data from a
hospital-based prospective cohort study, carried out at St Thomas' Hospital,
London. Potential predictor variables were retrieved from medical charts and self
report questionnaires. Anxiety and depression were measured prior to esophageal
cancer surgery, using the Hospital Anxiety and Depression Scale. Prevalence of
anxiety and depression was calculated using the established cutoff (scores >=8 on
each subscale) indicating cases of 'possible-probable' anxiety or depression, and
multivariable logistic regression analyses were performed to examine predictors
of emotional distress. Among the 106 included patients, 36 (34%) scored above the
cutoff (>=8) for anxiety and 24 (23%) for depression. Women were more likely to
report anxiety than men (odds ratio 4.04, 95% confidence interval 1.45-11.16),
and patients reporting limitations in their activity status had more than five
times greater odds of reporting depression (odds ratio 6.07, 95% confidence
interval 1.53-24.10). A substantial proportion of esophageal cancer patients
report anxiety and/or depression prior to surgery, particularly women and those
with limited activity status, which highlights a need for qualified emotional
support.
PMID- 26542283
TI - Haplotype divergence and multiple candidate genes at Rphq2, a partial resistance
QTL of barley to Puccinia hordei.
AB - KEY MESSAGE: Rphq2, a minor gene for partial resistance to Puccinia hordei , was
physically mapped in a 188 kbp introgression with suppressed recombination
between haplotypes of rphq2 and Rphq2 barley cultivars. ABSTRACT: Partial and non
host resistances to rust fungi in barley (Hordeum vulgare) may be based on
pathogen-associated molecular pattern (PAMP)-triggered immunity. Understanding
partial resistance may help to understand non-host resistance, and vice versa. We
constructed two non-gridded BAC libraries from cultivar Vada and line SusPtrit.
Vada is immune to non-adapted Puccinia rust fungi, and partially resistant to P.
hordei. SusPtrit is susceptible to several non-adapted rust fungi, and has been
used for mapping QTLs for non-host and partial resistance. The BAC libraries help
to identify genes determining the natural variation for partial and non-host
resistances of barley to rust fungi. A major-effect QTL, Rphq2, for partial
resistance to P. hordei was mapped in a complete Vada and an incomplete SusPtrit
contig. The physical distance between the markers flanking Rphq2 was 195 Kbp in
Vada and at least 226 Kbp in SusPtrit. This marker interval was predicted to
contain 12 genes in either accession, of which only five genes were in common.
The haplotypes represented by Vada and SusPtrit were found in 57 and 43%,
respectively, of a 194 barley accessions panel. The lack of homology between the
two haplotypes probably explains the suppression of recombination in the Rphq2
area and limit further genetic resolution in fine mapping. The possible candidate
genes for Rphq2 encode peroxidases, kinases and a member of seven-in-absentia
protein family. This result suggests that Rphq2 does not belong to the NB-LRR
gene family and does not resemble any of the partial resistance genes cloned
previously.
PMID- 26542284
TI - Degradation of phenolic compounds by the lignocellulose deconstructing
thermoacidophilic bacterium Alicyclobacillus Acidocaldarius.
AB - Alicyclobacillus acidocaldarius, a thermoacidophilic bacterium, has a repertoire
of thermo- and acid-stable enzymes that deconstruct lignocellulosic compounds.
The work presented here describes the ability of A. acidocaldarius to reduce the
concentration of the phenolic compounds: phenol, ferulic acid, rho-coumaric acid
and sinapinic acid during growth conditions. The extent and rate of the removal
of these compounds were significantly increased by the presence of micro-molar
copper concentrations, suggesting activity by copper oxidases that have been
identified in the genome of A. acidocaldarius. Substrate removal kinetics was
first order for phenol, ferulic acid, rho-coumaric acid and sinapinic acid in the
presence of 50 MUM copper sulfate. In addition, laccase enzyme assays of cellular
protein fractions suggested significant activity on a lignin analog between the
temperatures of 45 and 90 degrees C. This work shows the potential for A.
acidocaldarius to degrade phenolic compounds, demonstrating potential relevance
to biofuel production and other industrial processes.
PMID- 26542285
TI - Adipocyte lipolysis and insulin resistance.
AB - Obesity-induced insulin resistance is a major risk factor for the development of
type 2 diabetes. Basal fat cell lipolysis (i.e., fat cell triacylglycerol
breakdown into fatty acids and glycerol in the absence of stimulatory factors) is
elevated during obesity and is closely associated with insulin resistance.
Inhibition of adipocyte lipolysis may therefore be a promising therapeutic
strategy for treating insulin resistance and preventing obesity-associated type 2
diabetes. In this review, we explore the relationship between adipose lipolysis
and insulin sensitivity. After providing an overview of the components of fat
cell lipolytic machinery, we describe the hypotheses that may support the
causality between lipolysis and insulin resistance. Excessive circulating fatty
acids may ectopically accumulate in insulin-sensitive tissues and impair insulin
action. Increased basal lipolysis may also modify the secretory profile of
adipose tissue, influencing whole body insulin sensitivity. Finally, excessive
fatty acid release may also worsen adipose tissue inflammation, a well-known
parameter contributing to insulin resistance. Partial genetic or pharmacologic
inhibition of fat cell lipases in mice as well as short term clinical trials
using antilipolytic drugs in humans support the benefit of fat cell lipolysis
inhibition on systemic insulin sensitivity and glucose metabolism, which occurs
without an increase of fat mass. Modulation of fatty acid fluxes and, putatively,
of fat cell secretory pattern may explain the amelioration of insulin sensitivity
whereas changes in adipose tissue immune response do not seem involved.
PMID- 26542287
TI - Positional proteomics in the era of the human proteome project on the doorstep of
precision medicine.
AB - Proteolytic processing is a pervasive and irreversible post-translational
modification that expands the protein universe by generating new proteoforms
(protein isoforms). Unlike signal peptide or prodomain removal, protease
generated proteoforms can rarely be predicted from gene sequences. Positional
proteomic techniques that enrich for N- or C-terminal peptides from proteomes are
indispensable for a comprehensive understanding of a protein's function in
biological environments since protease cleavage frequently results in altered
protein activity and localization. Proteases often process other proteases and
protease inhibitors which perturbs proteolytic networks and potentiates the
initial cleavage event to affect other molecular networks and cellular processes
in physiological and pathological conditions. This review is aimed at researchers
with a keen interest in state of the art systems level positional proteomic
approaches that: (i) enable the study of complex protease-protease, protease
inhibitor and protease-substrate crosstalk and networks; (ii) allow the
identification of proteolytic signatures as candidate disease biomarkers; and
(iii) are expected to fill the Human Proteome Project missing proteins gap. We
predict that these methodologies will be an integral part of emerging precision
medicine initiatives that aim to customize healthcare, converting reactive
medicine into a personalized and proactive approach, improving clinical care and
maximizing patient health and wellbeing, while decreasing health costs by
eliminating ineffective therapies, trial-and-error prescribing, and adverse drug
effects. Such initiatives require quantitative and functional proteome profiling
and dynamic disease biomarkers in addition to current pharmacogenomics
approaches. With proteases at the pathogenic center of many diseases, high
throughput protein termini identification techniques such as TAILS (Terminal
Amine Isotopic Labeling of Substrates) and COFRADIC (COmbined FRActional DIagonal
Chromatography) will be fundamental for individual and comprehensive assessment
of health and disease.
PMID- 26542286
TI - Creatine biosynthesis and transport in health and disease.
AB - Creatine is physiologically provided equally by diet and by endogenous synthesis
from arginine and glycine with successive involvements of arginine glycine
amidinotransferase [AGAT] and guanidinoacetate methyl transferase [GAMT]. A
specific plasma membrane transporter, creatine transporter [CRTR] (SLC6A8),
further enables cells to incorporate creatine and through uptake of its
precursor, guanidinoacetate, also directly contributes to creatine biosynthesis.
Breakthrough in the role of creatine has arisen from studies on creatine
deficiency disorders. Primary creatine disorders are inherited as autosomal
recessive (mutations affecting GATM [for glycine-amidinotransferase,
mitochondrial]) and GAMT genes) or X-linked (SLC6A8 gene) traits. They have
highlighted the role of creatine in brain functions altered in patients (global
developmental delay, intellectual disability, behavioral disorders). Creatine
modulates GABAergic and glutamatergic cerebral pathways, presynaptic CRTR
(SLC6A8) ensuring re-uptake of synaptic creatine. Secondary creatine disorders,
addressing other genes, have stressed the extraordinary imbrication of creatine
metabolism with many other cellular pathways. This high dependence on multiple
pathways supports creatine as a cellular sensor, to cell methylation and energy
status. Creatine biosynthesis consumes 40% of methyl groups produced as S
adenosylmethionine, and creatine uptake is controlled by AMP activated protein
kinase, a ubiquitous sensor of energy depletion. Today, creatine is considered as
a potential sensor of cell methylation and energy status, a neurotransmitter
influencing key (GABAergic and glutamatergic) CNS neurotransmission, therapeutic
agent with anaplerotic properties (towards creatine kinases [creatine-creatine
phosphate cycle] and creatine neurotransmission), energetic and antioxidant
compound (benefits in degenerative diseases through protection against energy
depletion and oxidant species) with osmolyte behavior (retention of water by
muscle). This review encompasses all these aspects by providing an illustrated
metabolic account for brain and body creatine in health and disease, an algorithm
to diagnose metabolic and gene bases of primary and secondary creatine
deficiencies, and a metabolic exploration by (1)H-MRS assessment of cerebral
creatine levels and response to therapeutic measures.
PMID- 26542288
TI - Betulinic acid downregulates expression of oxidative stress-induced lipoprotein
lipase via the PKC/ERK/c-Fos pathway in RAW264.7 macrophages.
AB - BACKGROUND: Atherosclerosis is a major cause of coronary artery disease, which is
characterized by cellular lipid accumulation. Lipoprotein lipase (LPL) is a key
enzyme in lipid metabolism. Studies have shown that macrophage-derived LPL
exhibits proatherogenic properties, and plays a major role in lipid accumulation
in macrophages. Evidence suggests that oxidative stress can effectively enhance
macrophage LPL production. Betulinic acid (BA) is a pentacyclic lupane triterpene
with a potent antioxidant activity. In this study, we investigated whether BA
affects the expression of macrophage LPL and how it regulates cellular lipid
accumulation. METHODS AND RESULTS: We revealed that BA downregulated H2O2
simulated macrophage LPL protein, mRNA levels and its activity in both
concentration- and time-dependent manners. Furthermore, BA decreased LPL-involved
total cholesterol and triglyceride levels in macrophages. In addition, cellular
lipid staining by Oil Red O showed that BA decreased cellular lipid droplet
deposition. Next, we confirmed that pretreatment with BA decreased H2O2-induced
production of intracellular reactive oxygen species in a concentration-dependent
manner. Further studies demonstrated that BA inhibited H2O2-induced membrane
translocation of PKC, phosphorylation of ERK1/2 and c-Fos. Finally, the induction
of LPL production and activity by H2O2 was abolished by BA, inhibition of PKC or
ERK or depletion c-Fos, respectively. CONCLUSIONS: BA, through its role of
antioxidant activity, attenuated macrophage-derived LPL expression and activity
induced by oxidative stress, and effectively reduced cellular lipid accumulation,
likely through inhibition of the pathways involving PKC, ERK and c-Fos. These
effects of BA may contribute to its mitigation of atherosclerosis and help
develop BA as a therapeutic compound in treatment of atherosclerosis.
PMID- 26542289
TI - Structural evolution of the 4/1 genes and proteins in non-vascular and lower
vascular plants.
AB - The 4/1 protein of unknown function is encoded by a single-copy gene in most
higher plants. The 4/1 protein of Nicotiana tabacum (Nt-4/1 protein) has been
shown to be alpha-helical and predominantly expressed in conductive tissues.
Here, we report the analysis of 4/1 genes and the encoded proteins of lower land
plants. Sequences of a number of 4/1 genes from liverworts, lycophytes, ferns and
gymnosperms were determined and analyzed together with sequences available in
databases. Most of the vascular plants were found to encode Magnoliophyta-like
4/1 proteins exhibiting previously described gene structure and protein
properties. Identification of the 4/1-like proteins in hornworts, liverworts and
charophyte algae (sister lineage to all land plants) but not in mosses suggests
that 4/1 proteins are likely important for plant development but not required for
a primary metabolic function of plant cell.
PMID- 26542290
TI - Monaural or binaural sound deprivation in postlingual hearing loss: Cochlear
implant in the worse ear.
AB - OBJECTIVES/HYPOTHESIS: To determine whether speech recognition scores (SRS)
differ between adults with long-term auditory deprivation in the implanted ear
and adults who received cochlear implant (CI) in the nonsound-deprived ear,
either for hearing aid-assisted or due to rapidly deteriorating hearing loss.
STUDY DESIGN: Retrospective study. METHODS: Speech recognition scores at
evaluations (3 and 14 months postimplantation) conducted with CI alone at 60-dB
sound pressure level intensity were compared in 15 patients (4 with bilateral
severe hearing loss; 11 with asymmetric hearing loss, 7 of which had
contralateral hearing aid), all with long-term auditory deprivation (mean
duration 16.9 years) (group A), and in 15 other patients with postlingual hearing
loss (10 symmetric, 5 asymmetric with bimodal stimulation) (controls, group B).
RESULTS: Comparison of mean percentage of correctly recognized words on speech
audiometry at 3 and 14 months showed improvement within each group (P < 0.05).
Between-group comparison showed no significant difference at 3 (P = 0.17) or 14
months (P = 0.46). Comparison of SRSs in group A (bimodal stimulation [n = 7] and
binaural sound deprivation [n = 4]) versus group B showed no significant
differences at 3 (bimodal stimulation P = 0.16; binaural sound deprivation P =
0.19) or 14 months (bimodal stimulation P = 0.14; binaural sound deprivation P =
0.82). CONCLUSIONS: Speech recognition scores in monaural and binaural sound
deprived ears did not significantly differ from ears with unilateral cochlear
implantation in nonsound-deprived ears when tested with CI alone. Improvement in
the implanted worse ear indicates that it could be a potential candidate ear for
cochlear implantation even when sound deprived. LEVEL OF EVIDENCE: 4.
Laryngoscope, 126:1905-1910, 2016.
PMID- 26542291
TI - miRNA-221 is elevated in cystic fibrosis airway epithelial cells and regulates
expression of ATF6.
AB - BACKGROUND: MicroRNA (miRNA) and messenger RNA (mRNA) expression differs in
cystic fibrosis (CF) versus non-CF bronchial epithelium. Here, the role of miRNA
in basal regulation of the transcription factor ATF6 was investigated in
bronchial epithelial cells in vitro and in vivo. METHODS: Using in silico
analysis, miRNAs predicted to target the 3'untranslated region (3'UTR) of the
human ATF6 mRNA were identified. RESULTS: Three of these miRNAs, miR-145, miR-221
and miR-494, were upregulated in F508del-CFTR homozygous CFBE41o- versus non-CF
16HBE14o- bronchial epithelial cells and also in F508del-CFTR homozygous or
heterozygous CF (n = 8) versus non-CF (n = 9) bronchial brushings. ATF6 was
experimentally validated as a molecular target of these miRNAs through the use of
a luciferase reporter vector containing the full-length 3'UTR of ATF6. Expression
of ATF6 was observed to be decreased in CF both in vivo and in vitro. miR-221 was
also predicted to regulate murine ATF6, and its expression was significantly
increased in native airway tissues of 6-week-old betaENaC-overexpressing
transgenic mice with CF-like lung disease versus wild-type littermates.
CONCLUSIONS: These results implicate miR-145, miR-221 and miR-494 in the
regulation of ATF6 in CF bronchial epithelium, with miR-221 demonstrating
structural and functional conservation between humans and mice. The altered miRNA
expression evident in CF bronchial epithelial cells can affect expression of
transcriptional regulators such as ATF6.
PMID- 26542292
TI - Bronchopulmonary dysplasia - an overview about pathophysiologic concepts.
AB - Neonatal chronic lung disease in the preterm infant, i.e. bronchopulmonary
dysplasia (BPD) is characterized by impaired pulmonary development with its
effects persisting into adulthood. Triggered in the immature lung by infectious
complications, oxygen toxicity and the impact of mechanical ventilation, a
sustained inflammatory response, extensive remodeling of the extracellular
matrix, increased apoptosis as well as altered growth factor signaling
characterize the disease. The current review focuses on selected pathophysiologic
processes and their interplay in disease development. Furthermore, the potential
of both, acute and long-term changes to the pulmonary scaffold and the cellular
interface in concert with dysregulated growth factor signaling to affect aging
and repair processes in the adult lung is discussed.
PMID- 26542293
TI - The role of chitin, chitinases, and chitinase-like proteins in pediatric lung
diseases.
AB - Chitin, after cellulose, the second most abundant biopolymer on earth, is a key
component of insects, fungi, and house-dust mites. Lower life forms are endowed
with chitinases to defend themselves against chitin-bearing pathogens.
Unexpectedly, humans were also found to express chitinases as well as chitinase
like proteins that modulate immune responses. Particularly, increased levels of
the chitinase-like protein YKL-40 have been associated with severe asthma, cystic
fibrosis, and other inflammatory disease conditions. Here, we summarize and
discuss the potential role of chitin, chitinases, and chitinase-like proteins in
pediatric lung diseases.
PMID- 26542294
TI - Propofol administration to the maternal-fetal unit improved fetal EEG and
influenced cerebral apoptotic pathway in preterm lambs suffering from severe
asphyxia.
AB - BACKGROUND: Term and near-term infants are at high risk of developing brain
injury and life-long disability if they have suffered from severe perinatal
asphyxia. We hypothesized that propofol administration to the maternal-fetal unit
can diminish cerebral injury in term and near-term infant fetuses in states of
progressive severe asphyxia. METHODS: Forty-four late preterm lambs underwent
total umbilical cord occlusion (UCO) or sham treatment in utero. UCO resulted in
global asphyxia and cardiac arrest. After emergency cesarean section under either
maternal propofol or isoflurane anesthesia, the fetuses were resuscitated and
subsequently anesthetized the same way as their mothers. RESULTS: Asphyctic lambs
receiving isoflurane showed a significant increase of total and low-frequency
spectral power in bursts indicating seizure activity and more burst-suppression
with a marked increase of interburst interval length during UCO. Asphyctic lambs
receiving propofol showed less EEG changes. Propofol increased levels of anti
apoptotic B-cell lymphoma-extra large (Bcl-xL) and phosphorylated STAT-3 and
reduced the release of cytochrome c from the mitochondria and the protein levels
of activated cysteinyl aspartate-specific protease (caspase)-3, -9, and N-methyl
d-aspartate (NMDA) receptor. CONCLUSIONS: Improvement of fetal EEG during and
after severe asphyxia could be achieved by propofol treatment of the ovine
maternal-fetal unit. The underlying mechanism is probably the reduction of
glutamate-induced cytotoxicity by down-regulation of NMDA receptors and an
inhibition of the mitochondrial apoptotic pathway.
PMID- 26542295
TI - Characterization of maturation of neuronal voltage-gated sodium channels SCN1A
and SCN8A in rat myocardium.
AB - BACKGROUND: Sodium channels predominantly expressed in brain are expressed in
myocardial tissue and play an important role in cardiac physiology. Alterations
of sodium channels are known to result in neurological disease in infancy and
childhood. It will be of interest to study the expression of brain-type sodium
channels in the developing myocardium. METHODS: The expression of neuronal sodium
channels (SCN1A, SCN8A) and the cardiac isoform SCN5A in the developing rat
myocardium was studied by rtPCR, Western blot, and immunohistochemistry at
different stages of antenatal and postnatal development. RESULTS: Significant
changes of sodium channel expression during development were detected. Whereas
SCN5A RNA increased to maximum levels on day 21 after birth, the highest SCN1A
RNA levels were detected on day 1 to 7 after birth. SCN8A RNA was maximally
expressed during embryonic development. At the protein level, the amount of SCN5A
protein increased along with the RNA level. SCN1A protein level decreased after
birth in contrast to RNA expression. Western blot could not detect SCN8A protein
in the myocardium at any stage of development. Immunohistochemistry however
proved the presence of SCN8A protein in the developing rat myocardium.
CONCLUSIONS: Heart- and brain-type sodium channels are differentially expressed
during ontogenesis. The high expression level of SCN1A in the perinatal period
and early infancy indicates its importance in preserving a regular cardiac rhythm
in this early phase of life. Altered regulation of sodium channels might result
in severe cardiac rhythm disturbances.
PMID- 26542297
TI - Submicroscopic copy-number variations associated with 46,XY disorders of sex
development.
AB - BACKGROUND: Mutations in known causative genes and cytogenetically detectable
chromosomal rearrangements account for a fraction of cases with 46,XY disorders
of sex development (DSD). Recent advances in molecular cytogenetic technologies,
including array-based comparative genomic hybridization (aCGH) and multiplex
ligation-dependent probe amplification (MLPA), have enabled the identification of
copy-number variations (CNVs) in individuals with apparently normal karyotypes.
FINDINGS: This review paper summarizes the results of 15 recent studies, in which
aCGH or MLPA were used to identify CNVs. Several submicroscopic CNVs have been
detected in patients with 46,XY DSD. These CNVs included deletions involving
known causative genes such as DMRT1 or NR5A1, duplications involving NR0B1,
deletions involving putative cis-regulatory elements of SOX9, and various
deletions and duplications of unknown pathogenicity. CONCLUSIONS: The results of
recent studies highlight the significance of submicroscopic CNVs as the genetic
basis of 46,XY DSD. Molecular cytogenetic analyses should be included in the
diagnostic workup of patients with 46,XY DSD of unknown origin. Further studies
using aCGH will serve to clarify novel causes of this condition.
PMID- 26542298
TI - Ciliopathies - from rare inherited cystic kidney diseases to basic cellular
function.
AB - BACKGROUND: Primary cilia are membrane-bound microtubule-based protuberances of
the cell membrane projecting to the extracellular environment. While little
attention was paid to this subcellular structure over a long time, recent
research has highlighted multiple cellular functions of primary cilia and has
brought cilia to the focus of medical and cell biological research. FINDINGS:
Cilia are nowadays considered to be crucial cellular structures controlling
diverse intracellular signaling cascades. Dysfunction of cilia leads to a
pleiotropic group of diseases ranging from cystic kidney disease via neurologic
disorders to metabolic phenotypes and cardiac malformations. According to the
underlying cellular pathophysiology, these diverse disorders have been subsumed
under the term "ciliopathies". CONCLUSIONS: The work on rare human ciliopathies
has strongly deepened our genetic and cell biological understanding of multiple
diseases and cellular events thus ultimately leading to clinical trials of novel
therapeutic approaches. This review focuses on some of the important developments
in ciliopathy research.
PMID- 26542296
TI - Metabolic origins of childhood asthma.
AB - Childhood obesity and incidence of asthma are increasing globally. The parallel
increase of the two suggests that obesity and asthma may be related and that
abnormalities in the lipid and/or glucose metabolism may contribute to the
pathogenesis of asthma. The clinical presentation of obese asthma is distinct
from other asthma phenotypes and depending on age of onset of symptoms. Asthma in
obese people tends to be more severe, not typically associated with allergy, and
less responsive to standard anti-inflammatory therapy, including corticosteroids.
Obesity and obesity-related comorbidities may lead to asthma via a number of
mechanisms including changes in lung mechanics, the nitric oxide metabolism, and
by causing inflammation. Furthermore, evidence suggests that nutrition during
pregnancy contributes to intrauterine immune and metabolic programming in the
offspring, which may have major influences on predisposition to cardiovascular,
metabolic, and allergic diseases, including asthma, later in life. This review
will highlight some suggested mechanistic links between obesity and diabetes with
asthma.
PMID- 26542299
TI - Lessons learned from metabolomics in cystic fibrosis.
AB - Cystic fibrosis is a mono-genetic multi-system disease; however, respiratory
manifestations cause the main morbidity and mortality where chronic bacterial
infections lead to bronchiectasis and ultimately respiratory failure.
Metabolomics allows a relatively complete snapshot of metabolic processes in a
sample using different mass spectrometry methods. Sample types used for discovery
of biomarkers or pathomechanisms in cystic fibrosis (CF) have included blood,
respiratory secretions, and exhaled breath to date. Metabolomics has shown
distinction of CF vs. non-CF for matrices of blood, exhaled breath, and
respiratory epithelial cultures, each showing different pathways. Severity of
lung disease has been addressed by studies in bronchoalveolar lavage and exhaled
breath condensate showing separation by metabolites that the authors of each
study related to inflammation; e.g., ethanol, acetone, purines. Lipidomics has
been applied to blood and sputum samples showing associations with lung function
and Pseudomonas aeruginosa infection status. Finally, studies of bacteria grown
in vitro showed differences of bacterial metabolites to be associated with
clinical parameters. Metabolomics, in the sense of global metabolomic profiling,
is a powerful technique that has allowed discovery of pathways that had not
previously been implicated in CF. These may include purines, mitochondrial
pathways, and different aspects of glucose metabolism besides the known
differences in lipid metabolism in CF. However, targeted studies to validate such
potential metabolites and pathways of interest are necessary. Studies evaluating
metabolites of bacterial origin are in their early stages. Thus further well
designed studies could be envisioned.
PMID- 26542300
TI - Stent implantation into the tracheo-bronchial system in rabbits: histopathologic
sequelae in bare metal vs. drug-eluting stents.
AB - BACKGROUND: Stent implantation into the tracheo-bronchial system may be life
saving in selected pediatric patients with otherwise intractable stenosis of the
upper airways. Following implantation, significant tissue proliferation may
occur, requiring re-interventions. We sought to evaluate the effect of
immunosuppressive coating of the stents on the extent of tissue proliferation in
an animal model. METHODS: Bare metal and sirolimus-coated stents (Bx Sonic and
Cypher Select, Johnson & Johnson, Cordis) were implanted into non-stenotic lower
airways of New Zealand white rabbits (weight 3.1 to 4.8 kg). Three stents with
sirolimus coating and six bare metal stents could be analyzed by means of
histology and immunohistochemistry 12 months after implantation. RESULTS: On a
macroscopic evaluation, all stents were partially covered with a considerable
amount of whitish tissue. Histologically, these proliferations contained fiber
rich connective tissue and some fibromuscular cells without significant
differences between both stent types. The superficial tissue layer was formed by
typical respiratory epithelium and polygonal cells. Abundant lymphocyte
infiltrations and moderate granulocyte infiltrations were found in both groups
correspondingly, whereas foreign-body reaction was more pronounced around
sirolimus-eluting stents. CONCLUSIONS: After stent implantation in the tracheo
bronchial system of rabbits, we found tissue reactions comparable to those seen
after stent implantation into the vascular system. There was no difference
between coated and uncoated stents with regard to quality and quantity of tissue
proliferation. We found, however, a significantly different inflammatory reaction
with a more pronounced foreign-body reaction in sirolimus-coated stents. In our
small series, drug-eluting stents did not exhibit any benefit over bare metal
stents in an experimental setting.
PMID- 26542301
TI - Resolving Uncertainty About the Intolerance of Uncertainty Scale-12: Application
of Modern Psychometric Strategies.
AB - In this study, we evaluated the factor structure, reliability estimates, item
parameters, and differential correlates of the short form of the Intolerance of
Uncertainty Scale (Carleton, Norton, & Asmundson, 2007 ) in samples of
undergraduate women (n = 387) and men (n = 276) ranging in age from 18 to 49
years (M = 20.20, SD = 3.91). This instrument was designed to measure 2 facets of
intolerance of uncertainty-prospective anxiety and inhibitory anxiety-although
total scores on the measure are often used. A major objective of this study was
to determine the degree to which derivation of total versus subscale scores is
empirically permissible. Comparison of a bifactor model to a unidimensional model
and a 2-factor correlated traits model indicated that the bifactor model
exhibited superior fit to the sample data. This model provided evidence of a
strong general intolerance of uncertainty factor that was more reliable and
accounted for significantly more common variance than either subscale factor.
Examination of the item response theory slope parameters revealed negligible bias
in the measure's items across genders. Finally, a series of simultaneous
regression analyses was conducted to examine differential correlates of the
measure's total scale scores for men and women.
PMID- 26542302
TI - Promotoras Can Facilitate Use of Recreational Community Resources: The Mi Corazon
Mi Comunidad Cohort Study.
AB - INTRODUCTION: Limited research has documented interventions aimed at promoting
use of existing recreational community resources among underserved populations.
This study (HEART [Health Education Awareness Research Team] Phase 2) reports
findings of an intervention (Mi Corazon Mi Comunidad) where community health
workers facilitated use of diet and exercise programming at local recreational
facilities among Mexican American border residents. The aim was to evaluate
overall attendance rates and to assess which factors predicted higher attendance.
METHOD: The design was a cohort study. From 2009 to 2013, a total of 753
participants were recruited across 5 consecutive cohorts. The intervention
consisted of organized physical activity and nutrition programming at parks and
recreational facilities and a free YWCA membership. Attendance at all activities
was objectively recorded. Regression analyses were used to evaluate whether
demographic factors, health status, and health beliefs were associated with
attendance. Results Participants included mostly females at high risk for
cardiovascular disease (72.4% were overweight/obese and 64% were [pre
]hypertensive). A total of 83.6% of participants attended at least one session.
On average, total attendance was 21.6 sessions (range: 19.1-25.2 sessions between
the different cohorts), including 16.4 physical activity and 5.2 nutrition
sessions. Females (p = .003) and older participants (p < .001) attended more
sessions. Participants low in acculturation (vs. high) attended on average seven
more sessions (p = .003). Greater self-efficacy (p < .001), perceived benefits (p
= .038), and healthy intentions (p = .024) were associated with higher
attendance. Conclusions The intervention was successful in promoting use of
recreational facilities among border residents at high risk for cardiovascular
disease. Findings were similar across five different cohorts.
PMID- 26542303
TI - A High-content screen identifies compounds promoting the neuronal differentiation
and the midbrain dopamine neuron specification of human neural progenitor cells.
AB - Small molecule compounds promoting the neuronal differentiation of
stem/progenitor cells are of pivotal importance to regenerative medicine. We
carried out a high-content screen to systematically characterize known bioactive
compounds, on their effects on the neuronal differentiation and the midbrain
dopamine (mDA) neuron specification of neural progenitor cells (NPCs) derived
from the ventral mesencephalon of human fetal brain. Among the promoting
compounds three major pharmacological classes were identified including the
statins, TGF-betaRI inhibitors, and GSK-3 inhibitors. The function of each class
was also shown to be distinct, either to promote both the neuronal
differentiation and mDA neuron specification, or selectively the latter, or
promote the former but suppress the latter. We then carried out initial
investigation on the possible mechanisms underlying, and demonstrated their
applications on NPCs derived from human pluripotent stem cells (PSCs). Our study
revealed the potential of several small molecule compounds for use in the
directed differentiation of human NPCs. The screening result also provided
insight into the signaling network regulating the differentiation of human NPCs.
PMID- 26542304
TI - Carbapenems versus alternative antibiotics for the treatment of bloodstream
infections caused by Enterobacter, Citrobacter or Serratia species: a systematic
review with meta-analysis.
AB - OBJECTIVES: This systematic review and meta-analysis compared effects of
different antibiotics on mortality in patients with bloodstream infections caused
by Enterobacteriaceae with chromosomal AmpC beta-lactamase. METHODS: Databases
were systematically searched for studies reporting mortality in patients with
bloodstream infections caused by AmpC producers treated with carbapenems, broad
spectrum beta-lactam/beta-lactamase inhibitors (BLBLIs), quinolones or cefepime.
Pooled ORs for mortality were calculated for cases that received monotherapy with
these agents versus carbapenems. REGISTRATION: PROSPERO international prospective
register of systematic reviews (CRD42014014992; 18 November 2014). RESULTS:
Eleven observational studies were included. Random-effects meta-analysis was
performed on studies reporting empirical and definitive monotherapy. In
unadjusted analyses, no significant difference in mortality was found between
BLBLIs versus carbapenems used for definitive therapy (OR 0.87, 95% CI 0.32-2.36)
or empirical therapy (OR 0.48; 95% CI 0.14-1.60) or cefepime versus carbapenems
as definitive therapy (OR 0.61; 95% CI 0.27-1.38) or empirical therapy (0.60; 95%
CI 0.17-2.20). Use of a fluoroquinolone as definitive therapy was associated with
a lower risk of mortality compared with carbapenems (OR 0.39; 95% CI 0.19-0.78).
Three studies with patient-level data were used to adjust for potential
confounders. The non-significant trends favouring non-carbapenem options in these
studies were diminished after adjustment for age, sex and illness severity
scores, suggestive of residual confounding. CONCLUSIONS: Despite limitations of
available data, there was no strong evidence to suggest that BLBLIs, quinolones
or cefepime were inferior to carbapenems. The reduced risk of mortality observed
with quinolone use may reflect less serious illness in patients, rather than
superiority over carbapenems.
PMID- 26542305
TI - Infection by and dissemination of NDM-5-producing Escherichia coli in China.
PMID- 26542306
TI - Inhibition of herpes simplex virus type 1 by the CDK6 inhibitor PD-0332991
(palbociclib) through the control of SAMHD1.
AB - OBJECTIVES: Sterile alpha motif and histidine-aspartate domain-containing protein
1 (SAMHD1) has been shown to restrict retroviruses and DNA viruses by decreasing
the pool of intracellular deoxynucleotides. In turn, SAMHD1 is controlled by
cyclin-dependent kinases (CDK) that regulate the cell cycle and cell
proliferation. Here, we explore the effect of CDK6 inhibitors on the replication
of herpes simplex virus type 1 (HSV-1) in primary monocyte-derived macrophages
(MDM). METHODS: MDM were treated with palbociclib, a selective CDK4/6 inhibitor,
and then infected with a GFP-expressing HSV-1. Intracellular deoxynucleotide
triphosphate (dNTP) content was determined using a polymerase-based method.
RESULTS: CDK6 inhibitor palbociclib blocked SAMHD1 phosphorylation, intracellular
dNTP levels and HSV-1 replication in MDM at subtoxic concentrations. Treatment of
MDM with palbociclib reduced CDK2 activation, measured as the phosphorylation of
the T-loop at Thr160. The antiviral activity of palbociclib was lost when SAMHD1
was degraded by viral protein X. Similarly, palbociclib did not block HSV-1
replication in SAMHD1-negative Vero cells at subtoxic concentrations, providing
further evidence for a role of SAMHD1 in mediating the antiviral effect.
CONCLUSIONS: SAMHD1-mediated HSV-1 restriction is controlled by CDK and points to
a preferential role for CDK6 and CDK2 as mediators of SAMHD1 activation.
Similarly, the restricting activity of SAMHD1 against DNA viruses suggests that
control of dNTP availability is the major determinant of its antiviral activity.
This is the first study describing the anti-HSV-1 activity of palbociclib.
PMID- 26542308
TI - Gain of chromosome 21 or amplification of chromosome arm 21q is one mechanism for
increased ERG expression in acute myeloid leukemia.
AB - In acute myeloid leukemia (AML), acquired genomic gains and losses are common and
lead to altered expression of genes located within or nearby the affected
regions. Increased expression of the ETS-related transcription factor gene ERG
has been described in myeloid malignancies with chromosomal rearrangements
involving chromosome band 21q22, but also in cytogenetically normal AML, where it
is associated with adverse prognosis. In this study, fluorescence in situ
hybridization on interphase nuclei disclosed an amplification of the ERG gene
(more than six copies) in 33 AML patients with structural rearrangements of
21q22. Array comparative genomic hybridization of these cases disclosed a minimal
amplified region at the position 39.6-40.0 Mbp from pter that harbors ERG as the
only gene. Analysis by quantitative real-time reverse transcription polymerase
chain reaction revealed significantly higher ERG mRNA expression in these
patients and in a group of 95 AML patients with complete or partial gain of
chromosome 21 (three to six copies) compared with 351 AML patients without gain
of chromosome 21. Quantification of ERG DNA copy numbers revealed a strong
correlation with ERG mRNA expression. Furthermore, in patients with gain of
chromosome 21, higher ERG expression was found to be associated with RUNX1
mutations. Our results suggest that acquired gain of chromosome 21 or
amplification of chromosome arm 21q is one mechanism contributing to increased
ERG expression in AML.
PMID- 26542309
TI - Protective effect of Ganshuang Granules () on liver cirrhosis by suppressing
regulatory T cells in a mouse model.
AB - OBJECTIVE: To investigate the potential antifibrotic mechanisms of Chinese
medicine Ganshuang Granules (, GSG) and to provide clinical therapeutic evidence
of its effects. METHODS: A cirrhotic mouse model was established by
intraperitoneally injecting a mixture of CCl4 (40%) and oil (60%) at 0.2 mL per
100 g of body weight twice a week for 12 weeks. After 12-week modeling, GSG was
intragastric administrated to the mice for 2 weeks, and the mice were divided
into low-, medium- and high-dose groups at doses of 1, 2 and 4 g/(kg.day),
respectively. Liver morphology changes were observed using Masson's trichrome
staining and B-ultrasound. The levels of alanine aminotransferase (ALT),
aspartate aminotransferase (AST) and hyaluronic acid (HA) in serum were detected
using an automatic biochemistry analyzer. The expressions of desmin, smooth
muscle actin (SMA) and Foxp3 in liver were detected by immunoflfluorescence. The
regulatory T cell (Treg) frequency was determined through flflow cytometry
analysis. Collagen-I, SMA, IL-6, tumor necrosis factor alpha (TNF-alpha),
interleukin (IL)-1beta and transforming growth factor beta1 (TGF-beta1)
expression levels were measured using quantitative polymerase chain reaction
(qPCR). RESULTS: Masson's staining result showed fewer pseudolobule structures
and fibrous connective tissue in the GSG-treatment groups than in the spontaneous
recovery group. Ultrasonography showed that GSG treatment reduced the number of
punctate hyperechoic lesions in mice cirrhotic livers. The serum ALT, AST, HA
levels were significantly ameliorated by GSG treatment (ALT: F=8.104, P=0.000;
AST: F=7.078, P=0.002; and HA: F=7.621, P=0.001). The expression levels of
collagen-I and SMA in the cirrhotic livers were also attenuated by GSG treatment
(collagen-I: F=3.938, P=0.011; SMA: F=4.115, P=0.009). Tregs, which were elevated
in the fibrotic livers, were suppressed by GSG treatment (F=8.268, P=0.001). The
expressions of IL-6, TNF-alpha and IL-1beta increased, and TGF-beta levels
decreased in the cirrhotic livers after GSG treatment (IL-6: F=5.457, P=0.004;
TNF-alpha: F=6.023, P=0.002; IL-1beta: F=6.658, P=0.001; and TGF-beta1: F=11.239,
P=0.000). CONCLUSIONS: GSG promoted the resolution/regression of cirrhosis and
restored liver functions in part by suppressing Treg cell differentiation, which
may be mediated by hepatic stellate cells.
PMID- 26542307
TI - Dopamine transporter availability in clinically normal aging is associated with
individual differences in white matter integrity.
AB - Aging-related differences in white matter integrity, the presence of amyloid
plaques, and density of biomarkers indicative of dopamine functions can be
detected and quantified with in vivo human imaging. The primary aim of the
present study was to investigate whether these imaging-based measures constitute
independent imaging biomarkers in older adults, which would speak to the
hypothesis that the aging brain is characterized by multiple independent
neurobiological cascades. We assessed MRI-based markers of white matter integrity
and PET-based marker of dopamine transporter density and amyloid deposition in
the same set of 53 clinically normal individuals (age 65-87). A multiple
regression analysis demonstrated that dopamine transporter availability is
predicted by white matter integrity, which was detectable even after controlling
for chronological age. Further post-hoc exploration revealed that dopamine
transporter availability was further associated with systolic blood pressure,
mirroring the established association between cardiovascular health and white
matter integrity. Dopamine transporter availability was not associated with the
presence of amyloid burden. Neurobiological correlates of dopamine transporter
measures in aging are therefore likely unrelated to Alzheimer's disease but are
aligned with white matter integrity and cardiovascular risk. More generally,
these results suggest that two common imaging markers of the aging brain that are
typically investigated separately do not reflect independent neurobiological
processes. Hum Brain Mapp 37:621-631, 2016. (c) 2015 Wiley Periodicals, Inc.
PMID- 26542310
TI - Scaling human pluripotent stem cell expansion and differentiation: are cell
factories becoming a reality?
PMID- 26542311
TI - Management Issues in Intensive Care Units for Infants and Children with Heart
Disease.
AB - Admission of infants and children with cardiac disease to the neonatal (NICU) and
pediatric ICU (PICU) is ever increasing in India (30-50 % of all admissions). The
commonest indication for admission to the NICU or PICU is acute deterioration of
cardiac disease. This includes: acute heart failure, hypercyanotic spells,
arrhythmias, pericardial tamponade and sick cardiac neonates who need urgent
intervention. Other increasingly frequent indications for ICU admission include
heart failure with concomitant chest infection and impending respiratory failure
and, severe cyanotic heart disease with various stroke syndromes. It is thus
essential that a pediatrician be comfortable with the ICU management of such
children and that low cost ICU modalities be utilized in order to reach out to as
many children as feasible. It is heartening that there is renewed interest in
inexpensive therapies like noninvasive ventilation and therapeutic hypothermia.
PMID- 26542312
TI - Experimental evolution: Assortative mating and sexual selection, independent of
local adaptation, lead to reproductive isolation in the nematode Caenorhabditis
remanei.
AB - Using experimental evolution, we investigated the contributions of ecological
divergence, sexual selection, and genetic drift to the evolution of reproductive
isolation in Caenorhabditis remanei. The nematodes were reared on two different
environments for 100 generations. They were assayed for fitness on both
environments after 30, 64, and 100 generations, and hybrid fitness were analyzed
after 64 and 100 generations. Mating propensity within and between populations
was also analyzed. The design allowed us to determine whether local adaptation
was synchronous with pre- and postzygotic reproductive isolation. Prezygotic
isolation evolved quickly but was unconnected with adaptation to the divergent
environments. Instead, prezygotic isolation was driven by mate preferences
favoring individuals from the same replicate population. A bottleneck treatment,
meant to enhance the opportunity for genetic drift, had no effect on prezygotic
isolation. Postzygotic isolation occurred in crosses where at least one
population had a large fitness advantage in its "home" environment. Taken
together, our results suggest that prezygotic isolation did not depend on drift
or adaptation to divergent environments, but instead resulted from differences in
sexual interactions within individual replicates. Furthermore, our results
suggest that postzygotic isolation can occur between populations even when only
one population has greater fitness in its home environment.
PMID- 26542313
TI - Obesity and endometrial cancer: unanswered epidemiological questions.
PMID- 26542314
TI - Dental trauma in showjumping - A trinational study between Switzerland, France
and Germany.
AB - AIM: Showjumping is one of the sporting activities with a high injury risk.
Unpredictable behaviour of the horse, considerable speed and the height of the
rider in the saddle make this sport to one of the most dangerous, with the
highest risk of injury and the highest mortality rate per competitor. The aim of
this study was to determine the frequency of dental trauma, the wearing of
protective gear such as helmet, back protector and mouthguard as well as the
knowledge of primary care after dental trauma. MATERIAL AND METHODS: Within the
framework of this study, 608 female and male showjumpers from Switzerland, France
and Germany were questioned in a personal interview consisting of 14 questions
about general injuries, dental injuries and the usage of protective gear. The
competitors were subdivided into different categories according to amateur or
professional level, gender and age. RESULTS: Of the 608 competitors, 189 (31.1%)
had witnessed an accident involving tooth injury and 91 (15.0%) had suffered one
themselves. The prevalence of dental trauma rose with increasing age and more
riding experience. A total of 436 (71.1%) of the competitors were familiar with
mouthguards, of which only three owned one. The main reasons for not wearing a
mouthguard were both doubtful necessity (22.5%) and a generally low acceptance
among the riders (26.3%). Less than half of the competitors (44.4%) knew that an
avulsed tooth can be replanted and only 4.1% had knowledge about the existence of
a tooth rescue box. CONCLUSION: The results of this study show that in equestrian
sports still little is known about dental trauma and protective measures.
PMID- 26542315
TI - Dental pulp-derived stem cell conditioned medium reduces cardiac injury following
ischemia-reperfusion.
AB - Stem cells from human exfoliated deciduous teeth (SHEDs) can regenerate various
tissues. We investigated the impact of SHED-conditioned medium (SHED-CM) on
myocardial injury in a mouse model of ischemia-reperfusion (I/R). Wild-type (WT)
mice were subjected to myocardial ischemia followed by reperfusion. SHED-CM was
intravenously injected at 5 min after reperfusion. Administration of SHED-CM
reduced myocardial infarct size as well as decreased apoptosis and inflammatory
cytokine levels, such as TNF-alpha, IL-6, and IL-beta, in the myocardium
following I/R. In cultured cardiac myocytes, SHED-CM significantly suppressed
apoptosis under hypoxia/serum-deprivation and reduced LPS-induced expression of
pro-inflammatory genes. Furthermore, anti-apoptotic action of SHED-CM was
stronger than bone marrow-derived stem cell (BMSC)-CM or adipose-derived stem
cell (ADSC)-CM in cardiac myocytes. SHED-CM contains a higher concentration of
hepatocyte growth factor (HGF) than BMSC-CM and ADSC-CM, and neutralization of
HGF attenuated the inhibitory actions of SHED-CM on apoptosis in cardiac
myocytes. Finally, WT mice were intravenously treated with an HGF-depleted SHED
CM, followed by myocardial I/R. HGF depletion significantly attenuated the
inhibitory actions of SHED-CM on myocardial infarct size and apoptosis after I/R.
SHED-CM protects the heart from acute ischemic injury because it suppresses
inflammation and apoptosis. SHED-CM could be a useful treatment option for acute
myocardial infarction.
PMID- 26542316
TI - Metals in Urine and Diabetes in U.S. Adults.
AB - Our objective was to evaluate the relationship of urine metals including barium,
cadmium, cobalt, cesium, molybdenum, lead, antimony, thallium, tungsten, and
uranium with diabetes prevalence. Data were from a cross-sectional study of 9,447
participants of the 1999-2010 National Health and Nutrition Examination Survey, a
representative sample of the U.S. civilian noninstitutionalized population.
Metals were measured in a spot urine sample, and diabetes status was determined
based on a previous diagnosis or an A1C >=6.5% (48 mmol/mol). After multivariable
adjustment, the odds ratios of diabetes associated with the highest quartile of
metal, compared with the lowest quartile, were 0.86 (95% CI 0.66-1.12) for barium
(Ptrend = 0.13), 0.74 (0.51-1.09) for cadmium (Ptrend = 0.35), 1.21 (0.85-1.72)
for cobalt (Ptrend = 0.59), 1.31 (0.90-1.91) for cesium (Ptrend = 0.29), 1.76
(1.24-2.50) for molybdenum (Ptrend = 0.01), 0.79 (0.56-1.13) for lead (Ptrend =
0.10), 1.72 (1.27-2.33) for antimony (Ptrend < 0.01), 0.76 (0.51-1.13) for
thallium (Ptrend = 0.13), 2.18 (1.51-3.15) for tungsten (Ptrend < 0.01), and 1.46
(1.09-1.96) for uranium (Ptrend = 0.02). Higher quartiles of barium, molybdenum,
and antimony were associated with greater HOMA of insulin resistance after
adjustment. Molybdenum, antimony, tungsten, and uranium were positively
associated with diabetes, even at the relatively low levels seen in the U.S.
POPULATION: Prospective studies should further evaluate metals as risk factors
for diabetes.
PMID- 26542318
TI - An Improved Sparse Representation over Learned Dictionary Method for Seizure
Detection.
AB - Automatic seizure detection has played an important role in the monitoring,
diagnosis and treatment of epilepsy. In this paper, a patient specific method is
proposed for seizure detection in the long-term intracranial electroencephalogram
(EEG) recordings. This seizure detection method is based on sparse representation
with online dictionary learning and elastic net constraint. The online learned
dictionary could sparsely represent the testing samples more accurately, and the
elastic net constraint which combines the 11-norm and 12-norm not only makes the
coefficients sparse but also avoids over-fitting problem. First, the EEG signals
are preprocessed using wavelet filtering and differential filtering, and the
kernel function is applied to make the samples closer to linearly separable. Then
the dictionaries of seizure and nonseizure are respectively learned from original
ictal and interictal training samples with online dictionary optimization
algorithm to compose the training dictionary. After that, the test samples are
sparsely coded over the learned dictionary and the residuals associated with
ictal and interictal sub-dictionary are calculated, respectively. Eventually, the
test samples are classified as two distinct categories, seizure or nonseizure, by
comparing the reconstructed residuals. The average segment-based sensitivity of
95.45%, specificity of 99.08%, and event-based sensitivity of 94.44% with false
detection rate of 0.23/h and average latency of -5.14 s have been achieved with
our proposed method.
PMID- 26542317
TI - Loss-of-Function Mutations in the Cell-Cycle Control Gene CDKN2A Impact on
Glucose Homeostasis in Humans.
AB - At the CDKN2A/B locus, three independent signals for type 2 diabetes risk are
located in a noncoding region near CDKN2A. The disease-associated alleles have
been implicated in reduced beta-cell function, but the underlying mechanism
remains elusive. In mice, beta-cell-specific loss of Cdkn2a causes hyperplasia,
while overexpression leads to diabetes, highlighting CDKN2A as a candidate
effector transcript. Rare CDKN2A loss-of-function mutations are a cause of
familial melanoma and offer the opportunity to determine the impact of CDKN2A
haploinsufficiency on glucose homeostasis in humans. To test the hypothesis that
such individuals have improved beta-cell function, we performed oral and
intravenous glucose tolerance tests on mutation carriers and matched control
subjects. Compared with control subjects, carriers displayed increased insulin
secretion, impaired insulin sensitivity, and reduced hepatic insulin clearance.
These results are consistent with a model whereby CDKN2A loss affects a range of
different tissues, including pancreatic beta-cells and liver. To test for direct
effects of CDKN2A-loss on beta-cell function, we performed knockdown in a human
beta-cell line, EndoC-bH1. This revealed increased insulin secretion independent
of proliferation. Overall, we demonstrated that CDKN2A is an important regulator
of glucose homeostasis in humans, thus supporting its candidacy as an effector
transcript for type 2 diabetes-associated alleles in the region.
PMID- 26542319
TI - High-resolution pluronic-filled microchip CE-SSCP analysis system via channel
width control.
AB - Although the resolution of CE-SSCP has been significantly improved by using a
poly(ethyleneoxide)-poly(propyleneoxide)-poly(ethyleneoxide) (PEO-PPO-PEO;
Pluronic((r))) triblock copolymer as a separation medium, CE-SSCP on a microchip
format is not widely applicable because their resolution is limited by short
channel length. Therefore, a strategy to improve the resolution in channels of
limited lengths is highly required for enabling microchip-based CE-SSCP. In this
study, we developed a high-resolution CE-SSCP microchip system by controlling the
width of the pluronic-filled channel. We tested four different channel widths of
180, 240, 300, and 400 MUm, and found that 300 MUm showed the highest resolution
in the separation of two pathogen specific markers. Potential applications of our
method in various genetic analyses were also shown by using SNP markers for
spinal muscular atrophy.
PMID- 26542320
TI - [Very late drug-eluting stent thrombosis by stent fracture].
AB - The superiority of drug-eluting stents in reducing the risk of in-stent
restenosis compared to bare-metal stents is no longer challenged. Nevertheless,
the drug-eluting stents may carry long-term risk of late and very late stent
thrombosis. The promoting factors of this complication are usually divided into
three chapters depending on the patient, the procedure and the stent. Indeed, the
literature has reported several parameters related to the stent itself, such as
its length, the malapposition, its diameter, but also more rarely the occurrence
of stent fracture. We present the case of a patient admitted for myocardial
infarction after a very late thrombosis of Cypher drug-eluting stent four years
after its implantation and related to stent fracture.
PMID- 26542321
TI - Silver(I)-Catalyzed Synthesis of beta-Oxopropylcarbamates from Propargylic
Alcohols and CO2 Surrogate: A Gas-Free Process.
AB - The utilization of carbon dioxide poses major challenges owing to its high
thermodynamic stability and kinetic inertness. To circumvent these problems, a
simple reaction system is reported comprising ammonium carbamates as carbon
dioxide surrogates, propargylic alcohols, and a silver(I) catalyst, for the
effective conversion of a wide range of alcohols and secondary amines into the
corresponding beta-oxopropylcarbamates. A key feature of this strategy includes
quantitative use of a carbon resource with high product yields under gas-free and
mild reaction conditions. Notably, this catalytic protocol also works well for
the carboxylative cyclization of propargylic amines and carbon dioxide surrogates
to afford 2-oxazolidinones.
PMID- 26542323
TI - Surgery is possible: now let's prove its superior efficacy!
PMID- 26542322
TI - Scientific impact and beyond.
PMID- 26542324
TI - How can we improve surgical outcomes?
PMID- 26542325
TI - Frozen section during partial nephrectomy: an unreliable test that changes
nothing?
PMID- 26542326
TI - Are men who are biopsied without prior prostate magnetic resonance imaging
getting substandard care?
PMID- 26542327
TI - Cabazitaxel for the therapy of metastatic castration-resistant prostate cancer in
the aftermath of the CHAARTED trial.
PMID- 26542328
TI - Is the modified sliding technique the way forward in Peyronie's surgery?
PMID- 26542329
TI - A simple and sensitive label-free fluorescence sensing of heparin based on Cdte
quantum dots.
AB - A rapid, simple and sensitive label-free fluorescence method was developed for
the determination of trace amounts of an important drug, heparin. This new method
was based on water-soluble glutathione-capped CdTe quantum dots (CdTe QDs) as the
luminescent probe. CdTe QDs were prepared according to the published protocol and
the sizes of these nanoparticles were verified through transmission electron
microscopy (TEM), X-ray diffraction (XRD) and dynamic light scattering (DLS) with
an average particle size of about 7 nm. The fluorescence intensity of glutathione
capped CdTe QDs increased with increasing heparin concentration. These changes
were followed as the analytical signal. Effective variables such as pH, QD
concentration and incubation time were optimized. At the optimum conditions, with
this optical method, heparin could be measured within the range 10.0-200.0 ng mL(
1) with a low limit of detection, 2.0 ng mL(-1) . The constructed fluorescence
sensor was also applied successfully for the determination of heparin in human
serum. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26542331
TI - Geographical variations of risk factors associated with HCV infection in drug
users in southwestern China.
AB - Hepatitis C virus (HCV) has become a global public health problem. Many studies
have been conducted to identify risk factors for HCV infection. However, some of
these studies reported inconsistent results. Using data collected from 11
methadone clinics, we fit both a non-spatial logistical regression and a
geographically weighted logistic regression to analyse the association between
HCV infection and some factors at the individual level. This study enrolled 5401
patients with 30.0% HCV infection prevalence. The non-spatial logistical
regression found that injection history, drug rehabilitation history and senior
high-school education or above were related to HCV infection; and being married
was negatively associated with HCV infection. Using the spatial model, we found
that Yi ethnicity was negatively related to HCV infection in 62.0% of townships,
and being married was negatively associated with HCV infection in 81.0% of
townships. Senior high-school education or above was positively associated with
HCV infection in 55.2% of townships of the Yi Autonomous Prefecture. The spatial
model offers better understanding of the geographical variations of the risk
factors associated with HCV infection. The geographical variations may be useful
for customizing intervention strategies for local regions for more efficient
allocation of limited resources to control transmission of HCV.
PMID- 26542330
TI - Effect of roflumilast on airway remodelling in a murine model of chronic asthma.
AB - BACKGROUND: Airway remodelling is associated with irreversible, or partially
reversible, airflow obstruction and ultimately unresponsiveness to asthma
therapies such as corticosteroids. Roflumilast is a selective phosphodiesterase-4
inhibitor that has an anti-inflammatory effect in chronic obstructive pulmonary
disease (COPD). OBJECTIVE: The objective of this study was to study the effect of
roflumilast on airway inflammation and remodelling in a murine model of chronic
asthma. METHODS: BALB/c mice sensitized to ovalbumin (OVA) were chronically
exposed to intranasal OVA administration twice a week for additional 3 months.
Roflumilast was administered orally during the intranasal OVA challenge. A lung
fibroblast cell line was used in the proliferation assay. RESULTS: Compared with
control mice, mice chronically exposed to OVA developed eosinophilic airway
inflammation, airway hyper-responsiveness (AHR), and exhibited features of airway
remodelling. Administration of roflumilast significantly inhibited airway
inflammation and AHR. Roflumilast also significantly decreased goblet cell
hyperplasia and pulmonary fibrosis, which are parameters of airway remodelling.
The levels of interleukin (IL)-4, IL-5, and IL-13 in the bronchoalveolar lavage
(BAL) fluids were significantly lower in the roflumilast group. In vitro,
roflumilast significantly inhibited stem cell factor (SCF)-induced cell
proliferation of fibroblasts. The SCF concentration and mRNA expression in a
murine model also significantly decreased with roflumilast treatment.
CONCLUSIONS: These results suggest that the administration of roflumilast
regulates airway inflammation, AHR, and airway remodelling in a model of chronic
asthma. The beneficial effects from roflumilast may be related to the SCF/c-kit
pathway.
PMID- 26542333
TI - Correction: DMT1 iron uptake in the PNS: bridging the gap between injury and
regeneration.
PMID- 26542332
TI - Youth Depression Alleviation-Augmentation with an anti-inflammatory agent (YoDA
A): protocol and rationale for a placebo-controlled randomized trial of
rosuvastatin and aspirin.
AB - AIM: There is growing support for the role of inflammation and oxidative stress
in the pathophysiology of major depressive disorder (MDD). This has led to the
development of novel strategies targeting inflammation in the treatment of
depression. Rosuvastatin and aspirin have well-documented, anti-inflammatory and
antioxidant properties. The aim of the Youth Depression Alleviation: Augmentation
with an anti-inflammatory agent (YoDA-A) study is to determine whether
individuals receiving adjunctive anti-inflammatory agents, aspirin and
rosuvastatin experience a reduction in the severity of MDD compared with
individuals receiving placebo. METHODS: YoDA-A is a 12-week triple-blind,
randomized controlled trial funded by the National Health and Medical Research
Council, Australia. Participants aged 15-25, with moderate-to-severe MDD, are
allocated to receive either 10 mg/day rosuvastatin, 100 mg/day aspirin, or
placebo, in addition to treatment as usual. Participants are assessed at baseline
and at weeks 4, 8, 12 and 26. The primary outcome is change in the Montgomery
Asberg Depression Rating Scale (MADRS) from baseline to week 12. RESULTS: The
study is planned to be completed in 2017. At date of publication, 85 participants
have been recruited. CONCLUSION: Timely and targeted intervention for youth MDD
is crucial. Given the paucity of new agents to treat youth MDD, adjunctive trials
are not only pragmatic and 'real-world', but additionally aim to target
shortfalls in conventional medications. This study has the potential to first
provide two new adjunctive treatment options for youth MDD; aspirin and
rosuvastatin. Second, this study will serve as proof of principle of the role of
inflammation in MDD.
PMID- 26542335
TI - Left ventricular outflow tract geometry and dynamics in aortic stenosis:
implications for the echocardiographic assessment of aortic valve area.
PMID- 26542334
TI - Post-radiation fibrosarcoma of the cerebrum associated with a prominent, lace
like, perivascular, desmoplastic change.
AB - An intra-axial tumor measuring about 4 cm was excised from the right temporal
lobe of a 35-year-old woman, who had a past history of resection of
craniopharyngioma and postoperative radiation 21 years earlier. The tumor
involved both the cortex and white matter, but was not attached to the dura
mater. It consisted of a dense, interlacing, fascicular proliferation of atypical
fibroblastic cells and was associated with an extensive, lace-like, desmoplastic
change mainly involving the perivascular region around the tumor and overlying
the subarachnoid space. The histopathological features of the desmoplastic change
resembled meningioangiomatosis, but no proliferation of meningothelial cells was
noted. The patient has been free from recurrence for 12 months since the
operation. The association of primary cerebral fibrosarcoma with an extensive,
lace-like, perivascular, desmoplastic change has not been documented in the
literature. The radiation administered 21 years previously may have played some
pathogenetic role in the perivascular desmoplastic change, and a malignant
transformation of fibroblasts within the perivascular collagenous tissue is
considered the most likely origin of the fibrosarcoma.
PMID- 26542336
TI - Cardio-oncology and echocardiography-partners in improving patient care.
PMID- 26542338
TI - Words of gratitude for travel grants to the ASE Annual Scientific Sessions.
PMID- 26542339
TI - Narcissism and Self-Insight: A Review and Meta-Analysis of Narcissists' Self
Enhancement Tendencies.
AB - The current article reviews the narcissism-self-enhancement literature using a
multilevel meta-analytic technique. Specifically, we focus on self-insight self
enhancement (i.e., whether narcissists perceive themselves more positively than
they are perceived by others); thus, we only include studies that compare
narcissists' self-reports to observer reports or objective measures. Results from
171 correlations reported in 36 empirical studies (N = 6,423) revealed that the
narcissism-self-enhancement relationship corrected for unreliability in
narcissism was .21 (95% confidence interval [CI] = [.17, .25]), and that
narcissists tend to self-enhance their agentic characteristics more than their
communal characteristics. The average corrected relationship between narcissism
and self-enhancement for agentic characteristics was .29 (95% CI = [.25, .33]),
whereas for communal characteristics it was .05 (95% CI = [-.01, .10]). In
addition, we individually summarized narcissists' self-enhancement for 10
different constructs (i.e., the Big Five, task performance, intelligence,
leadership, attractiveness, and likeability).
PMID- 26542340
TI - A new validated HPLC method for the determination of sulforaphane: application to
study pharmacokinetics of sulforaphane in rats.
AB - A simple, accurate and reproducible high-performance liquid chromatography (HPLC)
method has been developed and validated for the quantification of sulforaphane
(SF) in rat plasma. The method involves a simple liquid-liquid extraction
procedure to extract both SF and 7-hyrdoxycoumarin, the internal standard. The
chromatographic analysis was achieved on a Shimadzu LC 20A HPLC system equipped
with a Zorbax Eclipse XDB C18 column and an isocratic mobile phase consisting of
10 mm KH2 PO4 (pH 4.5) and acetonitrile HPLC grade (40:60, v/v) run at a flow
rate of 1 mL/min for 10 min. The UV detection wavelength was set at 202 nm. The
method exhibited good linearity (R(2) > 0.999) over the assayed concentration
range (0.05-2 MUg/mL) and demonstrated good intra- and inter-day precision and
accuracy (relative standard deviations and the deviation from predicted values
were <15%). This method was also successfully applied for studying the
pharmacokinetics of SF in spontaneously hypertensive rats following single oral
dietary doses of SF. The pharmacokinetics of SF show linear behavior at the dose
range investigated in this study. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26542341
TI - Corrigendum: A solid-solid phase transition in carbon dioxide at high pressures
and intermediate temperatures.
PMID- 26542342
TI - Preventive effect of oral goshajinkigan on chronic oxaliplatin-induced
hypoesthesia in rats.
AB - Oxaliplatin, a widely used chemotherapeutic agent, induces peripheral neuropathy
that manifests itself as two distinct phases: acute cold hyperesthesia and
chronic peripheral hypoesthesia/dysesthesia. The latter is a serious dose
limiting side effect that can often lead to withdrawal of treatment. We have
developed a rat model expressing both phases and used the model to investigate
the action of goshajinkigan (GJG), a traditional Japanese herbal medicine, which
was reported to ameliorate oxaliplatin-induced neuropathy in a placebo-controlled
double-blind randomized phase II study. In this study, neuropathy was induced by
injection of oxaliplatin twice weekly for 8 wks. The maximum level of cold
hyperesthesia was observed at 4 wks with heat hypoesthesia developing later.
Microscopy studies revealed atrophy of axons of myelinated sciatic nerve fibers
in oxaliplatin-treated rats at 8 wks. Co-administration of GJG ameliorated both
abnormal sensations as well as histological damage to the sciatic nerve. A
pharmacokinetic study revealed numerous neuroprotective components of GJG that
are rapidly absorbed into the blood. GJG and some of its components attenuated
the generation of oxaliplatin-induced reactive oxygen species, which is a
possible mechanism of oxaliplatin-induced neurotoxicity. The present study
provides a useful animal model for oxaliplatin-induced neurotoxicity as well as a
promising prophylactic agent.
PMID- 26542344
TI - Cognitive impairment in HIV and HCV co-infected patients: a systematic review and
meta-analysis.
AB - Cognitive impairment has been well documented in HIV and hepatitis C virus (HCV)
mono-infections. However, in the context of HIV/HCV co-infection the research is
more limited. The aim of this systematic review was to describe the
characteristics of cognitive impairment in HIV/HCV co-infection and to examine
the differences in cognitive performance between HIV/HCV and HIV and HCV mono
infected patients. Of the 437 records initially screened, 24 papers met the
inclusion criteria and were included in the systematic review. Four studies were
included in the meta-analysis. Most studies indicated that HIV/HCV co-infected
patients had a higher level of cognitive impairment than HIV mono-infected
patients. Meta-analysis indicated, however, that HIV mono-infected patients had a
significantly higher global deficit score than co-infected patients. The results
also indicated that co-infected patients were more likely to be impaired in
information processing speed than HIV mono-infected patients. These findings can
be challenged by biasing factors such as the small number of studies,
heterogeneity of the samples, and a large diversity of methodological procedures.
Future research with consistent and comprehensive neuropsychological batteries
and covering a greater diversity of risk factors is needed, in order to clarify
the effects of both viruses on cognitive function and the mechanisms that
underlie these effects. Because cognitive impairments may pose significant
challenges to medication adherence, quality of life and overall functioning, such
knowledge may have important implications to the planning and implementation of
effective interventions aimed at optimising the clinical management of these
infections.
PMID- 26542343
TI - Effect of Regular Exercise on Inflammation Induced by Drug-resistant
Staphylococcus aureus 3089 in ICR mice.
AB - Obesity is often associated with irregular dietary habits and reduced physical
activity. Regular exercise induces a metabolic response that includes increased
expression of various cytokines, signaling proteins and hormones, and reduced
adipocyte size. In this study, mice performed a swimming exercise for 10 min/day,
5 days/week for 3 weeks. We then investigated the effect of this exercise regimen
on inflammation induced by infection with drug-resistant Staphylococcus aureus
strain 3089 (DRSA). In humans, DRSA causes dermatitis and pneumonitis. Similarly,
DRSA induced inflammatory pneumonitis in both no-exercise (No-EX) and swim
trained (SW-EX) ICR mice. Regular exercise increased levels of the pro
inflammatory cytokines TNF-alpha and IL-1beta and nitric oxide in both serum and
whole lung tissue in SW-EX, as compared to No-EX control mice. Moreover, levels
of the antimicrobial peptide cathelicidin were significantly increased in
visceral adipose tissue and whole lung tissue in the SW-EX group, and this was
accompanied by a reduction in the size of visceral adipocytes. In addition,
levels of the inflammation marker peroxisome proliferator-activated receptor
gamma coactivator-1 (PGC-1) were not increased in the lung tissue of SW-EX mice.
These findings suggest that in these model mice, regular exercise strengthens
immune system responses, potentially preventing or mitigating infectious disease.
PMID- 26542345
TI - The future of pharmaceutical manufacturing in the context of the scientific,
social, technological and economic evolution.
AB - Healthcare provision is one of the import elements of modern societies. Life
sciences and technology has made substantial progress over the past century and
is continuing to evolve exponentially in many different areas. The use of
genotypic and phenotypic information in drug discovery and drug therapy, the
increasing wealth around the world, growing patient involvement through
information and communication technology and finally innovations in
pharmaceutical manufacturing technology are transforming the provision of
healthcare. The adoption of this new science and technology is going to happen
due to the synergistic effects and visible benefits for the society and
healthcare systems. The different aspects driving advanced pharmaceutical
manufacturing are reviewed to identify future research direction to assure
overall acceptance and adoption into healthcare practice.
PMID- 26542346
TI - Quantitative surface topography assessment of directly compressed and roller
compacted tablet cores using photometric stereo image analysis.
AB - Surface topography, in the context of surface smoothness/roughness, was
investigated by the use of an image analysis technique, MultiRayTM, related to
photometric stereo, on different tablet batches manufactured either by direct
compression or roller compaction. In the present study, oblique illumination of
the tablet (darkfield) was considered and the area of cracks and pores in the
surface was used as a measure of tablet surface topography; the higher a value,
the rougher the surface. The investigations demonstrated a high precision of the
proposed technique, which was able to rapidly (within milliseconds) and
quantitatively measure the obtained surface topography of the produced tablets.
Compaction history, in the form of applied roll force and tablet punch pressure,
was also reflected in the measured smoothness of the tablet surfaces. Generally
it was found that a higher degree of plastic deformation of the microcrystalline
cellulose resulted in a smoother tablet surface. This altogether demonstrated
that the technique provides the pharmaceutical developer with a reliable,
quantitative response parameter for visual appearance of solid dosage forms,
which may be used for process and ultimately product optimization.
PMID- 26542347
TI - Oral delivery of zoledronic acid by non-covalent conjugation with lysine
deoxycholic acid: In vitro characterization and in vivo anti-osteoporotic
efficacy in ovariectomized rats.
AB - We assessed the possibility of changing the route of administration of zoledronic
acid to an oral dosage form and its therapeutic efficacy in an estrogen-deficient
osteoporosis rat model. To enhance oral bioavailability, we formed an ionic
complex by electrostatic conjugation of zoledronic acid with lysine-linked
deoxycholic acid (Lys-DOCA, an oral absorption enhancer). After forming the
complex, the characteristic crystalline features of pure zoledronic acid
disappeared completely in the powder X-ray diffractogram and differential
scanning calorimetry thermogram, indicating that zoledronic acid existed in an
amorphous form in the complex. In vitro permeabilities of zoledronic acid/Lys
DOCA (1:1) (ZD1) and zoledronic acid/Lys-DOCA (1:2) (ZD2) complex across Caco-2
cell monolayers were 2.47- and 4.74-fold higher than that of zoledronic acid,
respectively. Upon intra-jejunal administration to rats, the intestinal
absorption of zoledronic acid was increased significantly and the resulting oral
bioavailability of the ZD2 complex was determined to be 6.76+/-2.59% (0.548+/
0.161% for zoledronic acid). Ovariectomized (OVX) rats showed 122% increased bone
mineral density versus the OVX control at 12weeks after treatment with once
weekly oral administration of ZD2 complex (16MUg/kg of zoledronic acid).
Furthermore, rats treated with ZD2 complex orally showed significant improvement
in the parameters of trabecular microarchitecture and bone strength: 149% higher
bone volume fraction (BV/TV), 115% higher trabecular number (Tb.N), and 56%
higher mean maximum load (Fmax) than in the OVX group. The trabecular
microstructure and bone mechanical properties in the oral zoledronic acid group
were not significantly changed compared with the OVX control. Thus, the oral ZD2
complex inhibited osteoporosis progression effectively by promoting osteogenesis
and trabecular connectivity. The oral ZD2 complex would be expected to improve
patient compliance by replacing the conventional injectable form and expand the
indications, to include prophylaxis for osteoporosis and bone metastases.
PMID- 26542348
TI - The multifaceted aspects of blood cell-derived microparticles: An intriguing
aspect of my past subject of studies.
PMID- 26542349
TI - Blood cell-derived microparticles and nanoparticles: Multifaceted topics for
research.
PMID- 26542350
TI - Platelet microparticles and cancer: An intimate cross-talk.
AB - Blood cell-derived microparticles (MP), in general, and platelet MPs (PMPs), in
particular, have emerged as important contributors, as well as markers, of the
delicate balance between health and disease. They may, on one hand, have
beneficial effects by supporting tissue repair and regeneration, as well as
hemostasis, but may, on the other hand, be a pro-coagulant promoter leading to
the thrombotic events seen in the context of cancer. PMPs can act as a direct
tumor growth enhancer through the release of potent growth factors in the tumor
micro-environment. Tumor engraftment can also be stimulated by the pro-angiogenic
potentials of platelet growth factors released by PMPs. PMPs, by their pro
inflammatory and immunomodulatory functions, can also exert an indirect role in
the metastatic multistep process by helping malignant cells to escape from
immunological surveillance. The possible detrimental effect of transfusions in
cancer patients has been debated for several years and the role played by PMPs
present in blood products is receiving specific attention, considering their
propensity to trigger thrombosis and support tumors. The intimate PMP-tumors
crosstalk may therefore result in pro-thrombotic states and a physiological state
favorable to tumor growth, tethering and dissemination. Laboratory and
experimental studies are needed to better unveil the contribution of PMPs as
coagulation promoters, as well as potential markers and targets to treat cancer.
PMID- 26542352
TI - Periodic Formation/Breakdown of Lamellar Aggregates with Anionic Cyanobiphenyl
Surfactants.
AB - This study reports unusual behavior of aqueous-phase lamellar aggregates with a
new class of hybrid surfactant, CB-B2ES, having mesogenic units {6-[4-(4
cyanophenyl)phenyloxy]hexyl} and temperature-sensitive oxyethylated
(butoxyethoxyethyl) tails. These tails are poorly miscible and likely to
microsegregate if the surfactant molecules assemble. Lamellar aggregates appear
at CB-B2ES concentrations higher than 5 wt % and were found to undergo repeat
formation/breakdown periodically at 30 degrees C, with an average domain
lifetime of ~10 s. To investigate effects of the temperature-sensitive
oxyethylene units on the hydrophilic/lipophilic balance (HLB) of the CB-B2ES
bilayers, a fluorescence probe 1-pyrene-carboxaldehide was solubilized in the
mixtures to sense the micro-environmental polarities. Fluorimetric measurements
suggested that the polarity of CB-B2ES bilayers is very similar to that of the
non-ethoxylated CB-B2ES analogue at high temperatures (>=65 degrees C). However,
for CB-B2ES, polarity increased with a decreasing temperature, in contrast with
the small decrease in polarity observed for analogous non-ethoxylated bilayers.
This is consistent with increased hydration of the oxyethylene units in CB-B2ES
bilayers at low temperatures. The periodic formation/breakdown and cooling
induced hydrophilicity of the CB-B2ES lamellar aggregates did not appear in the
non-hybrid and/or non-ethoxylated surfactant systems. Therefore, the combination
of two unsymmetrical tails, one containing oxyethylene units and the other
containing cyanobiphenyl terminal tips, must play an important role promoting
this unusual behavior.
PMID- 26542353
TI - Molten salt medium synthesis of wormlike platinum silver nanotubes without any
organic surfactant or solvent for methanol and formic acid oxidation.
AB - In the current research, the PtxAgy (x/y = 86/14, 79/21, 52/48, 21/79, 11/89)
nanoparticles (NPs) are synthesized in the KNO3-LiNO3 molten salts without using
any organic surfactant or solvent. The SEM results suggest that when the content
of Ag is higher than 48%, the wormlike PtxAgy nanotubes (NTs) can be synthesized.
The diameter of the PtxAgyNTs shows a slow decrease with the increase of Ag
content. The TEM and HRTEM results indicate that the growth of hollow PtxAgy NTs
undergoes an oriented attachment process and a Kirkendall effect approach. The
results of cyclic voltammetry (CV) measurement indicate that the Pt52Ag48
catalyst presents a remarkable enhancement for methanol electrooxidation, while
the Pt86Ag14 catalyst prefers electrochemically oxidizing formic acid compared
with that of the commercially available Pt black.
PMID- 26542351
TI - Secondary structural analysis of the carboxyl-terminal domain from different
connexin isoforms.
AB - The connexin carboxyl-terminal (CxCT) domain plays a role in the trafficking,
localization, and turnover of gap junction channels, as well as the level of gap
junction intercellular communication via numerous post-translational
modifications and protein-protein interactions. As a key player in the regulation
of gap junctions, the CT presents itself as a target for manipulation intended to
modify function. Specific to intrinsically disordered proteins, identifying
residues whose secondary structure can be manipulated will be critical toward
unlocking the therapeutic potential of the CxCT domain. To accomplish this goal,
we used biophysical methods to characterize CxCT domains attached to their fourth
transmembrane domain (TM4). Circular dichroism and nuclear magnetic resonance
were complementary in demonstrating the connexin isoforms that form the greatest
amount of alpha-helical structure in their CT domain (Cx45 > Cx43 > Cx32 > Cx50 >
Cx37 ~ Cx40 ~ Cx26). Studies compared the influence of 2,2,2-trifluoroethanol,
pH, phosphorylation, and mutations (Cx32, X-linked Charcot-Marie Tooth disease;
Cx26, hearing loss) on the TM4-CxCT structure. While pH modestly influences the
CT structure, a major structural change was associated with phosphomimetic
substitutions. Since most connexin CT domains are phosphorylated throughout their
life cycle, studies of phospho-TM4-CxCT isoforms will be critical toward
understanding the role that structure plays in regulating gap junction function.
PMID- 26542354
TI - Zahedan rhabdovirus, a novel virus detected in ticks from Iran.
AB - BACKGROUND: Rhabdoviridae infect a wide range of vertebrates, invertebrates and
plants. Their transmission can occur via various arthropod vectors. In recent
years, a number of novel rhabdoviruses have been identified from various animal
species, but so far only few tick-transmitted rhabdoviruses have been described.
METHODS: We isolated a novel rhabdovirus, provisionally named Zahedan rhabdovirus
(ZARV), from Hyalomma anatolicum anatolicum ticks collected in Iran. The full
length genome was determined using 454 next-generation sequencing and the
phylogenetic relationship to other rhabdoviruses was analyzed. Inoculation
experiments in mammalian Vero cells and mice were conducted and a specific PCR
assay was developed. RESULTS: The complete genome of ZARV has a size of 11,230
nucleotides (nt) with the typical genomic organization of Rhabdoviridae.
Phylogenetic analysis confirms that ZARV is closely related to Moussa virus
(MOUV) from West Africa and Long Island tick rhabdovirus (LITRV) from the U.S.,
all forming a new monophyletic clade, provisionally designated Zamolirhabdovirus,
within the Dimarhabdovirus supergroup. The glycoprotein (G) contains 12 conserved
cysteins which are specific for animal rhabdoviruses infecting fish and mammals.
In addition, ZARV is able to infect mammalian Vero cells and is lethal for mice
when inoculated intracerebrally or subcutaneously. The developed PCR assay can be
used to specifically detect ZARV. CONCLUSION: The novel tick-transmitted
rhabdovirus ZARV is closely related to MOUV and LITRV. All three viruses seem to
form a new monophyletic clade. ZARV might be pathogenic for mammals, since it can
infect Vero cells, is lethal for mice and its glycoprotein contains 12 conserved
cysteins only found in animal rhabdoviruses. The mammalian host of ZARV remains
to be identified.
PMID- 26542355
TI - Dementia care in Ontario, Canada: evidence of more timely diagnosis among persons
with dementia receiving care at home compared with residential facilities.
AB - OBJECTIVE: Home care (HC) has been promoted as an efficient alternative to
residential care (RC). However, little is known about the individuals who receive
HC. This study compared the cognitive and functional statuses of persons with
dementia receiving HC or RC at the time of diagnosis with dementia. It was
hypothesized that persons with dementia receiving RC would have declined further,
both cognitively and functionally. STUDY DESIGN: Population-based secondary data
analysis. METHODS: Data from the Canadian Institute for Health Information's
Continuing Care Reporting System and the Home Care Reporting System, 2009-2011,
were used. Respective populations of 39,604 and 21,153 persons with dementia who
received either RC or HC were included. Cognitive and functional statuses were
measured using a cognitive performance scale (CPS) and an activities of daily
living (ADL) scale, respectively. RESULTS: The mean CPS score was higher for the
RC group (3.2 vs 2.5). The proportion of individuals diagnosed when impairment
was moderate to very severe (CPS >= 4) was higher in the RC group (32.0% vs
13.3%). The mean ADL score was also higher for the RC group (3.5 vs 1.6). The
proportion of individuals diagnosed when they required extensive assistance or
were totally dependent (ADL >= 3) was markedly higher in the RC group (72.3% vs
27.3%). All findings were statistically significant (P < 0.0001). Multivariable
analysis suggested that RC clients were nearly four times more likely than HC
clients to be diagnosed at a later stage (odds ratio = 3.74, 95% confidence
interval 3.54-3.95). CONCLUSIONS: Persons with dementia in RC facilities in
Ontario are diagnosed when their cognitive and functional statuses have declined
more than those of their HC counterparts.
PMID- 26542356
TI - The language of the masses.
PMID- 26542357
TI - Contribution of draft cattle to rural livelihoods in a district of southeastern
Uganda endemic for bovine parasitic diseases: an economic evaluation.
AB - BACKGROUND: A study was conducted in Tororo District in eastern Uganda to assess
the socio-economic contribution of draft cattle to rural livelihoods. The aim of
the study was to empirically quantify the economic value of draft cattle thus
contributing to understanding the impact of endemic parasitic diseases of cattle
on livestock productivity and subsequently household income, labor and food
security. METHOD: A total of 205 draft cattle keeping households (n = 205) were
randomly selected and structured household questionnaires were administered,
focusing on work oxen use, productivity, inputs and outputs. The data obtained
was analyzed using standard statistical methods and used to calculate the gross
margin from the draft cattle enterprise. Secondary data were obtained from focus
group discussions and key informant interviews and these were analyzed using
Bayesian methods. RESULTS: The study showed that, apart from being labor saving,
the use of animal traction is highly profitable with the gross margin per year
from the use of draft cattle amounting to 245 United States dollars per work oxen
owning household. The cash obtained from hiring out draft animals was equivalent
to nearly a quarter of the average local household's monetary receipts. It also
revealed that endemic bovine parasitic diseases such as trypanosomiasis and tick
borne diseases reduced draft cattle output by 20.9 % and potential household
income from the use of draft oxen by 32.2 %. CONCLUSION: The presence of endemic
cattle diseases in rural Uganda is adversely affecting the productivity of draft
cattle, which in turn affects household income, labor and ultimately food
security. This study highlights the contribution of draft cattle to rural
livelihoods, thus increasing the expected impact of cost-effective control
strategies of endemic production limiting livestock diseases in Uganda.
PMID- 26542358
TI - Nonlinear receding horizon guidance for spacecraft formation reconfiguration on
libration point orbits using a symplectic numerical method.
AB - This paper studies a nonlinear receding horizon control guidance strategy for
spacecraft formation reconfiguration on libration orbits in the Sun-Earth system.
For comparison, a linear quadratic soft terminal control strategy is also
considered for the same reconfiguration missions. A novel symplectic iterative
numerical algorithm is proposed to obtain the optimal solution for the nonlinear
receding horizon control strategy at each update instant. With the aid of the
quasilinearization method, a high-efficiency structure-preserving symplectic
method is introduced in the iterations, and the optimal control problem is
replaced successfully by a series of sparse symmetrical linear equations. Several
typical spacecraft formation reconfiguration missions including resizing,
rotating and slewing reconfigurations and their combinations are numerically
simulated to show the effectiveness of the nonlinear receding horizon guidance
strategy based on the proposed symplectic algorithm. Through these simulations,
the nonlinear receding horizon control strategy is shown to have obvious
advantages in convergence and parameter sensitivity compared with a linear
quadratic soft terminal control strategy. Monte Carlo stochastic simulations are
used to test the robustness of the nonlinear receding horizon control guidance in
dealing with measurement and execution errors.
PMID- 26542359
TI - Rolling element bearing defect detection using the generalized synchrosqueezing
transform guided by time-frequency ridge enhancement.
AB - Healthy rolling element bearings are vital guarantees for safe operation of the
rotating machinery. Time-frequency (TF) signal analysis is an effective tool to
detect bearing defects under time-varying shaft speed condition. However, it is a
challenging work dealing with defective characteristic frequency and rotation
frequency simultaneously without a tachometer. For this reason, a technique using
the generalized synchrosqueezing transform (GST) guided by enhanced TF ridge
extraction is suggested to detect the existence of the bearing defects. The low
frequency band and the resonance band are first chopped from the Fourier spectrum
of the bearing vibration measurements. The TF information of the lower band
component and the resonance band envelope are represented using short-time
Fourier transform, where the TF ridge are extracted by harmonic summation search
and ridge candidate fusion operations. The inverse of the extracted TF ridge is
subsequently used to guide the GST mapping the chirped TF representation to the
constant one. The rectified TF pictures are then synchrosqueezed as sharper
spectra where the rotation frequency and the defective characteristic frequency
can be identified, respectively. Both simulated and experimental signals were
used to evaluate the present technique. The results validate the effectiveness of
the suggested technique for the bearing defect detection.
PMID- 26542360
TI - Significantly improved solvent tolerance of Escherichia coli by global
transcription machinery engineering.
AB - BACKGROUND: Escherichia coli has emerged as a promising platform microorganism to
produce biofuels and fine chemicals of industrial interests. Certain obstacles
however remain to be overcome, among which organic-solvent tolerance is a crucial
one. RESULTS: We used global transcription machinery engineering (gTME) to
improve the organic-solvent tolerance (OST) of E. coli JM109. A mutant library of
sigma(70) encoded by rpoD was screened under cyclohexane pressure. E. coli JM109
strain harboring sigma(70) mutant C9 was identified with capability of tolerating
69 % cyclohexane. The rpoD mutant contains three amino-acid substitutes and a
stop-codon mutation, resulting a truncated sequence containing regions sigma(1.1)
and sigma(1.2). Total protein difference produced by E. coli JM109 strain
harboring C9 was examined with 2D-PAGE, and 204 high-abundant proteins showed
over twofold variation under different solvent stress. CONCLUSIONS: Our results
show that several genes (gapA, sdhB, pepB and dppA) play critical roles in
enhanced solvent tolerance of E. coli, mainly involving in maintaining higher
intracellular energy level under solvent stress. Global transcription machinery
engineering is therefore a feasible and efficient approach for engineering strain
with enhanced OST-phenotype.
PMID- 26542361
TI - Different expression of VEGF and EGFL7 in human hepatocellular carcinoma.
AB - BACKGROUND: Vascular endothelial growth factor (VEGF) is one of several
angiogenic factors expressed in cirrhosis and during progression to malignancy,
that seem to play a major role in hepatocellular carcinoma development. Lately,
another angiogenic factor, epidermal growth factor-like domain multiple 7
(EGFL7), has attracted interest due to its possible relationship with
hepatocellular carcinoma metastasis. AIMS: To evaluate expression of VEGF and
EGFL7 in human hepatocellular carcinoma, compared to corresponding cirrhotic
surrounding tissue. METHODS: Tumoural and cirrhotic tissue was harvested from 12
consecutive patients undergoing surgical resection. VEGF and EGFL7 were assessed
by immunofluorescence and quantitative reverse transcriptase-polymerase chain
reaction, compared with normal controls. RESULTS: Both angiogenic factors were
over-expressed in cirrhotic livers compared to normal controls. VEGF and EGFL7
expressions did not differ according to disease aetiology, nodule size or other
clinical variables. While VEGF expression was constant, regardless of tumour
differentiation stage and unchanged compared to surrounding cirrhotic tissue,
EGFL7 expression increased in less differentiated hepatocellular carcinoma.
CONCLUSIONS: The preferential expression of EGFL7 in less differentiated
hepatocellular carcinoma compared to VEGF, suggests a possible important role of
this angiogenic factor in a later oncogenic and infiltrative/metastatic phase.
PMID- 26542362
TI - Therapeutic targets in the Wnt signaling pathway: Feasibility of targeting TNIK
in colorectal cancer.
AB - The genetic and epigenetic alterations occurring during the course of multistage
colorectal carcinogenesis have been extensively studied in the last few decades.
One of the most notable findings is that the great majority of colorectal cancers
(>80%) have mutations in the adenomatous polyposis coli (APC) tumor suppressor
gene. Loss of functional APC protein results in activation of canonical Wnt/beta
catanin signaling and initiates intestinal carcinogenesis. Mutational
inactivation of APC is the first genetic event, but colorectal cancer cells
retain their dependency on constitutive Wnt signal activation even after
accumulation of other genetic events. Accordingly, pharmacological blocking of
Wnt signaling has been considered an attractive therapeutic approach for
colorectal cancer. Several therapeutics targeting various molecular components of
the Wnt signaling pathway, including porcupine, frizzled receptors and co
receptor, tankyrases, and cAMP response element binding protein (CREB)-binding
protein (CBP), have been developed, and some of those are currently being
evaluated in early-phase clinical trials. Traf2- and Nck-interacting protein
kinase (TNIK) has been identified as a regulatory component of the T-cell factor
4 and beta-catenin transcriptional complex independently by two research groups.
TNIK regulates Wnt signaling in the most downstream part of the pathway, and its
inhibition is expected to block the signal even in colorectal cancer cells with
APC gene mutation. Here we discuss some of the TNIK inhibitors under preclinical
development.
PMID- 26542364
TI - Radiographic measurements of the hooves of normal ponies.
AB - Knowledge of the normal radiographic anatomy of the equine foot and the
relationship between the distal phalanx and the hoof capsule is imperative for
objective assessment of hoof health. Little information is available for the
hooves of ponies. The aim of this study was to establish a database of reference
values for normal ponies and to investigate the relationship between the height
at the withers and radiographic measurements of the hooves. Radiographic
examinations were performed on 81 ponies, ranging from 81.5 to 148.0 cm height at
the withers, immediately after foot trimming. Eighteen parameters were measured
in the lateromedial view (front and hind feet) and 13 parameters were measured in
the dorsopalmar view (front feet). Most linear measurements had a strong positive
correlation with the height at the withers. The influence of height on angular
measurements was weak. A linear regression model was adopted to enable
calculation of reference values for a defined height at the withers. Left feet
were longer in 72% of front feet and 67% of hind feet. The medial part of the
hoof was more upright generally, but the medial hoof wall was shorter only in the
right front feet. The front feet tended to be more upright than the hind feet.
Compared to height at the withers, the hooves of ponies were relatively larger
than the hooves of adult Warmblood horses investigated in a comparable study. The
present study provides a database for farriers and veterinarians for hoof
conformation, on the basis of which hoof preparation and an accurate diagnosis of
lameness can be made.
PMID- 26542363
TI - Clonidine for sedation in the critically ill: a systematic review and meta
analysis (protocol).
AB - BACKGROUND: Management and choice of sedation is important during critical
illness in order to reduce patient suffering and to facilitate the delivery of
care. Unfortunately, medications traditionally used for sedation in the intensive
care unit (ICU) such as benzodiazepines and propofol are associated with
significant unwanted effects. Clonidine is an alpha-2 selective adrenergic
agonist that may have a role in optimizing current sedation practices in the
pediatric and adult critically ill populations by potentially minimizing exposure
to other sedative agents. METHODS/DESIGN: We will search MEDLINE, EMBASE, CINAHL,
ACPJC, the Cochrane trial registry, World Health Organization International
Clinical Trials Registry Platform (WHO ICTRP), and clinicaltrials.gov for
eligible observational studies and randomized controlled trials investigating the
use of clonidine as an adjunctive or stand-alone sedative agent in patients
requiring invasive mechanical ventilation. Our primary outcome is the duration of
mechanical ventilation. Secondary outcomes include the following, listed by
priority: duration of sedation infusions, dose of sedation used, level of
sedation, incidence of withdrawal from other sedatives, delirium incidence, ICU
and hospital length of stay, use and duration of non-invasive ventilation, and
all-cause ICU and hospital mortality. We will also capture unwanted effects
potentially associated with clonidine administration such as clinically
significant hypotension or bradycardia, clonidine withdrawal, self-extubation,
and the accidental removal of central intravenous lines and arterial lines. We
will not apply any publication date, language, or journal restrictions. Two
reviewers will independently screen and identify eligible studies using
predefined eligibility criteria and then review full reports of all potentially
relevant citations. A third reviewer will resolve disagreements if consensus
cannot be achieved. We will use Review Manager (RevMan) to pool effect estimates
from included studies across outcomes. We will present the results as relative
risk (RR) with 95 % confidence intervals (CI) for dichotomous outcomes and as
mean difference (MD) or standardized mean difference (SMD) for continuous
outcomes with 95 % CI. We will assess the quality of evidence using the Grading
of Recommendations, Assessment, Development and Evaluation (GRADE) approach.
DISCUSSION: The aim of this systematic review is to summarize the evidence on the
efficacy and safety of clonidine as a sedative agent in the critically ill
population. SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42015019365.
PMID- 26542365
TI - Risk factors for early post-operative neurological deterioration in dogs
undergoing a cervical dorsal laminectomy or hemilaminectomy: 100 cases (2002
2014).
AB - Early post-operative neurological deterioration is a well-known complication
following dorsal cervical laminectomies and hemilaminectomies in dogs. This study
aimed to evaluate potential risk factors for early post-operative neurological
deterioration following these surgical procedures. Medical records of 100 dogs
that had undergone a cervical dorsal laminectomy or hemilaminectomy between 2002
and 2014 were assessed retrospectively. Assessed variables included signalment,
bodyweight, duration of clinical signs, neurological status before surgery,
diagnosis, surgical site, type and extent of surgery and duration of procedure.
Outcome measures were neurological status immediately following surgery and
duration of hospitalisation. Univariate statistical analysis was performed to
identify variables to be included in a multivariate model. Diagnoses included
osseous associated cervical spondylomyelopathy (OACSM; n = 41), acute
intervertebral disk extrusion (IVDE; 31), meningioma (11), spinal arachnoid
diverticulum (10) and vertebral arch anomalies (7). Overall 54% (95% CI 45.25
64.75) of dogs were neurologically worse 48 h post-operatively. Multivariate
statistical analysis identified four factors significantly related to early post
operative neurological outcome. Diagnoses of OACSM or meningioma were considered
the strongest variables to predict early post-operative neurological
deterioration, followed by higher (more severely affected) neurological grade
before surgery and longer surgery time. This information can aid in the
management of expectations of clinical staff and owners with dogs undergoing
these surgical procedures.
PMID- 26542366
TI - Isolation and characterization of a herpesvirus from feral pigeons in China.
AB - A herpesvirus was isolated during a diagnostic investigation of severe cases of
conjunctivitis in feral pigeons (Columba livia f. domestica). Isolates of the
virus were recovered from throat swabs of the pigeons followed by inoculation of
the swab samples in chicken embryo fibroblasts. Pigeons inoculated with the
isolated virus had similar clinical signs to those observed in naturally infected
birds. Transmission electron microscopy revealed viral structures with typical
herpesvirus morphology. Polymerase chain reaction amplification, using
herpesvirus-identifying primers resulted in an amplicon of the expected size for
herpesvirus. Sequencing of these amplicons and database comparisons identified
the herpesvirus UL30 homologue. Phylogenetic reconstructions suggested that the
isolated herpesvirus belongs to the Mardivirus genus of Alphaherpesvirinae. Using
the current herpesvirus nomenclature conventions, the authors propose that the
herpesvirus be named Columbid herpesvirus-1 Heilongjiang.
PMID- 26542367
TI - Development of a serological ELISA using a recombinant protein to identify pig
herds infected with Brachyspira hyodysenteriae.
AB - Brachyspira hyodysenteriae is an anaerobic spirochaete that can induce swine
dysentery (SD), a severe mucohaemorrhagic colitis in grower and fattener pigs.
The aim of this study was to develop a serological ELISA for use as a screening
method to detect evidence of herd infection. Bioinformatic analysis of the
complete genome sequence of strain WA1 was used to identify genes predicted to
encode outer membrane proteins. Twenty candidate genes were expressed in an
Escherichia coli mediated system, and purified as histidine-tagged recombinant
proteins. Selection of optimal antigens under different conditions was conducted
using Western blot and ELISA with a range of pig sera from infected and
uninfected pigs. From this analysis, three recombinant proteins were selected as
being most suitable for use as antigens. These antigens then were tested under
optimized conditions in an indirect ELISA detecting IgG2 using 1551 sera from
healthy pigs at slaughter, comprising 896 from 18 herds considered to be free
from SD and 655 from 12 infected herds. Using a cut-off value for positivity of
the mean plus five standard deviations of the mean for the negative sera, the
best overall results were obtained with the ELISA using antigen H114, which was
100% specific and 91.7% sensitive at detecting the reported status of the herds.
This new ELISA should be a useful adjunct for detecting and monitoring the status
of herds with respect to the presence of B. hyodysenteriae, and should prove
useful for understanding the dynamics of infection in herds where the spirochaete
is present.
PMID- 26542368
TI - Endocrine tumours in the guinea pig.
AB - Functional endocrine tumours have long been thought to be rare in guinea pigs,
although conditions such as hyperthyroidism and hyperadrenocorticism have been
documented with increasing frequency so the prevalence of hormonal disorders may
have been underestimated. Both the clinical signs and diagnosis of
hyperthyroidism in guinea pigs appear to be very similar to those described in
feline hyperthyroidism, and methimazole has been proven to be a practical therapy
option. Hyperadrenocorticism has been confirmed in several guinea pigs with an
adrenocorticotropic hormone stimulation test using saliva as a non-invasive
sample matrix; trilostane has been successfully used to treat a guinea pig with
hyperadrenocorticism. Insulinomas have only rarely been documented in guinea pigs
and one animal was effectively treated with diazoxide.
PMID- 26542369
TI - Ovarian stem cells are always accompanied by very small embryonic-like stem cells
in adult mammalian ovary.
AB - BACKGROUND: Existing dogma that a female is born with fixed number of eggs was
challenged by the detection of stem cells in adult mammalian ovary. Data has
accumulated in support of ovarian stem cells (OSCs) proliferation, maintenance in
culture, formation of germ cell nests and differentiation into oocytes and
primordial follicle assembly using different strategies. RESULTS: Flow cytometry
analysis identified >8 MUm OSCs which are DDX1 positive and are considered
equivalent to spermatogonial stem cells (SSCs) in testis. Analysis of both
ovarian and testicular smears obtained after enzymatic digestion has led to the
identification of an additional stem cell population termed very small embryonic
like stem cells (VSELs). VSELs and OSCs/SSCs differ from each other in their size
and OCT-4 expression. VSELs express pluripotent markers including nuclear OCT-4
whereas OSCs/SSCs express cytoplasmic OCT-4 suggesting a differentiated state.
VSELs can be studied by flow cytometry as small sized cells which are LIN-/CD45
/Sca-1+. We have reported 0.02 +/- 0.008, 0.03 +/- 0.017 and 0.08 +/- 0.03 % of
total cells as VSELs in normal, chemoablated and after FSH treatment to
chemoablated mouse ovary. CONCLUSIONS: VSELs have remained poorly studied till
now because of their very small size and rare occurrence. Spinning cells obtained
after enzymatic digestion of ovarian tissue at a speed of 1000G (rather than 1200
rpm) throughout processing allows reliable detection of the VSELs by flow
cytometry. VSELs exist in aged, chemoablated and non-functional ovary and
providing a healthy niche to support their function offers an interesting
strategy to manage infertility.
PMID- 26542370
TI - Deficiency of multidrug resistance 2 contributes to cell transformation through
oxidative stress.
AB - Multidrug resistance 2 (Mdr2), also called adenosine triphosphate-binding
cassette B4 (ABCB4), is the transporter of phosphatidylcholine (PC) at the
canalicular membrane of mouse hepatocytes, which plays an essential role for bile
formation. Mutations in human homologue MDR3 are associated with several liver
diseases. Knockout of Mdr2 results in hepatic inflammation, liver fibrosis and
hepatocellular carcinoma (HCC). Whereas the pathogenesis in Mdr2 (-/-) mice has
been largely attributed to the toxicity of bile acids due to the absence of PC in
the bile, the question of whether Mdr2 deficiency per se perturbs biological
functions in the cell has been poorly addressed. As Mdr2 is expressed in many
cell types, we used mouse embryonic fibroblasts (MEF) derived from Mdr2 (-/-)
embryos to show that deficiency of Mdr2 increases reactive oxygen species
accumulation, lipid peroxidation and DNA damage. We found that Mdr2 (-/-) MEFs
undergo spontaneous transformation and that Mdr2 (-/-) mice are more susceptible
to chemical carcinogen-induced intestinal tumorigenesis. Microarray analysis in
Mdr2-/- MEFs and cap analysis of gene expression in Mdr2 (-/-) HCCs revealed
extensively deregulated genes involved in oxidation reduction, fatty acid
metabolism and lipid biosynthesis. Our findings imply a close link between Mdr2 (
/-) -associated tumorigenesis and perturbation of these biological processes and
suggest potential extrahepatic functions of Mdr2/MDR3.
PMID- 26542371
TI - Comparison of methods for the analysis of airway macrophage particulate load from
induced sputum, a potential biomarker of air pollution exposure.
AB - BACKGROUND: Air pollution is associated with a high burden or morbidity and
mortality, but exposure cannot be quantified rapidly or cheaply. The particulate
burden of macrophages from induced sputum may provide a biomarker. We compare the
feasibility of two methods for digital quantification of airway macrophage
particulate load. METHODS: Induced sputum samples were processed and analysed
using ImageJ and Image SXM software packages. We compare each package by
resources and time required. RESULTS: 13 adequate samples were obtained from 21
patients. Median particulate load was 0.38 MUm(2) (ImageJ) and 4.0 % of the total
cellular area of macrophages (Image SXM), with no correlation between results
obtained using the two methods (correlation coefficient = -0.42, p = 0.256).
Image SXM took longer than ImageJ (median 26 vs 54 mins per participant, p =
0.008) and was less accurate based on visual assessment of the output images.
ImageJ's method is subjective and requires well-trained staff. CONCLUSION:
Induced sputum has limited application as a screening tool due to the resources
required. Limitations of both methods compared here were found: the heterogeneity
of induced sputum appearances makes automated image analysis challenging. Further
work should refine methodologies and assess inter- and intra-observer
reliability, if these methods are to be developed for investigating the
relationship of particulate and inflammatory response in the macrophage.
PMID- 26542372
TI - Lifetime expectancy and quality-adjusted life-year in Alzheimer's disease with
and without cerebrovascular disease: effects of nursing home replacement and
donepezil administration--a retrospective analysis in the Tajiri Project.
AB - BACKGROUND: We previously demonstrated a positive correlation with nursing home
(NH) replacement and donepezil (DNP) administration on lifetime expectancy after
the onset of Alzheimer's disease (AD). However, the correlation with quality
adjusted life-year (QALY) remains to be elucidated, along with the additional
impact of concomitant cerebrovascular disease (CVD). Based upon our recently
reported health state utility values, we retrospectively analyzed the correlation
with NH replacement and/or DNP administration on QALY and life expectancy in
'pure' AD (without CVD) and AD with CVD patients. METHODS: All outpatients at the
Tajiri Clinic from 1999-2012 with available medical records and death
certificates were included. The entry criteria were a dementia diagnosis (DSM-IV)
and diagnoses of pure AD or AD with CVD (NINCDS-ADRDA), medical treatment for
more than 3 months, and follow up to less than 1 year before death. The main
outcomes were lifetime expectancy (months between the onset of dementia and
death) and QALY. RESULTS: We identified 390 subjects, of whom 275 had the
diagnosis of dementia that met the entry criteria, including 67 pure AD, 33 AD
with CVD, and 110 VaD patients. For the AD patients, 52 had taken DNP and 48 had
not received the drug due to treatment prior to the introduction of DNP in 1999
in Japan. For the pure AD group, there were positive correlation between NH and
DNP and QALY, as well as lifetime expectancy. As for the AD with CVD group, only
a correlation between DNP and lifetime expectancy was noted, with no correlation
with QALY. CONCLUSIONS: We found positive correlations between DNP administration
and NH replacement and lifetime expectancy and QALY after the onset of AD.
However, concomitant CVD negated such a positive correlation with QALY. The
findings suggest that QALY in AD is affected by CVD; thus, indicating the
importance of CVD prevention.
PMID- 26542373
TI - Comparison of thoracolaparoscopic esophagectomy with cervical anastomosis with
McKeown esophagectomy for middle esophageal cancer.
AB - BACKGROUND: In China, the middle esophageal squamous cell cancer is the most
common tumor type, and Mckeown esophagectomy (ME) is preferably adopted by
thoracic surgeon. But, the surgical trauma of ME is great. Thoracolaparoscopic
esophagectomy (TE) was developed to decrease the operative stress; however, the
safety and efficacy were not defined. In this study, clinical outcomes were
compared between patients who received ME and TE. METHODS: The data of 113
patients who suffered from middle-thoracic esophageal cancer during the same
period were collected. Sixty-two patients received ME (ME group), and 51 patients
received TE (TE group). Patients' demographics and short-term clinicopathologic
outcomes were comparable between the two groups. Survival rate was estimated
using the Kaplan-Meier method, and comparisons between groups were performed with
log-rank test. RESULTS: Patients in TE group had lower body mass index (BMI).
Preoperative tumor stage in TE group was much earlier. Both overall and thoracic
operation time were longer in TE group. The blood loss during operation and
postoperative day (POD) 1 was less in TE group, which contributed to the less
blood transfusion. In TE group, postoperative incidence of pulmonary
complications and atrial fibrillation (p = 0.035 and p = 0.033) was lower; the
inflammatory response and incision pain were significantly alleviated; the ICU
and in-hospital stay was shorter as well because of less surgical trauma. No
statistically significant difference was found between two groups in terms of
overall survival or disease-free survival. CONCLUSIONS: The efficacy and safety
of TE were supported by the selected patients in this cohort study. Although it
is lack of randomness in this research, some advantages of TE were gratifying
such as lower postoperative complications and similar survival with ME. A
multicenter prospective randomized study is now required.
PMID- 26542374
TI - Assessment of efficacy and safety of EUS-guided biliary drainage: a systematic
review.
AB - BACKGROUND AND AIMS: EUS-guided biliary drainage (EUS-BD) has emerged as an
alternative procedure after failed ERCP. However, limited data on the efficacy
and safety of EUS-BD are available. Therefore, a systematic review was conducted
to evaluate the efficacy and safety of EUS-BD and to evaluate transduodenal (TD)
and transgastric (TG) approaches. METHODS: PubMed and EMBASE were searched to
identify relevant studies published in the English language for inclusion in this
systematic review and meta-analysis. Data from eligible studies were combined to
calculate the cumulative technical success rate (TSR), functional success rate
(FSR), and adverse-event rate of EUS-BD and the pooled odds ratio of TSR, FSR,
and adverse-event rate of the TD approach when compared with the TG approach.
RESULTS: Forty-two studies with 1192 patients were included in this study, and
the cumulative TSR, FSR, and adverse-event rate were 94.71%, 91.66%, and 23.32%,
respectively. The common adverse events associated with EUS-BD were bleeding
(4.03%), bile leakage (4.03%), pneumoperitoneum (3.02%), stent migration (2.68%),
cholangitis (2.43%), abdominal pain (1.51%), and peritonitis (1.26%). Ten studies
were included in the meta-analysis for comparative evaluation of TD and TG
approaches for EUS-BD. Compared with the TG approach, the pooled odds ratio of
the TSR, FSR, and adverse-event rate of the TD approach were 1.36 (95% CI, .66
2.81; P > .05), .84 (95% CI, .50-1.42; P > .05), and .61 (95% CI, .36-1.03; P >
.05), respectively, which indicated no significant difference in the TSR, FSR,
and adverse-event rate between the 2 groups. CONCLUSIONS: Although it is
associated with significant morbidity, EUS-BD is an effective alternative
procedure for relieving biliary obstruction. There was no significant difference
between the TD and TG approaches for EUS-BD.
PMID- 26542375
TI - Extracorporeal shock wave lithotripsy is a safe and effective treatment for
pancreatic stones coexisting with pancreatic pseudocysts.
AB - BACKGROUND AND AIMS: We aimed to investigate outcomes of pancreatic
extracorporeal shock wave lithotripsy (P-ESWL) for the removal of large
pancreatic stones coexisting with pancreatic pseudocysts (PPCs) in chronic
pancreatitis (CP). METHODS: This is a prospective study performed in CP patients
with at least 1 stone (>=5 mm). Patients were divided into the PPC group (stones
coexisting with PPCs) or the control group (stones alone). Patients were
initially subjected to successive P-ESWL treatments, followed by ERCP. Primary
outcomes were P-ESWL adverse events, and secondary outcomes were stone clearance,
long-term pain relief, improved quality-of-life scores, and PPC regression.
RESULTS: A total of 849 patients (59 in the PPC group and 790 in the control
group) was subjected to P-ESWL between March 2011 and October 2013. Occurrences
of P-ESWL adverse events were similar between the PPC group and the control group
(11.86% vs 12.41%, P = .940). After the treatment of initial P-ESWL combined with
ERCP, the complete, partial, and nonclearance of stones occurred in 67.24%,
20.69%, and 12.07%, respectively, of patients in PPC group, with no significant
difference from the control group (complete, partial, and nonclearance: 83.17%,
10.40%, and 11.39%, respectively; P = .106). Fifty-five of 59 patients (93.22%)
with PPCs were followed for a median period of 21.9 months (range, 12.0-45.1).
PPCs disappeared in 56.36% (31/55) and 76.36% (42/55) of patients after 3 months
and 1 year of follow-up visits, respectively. Moreover, complete and partial pain
relief were achieved in 63.64% (35/55) and 25.45% (14/55) of patients,
respectively. The scores for quality of life (P < .001), physical health (P <
.001), and weight loss (P < .001) improved. CONCLUSIONS: In our multispecialty
tertiary center, initial P-ESWL followed by ERCP was safe in patients with
coexisting pancreatic stones and PPCs and effective for stone clearance, main
pancreatic duct drainage, and pain relief.
PMID- 26542376
TI - Tailoring percolating conductive networks of natural rubber composites for
flexible strain sensors via a cellulose nanocrystal templated assembly.
AB - Conductive polymer composites (CPCs) just above the percolation threshold exhibit
a unique strain-reversible electric response upon application of tensile strain,
which can be used to prepare strain sensors. However, it is difficult to balance
the electric conductivity which is fundamental to a stable output signal and the
strain sensing sensitivity due to the relatively dense conductive pathways of the
traditional CPCs. Constructing a "brittle" but effective conductive network
structure in CPCs is the essential foundation of a desirable sensing material.
Here, we demonstrate for the first time that highly flexible, stretchable,
sensitive, and reversible strain sensors can be fabricated by a facile latex
assembly approach, in which nontoxic, sustainable and biodegradable cellulose
nanocrystals played a key role in tailoring the percolating network of conductive
natural rubber (NR)/carbon nanotube (CNT) composites. The resulting
nanocomposites with a continuous 3D conductive structure exhibited a very low
electrical conductivity percolation threshold (4-fold lower than that of the
conventional NR/CNT composites), high resistivity and sensitivity (gauge factor ~
43.5) and meanwhile good reproducibility of up to 100% strain. The proposed
materials and principles in this study open up a novel practical approach to
design high performance flexible sensors for a broad range of multifunctional
applications.
PMID- 26542377
TI - Insulin resistance: an additional risk factor in the pathogenesis of
cardiovascular disease in type 2 diabetes.
AB - Sedentary life style and high calorie dietary habits are prominent leading cause
of metabolic syndrome in modern world. Obesity plays a central role in occurrence
of various diseases like hyperinsulinemia, hyperglycemia and hyperlipidemia,
which lead to insulin resistance and metabolic derangements like cardiovascular
diseases (CVDs) mediated by oxidative stress. The mortality rate due to CVDs is
on the rise in developing countries. Insulin resistance (IR) leads to micro or
macro angiopathy, peripheral arterial dysfunction, hampered blood flow,
hypertension, as well as the cardiomyocyte and the endothelial cell dysfunctions,
thus increasing risk factors for coronary artery blockage, stroke and heart
failure suggesting that there is a strong association between IR and CVDs. The
plausible linkages between these two pathophysiological conditions are altered
levels of insulin signaling proteins such as IR-beta, IRS-1, PI3K, Akt, Glut4 and
PGC-1alpha that hamper insulin-mediated glucose uptake as well as other functions
of insulin in the cardiomyocytes and the endothelial cells of the heart. Reduced
AMPK, PFK-2 and elevated levels of NADP(H)-dependent oxidases produced by
activated M1 macrophages of the adipose tissue and elevated levels of circulating
angiotensin are also cause of CVD in diabetes mellitus condition. Insulin
sensitizers, angiotensin blockers, superoxide scavengers are used as therapeutics
in the amelioration of CVD. It evidently becomes important to unravel the
mechanisms of the association between IR and CVDs in order to formulate novel
efficient drugs to treat patients suffering from insulin resistance-mediated
cardiovascular diseases. The possible associations between insulin resistance and
cardiovascular diseases are reviewed here.
PMID- 26542378
TI - A phase 1 clinical trial of the selective BTK inhibitor ONO/GS-4059 in relapsed
and refractory mature B-cell malignancies.
AB - We report the results of a multicenter phase 1 dose-escalation study of the
selective Bruton tyrosine kinase (BTK) inhibitor ONO/GS-4059 in 90 patients with
relapsed/refractory B-cell malignancies. There were 9 dose-escalation cohorts
ranging from 20 mg to 600 mg once daily with twice-daily regimens of 240 mg and
300 mg. Twenty-four of 25 evaluable chronic lymphocytic leukemia (CLL) patients
(96%) responded to ONO/GS-4059, with a median treatment duration of 80 weeks; 21
CLL patients remain on treatment. Lymph node responses were rapid and associated
with a concurrent lymphocytosis. Eleven of 12 evaluable patients with mantle cell
lymphoma (92%) responded (median treatment duration, 40 weeks). Eleven of 31 non
germinal center B-cell diffuse large B-cell lymphoma patients (35%) responded but
median treatment duration was 12 weeks due to development of progressive disease.
ONO/GS-4059 was very well tolerated with 75% of adverse events (AEs) being Common
Toxicity Criteria for Adverse Events version 4.0 grade 1 or grade 2. Grade 3/4
AEs were mainly hematologic and recovered spontaneously during therapy. One CLL
patient experienced a grade 3 treatment-related bleeding event (spontaneous
muscle hematoma) but no clinically significant diarrhea, cardiac dysrhythmias, or
arthralgia were observed. No maximal tolerated dose (MTD) was reached in the CLL
cohort. In the non-Hodgkin lymphoma cohort, 4 patients developed a dose-limiting
toxicity, yielding an MTD of 480 mg once daily. ONO/GS-4059 has significant
activity in relapsed/refractory B-cell malignancies without major drug-related
toxicity. The selectivity of ONO/GS-4059 should confer advantages in combination
therapies. This trial was registered at www.clinicaltrials.gov as #NCT01659255.
PMID- 26542379
TI - Associations of psychosocial working conditions and working time characteristics
with somatic complaints in German resident physicians.
AB - PURPOSE: Somatic complaints (SC) are highly prevalent in working populations and
cause suffering and extensive health-care utilization. Adverse psychosocial
working conditions as conceptualized in the Job Demand-Control-Support Model (JDC
S) and adverse working time characteristics (WTC) are potential risk factors.
This combination is particularly common in hospital physicians. This study
examines associations of JDC-S and WTC with SC in resident physicians from
Germany. METHODS: A cross-sectional study was conducted among 405 physicians at
the end of residency training. SC were measured using the Giessen Subjective
Complaints List (GBB-24) containing the sub-categories exhaustion,
gastrointestinal, musculoskeletal, and cardiovascular complaints. Data on working
conditions were collected by a self-report method for work analysis in hospitals
(TAA-KH-S) and by questions on WTC (i.e., working hours). Multivariable stepwise
regression analyses were applied. RESULTS: Workload showed the most pronounced
relationship with all sub-categories of SC except gastrointestinal complaints.
Job autonomy was not significantly related to any SC sub-category. Social support
at work was inversely associated with all SC sub-categories except for
cardiovascular complaints. Free weekends were associated with reduced SC except
for exhaustion. Shift work was related to an increased SC total score and
musculoskeletal complaints. Working hours showed no association with SC.
CONCLUSION: In resident physicians, high workload and shift work are associated
with increased SC, while social support at work and free weekends are associated
with decreased SC. These insights may inform the development of preventive
measures to improve the health of this professional group. Prospective studies
are needed though to corroborate our findings.
PMID- 26542380
TI - [Association between fat mass index and fat-free mass index values and
cardiovascular risk in adolescents].
AB - OBJECTIVE: To describe the association between fat mass index and fat-free mass
index values and factors associated with cardiovascular risk in adolescents in
the city of Juiz de Fora, Minas Gerais. METHODS: Cross-sectional study with 403
adolescents aged 10-14 years, from public and private schools. Anthropometric,
clinical, biochemical measurements were obtained, as well as self-reported time
spent performing physical exercises, sedentary activities and sexual maturation
stage. RESULTS: Regarding the nutritional status; 66.5% of the adolescents had
normal weight; 19.9% were overweight and 10.2% were obese. For both genders, the
fat mass index was higher in adolescents that had high serum triglycerides, body
mass index and waist circumference. CONCLUSIONS: Adolescents that had
anthropometric, clinical and biochemical characteristics considered to be of risk
for the development of cardiovascular disease had higher values of fat mass
index. Different methodologies for the assessment of body composition make health
promotion and disease prevention more effective.
PMID- 26542381
TI - Incorporating Long-acting Reversible Contraception Into Primary Care: A Training
and Practice Innovation.
PMID- 26542382
TI - Exploring Group Composition among Young, Urban Women of Color in Prenatal Care:
Implications for Satisfaction, Engagement, and Group Attendance.
AB - PURPOSE: Group models of prenatal care continue to grow in popularity. However,
little is known about how group composition (similarity or diversity between
members of groups) relates to care-related outcomes. The current investigation
aimed to explore associations between prenatal care group composition with
patient satisfaction, engagement, and group attendance among young, urban women
of color. METHODS: Data were drawn from two studies conducted in New Haven and
Atlanta (2001-2004; n = 557) and New York City (2008-2011; n = 375) designed to
evaluate group prenatal care among young, urban women of color. Women aged 14 to
25 were assigned to group prenatal care and completed surveys during their second
and third trimesters of pregnancy. Group attendance was recorded. Data were
merged and analyzed guided by the Group Actor-Partner Interdependence Model using
multilevel regression. Analyses explored composition in terms of age, race,
ethnicity, and language. MAIN FINDINGS: Women in groups with others more diverse
in age reported greater patient engagement and, in turn, attended more group
sessions, b(se) = -0.01(0.01); p = .04. CONCLUSION: The composition of prenatal
care groups seems to be associated with young women's engagement in care,
ultimately relating to the number of group prenatal care sessions they attend.
Creating groups diverse in age may be particularly beneficial for young, urban
women of color, who have unique pregnancy needs and experiences. Future research
is needed to test the generalizability of these exploratory findings.
PMID- 26542383
TI - The Role of Parity in Gestational Weight Gain and Postpartum Weight Retention.
AB - OBJECTIVES: Parity, excessive gestational weight gain (GWG), and postpartum
weight retention (PPWR) have been identified as risk factors for maternal
obesity. The aim of this study was to explore whether GWG and PPWR at 6 and 12
months after birth differed for primiparous and multiparous Australian women.
METHODS: One hundred thirty-eight Australian women provided weight measures in
early to mid pregnancy (M = 16.7 weeks, SD = 2.3), late pregnancy (M = 37.7
weeks, SD = 2.4), 6 months postpartum (M = 6.1 months, SD = 1.4), and 12 months
postpartum (M = 12.6 months, SD = 0.7). Height, parity, and demographic
information were also collected. Prepregnancy body mass index (BMI), total GWG,
incidence of excessive GWG, as well as change in BMI and BMI category from
prepregnancy to 6 and 12 months postpartum were computed. Differences between
primiparous and multiparous women were compared using analysis of covariance
(controlling for age, prepregnancy BMI, and GWG) and chi(2) test of independence.
RESULTS: Seventy women (50.7%) were primiparous and 68 women (49.3%) were
multiparous. Primiparous women were more likely to retain weight at 12 months
postpartum than multiparous women (p = .021; Cohen's d = .24). This difference
was not reflected when analyzing change in BMI categories from prepregnancy to
the postpartum. CONCLUSIONS: Evidence for the role of parity in PPWR is
inconclusive. Future research should consider the temporal development of PPWR in
primiparous and multiparous women, leading to tailored care in the postpartum
period to help women return to a healthy prepregnancy weight.
PMID- 26542384
TI - The Role of Patient Activation in Contraceptive Use.
AB - PURPOSE: Many unintended pregnancies occur due to to contraceptive misuse and
nonuse, which is partly due to to lack of knowledge and low self-efficacy related
to contraception. We conducted an exploratory, cross-sectional study among low
income women to examine the relationship between knowledge, skills, and
confidence in managing one's health, measured using the Patient Activation
Measure (PAM) and factors that influence contraceptive use. METHODS: A survey and
chart review were conducted among 18- to 45-year-old women from a community
health center in Chicago, Illinois, to measure the relationship between
activation, self-confidence in avoiding pregnancy, contraception use, and
contraceptive counseling. Associations between PAM and outcomes were evaluated
using the chi(2) test and adjusted logistic regression models. RESULTS: Among 112
participants (61% Latina, 15% Black, 14% White), we found no differences in PAM
by age, race/ethnicity, or parity. Women with higher PAM were more likely to be
confident they could avoid pregnancy compared with women with lower PAM (50% vs.
7%; p = .02). Higher PAM remained a significant predictor for self-confidence
after risk adjustment (odds ratio, 3.13; 95% CI, 1.11-8.78; p = .031). Greater
confidence in avoiding pregnancy was associated with using a moderately or highly
effective contraceptive method (43% vs. 14%; p = .047). Women with lower PAM were
less likely to receive contraceptive counseling in the prior month (0% vs. 57%; p
< .01). CONCLUSIONS: Patient activation may be an important construct for
understanding factors that influence women's contraceptive use, including self
confidence in avoiding pregnancy until it is desired and receiving contraceptive
services in primary care.
PMID- 26542385
TI - Superior Electrochemical Properties of Nanofibers Composed of Hollow CoFe2 O4
Nanospheres Covered with Onion-Like Graphitic Carbon.
AB - Nanofibers composed of hollow CoFe2 O4 nanospheres covered with onion-like carbon
are prepared by applying nanoscale Kirkendall diffusion to the electrospinning
process. Amorphous carbon nanofibers embedded with CoFe2 @onion-like carbon
nanospheres are prepared by reduction of the electrospun nanofibers. Oxidation of
the CoFe2 -C nanofibers at 300 degrees C under a normal atmosphere produces
porous nanofibers composed of hollow CoFe2 O4 nanospheres covered with onion-like
carbon. CoFe2 nanocrystals are transformed into the hollow CoFe2 O4 nanospheres
during oxidation through a well-known nanoscale Kirkendall diffusion process. The
discharge capacities of the carbon-free CoFe2 O4 nanofibers composed of hollow
nanospheres and the nanofibers composed of hollow CoFe2 O4 nanospheres covered
with onion-like carbon are 340 and 930 mA h g(-1) , respectively, for the 1000th
cycle at a current density of 1 A g(-1) . The nanofibers composed of hollow CoFe2
O4 nanospheres covered with onion-like carbon exhibit an excellent rate
performance even in the absence of conductive materials.
PMID- 26542386
TI - Erratum to: MONALISA for stochastic simulations of Petri net models of
biochemical systems.
PMID- 26542387
TI - First results of automated RAPD-SWIFT method in dynamic pupillometry.
AB - BACKGROUND: This paper presents preliminary observations on the use of a
commercial pupillometric instrument (Albomed PupilX) for the detection and
quantification of Relative Afferent Pupillary Defect (RAPD). In this pilot study,
video-based pupillometry was used in conjunction with calibrated LED illumination
to simulate the effects of the traditional swinging-flashlight test using neutral
density filters. METHODS: The results presented in this study follow a method
described by Wilhelm et al. (Tubingen SWIFT-test) in which the eyes are
illuminated alternately and the response in pupil diameter measured by video
pupillometry. Using the PupilX instrument, the LED intensity can be programmed in
logarithmic steps starting from a maximum intensity of 1000 lux (lx), with each
reduction of 50% in illumination intensity corresponding to a 0.3 log-units
increase in filter density. RESULTS: The eyes were stimulated unilaterally with
illumination intensities corresponding to a neutral density range of 0.0 to 0.9
log-units. In all normal subjects a symmetrical pupil reaction was seen,
independent of which eye was stimulated. In contrast, in a subject with known
RAPD, a clear asymmetry in the reaction to stimulation of the left and the right
eyes was seen. CONCLUSIONS: These measurements were compared with typical results
from the original Tubingen SWIFT study and good qualitative agreement was seen.
Furthermore, the method can clearly differentiate between healthy subjects and
those with a known RAPD, indicating that the PupilX, programmed with specific
stimulus sequences and in conjunction with a suitable analysis software, has the
potential for recognition and quantification of RAPD, and prompting the
suggestion for further study involving a range of patients including both normal
subjects and those with a known and quantified RAPD.
PMID- 26542388
TI - Design of a multi-arm randomized clinical trial with no control arm.
AB - BACKGROUND: Clinical trial designs that include multiple treatments are currently
limited to those that perform pairwise comparisons of each investigational
treatment to a single control. However, there are settings, such as the recent
Ebola outbreak, in which no treatment has been demonstrated to be effective; and
therefore, no standard of care exists which would serve as an appropriate
control. METHODS/DESIGN: For illustrative purposes, we focused on the care of
patients presenting in austere settings with critically ill 'sepsis-like'
syndromes. Our approach involves a novel algorithm for comparing mortality among
arms without requiring a single fixed control. The algorithm allows poorly
performing arms to be dropped during interim analyses. Consequently, the study
may be completed earlier than planned. We used simulation to determine operating
characteristics for the trial and to estimate the required sample size. RESULTS:
We present a potential study design targeting a minimal effect size of a 23%
relative reduction in mortality between any pair of arms. Using estimated power
and spurious significance rates from the simulated scenarios, we show that such a
trial would require 2550 participants. Over a range of scenarios, our study has
80 to 99% power to select the optimal treatment. Using a fixed control design, if
the control arm is least efficacious, 640 subjects would be enrolled into the
least efficacious arm, while our algorithm would enroll between 170 and 430. This
simulation method can be easily extended to other settings or other binary
outcomes. CONCLUSION: Early dropping of arms is efficient and ethical when
conducting clinical trials with multiple arms.
PMID- 26542389
TI - The intervention composed of aerobic training and non-exercise physical activity
(I-CAN) study: Rationale, design and methods.
AB - Recent data has suggested that prolonged sedentary behavior is independent risk
factor for cardiovascular and all-cause mortality independent of adequate amounts
of moderate to vigorous physical activity. However, few studies have
prospectively evaluated if exercise training and increasing non-exercise physical
activity leads to greater reduction in cardiometabolic risk compared to aerobic
training alone. The purpose of the Intervention Composed of Aerobic Training and
Non-Exercise Physical Activity (I-CAN) study is to determine whether a physical
activity program composed of both aerobic training (consistent with public health
recommendations) and increasing non-exercise physical activity (3000 steps above
baseline levels) leads to enhanced improvements in waist circumference, oral
glucose tolerance, systemic inflammation, body composition, and fitness compared
to aerobic training alone in obese adults (N=45). Commercially available
accelerometers (Fitbits) will be used to monitor physical activity levels and
behavioral coaching will be used to develop strategies of how to increase non
exercise physical activity levels. In this manuscript, we describe the design,
rationale, and methodology associated with the I-CAN study.
PMID- 26542390
TI - Long-term recurrence rates after the removal of spinal meningiomas in relation to
Simpson grades.
AB - PURPOSE: Simpson grade II removal (coagulation of the dural attachment after
gross total removal) of spinal meningioma is considered an acceptable
alternative, but increased recurrence after more than 10 years has been reported.
More attention must be paid to the long-term surgical outcomes after Simpson
grade II removal. METHODS: A retrospective review was performed for 20 patients
(M:F = 5:15; age, 59 +/- 9 years) with Simpson grade II removal (mean follow-up
period, 12.9 years; range 10.0-17.5). Magnetic resonance (MR) imaging was
conducted in 17 patients at 88 +/- 52 months (range 12-157). During the same
period, Simpson grade I removal (removal of the dural origin) was performed in 21
patients (follow-up, 89 +/- 87 months; range 9-316). Radiological recurrence was
defined as a visible tumor on a follow-up MR image, and clinical tumor recurrence
was defined as the recurrence of symptoms. RESULTS: At the final follow-up,
neurological symptoms had improved in 16/20 patients and remained stable in 4/20.
A recurrent tumor was detected in one patient due to increased back pain at 92
months postoperative, but the symptom was stable without surgery until the last
follow-up (124 months). The radiological and clinical recurrence-free survival
periods were 150 +/- 7 months (95 % CI 136-163) and 204 +/- 6 months (95 % CI 193
215), respectively. There was no recurrence after Simpson grade I removal,
whereas neurological deterioration occurred in two patients after surgery.
CONCLUSIONS: Simpson grade II removal may be an alternative option if the risk of
complications with Simpson grade I removal is expected to be high.
PMID- 26542391
TI - Aggressive bougie dilatation and intralesional steroids is effective in
refractory benign esophageal strictures secondary to corrosive ingestion.
AB - Endoscopic dilatation of corrosive esophageal strictures is effective, but some
patients are refractory to it and require long-term repeated dilatations. The
present study was carried out to analyze whether rigorous schedule of endoscopic
bougie dilatation along with intralesional injection of triamcinolone in patients
refractory to endoscopic dilatation alone could decrease the number and frequency
of endoscopic dilatations. The inclusion criterion for this prospective study was
patients with refractory corrosive esophageal stricture of any age group.
Refractory benign esophageal stricture is defined as an anatomic fibrotic
esophageal restriction with inability to achieve dilatation of >=14 mm or to
maintain dilatation for 4 weeks once >=14 mm diameter is achieved. The patients
were followed up prospectively for 1 year. Patients with refractory strictures
were subjected to weekly bougie dilatation (Savary-Gilliard) of the strictures
along with injections of intralesional triamcinolone (40 mg/mL, 1 mL diluted in 1
mL of saline, 0.5 mL injected per quadrant of stricture) for consecutive 5 weeks,
referred to as rigorous schedule. Further dilatation was done on an 'on-demand'
basis. Eleven patients were enrolled for the study. Dysphagia score improved from
pre-intervention score of 3.54 +/- 0.52 to 0.45 +/- 0.52 post-intervention (P <
0.001). The maximum dilatation achieved pre-intervention was 9.90+1.04 mm Savary
Gilliard and post-intervention significantly improved to 14.7 + 0.7 mm Savary
Gilliard (P < 0.001). The periodic dilatation index defined as number of
dilatations per month also significantly improved from pre-intervention score of
2.54 +/- 1.06 to post-intervention score of 0.19 + 0.13 (P < 0.001). No adverse
effects were reported by the patients. Rigorous weekly schedule of bougie
dilatation and intralesional triamcinolone in combination is safe and effective
in achieving significant dilatation, reducing the frequency dilatations,
maintaining dilatation and improving dysphagia till 1 year of follow-up.
PMID- 26542394
TI - A study of waste liquid crystal display generation in mainland China.
AB - The generation of liquid crystal display waste is becoming a serious social
problem. Predicting liquid crystal display waste status is the foundation for
establishing a recycling network; however, the difficulty in predicting liquid
crystal display waste quantity lies in data mining. In order to determine the
quantity and the distribution of liquid crystal display waste in China, the four
top-selling liquid crystal display products (liquid crystal display TVs, desktop
PCs, notebook PCs, and mobile phones) were selected as study objects. Then, the
extended logistic model and market supply A method was used to predict the
quantity of liquid crystal display waste products. Moreover, the distribution of
liquid crystal display waste products in different regions was evaluated by
examining the consumption levels of household equipment. The results revealed
that the quantity of waste liquid crystal displays would increase rapidly in the
next decade. In particular, the predicted quantity of waste liquid crystal
displays would rise to approximately 4.262 * 10(9) pieces in 2020, and the total
display area (i.e. the surface area of liquid crystal display panels) of waste
liquid crystal displays would reach 5.539 * 10(7) m(2). The prediction on the
display area of waste liquid crystal display TVs showed that it would account for
71.5% of the total display area by 2020. Meanwhile, the quantity of waste mobile
phones would significantly grow, increasing 5.8 times from 2012 to 2020. In terms
of distribution, Guangdong is the top waste liquid crystal display-generating
province in China, followed by Jiangsu, Shandong, Henan, Zhejiang, and Sichuan.
Considering its regional characteristics, Guangdong has been proposed to be the
most important location of the recycling network.
PMID- 26542393
TI - Endophytic Epichloe species and their grass hosts: from evolution to
applications.
AB - The closely linked fitness of the Epichloe symbiont and the host grass is
presumed to align the coevolution of the species towards specialization and
mutually beneficial cooperation. Ecological observations demonstrating that
Epichloe-grass symbioses can modulate grassland ecosystems via both above- and
belowground ecosystem processes support this. In many cases the detected
ecological importance of Epichloe species is directly or indirectly linked to
defensive mutualism attributable to alkaloids of fungal-origin. Now, modern
genetic and molecular techniques enable the precise studies on evolutionary
origin of endophytic Epichloe species, their coevolution with host grasses and
identification the genetic variation that explains phenotypic diversity in
ecologically relevant characteristics of Epichloe-grass associations. Here we
briefly review the most recent findings in these areas of research using the
present knowledge of the genetic variation that explains the biosynthetic
pathways driving the diversity of alkaloids produced by the endophyte. These
findings underscore the importance of genetic interplay between the fungus and
the host in shaping their coevolution and ecological role in both natural grass
ecosystems, and in the agricultural arena.
PMID- 26542392
TI - Mouse models of human TB pathology: roles in the analysis of necrosis and the
development of host-directed therapies.
AB - A key aspect of TB pathogenesis that maintains Mycobacterium tuberculosis in the
human population is the ability to cause necrosis in pulmonary lesions. As co
evolution shaped M . tuberculosis (M.tb) and human responses, the complete TB
disease profile and lesion manifestation are not fully reproduced by any animal
model. However, animal models are absolutely critical to understand how infection
with virulent M.tb generates outcomes necessary for the pathogen transmission and
evolutionary success. In humans, a wide spectrum of TB outcomes has been
recognized based on clinical and epidemiological data. In mice, there is clear
genetic basis for susceptibility. Although the spectra of human and mouse TB do
not completely overlap, comparison of human TB with mouse lesions across
genetically diverse strains firmly establishes points of convergence. By
embracing the genetic heterogeneity of the mouse population, we gain tremendous
advantage in the quest for suitable in vivo models. Below, we review genetically
defined mouse models that recapitulate a key element of M.tb pathogenesis
induction of necrotic TB lesions in the lungs-and discuss how these models may
reflect TB stratification and pathogenesis in humans. The approach ensures that
roles that mouse models play in basic and translational TB research will continue
to increase allowing researchers to address fundamental questions of TB
pathogenesis and bacterial physiology in vivo using this well-defined,
reproducible, and cost-efficient system. Combination of the new generation mouse
models with advanced imaging technologies will also allow rapid and inexpensive
assessment of experimental vaccines and therapies prior to testing in larger
animals and clinical trials.
PMID- 26542395
TI - PKCalpha regulates TMEM16A-mediated Cl- secretion in human biliary cells.
AB - TMEM16A is a newly identified Ca(2+)-activated Cl(-) channel in biliary
epithelial cells (BECs) that is important in biliary secretion. While
extracellular ATP stimulates TMEM16A via binding P2 receptors and increasing
intracellular Ca(2+) concentration ([Ca(2+)]i), the regulatory pathways have not
been elucidated. Protein kinase C (PKC) contributes to ATP-mediated secretion in
BECs, although its potential role in TMEM16A regulation is unknown. To determine
whether PKCalpha regulates the TMEM16A-dependent membrane Cl(-) transport in
BECs, studies were performed in human biliary Mz-cha-1 cells. Addition of
extracellular ATP induced a rapid translocation of PKCalpha from the cytosol to
the plasma membrane and activation of whole cell Ca(2+)-activated Cl(-) currents.
Currents demonstrated outward rectification and reversal at 0 mV (properties
consistent with TMEM16A) and were inhibited by either molecular (siRNA) or
pharmacologic (PMA or Go6976) inhibition of PKCalpha. Intracellular dialysis with
recombinant PKCalpha activated Cl(-) currents with biophysical properties
identical to TMEM16A in control cells but not in cells after transfection with
TMEM16A siRNA. In conclusion, our studies demonstrate that PKCalpha is coupled to
ATP-stimulated TMEM16A activation in BECs. Targeting this ATP-Ca(2+)-PKCalpha
signaling pathway may represent a therapeutic strategy to increase biliary
secretion and promote bile formation.
PMID- 26542396
TI - Cellular chloride and bicarbonate retention alters intracellular pH regulation in
Cftr KO crypt epithelium.
AB - Cystic fibrosis (CF) is caused by mutations in the CF transmembrane conductance
regulator (CFTR), an anion channel providing a major pathway for Cl(-) and HCO3 (
) efflux across the apical membrane of the epithelium. In the intestine, CF
manifests as obstructive syndromes, dysbiosis, inflammation, and an increased
risk for gastrointestinal cancer. Cftr knockout (KO) mice recapitulate CF
intestinal disease, including intestinal hyperproliferation. Previous studies
using Cftr KO intestinal organoids (enteroids) indicate that crypt epithelium
maintains an alkaline intracellular pH (pHi). We hypothesized that Cftr has a
cell-autonomous role in downregulating pHi that is incompletely compensated by
acid-base regulation in its absence. Here, 2',7'-bis(2-carboxyethyl)-5(6)
carboxyfluorescein microfluorimetry of enteroids showed that Cftr KO crypt
epithelium sustains an alkaline pHi and resistance to cell acidification relative
to wild-type. Quantitative real-time PCR revealed that Cftr KO enteroids exhibit
downregulated transcription of base (HCO3 (-))-loading proteins and upregulation
of the basolateral membrane HCO3 (-)-unloader anion exchanger 2 (Ae2). Although
Cftr KO crypt epithelium had increased Ae2 expression and Ae2-mediated Cl(-)/HCO3
(-) exchange with maximized gradients, it also had increased intracellular Cl(-)
concentration relative to wild-type. Pharmacological reduction of intracellular
Cl(-) concentration in Cftr KO crypt epithelium normalized pHi, which was largely
Ae2-dependent. We conclude that Cftr KO crypt epithelium maintains an alkaline
pHi as a consequence of losing both Cl(-) and HCO3 (-) efflux, which impairs pHi
regulation by Ae2. Retention of Cl(-) and an alkaline pHi in crypt epithelium may
alter several cellular processes in the proliferative compartment of Cftr KO
intestine.
PMID- 26542398
TI - Novel tactics for neuroprotection in Parkinson's disease: Role of antibiotics,
polyphenols and neuropeptides.
AB - Parkinson's disease is a progressive neurodegenerative disorder characterized by
the degeneration of midbrain nigral dopaminergic neurons. Although its etiology
remains unknown, the pathological role of several factors has been highlighted,
namely oxidative stress, neuroinflammation, protein misfolding, and mitochondrial
dysfunction, in addition to genetic predispositions. The current therapy is
mainly symptomatic with l-DOPA aiming to replace dopamine. Novel therapeutic
approaches are being investigated with the intention of influencing pathways
leading to neuronal death and dysfunction. The present review summarizes three
novel approaches, the use of which is promising in pre-clinical studies.
Polyphenols have been shown to possess neuroprotective properties on account of
their well-established antioxidative and anti-inflammatory actions but also due
to their influence on protein misfolding and mitochondrial homeostasis. Within
the amazing ancillary effects of antibiotics, their neuroprotective properties
against neurodegenerative and neuroinflammatory processes are of great interest
for the development of effective therapies against Parkinson's disease.
Experimental evidence supports the potential of antibiotics as neuroprotective
agents, being useful not only to prevent the formation of toxic alpha-synuclein
oligomers but also to ameliorate mitochondrial dysfunction and neuroinflammation.
Neuropeptides offer another approach with their diverse effects in the nervous
system. Among them, pituitary adenylate cyclase-activating polypeptide, a member
of the secretin/glucagon superfamily, has several advantageous effects in models
of neurodegeneration, namely anti-apoptotic, anti-inflammatory and antioxidant
actions, the combination of which offers a potent protective effect in
dopaminergic neurons. Owing to their pleiotropic modes of action, these novel
therapeutic candidates have potential in tackling the multidimensional features
of Parkinson's disease.
PMID- 26542397
TI - Glucagon-like peptide-1 receptor is present in pancreatic acinar cells and
regulates amylase secretion through cAMP.
AB - Glucagon-like peptide-1 (GLP-1) is a glucoincretin hormone that can act through
its receptor (GLP-1R) on pancreatic beta-cells and increase insulin secretion and
production. GLP-1R agonists are used clinically to treat type 2 diabetes. GLP-1
may also regulate the exocrine pancreas at multiple levels, including inhibition
through the central nervous system, stimulation indirectly through insulin, and
stimulation directly on acinar cells. However, it has been unclear whether GLP-1R
is present in pancreatic acini and what physiological functions these receptors
regulate. In the current study we utilized GLP-1R knockout (KO) mice to study the
role of GLP-1R in acinar cells. RNA expression of GLP-1R was detected in acutely
isolated pancreatic acini. Acinar cell morphology and expression of digestive
enzymes were not affected by loss of GLP-1R. GLP-1 induced amylase secretion in
wild-type (WT) acini. In GLP-1R KO mice, this effect was abolished, whereas
vasoactive intestinal peptide-induced amylase release in KO acini showed a
pattern similar to that in WT acini. GLP-1 stimulated cAMP production and
increased protein kinase A-mediated protein phosphorylation in WT acini, and
these effects were absent in KO acini. These data show that GLP-1R is present in
pancreatic acinar cells and that GLP-1 can regulate secretion through its
receptor and cAMP signaling pathway.
PMID- 26542399
TI - Long-term outcomes of nasopharyngectomy using partial maxillectomy approach.
AB - OBJECTIVES/HYPOTHESIS: To determine the long-term outcomes of a prospective
cohort of 20 patients who had nasopharyngectomy using an open partial
maxillectomy approach. METHOD: A prospective cohort study of the surgical
outcomes of patients with recurrent T1 (rT1) and rT2 nasopharyngeal carcinoma
recurrence. RESULTS: There were 14 male and six female patients. The mean age was
49 years. The mean duration of follow-up was 60.4 months. All of the surgical
margins were free of malignancy. Ten patients (50%) developed recurrences. The 5
year local control rate, disease-free, disease-specific, and overall survivals
were 70%, 48.9%, 70.2%, and 66.7%, respectively. There were no major
intraoperative complications. The most common morbidity was pain. The median
length of hospitalization was 4 days. CONCLUSION: Early local recurrence of
nasopharyngeal carcinoma can be treated surgically using a partial maxillectomy
approach. The long-term outcomes are similar to nasopharyngectomy performed using
the more common approaches. LEVEL OF EVIDENCE: 4. Laryngoscope, 126:1103-1107,
2016.
PMID- 26542401
TI - Age-related inhibitory deficit, or lack of familiarity benefit? Evidence from
letter identification among visual distractors.
AB - Age-related deficits in processing complex visual scenes are often attributed to
age-related declines in the cognitive abilities required for such tasks. For
example, poorer or slower performance of a complex task in the presence of
distractor items is often attributed to an age-related deficit in the ability to
inhibit the processing of irrelevant information. To investigate the relative
contributions of sensory and cognitive factors in such tasks, younger and older
participants were asked to identify a letter presented simultaneously with
distractors that were either other letters, pieces of letters, or visual noise
controls with identical spatial frequency content or contrast profile. In
Experiment 1, older adults performed much worse than younger adults when the
masking field consisted of other letters. Surprisingly-and contrary to the
predictions of inhibitory deficit or visual "pop-out" phenomena-this effect
emerged because younger adults performed much better with letter-containing
maskers than with any other type of masker, whereas older adults did not.
Experiment 2 revealed that age-related changes in the time required to process
the visual display do not appear to account for this effect. In Experiment 3,
however, we replicated older adults' task performance in a younger adult sample
by filtering the experimental stimuli to match the image contrast typically
experienced by an older adult. The results of Experiment 3 suggest that age
related differences in task performance amidst distractors can emerge from age
related declines in contrast sensitivity, which set older adults up to fail at
tasks in which younger adults may typically be able to benefit from the
familiarity of the target and surrounding objects.
PMID- 26542400
TI - Effect of explicit dimensional instruction on speech category learning.
AB - Learning nonnative speech categories is often considered a challenging task in
adulthood. This difficulty is driven by cross-language differences in weighting
critical auditory dimensions that differentiate speech categories. For example,
previous studies have shown that differentiating Mandarin tonal categories
requires attending to dimensions related to pitch height and direction. Relative
to native speakers of Mandarin, the pitch direction dimension is underweighted by
native English speakers. In the current study, we examined the effect of explicit
instructions (dimension instruction) on native English speakers' Mandarin tone
category learning within the framework of a dual-learning systems (DLS) model.
This model predicts that successful speech category learning is initially
mediated by an explicit, reflective learning system that frequently utilizes
unidimensional rules, with an eventual switch to a more implicit, reflexive
learning system that utilizes multidimensional rules. Participants were
explicitly instructed to focus and/or ignore the pitch height dimension, the
pitch direction dimension, or were given no explicit prime. Our results show that
instruction instructing participants to focus on pitch direction, and instruction
diverting attention away from pitch height, resulted in enhanced tone
categorization. Computational modeling of participant responses suggested that
instruction related to pitch direction led to faster and more frequent use of
multidimensional reflexive strategies and enhanced perceptual selectivity along
the previously underweighted pitch direction dimension.
PMID- 26542402
TI - Perception and the strongest sensory memory trace of multi-stable displays both
form shortly after the stimulus onset.
AB - We investigated the relation between perception and sensory memory of multi
stable structure-from-motion displays. The latter is an implicit visual memory
that reflects a recent history of perceptual dominance and influences only the
initial perception of multi-stable displays. First, we established the earliest
time point when the direction of an illusory rotation can be reversed after the
display onset (29-114 ms). Because our display manipulation did not bias
perception towards a specific direction of illusory rotation but only signaled
the change in motion, this means that the perceptual dominance was established no
later than 29-114 ms after the stimulus onset. Second, we used orientation
selectivity of sensory memory to establish which display orientation produced the
strongest memory trace and when this orientation was presented during the
preceding prime interval (80-140 ms). Surprisingly, both estimates point towards
the time interval immediately after the display onset, indicating that both
perception and sensory memory form at approximately the same time. This suggests
a tighter integration between perception and sensory memory than previously
thought, warrants a reconsideration of its role in visual perception, and
indicates that sensory memory could be a unique behavioral correlate of the
earlier perceptual inference that can be studied post hoc.
PMID- 26542403
TI - Morphine Tolerance and Physical Dependence Are Altered in Conditional HIV-1 Tat
Transgenic Mice.
AB - Despite considerable evidence that chronic opiate use selectively affects the
pathophysiologic consequences of human immunodeficiency virus type 1 (HIV-1)
infection in the nervous system, few studies have examined whether neuro-acquired
immune deficiency syndrome (neuroAIDS) might intrinsically alter the
pharmacologic responses to chronic opiate exposure. This is an important matter
because HIV-1 and opiate abuse are interrelated epidemics, and HIV-1 patients are
often prescribed opiates as a treatment of HIV-1-related neuropathic pain.
Tolerance and physical dependence are inevitable consequences of frequent and
repeated administration of morphine. In the present study, mice expressing HIV-1
Tat in a doxycycline (DOX)-inducible manner [Tat(+)], their Tat(-) controls, and
control C57BL/6 mice were chronically exposed to placebo or 75-mg morphine
pellets to explore the effects of Tat induction on morphine tolerance and
dependence. Antinociceptive tolerance and locomotor activity tolerance were
assessed using tail-flick and locomotor activity assays, respectively, and
physical dependence was measured with the platform-jumping assay and recording of
other withdrawal signs. We found that Tat(+) mice treated with DOX [Tat(+)/DOX]
developed an increased tolerance in the tail-flick assay compared with control
Tat(-)/DOX and/or C57/DOX mice. Equivalent tolerance was developed in all mice
when assessed by locomotor activity. Further, Tat(+)/DOX mice expressed reduced
levels of physical dependence to chronic morphine exposure after a 1-mg/kg
naloxone challenge compared with control Tat(-)/DOX and/or C57/DOX mice. Assuming
the results seen in Tat transgenic mice can be generalized to neuroAIDS, our
findings suggest that HIV-1-infected individuals may display heightened analgesic
tolerance to similar doses of opiates compared with uninfected individuals and
show fewer symptoms of physical dependence.
PMID- 26542404
TI - [Septic endoprosthesis exchange : Preoperative diagnosis and reimplantation].
AB - BACKGROUND: The treatment of prosthetic joint infection (PJI) is truly
challenging. Patients with infected arthroplasty face physical and psychosocial
problems. Furthermore, treatment costs represent a tremendous socioeconomic
burden. AIM: This article presents an overview of the preoperative diagnosis of
PJI and one- or two-stage endoprosthetic exchange options. METHOD: A selective
literature search was performed focusing on diagnostics and innovative surgical
treatment concepts in PJI. RESULTS: The identification of the underlying pathogen
is still the main focus in the diagnosis of PJI. State-of-the-art therapy for PJI
with mature biofilm consists of implant removal with one- or two-stage exchange
arthroplasty. One-stage exchange offers lower morbidity and improved functional
outcome, whereas a two-stage procedure is, according to current knowledge, more
favourable in terms of infection control. The novel short-term two-stage exchange
regimen combines the advantages of both possibilities. DISCUSSION: Prosthetic
joint infection represents a significant challenge for the othopaedic surgeon.
Novel treatment options can help to improve outcome and lower the costs to the
health care system.
PMID- 26542405
TI - [Antimicrobial prosthesis coatings].
AB - BACKGROUND: Antimicrobial coatings have a great potential in the treatment and
prevention of periprosthetic joint infection. OBJECTIVE: To present established
and novel concepts of antimicrobial coatings. METHODS: A literature review and
discussion of published concepts in basic research, pre-clinical animal studies,
and clinical practice were carried out. RESULTS: To date there has been a wide
range of technical solutions (anti-adhesive surfaces, bioactive surfaces with
antimicrobial effects, surfaces releasing antimicrobial substances,
nanostructures, bioactive surfaces affecting biofilm development) demonstrating a
high potential in pre-clinical studies. Only a few with the bactericidal activity
of silver ions have been prepared for the market. Conclusive results with regard
to biocompatibility and toxicity are lacking. DISCUSSION: Despite the great
potential of antimicrobial coatings, no conclusive decisions can be made because
of the limited data and the lack of evidence of their clinical efficacy on the
basis of prospective controlled clinical studies. In addition to their unlimited
biocompatibility, innovative concepts have to be feasible in everyday clinical
routine.
PMID- 26542406
TI - [Synovial biomarkers for differential diagnosis of painful arthroplasty].
AB - BACKGROUND: The diagnosis and treatment of periprosthetic joint infection (PJI)
remain true clinical challenges. PJI diminishes therapeutic success, causes
dissatisfaction for the patient and medical staff, and often requires extensive
surgical revision(s). At the present time, an extensive multimodal algorithmic
approach is used to avoid time- and cost-consuming diagnostic aberrations.
However, especially in the case of the frequent and clinically most relevant "low
grade" PJI, the current diagnostic "gold standard" has reached its limits.
EVALUATION: Synovial biomarkers are thought to close this diagnostic gap,
hopefully enabling the safe differentiation among aseptic, (chronic) septic,
implant allergy-related and the arthrofibrotic genesis of symptomatic
arthroplasty. Therefore, joint aspiration for obtaining synovial fluid is
preferred over surgical synovial tissue biopsy because of the faster results,
greater practicability, greater patient safety, and lower costs. In addition to
the parameters synovial IL-6, CRP, and leukocyte esterase, novel biomarkers such
as antimicrobial peptides and other proinflammatory cytokines are currently
highlighted because of their very high to excellent diagnostic accuracy.
CONCLUSION: Independent multicenter validation studies are required to show
whether a set of different innovative synovial fluid biomarkers rather than a few
single parameters is favorable for a safe "one-stop shop" differential diagnosis
of PJI.
PMID- 26542407
TI - [Orthopaedic implant-associated infections: Update of antimicrobial therapy].
AB - In infections related to prosthetic joints and internal fixation devices,
microorganisms adhere as biofim on the surface of the implant. Biofilms are not
only resistant to phagocytosis, but also to most antimicrobial agents. Therefore,
spontaneous cure does never occur, and antibiotics have to be given for several
months. According to traditional concepts, removal of all foreign material was
considered as prerequisite for cure. Yet, during the last decades, it has been
shown that staphylococcal biofilms can be eliminated by rifampin combination
therapy, and Gram-negative biofilms by fluoroquinolones. However, reliable
biofilm elimination is only possible, if the duration of infection does not
exceed 3-4 weeks. Correct total duration of the antimicrobial therapy has never
been tested in a controlled trial. Currently, treatment duration is 3 (hip
prosthesis) and 6 (knee prosthesis) months in patients undergoing debridement
with implant retention, one-stage exchange, and two-stage exchange with a short
interval of 2-3 weeks. According to a recent observational trial, a treatment
duration of 2 and 3 months, respectively, is equivalent to the longer duration in
patients undergoing debridement and implant retention. The optimal surgical
therapy should be chosen according to a rational algorithm. It is crucial
choosing the optimal surgical intervention from the beginning, because the final
functional success depends on the cure by the first attempt.
PMID- 26542408
TI - The Development and Validation of the Comprehensive Intellectual Humility Scale.
AB - A series of studies was conducted to create the 22-item Comprehensive
Intellectual Humility Scale on the basis of theoretical descriptions of
intellectual humility, expert reviews, pilot studies, and exploratory and
confirmatory factor analyses. The scale measures 4 distinct but intercorrelated
aspects of intellectual humility, including independence of intellect and ego,
openness to revising one's viewpoint, respect for others' viewpoints, and lack of
intellectual overconfidence. Internal consistency and test-retest analyses
provided reliable scale and subscale scores within numerous independent samples.
Validation data were obtained from multiple, independent samples, supporting
appropriate levels of convergent, discriminant, and predictive validity. The
analyses suggest that the scale has utility as a self-report measure for future
research.
PMID- 26542409
TI - [Recurrent microdislocation of pacemaker leads due to an aortic aneurysm of the
aorta ascendens].
AB - We report about a 79 years old female patient which was admitted due to a
symptomatic AV block 3rd degree. The coronary angiography excluded a coronary
artery disease and the echocardiography revealed a normal left ventricular
systolic function. Therefore a dual-chamber pacemaker was implanted. Following
two micro-dislocations of the right ventricular lead, which required operative
revisions, a computed tomography of the heart was performed. This detected an
aneurysm of the ascending aorta (5 cm maximum diameter) with compression of the
superior caval vein. This case shows that a possible cause of recurrent micro
dislocations could be a pathological anatomy of the heart.
PMID- 26542410
TI - Giant extragenital Bowen's disease.
AB - Giant extragenital forms of Morbus Bowen are extremely rare. The already
described cases in the word literature are most commonly with periungual
localization, as well as located on the foot and neck area. The clinical
manifestation is presented most commonly by non-specific erythematous to
erythematous-squamous plaques or papules, which is confusing to the clinician.
From the pathogenic point of view, it is important to be confirmed or rejected
the presence of human papilloma viruses (HPVs) in each case of affected patient,
as this information is mandatory in respect to the adequate selection of the
subsequent regimen. If HPVs are detected, systemic antiviral therapy could be
initiated to reduce the size of the lesions before subsequent surgical
eradication. A postoperative prevention through vaccination could be also
considered additionally. In cases of HPV-negative giant extragenital forms of
Morbus Bowen (as in the described patient), the focus should be on local
immunomodulation by substances such as imiquimod, which reduce the size of the
lesions, thereby creating optimal opportunities for their future surgical
eradication. Other possible options described in the literature include topical
application of 5-fluorouracil, photodynamic therapy, cryotherapy, and laser
therapy (carbon dioxide laser). The choice of the most appropriate regimen should
have been an individual decision of the clinician, considering also the location
and the extent of the lesion.
PMID- 26542411
TI - Feasibility and acceptance of biofeedback-assisted mental training in an Austrian
elementary school: a pilot study.
AB - This pilot study aimed to investigate feasibility, acceptance, and effects of
biofeedback-assisted mental training in a population of fifteen 10-year-old
pupils in an Austrian elementary school. Participants were instructed in
relaxation techniques by using biofeedback. Before intervention, after 6 weeks
with active mental training and with regular instructions by the teacher, and
after a further time period of 6 weeks without instructions, attention and
concentration improved. The results indicate feasibility, good acceptance, and
beneficial effects of biofeedback-assisted mental techniques in Austrian
elementary school pupils.
PMID- 26542412
TI - A method for estimating spikelet number per panicle: Integrating image analysis
and a 5-point calibration model.
AB - Spikelet number per panicle (SNPP) is one of the most important yield components
used to estimate rice yields. The use of high-throughput quantitative image
analysis methods for understanding the diversity of the panicle has increased
rapidly. However, it is difficult to simultaneously extract panicle branch and
spikelet/grain information from images at the same resolution due to the
different scales of these traits. To use a lower resolution and meet the accuracy
requirement, we proposed an interdisciplinary method that integrated image
analysis and a 5-point calibration model to rapidly estimate SNPP. First, a
linear relationship model between the total length of the primary branch (TLPB)
and the SNPP was established based on the physiological characteristics of the
panicle. Second, the TLPB and area (the primary branch region) traits were
rapidly extracted by developing image analysis algorithm. Finally, a 5-point
calibration method was adopted to improve the universality of the model. The
number of panicle samples that the error of the SNPP estimates was less than 10%
was greater than 90% by the proposed method. The estimation accuracy was
consistent with the accuracy determined using manual measurements. The proposed
method uses available concepts and techniques for automated estimations of rice
yield information.
PMID- 26542413
TI - Access to transportation for Chittenden County Vermont older adults.
AB - BACKGROUND: Aging often leads to decreased independence and mobility, which can
be detrimental to health and well-being. The growing population of older adults
will create a greater need for reliable transportation. AIMS: Explore whether and
how lack of transportation has compromised areas of daily lives in older adults.
METHODS: 1221 surveys with 36 questions assessing transportation access, usage,
and impact on activities were distributed to Chittenden County, Vermont older
adults; 252 met criteria for analysis. RESULTS: Older adults reported
overwhelming difficulty getting to activities considered important, with 69 % of
participants delaying medical appointments due to transportation barriers.
Although family and friends represent a primary method of transportation, older
adults reported difficulty asking them for help. DISCUSSION: Lack of accessible
transportation leads to missed healthcare appointments and social isolation,
which may have detrimental effects on older adults' quality of life. CONCLUSION:
Many older adults face significant transportation challenges that negatively
affect their health and well-being.
PMID- 26542414
TI - Gender differences in the association of hypertension with gamma
glutamyltransferase and alanine aminotransferase levels in Chinese adults in
Qingdao, China.
AB - The aim of the study was to study the associations of hypertension with gamma
glutamyltransferase (GGT) and alanine aminotransferase (ALT) levels. Data of 3575
men and 5504 women were analyzed. Multivariate logistic regression analysis was
performed to estimate the odds ratio (OR) for hypertension with GGT and ALT.
Compared with the lowest quartile, the multivariate adjusted ORs for hypertension
were 0.97 (0.79, 1.19) in men and 0.88 (0.74, 1.04) in women for ALT and 2.29
(1.68, 3.14) and 1.52 (1.27, 1.83) for GGT in the highest quartile group. The ORs
for hypertension in the low waist circumference category were 2.61 (1.56, 4.36)
in men and 1.41 (0.94, 2.12) in women and in the high waist circumference
category 4.01 (2.21, 7.29) and 2.26 (1.54, 3.32) for GGT. The elevated GGT, but
not ALT, was associated with the presence of the hypertension in men and women.
The association is stronger in obese men and women than in their lean
counterparts.
PMID- 26542415
TI - The path to prevention and treatment of resistant hypertension.
PMID- 26542416
TI - CHFR hypermethylation, a frequent event in acute myeloid leukemia, is
independently associated with an adverse outcome.
AB - The CpG island of the promoter region of the checkpoint with fork-head associated
and ring finger gene (CHFR), a mitotic checkpoint gene with tumor-suppressor
functions, is hypermethylated in various human cancers. The objective of this
study was to evaluate the frequency of aberrant CHFR promoter methylation in
acute myeloid leukemia (AML) patients in an attempt to improve prognostication.
CHFR promoter methylation levels were analyzed in 358 newly diagnosed AML cases
and 30 healthy donors by the use of quantitative methylation-specific polymerase
chain reaction. In addition, we analyzed possible association between CHFR
hypermethylation and hematological characteristics, chromosome abnormalities,
genetic mutations, and survival. Hypermethylation of the CHFR promoter was
observed in 24% (85 of 358) AML patients, but not in healthy individuals. CHFR
hypermethylation correlated significantly with SRSF2 and DNMT3A mutations.
Patients with hypermethylation exhibited lower overall survival and shorter
relapse-free survival than nonmethylated cases. In multivariate analysis, CHFR
hypermethylation was an independent factor predicting poor overall survival but
not relapse-free survival. In conclusion, hypermethylation of the CHFR promoter,
frequent in AML, is associated with adverse outcome, and can thus be used for
risk stratification.
PMID- 26542417
TI - Stem cell therapies for traumatic brain injury.
PMID- 26542418
TI - Food-alcohol competition: As young females eat more food, do they drink less
alcohol?
AB - Seminal health behaviour theories and behaviour modification techniques are
applied to health behaviours individually. Limited empirical work investigates
how change in one health behaviour may change another. This study proposes a food
alcohol competition hypothesis, where individuals tend to consume one rewarding
substance to the other's exclusion. In a large sample of adolescent girls
assessed yearly from age 15 to 19, Latent Growth Modelling indicated that a
tendency to consume processed or sweet high-fat foods 'competed' with a tendency
to drink alcohol. In order to best improve overall health, it is important to
consider interrelationships between food and alcohol consumption.
PMID- 26542419
TI - Effects of antenatal exercise in overweight and obese pregnant women on maternal
and perinatal outcomes: a randomised controlled trial.
AB - OBJECTIVE: To assess whether antenatal exercise in overweight/obese women would
improve maternal and perinatal outcomes. DESIGN: Two-arm parallel randomised
controlled trial. SETTING: Home-based intervention in Auckland, New Zealand.
POPULATION AND SAMPLE: Pregnant women with body mass index >=25 kg/m(2) .
METHODS: Participants were randomised to a 16-week moderate-intensity stationary
cycling programme from 20 weeks of gestation, or to a control group with no
exercise intervention. MAIN OUTCOME MEASURES: Primary outcome was offspring
birthweight. Perinatal and maternal outcomes were assessed, with the latter
including weight gain, aerobic fitness, quality of life, pregnancy outcomes, and
postnatal body composition. Exercise compliance was recorded with heart rate
monitors. RESULTS: Seventy-five participants were randomised in the study
(intervention 38, control 37). Offspring birthweight (adjusted mean difference
104 g; P = 0.35) and perinatal outcomes were similar between groups. Aerobic
fitness improved in the intervention group compared with controls (48.0-second
improvement in test time to target heart rate; P = 0.019). There was no
difference in weight gain, quality of life, pregnancy outcomes or postnatal
maternal body composition between groups. However, compliance with exercise
protocol was poor, with an average of 33% of exercise sessions completed.
Sensitivity analyses showed that greater compliance was associated with improved
fitness (increased test time (P = 0.002), greater VO2 peak (P = 0.015), and lower
resting heart rate (P = 0.014)), reduced postnatal adiposity (reduced fat mass (P
= 0.007) and body mass index (P = 0.035)) and better physical quality of life (P
= 0.034). CONCLUSIONS: Maternal non-weight-bearing moderate-intensity exercise in
pregnancy improved fitness but did not affect birthweight or clinical outcomes.
TWEETABLE ABSTRACT: Moderate-intensity exercise in overweight/obese pregnant
women improved fitness but had no clinical effects.
PMID- 26542420
TI - The Effectiveness of Functional Family Therapy in Reducing Adolescent Mental
Health Risk and Family Adjustment Difficulties in an Irish Context.
AB - To evaluate the effectiveness of Functional Family Therapy (FFT) 42 cases were
randomized to FFT and 55 to a waiting-list control group. Minimization procedures
controlled the effects of potentially confounding baseline variables. Cases were
treated by a team of five therapists who implemented FFT with a moderate degree
of fidelity. Rates of clinical recovery were significantly higher in the FFT
group than in the control group. Compared to the comparison group, parents in the
FFT group reported significantly greater improvement in adolescent problems on
the Strengths and Difficulties Questionnaire (SDQ) and both parents and
adolescents reported improvements in family adjustment on the Systemic Clinical
Outcomes and Routine Evaluation (SCORE). In addition, 93% of youth and families
in the treatment condition completed FFT. Improvements shown immediately after
treatment were sustained at 3-month follow-up. Results provide a current
demonstration of FFT's effectiveness for youth with behavior problems in
community-based settings, expand our understanding of the range of positive
outcomes of FFT to include mental health risk and family-defined problem severity
and impact, and suggests that it is an effective intervention when implemented in
an Irish context.
PMID- 26542421
TI - The influence of number of line pairs in digital intra-oral radiography on the
detection accuracy of horizontal root fractures.
AB - AIM: Line pairs are the unit for measurement of resolution and are related to the
spatial quality of the system. Thus, the objective of this study was to evaluate
the influence of number of line pairs in digital intra-oral radiography on the
detection accuracy of horizontal root fractures. MATERIALS AND METHODS: Sixty
four extracted single-root human teeth were used. Thirty-two had horizontal root
fractures created and were mounted in dry human mandibles. The teeth were
radiographed with two digital receptors: the Digora Optime (14.3 lp mm-1) and the
Digora Toto (26.3 lp mm-1). Images were viewed under standardized conditions by 3
calibrated examiners using the respective dedicated software (Digora for Windows
2.6((r)) and Scanora((r)) ). Digora Toto((r)) images were also evaluated through
the Digora for Windows 2.6((r)) software. The results were statistically analyzed
based on the area under the ROC curve for each examiner. RESULTS: Pairing Digora
Toto((r)) with Scanora((r)) allowed for greater accuracy in horizontal root
fractures diagnosis, while images acquired with that same system seem to lose
accuracy when visualized with Digora for Windows 2.6((r)) . Pairing Digora
Optime((r)) with Digora for Windows 2.6((r)) resulted in the lowest accuracy for
horizontal root fractures diagnosis. CONCLUSIONS: The higher number of line pairs
and the consequent higher image resolution provided by the Digora Toto((r))
system and its dedicated software seem to enhance the accuracy of horizontal root
fractures diagnostic imaging.
PMID- 26542423
TI - Liposarcomatous differentiation in malignant phyllodes tumours is unassociated
with MDM2 or CDK4 amplification.
AB - AIMS: Breast sarcomas are rare, usually occurring in the setting of malignant
phyllodes tumour (MPT). Heterologous differentiation commonly resembles well
differentiated or pleomorphic liposarcoma. In extramammary sites, these subtypes
have different biological behaviours and distinct genetic alterations: MDM2 and
CDK4 amplification in well-differentiated liposarcoma, and polyploidy with
complex structural rearrangements in pleomorphic liposarcoma. The aim of this
study was to investigate foci resembling well-differentiated liposarcoma in MPT
for MDM2 and CDK4 amplification. METHODS AND RESULTS: We evaluated the
clinicopathological characteristics of MPTs received by the Vanderbilt Breast
Consultation Service containing components resembling well-differentiated or
pleomorphic liposarcoma. Cases with available tissue blocks were subjected to
fluorescence in-situ hybridization with MDM2 and CDK4 probes. Thirty-eight MPTs
with liposarcomatous components were available for review. The mean patient age
was 49.8 years (range 26-84 years). In addition to well-differentiated
liposarcoma, the following components were also present: high-grade
undifferentiated sarcoma (n = 9; 23.7%), pleomorphic liposarcoma (n = 4; 10.5%),
non-high-grade sarcoma not otherwise specified (n = 22; 57.9%), and malignant
peripheral nerve sheath tumour-like (n = 2; 5.2%). Among 10 cases tested, none
showed amplification of MDM2 or CDK4. CONCLUSIONS: This study examined molecular
changes in the well-differentiated liposarcomatous components of MPT. Despite
histological similarity to well-differentiated liposarcoma of soft tissues,
liposarcomatous differentiation in MPT lacks the molecular phenotype
characteristic of extramammary well-differentiated liposarcoma.
PMID- 26542424
TI - Backbone and side-chain NMR assignments for the bromodomain of mouse BAZ1A
(ACF1).
AB - BAZ1A, a non-catalytic subunit of the chromatin remodeler complexes ACF and
CHRAC, is thought to modulate the ATPase's activity of the complexes and
participate in gene transcription, DNA damage checkpoint and double-strand break
repair. Recently, the essential role of BAZ1A in mouse male fertility has also
been reported. BAZ1A contains one C-terminal bromodomain, which specifically
recognizes acetylation of lysine. Here, we report the backbone and side chain
(1)H, (13)C and (15)N resonance assignment of the mouse BAZ1A-bromodomain, as a
basis for further functional studies and structure determination.
PMID- 26542422
TI - Interaction between SLC6A4 promoter variants and childhood trauma on the age at
onset of bipolar disorders.
AB - Age at onset (AAO) of bipolar disorders (BD) could be influenced both by a repeat
length polymorphism (5HTTLPR) in the promoter region of the serotonin transporter
gene (SLC6A4) and exposure to childhood trauma. We assessed 308 euthymic patients
with BD for the AAO of their first mood episode and childhood trauma. Patients
were genotyped for the 5HTTLPR (long/short variant) and the rs25531. Genotypes
were classified on functional significance (LL, LS, SS). A sample of 126
Brazilian euthymic patients with BD was used for replication. In the French
sample, the correlation between AAO and trauma score was observed only among 'SS'
homozygotes (p = 0.002) but not among 'L' allele carriers. A history of at least
one trauma decreased the AAO only in 'SS' homozygotes (p = 0.001). These results
remained significant after correction using FDR. Regression models suggested an
interaction between emotional neglect and 'SS' genotype on the AAO (p = 0.009)
and no further interaction with other trauma subtypes. Partial replication was
obtained in the Brazilian sample, showing an interaction between emotional abuse
and 'LS' genotype on the AAO (p = 0.02). In conclusion, an effect of childhood
trauma on AAO of BD was observed only in patients who carry a specific stress
responsiveness-related SLC6A4 promoter genotype.
PMID- 26542426
TI - Letter from the guest editors: pitfalls in abdominal imaging: part 1.
PMID- 26542425
TI - PredyCLU: a prediction system for chronic leg ulcers based on fuzzy logic; part I
- exploring the venous side.
AB - Chronic leg ulcers (CLUs) are a common occurrence in the western population and
are associated with a negative impact on the quality of life of patients. They
also cause a substantial burden on the health budget. The pathogenesis of leg
ulceration is quite heterogeneous, and chronic venous ulceration (CVU) is the
most common manifestation representing the main complication of chronic venous
disease (CVD). Prevention strategies and early identification of the risk
represent the best form of management. Fuzzy logic is a flexible mathematical
system that has proved to be a powerful tool for decision-making systems and
pattern classification systems in medicine. In this study, we have elaborated a
computerised prediction system for chronic leg ulcers (PredyCLU) based on fuzzy
logic, which was retrospectively applied on a multicentre population of 77
patients with CVD. This evaluation system produced reliable risk score patterns
and served effectively as a stratification risk tool in patients with CVD who
were at the risk of developing CVUs.
PMID- 26542427
TI - Pitfalls in adrenal imaging.
PMID- 26542428
TI - Pitfalls in imaging of female infertility.
PMID- 26542429
TI - Pitfalls in imaging for advanced ovarian cancer.
PMID- 26542431
TI - Mimics of hepatic neoplasms.
PMID- 26542430
TI - Common technical and anatomical pitfalls in the evaluation of multiparametric
prostate magnetic resonance imaging.
PMID- 26542432
TI - Pitfalls in pancreatic imaging.
PMID- 26542433
TI - Pitfalls in imaging after gastrointestinal surgery.
PMID- 26542434
TI - AMP-Conjugated Quantum Dots: Low Immunotoxicity Both In Vitro and In Vivo.
AB - Quantum dots (QDs) are engineered nanoparticles that possess special optical and
electronic properties and have shown great promise for future biomedical
applications. In this work, adenosine 5'-monophosphate (AMP), a small
biocompatible molecular, was conjugated to organic QDs to produce hydrophilic AMP
QDs. Using macrophage J774A.1 as the cell model, AMP-QDs exhibited both prior
imaging property and low toxicity, and more importantly, triggered limited innate
immune responses in macrophage, indicating low immunotoxicity in vitro. Using
BALB/c mice as the animal model, AMP-QDs were found to be detained in immune
organs but did not evoke robust inflammation responses or obvious
histopathological abnormalities, which reveals low immunotoxicity in vivo. This
work suggests that AMP is an excellent surface ligand with low immunotoxicity,
and potentially used in surface modification for more extensive nanoparticles.
PMID- 26542435
TI - Sample injection and electrophoretic separation on a simple laminated paper based
analytical device.
AB - We described a strategy to perform multistep operations on a simple laminated
paper-based separation device by using electrokinetic flow to manipulate the
fluids. A laminated crossed-channel paper-based separation device was fabricated
by cutting a filter paper sheet followed by lamination. Multiple function units
including sample loading, sample injection, and electrophoretic separation were
integrated on a single paper based analytical device for the first time, by
applying potential at different reservoirs for sample, sample waste, buffer, and
buffer waste. As a proof-of-concept demonstration, mixed sample solution
containing carmine and sunset yellow were loaded in the sampling channel, and
then injected into separation channel followed by electrophoretic separation, by
adjusting the potentials applied at the four terminals of sampling and separation
channel. The effects of buffer pH, buffer concentration, channel width, and
separation time on resolution of electrophoretic separation were studied. This
strategy may be used to perform multistep operations such as reagent dilution,
sample injection, mixing, reaction, and separation on a single microfluidic paper
based analytical device, which is very attractive for building micro total
analysis systems on microfluidic paper based analytical devices.
PMID- 26542436
TI - Effect of Intercurrent Operation and Cerebral Oxygenation on Developmental
Trajectory in Congenital Heart Disease.
AB - BACKGROUND: Children with congenital heart disease are at increased risk of
abnormal neurodevelopment (ND). Demographic and perioperative physiologic factors
have both been associated with developmental outcome. The acute physiologic
effect of a surgical procedure, anesthesia, and hospitalization may offset any
potential advantage gained from anatomic correction and circulatory palliation.
The specific risk/benefit balance on ND outcome of the insult of the operation,
offset by the benefit of improved anatomy and physiology, has not been addressed.
We therefore sought to identify interval procedural and physiologic factors
assessed at outpatient ND evaluation visits that were associated with outcome.
METHODS: The study included children with congenital heart disease at high risk
for impaired ND performance with at least three ND assessments using the Bayley
Scales of Infant Development-III during the first 3 years of life. The number of
cardiac procedures, duration of hospitalization, feeding status, height, weight,
and arterial, cerebral, and somatic oxygen saturations by near-infrared
spectroscopy were recorded at each visit and used as predictors of language,
motor, and cognitive composite scores and slopes (change over time) in general
linear models. RESULTS: Data on 178 children derived from 632 visits (median, 4
visits/child) were analyzed, with ages at first and last assessment of 7.7 and
30.2 months. Fifty-one had 1 ventricle (1V), 88 had 2 ventricles, and 39 had
genetic syndrome conditions. Motor performance increased with age in all
diagnostic categories. Cognitive and language performance increased with age in
1V patients but exhibited no significant change in 2-ventricle and genetic
syndrome groups. At the first visit, 1V patients performed less well than 2
ventricle patients in the motor domain, but the rate of improvement was higher
for 1V patients; by 24 months, there were no differences, and both groups were
normal in all domains. Performance in genetic syndrome patients was below normal
in all domains at the first visit and did not improve. Higher arterial saturation
and narrower arterial-cerebral and arterial-somatic near-infrared spectroscopy
saturation differences were associated with better or improving motor
performance. Incremental cardiopulmonary bypass time, cumulative hospital length
of stay, and tube feedings were risk factors in all domains. Total and
incremental times for deep hypothermic circulatory arrest, extracorporeal
membrane oxygenation, total open and total surgical procedures, and birth weight
were not risk factors. CONCLUSIONS: Patient physiologic status assessed by
cerebral and somatic near-infrared spectroscopy is associated with ND
performance. Incremental surgical procedures are not associated with ND
performance when adjusted for cardiopulmonary bypass time and physiologic status.
Treatment strategies that target improved physiologic status may also improve ND
outcome.
PMID- 26542437
TI - Penetration, Completeness, and Representativeness of The Society of Thoracic
Surgeons Adult Cardiac Surgery Database.
AB - BACKGROUND: The Society of Thoracic Surgeons (STS) Adult Cardiac Surgery Database
(ACSD) has been successfully linked to the Centers for Medicare and Medicaid
(CMS) Medicare database, thereby facilitating comparative effectiveness research
and providing information about long-term follow-up and cost. The present study
uses this link to determine contemporary completeness, penetration, and
representativeness of the STS ACSD. METHODS: Using variables common to both STS
and CMS databases, STS operations were linked to CMS data for all CMS coronary
artery bypass graft (CABG) surgery hospitalizations discharged between 2000 and
2012, inclusive. For each CMS CABG hospitalization, it was determined whether a
matching STS record existed. RESULTS: Center-level penetration (number of CMS
sites with at least one matched STS participant divided by the total number of
CMS CABG sites) increased from 45% in 2000 to 90% in 2012. In 2012, 973 of 1,081
CMS CABG sites (90%) were linked to an STS site. Patient-level penetration
(number of CMS CABG hospitalizations done at STS sites divided by the total
number of CMS CABG hospitalizations) increased from 51% in 2000 to 94% in 2012.
In 2012, 71,634 of 76,072 CMS CABG hospitalizations (94%) occurred at an STS
site. Completeness of case inclusion at STS sites (number of CMS CABG cases at
STS sites linked to STS records divided by the total number of CMS CABG cases at
STS sites) increased from 88% in 2000 to 98% in 2012. In 2012, 69,213 of 70,932
CMS CABG hospitalizations at STS sites (98%) were linked to an STS record.
CONCLUSIONS: Linkage of STS and CMS databases demonstrates high and increasing
penetration and completeness of the STS database. Linking STS and CMS data
facilitates studying long-term outcomes and costs of cardiothoracic surgery.
PMID- 26542438
TI - Oncologic Outcomes of Segmentectomy Versus Lobectomy for Clinical T1a N0 M0 Non
Small Cell Lung Cancer.
AB - BACKGROUND: We retrospectively compared the oncologic outcome after segmentectomy
versus lobectomy in patients with clinical (c-) T1a N0 M0 non-small cell lung
cancer (NSCLC) detected as a part-solid ground-glass nodule or purely solid
nodule on thin-section computed tomography. METHODS: From 1997 to 2010, 312
patients with c-T1a N0 M0 NSCLC were determined to require a surgical approach
categorized as segmentectomy or lobectomy. Preoperatively available data were
collected using logistic regression analysis, and propensity matching was
performed. Factors affecting local-regional recurrence were assessed by Cox
proportional hazards regression analysis and Kaplan-Meier estimates. RESULTS: The
5-year and 10-year overall survival rates for the 80 patients who underwent
segmentectomy were 97.5% and 83.5%, respectively, compared with 87.75% and 75.0%,
respectively, for the 232 patients who underwent lobectomy (p = 0.019). Local
regional recurrence as the first relapse site was found in 3 the 80
segmentectomies (3.8%) of and in 15 of the 232 lobectomies (6.5%). The difference
in local-regional recurrence-free survival in patients undergoing segmentectomy
compared with lobectomy was not significant (p = 0.304). In 69 propensity score
matched pairs, there was no significant difference in the overall survival (p =
0.442) or local-regional recurrence-free survival (p = 0.717) between the two
groups. Multivariate analysis using the Cox proportional hazards regression model
identified lymphatic invasion as the only independent factor predicting local
regional recurrence (relative risk, 10.764; 95% confidence interval, 2.98 to
57.68). CONCLUSIONS: Our results suggest that the oncologic outcome of
segmentectomy vs lobectomy is similar in this cohort of c-T1a N0 M0 NSCLC
patients. These results will be validated by large-scale, prospective, randomized
trials.
PMID- 26542440
TI - Abstracts of the 24th Malaysian Urological Conference MUC 2015, 19 November 2015,
Malaysia.
PMID- 26542439
TI - Aggressive Aortic Arch and Carotid Replacement Strategy for Type A Aortic
Dissection Improves Neurologic Outcomes.
AB - BACKGROUND: International registries for acute type A aortic dissection (TAAD)
demonstrate stagnant operative mortality rates in excess of 20% and stroke rates
of 9% to 25%, with little global emphasis on stroke reduction or carotid
involvement. Cerebral malperfusion with TAAD has been linked to poorer outcome.
We hypothesize that concomitant carotid dissection or complex dissection flaps in
the arch play a major role in stroke development and that aggressive
reconstruction of the arch and carotid arteries can improve neurologic outcomes
in TAAD. METHODS: A standardized protocol focused on expedient care,
neurocerebral protection, and common carotid and total arch reconstruction was
developed for 264 consecutive TAADs. Arch and complete carotid replacement was
based on arch dissection anatomy, carotid involvement, or an intraarch tear.
Neurocerebral monitoring with continuous electroencephalogram/somatosensory
evoked potentials was used in all cases. RESULTS: The postoperative stroke and
hospital mortality rates were 3.4% and 9.1%, and stroke rates by extent of arch
replacement were 4%, 3%, and 0% for hemiarch, total arch, and total arch with
complete carotid replacement, respectively. An intraoperative change in the
electroencephalogram/somatosensory evoked potentials was strongly predictive of
stroke and had a negative predictive value of 98.2%. CONCLUSIONS: An algorithmic
approach to TAAD including (1) rapid transport-to-incision-to-cardiopulmonary
bypass established centrally, (2) neurocerebral monitoring, (3) liberal use of
total arch replacement for clearly defined indications (and hemiarch for all
others), and (4) common carotid arterial replacement for concomitant carotid
dissections significantly improves outcomes.
PMID- 26542442
TI - Can they do it? Comparing the views of pharmacists and technicians to the
introduction of an advanced technician role.
AB - OBJECTIVES: This study aimed to investigate the opinions of pharmacists and
technicians regarding the ability of New Zealand technicians to take on an
advanced checking technician role. METHODS: A survey was developed to investigate
the opinions regarding the introduction of this new role. The questions covered
are: perceived ability to take on the role, training requirements and competence.
Surveys were sent to pharmacists whose contact details are available for research
purposes (n = 2095) and to all pharmacies in New Zealand (both community and
hospital) for the attention of technicians (n = 858). The results were analysed
using IBM SPSS and thematic analysis of comments was conducted. KEY FINDINGS: A
total of 1221 surveys were returned (pharmacists = 736 and technicians = 485).
The majority of the technicians (83%) believed that 'some' technicians could work
in a CT role, compared with 73% of the pharmacists. Over two-thirds (69%) of the
technicians felt comfortable checking a prescription at their current level of
training compared with 53% of pharmacists. Both groups' comfort increased with
further specific training for the technicians. CONCLUSIONS: The majority of both
of these groups supported the change in roles. Pharmacists were less confident
that technicians could take on this role now, but were more comfortable after
extra training had been completed. The technicians, however, were confident they
could take on this role now but would take on extra training if needed.
PMID- 26542445
TI - Introduction to selected papers from GIW/InCoB 2015.
PMID- 26542444
TI - Epidemiological and clinical characteristics of severe fever with
thrombocytopenia syndrome (SFTS) in China: an integrated data analysis.
AB - Severe fever with thrombocytopenia syndrome (SFTS) is an emerging infectious
disease that was caused by a novel bunyavirus, SFTSV. The study aimed to disclose
the epidemiological and clinical characteristics of SFTSV infection in China so
far. An integrated clinical database comprising 1920 SFTS patients was
constructed by combining first-hand clinical information collected from SFTS
sentinel hospitals (n = 1159) and extracted data (n = 761) from published
literature. The considered variables comprised clinical manifestations, routine
laboratory tests of acute infection, hospitalization duration and disease
outcome. SFTSV-IgG data from 19 119 healthy subjects were extracted from the
published papers. The key clinical variables, case-fatality rate (CFR) and
seroprevalence were estimated by meta-analysis. The most commonly seen clinical
manifestations of SFTSV infection were fever, anorexia, myalgia, chill and
lymphadenopathy. The major laboratory findings were elevated lactate
dehydrogenase, aminotransferase, followed by thrombocytopenia, lymphocytopenia,
elevated alanine transaminase and creatine kinase. A CFR of 12.2% was estimated,
significantly higher than that obtained from national reporting data, but showing
no geographical difference. In our paper, the mortality rate was about 1.9 parts
per million. Older age and longer delay to hospitalization were significantly
associated with fatal outcome. A pooled seroprevalence of 3.0% was obtained,
which increased with age, while comparable for gender. This study represents a
clinical characterization on the largest group of SFTS patients up to now. A
higher than expected CFR was obtained. A wider spectrum of clinical index was
suggested to be used to identify SFTSV infection, while the useful predictor for
fatal outcome was found to be restricted.
PMID- 26542441
TI - Enhanced Photosynthesis and Growth in atquac1 Knockout Mutants Are Due to Altered
Organic Acid Accumulation and an Increase in Both Stomatal and Mesophyll
Conductance.
AB - Stomata control the exchange of CO2 and water vapor in land plants. Thus, whereas
a constant supply of CO2 is required to maintain adequate rates of
photosynthesis, the accompanying water losses must be tightly regulated to
prevent dehydration and undesired metabolic changes. Accordingly, the uptake or
release of ions and metabolites from guard cells is necessary to achieve normal
stomatal function. The AtQUAC1, an R-type anion channel responsible for the
release of malate from guard cells, is essential for efficient stomatal closure.
Here, we demonstrate that mutant plants lacking AtQUAC1 accumulated higher levels
of malate and fumarate. These mutant plants not only display slower stomatal
closure in response to increased CO2 concentration and dark but are also
characterized by improved mesophyll conductance. These responses were accompanied
by increases in both photosynthesis and respiration rates, without affecting the
activity of photosynthetic and respiratory enzymes and the expression of other
transporter genes in guard cells, which ultimately led to improved growth.
Collectively, our results highlight that the transport of organic acids plays a
key role in plant cell metabolism and demonstrate that AtQUAC1 reduce diffusive
limitations to photosynthesis, which, at least partially, explain the observed
increments in growth under well-watered conditions.
PMID- 26542446
TI - EnzDP: improved enzyme annotation for metabolic network reconstruction based on
domain composition profiles.
AB - Determining the entire complement of enzymes and their enzymatic functions is a
fundamental step for reconstructing the metabolic network of cells. High quality
enzyme annotation helps in enhancing metabolic networks reconstructed from the
genome, especially by reducing gaps and increasing the enzyme coverage.
Currently, structure-based and network-based approaches can only cover a limited
number of enzyme families, and the accuracy of homology-based approaches can be
further improved. Bottom-up homology-based approach improves the coverage by
rebuilding Hidden Markov Model (HMM) profiles for all known enzymes. However, its
clustering procedure relies firmly on BLAST similarity score, ignoring protein
domains/patterns, and is sensitive to changes in cut-off thresholds. Here, we use
functional domain architecture to score the association between domain families
and enzyme families (Domain-Enzyme Association Scoring, DEAS). The DEAS score is
used to calculate the similarity between proteins, which is then used in
clustering procedure, instead of using sequence similarity score. We improve the
enzyme annotation protocol using a stringent classification procedure, and by
choosing optimal threshold settings and checking for active sites. Our analysis
shows that our stringent protocol EnzDP can cover up to 90% of enzyme families
available in Swiss-Prot. It achieves a high accuracy of 94.5% based on five-fold
cross-validation. EnzDP outperforms existing methods across several testing
scenarios. Thus, EnzDP serves as a reliable automated tool for enzyme annotation
and metabolic network reconstruction. Available at:
www.comp.nus.edu.sg/~nguyennn/EnzDP .
PMID- 26542447
TI - Electrostatics of DNA nucleotide-carbon nanotube hybrids evaluated from QM:MM
simulations.
AB - Biomolecule-functionalized carbon nanotubes (CNTs) have been studied vastly in
recent years due to their potential applications for instance in cancer
detection, purification and separation of CNTs, and nanoelectronics. Studying the
electrostatic potential generated by a biomolecule-CNT hybrid is important in
predicting its interactions with the surrounding environment such as charged
particles and surfaces. In this paper, we performed atomistic simulations using a
QM:MM approach to evaluate the electrostatic potential and charge transfer for a
hybrid structure formed by a DNA nucleotide and a CNT in solution. Four types of
DNA nucleotides and two CNTs with chiralities of (4,4) and (7,0) were considered.
The types of nucleotides and CNTs were both found to play important roles in the
electrostatic potential and charge transfer of the hybrid. At the same distance
from the CNT axis, the electrostatic potential for the nucleotide-(4,4) CNT
hybrids was found to be stronger compared with that for the nucleotide-(7,0) CNT
hybrids. Higher electric charge was also shown to be transferred from the DNA
nucleotides to the (7,0) CNT compared with the (4,4) CNT. These results correlate
with the previous finding that the nucleotides bound more tightly to the (7,0)
CNT compared with the (4,4) CNT.
PMID- 26542448
TI - Sporadic MM2-thalamic + cortical Creutzfeldt-Jakob disease: Utility of diffusion
tensor imaging in the detection of cortical involvement in vivo.
AB - In sporadic Creutzfeldt-Jakob disease (sCJD), high signal intensity in fluid
attenuated inversion recovery (FLAIR) and diffusion-weighted imaging (DWI)
sequences in striatum and/or cortical regions of the brain are present in about
83% of cases, reflecting tissue damage, such as spongiform change and abnormal
prion protein deposits. Novel diffusion sequences of MRI might improve the
detection of CJD characteristic changes in the subset of patients in whom these
alterations are absent or less evident. We report a neuropathologically confirmed
case of the rare MM2 T + C subtype of sCJD, with mixed clinical and
neuropathological features of MM2 thalamic and MM2 cortical subtypes, in whom the
use of diffusion tensor imaging helped to identify cortical hyperintensities that
could be easily overlooked with conventional DWI.
PMID- 26542449
TI - Two-phase and three-phase liquid-phase microextraction of hydrochlorothiazide and
triamterene in urine samples.
AB - This paper reports the applicability of two-phase and three-phase hollow fiber
based liquid-phase microextraction (HF-LPME) for the extraction of
hydrochlorothiazide (HYD) and triamterene (TRM) from human urine. The HYD in two
phase HF-LPME is extracted from 24 mL of the aqueous sample into an organic phase
with microliter volume located inside the pores and lumen of a polypropylene
hollow fiber as acceptor phase, but the TRM in three-phase HF-LPME is extracted
from aqueous donor phase to organic phase and then back-extracted to the aqueous
acceptor phase, which can be directly injected into HPLC for analysis. Under
optimized conditions preconcentration factors of HYD and TRM were obtained as 128
and 239, respectively. The calibration curves were linear (R(2) >= 0.995) in the
concentration range of 1.0-100 ug/L for HYD and 2.0-100 ug/L for TRM. The limits
of detection for HYD and TRM were 0.5 ug/L. The intra-day and inter-day RSD based
on four replicates were obtained as <=5.8 and <=9.3%, respectively. The methods
were successfully applied for determining the concentration of the drugs in urine
samples. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26542450
TI - Pharmacokinetics of single-dose oral ponazuril in weanling goats.
AB - Ponazuril (toltrazuril sulfone) is a triazine antiprotozoal agent that targets
apicomplexan organisms. Ponazuril may have clinical application in the treatment
of clinical coccidiosis due to Eimeria species in goats, along with other
protozoal infections. To evaluate the absorption, distribution and elimination
characteristics of ponazuril in goats, a sensitive, validated high-pressure
liquid chromatography and mass spectroscopy method for ponazuril in caprine
plasma was developed. After a single oral dose of ponazuril at 10 mg/kg, plasma
samples from seven weanling goats were collected and assayed. Plasma
concentrations of ponazuril in the goats peaked at 36 +/- 13 h post drug
administration at a concentration of 9 +/- 2 MUg/mL. Concentrations declined to
an average of 4.2 +/- 0.8 MUg/mL after 168 h with an average elimination half
life of 129 +/- 72 h post drug administration. This study shows that ponazuril is
relatively well absorbed after a single oral dose in goats. Efficacy trials are
underway to determine clinical efficacy of ponazuril in the treatment of clinical
coccidiosis in goats at 10 mg/kg dosage.
PMID- 26542454
TI - Forced degradation studies of biopharmaceuticals: Selection of stress conditions.
AB - Stability studies under stress conditions or forced degradation studies play an
important role in different phases of development and production of
biopharmaceuticals and biological products. These studies are mostly applicable
to selection of suitable candidates and formulation developments, comparability
studies, elucidation of possible degradation pathways and identification of
degradation products, as well as, development of stability indicating methods.
Despite the integral part of these studies in biopharmaceutical industry, there
is no well-established protocol for the selection of stress conditions, timing of
stress testing and required extent of degradation. Therefore, due to the present
gap in the stability studies guidelines, it is the responsibility of researchers
working in academia and biopharmaceutical industry to set up forced degradation
experiments that could fulfill all the expectations from the stability studies of
biopharmaceuticals under stress conditions. Concerning the importance of the
function of desired stress conditions in forced degradation studies, the present
review aims to provide a practical summary of the applicable stress conditions in
forced degradation studies of biopharmaceuticals according to the papers
published in a time period of 1992-2015 giving detailed information about the
experimental conditions utilized to induce required stresses.
PMID- 26542452
TI - Nuclear PKM2 contributes to gefitinib resistance via upregulation of STAT3
activation in colorectal cancer.
AB - Gefitinib (Iressa, ZD-1839), a small molecule tyrosine kinase inhibitor (TKI) of
the epidermal growth factor receptor (EGFR) pathway, is currently under
investigation in clinical trials for the treatment of colorectal cancer (CRC).
However, as known, some patients develop resistance to TKIs, and the mechanisms
mediating intrinsic resistance to EGFR-TKIs in CRC have not been fully
characterized. Resistance to EGFR inhibitors reportedly involves activation of
signal transducer and activator of transcription 3 (STAT3) in glioma and lung
cancer. Here, we demonstrated that the nuclear pyruvate kinase isoform M2 (PKM2)
levels were positively correlated with gefitinib resistance in CRC cells. The
overexpression of nuclear PKM2 in HT29 cells decreased the effect of gefitinib
therapy, whereas PKM2 knockdown increased gefitinib efficacy. Furthermore, the
activation of STAT3 by nuclear PKM2 was associated with gefitinib resistance.
Inhibition of STAT3 by Stattic, a STAT3-specific inhibitor, or STAT3-specific
siRNA sensitized resistant cells to gefitinib. These results suggest that nuclear
PKM2 modulates the sensitivity of CRC cells to gefitinib and indicate that small
molecule pharmacological disruption of nuclear PKM2 association with STAT3 is a
potential avenue for overcoming EGFR-TKI resistance in CRC patients.
PMID- 26542455
TI - Directed Self-Assembly of Block Copolymer Micelles onto Topographically Patterned
Surface.
AB - We report a facile method to control directed self-assembly (DSA) of spherical
micelles of block copolymers (BCPs) by topographically patterned surface. A
cylinder-forming polystyrene-block-poly(2-vinylpyridine) copolymer [Mn,PS = 175
kg/mol, Mn,P2VP = 70 kg/mol, and polydipersity index (PDI) = 1.08] was phase
separated on a thin film of poly(vinyl alcohol) (PVA) by solvent annealing. By
additional treatment with ethanol as a preferential solvent for P2VP block, the
surface of BCP thin film was reconstructed to produce nanopores. Nanoporous
structures in BCP thin films were transferred to the underlying hydrophilic PVA
film by reactive ion etching (RIE). Then spherical BCP micelles were quickly self
assembled within the nanopores in the PVA layer due to topographical contrast and
surface energy difference during spin-coating. Consequently, the site-selective
array of BCP micelles was utilized as templates to achieve heterogeneous
organization of nanoparticles and organic fluorescent dyes over a large area. In
addition, it was observed that those heterogeneous assemblies showed a remarkable
decrease in fluorescence intensity of organic dyes.
PMID- 26542456
TI - Multiple exaggerated weapon morphs: a novel form of male polymorphism in
harvestmen.
AB - Alternative reproductive tactics in animals are commonly associated with distinct
male phenotypes resulting in polymorphism of sexually selected weapons such as
horns and spines. Typically, morphs are divided between small (unarmed) and large
(armed) males according to one or more developmental thresholds in association
with body size. Here, we describe remarkable weapon trimorphism within a single
species, where two exaggerated weapon morphs and a third morph with reduced
weaponry are present. Male Pantopsalis cheliferoides harvestmen display
exaggerated chelicerae (jaws) which are highly variable in length among
individuals. Across the same body size spectrum, however, some males belong to a
distinct second exaggerated morph which possesses short, broad chelicerae.
Multiple weapon morphs in a single species is a previously unknown phenomenon and
our findings have significant implications for understanding weapon diversity and
maintenance of polymorphism. Specifically, this species will be a valuable model
for testing how weapons diverge by being able to test directly for the
circumstances under which a certain weapon type is favoured and how weapon shape
relates to performance.
PMID- 26542451
TI - Targeting voltage-gated calcium channels in neurological and psychiatric
diseases.
AB - Voltage-gated calcium channels are important regulators of brain, heart and
muscle functions, and their dysfunction can give rise to pathophysiological
conditions ranging from cardiovascular disorders to neurological and psychiatric
conditions such as epilepsy, pain and autism. In the nervous system, calcium
channel blockers have been used successfully to treat absence seizures, and are
emerging as potential therapeutic avenues for pathologies such as pain, Parkinson
disease, addiction and anxiety. This Review provides an overview of calcium
channels as drug targets for nervous system disorders, and discusses potential
challenges and opportunities for the development of new clinically effective
calcium channel inhibitors.
PMID- 26542457
TI - Enhancement of Lumbar Fusion and Alleviation of Adjacent Segment Disc
Degeneration by Intermittent PTH(1-34) in Ovariectomized Rats.
AB - Osteoporosis, which is prevalent in postmenopausal or aged populations, is
thought to be a contributing factor to adjacent segment disc degeneration (ASDD),
and the incidence and extent of ASDD may be augmented by osteopenia. Parathyroid
hormone (PTH) (1-34) has already been shown to be beneficial in osteoporosis,
lumbar fusion and matrix homeostasis of intervertebral discs. However, whether
PTH(1-34) has a reversing or retarding effect on ASDD in osteopenia has not been
confirmed. In the present study, we evaluated the effects of intermittent PTH(1
34) on ASDD in an ovariectomized (OVX) rat model. One hundred 3-month-old female
Sprague-Dawley rats underwent L4 -L5 posterolateral lumbar fusion (PLF) with
spinous-process wire fixation 4 weeks after OVX surgery. Control groups were
established accordingly. PTH(1-34) was intermittently administered immediately
after PLF surgery and lasted for 8 weeks using the following groups (n = 20) (V =
vehicle): Sham+V, OVX+V, Sham+PLF+V, OVX+PLF+V, OVX+PLF+PTH. The fused segments
showed clear evidence of eliminated motion on the fusion-segment based on manual
palpation. Greater new bone formation in histology was observed in PTH-treated
animals compared to the control group. The extent of ASDD was significantly
increased by ovariotomy. Intermittent PTH(1-34) significantly alleviated ASDD by
preserving disc height, microvessel density, relative area of vascular buds,
endplate thickness and the relative area of endplate calcification. Moreover,
protein expression results showed that PTH(1-34) not only inhibited matrix
degradation by decreasing MMP-13, ADAMTS-4 and Col-I, but also promote matrix
synthesis by increasing Col-II and Aggrecan. In conclusion, PTH(1-34), which
effectively improves lumbar fusion and alleviates ASDD in ovariectomized rats,
may be a potential candidate to ameliorate the prognosis of lumbar fusion in
osteopenia.
PMID- 26542458
TI - Review of Environmental Assessment Case Studies Blending Elements of Risk
Assessment and Life Cycle Assessment.
AB - Risk assessment (RA) and life cycle assessment (LCA) are two analytical tools
used to support decision making in environmental management. This study reviewed
30 environmental assessment case studies that claimed an integration,
combination, hybridization, or complementary use of RA and LCA. The focus of the
analysis was on how the respective case studies evaluated emissions of chemical
pollutants and pathogens. The analysis revealed three clusters of similar case
studies. Yet, there seemed to be little consensus as to what should be referred
to as RA and LCA, and when to speak of combination, integration, hybridization,
or complementary use of RA and LCA. This paper provides clear recommendations
toward a more stringent and consistent use of terminology. Blending elements of
RA and LCA offers multifaceted opportunities to adapt a given environmental
assessment case study to a specific decision making context, but also requires
awareness of several implications and potential pitfalls, of which six are
discussed in this paper. To facilitate a better understanding and more
transparent communication of the nature of a given case study, this paper
proposes a "design space" (i.e., identification framework) for environmental
assessment case studies blending elements of RA and LCA. Thinking in terms of a
common design space, we postulate, can increase clarity and transparency when
communicating the design and results of a given assessment together with its
potential strengths and weaknesses.
PMID- 26542460
TI - Percutaneous cholecystostomy to treat acute cholecystitis in patients with high
risk for surgery.
AB - OBJECTIVE: To evaluate the results of percutaneous cholecystostomy for urgent
treatment of acute cholecystitis, with the aim of identifying factors that
predict survival. To analyze the recurrence of cholecystitis after catheter
withdrawal in patients considered unsuitable candidates for delayed surgery, with
the aim of identifying factors that predict recurrence. MATERIAL AND METHODS: We
reviewed 40 patients who underwent percutaneous cholecystostomy in a two-year
period. We analyzed survival during hospitalization in relation with fever,
abdominal pain, leukocytosis, and C-reactive protein before and after the
procedure. We analyzed the recurrence of cholecystitis after catheter withdrawal
in patients considered unsuitable candidates for delayed surgery, as well as the
influence of obstruction seen on cholangiography, age, sex, and comorbidities on
the recurrence rate. RESULTS: During the hospital stay, 4 (10%) patients died of
septic shock. Cholecystostomy improved fever, leukocytosis, and abdominal pain
within five days of the procedure, but these improvements did not have a
statistically significant effect on survival and were not therefore considered
useful prognostic factors. Among the 15 patients considered unsuitable candidates
for delayed surgery, 6 (40%) had recurrences of cholecystitis during a mean
follow-up period of 6.7 months after catheter withdrawal. We found no association
between recurrence and any of the parameters analyzed. CONCLUSIONS: Outcomes in
our series of patients with high risk for surgery who underwent cholecystostomy
for urgent treatment of acute cholecystitis were similar to those reported in
other series. Withdrawing the catheter in patients considered unsuitable
candidates for delayed surgery is not recommended due to the high risk of
recurrence of cholecystitis in comparison with other series.
PMID- 26542461
TI - Genetic characterization of two gain-of-function alleles of the effector caspase
DrICE in Drosophila.
AB - Caspases are the executioners of apoptosis. Although much is known about their
physiological roles and structures, detailed analyses of missense mutations of
caspases are lacking. As mutations within caspases are identified in various
human diseases, the study of caspase mutants will help to elucidate how caspases
interact with other components of the apoptosis pathway and how they may
contribute to disease. DrICE is the major effector caspase in Drosophila required
for developmental and stress-induced cell death. Here, we report the isolation
and characterization of six de novo drICE mutants, all of which carry point
mutations affecting amino acids conserved among caspases in various species.
These six mutants behave as recessive loss-of-function mutants in a homozygous
condition. Surprisingly, however, two of the newly isolated drICE alleles are
gain-of-function mutants in a heterozygous condition, although they are loss-of
function mutants homozygously. Interestingly, they only behave as gain-of
function mutants in the presence of an apoptotic signal. These two alleles carry
missense mutations affecting conserved amino acids in close proximity to the
catalytic cysteine residue. This is the first time that viable gain-of-function
alleles of caspases are described in any intact organism and provides a
significant exception to the expectation that mutations of conserved amino acids
always abolish the pro-apoptotic activity of caspases. We discuss models about
how these mutations cause the gain-of-function character of these alleles.
PMID- 26542463
TI - Investigation of reference gene expression during human herpesvirus 6B infection
indicates peptidylprolyl isomerase A as a stable reference gene and TATA box
binding protein as a gene up-regulated by this virus.
AB - When using relative gene expression for quantification of RNA it is crucial that
the reference genes used for normalization do not change with the experimental
condition. We aimed at investigating the expressional stability of commonly used
reference genes during Human herpesvirus 6B (HHV-6B) infection. Expression of
eight commonly used reference genes were investigated with quantitative PCR in a
T-cell line infected with HHV-6B. The stability of genes was investigated using
the 2(-DeltaDeltaCT) method and the algorithms BestKeeper, GeNorm and NormFinder.
Our results indicate that peptidylprolyl isomerase A (PPIA) is the most stably
expressed gene while TATA box binding protein (TBP) is the least stably expressed
gene during HHV-6B infection. In a confirmatory experiment, TBP was demonstrated
to be dose and time dependently upregulated by HHV-6B. The stability of PPIA is
in line with other studies investigating different herpesvirus infections whereas
the finding that HHV-6B significantly upregulates TBP is novel and most likely
specific to HHV-6B.
PMID- 26542462
TI - p38 MAPK mediates glial P2X7R-neuronal P2Y1R inhibitory control of P2X3R
expression in dorsal root ganglion neurons.
AB - BACKGROUND: We have previously shown that endogenously active purinergic P2X7
receptors (P2X7Rs) in satellite glial cells of dorsal root ganglia (DRGs)
stimulate ATP release. The ATP activates P2Y1Rs located in the enwrapped neuronal
somata, resulting in down-regulation of P2X3Rs. This P2X7R-P2Y1-P2X3R inhibitory
control significantly reduces P2X3R-mediated nociceptive responses. The
underlying mechanism by which the activation of P2Y1Rs inhibits the expression of
P2X3Rs remains unexplored. RESULTS: Examining the effect of the activation of p38
mitogen-activated protein kinase on the expression of P2X3Rs in DRGs, we found
that the p38 activator, anisomycin (Anis), reduced the expression of P2X3Rs.
Blocking the activity of SGCs by the glial Krebs cycle inhibitor, fluorocitrate,
did not change the effect of Anis. These results suggest that neuronal p38 plays
a major role in the inhibition of P2X3R expression. Western blotting analyses
showed that inhibiting P2Y1Rs by MRS2179 (MRS) or blocking P2X7Rs by either oxATP
or A740003 reduced pp38 and increased P2X3R expression in DRGs. These results are
further supported by the immunohistochemical study showing that P2X7R and P2Y1R
antagonists reduce the percentage of pp38-positive neurons. These observations
suggest that activation of P2X7Rs and P2Y1Rs promotes p38 activity to exert
inhibitory control on P2X3R expression. Since activation of p38 by Anis in the
presence of either A740003 or MRS could overcome the block of P2X7R-P2Y1R
inhibitory control, p38 in DRG neurons is downstream of P2Y1Rs. In addition,
inhibition of p38 by SB202190 was found to prevent the P2X7R and P2Y1R block of
P2X3R expression and increase P2X3R-mediated nociceptive flinch behaviors.
CONCLUSIONS: p38 in DRG neurons downstream of P2Y1R is necessary and sufficient
for the P2X7R-P2Y1R inhibitory control of P2X3R expression.
PMID- 26542464
TI - Should we still use motor vehicle intrusion as a sole triage criterion for the
use of trauma center resources?
AB - BACKGROUND: Motor vehicle intrusion (MVI) is one of the field triage criteria
recommended by the American College of Surgeons Committee of Trauma (ACS-COT) and
Centers for Disease Control and Prevention (CDC). However, the evidence
supporting its validity is scarce. The purpose of this study was to evaluate the
validity of this criterion and assess its impact on overtriage or undertriage.
PATIENTS AND METHODS: This was a retrospective study based on the Los Angeles
County Trauma and Emergency Medicine Information System (TEMIS) Trauma database.
Included in the analysis were patients with MVI as the sole criterion for trauma
center triage. Physiological characteristics, severity of injury, and outcomes of
the MVI patients were compared between different age groups. Further, a logistic
regression model was used to identify factors significantly associated with the
need for trauma center resources. RESULTS: During the period 2002-2012, a total
of 10,554 trauma patients involved in motor vehicle crashes had documentation of
MVI. A subgroup of 3998 patients (37.9%) did not meet any other criteria that
require immediate transportation to a designated trauma center. Only 0.7% of
these patients had hypotension and 0.1% had deterioration of the Glasgow Coma
Scale on admission to the emergency room. Overall, 18.8% of patients required
trauma center resources defined as intubation in the emergency room, certain
surgical procedures, in-hospital death, or intensive care unit admission. Age
>=65 years, male gender, prehospital heart rate >100/min, and systolic blood
pressure <110 mmHg were significantly associated with the need for trauma center
resources. CONCLUSIONS: The MVI itself did not appear to be a strong indicator
for the use of trauma center resources and is associated with excessive
overtriage. However, age >65 years, systolic blood pressure <110 mmHg, and heart
rate >100/min were significant predictors for the need of trauma center
resources. The MVI criterion should be refined for better utilization of trauma
center resources.
PMID- 26542465
TI - Neonatal focal seizures and hypomagnesemia: A case report.
AB - BACKGROUND: In seizures caused by electrolytes disorders, a prompt diagnosis
allows an appropriate treatment and reduces the risk of neurological
complications. Hypomagnesemia is a recognized cause of generalized seizures,
while it has been anecdotally reported in focal forms. CASE PRESENTATION: We
describe a newborn with recurrent focal seizures due to transient hypomagnesemia.
CONCLUSION: Physicians should consider the possible occurrence of such disorder
also in cases of focal seizures.
PMID- 26542466
TI - TECPR2 mutations cause a new subtype of familial dysautonomia like hereditary
sensory autonomic neuropathy with intellectual disability.
AB - BACKGROUND: TECPR2 was first described as a disease causing gene when the
c.3416delT frameshift mutation was found in five Jewish Bukharian patients with
similar features. It was suggested to constitute a new subtype of complex
hereditary spastic paraparesis (SPG49). RESULTS: We report here 3 additional
patients from unrelated non-Bukharian families, harboring two novel mutations
(c.1319delT, c.C566T) in this gene. Accumulating clinical data clarifies that in
addition to intellectual disability and evolving spasticity the main disabling
feature of this unique disorder is autonomic-sensory neuropathy accompanied by
chronic respiratory disease and paroxysmal autonomic events. CONCLUSION: We
suggest that the disease should therefore be classified as a new subtype of
hereditary sensory-autonomic neuropathy. The discovery of additional mutations in
non-Bukharian patients implies that this disease might be more common than
previously appreciated and should therefore be considered in undiagnosed cases of
intellectual disability with autonomic features and respiratory symptoms
regardless of demographic origin.
PMID- 26542467
TI - Measuring the positive psychological well-being of people with rheumatoid
arthritis: a cross-sectional validation of the subjective vitality scale.
AB - INTRODUCTION: People with rheumatoid arthritis (RA) frequently suffer from
compromised physical and psychological health, however, little is known about
positive indicators of health, due to a lack of validated outcome measures. This
study aims to validate a clinically relevant outcome measure of positive
psychological well-being for people with RA. The first study examined the
reliability and factorial validity of the Subjective Vitality Scale (SVS), whilst
study 2 tested the instruments convergent validity. METHODS: In study 1, National
Rheumatoid Arthritis Society members (N = 333; M age = 59.82 years SD = 11.00)
completed a postal questionnaire. For study 2, participants (N = 106; M age = 56
years, SD = 12 years) were those recruited to a randomized control trial
comparing two physical activity interventions who completed a range of health
related questionnaires. RESULTS: The SVS had a high level of internal consistency
(alpha = .93, Rho = .92). Confirmatory factor analysis supported the uni
dimensional factor structure of the questionnaire among RA patients [chi = 1327
(10), CFI = 1.0, SRMSR = .01 and RMSEA = .00 (.00 - .08)]. Support for the scales
convergent validity was revealed by significant (p < .05) relationships, in
expected directions, with health related quality of life (r = .59), physical
function (r = .58), feelings of fatigue (r = -.70), anxiety (r = -.57) and
depression (r = -.73). CONCLUSIONS: Results from two studies have provided
support for the internal consistency, factorial structure and convergent validity
of the Subjective Vitality Scale. Researchers and healthcare providers may employ
this clinically relevant, freely available and brief assessment with the
confidence that it is a valid and reliable measure of positive psychological well
being for RA patients. TRIAL REGISTRATION: ClinicalTrials.gov ISRCTN04121489 .
Registered 5 September 2012.
PMID- 26542468
TI - Developmental assessment of VLBW infants at 18 months of age: A comparison study
between KSPD and Bayley III.
AB - AIM: To assess the developmental characteristics of very low-birth-weight (VLBW)
infants using the Kyoto Scale of Psychological Development (KSPD) and to compare
with those using the Bayley Scales, third edition (Bayley III). METHODS: KSPD and
Bayley III were performed on 124 Japanese VLBW infants at 18months of corrected
age at a 2-week interval by trained psychologists. The relationships between KSPD
and Bayley III in corresponding pairs: Cognitive-Adaptive (C-A) developmental
quotient (DQ) and cognitive composite (Cog) scores, Language-Social (L-S) DQ and
language composite (Lang) scores, and Postural-Motor (P-M) DQ and motor composite
(Mot) scores were analyzed. RESULTS: The means [SD] of C-A DQ, L-S DQ, P-M DQ,
and overall DQ of KSPD were 94 [15], 90 [17], 89 [15], and 93 [14], respectively.
The means [SD] of the Cog, Lang, and Mot scores of Bayley III were 96 [13], 84
[12], and 91 [12], respectively. The DQ of KSPD strongly correlated with the
corresponding composite score of Bayley III; Spearman rank correlations between
the Cog score and C-A DQ, the Lang score and L-S DQ, and the Mot score and P-M DQ
were 0.65, 0.71 and 0.55, respectively. The selected cut-off of the Cog score of
<85 accurately identified development delay, defined by KSPD, with sensitivity of
100% and specificity of 85%. CONCLUSION: Although absolute value of the Bayley
III score may not represent the degree of impairment, the developmental
characteristics on KSPD well correlated with those on Bayley III. The
developmental outcomes of Japanese VLBW infants were verified by the two tests.
PMID- 26542469
TI - Cerebral (18)FluoroDeoxy-Glucose Positron Emission Tomography in paediatric anti
N-methyl-D-aspartate receptor encephalitis: A case series.
AB - BACKGROUND: Anti-N-methyl-D-aspartate receptor (NMDAR) encephalitis is a frequent
and severe cause of encephalitis in children with potential efficient treatment
(immunotherapy). Suggestive clinical features are behavioural troubles, seizures
and movement disorders. Prompt diagnosis and treatment initiation are needed to
guarantee favourable outcome. Nevertheless, diagnosis may be challenging because
of the classical ancillary test (magnetic resonance imaging (MRI),
electroencephalogram, standard cerebro-spinal fluid analysis) have limited
sensitivity. Currently, immunological analyses are needed for the diagnostic
confirmation. In adult patients, some studies suggested a potential role of
cerebral (18)FluoroDeoxy-Glucose Positron Emission Tomography (FDG-PET) in the
evaluation of anti-NMDAR encephalitis. Nevertheless, almost no data exist in
paediatric population. METHOD: We report retrospectively clinical, ancillary
tests and cerebral FDG-PET data in 6 young patients (median age=10.5 years, 4
girls) with immunologically confirmed anti-NMDAR encephalitis. RESULTS: Our
patients presented classical clinical features of anti-NMDAR encephalitis with
severe course (notably four patients had normal MRI). Our series shows the
feasibility and the good sensitivity of cerebral FDG-PET (6/6 patients with brain
metabolism alteration) in paediatric population. We report some particular
features in this population: extensive, symmetric cortical hypometabolism
especially in posterior areas; asymmetric anterior focus of hypermetabolism; and
basal ganglia hypermetabolism. We found also a good correlation between the
clinical severity and the cerebral metabolism changes. Moreover, serial cerebral
FDG-PET showed parallel brain metabolism and clinical improvement. CONCLUSION:
Our study reveals the existence of specific patterns of brain metabolism
alteration in anti-NMDAR encephalitis in paediatric population.
PMID- 26542470
TI - Identifying rapidly parasiticidal anti-malarial drugs using a simple and reliable
in vitro parasite viability fast assay.
AB - BACKGROUND: The emergence of Plasmodium falciparum resistance to artemisinins
threatens to undermine the effectiveness of artemisinin-based combination anti
malarial therapy. Developing suitable drugs to replace artemisinins requires the
identification of new compounds that display rapid parasite killing kinetics.
However, no current methods fully meet the requirements to screen large compound
libraries for candidates with such properties. This study describes the
development and validation of an in vitro parasite viability fast assay for
identifying rapidly parasiticidal anti-malarial drugs. METHODS: Parasite killing
kinetics were determined by first culturing unlabelled erythrocytes with P.
falciparum in the presence of anti-malarial drugs for 24 or 48 h. After removing
the drug, samples were added to erythrocytes pre-labelled with intracellular dye
to allow their subsequent identification. The ability of viable parasites to re
establish infection in labelled erythrocytes could then be detected by two-colour
flow cytometry after tagging of parasite DNA. Thus, double-stained erythrocytes
(with the pre-labelled intracellular dye and the parasite DNA dye) result only
after establishment of new infections by surviving parasites. The capacity of the
test anti-malarial drugs to eliminate viable parasites within 24 or 48 h could,
therefore, be determined. RESULTS: The parasite viability fast assay could be
completed within 48 h following drug treatment and distinguished between rapidly
parasiticidal anti-malarial drugs versus those acting more slowly. The assay was
validated against ten standard anti-malarial agents with known properties and
results correlated well with established methods. An abbreviated assay, suitable
for adaption to medium-high throughput screening, was validated and applied
against a set of 20 compounds retrieved from the publically available Medicines
for Malaria Venture 'Malaria Box'. CONCLUSION: The quantification of new
infections to determine parasite viability offers important advantages over
existing methods, and is amenable to medium-high throughput screening. In
particular, the parasite viability fast assay allows discrimination of rapidly
parasiticidal anti-malarial candidates.
PMID- 26542472
TI - How the cation 1-butyl-3-methylimidazolium impacts the interaction between the
entrapped water and the reverse micelle interface created with an ionic liquid
like surfactant.
AB - The behavior of the interfacial water entrapped in reverse micelles (RMs) formed
by the ionic liquid-like surfactant 1-butyl-3-methylimidazolium 1,4-bis-2
ethylhexylsulfosuccinate (bmim-AOT) dissolved in benzene (or chlorobenzene) was
investigated using noninvasive techniques such as dynamic light scattering (DLS),
static light scattering (SLS), FT-IR and (1)H NMR. The DLS and SLS results reveal
the formation of discrete spherical and non-interacting water droplets stabilized
by the bmim-AOT surfactant. Moreover, since the droplet size increases as the W0
(W0 = [water]/[surfactant]) value increases, water interacts with the RM
interface. From FT-IR and (1)H NMR data, a weaker water-surfactant interaction in
bmim-AOT RMs in comparison with the RMs created by sodium 1,4-bis-2
ethylhexylsulfosuccinate (Na-AOT) is detected. Consequently, there are less water
molecules interacting with the interface in bmim-AOT RMs, and their hydrogen bond
network is not completely disrupted as they are in Na-AOT RMs. The results show
how the nature of the new cation impacts the interaction between the entrapped
water and the RM interface, modifying the interfacial water structure in
comparison with the results known for Na-AOT.
PMID- 26542471
TI - Health evaluation and referral assistant: a randomized controlled trial to
improve smoking cessation among emergency department patients.
AB - BACKGROUND: Computer technologies hold promise for implementing tobacco
screening, brief intervention, and referral to treatment (SBIRT). This study aims
to evaluate a computerized tobacco SBIRT system called the Health Evaluation and
Referral Assistant (HERA). METHODS: Smokers (n = 421) presenting to an emergency
department were randomly assigned to the HERA or a minimal-treatment Control and
were followed for 3 months. Analyses compared smoking cessation treatment
provider contact, treatment initiation, treatment completion, and smoking
behavior across condition using univariable comparisons, generalized estimating
equations (GEE), and post hoc Chi square analyses. RESULTS: HERA participants
were more likely to initiate contact with a treatment provider but did not differ
on treatment initiation, quit attempts, or sustained abstinence. Subanalyses
revealed HERA participants who accepted a faxed referral were more likely to
initiate treatment but were not more likely to stop smoking. CONCLUSIONS: The
HERA promoted initial contact with a smoking cessation provider and the faxed
referral further promoted treatment initiation, but it did not lead to improved
abstinence. TRIAL REGISTRATION: ClinicalTrials.gov number NCT01153373.
PMID- 26542474
TI - Low-dose CT statistical iterative reconstruction via modified MRF regularization.
AB - It is desirable to reduce the excessive radiation exposure to patients in
repeated medical CT applications. One of the most effective ways is to reduce the
X-ray tube current (mAs) or tube voltage (kVp). However, it is difficult to
achieve accurate reconstruction from the noisy measurements. Compared with the
conventional filtered back-projection (FBP) algorithm leading to the excessive
noise in the reconstructed images, the approaches using statistical iterative
reconstruction (SIR) with low mAs show greater image quality. To eliminate the
undesired artifacts and improve reconstruction quality, we proposed, in this
work, an improved SIR algorithm for low-dose CT reconstruction, constrained by a
modified Markov random field (MRF) regularization. Specifically, the edge
preserving total generalized variation (TGV), which is a generalization of total
variation (TV) and can measure image characteristics up to a certain degree of
differentiation, was introduced to modify the MRF regularization. In addition, a
modified alternating iterative algorithm was utilized to optimize the cost
function. Experimental results demonstrated that images reconstructed by the
proposed method could not only generate high accuracy and resolution properties,
but also ensure a higher peak signal-to-noise ratio (PSNR) in comparison with
those using existing methods.
PMID- 26542473
TI - Glucose and insulin modulate sickness responses in male Siberian hamsters.
AB - Mounting a sickness response is an energetically expensive task and requires
precise balancing of energy allocation to ensure pathogen clearance while
avoiding compromising energy reserves. Sickness intensity has previously been
shown to be modulated by food restriction, body mass, and hormonal signals of
energy. In the current study, we tested the hypothesis that sickness intensity is
modulated by glucose availability and an endocrine signal of glucose
availability, insulin. We utilized male Siberian hamsters (Phodopus sungorus) and
predicted that pharmacological induction of glucoprivation with 2-deoxy-d-glucose
(2-DG), a non-metabolizable glucose analog that disrupts glycolysis, would
attenuate energetically expensive sickness symptoms. Alternatively, we predicted
that treatment of animals with insulin would enhance energetically expensive
sickness symptoms, as insulin would act as a signal of increased glucose
availability. Upon experimental treatment with lipopolysaccharide (LPS), we found
that glucose deprivation resulted in increased sickness-induced hypothermia as
compared to control- and insulin-treated animals; however, it did not have any
effects on sickness-induced anorexia or body mass loss. Insulin treatment
resulted in an unexpectedly exaggerated sickness response in animals of lesser
body masses; however, in animals of greater body masses, insulin actually
attenuated sickness-induced body mass loss and had no effects on hypothermia or
anorexia. The effects of insulin on sickness severity may be modulated by
sensitivity to sickness-induced hypoglycemia. Collectively, these results
demonstrate that both glucose availability and signals of glucose availability
can modulate the intensity of energetically expensive sickness symptoms, but
their effects differ among different sickness symptoms and are sensitive to
energetic context.
PMID- 26542475
TI - Argentine tango in Parkinson disease--a systematic review and meta-analysis.
AB - BACKGROUND: Parkinson's Disease (PD) is a neurodegenerative disease with
increasing motor and non-motor symptoms in advanced stages. In addition to
conventional exercise therapy and drug treatment, Argentine Tango (AT) is
discussed as an appropriate intervention for patients to improve physical
functioning and health-related quality of life. This review aimed to summarize
the current research results on the effectiveness of AT for individuals with PD.
METHODS: The global literature search with the search terms "(Parkinson OR
Parkinson's disease) AND tango" was conducted in PubMED, AMED, CAMbase, and
Google Scholar for publications in English and German. There were no limitations
on the study design, year of publication, stage of disease, considered outcome or
the age of participants. RESULTS: Thirteen studies met the inclusion criteria.
These included 9 randomized-controlled trials, one non-randomized trial, two case
studies and one uncontrolled pre-post study. Our meta-analysis revealed
significant overall effects in favor of tango for motor severity measured with
the Unified Parkinson's Disease Rating Scale 3 (ES = -0.62, 95 % CI [-.1.04,
0.21]), balance as measured with the Mini-BESTest (ES = 0.96 [0.60, 1.31]) or
Berg Balance Scale (ES = 0.45 [0.01, 0.90]), and gait with the Timed Up and Go
Test (ES = -.46 [-0.72, -0.20]). However, gait as measured with a 6-Minute Walk
Test did not demonstrate statistical significance (ES = 0.36 [-0.06, 0.77]). For
freezing of gait, no significant effects were observed in favor of AT (ES = 0.16
[-.62, 0.31]). Further, our systematic review revealed a tendency for positive
effects on fatigue, activity participation and Parkinson-associated quality of
life. A limitation of the studies is the small number of participants in each
study (maximum 75). Moreover, most studies are from the same research groups, and
only a few are from other researchers. CONCLUSIONS: Future studies should enroll
more individuals and should also focus on long-term effects. In addition, future
research should address more closely the effects of AT on personal relationships,
the individual social network as well as on aspects of quality of life.
PMID- 26542476
TI - Influence of low ambient temperature on epitympanic temperature measurement: a
prospective randomized clinical study.
AB - BACKGROUND: Epitympanic temperature (Tty) measured with thermistor probes
correlates with core body temperature (Tcore), but the reliability of
measurements at low ambient temperature is unknown. The aim of this study was to
determine if commercially-available thermistor-based Tty reflects Tcore in low
ambient temperature and if Tty is influenced by insulation of the ear. METHODS:
Thirty-one participants (two females) were exposed to room (23.2 +/- 0.4 degrees
C) and low (-18.7 +/- 1.0 degrees C) ambient temperature for 10 min using a
randomized cross-over design. Tty was measured using an epitympanic probe
(M1024233, GE Healthcare Finland Oy) and oesophageal temperature (Tes) with an
oesophageal probe (M1024229, GE Healthcare Finland Oy) inserted into the lower
third of the oesophagus. Ten participants wore ear protectors (Arton 2200, Emil
Lux GmbH & Co. KG, Wermelskirchen, Switzerland) to insulate the ear from ambient
air. RESULTS: During exposure to room temperature, mean Tty increased from 33.4
+/- 1.5 to 34.2 +/- 0.8 degrees C without insulation of the ear and from 35.0 +/
0.8 to 35.5 +/- 0.7 degrees C with insulation. During exposure to low ambient
temperature, mean Tty decreased from 32.4 +/- 1.6 to 28.5 +/- 2.0 degrees C
without insulation and from 35.6 +/- 0.6 to 35.2 +/- 0.9 degrees C with
insulation. The difference between Tty and Tes at low ambient temperature was
reduced by 82% (from 7.2 to 1.3 degrees C) with insulation of the ear.
CONCLUSIONS: Epitympanic temperature measurements are influenced by ambient
temperature and deviate from Tes at room and low ambient temperature. Insulating
the ear with ear protectors markedly reduced the difference between Tty and Tes
and improved the stability of measurements. The use of models to correct Tty may
be possible, but results should be validated in larger studies.
PMID- 26542477
TI - Paediatric palliative care: recommendations for treatment of symptoms in the
Netherlands.
AB - BACKGROUND: Children dying of a life threatening disease suffer a great deal at
the end of life. Symptom control is often unsatisfactory, partly because many
caregivers are simply not familiar with paediatric palliative care. To ensure
that a child with a life-threatening condition receives high quality palliative
care, clinical practice guidelines are needed. The aim of this study is to
improve palliative care for children by making high quality care recommendations
to recognize and relieve symptoms in paediatric palliative care. METHODS: An
extensive search was performed for guidelines and systematic reviews on
paediatric palliative care up to year 2011. An expert panel combined the evidence
with consensus to form recommendations on the treatment of symptoms in paediatric
palliative care. RESULTS: We appraised 21 guidelines and identified 693
potentially eligible articles of which four met our inclusion criteria. None gave
recommendations on the treatment of symptoms in paediatric palliative care. Two
textbooks and an adult palliative care website were eventually our main sources
of evidence. CONCLUSION: Hardly any evidence is available for the treatment of
symptoms in paediatric palliative care. By combining evidence for adult
palliative care and the sparse evidence for paediatric palliative care with
expert opinion we defined a unique set of high quality care recommendations to
relieve symptoms and lessen the suffering of children in palliative care. These
results are an important tool to educate caregivers on how to relieve symptoms in
children in paediatric palliative care.
PMID- 26542478
TI - Resveratrol relieves gestational diabetes mellitus in mice through activating
AMPK.
AB - BACKGROUND: Gestational diabetes mellitus (GDM) is a disease often manifests in
mid to late pregnancy with symptoms including hyperglycemia, insulin resistance
and fetal mal-development. The C57BL/KsJ-Lep (db/+) (db/+) mouse is a genetic GDM
model that closely mimicked human GDM symptoms. Resveratrol (RV) is a naturally
existing compound that has been reported to exhibit beneficial effects in
treating type-2 diabetes. METHODS: In this study, we investigated the effect of
RV on the pregnant db/+ GDM mouse model, and the underlying molecular mechanism.
RESULTS: RV greatly improved glucose metabolism, insulin tolerance and
reproductive outcome of the pregnant db/+ females. Moreover, we found that RV
relieved GDM symptoms through enhancing AMPK activation, which in turn reduced
production and activity of glucose-6-phosphatase in both pregnant db/+ females
and their offspring. CONCLUSIONS: Our findings further supported the potential
therapeutic effect of RV on not only diabetes, but also alleviating GDM.
PMID- 26542479
TI - Giant ribbon-like platelets mimicking microfilaria in a JAK2-positive
myeloproliferative neoplasm.
PMID- 26542480
TI - Persistent clonal chromosomal abnormalities in a chronic myeloid leukemia
patient.
AB - Clonal cytogenetic abnormalities (CCA) in Philadelphia chromosome (Ph)-negative
cells have been reported in a small population of adult chronic myelogenous
leukemia (CML) patients during the clinical course, but CCA in pediatric CML
patients are rarely reported. We herein report the case of an 8-year-old boy from
the onset of CML. Although he had relapse after unrelated bone marrow
transplantation when 9 years old, he has since been in complete molecular
response on imatinib mesylate treatment. Surprisingly, various CCA have been
observed in this patient, including several reciprocal chromosomal translocations
in Ph-negative cells for >12 years. Although dysplasia in the bone marrow cells
was identified, no overt transformation to myelodysplastic syndrome or acute
myeloid leukemia has been observed. The cause of the CCA remains unknown in this
patient, and careful observation is required.
PMID- 26542481
TI - Osteogenesis imperfecta.
AB - Osteogenesis imperfecta is a phenotypically and molecularly heterogeneous group
of inherited connective tissue disorders that share similar skeletal
abnormalities causing bone fragility and deformity. Previously, the disorder was
thought to be an autosomal dominant bone dysplasia caused by defects in type I
collagen, but in the past 10 years discoveries of novel (mainly recessive)
causative genes have lent support to a predominantly collagen-related
pathophysiology and have contributed to an improved understanding of normal bone
development. Defects in proteins with very different functions, ranging from
structural to enzymatic and from intracellular transport to chaperones, have been
described in patients with osteogenesis imperfecta. Knowledge of the specific
molecular basis of each form of the disorder will advance clinical diagnosis and
potentially stimulate targeted therapeutic approaches. In this Seminar, together
with diagnosis, management, and treatment, we describe the defects causing
osteogenesis imperfecta and their mechanism and interrelations, and classify them
into five groups on the basis of the metabolic pathway compromised, specifically
those related to collagen synthesis, structure, and processing; post
translational modification; folding and cross-linking; mineralisation; and
osteoblast differentiation.
PMID- 26542482
TI - Overexpression of uncoupling protein-2 in cancer: metabolic and heat changes,
inhibition and effects on drug resistance.
AB - This paper deals with the role of uncoupling protein-2 (UCP2) in cancer. UCP2 is
overexpressed in cancer. This overexpression results in uncoupling of
mitochondrial oxidative phosphorylation and a shift in production of ATP from
mitochondrial oxidative phosphorylation to cytosolic aerobic glycolysis. UCP2
overexpression results in the following changes. Mitochondrial membrane potential
(Deltapsi(m)) is decreased and lactate accumulates. There is a diminished
production of reactive oxygen species and apoptosis is inhibited post-exposure to
chemotherapeutic agents. There is an increase in heat and entropy production and
a departure from the stationary state of non-cancerous tissue. Uncoupling of
oxidative phosphorylation may also be caused by protonophores and non-steroidal
anti-inflammatory drugs. UCP2 requires activation by superoxide and lipid
peroxidation derivatives. As vitamin E inhibits lipid peroxidation, it might be
expected that vitamin E would act as a chemotherapeutic agent against cancer. A
recent study has shown that vitamin E and another anti-oxidant accelerate cancer
progression. UCP2 is inhibited by genipin, chromane compounds and short
interfering RNAs (siRNA). Genipin, chromanes and siRNA are taken up by both
cancer and non-cancerous cells. Targeting the uptake of these agents by cancer
cells by the enhanced permeability and retention effect is considered. Inhibition
of UCP2 enhances the action of several anti-cancer agents.
PMID- 26542483
TI - Back muscle fatigue of younger and older adults with and without chronic low back
pain using two protocols: A case-control study.
AB - The purpose of this study was to compare back muscle fatigue of younger and older
participants with and without chronic low back pain (CLBP). Twenty participants
without and 20 with nonspecific CLBP participated in this study. Each group
contained 10 younger (50% males; mean age: 31 +/- 6 yrs) and 10 older adults (50%
males; age mean: 71 +/- 7 yrs). Two isometric fatigue protocols were presented
randomly: (1) to maintain the unsupported trunk at the horizontal position while
on a 45 degrees Roman chair for a minute, and (2) to maintain a 10% of body
weight box close to the trunk in the upright position for a minute. Surface
electromyography (EMG) signals from the back (multifidus and iliocostalis) and
one hip (biceps femoris) muscles were recorded bilaterally, and the median
frequency fatigue estimate from linear regression slopes of the EMG time-series
was computed. There were no significant (P > 0.05) age effects, and group-by-age
interaction in both isometric and functional fatigue tasks. However, the CLBP
groups (both younger and old) displayed more back fatigue than people without
CLBP in both fatigue protocols (P < 0.01; effect size varying of d = 0.17-0.32).
This study was sensitive to discriminate that individuals with CLBP did present
significantly more pronounced EMG back fatigue than people without CLBP, in both
younger and older adults. These results have significant clinical implications
for low back pain rehabilitation programs with regard to endurance assessment in
both younger and older.
PMID- 26542484
TI - Hip joint motion and gluteal muscle activation differences between healthy
controls and those with varying degrees of hip osteoarthritis during walking.
AB - PURPOSE: Compare gluteal muscle activation patterns and three-dimensional hip
joint movements among those with severe hip osteoarthritis (OA), moderate OA and
a healthy group during walking. SCOPE: 20 individuals with severe OA, 20 with
moderate OA and 20 healthy individuals were recruited. Three-dimensional hip
motion and surface electromyograms from gluteus maximus and medius were collected
during treadmill walking at a self-selected speed. Angular displacement
characteristics were calculated for three-dimensional hip motions. Principal
component analysis extracted amplitude and temporal features from
electromyographic waveforms. Analysis of Variance models and student t-tests
using Bonferroni corrections determined between group differences in these gait
features (alpha = 0.05). CONCLUSIONS: Sagittal plane hip range of motion was
significantly reduced with increasing severity of OA (p < 0.05) where as frontal
and transverse plane range of motion was reduced in the severe OA group only (p <
0.05). Activation patterns of gluteus medius and maximus did not differ between
the healthy group and those with moderate hip OA (p > 0.05). Individuals with
severe OA walking with more prolonged gluteus maximus activation and prolonged
and less dynamic gluteus medius activation compared to the other two groups (p <
0.05). This study highlights the changing function of the hip joint during
walking with increasing hip OA severity.
PMID- 26542485
TI - Neuromuscular and physiological variables evolve independently when running
immediately after cycling.
AB - During the early period of running after cycling, EMG patterns of the leg are
modified in only some highly trained triathletes. The majority of studies have
analysed muscle EMG patterns at arbitrary, predetermined time points. The purpose
of this study was to examine changes to EMG patterns of the lower limb at
physiologically determined times during the cycle-run transition period to better
investigate neuromuscular adaptations. Six highly trained triathletes completed a
10 m in isolated run (IR), 30 min of rest, then a 20 min cycling procedure,
before a 10 min transition run (C-R). Surface EMG activity of eight lower limb
muscles was recorded, normalised and quantified at four time points. Oxygen
uptake and heart rate values were also collected. Across all muscles, mean (+/-
SD) EMG patterns, demonstrated significant levels of reproducibility for each
participant at all four time points (alpha < 0.05; r = 0.52-0.97). Mean EMG
patterns during C-R correlated highly with the IR patterns (alpha < 0.05). These
results show that EMG patterns during subsequent running are not significantly
affected by prior cycling. However, variability of muscle recruitment activity
does appear to increase during C-R transition when compared to IR.
PMID- 26542486
TI - Bronchiectasis is increasing in the UK, study shows.
PMID- 26542487
TI - Topochemical Transformations of CaX2 (X=C, Si, Ge) to Form Free-Standing Two
Dimensional Materials.
AB - Topochemical transformations of layered materials CaX2 (X=Si, Ge) are the method
of choice for the high-yield synthesis of pristine, defect-free two-dimensional
systems silicane and germanane, which have advanced electronic properties. Based
on solid-state dispersion-corrected calculations, mechanisms for such
transformations are elucidated that provide an in-depth understanding of phase
transition in these layered materials. While formation of such layered materials
is highly favorable for silicane and germanane, a barrier of 1.2 eV in the case
of graphane precludes its synthesis from CaC2 topochemically. The energy penalty
required for distorting linear acetylene into a trans-bent geometry accounts for
this barrier. In contrast it is highly favorable in the heavier analogues,
resulting in barrierless topochemical generation of silicane and germanane.
Photochemical generation of the trans-bent structure of acetylene in its first
excited state (S1 ) can directly generate graphane through a barrierless
condensation. Unlike the buckled structure of silicene, the phase-h of CaSi2 with
perfectly planar silicene layers exhibits the Dirac cones at the high symmetry
points K and H. Interestingly, topochemical acidification of the cubic phase of
calcium carbide is predicted to generate the previously elusive platonic
hydrocarbon, tetrahedrane.
PMID- 26542488
TI - Effect of salt intake on blood pressure in patients receiving antihypertensive
therapy: Shimane CoHRE Study.
AB - BACKGROUND: Salt intake is recognized as an important risk factor for
hypertension in the general population. On the other hand, the availability of
various classes of antihypertensive drugs means that it is generally not
considered crucial to control the salt intake of hypertensive patients. In this
study, we evaluated whether blood pressure (BP) was correlated with 24-hour salt
intake in patients receiving antihypertensive therapy. METHODS: A total of 1496
consecutive participants undergoing health screening examinations were recruited.
Subjects were divided into two groups according to their antihypertensive
medications checked on prescriptions: 1005 subjects without antihypertensive
therapy (untreated subjects) and 491 subjects with antihypertensive therapy
(treated subjects). The 24-hour urinary sodium excretion (24h-uNa), a surrogate
marker for daily salt intake, was estimated with the formula proposed by Tanaka
et al. in 2002. RESULTS: Univariate analysis indicated that 24h-uNa was
positively correlated with the systolic BP of both untreated and treated
subjects. This was confirmed by multiple linear regression analysis after
adjustment for confounding factors (untreated subjects: partial regression
coefficient beta=1.45 +/- 0.26, p<0.001; treated subjects: beta=0.75 +/- 0.27,
p=0.01). Salt intake was also correlated with the pulse pressure in both treated
subjects (beta=0.55 +/- 0.24, p=0.02) and untreated subjects (beta=0.93 +/- 0.19,
p<0.001). CONCLUSION: These results suggest the importance of reducing salt
intake in hypertensive patients on pharmacotherapy, as well as in the general
population. Further studies of hypertensive patients employing 24-h urine
collection are warranted to confirm the present findings.
PMID- 26542489
TI - Is the Mediterranean diet a feasible approach to preserving cognitive function
and reducing risk of dementia for older adults in Western countries? New insights
and future directions.
AB - The rise in the ageing population has resulted in increased incident rates of
cognitive impairment and dementia. The subsequent financial and societal burden
placed on an already strained public health care system is of increasing concern.
Evidence from recent studies has revealed modification of lifestyle and dietary
behaviours is, at present, the best means of prevention. Some of the most
important findings, in relation to the Mediterranean diet (MedDiet) and the
contemporary Western diet, and potential molecular mechanisms underlying the
effects of these two diets on age-related cognitive function, are discussed in
this review. A major aim of this review was to discuss whether or not a MedDiet
intervention would be a feasible preventative approach against cognitive decline
for older adults living in Western countries. Critical appraisal of the
literature does somewhat support this idea. Demonstrated evidence highlights the
MedDiet as a potential strategy to reduce cognitive decline in older age, and
suggests the Western diet may play a role in the aetiology of cognitive decline.
However, strong intrinsic Western socio-cultural values, traditions and norms may
impede on the feasibility of this notion.
PMID- 26542490
TI - Coming to life: The study of out-of-hospital cardiac arrest.
PMID- 26542491
TI - Effects of liraglutide on left ventricular function in patients with ST-segment
elevation myocardial infarction undergoing primary percutaneous coronary
intervention.
AB - BACKGROUND: Several studies have shown that exenatide protects against ischemia
reperfusion injury and improves cardiac function in patients with acute ST
segment elevation myocardial infarction (STEMI). The effects of liraglutide, a
glucagon-like peptide-1 analogue, on STEMI patients remain unclear. We planned to
evaluate the effects of liraglutide on left ventricular function after primary
percutaneous coronary intervention for STEMI. METHODS: A total of 92 patients
were randomized 1:1 to receive either liraglutide or placebo for 7 days. Study
treatment was commenced 30 minutes before intervention (1.8 mg) and maintained
for 7 days after the procedure (0.6 mg for 2 days, 1.2 mg for 2 days, followed by
1.8 mg for 3 days). Eighty-five patients completed the trial. Transthoracic
echocardiography was used to assess left ventricular function. RESULTS: At 3
months, the primary end point, a difference in change of left ventricular
ejection fraction between the two groups was +4.1% (95% CI +1.1% to +6.9%) (P <
.001). There was a tendency for a lower rate of no-reflow in liraglutide group
that did not reach statistical significance (7% vs control group 15%, P = .20).
Liraglutide could significantly improve stress hyperglycemia (P < .05). In
addition, liraglutide elicited favorable changes in markers of inflammation and
endothelial function. CONCLUSION: A short 7-day course of liraglutide in STEMI
patients treated with primary percutaneous coronary intervention is associated
with mild improvement in left ventricular ejection fraction at 3 months.
PMID- 26542492
TI - Effectiveness of cardiac rehabilitation among older patients after acute
myocardial infarction.
AB - BACKGROUND: Guidelines recommend cardiac rehabilitation after acute myocardial
infarction, yet little is known about the impact of cardiac rehabilitation on
medication adherence and clinical outcomes among contemporary older adults. The
optimal number of cardiac rehabilitation sessions is not clear. METHODS: We
linked patients 65years or older enrolled in the Acute Coronary Treatment
Intervention Outcomes Network Registry-Get With the Guidelines (ACTION Registry
GWTG) from January 2007 to December 2010 to Medicare longitudinal claims data to
obtain 1 year follow-up. RESULTS: A total of 11,862 patients participated in
cardiac rehabilitation after acute myocardial infarction, attending a median
number of 26 sessions. Patients attending >=26 sessions were more likely to be
male, had lesser prevalence of comorbid conditions and prior revascularization,
and were more likely to present with ST-segment elevation myocardial infarction,
compared with patients attending 1 to 25 sessions. Among patients with Medicare
Part D prescription coverage, increasing number of cardiac rehabilitation
sessions was associated with improvement in adherence to secondary prevention
medications such as P2Y12 inhibitors and beta-blockers. Each 5-session increase
in participation was associated with lower mortality (adjusted hazard ratio [HR]
0.87, 95% CI 0.83-0.92) and lower overall risk of major adverse cardiac event
(adjusted HR 0.69, 95% CI 0.65-0.73) and death/readmission (adjusted HR 0.79, 95%
CI 0.76-0.83). CONCLUSIONS: In this older patient population, number of cardiac
rehabilitation sessions attended was associated with improved medication
adherence and lower downstream cardiovascular risk in a dose-response
relationship. This provides support for the continued use of cardiac
rehabilitation for older adults and encourages efforts to maximize attendance.
PMID- 26542493
TI - Design and rationale for the Patient and Provider Assessment of Lipid Management
(PALM) registry.
AB - BACKGROUND: Despite improvements in diagnosis and treatment, the prevalence of
hyperlipidemia among adults in the United States remains high. Data are limited
on treatment patterns and patient perceptions of cardiovascular disease risk
since the release of new lipid guidelines. OBJECTIVES: The objectives of the PALM
registry are to assess contemporary patterns of lipid-lowering therapy use among
adults receiving care in a nationally representative cohort of community clinics,
determine consistency of treatment with varying lipid guidelines, identify
factors affecting use of lipid-lowering therapy including patient-reported statin
intolerance, and assess patient and provider knowledge of cardiovascular risk
reduction goals. STUDY DESIGN: The PALM registry will enroll 7,500 patients
likely to be considered for lipid-lowering therapy from 175 cardiology, primary
care, and endocrinology practices across the United States. In this cross
sectional, observational registry, a novel tablet-based platform will be used to
collect patient-reported knowledge, attitudes, and beliefs regarding
cardiovascular risk reduction and lipid management. Chart abstraction and core
laboratory lipid levels will describe current lipid management. Provider surveys
will assess perception of current lipid-lowering goals and barriers to optimal
cardiovascular risk reduction. CONCLUSION: The PALM registry will allow for
better understanding of current practice patterns, patient experiences, and
patient and provider attitudes toward cholesterol management for cardiovascular
disease risk reduction. These data can be used to better understand gaps in care
and design targeted interventions to improve uptake of lipid-lowering therapies
for cardiovascular risk reduction.
PMID- 26542494
TI - Percutaneous left ventricular assist device for high-risk percutaneous coronary
interventions: Real-world versus clinical trial experience.
AB - BACKGROUND: High-risk percutaneous coronary intervention (PCI) supported by
percutaneous left ventricular assist devices offers a treatment option for
patients with severe symptoms, complex and extensive coronary artery disease, and
multiple comorbidities. The extrapolation from clinical trial to real-world
practice has inherent uncertainties. We compared the characteristics, procedures,
and outcomes of high-risk PCI supported by a microaxial pump (Impella 2.5) in a
multicenter registry versus the randomized PROTECT II trial (NCT00562016).
METHODS: The USpella registry is an observational multicenter voluntary registry
of Impella technology. A total of 637 patients treated between June 2007 and
September 2013 were included. Of them, 339 patients would have met enrollment
criteria for the PROTECT II trial. These were compared with 216 patients treated
in the Impella arm of PROTECT II. RESULTS: Compared to the clinical trial,
registry patients were older (70 +/- 11.5 vs 67.5 +/- 11.0 years); more likely to
have chronic kidney disease (30% vs 22.7%), prior myocardial infarction (69.3% vs
56.5%), or prior bypass surgery (39.4% vs. 30.2%); and had similar prevalence of
diabetes, peripheral vascular disease, and prior stroke. Registry patients had
more extensive coronary artery disease (2.2 vs 1.8 diseased vessels) and had a
similar Society of Thoracic Surgeons predicted risk of mortality. At hospital
discharge, registry patients experienced a similar reduction in New York Heart
Association class III to IV symptoms compared to trial patients. Registry
patients had a trend toward lower in-hospital mortality (2.7% vs 4.6, P = .27).
CONCLUSIONS: USpella provides a real-world and contemporary estimation of the
type of procedures and outcomes of high-risk patients undergoing PCI supported by
Impella 2.5. Despite the higher risk of registry patients, clinical outcomes
appeared to be favorable and consistent compared with the randomized trial.
PMID- 26542495
TI - Radial versus femoral access for elderly patients with acute coronary syndrome
undergoing coronary angiography and intervention: insights from the RIVAL trial.
AB - BACKGROUND: Radial access for percutaneous coronary intervention is associated
with lower rates of access site complications and bleeding. However, elderly
patients have more complex vascular anatomy and radial access may be more
challenging in this population. There remains uncertainty regarding the role of
radial access in elderly patients undergoing cardiac catheterization. METHODS AND
RESULTS: The RIVAL trial randomized patients with acute coronary syndromes
undergoing cardiac catheterization to radial versus femoral access. In this
analysis, the rates of access site complications and access site cross-over were
compared across different age groups. Among the 7,021 patients, 1035 (15%) were
>=75 years of age. Across all age categories, radial access was consistently
associated with higher rates of access site cross over and lower rates of major
access site complications, with no significant interaction between age and access
site. Radial access was associated with lower rates of major vascular access site
complications in patients >=75 years of age (3.6% vs 6.6%; P = .03) and in
patients <75 years of age (1.0% vs 3.2%; P < .001; P value for interaction = .2).
The rates of access site crossover were higher with radial access among patients
>=75 (12.5% vs 2.6%; P < .001) and <75 (6.7% vs 1.9%; P < .001; P value for
interaction = .9). There were no significant differences in the primary composite
outcome (death, myocardial infarction, stroke or non coronary artery bypass graft
major bleeding) or its individual components in either age group. In patients
>=75 years of age undergoing primary percutaneous coronary intervention, there
was no significant difference in procedure time (120 vs 115 minutes; P = .3).
CONCLUSIONS: Consistent with the overall RIVAL trial population, elderly patients
undergoing cardiac catheterization have lower rates of major bleeding or access
site complications and higher rates of access site crossover with radial access
compared to femoral access.
PMID- 26542496
TI - Psychosocial characteristics and outcomes in patients with left ventricular
assist device implanted as destination therapy.
AB - BACKGROUND: Psychosocial factors impact survival in patients undergoing cardiac
transplantation, but it is unclear whether they affect outcomes in patients
undergoing left ventricular assist device (LVAD) implantation as destination
therapy (DT). METHODS: Patients undergoing DT LVAD at the Mayo Clinic in
Rochester, MN, from February 2007 to December 2013 were included. Psychosocial
characteristics at the time of LVAD implantation were abstracted from the medical
record. Andersen-Gill and Cox models were used to examine the association between
psychosocial characteristics and all-cause readmission and death, respectively.
Patients were censored at death or last follow-up through September 2014.
RESULTS: Among 136 patients (mean age. 64 years; 17% female), most were
married/living with a partner (82%), half (55%) had post-high school education,
and a history of depression was common (32%). Although most patients were former
tobacco users (60%) only a small proportion were current tobacco users (10%) and
had a history of alcohol abuse (16%) or illegal drug use (7%). After a mean
follow-up of 2.2 +/- 1.8 years, 78% of patients had been readmitted (range, 0-14
per person) and 49% had died. There were no statistically significant differences
in the risk of death according to psychosocial characteristics. However, current
tobacco users had lower risk of readmission (adjusted HR, 0.57; 95% CI, 0.38
0.88), while illegal drug use (HR, 1.55; 95% CI, 1.01-2.35) and depression (HR,
1.77; 95% CI, 1.40-2.22) were associated with higher readmission risk.
CONCLUSIONS: Psychosocial characteristics are not significant predictors of death
but are associated with readmission risk after DT LVAD.
PMID- 26542497
TI - Type 1 and type 2 diabetes mellitus and risk of acute kidney injury after
coronary artery bypass grafting.
AB - BACKGROUND: Our objective was to investigate the association between type 1
diabetes mellitus (T1DM), type 2 diabetes mellitus (T2DM), and acute kidney
injury (AKI) in patients who underwent coronary artery bypass grafting (CABG).
METHODS: We included all patients (n = 36,106) from the SWEDEHEART register who
underwent primary isolated CABG in Sweden from 2003 to 2013. Information on type
of diabetes was retrieved from the Swedish National Diabetes Register. Acute
kidney injury was defined as an absolute increase by 0.3 mg/dL (26 MUmol/L) or a
relative increase by at least 50% in postoperative serum creatinine compared with
preoperative levels. Odds ratios with 95% CIs for AKI in patients with T1DM and
T2DM were compared with those patients without diabetes using logistic
regression. RESULTS: In total, there were 457 patients (1.3%) with T1DM and 5124
(14%) with T2DM. Among patients with T1DM and T2DM, 145 (32%) and 1037 (20%),
respectively, developed AKI, compared with 4017 (13%) in patients without
diabetes. The adjusted odds ratio for AKI was 4.89 (95% CI 3.82-6.25) in patients
with T1DM and 1.27 (95% CI 1.16-1.40) in patients with T2DM, in comparison with
patients without diabetes. CONCLUSIONS: Both T1DM and T2DM were associated with
an increased risk of AKI after CABG. The risk was markedly higher in patients
with T1DM than in those with T2DM and was independent of preoperative renal
function.
PMID- 26542498
TI - Cluster randomized controlled trial of Delayed Educational Reminders for Long
term Medication Adherence in ST-Elevation Myocardial Infarction (DERLA-STEMI).
AB - BACKGROUND: Discontinuation of guideline-recommended cardiac medications post-ST
elevation myocardial infarction (STEMI) is common and associated with increased
mortality. DERLA-STEMI tested an intervention to improve long-term adherence to
cardiac medications post-STEMI. METHODS AND RESULTS: Between September 2011 and
December 2012, STEMI patients from one health region in Ontario, who underwent an
angiogram during their admission and survived to discharge, were cluster
randomized (by primary care provider) to intervention or control. The
intervention was an automated system of personalized, educational-reminders sent
to the patient and their family physician, urging long-term use of secondary
prevention medications. Interventions were mailed at 1, 2, 5, 8, and 11 months
after discharge. A total of 852 eligible participants were randomized to
intervention (n = 424, 287 clusters) and control (n = 428, 295 clusters); 87%
completed a 12-month follow-up. The primary outcome, defined as the proportion of
participants taking (persistence) all 4-cardiovascular medication classes
(acetylsalicylic acid, angiotensin blockers, statin, and beta-blocker) at 12
months, was 58.4% (intervention) and 58.9% (control; adjusted odds ratio 1.03,
95% CI 0.77-1.36). Medication adherence, as assessed by the Morisky Medication
Adherence Score, was statistically significantly better in the intervention group
as compared with control (65.3% vs 58.0%, adjusted odds ratio 1.35, 95% CI 1.01
1.81). CONCLUSION: The results suggest suboptimal use of 4 of 4 cardiac
medication classes at 12 months. There was no significant difference compared
with usual care in the persistence to guideline-recommended medications post
STEMI when participants (and their family physicians) receive repeated postal
reminders.
PMID- 26542499
TI - Real-time physiologic biomarker for prediction of atrial fibrillation recurrence,
stroke, and mortality after electrical cardioversion: A prospective observational
study.
AB - BACKGROUND: Left atrial appendage emptying flow velocity (LAAEV) depends largely
on left atrioventricular compliance and may play a role in mediating the
perpetuation of atrial fibrillation (AF) and AF-related outcomes. METHODS: We
identified 3,251 consecutive patients with sustained AF undergoing first-time
successful transesophageal echocardiography (TEE)-guided electrical cardioversion
who were enrolled in a prospective registry between May 2000 and March 2012. Left
atrial appendage emptying flow velocity was stratified into quartiles: <=20.2,
20.3-33.9, 34-49.9, and >=50 cm/s. Multivariate Cox regression models were used
to identify independent predictors of AF recurrence, ischemic stroke, and all
cause mortality. RESULTS: The mean (SD) age was 69 (12.6) years and 67% were men.
Compared with the fourth quartile, patients in the first-third quartiles were
significantly older, had higher CHA2DS2-VASc (congestive heart failure,
hypertension, age >=75 years, diabetes mellitus, stroke/transient ischemic attack
[TIA], vascular disease, age 65-74 years, sex category) scores, greater frequency
of atrial spontaneous echo contrast, and AF of longer duration. Kaplan-Meier
analysis showed a decreased probability of event-free survival with decreasing
quartiles of LAAEV. Five-year cumulative event rates across first-fourth
quartiles were 83%, 80%, 73%, and 73% (P < .001) for first AF recurrence; 7.5%,
7.0%, 4.1%, and 4.0%, for stroke (P = .01); and 31.3%, 26.1%, 24.1%, and 19.4%,
for mortality (P < .001), respectively. Multivariate Cox regression analysis
revealed an independent association of the first and second quartiles with AF
recurrence (P < .001 and P < .001, respectively) and stroke (P = .03, and P =
.04, respectively), and of the first quartile with mortality (P = .003).
CONCLUSIONS: Patients with decreased LAAEV have an increased risk of AF
recurrence, stroke, and mortality after successful electrical cardioversion. Real
time measurement of LAAEV by TEE may be a useful physiologic biomarker for
individualizing treatment decisions in patients with AF.
PMID- 26542500
TI - Prediabetes and the association with unrecognized myocardial infarction in the
multi-ethnic study of atherosclerosis.
AB - BACKGROUND: With one-quarter of initial myocardial infarctions (MI) being
unrecognized MI (UMI), recognition is critical to minimize further cardiovascular
risk. Diabetes mellitus is an established risk factor for UMI. If impaired
fasting glucose (IFG) also increased the risk for UMI, it would represent a
significant public health challenge due to the rapid worldwide increase in IFG
prevalence. We compared participants with IFG to those with normal fasting
glucose (NFG) to determine if IFG was associated with UMIs. METHODS: We performed
cross-sectional analyses from the MESA, a population-based cohort study. There
were 6,814 participants recruited during July 2000 to September 2002 from the
general community at 6 field sites. After excluding those with diabetes mellitus
or missing variables, 5,885 participants were included. At baseline, there were
4,955 participants with NFG and 930 participants with IFG. The main outcome was
an UMI defined by the presence of pathological Q waves or minor Q waves with ST-T
abnormalities on initial 12-lead electrocardiogram. Logistic regression was used
to generate crude ORs and adjust for covariates. RESULTS: There was a higher
prevalence of UMI in those with IFG compared with those with NFG [3.5% (n = 72)
vs 1.4% (n = 30)]. After adjustment for multiple risk factors, there was a higher
odds of an UMI among those with IFG compared with those with NFG [OR: 1.60 (95%
CI: 1.0-2.5); P = .048]. CONCLUSIONS: Impaired fasting glucose is associated with
unrecognized myocardial infarctions in a multi-ethnic population free of baseline
cardiovascular disease.
PMID- 26542501
TI - A randomized, double-blind, placebo-controlled trial to evaluate the safety and
effectiveness of intracoronary application of a novel bioabsorbable cardiac
matrix for the prevention of ventricular remodeling after large ST-segment
elevation myocardial infarction: Rationale and design of the PRESERVATION I
trial.
AB - Postinfarction left ventricular (LV) remodeling can result in chronic heart
failure and functional impairment. Although pharmacological strategies for
established heart failure can be beneficial, preventing remodeling remains a
challenge. Injectable bioabsorbable alginate or "bioabsorbable cardiac matrix"
(BCM), composed of an aqueous mixture of sodium alginate and calcium gluconate,
is a sterile colorless liquid that is a polysaccharide polymer produced from
brown seaweed. When exposed to excess ionized calcium present in infarcted
myocardium, BCM assembles to form a flexible gel, structurally resembling
extracellular matrix, which provides temporary structural support to the infarct
zone through and beyond the time needed for mature fibrotic tissue to develop.
The PRESERVATION I trial is an early phase randomized, double-blind, placebo
controlled trial comparing intracoronary application of 4 mL of BCM with saline
control in patients who develop large infarctions after successful reperfusion of
large ST-segment elevation myocardial infarction (MI). Subjects will be
randomized 2:1 to either BCM or saline control and will have the study device
deployed through an intracoronary microcatheter in the infarct-related artery 2
to 5 days after index ST-segment elevation MI treated with successful primary or
rescue percutaneous coronary intervention. The primary effectiveness end point is
the absolute change in LV diastolic volume index as measured by 3-dimensional
echocardiography from baseline to 6 months after BCM deployment. Secondary
effectiveness end points include clinical outcomes, patient-reported quality of
life, additional echocardiographic measures, and functional status measures. In
summary, the PRESERVATION I trial is a randomized double-blind trial evaluating
the effectiveness and safety of the novel device BCM in preventing LV remodeling
patients who have large MIs despite undergoing successful primary or rescue
percutaneous coronary intervention.
PMID- 26542502
TI - Incidence and impact of delirium on clinical and functional outcomes in older
patients hospitalized for acute cardiac diseases.
AB - BACKGROUND: Delirium is one of the most frequent complications of hospitalization
in elderly patients. Its influence on prognosis in patients admitted for acute
cardiac diseases is not well known. The objective of this study is to assess the
incidence of delirium and its impact on clinical and functional outcomes in older
patients hospitalized for acute cardiac diseases. METHODS: We prospectively
analyzed 203 patients aged 75years or older admitted to a cardiology unit.
Delirium was diagnosed with the Confusion Assessment Method. Logistic regression
analysis was used to assess independent predictors of in-hospital delirium and to
examine the independent risk of mortality, readmission, functional decline, and
need for new help at discharge, at 1month and 12months associated with the
development of delirium, after adjusting for age, comorbidity, and initial
diagnosis. RESULTS: The incidence of delirium was 17.2%. Patients with delirium
were older (83+/-5 vs 81+/-5years, P=.016) and showed a higher prevalence of
major geriatric syndromes (82.9% vs 54.5%, P=.002). Aggressive ventilation modes,
urinary catheters, prolonged fluid therapy, night treatments, longer
immobilization, and physical restrain were associated with the incidence of
delirium. Patients with delirium presented longer stays (8.9+/-6.2 vs 6.5+/
4.0days, P=.016) and a greater adjusted risk of functional decline at discharge
(odds ratio 2.94, 95% CI 1.10-7.86, P=.032) and of 12-month mortality (odds ratio
4.20, 95% CI 1.81-9.74, P=.001). CONCLUSION: Delirium is a common preventable
complication in older patients with acute cardiac diseases. It is associated with
poorer in-hospital functional and clinical outcomes, and increased postdischarge
mortality.
PMID- 26542503
TI - Instantaneous Wave-Free Ratio versus Fractional Flow Reserve guided intervention
(iFR-SWEDEHEART): Rationale and design of a multicenter, prospective, registry
based randomized clinical trial.
AB - BACKGROUND: Instantaneous wave-free ratio (iFR) is a new hemodynamic resting
index for assessment of coronary artery stenosis severity. iFR uses high
frequency sampling to calculate a gradient across a coronary lesion during a
period of diastole. The index has been tested against fractional flow reserve
(FFR) and found to have an overall classification agreement of 80% to 85%.
Whether the level of disagreement is clinically relevant is unknown. Clinical
outcome data on iFR are scarce. This study is a registry-based randomized
clinical trial, which is a novel strategy using health quality registries as on
line platforms for randomization, case record forms, and follow-up.
DESIGN/METHODS: iFR-SWEDEHEART is a multicenter, prospective, randomized,
controlled, clinical open-label clinical trial. Two thousand patients with stable
angina or acute coronary syndrome and an indication for physiology-guided
assessment of one or more coronary stenoses will be randomized 1:1 to either iFR-
or FFR-guided intervention. The randomization will be conducted online in the
Swedish web-based system for enhancement and development of evidence-based care
in heart disease evaluated according to recommended therapies (SWEDEHEART)
registry. The trial has a non-inferiority design, with a primary combined end
point of all-cause death, non-fatal myocardial infarction, and unplanned
revascularization at 12 months. End points will be identified through national
registries and undergo central blind adjudication to ensure data quality.
DISCUSSION: The iFR-SWEDEHEART trial is an registry-based randomized clinical
trial evaluating the safety and efficacy of the diagnostic method iFR compared to
FFR.
PMID- 26542504
TI - Tools for Economic Analysis of Patient Management Interventions in Heart Failure
Cost-Effectiveness Model: A Web-based program designed to evaluate the cost
effectiveness of disease management programs in heart failure.
AB - BACKGROUND: Heart failure disease management programs can influence medical
resource use and quality-adjusted survival. Because projecting long-term costs
and survival is challenging, a consistent and valid approach to extrapolating
short-term outcomes would be valuable. METHODS: We developed the Tools for
Economic Analysis of Patient Management Interventions in Heart Failure Cost
Effectiveness Model, a Web-based simulation tool designed to integrate data on
demographic, clinical, and laboratory characteristics; use of evidence-based
medications; and costs to generate predicted outcomes. Survival projections are
based on a modified Seattle Heart Failure Model. Projections of resource use and
quality of life are modeled using relationships with time-varying Seattle Heart
Failure Model scores. The model can be used to evaluate parallel-group and single
cohort study designs and hypothetical programs. Simulations consist of 10,000
pairs of virtual cohorts used to generate estimates of resource use, costs,
survival, and incremental cost-effectiveness ratios from user inputs. RESULTS:
The model demonstrated acceptable internal and external validity in replicating
resource use, costs, and survival estimates from 3 clinical trials. Simulations
to evaluate the cost-effectiveness of heart failure disease management programs
across 3 scenarios demonstrate how the model can be used to design a program in
which short-term improvements in functioning and use of evidence-based treatments
are sufficient to demonstrate good long-term value to the health care system.
CONCLUSION: The Tools for Economic Analysis of Patient Management Interventions
in Heart Failure Cost-Effectiveness Model provides researchers and providers with
a tool for conducting long-term cost-effectiveness analyses of disease management
programs in heart failure.
PMID- 26542506
TI - Both processes and readmissions matter for heart failure: How can we align them?
PMID- 26542505
TI - Soluble receptor for advanced glycation end products and the risk for incident
heart failure: The Atherosclerosis Risk in Communities Study.
AB - BACKGROUND: Experimental studies in animals suggest that circulating soluble
receptor for advanced glycation end products (sRAGE) decrease oxidative stress,
inflammation, and fibrosis. The association between sRAGE and incident heart
failure has not been systematically examined in a prospective study. METHODS: We
conducted a prospective analysis of a subsample of 1,086 participants from the
Atherosclerosis Risk in Communities Study who attended visit 2 (1990-1992)
without a history of coronary heart disease, stroke, or heart failure and with
measured plasma sRAGE levels. Incident heart failure was defined as death from
heart failure or hospitalization due to heart failure during a median of 20 years
of follow-up. RESULTS: In this sample of a community-based population (mean age
63 years, 60% women, 78% white), there were 126 incident cases of heart failure.
Lower levels of sRAGE were significantly associated with an increased risk of
heart failure; the adjusted hazard ratios (95% CIs) of heart failure were 1.0
(reference), 1.81 (0.94-3.49), 1.57 (0.80-3.08), and 3.37 (1.75-6.50), for
fourth, third, second, and first quartiles, respectively (P for trend = .001). We
did not observe significant interactions by diabetes status or by race or obesity
status. CONCLUSIONS: Lower circulating levels of sRAGE are independently
associated with the development of heart failure in a community-based population.
Our results add to the growing evidence that sRAGE is a valuable predictor of
cardiovascular disease.
PMID- 26542507
TI - Reperfusion therapy for acute myocardial infarction: Concepts and controversies
from inception to acceptance.
AB - More than 20 years of misconceptions derailed acceptance of reperfusion therapy
for acute myocardial infarction (AMI). Cardiologists abandoned reperfusion for
AMI using fibrinolytic therapy, explored in 1958, because they no longer
attributed myocardial infarction to coronary thrombosis. Emergent aortocoronary
bypass surgery, pioneered in 1968, remained controversial because of the
misconception that hemorrhage into reperfused myocardium would result in infarct
extension. Attempts to limit infarct size by pharmacotherapy without reperfusion
dominated research in the 1970s. Myocardial necrosis was assumed to progress
slowly, in a lateral direction. At least 18 hours was believed to be available
for myocardial salvage. Afterload reduction and improvement of the
microcirculation, but not reperfusion, were thought to provide the benefit of
streptokinase therapy. Finally, coronary vasospasm was hypothesized to be the
central mechanism in the pathogenesis of AMI. These misconceptions unraveled in
the late 1970s. Myocardial necrosis was shown to progress in a transmural
direction, as a "wave front," beginning with the subendocardium. Reperfusion
within 6 hours salvaged a subepicardial ischemic zone in experimental animals.
Acute angiography provided in vivo evidence of the high incidence of total
coronary occlusion in the first hours of AMI. In 1978, early reperfusion by
transluminal recanalization was shown to be feasible. The pathogenetic role of
coronary thrombosis was definitively established in 1979 by demonstrating that
intracoronary streptokinase rapidly restored flow in occluded infarct-related
arteries, in contrast to intracoronary nitroglycerine which rarely did. The
modern reperfusion era had dawned.
PMID- 26542508
TI - Ticagrelor or prasugrel versus clopidogrel in elderly patients with an acute
coronary syndrome: Optimization of antiplatelet treatment in patients 70 years
and older--rationale and design of the POPular AGE study.
AB - RATIONALE: Dual antiplatelet therapy with acetylsalicylic acid in combination
with a more potent P2Y12- inhibitor (ticagrelor or prasugrel) is recommended in
patients with acute coronary syndrome without ST-segment elevation (NSTE-ACS) to
prevent atherothrombotic complications. The evidence on which this recommendation
is based shows that ticagrelor and prasugrel reduce atherothrombotic events at
the expense of an increase in bleeding events when compared with clopidogrel.
However, it remains unclear whether ticagrelor or prasugrel has a better net
clinical benefit in elderly patients with NSTE-ACS when compared with
clopidogrel. The POPular AGE trial is designed to address the optimal
antiplatelet strategy in elderly NSTE-ACS patients. STUDY DESIGN: POPular AGE is
a multicenter, open-label, randomized controlled trial that aims to include 1000
patients >=70years of age with NSTE-ACS. Patients are randomly assigned to
receive either clopidogrel or a more potent P2Y12 inhibitor (ticagrelor or
prasugrel). The first primary end point is any bleeding event requiring medical
intervention. The second primary end point is the net clinical benefit, a
composite of all-cause mortality, nonfatal myocardial infarction, nonfatal
stroke, "PLATelet inhibition and patient Outcomes" major bleeding, or "PLATelet
inhibition and patient Outcomes" minor bleeding. Patients will be followed for 1
year after randomization, and analyses will be performed on the basis of
intention to treat. CONCLUSION: The POPular AGE is the first randomized
controlled trial that will assess whether the treatment strategy with clopidogrel
will result in fewer bleeding events without compromising the net clinical
benefit in patients >=70years of age with NSTE-ACS when compared with a treatment
strategy with ticagrelor or prasugrel.
PMID- 26542509
TI - Lifestyle modification for resistant hypertension: The TRIUMPH randomized
clinical trial.
AB - BACKGROUND: Resistant hypertension (RH) is a growing health burden in this
country affecting as many as 1 in 5 adults being treated for hypertension.
Resistant hypertension is associated with increased risk of adverse
cardiovascular disease (CVD) events and all-cause mortality. Strategies to reduce
blood pressure (BP) in this high-risk population are a national priority.
METHODS: TRIUMPH is a single-site, prospective, randomized clinical trial to
evaluate the efficacy of a center-based lifestyle intervention consisting of
exercise training, reduced sodium and calorie Dietary Approaches to Stop
Hypertension eating plan, and weight management compared to standardized
education and physician advice in treating patients with RH. Patients (n = 150)
will be randomized in a 2:1 ratio to receive either a 4-month supervised
lifestyle intervention delivered in the setting of a cardiac rehabilitation
center or to a standardized behavioral counseling session to simulate real-world
medical practice. The primary end point is clinic BP; secondary end points
include ambulatory BP and an array of CVD biomarkers including left ventricular
hypertrophy, arterial stiffness, baroreceptor reflex sensitivity, insulin
resistance, lipids, sympathetic nervous system activity, and inflammatory
markers. Lifestyle habits, BP, and CVD risk factors also will be measured at 1
year follow-up. CONCLUSIONS: The TRIUMPH randomized clinical trial
(ClinicalTrials.gov NCT02342808) is designed to test the efficacy of an
intensive, center-based lifestyle intervention compared to a standardized
education and physician advice counseling session on BP and CVD biomarkers in
patients with RH after 4 months of treatment and will determine whether lifestyle
changes can be maintained for a year.
PMID- 26542510
TI - A cluster randomized trial of objective risk assessment versus standard care for
acute coronary syndromes: Rationale and design of the Australian GRACE Risk score
Intervention Study (AGRIS).
AB - BACKGROUND: Assessing risk and weighing the potential benefits from evidence
based therapies are essential in the clinical decision making process of
optimizing care and outcomes for patients presenting with acute coronary
syndromes (ACS). Such practices are advocated in international clinical
guidelines of ACS care. While the GRACE risk score (GRS) is a guideline
advocated, well-validated risk stratification tool, its utility in improving care
and outcomes remains unproven, and its application has been limited in routine
clinical practice. OBJECTIVE: This study will assess the effectiveness using the
GRS tool and treatment recommendations during patient assessment on improving the
application of guideline-recommended therapies in ACS care. DESIGN: This study
employs a PROBE (prospective cluster [hospital-level] randomized open-label,
blinded endpoint) design to evaluate objective measures of hospital performance,
with clinical events adjudicated by a blinded event committee. This randomized
study is nested within the established CONCORDANCE registry of ACS patients, with
existing methods for data collection and monitoring of care and clinical
outcomes. The hospital-level intervention is the integration of the GRS into
routine ACS patient assessment process. The study will assess the use of early
invasive management, prescription of guideline recommended pharmacology and
referral to cardiac rehabilitation by hospital discharge; with the key composite
clinical endpoint of cardiovascular death, new or recurrent myocardial
infarction, in-hospital heart failure or cardiovascular readmission at 12 months.
Health economic impacts of risk stratification implementation will also be
evaluated. The study will recruit 3000 patients from 30 hospitals. SUMMARY: The
AGRIS trial will establish the effect of routine objective risk stratification
using the GRACE risk score on ACS care and clinical outcomes.
PMID- 26542511
TI - A systematic review and meta-analysis on the association between quality of
hospital care and readmission rates in patients with heart failure.
AB - In recent years, readmission rates have been increasingly used as a measure of
quality of hospital care for patients with heart failure. The aim of this
systematic review is to assess the scientific evidence regarding the relation
between hospital readmission rates and quality of hospital care for patients with
heart failure. METHODS: We defined quality of hospital care for patients with
heart failure by adhering to the performance measures developed by the American
College of Cardiology (ACC)/American Heart Association (AHA). Relevant articles
published in English and indexed in the bibliographic databases Embase, Medline
OvidSP, Web of Science, Cochrane Central, and PubMed were reviewed. RESULTS: Of
the 2,638 studies identified, 18 were included. They varied widely in their
methodology, data sources used, and study populations. We found mixed but rather
limited evidence that there is a relationship between the ACC/AHA process
measures and the rate of readmission. Four of 10 studies showed a significant
correlation of readmission rate with "angiotensin-converting enzyme
inhibitor/angiotensin receptor blocker use." Three of 9 studies showed a
significant correlation between readmission rates and "evaluation of left
ventricular systolic function." One of 7 studies showed a significant correlation
with "smoking cessation counseling," and 2 of 8 showed a significant correlation
with "providing discharge instructions." No evidence was found for a relationship
between readmission rates and the performance measure "warfarin for atrial
fibrillation." CONCLUSIONS: Readmission rates after heart failure are mostly not
related to the evidence-based ACC/AHA in-hospital process indicators for heart
failure. It is unclear whether in-hospital quality of care is the key determinate
of the readmission rate or whether readmissions are likely influenced more by
postdischarge care. Further research is needed to clarify whether the readmission
rate is a reflection of hospital care or quality of care on a larger level,
especially when it is used for a pay-for-performance scheme to measure quality of
hospital care.
PMID- 26542512
TI - Association of prior beta-blocker use and the outcomes of patients with out-of
hospital cardiac arrest.
AB - beta-Blocker therapy is one of the most commonly prescribed treatments for
patients with cardiac conditions. In patients with out-of-hospital cardiac arrest
(OHCA), however, recent data suggest that prior treatment with beta-blockers
could be harmful by lowering the incidence of a shockable presenting rhythm. The
main objective of our study was to determine the association between prior beta
blocker use and mortality in OHCA patients. METHODS: An observational study was
conducted using the Toronto Rescu Epistry database that captured consecutive OHCA
patients from 2005 to 2010. Patients older than 65 years with nontraumatic
cardiac arrest and attempted resuscitation were included. Patients prescribed
beta-blockers within 90 days of the arrest were compared with those without such
therapy. The primary outcome was all-cause mortality at 30 days. Potential
confounders were accounted for by inverse probability of treatment weighting
using the propensity score. RESULTS: The median age of 8,266 OHCA patients was 79
years, 41% were women, and 2,911 (35.2%) were prescribed a beta-blocker prior to
cardiac arrest. Patients prescribed beta-blockers were more likely to have
existing cardiac risk factors and cardiovascular conditions. In the propensity
weighted cohort, there were no differences in the presenting rhythm, with 18.4%
of patients in the beta-blocker group having a shockable rhythm vs 17.5% in the
no beta-blocker group (standardized difference .023). In addition, 30-day
mortality was not significantly different between patients prescribed beta
blockers and no beta-blockers (95.6% vs 95.1%, P = .36). CONCLUSION: beta-Blocker
use was not associated with lower rates of shockable rhythms or mortality among
older patients with OHCA.
PMID- 26542513
TI - Impact of prasugrel pretreatment and timing of coronary artery bypass grafting on
clinical outcomes of patients with non-ST-segment elevation myocardial
infarction: From the A Comparison of Prasugrel at PCI or Time of Diagnosis of Non
ST-Elevation Myocardial Infarction (ACCOAST) study.
AB - OBJECTIVES: We evaluated impact of timing of coronary artery bypass grafting
(CABG) and prasugrel pretreatment in patients with non-ST-segment elevation
myocardial infarction undergoing CABG in the ACCOAST study. METHODS: Of 4033
enrolled patients, 314 (7.8%) underwent isolated CABG through 30 days. Primary
efficacy end point for this analysis was any cardiovascular death, myocardial
infarction, stroke, urgent revascularization, or glycoprotein IIb/IIIa inhibitor
bailout through 30 days. RESULTS: More CABG versus percutaneous coronary
intervention or medically managed patients were men, diabetic, or had peripheral
arterial disease. Per randomization, 157 of 314 patients received a 30-mg
prasugrel loading dose before CABG, and 157 of 314 received placebo. Patients
were stratified by tertile of time from randomization to CABG: <2.98 days (n =
104), >=2.98 and <6.95 days (n = 106), and >=6.95 days (n = 104). Primary end
point occurred in 12.5%, 4.7%, and 4.8%, respectively (<2.98 days vs other
tertiles, hazard ratio [HR] = 2.80; P = .011). Similarly, the rate of all TIMI
major bleeding was highest in the lowest tertile (26.0% vs 10.4% and 4.8%; P <
.001), but no difference in all-cause death was observed through 30 days (3.9% vs
1.9% and 1.9%; P = .30). Time from randomization to CABG (HR = 0.84 for each day
delay), left main disease (HR = 1.76), region of enrollment (Non-Eastern Europe
vs Eastern Europe; HR = 3.83), but not prasugrel pretreatment and baseline
troponin >=3* upper limit of normal, were independent predictors of combined 30
day end point of all-cause death/myocardial infarction/stroke/TIMI major
bleeding. CONCLUSIONS: In ACCOAST, early (<2.98 days) surgical revascularization
carried increased risk of bleeding and ischemic complications without affecting
all-cause mortality through 30 days. Baseline troponin and prasugrel pretreatment
did not impact ischemic clinical outcomes.
PMID- 26542514
TI - Amiodarone and risk of death in contemporary patients with atrial fibrillation:
Findings from The Retrospective Evaluation and Assessment of Therapies in AF
study.
AB - BACKGROUND: There are limited data on mortality outcomes associated with use of
amiodarone in atrial fibrillation and flutter (AF). METHODS: We evaluated the
association of amiodarone use with mortality in patients with newly diagnosed AF
using complete data from the Department of Veterans Affairs national health care
system. We included patients seen in an outpatient setting within 90 days of a
new diagnosis for nonvalvular AF between Veterans Affairs fiscal years 2004 and
2008. Multivariate analysis and propensity-matched Cox proportional hazards
regression were used to evaluate the association of amiodarone use to death.
RESULTS: Of 122,465 patients (353,168 person-years of follow-up, age 72.1 +/-
10.3 years, 98.4% males), amiodarone was prescribed in 11,655 (9.5%). Cumulative,
unadjusted mortality rates were higher for amiodarone recipients than for
nonrecipients (87 vs 73 per 1,000 person-years, P < .001). However, in
multivariate and propensity-matched survival analyses, there was no significant
difference in mortality (multivariate hazard ratio 1.01, 95% CI 0.97-1.05, P =
.51, and propensity-matched hazard ratio 1.02, 95% CI 0.97-1.07, P = .45). The
hazard of death was not modified by age, sex, heart failure, kidney function,
beta-blocker use, or warfarin use, but there was evidence of effect modification
among patients diagnosed with AF as an inpatient versus outpatient. CONCLUSION:
In a national health care system population of newly diagnosed AF, overall use of
amiodarone as an early treatment strategy was not associated with mortality.
PMID- 26542515
TI - Clinical application and potential effects of 2014 hypertension guidelines on
incident cardiovascular events.
AB - BACKGROUND: The applicability to real-world hypertensive patients and the
potential effects on future cardiovascular events of the 2014 hypertension
guidelines of the Eighth Joint National Committee (JNC-8) remain to be
determined. METHODS: Using the Korean National Health and Nutrition Examination
Survey of 2008 to 2012 (n = 30,697), we estimated the proportion of Korean adults
eligible for hypertension therapy under the 2014 JNC-8 and previous JNC-7
guidelines and the changes affected by the 2014 guidelines. Using the validation
cohort (n = 116,767) from the 2003 National Health Examination with 7 years of
follow-up, we determined the clinical effects of recent recommendations changes
on incident cardiovascular events (composite of cardiovascular death, nonfatal
myocardial infarction, or nonfatal stroke). RESULTS: Compared with the JNC-7
guidelines, the 2014 guidelines would decrease the number of adults eligible for
hypertension therapy from 10.1 million (28.2%) to 9.3 million (25.9%). In the
validation cohort, compared with nonhypertensive adults, those eligible for
hypertension therapy under the JNC-7 or JNC-8 guidelines had significantly higher
risks of cardiovascular events (hazard ratio [HR], 5.05; 95% confidence interval
[CI], 4.58-5.57, P < 0.001; and HR, 5.11; 95% CI, 4.63-5.64, P < 0.001,
respectively). In addition, adults newly ineligible for treatment under the 2014
guidelines had an increased risk of cardiovascular events relative to
nonhypertensive adults (HR, 4.36; 95% CI, 3.65-5.20; P < 0.001). CONCLUSIONS: The
2014 hypertension guidelines would modestly decrease the proportion of Korean
adults eligible for hypertension therapy. Adults newly ineligible for
hypertension therapy by the 2014 guidelines have a higher risk of cardiovascular
events compared to nonhypertensive adults. Our observations should be confirmed
or refuted through large, randomized clinical trials.
PMID- 26542516
TI - The MitraClip and survival in patients with mitral regurgitation at high risk for
surgery: A propensity-matched comparison.
AB - BACKGROUND: We compared 30-day and 1-year survival among high-risk mitral
regurgitation (MR) patients treated with the MitraClip (Abbott Vascular, Abbott
Park, IL) with matched non-surgically treated patients from the Duke
Echocardiography Laboratory Database (DELD). METHODS AND RESULTS: High-risk
patients with 3+/4+ MR managed non-surgically between years 2000 and 2010 in the
longitudinal DELD were matched to high-risk MitraClip patients. Patient matching
was performed using the method of nearest available Mahalanobis distance metric
within calipers defined by the propensity score. Kaplan-Meier estimates and
stratified Cox proportional hazards models were used to compare survival at 30
days and 1 year. Among 953 high-risk DELD patients available for matching, 30-day
and 1-year mortality were 6.5% and 26.2%. Close matches were obtained for 239 of
the 351 MitraClip patients. The 30-day mortality in MitraClip patients was lower
(4.2%) when compared with matched DELD patients (7.2%). The 1-year relative risk
of mortality of the MitraClip compared with non-surgical treatment was 0.64 (95%
CI 0.45-0.91; log-rank P = .013). These results in favor of the MitraClip
remained significant upon further adjustment for baseline differences between
groups (P = .043). CONCLUSIONS: This matched comparison of severe MR patients at
high surgical risk supports the safety of the MitraClip relative to medical
therapy at 30 days and a survival benefit at 1 year.
PMID- 26542517
TI - Obesity is an important source of bias in the assessment of cardiorespiratory
fitness.
PMID- 26542518
TI - Experimental evidence of age-related adaptive changes in human acinar airways.
AB - The progressive decline of lung function with aging is associated with changes in
lung structure at all levels, from conducting airways to acinar airways (alveolar
ducts and sacs). While information on conducting airways is becoming available
from computed tomography, in vivo information on the acinar airways is not
conventionally available, even though acini occupy 95% of lung volume and serve
as major gas exchange units of the lung. The objectives of this study are to
measure morphometric parameters of lung acinar airways in living adult humans
over a broad range of ages by using an innovative MRI-based technique, in vivo
lung morphometry with hyperpolarized (3)He gas, and to determine the influence of
age-related differences in acinar airway morphometry on lung function. Pulmonary
function tests and MRI with hyperpolarized (3)He gas were performed on 24 healthy
nonsmokers aged 19-71 years. The most significant age-related difference across
this population was a 27% loss of alveolar depth, h, leading to a 46% increased
acinar airway lumen radius, hence, decreased resistance to acinar air transport.
Importantly, the data show a negative correlation between h and the pulmonary
function measures forced expiratory volume in 1 s and forced vital capacity. In
vivo lung morphometry provides unique information on age-related changes in lung
microstructure and their influence on lung function. We hypothesize that the
observed reduction of alveolar depth in subjects with advanced aging represents a
remodeling process that might be a compensatory mechanism, without which the
pulmonary functional decline due to other biological factors with advancing age
would be significantly larger.
PMID- 26542519
TI - Human Achilles tendon glycation and function in diabetes.
AB - Diabetic patients have an increased risk of foot ulcers, and glycation of
collagen may increase tissue stiffness. We hypothesized that the level of
glycemic control (glycation) may affect Achilles tendon stiffness, which can
influence gait pattern. We therefore investigated the relationship between
collagen glycation, Achilles tendon stiffness parameters, and plantar pressure in
poorly (n = 22) and well (n = 22) controlled diabetic patients, including healthy
age-matched (45-70 yr) controls (n = 11). There were no differences in any of the
outcome parameters (collagen cross-linking or tendon stiffness) between patients
with well-controlled and poorly controlled diabetes. The overall effect of
diabetes was explored by collapsing the diabetes groups (DB) compared with the
controls. Skin collagen cross-linking lysylpyridinoline, hydroxylysylpyridinoline
(136%, 80%, P < 0.01) and pentosidine concentrations (55%, P < 0.05) were
markedly greater in DB. Furthermore, Achilles tendon material stiffness was
higher in DB (54%, P < 0.01). Notably, DB also demonstrated higher
forefoot/rearfoot peak-plantar-pressure ratio (33%, P < 0.01). Overall, Achilles
tendon material stiffness and skin connective tissue cross-linking were greater
in diabetic patients compared with controls. The higher foot pressure indicates
that material stiffness of tendon and other tissue (e.g., skin and joint capsule)
may influence foot gait. The difference in foot pressure distribution may
contribute to the development of foot ulcers in diabetic patients.
PMID- 26542520
TI - Skeletal myofiber VEGF is necessary for myogenic and contractile adaptations to
functional overload of the plantaris in adult mice.
AB - The ability to enhance muscle size and function is important for overall health.
In this study, skeletal myofiber vascular endothelial growth factor (VEGF) was
hypothesized to regulate hypertrophy, capillarity, and contractile function in
response to functional overload (FO). Adult myofiber-specific VEGF gene-ablated
mice (skmVEGF(-/-)) and wild-type (WT) littermates underwent plantaris FO or sham
surgery (SHAM). Mass, morphology, in vivo function, IGF-1, basic fibroblast
growth factor (bFGF), hepatocyte growth factor (HGF), and Akt were measured at 7,
14, and 30 days. FO resulted in hypertrophy in both genotypes, but fiber sizes
were 13% and 23% smaller after 14 and 30 days, respectively, and mass 15% less
after 30 days in skmVEGF(-/-) than WT. FO increased isometric force after 30 days
in WT and decreased in skmVEGF(-/-) after 7 and 14 days. FO also resulted in a
reduction in specific force and this differed between genotypes at 14 days.
Fatigue resistance improved only in 14-day WT mice. Capillary density was
decreased by FO in both genotypes. However, capillary-to-fiber ratios were 19%
and 15% lower in skmVEGF(-/-) than WT at the 14- and 30-day time points,
respectively. IGF-1 was increased by FO at all time points and was 45% and 40%
greater in skmVEGF(-/-) than WT after 7 and 14 days, respectively. bFGF, HGF,
total Akt, and phospho-Akt, independent of VEGF expression, and VEGF levels in WT
were increased after 7 days of FO. These findings suggest VEGF-dependent
capillary maintenance supports muscle growth and function in overloaded muscle
and is not rescued by compensatory IGF-1 expression.
PMID- 26542521
TI - Myriocin prevents muscle ceramide accumulation but not muscle fiber atrophy
during short-term mechanical unloading.
AB - Bedridden patients in intensive care unit or after surgery intervention commonly
develop skeletal muscle weakness. The latter is promoted by a variety of
prolonged hospitalization-associated conditions. Muscle disuse is the most
ubiquitous and contributes to rapid skeletal muscle atrophy and progressive
functional strength reduction. Disuse causes a reduction in fatty acid oxidation,
leading to its accumulation in skeletal muscle. We hypothesized that muscle fatty
acid accumulation could stimulate ceramide synthesis and promote skeletal muscle
weakness. Therefore, the present study was designed to determine the effects of
sphingolipid metabolism on skeletal muscle atrophy induced by 7 days of disuse.
For this purpose, male Wistar rats were treated with myriocin, an inhibitor of de
novo synthesis of ceramides, and subjected to hindlimb unloading (HU) for 7 days.
Soleus muscles were assayed for fiber diameter, ceramide levels, protein
degradation, and apoptosis signaling. Serum and liver were removed to evaluate
the potential hepatoxicity of myriocin treatment. We found that HU increases
content of saturated C16:0 and C18:0 ceramides and decreases soleus muscle weight
and fiber diameter. HU increased the level of polyubiquitinated proteins and
induced apoptosis in skeletal muscle. Despite a prevention of C16:0 and C18:0
muscle accumulation, myriocin treatment did not prevent skeletal muscle atrophy
and concomitant induction of apoptosis and proteolysis. Moreover, myriocin
treatment increased serum transaminases and induced hepatocyte necrosis. These
data highlight that inhibition of de novo synthesis of ceramides during
immobilization is not an efficient strategy to prevent skeletal muscle atrophy
and exerts adverse effects like hepatotoxicity.
PMID- 26542522
TI - Measuring the human ventilatory and cerebral blood flow response to CO2: a
technical consideration for the end-tidal-to-arterial gas gradient.
AB - Our aim was to quantify the end-tidal-to-arterial gas gradients for O2 (PET-PaO2)
and CO2 (Pa-PETCO2) during a CO2 reactivity test to determine their influence on
the cerebrovascular (CVR) and ventilatory (HCVR) response in subjects with (PFO+,
n = 8) and without (PFO-, n = 7) a patent foramen ovale (PFO). We hypothesized
that 1) the Pa-PETCO2 would be greater in hypoxia compared with normoxia, 2) the
Pa-PETCO2 would be similar, whereas the PET-PaO2 gradient would be greater in
those with a PFO, 3) the HCVR and CVR would be underestimated when plotted
against PETCO2 compared with PaCO2, and 4) previously derived prediction
algorithms will accurately target PaCO2. PETCO2 was controlled by dynamic end
tidal forcing in steady-state steps of -8, -4, 0, +4, and +8 mmHg from baseline
in normoxia and hypoxia. Minute ventilation (VE), internal carotid artery blood
flow (QICA), middle cerebral artery blood velocity (MCAv), and temperature
corrected end-tidal and arterial blood gases were measured throughout
experimentation. HCVR and CVR were calculated using linear regression analysis by
indexing VE and relative changes in QICA, and MCAv against PETCO2, predicted
PaCO2, and measured PaCO2. The Pa-PETCO2 was similar between hypoxia and normoxia
and PFO+ and PFO-. The PET-PaO2 was greater in PFO+ by 2.1 mmHg during normoxia
(P = 0.003). HCVR and CVR plotted against PETCO2 underestimated HCVR and CVR
indexed against PaCO2 in normoxia and hypoxia. Our PaCO2 prediction equation
modestly improved estimates of HCVR and CVR. In summary, care must be taken when
indexing reactivity measures to PETCO2 compared with PaCO2.
PMID- 26542523
TI - Multilevel functional genomics data integration as a tool for understanding
physiology: a network biology perspective.
AB - The overall aim of physiological research is to understand how living systems
function in an integrative manner. Consequently, the discipline of physiology has
since its infancy attempted to link multiple levels of biological organization.
Increasingly this has involved mathematical and computational approaches,
typically to model a small number of components spanning several levels of
biological organization. With the advent of "omics" technologies, which can
characterize the molecular state of a cell or tissue (intended as the level of
expression and/or activity of its molecular components), the number of molecular
components we can quantify has increased exponentially. Paradoxically, the
unprecedented amount of experimental data has made it more difficult to derive
conceptual models underlying essential mechanisms regulating mammalian
physiology. We present an overview of state-of-the-art methods currently used to
identifying biological networks underlying genomewide responses. These are based
on a data-driven approach that relies on advanced computational methods designed
to "learn" biology from observational data. In this review, we illustrate an
application of these computational methodologies using a case study integrating
an in vivo model representing the transcriptional state of hypoxic skeletal
muscle with a clinical study representing muscle wasting in chronic obstructive
pulmonary disease patients. The broader application of these approaches to
modeling multiple levels of biological data in the context of modern physiology
is discussed.
PMID- 26542524
TI - Clinical features and treatment of patients with esophageal cancer and a history
of gastrectomy: a multicenter, questionnaire survey in Kyushu, Japan.
AB - It is still controversial whether patients with a history of gastrectomy have
high risk of esophageal carcinogenesis. On the other hand, the treatment strategy
for esophageal cancer patients after gastrectomy is complicated. The association
between histories of gastrectomy and esophageal carcinogenesis was
retrospectively analyzed, and the treatment of esophageal cancer patients after
gastrectomy was evaluated based on questionnaire data collected from multiple
centers in Kyushu, Japan. The initial subject population comprised 205 esophageal
cancer patients after gastrectomy. Among them, 108 patients underwent curative
surgical treatment, and 70 patients underwent chemoradiation therapy (CRT). The
time between gastrectomy and esophageal cancer development was longer in peptic
ulcer patients (28.3 years) than in gastric cancer patients (9.6 years). There
were no differences in the location of esophageal cancer according to the
gastrectomy reconstruction method. There were no significant differences in the
clinical background characteristics between patients with and without a history
of gastrectomy. Among the 108 patients in the surgery group, the 5-year overall
survival rates for stages I (n = 30), II (n = 18), and III (n = 60) were 68.2%,
62.9%, and 32.1%, respectively. In the CRT group, the 5-year overall survival
rate of stage I (n = 29) was 82.6%, but there were no 5-year survivors in other
stages. The 5-year overall survival rate of patients with CR (n = 33) or salvage
surgery (n = 10) was 61.2% or 36%, respectively. For the treatment of
gastrectomized esophageal cancer patients, surgery or CRT is recommended for
stage I, and surgery with or without adjuvant therapy is the main central
treatment in advanced stages, with surgery for stage II, neoadjuvant therapy +
surgery for stage III, and CRT + salvage surgery for any stage, if the patient's
condition permits.
PMID- 26542525
TI - miRA: adaptable novel miRNA identification in plants using small RNA sequencing
data.
AB - BACKGROUND: MicroRNAs (miRNAs) are short regulatory RNAs derived from longer
precursor RNAs. miRNA biogenesis has been studied in animals and plants, recently
elucidating more complex aspects, such as non-conserved, species-specific, and
heterogeneous miRNA precursor populations. Small RNA sequencing data can help in
computationally identifying genomic loci of miRNA precursors. The challenge is to
predict a valid miRNA precursor from inhomogeneous read coverage from a complex
RNA library: while the mature miRNA typically produces many sequence reads, the
remaining part of the precursor is covered very sparsely. As recent results
suggest, alternative miRNA biogenesis pathways may lead to a more diverse miRNA
precursor population than previously assumed. In plants, the latter manifests
itself in e.g. complex secondary structures and expression from multiple loci
within precursors. Current miRNA identification algorithms often depend on
already existing gene annotation, and/or make use of specific miRNA precursor
features such as precursor lengths, secondary structures etc. Consequently and in
view of the emerging new understanding of a more complex miRNA biogenesis in
plants, current tools may fail to characterise organism-specific and
heterogeneous miRNA populations. RESULTS: miRA is a new tool to identify miRNA
precursors in plants, allowing for heterogeneous and complex precursor
populations. miRA requires small RNA sequencing data and a corresponding
reference genome, and evaluates precursor secondary structures and precursor
processing accuracy; key parameters can be adapted based on the specific organism
under investigation. We show that miRA outperforms the currently best plant miRNA
prediction tools both in sensitivity and specificity, for data involving
Arabidopsis thaliana and the Volvocine algae Chlamydomonas reinhardtii; the
latter organism has been shown to exhibit a heterogeneous and complex precursor
population with little cross-species miRNA sequence conservation, and therefore
constitutes an ideal model organism. Furthermore we identify novel miRNAs in the
Chlamydomonas-related organism Volvox carteri. CONCLUSIONS: We propose miRA, a
new plant miRNA identification tool that is well adapted to complex precursor
populations. miRA is particularly suited for organisms with no existing miRNA
annotation, or without a known related organism with well characterized miRNAs.
Moreover, miRA has proven its ability to identify species-specific miRNAs. miRA
is flexible in its parameter settings, and produces user-friendly output files in
various formats (pdf, csv, genome-browser-suitable annotation files, etc.). It is
freely available at https://github.com/mhuttner/miRA.
PMID- 26542526
TI - Mismatch negativity (MMN) as biomarker predicting psychosis in clinically at-risk
individuals.
AB - The early detection of young people at-risk of developing a severe mental illness
like schizophrenia offers the opportunity of introducing treatment earlier than
currently possible. There is some evidence that early intervention improves
prognosis and functional outcome, or even prevents the full clinical
manifestation of the condition in some individuals. A key prerequisite to
facilitate early intervention would be a biomarker that can reliably predict a
transition to schizophrenia. A smaller event-related mismatch negativity (MMN)
potential has emerged as one of the most robust psychophysiological finding in
schizophrenia akin of a biomarker of the condition. More recent research further
demonstrates that MMN, but also P3a amplitudes, are already reduced in the
prodromal phase of illness. Several lines of pre-clinical and clinical research
support this notion and are reviewed in this article together with current
obstacles, which are still limiting the translation of MMN as a biomarker into
clinical practice.
PMID- 26542527
TI - Experienced stress produces inhibitory deficits in old adults' Flanker task
performance: First evidence for lifetime stress effects beyond memory.
AB - Studies regarding aged individuals' performance on the Flanker task differ with
respect to reporting impaired or intact executive control. Past work has
explained this discrepancy by hypothesising that elderly individuals use
increased top-down control mechanisms advantageous to Flanker performance. This
study investigated this mechanism, focussing on cumulative experienced stress as
a factor that may impact on its execution, thereby leading to impaired
performance. Thirty elderly and thirty young participants completed a version of
the Flanker task paired with electroencephalographic recordings of the alpha
frequency, whose increased synchronisation indexes inhibitory processes. Among
high stress elderly individuals, findings revealed a general slowing of reaction
times for congruent and incongruent stimuli, which correlated with alpha
desynchronisation for both stimulus categories. Results found high performing
(low stress) elderly revealed neither a behavioural nor electrophysiological
difference compared to young participants. Therefore, rather than impacting on
top-down compensatory mechanisms, findings indicate that stress may affect
elderly participants' inhibitory control in attentional and sensorimotor domains.
PMID- 26542528
TI - Application of endoscopic ultrasonography to intraventricular lesions.
AB - BACKGROUND: Anatomical landmarks such as choroid plexus and foramen of Monro are
very important to undergo intraventricular surgery safely and effectually. These
landmarks would be unclear in cases with a huge cyst or repeat surgery. We report
the usability and precautions to apply a bronchoscope with an ultrasonic convex
probe to intraventricular surgery. METHODS: Two patients diagnosed with
obstructive hydrocephalus, one with a large cyst and the other with recurrent
craniopharyngioma in the third ventricle, were applied to the EBUS system.
RESULTS: In both patients, the EBUS system was applied safely, and lesions beyond
the wall of ventricles or the cyst were visible. Color Doppler ultrasonography
detected choroid plexus and internal cerebral veins. Furthermore, we performed
real-time ultrasound-guided cyst puncture safely on the case with a large cyst.
The most important precaution is that the curved portion of the EBUS system is
too long to be bent within cerebral ventricles. CONCLUSIONS: The new EBUS system
with an ultrasonic convex probe is a novel and effectual device to perform
intraventricular surgery.
PMID- 26542529
TI - What is a clinically relevant difference in MDADI scores between groups of head
and neck cancer patients?
AB - OBJECTIVE/HYPOTHESIS: To describe clinically relevant between-group differences
in MD Anderson Dysphagia Inventory (MDADI) scores among head and neck cancer
(HNC) patients. STUDY DESIGN: Retrospective cross-sectional study was conducted
in 1,136 HNC patients seen for modified barium swallow (MBS) studies. METHODS:
The MDADI was administered by written questionnaire at the MBS appointment. MD
Anderson Dysphagia Inventory global, composite, and subscale scores were
calculated. Anchor-based methods were employed to determine clinically meaningful
between-group differences by feeding tube status, aspiration status (per MBS
study), and diet level. RESULTS: Mean MDADI scores for the 1,136 patients were:
emotional 65.8 +/- 17.3, functional 68.1 +/- 19.6, physical 60.1 +/- 18.6, global
59.3 +/- 28.3, and composite 64.0 +/- 17.1. Three hundred seventy-eight patients
(33%) were feeding tube-dependent; 395 (34.8%) were aspirators; 122 (11%) were
nothing per oral (Performance Status Scale-Head and Neck [PSS-HN] diet = 0); and
249 (22%) ate unrestricted, regular diets (PSS-HN diet = 100). Statistically
significant (P < 0.0001) between-group differences (feeding tube vs. no feeding
tube, aspirator vs. nonaspirator, oral vs. nonoral diet, PSS-HN diet levels) were
observed for all mean MDADI scores (global, composite, and subscales). A mean
difference of 10 points in composite MDADI scores differentiated feeding tube
dependent from nontube-dependent patients, aspirators from nonaspirators, and
distinct PSS-HN diet levels. CONCLUSIONS: We identify that a 10-point between
group difference in composite MDADI scores was associated with clinically
meaningful between-group differences in swallowing function. LEVEL OF EVIDENCE:
4. Laryngoscope, 126:1108-1113, 2016.
PMID- 26542530
TI - Aneurinibacillus humi sp. nov., Isolated from Soil Collected in Ukraine.
AB - A novel bacterium, designated U33(T), was isolated from a soil sample collected
in Mykhailyky, Poltavs'ka oblast, Ukraine. The bacterium was aerobic, Gram
positive, spore-forming, and consists of motile rods. The taxonomic position of
strain U33(T) was studied by a polyphasic approach, and the results clearly
showed that the phenotypic and chemotaxonomic properties are consistent with
those of the genus Aneurinibacillus. The phylogenic analysis with 16S rRNA gene
sequence of strains U33(T) showed the highest sequence similarity to those of
Aneurinibacillus aneuriniticus ATCC 12856(T) (96.7 %), Aneurinibacillus migulanus
DSM 2895(T) (96.7 %), Aneurinibacillus danicus NCIMB 13288(T) (95.8 %), and lower
sequence similarity with other members of the genus Aneurinibacillus. Growth was
observed at 20-55 degrees C (optimum, 37 degrees C) at pH 5.0-9.0 (optimum, pH
7) and with 0-5 % (w/v) NaCl (optimum, 2 % NaCl). The predominant menaquinone was
MK-7 and the cell wall peptidoglycan consist of meso-diaminopimelic acid. The
major cellular fatty acids are iso-C15:0 (58.0 %) and anteiso-C15:0 (13.2 %). The
DNA G+C content of the strain U33(T) was 45.8 %. The physiological and
chemotaxonomic characteristics distinguish strain U33(T) from the validly
published species of genus Aneurinibacillus, and therefore, we consider this
strain to represent a novel species of the genus Aneurinibacillus. The name
Aneurinibaciilus humi sp. nov. is proposed with strain U33(T) (= KEMC7305-119(T)
= JCM19865(T)) as the type strain.
PMID- 26542531
TI - The Detection of Hemin-Binding Proteins in Riemerella anatipestifer CH-1.
AB - Riemerella anatipestifer (R. anatipestifer) is among the most prevalent duck
pathogens, causing acute or chronic septicemia characterized by serositis.
Riemerella anatipestifer can be grown on blood-enriched media, in vitro, which
provides a hemin source essential for the sustainment of R. anatipestifer and
activation of hemin-uptake systems. However, the genes associated with hemin
uptake cannot be identified exclusively through genome sequence analysis. Here,
we show that R. anatipestifer encodes outer-membrane hemin-binding proteins.
Hemin-binding proteins were identified in the cytoplasm with apparent molecular
mass of ~45/37/33/23/20/13 kDa, and outer membrane with apparent molecular mass
of ~90/70/60/50/15 kDa by batch affinity chromatography and hemin-blotting
assays. Our results indicate that these proteins are involved in hemin
acquisition. Finally, hemin-binding assay further showed that R. anatipestifer
can bind hemin and this capability is increased in iron limited medium,
indicating the hemin-uptake system of R. anatipestifer was regulated by iron.
PMID- 26542532
TI - A Meta-analysis of Bacterial Diversity in the Feces of Cattle.
AB - In this study, we conducted a meta-analysis on 16S rRNA gene sequences of bovine
fecal origin that are publicly available in the RDP database. A total of 13,663
sequences including 603 isolate sequences were identified in the RDP database
(Release 11, Update 1), where 13,447 sequences were assigned to 10 phyla, 17
classes, 28 orders, 59 families, and 110 genera, while the remaining 216
sequences could not be assigned to a known phylum. Firmicutes and Bacteroidetes
were the first and the second predominant phyla, respectively. About 41 % of the
total sequences could not be assigned to a known genus. The total sequences were
assigned to 1252 OTUs at 97 % sequence similarity. A small number of OTUs shared
among datasets indicate that fecal bacterial communities of cattle are greatly
affected by various factors, specifically diet. This study may guide future
studies to further analyze fecal bacterial communities of cattle.
PMID- 26542533
TI - Cumulative and bidirectional association of physical activity and sedentary
behaviour with health-related quality of life in adolescents.
AB - BACKGROUND: The directionality of the association of physical activity (PA) and
sedentary behaviour (SB) with health-related quality of life (HRQoL) remains
unknown in adolescents. This study aimed to investigate the association of 2-year
cumulative level of PA and SB with HRQoL and the reverse association. METHODS: We
included 1445 adolescents in France from a 2-year longitudinal study with three
follow-up times (PRALIMAP trial). At each follow-up, adolescents completed the
International Physical Activity Questionnaire for PA and SB and the Duke Health
Profile for HRQoL. Statistical analyses involved linear and logistic regressions
adjusted for socio-demographic characteristics. RESULTS: The cumulative number of
times an adolescent achieved the PA recommendations during the 2 years was
associated with better physical, mental, social and general HRQoL (p for trend <
0.0001). In contrast, high SB predicted low HRQoL for most dimensions except
social HRQoL (p = 0.12). Combining PA and SB, the effect of recommended PA on
HRQoL was offset in part by high SB. In the reverse association, high HRQoL
predicted high PA (overall, vigorous, moderate and recommended PA), but was not
associated with SB. CONCLUSIONS: The association between PA and HRQoL was
cumulative and bidirectional among adolescents, whereas low HRQoL seemed to be a
consequence of high SB rather than a cause (cumulative but not bidirectional).
Promoting recommended PA and low SB may help improve HRQoL among adolescents,
with a possible virtuous cycle with regard to PA.
PMID- 26542534
TI - Assessing and targeting key lifestyle cardiovascular risk factors at the
workplace: Effect on hemoglobin A1c levels.
AB - PURPOSE: Despite the key role played by lifestyle habits in the epidemic of type
2 diabetes (T2D), nutritional quality and physical activity are not
systematically considered in clinical practice. The project was conducted to
verify whether assessing/targeting lifestyle habits could reduce hemoglobin A1c
(HbA1c) levels of employees. METHODS: The intervention consisted of a 3-month
competition among teams of five employees to favor peer-based support in the
adoption of healthier lifestyle habits (Eat better, Move more, and Quit smoking)
(n = 900). A comprehensive cardiometabolic/cardiorespiratory health assessment
was conducted before and after the contest (nutrition/physical activity
questionnaires, blood pressure, anthropometric measurements, lipid profile,
HbA1c, fitness). HbA1c levels were used to identify individuals with prediabetes
(5.7%-6.4%) or T2D (>=6.5%). RESULTS: At baseline, 51% of the employees had
increased HbA1c levels (>=5.7%). The HbA1c levels were associated with waist
circumference, independently of body mass index. Subjects with prediabetes showed
a higher waist circumference as well as a more deteriorated cardiometabolic
profile compared to workers with normal HbA1c levels. After the intervention,
employees with elevated HbA1c significantly reduced their HbA1c levels.
CONCLUSION: Results suggest that assessing/targeting key lifestyle correlates of
the cardiometabolic profile represents a relevant approach to target abdominal
obesity and fitness with a significant impact on HbA1c levels.
PMID- 26542535
TI - Neutralization of Japanese Encephalitis Virus by heme-induced broadly reactive
human monoclonal antibody.
AB - Geographical expansion and re-emerging new genotypes of the Japanese encephalitis
virus (JEV) require the development of novel therapeutic approaches. Here, we
studied a non-conventional approach for antibody therapy and show that, upon
exposure to heme, a fraction of natural human immunoglobulins acquires high
affinity reactivity with the antigenic domain-III of JEV E glycoprotein. These
JEV-reactive antibodies exhibited neutralizing activity against recently dominant
JEV genotypes. This study opens new therapeutic options for Japanese
encephalitis.
PMID- 26542536
TI - Solitary fibrous tumor of the pleura: Can computed tomography features help
predict malignancy? A series of 56 patients with histopathological correlates.
AB - OBJECTIVE: To identify computed tomography (CT) predictors of malignancy, from a
retrospective study of preoperative CT scans of patients with solitary fibrous
tumors (SFT) of the pleura. PATIENTS AND METHODS: The CT scans of 56 patients
with histopathologically confirmed SFT (33 women and 23 men; mean age, 60years)
who underwent surgery between December 2004 and November 2012 were
retrospectively analyzed by three radiologists working in consensus, blinded to
the final histological diagnosis. RESULTS: SFT was asymptomatic and incidentally
discovered in 22 patients (45.8%). Resection specimen analysis (R0 resection in
all cases) revealed that 23 tumors (41%) were malignant. The CT features, which
significantly differed between malignant and benign SFTs were tumor size
(P=0.002) with a discriminative threshold value of 10cm, tumor heterogeneity
before (P=0.02) and after (P=0.03) intravenous administration of iodinated
contrast material, presence of intratumoral hydric attenuation areas (P=0.01),
pleural effusion (P=0.01), measurable intratumoral vessels (P=0.02),
hypervascularization with visible intratumoral vessels and/or marked enhancement
(P=0.001). Presence of intratumoral calcifications (P=0.2) and maximum post
contrast enhancement value (P=0.6) were not significantly different between the
two groups. CONCLUSION: A size greater than or equal to 10cm,
hypervascularization, attenuation heterogeneity and association with pleural
effusion are individual variables that suggest malignant SFT on CT.
PMID- 26542538
TI - Highlight report: mitochondrial depolarization by ethanol.
PMID- 26542537
TI - Molecular Characterization of Acanthamoeba spp. Occurring in Water Bodies and
Patients in Poland and Redefinition of Polish T16 Genotype.
AB - Acanthamoeba genus is divided into 20 genotypes (T1-T20) on the basis of the gene
encoding 18S rRNA sequence. Using of at least 2 kbp gene fragments is strongly
recommended to identify new genotypes and 5% difference is commonly used as a
criterion of new genotypes, however, this value is questionable. In this paper,
Polish Acanthamoeba strains described earlier on the basis of ~850 bp Ami
fragment of 18S rRNA gene as T4, T11 and a new T16 genotype, have been analyzed
using near-complete sequence of the gene. This analysis was needed because the
Ami fragment does not reveal full variability within 18S rRNA gene. Phylogenetic
analysis based on Ami fragment is biased by artifacts in the construction of the
tree, so the fragment should not be used for identification of new putative
Acanthamoeba genotypes. The analysis confirmed that the Polish sequences
represent T4 and T11 genotypes and that the strains described earlier as T16
genotype are in fact a new subgroup of the T20 genotype and that this genotype
should be divided into two subgroups: T20a (two strains described by [J.
Eukaryot. Microbiol. 62 (2015) 69]) and T20b (11 Polish strains described in this
study). The T20b subgroup was isolated from both clinical samples and water
bodies used by people as bathing places and there is a risk of infection for
humans during contact with water.
PMID- 26542539
TI - Methyleugenol and oxidative metabolites induce DNA damage and interact with human
topoisomerases.
AB - Methyleugenol is a substituted alkenylbenzene found in several herbs and spices.
It is classified by the European Union's Scientific Committee on Food as a
genotoxic carcinogen. We addressed the biological mechanism of the genotoxic
properties of methyleugenol and its oxidative metabolites. Methyleugenol and the
oxidative metabolites significantly enhanced the DNA damage in human colon
carcinoma cells (HT29). Methyleugenol did not affect the protein status of
gammaH2AX, a biomarker of DNA double-strand breaks, whereas its metabolites
methyleugenol-2',3'-epoxide and 3'-oxomethylisoeugenol significantly increased
the cellular phosphorylated H2AX level. Both of these metabolites also showed a
significant induction of micronuclei in HT29 cells. Furthermore, we investigated
whether topoisomerase interaction contribute to the observed effect on DNA
integrity. Methyleugenol-2',3'-epoxide and 3'-oxomethylisoeugenol inhibited the
activity of recombinant topoisomerase I. In HT29 cells, neither methyleugenol nor
the metabolites affected the level of topoisomerase protein bound to DNA,
excluding a topoisomerase poisoning mode of action. In addition, 3'
oxomethylisoeugenol potently diminished the level of camptothecin-stabilized
topoisomerase I/DNA intermediates and camptothecin-induced DNA strand breaks. In
conclusion, it could be suggested that 3'-oxomethylisoeugenol may also interact
with classical or food-borne topoisomerase I poisons, diminishing their poisoning
effectiveness.
PMID- 26542541
TI - Cover stories: From plot to finish: Visualizing martian atmospheric data from
MAVEN in CINEMA 4D with Python.
PMID- 26542540
TI - Integrated analysis identified genes associated with a favorable prognosis in
oligodendrogliomas.
AB - Oligodendrogliomas (ODs) are the second most common malignant brain tumor and
exhibit characteristic co-deletion of chromosomal arms 1p and 19q (co-deletion
1p/19q), which is associated with down-regulation of tumor suppressors. However,
co-deletion 1p/19q indicates a favorable prognosis that cannot be explained by
the down-regulation of tumor suppressors. In the present study, we determined
that co-deletion 1p/19q was associated with reduced Ki-67 protein level based on
analysis of 354 ODs. To identify genes associated with reduced Ki-67 and a
favorable prognosis of codeletion 1p/19q, we analyzed 96 ODs with RNA-sequencing
and 136 ODs and 4 normal brain tissue samples with RNA microarrays. We thus
identified seven genes within chromosomal arms 1p/19q with significantly reduced
expression in samples with co-deletion of 1p/19q compared to samples with intact
1p/19q. A significant positive correlation was observed between these candidate
genes and Ki-67 expression based on analysis of mRNA expression in 305 gliomas
and 5 normal brain tissue samples. Survival analysis confirmed the prognostic
value of these candidate genes. This finding suggests that these genes within
chromosomal arms 1p/19q are associated with low Ki-67 and a favorable prognosis
in ODs with co-deletion 1p/19q and provides novel therapeutic targets.
PMID- 26542542
TI - Eradicating polio.
PMID- 26542544
TI - GEOCHEMISTRY. How buried water makes diamonds and oil.
PMID- 26542545
TI - MICROBIOME. Microbes aid cancer drugs.
PMID- 26542546
TI - BEHIND THE NUMBERS. Data check: How a $30 billion hike becomes $3 billion.
PMID- 26542547
TI - SCIENCE IN SOCIETY. Pacific Rim mathematicians coaxed from their ivory towers.
PMID- 26542548
TI - SCIENCE POLICY. India orders premier labs to pay their own way.
PMID- 26542549
TI - DEVELOPMENTAL BIOLOGY. Using evolution to better identify cell types.
PMID- 26542550
TI - ONCOLOGY. Vitamin C could target some common cancers.
PMID- 26542551
TI - Eggs unlimited.
PMID- 26542552
TI - PUBLIC HEALTH. Dengue vaccines at a crossroad.
PMID- 26542553
TI - METABOLISM. A pancreatic clock times insulin release.
PMID- 26542554
TI - CHEMISTRY. Love at second sight for CO2 and H2 in organic synthesis.
PMID- 26542555
TI - PHYSICS. Frustrating a quantum magnet.
PMID- 26542556
TI - RESEARCH ETHICS. Evidence gaps and ethical review of multicenter studies.
PMID- 26542557
TI - EPIGENETICS. The epigenome--a family affair.
PMID- 26542558
TI - NUCLEAR FUELS. How to isolate americium.
PMID- 26542559
TI - DRUG DISCOVERY. A new dawn for cataracts.
PMID- 26542560
TI - Lift NIH restrictions on chimera research.
PMID- 26542561
TI - Making sense of the troubles at NEON.
PMID- 26542563
TI - MAVEN GOES TO MARS. MAVEN Explores the Martian Upper Atmosphere. Introduction.
PMID- 26542564
TI - Electrochemical oxidation of 243Am(III) in nitric acid by a terpyridyl
derivatized electrode.
AB - Selective oxidation of trivalent americium (Am) could facilitate its separation
from lanthanides in nuclear waste streams. Here, we report the application of a
high-surface-area, tin-doped indium oxide electrode surface-derivatized with a
terpyridine ligand to the oxidation of Am(III) to Am(V) and Am(VI) in nitric
acid. Potentials as low as 1.8 volts (V) versus the saturated calomel electrode
were applied, 0.7 V lower than the 2.6 V potential for one-electron oxidation of
Am(III) to Am(IV) in 1 molar acid. This simple electrochemical procedure provides
a method to access the higher oxidation states of Am in noncomplexing media for
the study of the associated coordination chemistry and, more important, for more
efficient separation protocols.
PMID- 26542565
TI - Evidence for a gapped spin-liquid ground state in a kagome Heisenberg
antiferromagnet.
AB - The kagome Heisenberg antiferromagnet is a leading candidate in the search for a
spin system with a quantum spin-liquid ground state. The nature of its ground
state remains a matter of active debate. We conducted oxygen-17 single-crystal
nuclear magnetic resonance (NMR) measurements of the spin-1/2 kagome lattice in
herbertsmithite [ZnCu3(OH)6Cl2], which is known to exhibit a spinon continuum in
the spin excitation spectrum. We demonstrated that the intrinsic local spin
susceptibility chi(kagome), deduced from the oxygen-17 NMR frequency shift,
asymptotes to zero below temperatures of 0.03J, where J ~ 200 kelvin is the
copper-copper superexchange interaction. Combined with the magnetic field
dependence of chi(kagome) that we observed at low temperatures, these results
imply that the kagome Heisenberg antiferromagnet has a spin-liquid ground state
with a finite gap.
PMID- 26542566
TI - Creation of a low-entropy quantum gas of polar molecules in an optical lattice.
AB - Ultracold polar molecules, with their long-range electric dipolar interactions,
offer a unique platform for studying correlated quantum many-body phenomena.
However, realizing a highly degenerate quantum gas of molecules with a low
entropy per particle is challenging. We report the synthesis of a low-entropy
quantum gas of potassium-rubidium molecules (KRb) in a three-dimensional optical
lattice. We simultaneously load into the optical lattice a Mott insulator of
bosonic Rb atoms and a single-band insulator of fermionic K atoms. Then, using
magnetoassociation and optical state transfer, we efficiently produce ground
state molecules in the lattice at those sites that contain one Rb and one K atom.
The achieved filling fraction of 25% should enable future studies of transport
and entanglement propagation in a many-body system with long-range dipolar
interactions.
PMID- 26542567
TI - The ecology of the microbiome: Networks, competition, and stability.
AB - The human gut harbors a large and complex community of beneficial microbes that
remain stable over long periods. This stability is considered critical for good
health but is poorly understood. Here we develop a body of ecological theory to
help us understand microbiome stability. Although cooperating networks of
microbes can be efficient, we find that they are often unstable.
Counterintuitively, this finding indicates that hosts can benefit from microbial
competition when this competition dampens cooperative networks and increases
stability. More generally, stability is promoted by limiting positive feedbacks
and weakening ecological interactions. We have analyzed host mechanisms for
maintaining stability-including immune suppression, spatial structuring, and
feeding of community members-and support our key predictions with recent data.
PMID- 26542568
TI - Corticomotoneuronal cells are "functionally tuned".
AB - Corticomotoneuronal (CM) cells in the primary motor cortex (M1) have monosynaptic
connections with motoneurons. They are one of the few sources of descending
commands that directly influence motor output. We examined the contribution of CM
cells to the generation of activity in their target muscles. The preferred
direction of many CM cells differed from that of their target muscles. Some CM
cells were selectively active when a muscle was used as an agonist. Others were
selectively active when the same muscle was used as a synergist, fixator, or
antagonist. These observations suggest that the different functional uses of a
muscle are generated by separate populations of CM cells. We propose that muscle
function is one of the dimensions represented in the output of M1.
PMID- 26542569
TI - Plant pathogenic anaerobic bacteria use aromatic polyketides to access aerobic
territory.
AB - Around 25% of vegetable food is lost worldwide because of infectious plant
diseases, including microbe-induced decay of harvested crops. In wet seasons and
under humid storage conditions, potato tubers are readily infected and decomposed
by anaerobic bacteria (Clostridium puniceum). We found that these anaerobic plant
pathogens harbor a gene locus (type II polyketide synthase) to produce unusual
polyketide metabolites (clostrubins) with dual functions. The clostrubins, which
act as antibiotics against other microbial plant pathogens, enable the anaerobic
bacteria to survive an oxygen-rich plant environment.
PMID- 26542570
TI - Pharmacological chaperone for alpha-crystallin partially restores transparency in
cataract models.
AB - Cataracts reduce vision in 50% of individuals over 70 years of age and are a
common form of blindness worldwide. Cataracts are caused when damage to the major
lens crystallin proteins causes their misfolding and aggregation into insoluble
amyloids. Using a thermal stability assay, we identified a class of molecules
that bind alpha-crystallins (cryAA and cryAB) and reversed their aggregation in
vitro. The most promising compound improved lens transparency in the R49C cryAA
and R120G cryAB mouse models of hereditary cataract. It also partially restored
protein solubility in the lenses of aged mice in vivo and in human lenses ex
vivo. These findings suggest an approach to treating cataracts by stabilizing
alpha-crystallins.
PMID- 26542571
TI - Crystal structure of the anion exchanger domain of human erythrocyte band 3.
AB - Anion exchanger 1 (AE1), also known as band 3 or SLC4A1, plays a key role in the
removal of carbon dioxide from tissues by facilitating the exchange of chloride
and bicarbonate across the plasma membrane of erythrocytes. An isoform of AE1 is
also present in the kidney. Specific mutations in human AE1 cause several types
of hereditary hemolytic anemias and/or distal renal tubular acidosis. Here we
report the crystal structure of the band 3 anion exchanger domain (AE1(CTD)) at
3.5 angstroms. The structure is locked in an outward-facing open conformation by
an inhibitor. Comparing this structure with a substrate-bound structure of the
uracil transporter UraA in an inward-facing conformation allowed us to identify
the anion-binding position in the AE1(CTD), and to propose a possible transport
mechanism that could explain why selected mutations lead to disease.
PMID- 26542572
TI - The Papaver rhoeas S determinants confer self-incompatibility to Arabidopsis
thaliana in planta.
AB - Self-incompatibility (SI) is a major genetically controlled system used to
prevent inbreeding in higher plants. S determinants regulate allele-specific
rejection of "self" pollen by the pistil. SI is an important model system for
cell-to-cell recognition and signaling and could be potentially useful for first
generation (F1) hybrid breeding. To date, the transfer of S determinants has used
the complementation of orthologs to "restore" SI in close relatives. We expressed
the Papaver rhoeas S determinants PrsS and PrpS in Arabidopsis thaliana. This
enabled pistils to reject pollen expressing cognate PrpS. Moreover, plants
coexpressing cognate PrpS and PrsS exhibit robust SI. This demonstrates that PrsS
and PrpS are sufficient for a functional synthetic S locus in vivo. This transfer
of novel S determinants into a highly divergent species (>140 million years
apart) with no orthologs suggests their potential utility in crop production.
PMID- 26542573
TI - A cucurbit androecy gene reveals how unisexual flowers develop and dioecy
emerges.
AB - Understanding the evolution of sex determination in plants requires identifying
the mechanisms underlying the transition from monoecious plants, where male and
female flowers coexist, to unisexual individuals found in dioecious species. We
show that in melon and cucumber, the androecy gene controls female flower
development and encodes a limiting enzyme of ethylene biosynthesis, ACS11. ACS11
is expressed in phloem cells connected to flowers programmed to become female,
and ACS11 loss-of-function mutants lead to male plants (androecy). CmACS11
represses the expression of the male promoting gene CmWIP1 to control the
development and the coexistence of male and female flowers in monoecious species.
Because monoecy can lead to dioecy, we show how a combination of alleles of
CmACS11 and CmWIP1 can create artificial dioecy.
PMID- 26542575
TI - Improving student advising.
PMID- 26542574
TI - The Symbiodinium kawagutii genome illuminates dinoflagellate gene expression and
coral symbiosis.
AB - Dinoflagellates are important components of marine ecosystems and essential coral
symbionts, yet little is known about their genomes. We report here on the
analysis of a high-quality assembly from the 1180-megabase genome of Symbiodinium
kawagutii. We annotated protein-coding genes and identified Symbiodinium-specific
gene families. No whole-genome duplication was observed, but instead we found
active (retro)transposition and gene family expansion, especially in processes
important for successful symbiosis with corals. We also documented genes
potentially governing sexual reproduction and cyst formation, novel promoter
elements, and a microRNA system potentially regulating gene expression in both
symbiont and coral. We found biochemical complementarity between genomes of S.
kawagutii and the anthozoan Acropora, indicative of host-symbiont coevolution,
providing a resource for studying the molecular basis and evolution of coral
symbiosis.
PMID- 26542577
TI - Discovery of diffuse aurora on Mars.
AB - Planetary auroras reveal the complex interplay between an atmosphere and the
surrounding plasma environment. We report the discovery of low-altitude, diffuse
auroras spanning much of Mars' northern hemisphere, coincident with a solar
energetic particle outburst. The Imaging Ultraviolet Spectrograph, a remote
sensing instrument on the Mars Atmosphere and Volatile Evolution (MAVEN)
spacecraft, detected auroral emission in virtually all nightside observations for
~5 days, spanning nearly all geographic longitudes. Emission extended down to ~60
kilometer (km) altitude (1 microbar), deeper than confirmed at any other planet.
Solar energetic particles were observed up to 200 kilo--electron volts; these
particles are capable of penetrating down to the 60 km altitude. Given minimal
magnetic fields over most of the planet, Mars is likely to exhibit auroras more
globally than Earth.
PMID- 26542578
TI - Dust observations at orbital altitudes surrounding Mars.
AB - Dust is common close to the martian surface, but no known process can lift
appreciable concentrations of particles to altitudes above ~150 kilometers. We
present observations of dust at altitudes ranging from 150 to above 1000
kilometers by the Langmuir Probe and Wave instrument on the Mars Atmosphere and
Volatile Evolution spacecraft. Based on its distribution, we interpret this dust
to be interplanetary in origin. A comparison with laboratory measurements
indicates that the dust grain size ranges from 1 to 12 micrometers, assuming a
typical grain velocity of ~18 kilometers per second. These direct observations of
dust entering the martian atmosphere improve our understanding of the sources,
sinks, and transport of interplanetary dust throughout the inner solar system and
the associated impacts on Mars's atmosphere.
PMID- 26542576
TI - MAVEN observations of the response of Mars to an interplanetary coronal mass
ejection.
AB - Coupling between the lower and upper atmosphere, combined with loss of gas from
the upper atmosphere to space, likely contributed to the thin, cold, dry
atmosphere of modern Mars. To help understand ongoing ion loss to space, the Mars
Atmosphere and Volatile Evolution (MAVEN) spacecraft made comprehensive
measurements of the Mars upper atmosphere, ionosphere, and interactions with the
Sun and solar wind during an interplanetary coronal mass ejection impact in March
2015. Responses include changes in the bow shock and magnetosheath, formation of
widespread diffuse aurora, and enhancement of pick-up ions. Observations and
models both show an enhancement in escape rate of ions to space during the event.
Ion loss during solar events early in Mars history may have been a major
contributor to the long-term evolution of the Mars atmosphere.
PMID- 26542581
TI - Microbiomes in light of traits: A phylogenetic perspective.
AB - A focus on the phenotypic characteristics of microorganisms-their traits-offers a
path for interpreting the growing amount of microbiome data. We review key
aspects of microbial traits, as well as approaches used to assay their
phylogenetic distribution. Recent studies reveal that microbial traits are
differentially conserved across the tree of life and appear to be conserved in a
hierarchical fashion, possibly linked to their biochemical complexity. These
results suggest a predictive framework whereby the genetic (or taxonomic)
resolution of microbiome variation among samples provides information about the
traits under selection. The organizational parallels seen among human and free
living microbiomes seem to support this idea. Developments in this framework may
offer predictions not only for how microbial composition responds to changing
environmental conditions, but also for how these changes may alter the health or
functioning in human, engineered, and environmental systems.
PMID- 26542579
TI - Early MAVEN Deep Dip campaign reveals thermosphere and ionosphere variability.
AB - The Mars Atmosphere and Volatile Evolution (MAVEN) mission, during the second of
its Deep Dip campaigns, made comprehensive measurements of martian thermosphere
and ionosphere composition, structure, and variability at altitudes down to ~130
kilometers in the subsolar region. This altitude range contains the diffusively
separated upper atmosphere just above the well-mixed atmosphere, the layer of
peak extreme ultraviolet heating and primary reservoir for atmospheric escape. In
situ measurements of the upper atmosphere reveal previously unmeasured
populations of neutral and charged particles, the homopause altitude at
approximately 130 kilometers, and an unexpected level of variability both on an
orbit-to-orbit basis and within individual orbits. These observations help
constrain volatile escape processes controlled by thermosphere and ionosphere
structure and variability.
PMID- 26542582
TI - Controversy in myocardial regeneration.
PMID- 26542580
TI - Pancreatic beta cell enhancers regulate rhythmic transcription of genes
controlling insulin secretion.
AB - The mammalian transcription factors CLOCK and BMAL1 are essential components of
the molecular clock that coordinate behavior and metabolism with the solar cycle.
Genetic or environmental perturbation of circadian cycles contributes to
metabolic disorders including type 2 diabetes. To study the impact of the cell
autonomous clock on pancreatic beta cell function, we examined pancreatic islets
from mice with either intact or disrupted BMAL1 expression both throughout life
and limited to adulthood. We found pronounced oscillation of insulin secretion
that was synchronized with the expression of genes encoding secretory machinery
and signaling factors that regulate insulin release. CLOCK/BMAL1 colocalized with
the pancreatic transcription factor PDX1 within active enhancers distinct from
those controlling rhythmic metabolic gene networks in liver. We also found that
beta cell clock ablation in adult mice caused severe glucose intolerance. Thus,
cell type-specific enhancers underlie the circadian control of peripheral
metabolism throughout life and may help to explain its dysregulation in diabetes.
PMID- 26542583
TI - Vale Professor Elizabeth Waters.
PMID- 26542584
TI - Laparoscopy is Safe and Accurate to Evaluate Peritoneal Surface Metastasis Prior
to Cytoreductive Surgery.
AB - BACKGROUND: Completeness of cytoreduction is a significant predictor of long-term
outcome after cytoreductive surgery (CRS) and hyperthermic intraperitoneal
chemotherapy (HIPEC). Imaging has limited sensitivity to identify peritoneal
metastases and therefore predict whether complete cytoreduction is possible. We
reviewed our experience using laparoscopy to determine candidates for complete
cytoreduction and HIPEC. METHODS: This single-center, retrospective study
examined patients from 2007 to 2014 who underwent laparoscopy to determine
complete cytoreduction (CC-0/1)/HIPEC candidacy. Preoperative, intraoperative,
and postoperative data were collected. RESULTS: A total of 145 laparoscopies were
performed on 141 patients, 72 (51.1 %) of whom were female, with a median age of
53 years (range 20-79). The primary site was appendiceal in 67 (47.5 %) patients,
colorectal in 43 (30.5 %), mesothelioma in 17 (12.1 %), unknown in 9 (6.4 %),
small bowel in 3 (2.1 %), gastric in 1, and ovarian in 1 (0.7 % each). Overall,
115 (81.6 %) patients had prior abdominal surgery, 111 (76.6 %) had evidence of
disease on imaging, and 117 (80.7 %) underwent prior chemotherapy, with a median
of 5.9 weeks between the last treatment and laparoscopy (0.9-498.9 weeks). Four
(2.8 %) intraoperative complications were observed (one liver laceration, two
enterotomies, and one air embolus), and nine (6.2 %) postoperative complications
[four (2.8 %) Clavien grade (CG) I, three (2.8 %) CG II, one (0.7 %) CG III
(return to operating room) and one (0.7 %) CG IV (transient ischemic attack)].
Forty-eight patients deemed candidates by laparoscopy underwent CRS/HIPEC
(positive predictive value 82.8 %). CONCLUSION: Diagnostic laparoscopy is a safe,
feasible, and accurate staging tool in patients with suspected peritoneal
metastases being considered for CRS.
PMID- 26542586
TI - Total Laparoscopic Central Pancreatectomy with Pancreaticogastrostomy for High
Risk Cystic Neoplasm.
AB - BACKGROUND: Organ-sparing pancreatic resection is important in prophylactic
surgery for cystic neoplasms. There is controversy regarding the optimal surgical
approach for pancreatic lesions in the neck or proximal body of the pancreas.
Central compared with distal pancreatectomy is technically more challenging, but
preserves more functional pancreatic tissue. Because of the prophylactic nature
of the surgery and long survival of patients with benign and borderline malignant
lesions, surgeons need to stratify greater importance to surgical morbidity and
sparing pancreatic parenchyma. PATIENT: The patient is a 59-year-old active woman
with a symptomatic cystic neoplasm of the pancreas exhibiting high-risk imaging
features. The cyst of 2.2 * 1.8 cm in the body of the pancreas was impinging on
the portal venous confluence. TECHNIQUE: The patient was positioned in the French
Position, the lesser sac was opened, and the pancreatic body exposed. A
retropancreatic tunnel was created with staple division of the neck. The body was
mobilized off the portal vein and splenic vessels transected. A retrogastric
pancreaticogastrostomy was sewn through an anterior gastrotomy. The stent was
delivered past the pylorus to decrease pancreatic enzymatic activation. Pathology
demonstrated a mixed predominantly branch duct IPMN with multifocal high grade
dysplasia and PanIN3. CONCLUSIONS: Laparoscopic ultrasound helps in defining cyst
borders, and minimal blood loss optimizes visualization during the dissection. A
minimally invasive pancreaticogastrostomy created through an anterior gastrotomy
is technically feasible and safe. This approach can minimize the morbidity of
prophylactic pancreatic surgery for patients with cystic neoplasms. Nevertheless,
it should not compromise safety, oncologic completeness, or an organ-sparing
approach.
PMID- 26542585
TI - Incidence of Adjacent Synchronous Invasive Carcinoma and/or Ductal Carcinoma In
situ in Patients with Lobular Neoplasia on Core Biopsy: Results from a
Prospective Multi-Institutional Registry (TBCRC 020).
AB - BACKGROUND: Lobular neoplasia (LN) represents a spectrum of atypical
proliferative lesions, including atypical lobular hyperplasia and lobular
carcinoma-in-situ. The need for excision for LN found on core biopsy (CB) is
controversial. We conducted a prospective multi-institutional trial (TBCRC 20) to
determine the rate of upgrade to cancer after excision for pure LN on CB.
METHODS: Patients with a CB diagnosis of pure LN were prospectively identified
and consented to excision. Cases with discordant imaging and those with
additional lesions requiring excision were excluded. Upgrade rates to cancer were
quantified on the basis of local and central pathology review. Confidence
intervals and sample size were based on exact binomial calculations. RESULTS: A
total of 77 of 79 registered patients underwent excision (median age 51 years,
range 27-82 years). Two cases (3%; 95% confidence interval 0.3-9) were upgraded
to cancer (one tubular carcinoma, one ductal carcinoma-in-situ) at excision per
local pathology. Central pathology review of 76 cases confirmed pure LN in the CB
in all but two cases. In one case, the tubular carcinoma identified at excision
was also found in the CB specimen, and in the other, LN was not identified,
yielding an upgrade rate of one case (1%; 95% CI 0.01-7) by central pathology
review. CONCLUSIONS: In this prospective study of 77 patients with pure LN on CB,
the upgrade rate was 3% by local pathology and 1% by central pathology review,
demonstrating that routine excision is not indicated for patients with pure LN on
CB and concordant imaging findings.
PMID- 26542587
TI - Double Purse-String Telescoped Pancreaticogastro stomy using an Atraumatic Self
retaining Ring Retractor in a Subtotal Stomach-Preserving
Pancreaticoduodenectomy.
AB - BACKGROUND: Pancreatoenteric anastomotic failure is the main cause of pancreatic
fistula after pancreaticoduodenectomy (PD). Double purse-string telescoped
pancreaticogastrostomy, reported by Addeo et al., is an easy and safe procedure.1
The aim of this article was to introduce our technique of pancreaticogastrostomy
using an atraumatic self-retaining ring retractor (Alexis Wound Retractor) in a
patient undergoing subtotal stomach-preserving PD (SSPPD). PATIENT AND METHODS:
An 82-year-old woman presented with pancreatic cancer located in the uncinate
process of pancreas. She underwent SSPPD with resection of the superior
mesenteric vein (SMV) and double purse-string telescoped pancreaticogastrostomy
using an Alexis wound retractor. RESULTS: The pancreas was transected on the
portal vein and the remnant pancreas was separated from the splenic vein and
artery. After extirpation of specimens and reconstruction of the SMV, two
seromuscular purse-string sutures were placed on the posterior wall of the upper
stomach. The anterior wall of the upper stomach was incised and opened using an
Alexis wound retractor. The remnant pancreas was inserted into the gastric cavity
through the posterior wall of the stomach and sutured circumferentially with
running stitches to fix on the gastric muco-muscular layer. After closure of the
anterior wall of the stomach, purse-string sutures were tightened and
pancreaticogastrostomy was completed. The patient's postoperative course was
uneventful and a computed tomography imaging study revealed no fluid collection
around the pancreaticogastrostomy. This patient was discharged on the 14th
postoperative day. CONCLUSIONS: Use of an Alexis wound retractor makes it easier
to perform a double purse-string telescoped pancreaticogastrostomy by a self
expanding property to allow a wide operative view.
PMID- 26542588
TI - Limited Parathyroidectomy in Multiple Endocrine Neoplasia Type 1-Associated
Primary Hyperparathyroidism: A Setup for Failure.
AB - BACKGROUND: Recently, some surgeons have suggested that minimally invasive
parathyroidectomy guided by preoperative localizing studies of patients with
multiple endocrine neoplasia type 1 (MEN1)-associated primary hyperparathyroidism
(pHPT) provides an acceptable outcome while minimizing the risk of
hypoparathyroidism. This study aimed to evaluate the outcome for MEN1 patients
who underwent limited parathyroidectomy compared with subtotal parathyroidectomy.
METHODS: The authors performed a retrospective analysis of 99 patients with MEN1
associated pHPT who underwent at least one parathyroid operation at their
institution. Preoperative imaging studies, intraoperative findings, and clinical
outcomes for patients were compared. RESULTS: A total of 99 patients underwent
146 operations. Persistent pHPT was significantly higher in patients whose
initial operations involved removal of 1 or 2 glands (69 %) or 2.5 to 3 glands
(20 %) compared with those who had 3.5 or more glands removed (6 %) (P < 0.01).
Persistent pHPT occurred in 5 % of all operations that cumulatively removed 3.5
or more parathyroid glands compared with 40 % of operations that removed 3 or
fewer glands (P < 0.01). The single largest parathyroid gland was correctly
identified preoperatively in 69 % (22/32) of the patients. However, preoperative
localizing studies missed enlarged contralateral parathyroid glands in 86 %
(19/22) of these patients. Preoperative localizing studies missed the largest
contralateral parathyroid gland in 16 % (5/32) of the patients. CONCLUSIONS:
Limited parathyroidectomy in MEN1 is associated with a high failure rate and
should not be performed. Preoperative identification of a single enlarged
parathyroid gland in MEN1 is not reliable enough to justify unilateral neck
exploration because additional enlarged contralateral parathyroid glands are
frequently missed.
PMID- 26542589
TI - Tumor Characteristics and Survival Outcome of Endometrial Cancer Arising in
Adenomyosis: An Exploratory Analysis.
AB - BACKGROUND: Endometrial cancer arising in adenomyosis (EC-AIA) is a rare entity
of endometrial cancer, and its clinical significance has not been well studied.
This study aimed to examine the tumor characteristics and survival outcomes of EC
AIA. METHODS: An exploratory analysis was performed to compare EC-AIA and
historical control cases. For this study, EC-AIA cases were identified via a
systematic literature search using PubMed/MEDLINE with entry keywords
"endometrial cancer OR uterine cancer" AND "adenomyosis" (n = 46). The control
group comprised consecutive non-EC-AIA cases from four institutions that had
hysterectomy-based surgical staging (n = 1294). Patient demographics, pathology
results, and survival outcomes were evaluated between the two groups. RESULTS:
The EC-AIA group was significantly older than the control group (58.9 vs. 55.3
years; P = 0.032). In terms of tumor characteristics, 56.5% of the EC-AIA cases
showed tumor within the myometrium without endometrial extension, and the EC-AIA
group was significantly more likely to have tumors with more than 50% myometrial
invasion (51.6 vs. 26.6%; P = 0.002) and serous/clear cell histology (22.2 vs.
8.2%, P = 0.002) while less likely to express estrogen receptor (14.3 vs. 84.6%;
P < 0.001). Grade and stage distributions were similar (P > 0.05). In the
univariate analysis, the EC-AIA group had a significantly poorer disease-free
survival than the control group (5-year rate: 71.4 vs. 80.6%; P = 0.014). In the
multivariate analysis, with control for age, ethnicity, histology, grade, and
stage, EA-CIC remained an independent prognostic factor for decreased disease
free survival (adjusted hazard ratio, 3.07; 95% confidence interval 1.55-6.08; P
= 0.001). CONCLUSIONS: The study suggested that endometrial cancer arising in
adenomyosis may be an aggressive variant of endometrial cancer.
PMID- 26542590
TI - Minimally Invasive Pancreaticoduodenectomy Does Not Improve Use or Time to
Initiation of Adjuvant Chemotherapy for Patients With Pancreatic Adenocarcinoma.
AB - BACKGROUND: The modifiable variable best proven to improve survival after
resection of pancreatic adenocarcinoma is the addition of adjuvant chemotherapy.
A theoretical advantage of minimally invasive pancreaticoduodenectomy (MI-PD) is
the potential for greater use and earlier initiation of adjuvant therapy, but
this benefit remains unproven. METHODS: The 2010-2012 National Cancer Data Base
(NCDB) was queried for patients undergoing pancreaticoduodenectomy for pancreatic
adenocarcinoma. Subjects were classified as MI-PD versus open
pancreaticoduodenectomy (O-PD). Baseline variables were compared between groups.
The independent effect of surgical approach on the use and timing of adjuvant
chemotherapy was estimated using multivariable regression analyses. RESULTS: For
this study, 7967 subjects were identified: 1191 MI-PD (14.9%) and 6776 O-PD
(85.1%) patients. Patients who underwent MI-PD were more likely to have been
treated at academic hospitals. Otherwise, the groups had no baseline differences.
In both the MI-PD and O-PD groups, approximately 50% of the patients received
adjuvant chemotherapy, initiated at a median of 54 versus 55 days postoperatively
(p = 0.08). After multivariable adjustment, surgical approach was not
independently associated with use (odds ratio 1.00; p = 0.99) or time to
initiation of adjuvant chemotherapy (-2.3 days; p = 0.07). Younger age, insured
status, lower comorbidity score, higher tumor stage, and the presence of lymph
node metastases were independently associated with the use of adjuvant
chemotherapy. CONCLUSIONS: At a national level, MI-PD does not result in greater
use or earlier initiation of adjuvant chemotherapy. As surgeons and institutions
continue to gain experience with this complex procedure, it will be important to
revisit this benchmark as a justification for its increasing use for patients
with pancreatic cancer.
PMID- 26542591
TI - Avoiding Diverting Ileostomy in Patients Requiring Complete Pelvic Peritonectomy.
AB - BACKGROUND: In performing cytoreductive surgery with hyperthermic perioperative
chemotherapy, a rectosigmoid colon resection is frequently required. To reduce
the incidence of anastomotic leakage at the colorectal anastomoses, a diverting
ileostomy has been recommended in these patients. METHODS: Stripping of
mesorectal fat from the rectum up to the peritoneal reflection allows transection
of the rectum at the junction of the upper and middle rectum. A suture pulls in
the lateral aspects of the rectal staple line so that this staple line is
included within the barrel of the stapler. After the circular-stapled anastomoses
is complete, a second layer of silk sutures is used to invert the staple line.
RESULTS: In 31 stapled colorectal anastomoses, three rectal transections were so
low that a layer of sutures was not possible. In the 29 two-layer colorectal
anastomoses, no anastomotic leakages were observed. The incidence of diverting
ileostomy was reduced from 50 to 7 %. CONCLUSIONS: These results suggest that
preservation of a 10-15 cm length of rectum allows a second layer of sutures to
be placed over the stapled colorectal anastomoses. This is a safe alternative to
a diverting ileostomy in selected patients.
PMID- 26542592
TI - Which Surgical Attitude to Choose in the Context of Non-Resectability of Ovarian
Carcinomatosis: Beyond Gross Residual Disease Considerations.
AB - BACKGROUND: In ovarian cancer, the increased rate of radical surgery comprising
upper abdominal procedures has participated to improve overall survival (OS) in
advanced stages by increasing the rate of complete cytoreductions. However, in
the context of non-resectability, it is unclear whether radical surgery should be
considered when it would lead to microscopic but visible disease (<=1 cm). We
aimed to compare the survival outcomes among patients with incomplete
cytoreduction according to the extent of surgery. METHODS: Overall, 148 patients
presenting with advanced stage ovarian carcinomas were included in this
retrospective study, regardless of treatment schedule. These patients were
stratified according to the extent of surgery (standard or radical). Complete
cytoreduction at the time of debulking surgery could not be carried out in all
cases. RESULTS: Among our study population (n = 148), 96 patients underwent
standard procedures (SPs) and 52 underwent radical surgeries (RP). Patients in
the SP group had a lower Peritoneal Index Cancer (PCI) at baseline (12.6 vs.
14.9; p = 0.049). After PCI normalization, we observed similar OS in the SP and
RP groups (39.7 vs. 43.1 months; p = 0.737), while patients in the SP group had a
higher rate of residual disease >10 mm (p < 10(-3)). Patients in the RP group had
an increased rate of relapse (p = 0.005) but no difference in disease-free
survival compared with the SP group (22.2 for SP vs. 16.3 months; p = 0.333).
Residual disease status did not impact survival outcomes. CONCLUSIONS: In the
context of non-resectable, advanced stage ovarian cancer, standard surgery seems
as beneficial as radical surgery regarding survival outcomes and should be
considered to reduce surgery-associated morbidity.
PMID- 26542593
TI - Noncurative Resection for Gastric Cancer Patients: Who Could Benefit? :
Determining Prognostic Factors for Patient Selection.
AB - BACKGROUND: Resections have long been recommended for patients with incurable
gastric cancer. However, high morbidity rates and more efficient chemotherapy
regimens have demanded more accurate patient selection. The aim of this study was
to analyze the results of gastric cancer patients treated with noncurative
resection in a single cancer center. METHODS: Medical charts of patients treated
with a noncurative resection between January 1988 and December 2012 were
analyzed. Individuals who had M1 disease were included, along with those with no
metastasis but who had an R2 resection. Morbidity, mortality, and survival
prognostic factors were analyzed. RESULTS: In the period, 192 patients were
resected, 159 with previously diagnosed metastatic disease and the other 33
having resection with macroscopic residual disease (R2). A distal gastrectomy was
performed in 117 patients and a total resection in 75, with a more limited lymph
node dissection in 70 % of cases. A multivisceral resection was deemed necessary
in 42 individuals (21.9 %). Overall morbidity was 26.6 % and 60-day mortality was
6.8 %. Splenectomy was the only independent prognostic factor for higher
morbidity. Median survival was 10 months, and younger age, distal resection, and
chemotherapy were independent prognostic factors for survival. A prognostic score
obtained from these factors identified a 20-month median survival in patients
with these favorable characteristics. CONCLUSION: Noncurative surgery may be
considered in selected gastric cancer patients as long as it has low morbidity
and allows the realization of chemotherapy.
PMID- 26542594
TI - Association Between Preoperative Chemotherapy and Postoperative Complications in
Patients Undergoing Surgery for Ovarian Cancer.
AB - BACKGROUND: This study sought to determine the association between preoperative
chemotherapy and postoperative morbidity and mortality in ovarian cancer
patients. METHODS: The American College of Surgeons National Surgical Quality
Improvement Program was used to identify women who underwent surgery for ovarian
cancer between 2005 and 2012. The women were divided into two groups based on
whether they had received chemotherapy within 30 days before surgery or not.
Preoperative variables, intraoperative measures, and postoperative morbidity and
mortality were compared using chi(2) and Student's t test. Multivariable analyses
using logistic regression modeling were used to correct for potential confounding
variables. RESULTS: Of 1807 patients, 1612 (89.2%) underwent primary surgery, and
195 (10.8%) received preoperative chemotherapy. The chemotherapy group had a
lower preoperative platelet count (317,640 vs 249,740 plt/MUL; P < 0.001),
hematocrit (36.9 vs 33.1%; P < 0.001), and white blood cell (WBC) count (7970 vs
6060 WBC/MUL; P < 0.001). Postoperatively, the chemotherapy group had a higher
rate of organ/space infection (2.2 vs 4.6%; P = 0.04; odds ratio [OR], 2.12; 95%
confidence interval [CI], 1.01-4.47) and a higher blood transfusion rate (17.1 vs
32.3%; P < 0.001; OR, 2.31; 95% CI, 1.67-3.20). A subanalysis of only those with
disseminated cancer showed myelosuppression and an increased blood transfusion
rate in the chemotherapy group. In multivariable analyses, preoperative
chemotherapy, hematocrit, and ascites were independent predictors of
postoperative blood transfusion in the entire cohort, whereas preoperative
chemotherapy was the only independent predictor of postoperative blood
transfusion in the disseminated cancer group. CONCLUSIONS: Preoperative
chemotherapy for the treatment of ovarian cancer is associated with
myelosuppression and an increased risk of postoperative blood transfusion.
PMID- 26542595
TI - Lund-Mackay System for Computed Tomography Evaluation of Paranasal Sinuses in
Patients with Granulomatosis and Polyangiitis.
AB - Granulomatosis with polyangiitis (GPA), a disease capable of affecting any organ,
most often acts upon the upper respiratory tract. Diagnostic imaging is primarily
represented by computed tomography (CT) of paranasal sinuses. The aim of this
study was to define the characteristic changes in paranasal CT in patients with
GPA and to evaluate diagnostic usefulness of the Lund-Mackey scoring system (L-M
System). The study encompassed 43 patients with GPA of the mean age of 47.7 +/-
12.8 years who were treated topically with mupirocin. We found that inflammation
occurred mainly in the maxillary sinuses (72%). The mean L-M score was 5.8 +/-
6.1. The right maxillary sinus had the highest percentage (12.6%) of score hits
of 1, i.e., partial opacification and the left ostiomeatal complex had the
highest percentage (7.6%) of score of 2, i.e., complete opacification or
obstruction. The following changes were the most characteristic for GPA: sinus
mucosal thickening, widespread bone damage, and osteogenesis. We conclude that
the long-term topical mupirocin treatment of GPA may inhibit nasal bone damage,
but also may led to permanent rhinological changes of the rhinosinusitis type.
The Lund-Mackey staging system is a useful diagnostic imaging option in GPA
patients.
PMID- 26542596
TI - Oxidative Stress and Nitric Oxide in Sedentary Older Adults with Intellectual and
Developmental Disabilities.
AB - Individuals with moderate-to-profound intellectual and developmental disabilities
(IDD) are characterized by significant cognitive deficits, abnormal muscle tone,
poor posture and balance, and inactive lifestyle. Increased oxidative stress (OS)
has been implicated in a variety of chronic diseases, inflammatory conditions,
aging, and even following intense physical exercise. Nitric oxide (NO) is a
highly reactive mediator that has been shown to play different roles in a variety
of different biological process and in aging. The aim of the study was to
investigate the serum levels of global OS and NO metabolites (NOx) in sedentary
and non-sedentary older adults with IDD. Global OS was measured by CR 3000
instrument, FORM system, and NOx were measured by determination of serum nitrite
levels. OS and NOx levels were significantly higher in sedentary IDD comparing
non-sedentary controls. The increased of OS and NOx levels suggest their possible
involvement in the phenomenon of 'accelerated aging' in IDD. Our findings can
provide another aspect indicating both OS and NOx as possible biochemical markers
and their potential application in minimizing their negative influence through
future therapeutic strategies.
PMID- 26542597
TI - Exacerbations of Chronic Obstructive Pulmonary Disease and Quality of Life of
Patients.
AB - Exacerbations of chronic obstructive pulmonary disease (COPD) are one of the most
important factors which influence the course of disease and quality of life in
COPD patients. The aim of the study was to assess the exacerbation frequency in
COPD patients in relation to COPD severity and to evaluate the impact of the
number of exacerbations on quality of life. The study included 445 COPD patients
in all four progressive stages of the disease according to GOLD classification.
The patients recorded exacerbations in diaries. Spirometry, St. George's
Respiratory Questionnaire, and dyspnea score were assessed at baseline and after
12 and 24 months from enrollment. After 24 months, 261 diaries were returned. The
mean number of exacerbations per year in the sequential GOLD 1-4 stages of COPD
was as follows: 1.3 +/- 2.1, 1.4 +/- 2.0, 1.7 +/- 1.8, and 3.4 +/- 4.5. A
statistical difference in the exacerbation frequency was noted for GOLD 4 and the
remaining groups. A significant negative correlation was found between the number
of exacerbations and functional status for GOLD 2 and 3 stages. We conclude that
the number of exacerbations is the highest in the most severe stage of the
disease. The quality of life of patients with moderate and severe COPD correlates
negatively with the number of exacerbations.
PMID- 26542598
TI - Psychosocial Context of Differences Between Asthmatic and Diabetic Patients in
Adaptation to Disease.
AB - A significant rise in the incidence of asthma and diabetes makes the psychosocial
underpinnings of these diseases an increasingly important issue. This article
examines differences in psychosocial functioning between healthy people and
patients suffering from asthma and diabetes, as separate disease entities.
Psychological factors seem to play a significant role particularly in the process
of recovery and adaptation to the disease. Our assumption was that a time
perspective, a sense of belonging, and a hope may be related to the functioning
of people with chronic asthma and diabetes. The study involved a total of 90
people assigned to three groups: healthy individuals, asthmatic patients, and
diabetic patients. The findings demonstrate that patients suffering from asthma
have a different attitude toward the future and a sense of fatalism in the
present. Yet there are no significant differences between asthma patients and
healthy individuals in the sense of belonging and hope. Diabetic patients
perceive the present as more fatalistic than asthmatic patients and healthy
individuals, and they are less oriented at setting and achieving future goals.
The finding that the type and course of the disease are associated with specific
psychosocial adaptation may have functional and therapeutic implications, and
thus should get psycho-clinical attention.
PMID- 26542599
TI - Breathing in Parkinsonism in the Rat.
AB - Parkinsonism is underlain by dopamine (DA) deficiency in the mid-brain, a
neurotransmitter innately involved with respiratory regulation. However, the
state of respiration in parkinsonism is an unsettled issue. In this study we seek
to determine ventilation and its responses to hypoxia in a reserpine--alpha
methyl-tyrosine model of parkinsonism in the rat. We also attempted to
differentiate between the role of discrete brain and carotid body DA stores in
the modulation of the hypoxic ventilatory response (HVR). To this end we used
domperidone, a peripheral D2 receptor antagonist, and levodopa, a central D2
receptor agonist. The HVRs to acute 12% and 8% hypoxia were studied in a whole
body plethysmograph in the same rats before and after the induction of
parkinsonic symptoms in conscious rats. We found that resting ventilation and the
HVR were distinctly reduced in parkinsonism. The reduction was particularly
evident in the peak hypoxic hyperpneic augmentation. Domperidone, which enhanced
ventilation in the control healthy condition, failed to reverse the reduced
parkinsonic HVR. In contrast, levodopa, which did not appreciably affected
ventilation in the healthy condition, caused the parkinsonic HVR to return to and
above the baseline healthy level. The findings demonstrate the predominance of a
lack of the central DA stimulatory element and minimize the role of carotid body
DA in the ventilatory impediment of parkinsonism. In conclusion, the study
provides the pathophysiological savvy concerning the respiratory insufficiency of
parkinsonism, a sequela which carries a risk of chronically impaired blood
oxygenation, which may drive the disease worsening.
PMID- 26542600
TI - Thyroid Hormone Levels and TSH Activity in Patients with Obstructive Sleep Apnea
Syndrome.
AB - Obstructive sleep apnea syndrome (OSAS) is characterized by complete cessation of
inspiratory flow (apnea) or upper airway airflow limitation (hypopnea) with
increased respiratory muscle activity, which is repeatedly observed during sleep.
Hypothyroidism has been described as a rare cause of OSAS, but it is considered
to be the main cause of breathing disorders during sleep in patients in whom an
improvement of OSAS is observed after thyroid hormone replacement therapy.
Nevertheless, euthyreosis due to thyroxine replacement in patients with OSAS
often does not improve the breathing disorder and treatment with continuous
positive airway pressure is usually applied. The aim of this study was to assess
thyroid function in patients with OSAS. We studied 813 patients in whom severe
OSAS was diagnosed; the mean apnea-hypopnea index was 44.0. Most of the patients
were obese (mean BMI 33.1 +/- 6.6 kg/m2) and had excessive daytime sleepiness
(ESS 12.8 +/- 6.6). With the thyroid stimulating hormone (TSH) concentration as
the major criterion, hypothyroidism was diagnosed in 38 (4.7%) and
hyperthyroidism was diagnosed in 31 (3.8%) patients. Analysis of basic
anthropometric data, selected polysomnography results, and TSH, fT3, and fT4
values did not reveal any significant correlations. In conclusion, the incidence
of thyroid function disorders seems to be no different in OSAS than that in the
general population. We did not find correlations between TSH activity and the
severity of breathing disorders during sleep.
PMID- 26542601
TI - The Influence of Shockwave Therapy on Orthodontic Tooth Movement Induced in the
Rat.
AB - Shockwave therapy is used in medicine due to its ability to stimulate healing
processes. The application of orthodontic force evokes an inflammatory reaction
resulting in tooth movement. Shockwave therapy might have an effect on both
inflammatory and periodonal ligament cytokine profiles. Our aim was to evaluate
the fluctuations of different inflammatory cytokines after orthodontic force
induction with and without shockwave therapy. An orthodontic appliance was
applied between the rats' molars and incisors. In conjunction with the
commencement of orthodontic force, the rats were treated with a single episode of
1000 shock waves and the gingival crevicular fluid was collected for 3 days. The
expression and concentration of different cytokines was evaluated by a commercial
4-multiplex fluorescent bead-based immunoassay. The level of all cytokines
displayed a similar trend in both shockwave-treated and untreated groups; the
concentration peaked on the first day and declined thereafter. In all cases,
however, the cytokine levels were smaller in the shockwave-treated than in
untreated animals; a significant difference was found for sRANKL and borderline
difference for IL-6 on Day 1. We conclude that shockwave therapy during the
induction of orthodontic tooth movement influences the expression of inflammatory
cytokines.
PMID- 26542602
TI - The Role of Human Herpesvirus 8 in Diabetes Mellitus Type 2: State of the Art and
a Medical Hypothesis.
AB - Diabetes is a common chronic disease due to an altered glucose metabolism, caused
by the quantitative and/or qualitative dysfunction of the insulin hormone. Two
types of diabetes are recognized: juvenile diabetes, or type 1, which has an
autoimmune origin, and adult diabetes, or type 2 (DMT2), which covers 90-95 % of
all diabetic patients.The causes of DMT2 are not yet clear: heredity, life style,
nutrition, and environment are considered the main risk factors. Several viral
infections, namely cytomegalovirus, coxsackie and other enteroviruses, rubella
and hepatitis C virus, have been claimed to be associated with some forms of
diabetes. The direct role of viruses as a cause or as a risk of type 1 diabetes
has been amply described in several recent reviews. Therefore, this review
focuses attention on the role of a human herpes pathogenic virus in the onset of
DMT2. By carrying out an analysis of recent literature, we describe the findings
reported on an extremely deceitful virus, such as Human Herpes virus 8, and
present a medical hypothesis on a possible relationship between this virus and
DMT2.
PMID- 26542603
TI - Antioxidant Hydroxytyrosol-Based Polyacrylate with Antimicrobial and Antiadhesive
Activity Versus Staphylococcus Epidermidis.
AB - The accumulation of reactive oxygen species (ROS) in microbial biofilms has been
recently recognized to play a role in promoting antibiotic resistance in biofilm
growing bacteria. ROS are also over-produced when a medical device is implanted
and they can promote device susceptibility to infection or aseptic loosening.
High levels of ROS seem also to be responsible for the establishment of chronic
wounds.In this study, a novel antioxidant polyacrylate was synthesized and
investigated in terms of antimicrobial and antibiofilm activity. The polymer
possesses in side-chain hydroxytyrosol (HTy), that is a polyphenolic compound
extracted from olive oil wastewaters.The obtained 60 nm in size polymer
nanoparticles showed good scavenging and antibacterial activity versus a strain
of Staphylococcus epidermidis. Microbial adherence assays evidenced that the
hydroxytyrosol-containing polymer was able to significantly reduce bacterial
adhesion compared to the control. These findings open novel perspective for a
successful use of this antioxidant polymer for the prevention or treatment of
biofilm-based infections as those related to medical devices or chronic wounds.
PMID- 26542604
TI - Alcohol Dehydrogenase-1B (rs1229984) and Aldehyde Dehydrogenase-2 (rs671)
Genotypes and Alcoholic Ketosis Are Associated with the Serum Uric Acid Level in
Japanese Alcoholic Men.
AB - AIMS: To identify determinants of hyperuricemia in alcoholics. METHODS: The serum
uric acid (UA) levels of 1759 Japanese alcoholic men (>=40 years) were measured
on their first visit or within 3 days after admission; ADH1B and ALDH2 genotyping
on blood DNA samples were performed. Dipstick urinalyses for ketonuria and serum
UA measurements were simultaneously performed for 621 men on their first visit.
RESULTS: Serum UA levels of >416 MUmol/l (7.0 mg/dl) and >=535 MUmol/l (9.0
mg/dl) were observed in 30.4 and 7.8% of the subjects, respectively. Ketonuria
was positive in 35.9% of the subjects, and a multivariate analysis revealed that
the ketosis level was positively associated with the UA level. The presence of
the ADH1B*2 allele and the ALDH2*1/*1 genotype increased the odds ratio (OR; 95%
confidence interval) among subjects with a high UA level of >416 MUmol/l (vs.
<=416 MUmol/l; 2.04 [1.58-2.65] and 1.48 [1.09-2.01], respectively) and those
with a high UA level of >=535 MUmol/l (vs. <=416 MUmol/l; 2.29 [1.42-3.71] and
3.03 [1.51-6.08], respectively). The ADH1B*2 plus ALDH2*1/*1 combination yielded
the highest ORs (2.86 [1.61-5.10] and 6.21 [1.49-25.88] for a UA level of >416
MUmol/l and >=535 MUmol/l, respectively), compared with the ADH1B*1/*1 plus
ALDH2*1/*2 combination. The presence of diabetes and the consumption of Japanese
sake rather than beer were negatively associated with the UA levels. CONCLUSIONS:
The faster metabolism of ethanol and acetaldehyde by the ADH1B*2 allele and
ALDH2*1/*1 genotype and higher ketosis levels were associated with higher UA
levels in alcoholics, while diabetes and the consumption of sake were negative
determinants.
PMID- 26542605
TI - The Particular Story of Italians' Relation with Alcohol: Trends in Individuals'
Consumption by Age and Beverage Type.
AB - AIMS: In Italy, sales data show a dramatic fall in alcohol consumption between
1970 and 2010. The aim of our study is to provide updated information on trends,
prevalence and determinants of alcohol drinking in Italy, using individual-level
data. METHODS: Seven nationally representative cross-sectional surveys were
conducted in Italy between 2006 and 2014, on a total sample of 21,416
participants aged >=15 years, with available information on weekly consumption of
wine, beer and spirits. RESULTS: Per capita alcohol consumption decreased by 23%
between 2006 and 2014 (from 5.6 to 4.4 drinks/week), due to the fall in wine
drinking. Overall, the prevalence of alcohol drinkers was 61.6%. Individuals
predominantly drinking wine were 35.3%, beer 11.1% and spirits 6.4%. A direct
trend of drinking with age was observed for total alcohol (multivariate odds
ratio, OR = 1.75 for >=65 vs. 15-24 years) and predominant wine drinking (OR =
8.05), while an inverse trend was observed for beer (OR = 0.17) and spirit
drinkers (OR = 0.33). Women (OR = 0.24), obese individuals (OR = 0.67) and those
from southern Italy (OR = 0.63) were less frequently, while those with high
education (OR = 1.97), ex- (OR = 1.46) and current smokers (OR = 2.17) were more
frequently alcohol drinkers. CONCLUSION: On the basis of individual-level data we
confirm and further update to 2014 the decreasing trend in alcohol consumption in
Italy over the last few decades, mainly due to the fall in wine consumption. This
may be due to anti-alcohol policies, programs and campaigns adopted and developed
over the last decade. It also reflects socio-cultural changes in drinking,
particularly in younger generations.
PMID- 26542606
TI - Protective effects of quercetin glycosides, rutin, and isoquercetrin against 6
hydroxydopamine (6-OHDA)-induced neurotoxicity in rat pheochromocytoma (PC-12)
cells.
AB - There is increasing evidence that free radicals induced oxidative stress is a
major causative agent in the pathogenesis of neurodegenerative diseases,
particularly Parkinson's disease. Quercetin glycosides, namely rutin and
isoquercitrin, are flavonoid polyphenol compounds found ubiquitously in fruits
and vegetables and have been known to possess antioxidant effects. This study was
designed to compare the neuroprotective effects of quercetin glycosides rutin and
isoquercitrin in 6-OHDA-induced rat pheochromocytoma (PC-12) cells. The results
showed that both rutin and isoquercitrin significantly increased antioxidant
enzymes, catalase, superoxide dismutase, glutathione peroxidase, and glutathione
level that were attenuated by 6-OHDA in PC-12 cells. There was no significant
difference in the activation of glutathione and glutathione peroxidase enzymes
between rutin and isoquercitrin. These two glycosides were equally effective in
suppressing lipid peroxidation in 6-OHDA-induced PC-12 cells as both compounds
suppressed the malondialdehyde generation and prevented cell damage. In
conclusion, quercetin glycosides rutin and isoquercetrin are having a significant
neuroprotective effect against 6-OHDA toxicity in PC-12 cells.
PMID- 26542607
TI - Thromboprophylaxis after caesarean: when even the 'experts' disagree.
PMID- 26542608
TI - Predictors of depressive symptoms in older Japanese primiparas at 1 month post
partum: A risk-stratified analysis.
AB - AIM: Older maternal age has become more common in Japan. Studies suggest that
older maternal age and primiparity are associated with post-partum depression.
The present study aimed to identify predictors of post-partum depression in older
Japanese primiparas at 1 month post-partum. METHODS: Participants were 479
primiparas aged 35 years and over, drawn from a prospective cohort study. Data
were collected using self-report questionnaires. Depression was measured with the
Japanese version of the Edinburgh Postnatal Depression Scale. Stepwise logistic
regression analysis was conducted on binary outcome variables of depression at 1
month post-partum, along with a stratified analysis based on the risk status of
depression. RESULTS: Five predictors were identified: (i) the depression score
during hospital stay; (ii) financial burden; (iii) dissatisfaction with appraisal
support; (iv) physical burden in daily life; and (v) concerns about infant
caretaking. Stratified analysis identified dissatisfaction with instrumental
support in the low-risk group, and the Child-care Value Scale score as unique
predictors in the high-risk group. CONCLUSION: These results highlight the
importance of early assessment of depressive symptoms and the provision of
continuous care.
PMID- 26542609
TI - Immunohistochemical characterization of appendiceal mucinous neoplasms and the
value of special AT-rich sequence-binding protein 2 in their distinction from
primary ovarian mucinous tumours.
AB - AIMS: The distinction between primary ovarian mucinous tumours and appendiceal
mucinous neoplasms metastatic to the ovary can be challenging, given the overlap
of morphological features and immunohistochemical expression of traditional
markers. Special AT-rich sequence-binding protein 2 (SATB2) has recently been
described as a sensitive and specific marker of colorectal epithelium. This study
was to determine its expression in appendiceal mucinous tumours and its role in
their distinction from ovarian neoplasms. METHODS AND RESULTS:
Immunohistochemistry was performed in tissue microarrays from 32 primary
appendiceal mucinous tumours (25 low-grade appendiceal mucinous neoplasms and
seven adenocarcinomas) and 40 ovarian mucinous neoplasms (20 borderline tumours
and 20 adenocarcinomas). Stains were interpreted as positive or negative by
scoring intensity and distribution. SATB2 was positive in 93.8% of appendiceal
tumours and in only one ovarian tumour; SATB2 was 97.5% specific for appendiceal
origin. CK20, CDX2 and MUC2 were strongly and diffusely positive in appendiceal
tumours; ovarian tumours were also positive, but with a patchy distribution and
mild intensity. CK7 was expressed in 97.5% of ovarian tumours and in 31.2% of
appendiceal tumours. PAX8 was positive in 70% of ovarian tumours, and negative in
all appendiceal lesions. CONCLUSIONS: SATB2 is frequently expressed in
appendiceal mucinous neoplasms. In the context of a mucinous neoplasm involving
the ovary, any SATB2 positivity should raise the possibility of appendiceal
origin. Expression of CK20, CDX2 and MUC2 supports appendiceal origin only when
diffuse and strong. These and other markers, such as CK7 and PAX8, are
recommended in the work-up of ovarian mucinous tumours with any clinical or
pathological features suggestive of secondary origin.
PMID- 26542610
TI - Foreword.
PMID- 26542611
TI - Pump Thrombosis: A Limitation of Contemporary Left Ventricular Assist Devices.
AB - For the majority of patients with heart failure (HF) the management is non
surgical, but for the most advanced subgroup of patients with heart failure and
reduced ejection fraction, mechanical circulatory support (MCS) is becoming a
more viable treatment option. Heart transplantation is the 'gold standard' for
advanced HF therapy, but is limited by donor organ availability. In contrast, MCS
utilization has risen exponentially over the past decade. Pump thrombosis is a
rare but increasingly recognized cause of morbidity and mortality in this
population. In this review, we define the problem of pump thrombosis, discuss
diagnostic testing and approaches to the prevention and management of this
potentially devastating complication of durable MCS.
PMID- 26542612
TI - Pregnancy diabetes: A comparison of diagnostic protocols based on point-of-care,
routine and optimized laboratory conditions.
AB - In vitro glycolysis poses a problem during diabetes screening, especially in
remote laboratories. Point-of-care analysis (POC) may provide an alternative. We
compared POC, routine and STAT analysis and a feasible protocol during glucose
tolerance test (GTT) for pregnancy diabetes (GDM) screening. In the routine
protocol, heparin tubes were used and turn-around-time (TAT) was unsupervised. In
the STAT protocol, tubes were processed immediately. The feasible protocol
comprised of citrated tubes with a TAT of 1 hour. Outcome was defined as glucose
concentration and clinical diagnosis. Glucose measured by POC was higher compared
to routine analysis at t = 0 (0.25 mM) and t = 120 (1.17 mM) resulting in 17%
more GDM diagnoses. Compared to STAT analysis, POC glucose was also higher,
although less pronounced (0.06 and 0.9 mM at t = 0 and t = 120 minutes,
respectively) and misclassification was only 2%. Glucose levels and clinical
diagnosis were similar using the feasible protocol and STAT analysis (0.03 mM and
-0.07 mM at t = 0 and t = 120, 100% identical diagnoses). POC is an viable
alternative for STAT glucose analysis in GDM screening (sensitivity: 100%,
specificity: 98%). A feasible protocol (citrated phlebotomy tubes with a TAT of
60 minutes) resulted in 100% identical outcome and provides the best alternative.
PMID- 26542613
TI - Pattern Recognition in Pharmacodynamic Data Analysis.
AB - Pattern recognition is a key element in pharmacodynamic analyses as a first step
to identify drug action and selection of a pharmacodynamic model. The essence of
this process is going from data to insight through exploratory data analysis.
There are few formal strategies that scientists typically use when the experiment
has been done and data collected. This report attempts to ameliorate this deficit
by identifying the properties of a pharmacodynamic model via dissection of the
pattern revealed in response-time data. Pattern recognition in pharmacodynamic
analyses contrasts with pharmacokinetic analyses with respect to time course.
Thus, the time course of drug in plasma usually differs markedly from the time
course of the biomarker response, as a consequence of a myriad of interactions
(transport to biophase, binding to target, activation of target and downstream
mediators, physiological response, cascade and amplification of biosignals,
homeostatic feedback) between the events of exposure to test compound and the
occurrence of the biomarker response. Homing in on this important-but less often
addressed-element, 20 datasets of varying complexity were analyzed, and from
this, we summarize a set of points to consider, specifically addressing baseline
behavior, number of phases in the response-time course, time delays between
concentration- and response-time courses, peak shifts in response with increasing
doses, saturation, and other potential nonlinearities. These strategies will
hopefully give a better understanding of the complete pharmacodynamic response
time profile.
PMID- 26542614
TI - Impulsivity in body-focused repetitive behavior disorders: Disparate clinical
associations between three distinct measures.
AB - OBJECTIVE: Research on trichotillomania (TTM) and excoriation (skin-picking)
disorder (SPD) has suggested that impulsivity may be an important cognitive
underpinning of the behavior, but many studies have produced mixed results. This
analysis assessed impulsivity in TTM and SPD using three measures: the Barratt
Impulsiveness Scale (BIS), the Eysenck Impulsiveness Questionnaire (EIQ), and the
Stop-Signal Task (SST). METHODS: Two hundred and eighty three subjects with TTM
or SPD completed measurement of impulsivity as a part of participation in several
research studies. Subjects scoring one standard deviation above or below measure
means were included in the analysis for that scale (SST: N = 45; EIQ: N = 32;
BIS: N = 34). High and low impulsive groups were compared within measures on
demographic, clinical, and behavioral variables. RESULTS: Results differed by
group, with domains of the BIS showing associations with clinical severity,
quality of life, and anxiety, and the SST showing several differences, but not
clinical severity. The EIQ domains showed no significant differences. No groups
differed demographically. CONCLUSIONS: These results suggest that the EIQ, BIS,
and SST assess distinct characteristics. Notably, only the attentional domain
from the BIS predicted higher severity scores. Future research needs to clarify
the ideal utility for these scales as they relate to TTM and SPD. Key points The
BIS, EIQ, and SST domains are associated with distinct clinical differences
between high and low impulsivity groups. Only the subjects in the high
attentional impulsivity domain of the BIS showed significantly elevated symptom
severity. The high and low impulsivity groups within the EIQ domains did not show
any significant differences. These disparate associations may indicate the need
for better subtyping of impulsivity, as different measures of specific domains
appear to show associations with distinct features.
PMID- 26542615
TI - Further exploring the absorption and enterocyte metabolism of quercetin forms in
the Caco-2 model using nano-LC-TOF-MS.
AB - When using the Caco-2 intestinal model, the low uptake, intracellular presence at
low levels as well as generation of trace metabolites may limit the analysis of
flavonoids. To overcome these limitations, we performed a simple but sensitive
methodology based on nano-LC-TOF-MS, using an on-line trapping step. The
analytical method was validated for quercetin, quercetin 3-O-glucoside, and
quercetin 3-O-glucuronide and the reliability for characterization using lock
mass calibration was also assessed along the linear range. Afterwards, the in
vitro absorption, metabolism, and cellular occurrence were explored with the
proposed methodology. The apparent permeability coefficient in the absorptive
direction and the cellular accumulation were higher for quercetin aglycone, with
a value of 2.61 * 10(-6) cm/s and relative amounts of 0.73 and 1.17% in the
cytosolic and solid particle fraction at the end of the assay, respectively.
Alternatively, the net efflux ratio was lower for quercetin than for their
derivatives. Moreover, depending on the structure of the parent compound,
metabolites were generated by glucuronidation, sulfation, and methylation.
PMID- 26542616
TI - Participatory action research in corrections: The HITEC 2 program.
AB - BACKGROUND: HITEC 2 (Health Improvement through Employee Control 2) is the follow
up to HITEC, a participatory action research (PAR) program that integrates health
and work conditions interventions designed by the workforce. HITEC 2 compares
intervention programs between two correctional sites, one using a pure workforce
level design team and the other using a more structured and time delineated labor
management kaizen effectiveness team. METHODS: HITEC 2 utilizes a seven step
participatory Intervention Design and Analysis Scorecard (IDEAS) for planning
interventions. Consistent with PAR, process and intervention efficacy measures
are developed and administered through workforce representation. RESULTS:
Participation levels, robustness of participatory structures and sophistication
of interventions have increased at each measured interval. Health comparisons
between 2008 and 2013 showed increased hypertension, static weight maintenance,
and increased 'readiness to change'. CONCLUSIONS: The PAR approaches are robust
and sustained. Their long-term effectiveness in this population is not yet clear.
PMID- 26542617
TI - Dependent personality, separation anxiety disorder and other anxiety disorders in
OCD.
AB - BACKGROUND: The purpose of this study was to investigate whether dependent
personality and/or general personality dimensions might explain the strong
relationships between separation anxiety disorder (Sep-AD) and three other
anxiety disorders (agoraphobia, panic disorder and social anxiety disorder) in
individuals with obsessive compulsive disorder (OCD). METHODS: Using data from
509 adult participants collected during the OCD Collaborative Genetic Study, we
used logistic regression models to evaluate the relationships between Sep-AD,
dependent personality score, general personality dimensions and three additional
anxiety disorders. RESULTS: The dependent personality score was strongly
associated with Sep-AD and the other anxiety disorders in models adjusted for age
at interview, age at onset of OC symptoms and worst ever OCD severity score.
Several general personality dimensions, especially neuroticism, extraversion and
conscientiousness, were also related to Sep-AD and the other anxiety disorders.
Sep-AD was not independently related to these anxiety disorders, in multivariate
models including general personality and dependent personality disorder scores.
CONCLUSIONS: The results suggest that Sep-AD in childhood and these other anxiety
disorders in adulthood are consequences of dependent personality disorder (for
agoraphobia and panic disorder) or introversion (for social phobia). It is
unknown whether these results would be similar in a non-OCD sample.
PMID- 26542618
TI - Right frontal pole cortical thickness and executive functioning in children with
traumatic brain injury: the impact on social problems.
AB - Cognitive and social outcomes may be negatively affected in children with a
history of traumatic brain injury (TBI). We hypothesized that executive function
would mediate the association between right frontal pole cortical thickness and
problematic social behaviors. Child participants with a history of TBI were
recruited from inpatient admissions for long-term follow-up (n = 23; average age
= 12.8, average time post-injury =3.2 years). Three measures of executive
function, the Trail Making Test, verbal fluency test, and the Conners' Continuous
Performance Test-Second edition (CPT-II), were administered to each participant
while caregivers completed the Childhood Behavior Checklist (CBCL). All
participants underwent brain magnetic resonance imaging following cognitive
testing. Regression analysis demonstrated right frontal pole cortical thickness
significantly predicted social problems. Measures of executive functioning also
significantly predicted social problems; however, the mediation model testing
whether executive function mediated the relationship between cortical thickness
and social problems was not statistically significant. Right frontal pole
cortical thickness and omission errors on the CPT-II predicted Social Problems on
the CBCL. Results did not indicate that the association between cortical
thickness and social problems was mediated by executive function.
PMID- 26542619
TI - White matter integrity in polydrug users in relation to attachment and
personality: a controlled diffusion tensor imaging study.
AB - The relationship between substance use disorders (SUD) and brain deficits has
been studied extensively. However, there is still a lack of research focusing on
the structural neural connectivity in long-term polydrug use disorder (PUD).
Since a deficiency in white matter integrity has been reported as being related
to various parameters of increased psychopathology, it might be considered an
aggravating factor in the treatment of SUD. In this study we compared two groups
of PUD inpatients (abstinent: n = 18, in maintenance treatment: n = 15) to
healthy controls (n = 16) with respect to neural connectivity in white matter,
and their relation to behavioral parameters of personality factors/organization
and attachment styles. Diffusion Tensor Imaging was used to investigate white
matter structure. Compared with healthy controls, the PUD patients showed reduced
fractional anisotropy (FA) and increased radial diffusivity (RD) mainly in the
superior fasciculus longitudinalis and the superior corona radiata. These
findings suggest diminished neural connectivity as a result of myelin pathology
in PUD patients. In line with our assumptions, we observed FA in the biggest
cluster as negatively correlated with anxious attachment (r = 0.36, p < 0.05),
personality dysfunctioning (r = -0.41; p < 0.01) as well positively correlated
with personality factors Openness (r = 0.34; p < 0.05) and Agreeableness (r =
0.28; p < 0.05). Correspondingly these findings were inversely mirrored by RD.
Further research employing enhanced samples and addressing longitudinally
neuronal plastic effects of SUD treatment in relation to changes in personality
and attachment is recommended.
PMID- 26542620
TI - Neurophysiological correlates of persistent psycho-affective alterations in
athletes with a history of concussion.
AB - Understanding the neuropathological underpinnings of sport-related concussion are
critical for diagnosis, prognosis, and remediation. Although electro
encephalographic (EEG) methods have proven invaluable for understanding psycho
affective pathologies in various clinical conditions, they have not been used to
understand the psycho-affective outcomes of concussive injuries. Accordingly, we
evaluated the relation of electroencephalographic (EEG) power in collegiate
athletes to psycho-affective measures. We predicted that athletes with a history
of concussion would exhibit alterations in frontal EEG asymmetries indicative of
increased depression, anxiety and more general mood disturbance. During this
cross-sectional study, resting EEG and measures of mood and affect, including the
Beck Depression Inventory-II (BDI-II) and Profile of Mood States (POMS) were
collected in 81 young-adult male athletes (52 concussion history; 29 controls).
All athletes with a history of concussion (9+ months from injury) reported to be
symptom free, and all participants were actively taking part in their sport at
the time of testing. Compared to control athletes, the athletes with a history of
concussion exhibited alterations in frontal-alpha and frontal-beta asymmetry (p's
< .05). Correlational analyses revealed that alterations in frontal-alpha
asymmetry were related to self-reported depression and anxiety, and alterations
in beta-asymmetry were related to self-reported anger/aggression, but these
relations were only significant for athletes with a history of concussion. The
current study suggests that athletes with a history of concussion who made a
complete return to play and reported to be asymptomatic on a commonly used
symptom checklist may still exhibit neural activity associated with increased
levels of depression, anxiety and anger/hostility. The current results reinforce
the clinical necessity for long-term evaluations of athletes irrespective of
apparent symptom resolution, and suggest that EEG may serve as a sensitive tool
to identify and track concussion-related alterations in psycho-affective health
before they manifest as clinical disorders.
PMID- 26542621
TI - Aged care nurse practitioners working in general practice.
PMID- 26542622
TI - Melioidosis in New Caledonia: a dominant strain in a transmission hotspot.
AB - Melioidosis is an infectious disease caused by Burkholderia pseudomallei, a
bacterium endemic in Southeast Asia and northern Australia. In New Caledonia,
sporadic cases were first described in 2005; since then, more cases have been
identified. To improve our understanding of melioidosis epidemiology in New
Caledonia, we compared the local cases and B. pseudomallei isolates with those
from endemic areas. Nineteen melioidosis cases have been diagnosed in New
Caledonia since 1999, mostly severe and with frequent bacteraemia, leading to
three (16%) fatalities. All but one occurred in the North Province. Besides
sporadic cases caused by non-clonal strains, we also identified a hotspot of
transmission related to a clonal group of B. pseudomallei that is
phylogenetically related to Australian strains.
PMID- 26542624
TI - Atrial myocytes demonstrate the diversity of cardiac calcium signalling.
PMID- 26542625
TI - Degrading vision with too much Ca(2+).
PMID- 26542626
TI - Piece treaties connect ENaC subunits.
PMID- 26542627
TI - TRPM3 joins the ranks of PI(4,5)P2 sensitive ion channels.
PMID- 26542629
TI - Corrigendum.
PMID- 26542628
TI - Anticonvulsant mechanisms of piperine, a piperidine alkaloid.
AB - Piperine, a natural compound isolated from the fruits of Piper, is known to
modulate several neurotransmitter systems such as serotonin, norepinephrine, and
GABA, all of which have been linked to the development of convulsions. Fruits of
Piper species have been suggested as means for managing seizure disorders. The
present study was designed to elucidate the anticonvulsant effect of piperine and
its mechanisms of action using in-silico, in-vivo and in-vitro techniques.PASS
software was used to determine its possible activity and mechanisms. Furthermore
the latency for development of convulsions and mortality rate was recorded in
different experimental mouse models of epilepsy (pentylenetetrazole, maximal
electroshock, NMDA, picrotoxin, bicuculline, BAYK-8644, strychnine-induced
convulsions) after administration of various doses of piperine (5, 10 and 20
mg/kg, i.p.). Finally, the effect of piperine on Na(+) and Ca(2+) channels were
evaluated using the whole cell patch clamp techniqueOur results revealed that
piperine decreased mortality in the MES-induced seizure model. Moreover, piperine
(10 mg/kg) delayed the onset of tonic clonic convulsions in the
pentylenetetrazole test and reduced associated mortality. Furthermore, an
anticonvulsant dose of piperine also delayed the onset of tonic clonic seizures
in strychnine, picrotoxin and BAY K-8644. Complete protection against mortality
was observed in BAYK-8644 induced convulsions. Finally, whole cell patch clamp
analysis suggested an inhibitory effect of piperine on Na(+) channels. Together,
our data suggest Na(+) channel antagonist activity as a contributor to the
complex anticonvulsant mechanisms of piperine.
PMID- 26542630
TI - Synthesis of magnetic cobalt ferrite nanoparticles with controlled morphology,
monodispersity and composition: the influence of solvent, surfactant, reductant
and synthetic conditions.
AB - In our present work, magnetic cobalt ferrite (CoFe2O4) nanoparticles have been
successfully synthesised by thermal decomposition of Fe(III) and Co(II)
acetylacetonate compounds in organic solvents in the presence of oleic acid (OA)/
oleylamine (OLA) as surfactants and 1,2-hexadecanediol (HDD) or octadecanol (OCD
ol) as an accelerating agent. As a result, CoFe2O4 nanoparticles of different
shapes were tightly controlled in size (range of 4-30 nm) and monodispersity
(standard deviation only at ca. 5%). Experimental parameters, such as reaction
time, temperature, surfactant concentration, solvent, precursor ratio, and
accelerating agent, in particular, the role of HDD, OCD-ol, and OA/OLA have been
intensively investigated in detail to discover the best conditions for the
synthesis of the above magnetic nanoparticles. The obtained nanoparticles have
been successfully applied for producing oriented carbon nanotubes (CNTs), and
they have potential to be used in biomedical applications.
PMID- 26542631
TI - Increased expressions of ADAMTS-13 and apoptosis contribute to neuropathology
during Toxoplasma gondii encephalitis in mice.
AB - Toxoplasma gondii (T. gondii) is a protozoan parasite with the potential of
causing severe encephalitis among immunocompromised humans and animals. Our
previous study showed that T. gondii induces high nitric oxide (NO) production,
high glial activation (GFAP) and neurofilament expressions, leading to severe
neurodegeneration in toxoplasma encephalitis (TE) in the central nervous system
(CNS). The aim of this experimental study was to investigate ADAMTS-13 expression
and apoptosis in CNS and to identify whether they have any correlation with
toxoplasmosis neuropathology and neurodegeneration. Mice were infected with ME49
strain T. gondii and the levels of ADAMTS-13, caspase 3, caspase 8, caspase 9,
TNFR1 and Bcl-xL expressions were examined in brain tissues by
immunohistochemistry, during the development and establishment of chronic
infections at 10, 30 and 60 days post-infection. Results of the study revealed
that the levels of ADAMTS-13 (P < 0.005), caspase 3 (P < 0.05), caspase 8 (P <
0.05), caspase 9 (P < 0.005) and TNFR1 (P < 0.05) expressions in the brain
markedly increased while Bcl-xL expression decreased (P < 0.005). The most
prominent finding from our study was that 10, 30 and 60 days post-infection
ADAMTS-13 increased significantly and this may play an important role in the
regulation and protection of the blood-brain barrier integrity and CNS
microenvironment in TE. These results also suggest that T. gondii-mediated
apoptosis might play a pivotal role and a different type of role in the mechanism
of neurodegeneration and neuropathology in the process of TE. Furthermore,
expression of ADAMTS-13 might give an idea of the progress and is critical for
diagnosis of this disease. To the best of the authors' knowledge, this is the
first report on ADAMTS-13 expression in the CNS of T. gondii-infected mice.
PMID- 26542632
TI - Simple HPLC-UV for the quantification of a new leishmanicidal candidate (E)-1
4(trifluoromethyl) benzylidene)-5-(2-4-dichlorozoyl) carbonylhydrazine (LASSBio
1736) in rat plasma for pharmacokinetics assessment.
AB - In this study, a sensitive HPLC-UV assay was developed and validated for the
determination of LASSBio-1736 in rat plasma with sodium diclofenac as internal
standard (IS). Liquid-liquid extraction using acetonitrile was employed to
extract LASSBio-1736 and IS from 100 MUL of plasma previously basified with NaOH
0.1 M. Chromatographic separation was carried on Waters Spherisorb((r)) S5 ODS2
C18 column (150 * 4.6 mm, 5 MUm) using an isocratic mobile phase composed by
water with triethylamine 0.3% (pH 4), methanol and acetonitrile grade (45:15:40,
v/v/v) at a flow rate of 1 mL/min. Both LASSBio-1736 and IS were eluted at 4.2
and 5 min, respectively, with a total run time of 8 min only. The lower limit of
quantification was 0.2 MUg/mL and linearity between 0.2 and 4 MUg/mL was
obtained, with an R(2) > 0.99. The accuracy of the method was >90.5%. The
relative standard deviations intra and interday were <6.19 and <7.83%,
respectively. The method showed the sensitivity, linearity, precision, accuracy
and selectivity required to quantify LASSBio-1736 in preclinical pharmacokinetic
studies according to the criteria established by the US Food and Drug
Administration and European Medicines Agency. Copyright (c) 2016 John Wiley &
Sons, Ltd.
PMID- 26542633
TI - Comparative plasma pharmacokinetics of ceftiofur sodium and ceftiofur crystalline
free acid in neonatal calves.
AB - The objective of this study was to compare the plasma pharmacokinetic profile of
ceftiofur crystalline-free acid (CCFA) and ceftiofur sodium in neonatal calves
between 4 and 6 days of age. In one group (n = 7), a single dose of CCFA was
administered subcutaneously (SQ) at the base of the ear at a dose of 6.6 mg/kg of
body weight. In a second group (n = 7), a single dose of ceftiofur sodium was
administered SQ in the neck at a dose of 2.2 mg/kg of body weight. Concentrations
of desfuroylceftiofur acetamide (DCA) in plasma were determined by HPLC. Median
time to maximum DCA concentration was 12 h (range 12-48 h) for CCFA and 1 h
(range 1-2 h) for ceftiofur sodium. Median maximum plasma DCA concentration was
significantly higher for calves given ceftiofur sodium (5.62 MUg/mL; range 4.10
6.91 MUg/mL) than for calves given CCFA (3.23 MUg/mL; range 2.15-4.13 MUg/mL).
AUC0-infinity and Vd/F were significantly greater for calves given CCFA than for
calves given ceftiofur sodium. The median terminal half-life of DCA in plasma was
significantly longer for calves given CCFA (60.6 h; range 43.5-83.4 h) than for
calves given ceftiofur sodium (18.1 h; range 16.7-39.7 h). Cl/F was not
significantly different between groups. The duration of time median plasma DCA
concentrations remained above 2.0 MUg/mL was significantly longer in calves that
received CCFA (84.6 h; range 48-103 h) as compared to calves that received
ceftiofur sodium (21.7 h; range 12.6-33.6 h). Based on the results of this study,
CCFA administered SQ at a dose of 6.6 mg/kg in neonatal calves provided plasma
concentrations above the therapeutic target of 2 MUg/mL for at least 3 days
following a single dose. It is important to note that the use of ceftiofur
containing products is restricted by the FDA and the use of CCFA in veal calves
is strictly prohibited.
PMID- 26542634
TI - Tumour immunology: Reducing silence to improve therapy.
PMID- 26542635
TI - Immunotherapy: Remote control CARs.
PMID- 26542637
TI - An in vitro attenuated strain of Histomonas meleagridis provides cross-protective
immunity in turkeys against heterologous virulent isolates.
AB - In the current study, cross-protective immunity induced by a well-defined clonal
strain of Histomonas meleagridis, attenuated by prolonged in vitro cultivation
against different clonal heterologous isolates of the same parasite was
investigated. For this purpose, 86 turkey poults were assigned to groups
consisting of 9-10 birds. Birds of four groups were vaccinated on their 1st day
of life followed by re-vaccination on their 14th day of life when the remaining
turkeys were left untreated. The challenge was performed using four strains of H.
meleagridis that were isolated from chickens or turkeys from different outbreaks
of histomonosis in Europe and three of them showed diversities in their genome.
Hence, every strain used for the challenge was applied to a group of vaccinated
and a group of non-vaccinated birds while birds of the negative control group
were sham inoculated. Non-vaccinated birds suffered from severe histomonosis due
to the challenge with fatalities reaching from 5 to 10 turkeys per group.
Vaccinated birds did not contract clinical signs of the disease following
challenge and the increase in weight was unaffected compared to birds of the
negative control group. A significant difference in lesion scores was recorded
between vaccinated and non-vaccinated groups, with very few instances of liver
involvement in the former groups. Livers of vaccinated birds that were without
recordable macroscopic lesions were also found negative by immunohistochemical
investigation. According to the data obtained, the present study demonstrates,
for the first time, the cross-protective capability of a tentative vaccine strain
of H. meleagridis attenuated in vitro against heterologous virulent isolates of
different origin.
PMID- 26542636
TI - Combination of acamprosate and baclofen as a promising therapeutic approach for
Parkinson's disease.
AB - Parkinson's disease (PD) is a progressive neurodegenerative disorder
characterised by the loss of dopaminergic nigrostriatal neurons but which
involves the loss of additional neurotransmitter pathways. Mono- or
polytherapeutic interventions in PD patients have declining efficacy long-term
and no influence on disease progression. The systematic analysis of available
genetic and functional data as well as the substantial overlap between
Alzheimer's disease (AD) and PD features led us to repurpose and explore the
effectiveness of a combination therapy (ABC) with two drugs - acamprosate and
baclofen - that was already effective in AD animal models, for the treatment of
PD. We showed in vitro that ABC strongly and synergistically protected neuronal
cells from oxidative stress in the oxygen and glucose deprivation model, as well
as dopaminergic neurons from cell death in the 6-hydroxydopamine (6-OHDA) rat
model. Furthermore, we showed that ABC normalised altered motor symptoms in vivo
in 6-OHDA-treated rats, acting by protecting dopaminergic cell bodies and their
striatal terminals. Interestingly, ABC also restored a normal behaviour pattern
in lesioned rats suggesting a symptomatic effect, and did not negatively interact
with L-dopa. Our results demonstrate the potential value of combining repurposed
drugs as a promising new strategy to treat this debilitating disease.
PMID- 26542638
TI - Pharmacological research and precision cancer medicine: A call for manuscripts.
PMID- 26542639
TI - Values, inter-attitudinal structure, and attitude change: value accessibility can
increase a related attitude's resistance to change.
AB - Accessibility is one of the most basic structural properties of an attitude and
an important factor to consider in attitude strength. Despite its importance,
relatively little work has examined the role of attitude accessibility in an
inter-attitudinal context, particularly as it relates to the strength of related
attitudes in the network. The present research examines accessibility as a
property of one attitude (toward an abstract goal or end-state, that is, a value)
that might influence the strength of a different but related attitude (toward a
social policy conceptually related to the value). In Study 1, a highly accessible
evaluative component of a value increased resistance to change of attitudes and
behavioral intentions toward a social policy related to that value. Similarly, a
manipulation of value accessibility (Studies 2 and 3) led to increased resistance
of attitudes and behavioral intentions toward a social policy related to that
value. Implications for the role of accessibility in inter-attitudinal strength
are discussed.
PMID- 26542640
TI - Ultrafast Laser Studies of Two-Photon Excited Fluorescence Intermittency in
Single CdSe/ZnS Quantum Dots.
AB - Two-photon fluorescence microscopy of single quantum dots conditions has been
reported by several groups, with contrasting observations regarding the kinetics
and dynamics of fluorescence intermittency or "blinking". Here, we investigate
the power dependence, kinetics, and statistics of two photon-excited fluorescence
intermittency from single CdSe/ZnS quantum dots in a solid PMMA film as a
function of sub-bandgap laser intensity at 800 nm. Fluorescence intermittency is
observed at all excitation powers and a quadratic (n = 1.97(3)) dependence of the
shot noise-limited fluorescence intensity on the incident laser power is
verified, confirming essentially zero background contribution from one-photon
excitation processes. Such analyses permit two photon absorption cross sections
for single quantum dots to be extracted quantitatively from the data, which
reveal good agreement with those obtained from previous two-photon FCS
measurements. Strictly inverse power law-distributed off-state dwell times are
observed for all excitation powers, with a mean power law exponent ?m(off)? =
1.65(4) in excellent agreement with the behavior observed under one-photon
excitation conditions. Finally, a superquadratic (n = 2.3(2)) rather than quartic
(n = 4) power dependence is observed for the on-state blinking dwell times, which
we kinetically analyze and interpret in terms of a novel 2 + 1 "hot" exciton
ionization/blinking mechanism due to partially saturated 1-photon sub-bandgap
excitation out of the two-photon single exciton state. The kinetic results are
consistent with quantum dot photoionization quantum yields from "hot" exciton
states (4(1) * 10(-6)) comparable with experimental estimates (10(-6)-10(-5)) of
Auger ionization efficiencies out of the biexcitonic state.
PMID- 26542641
TI - Two-State or Non-Two-State? An Excess Spectroscopy-based Approach to
Differentiate the Existing Forms of Molecules in Liquids Mixtures.
AB - Characterization/identification of the clusters/associates in liquids has long
been a challenging topic. In this paper, we report a method to identify molecules
with two different existing forms in a binary liquid solution. In this so-called
two-state situation, the excess infrared spectra of a vibration mode of the
respective molecule will show identical band shape if the other component is
transparent in the region. More conveniently, the positions of the positive peak,
negative peak, and zero-value will be seen to be fixed with varying compositions
of the binary system. In the case of non-two-state mixtures, for example the mere
solvation of solute by solvent, those positions will be variable. The conclusions
are supported/demonstrated by computational simulation and experiments on two
binary systems, D2O-H2O and C6F5I-cyclo-C6H12.
PMID- 26542642
TI - Fracture Risk Is Decreased in Women With Polycystic Ovary Syndrome: A Register
Based and Population-Based Cohort Study.
AB - Hyperandrogenism, obesity, and hyperinsulinemia may protect against osteoporosis,
whereas amenorrhea, increased cortisol, and low growth hormone may be associated
with higher fracture risk in polycystic ovary syndrome (PCOS). The objective of
this study was to investigate fracture risk in PCOS. In the PCOS Denmark study,
women with PCOS and/or hirsutism were identified in the Danish National Patient
Register (1995-2012). Each patient was assigned three age-matched controls on the
index date of PCOS diagnosis. Individuals with a previous endocrine diagnosis
were excluded. Within PCOS Denmark, we embedded a well-characterized subcohort of
patients, PCOS OUH, diagnosed with PCOS at Odense University Hospital (n = 1217).
We identified incident fractures by International Classification of Diseases,
10th Revision (ICD-10) codes and used conditional Cox regression analyses to
compare fracture risk. In the PCOS Denmark study, there were 19,199 women with
PCOS and 57,483 controls were included, mean age 30.6 years (range, 12-60 years).
Fracture rates were decreased in PCOS Denmark (10.3/1000 patient years) versus
controls (13.6/1000 patient years). The adjusted ORs were 0.76 (95% CI, 0.71 to
0.80) for all fractures, 0.82 (95% CI, 0.74 to 0.92) for major osteoporotic
fractures, and 0.57 (95% CI, 0.47 to 0.70) for fractures of head and face. The
risk reduction was more pronounced below the age of 30 years at diagnosis. Women
with PCOS had significant more hospital contacts due to strains and sprains. In
the PCOS OUH subcohort, the risk reduction of fractures did not differ between
PCOS women with elevated versus normal testosterone levels and the risk reduction
was nominally smaller in overweight versus normal weight PCOS women. Women with
PCOS had reduced risk of fractures, in particular of the appendicular skeleton.
The risk reduction was greater in women with younger age at diagnosis suggesting
that the skeletal effects of PCOS may be greater in women who have not yet
reached peak bone mass. Reduced participation in sports activities was probably
not the reason for the reduced risk of fractures.
PMID- 26542643
TI - Commentary on "Tracking of radiation exposure in pediatric stone patients: The
time is now".
PMID- 26542644
TI - Shouldn't the laparoscopic operation for inguinal hernia repair in children be
some steps closer to the open procedure?
PMID- 26542645
TI - Cyanide Single-Molecule Magnets Exhibiting Solvent Dependent Reversible "On" and
"Off" Exchange Bias Behavior.
AB - The syntheses, structures, and magnetic properties of four new complex salts,
(PPN){[Mn(III)(salphen)(MeOH)]2[M(III)(CN)6]}.7MeOH (Mn2M.7MeOH) (M = Fe, Ru, Os
and Co; PPN(+) = bis(triphenylphosphoranylidene)ammonium cation; H2salphen = N,N'
bis(salicylidene)-1,2-diaminobenzene), and a mixed metal Co/Os analogue
(PPN){[Mn(III)(salphen)(MeOH)]2[Co(III)0.92Os(III)0.08(CN)6]}.7MeOH were
undertaken. It was found that all compounds exhibit switchable single-molecule
magnet (SMM) and exchange-bias behavior depending on the interstitial methanol
content. The pristine (PPN){[Mn(salphen)(MeOH)]2[Os(CN)6]}.7MeOH (Mn2Os.7MeOH)
behaves as an SMM with an effective barrier for the magnetization reversal,
(Ueff/kB), of 17.1 K. Upon desolvation, Mn2Os exhibits an increase of Ueff/kB to
42.0 K and an opening of the hysteresis loop observable at 1.8 K. Mn2Os.7MeOH
shows also exchange-bias behavior with magnetic hysteresis loops exhibiting a
shift in the quantum tunneling to 0.25 T from zero-field. The Fe(III) and Ru(III)
analogues were prepared as reference compounds for assessing the effect of the 5d
versus 4d and 3d metal ions on the SMM properties. These compounds are also SMMs
and exhibit similar effects but with lower energy barriers. These findings
underscore the importance of introducing heavy transition elements into SMMs to
improve their slow relaxation of the magnetization properties. The
(PPN){[Mn(III)(salphen)(MeOH)]2[Co(III)(CN)6]}.7MeOH (Mn2Co.7MeOH) analogue with
a diamagnetic Co(III) central atom and the mixed Co/Os
(PPN){[Mn(III)(salphen)(MeOH)]2[Co(III)0.92Os(III)0.08(CN)6]}.7MeOH
(Mn2Co/Os.7MeOH) "magnetically diluted" system with a 9:1 Co/Os metal ratio were
prepared in order to further probe the nature of the energy barrier increase upon
desolvation of Mn2Os. In addition, inelastic neutron scattering and frequency
domain Fourier-transform THz electron paramagnetic resonance spectra obtained on
Mn2Os.7MeOH and Mn2Os in combination with the magnetic data revealed the presence
of anisotropic exchange interactions between Mn(III) and Os(III) ions.
PMID- 26542647
TI - Inhibition of dengue virus production and cytokine/chemokine expression by
ribavirin and compound A.
AB - Dengue virus (DENV) infection is a worldwide public health problem with an
increasing magnitude. The severity of disease in the patients with DENV infection
correlates with high viral load and massive cytokine production - the condition
referred to as "cytokine storm". Thus, concurrent inhibition of DENV and cytokine
production should be more effective for treatment of DENV infection. In this
study, we investigated the effects of the antiviral agent - ribavirin (RV), and
the anti-inflammatory compound - compound A (CpdA), individually or in
combination, on DENV production and cytokine/chemokine transcription in human
lung epithelial carcinoma (A549) cells infected with DENV. Initially, the cells
infected with DENV serotype 2 (DENV2) was studied. The results showed that
treatment of DENV-infected cells with RV could significantly reduce both DENV
production and cytokine (IL-6 and TNF-alpha) and chemokine (IP-10 and RANTES)
transcription while treatment of DENV-infected cells with CpdA could
significantly reduce cytokine (IL-6 and TNF-alpha) and chemokine (RANTES)
transcription. Combined RV and CpdA treatment of the infected cells showed
greater reduction of DENV production and cytokine/chemokine transcription.
Similar results of this combined treatment were observed for infection with any
one of the four DENV (DENV1, 2, 3, and 4) serotypes. These results indicate that
combination of the antiviral agent and the anti-inflammatory compound offers a
greater efficiency in reduction of DENV and cytokine/chemokine production,
providing a new therapeutic approach for DENV infection.
PMID- 26542648
TI - Cationic amphiphilic drugs enhance entry of lentiviral particles pseudotyped with
rabies virus glycoprotein into non-neuronal cells.
AB - Amiodarone and other cationic amphiphilic drugs (CADs) inhibit cell entry by
diverse human pathogenic viruses including Filoviruses, Dengue virus and Japanese
encephalitis virus. They are thus considered potential broad spectrum antiviral
agents. Here we report the unexpected finding that amiodarone and other CADs
markedly enhance rabies virus (RABV) glycoprotein- (GP-) mediated cell entry of
pseudotyped lentiviruses into non-neuronal cells but not in neuronal cells.
Increased cell entry can also be elicited when CADs are added several hours after
pseudoviral attachment. Perturbing endosomal processing with phosphoinosite-3
kinase inhibitors wortmannin and LY294002 mimics the effects of CADs on RABV GP
mediated cell entry. Thus, CADs may enhance RABV GP-mediated cell entry of
pseudotyped lentiviruses by promoting a late step of the pseudoviral cell entry
process, possibly release from an endosomal compartment into the cytosol. In
contrast to the pseudotyped lentiviruses, infection by fully infectious RABV was
not enhanced by CADs, indicating, that the observed stimulation of RABV GP
mediated lentivirus entry also depended on the used lentivirus vector backbone.
In conclusion, we show that while CADs inhibit cell entry of diverse viruses they
can also have a paradoxical enhancing effect on the ability of a viral
glycoprotein to mediate cell entry depending on the cellular and viral context.
Although, we show CAD-mediated enhancement of entry only for pseudoviruses, but
not fully infectious RABV, the potential to unexpectedly enhance viral entry
should be taken into account when considering use of CADs as antiviral agents.
PMID- 26542649
TI - Clinical characteristics in adult patients with Salmonella bacteremia and
analysis of ciprofloxacin-nonsusceptible isolates.
AB - BACKGROUND/PURPOSE: The purpose of this study is to describe clinical
characteristics of Salmonella bacteremia in adult patients and analyze
ciprofloxacin-nonsusceptible isolates. METHODS: A total of 101 Salmonella blood
isolates from adult patients were collected from January 2011 to December 2013 in
MacKay Memorial Hospital. Eight ciprofloxacin-nonsusceptible Salmonella blood
isolates were screened for carbapenemase and other beta lactamase genes. Isolates
were examined by PCR for the quinolone resistance-determining region (QRDR) of
all subunits for DNA gyrase (gyrA and gyrB) genes and topoisomerase IV (parC and
parE) genes. RESULTS: There were 22 (21.78%) S. enterica serovar B, 5 (4.95%) S.
enterica serovar C1, 7 (6.93%) S. enterica serovar C2, 65 (64.36%) S. enterica
serovar D, and 2 (1.98%) S. enterica serovar Typhi (S. typhi) isolates. beta
lactamase gene screening and sequencing yielded only one blaCMY-2-positive
isolate. In multivariate risk factor analysis, renal insufficiency [odds ratio
(OR) 3.774; p = 0.020] and heart disease (OR 2.922; p = 0.027) were more common
among elderly patients (>=65 years). Independent risk factors for ciprofloxacin
nonsusceptible strains included S. enterica serovar C2 (OR 28.430; p = 0.032),
renal insufficiency (OR 13.927; p = 0.032), and immunosuppression agent usage (OR
60.082; p = 0.006). 87.50% (7/8) of isolates had gyrA mutation, 62.50% (5/8) had
parC mutation, and none had gyrB and parE mutations. Isolates with both
Ser83Phe/Asp87Asn gyrA and Thr57Ser/Ser80Ile parC mutation genes were highly
ciprofloxacin-resistant (minimum inhibitory concentration >=4 mg/L). CONCLUSIONS:
Elderly patients with renal insufficiency and heart disease were at risk for
Salmonella bacteremia. Those for ciprofloxacin-nonsusceptible strains included S.
enterica serovar C2, renal insufficiency, and immunosuppression agent usage. The
8 ciprofloxacin-nonsusceptible isolates carried gyrA and parC mutations, which
cause resistance that poses a major concern.
PMID- 26542650
TI - Effects of corticosteroid and neuraminidase inhibitors on survival in patients
with respiratory distress induced by influenza virus.
AB - BACKGROUND/PURPOSE: Neuraminidase inhibitors (NAIs) including oseltamivir and
peramivir are used for influenza treatment. A systemic corticosteroid is usually
administrated for acute respiratory distress syndrome. The aim of this study was
to investigate the effect of a systemic corticosteroid and its interaction with
NAIs in patients with influenza infection and respiratory distress. METHODS: A
retrospective survey of hospitalized patients infected with influenza from
January 2012 to May 2014 was conducted in a medical center in Taiwan. RESULTS:
Eighty-six patients were hospitalized during the study period. Forty-eight
patients had respiratory distress and 39 of them (81.3%, 39/48) were supported by
a mechanical ventilator. All patients with respiratory distress received
oseltamivir; 60.4% (29/48) and 31.3% (15/48) of them received a corticosteroid
and salvage intravenous peramivir, respectively. All-cause mortality was 29.1%
(14/48), 20% (3/15), and 31% (9/29) in patients with respiratory distress,
patients who received salvage peramivir, and patients who received a systemic
corticosteroid, respectively. Salvage peramivir seemed to improve prognosis in
patients with H1pdm09 or type B virus infection and respiratory distress (p =
0.05). Early initiating corticosteroid had a worse prognosis than initiation
after 72 hours of NAI treatment (p = 0.024). In particular, a systemic
corticosteroid seemed to lead to a shorter survival time in patients with chronic
lung disease (p = 0.05). CONCLUSION: Salvage peramivir provided a better
prognosis than monotherapy with oseltamivir in patients who were infected with
H1pdm09 or type B virus and who developed respiratory distress. A systemic
corticosteroid should be administered after initiating NAI therapy, especially in
patients with chronic lung disease.
PMID- 26542651
TI - A comparison of the management of venous leg ulceration by specialist and
generalist community nurses: A judgement analysis.
AB - BACKGROUND: Venous leg ulcer management in the UK varies significantly.
Judgements made by nurses contribute to this variability and it is often assumed
that specialist nurses make better judgements than non-specialist nurses. This
paper compares the judgements of community tissue viability specialist nurses and
community generalist nurses; specifically, the ways they use clinical information
and their levels of accuracy. OBJECTIVES: To compare specialist and non
specialist UK community nurses' clinical information use when managing venous leg
ulceration and their levels of accuracy when making diagnoses and judging the
need for treatment. DESIGN: Judgement analysis. SETTING: UK community and primary
care nursing services. PARTICIPANTS: 18 community generalist nurses working in
district (home) nursing teams and general practitioner services and 18 community
tissue viability specialist nurses. METHODS: Data were collected in 2011 and
2012. 18 community generalist nurses and 18 community tissue viability specialist
nurses made diagnostic and treatment judgements on 110 clinical scenarios and
indicated their confidence in each of their judgements. Scenarios were generated
from real patient cases and presented online using text and photographs. An
expert panel made judgements, and reached consensus on the same scenarios. These
judgements were used as a standard against which to compare the participants.
Logistic regression models and correlational statistics were used to generate
various indices of judgement "performance": accuracy, consistency, confidence
calibration and information use. Differences between groups of nurses with
different levels of characteristics linked to expertise were explored using
analysis of variance. RESULTS: Specialist nurses had similar cue usage to the
generalist nurses but were more accurate when making diagnostic and treatment
judgements. CONCLUSION: It is not obvious why the tissue viability specialist
nurses were more accurate. One possible reason might be the greater opportunities
for 'deliberate practice' afforded to specialists. However, restricting aspects
of practice only to specialist nurses is likely to hinder the judgement
performance of generalists.
PMID- 26542652
TI - A systematic review of the effectiveness and roles of advanced practice nursing
in older people.
AB - OBJECTIVES: To identify, assess and summarize available scientific evidence about
the effect of interventions deployed by advanced practice nurses when providing
care to older people in different care settings, and to describe the roles and
components of the interventions developed by these professionals. BACKGROUND: In
older people, evidence of advanced practice roles remains dispersed along
different contexts, approaches and settings; there is little synthesis of
evidence, and it is not easy to visualize the different practice models, their
components, and their impact. DESIGN: Systematic review. DATA SOURCES: Sixteen
electronic databases were consulted (1990-2014). The research also included
screening of original studies in reviews and reports from Centers of Health
Services Research and Health Technology Agencies. REVIEW METHODS: Studies were
assessed by two reviewers with the Cochrane risk of bias tool. They were
classified depending on the type of follow-up (long and short-term care) and the
scope of the service (advanced practice nurses interventions focused on
multimorbid patients, or focused on a specific disease). RESULTS: Fifteen studies
were included. In long-term settings, integrative, multi-component and continuous
advanced practice nursing care, reduced readmissions, and increased patients' and
caregivers' satisfaction. Advanced practice nurses were integrated within
multidisciplinary teams and the main interventions deployed were patient
education, multidimensional assessments and coordination of multiple providers.
CONCLUSION: Positive results have been found in older people in long-term care
settings, although it is difficult to discern the specific effect attributable to
them because they are inserted in multidisciplinary teams. Further investigations
are needed to evaluate the cost-effectiveness of the two modalities detected and
to compare internationally the interventions developed by advanced practice
nurses.
PMID- 26542653
TI - [Gender perspective in socio-health care needs].
AB - Social conditions are the first environment that modulate external factors which
impact on health. In turn gender is a decisive factor in these social
determinants of health. This paper analyzes gender bias in the health system as a
relevant part in social determinants. We can distinguish three types of bias:
cognitive, social, and institutional. In the institutional biases, we analyze the
risks of gender and costs originated from the coordination between the health
system and the system of social protection. Finally, we suggest a series of
measures to minimize these biases and risks.
PMID- 26542654
TI - Physical fatigue increases neural activation during eyes-closed state: a
magnetoencephalography study.
AB - BACKGROUND: Fatigue, defined as difficulty initiating or sustaining voluntary
activities, can be classified as physical or mental. In this study, we use
magnetoencephalography (MEG) to quantify the effect of physical fatigue on neural
activity under the condition of simulated physical load. METHODS: Thirteen
healthy right-handed male volunteers participated in this study. The experiment
consisted of one fatigue-inducing physical task session performed between two MEG
sessions. During the 10-min physical task session, participants performed maximum
effort handgrips with the left hand lasting 1 s every 4 s; during MEG sessions, 3
min recordings were made during the eyes-closed state. MEG data were analyzed
using narrow-band adaptive spatial filtering methods. RESULTS: Alpha-frequency
band (8-13 Hz) power in the left postcentral gyrus, precentral gyrus, and middle
frontal gyrus (Brodmann's areas 1, 2, 3, 4, 6, and 46) were decreased after
performing the physical fatigue-inducing task. CONCLUSIONS: These results show
that performing the physical fatigue-inducing task caused activation of the left
sensorimotor and prefrontal areas, manifested as decreased alpha-frequency band
power in these brain areas. Our results increase understanding of the neural
mechanisms of physical fatigue.
PMID- 26542655
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Preamble, Principles, and General Considerations: A
Scientific Statement From the American Heart Association and American College of
Cardiology.
PMID- 26542656
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 1: Classification of Sports: Dynamic,
Static, and Impact: A Scientific Statement From the American Heart Association
and American College of Cardiology.
PMID- 26542657
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 3: Hypertrophic Cardiomyopathy,
Arrhythmogenic Right Ventricular Cardiomyopathy and Other Cardiomyopathies, and
Myocarditis: A Scientific Statement From the American Heart Association and
American College of Cardiology.
PMID- 26542658
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 6: Hypertension: A Scientific Statement
from the American Heart Association and the American College of Cardiology.
PMID- 26542659
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 2: Preparticipation Screening for
Cardiovascular Disease in Competitive Athletes: A Scientific Statement From the
American Heart Association and American College of Cardiology.
PMID- 26542660
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 4: Congenital Heart Disease: A
Scientific Statement From the American Heart Association and American College of
Cardiology.
PMID- 26542661
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 11: Drugs and Performance-Enhancing
Substances: A Scientific Statement From the American Heart Association and
American College of Cardiology.
PMID- 26542662
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 10: The Cardiac Channelopathies: A
Scientific Statement From the American Heart Association and American College of
Cardiology.
PMID- 26542663
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 15: Legal Aspects of Medical Eligibility
and Disqualification Recommendations: A Scientific Statement From the American
Heart Association and American College of Cardiology.
PMID- 26542664
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 7: Aortic Diseases, Including Marfan
Syndrome: A Scientific Statement From the American Heart Association and American
College of Cardiology.
PMID- 26542665
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 12: Emergency Action Plans,
Resuscitation, Cardiopulmonary Resuscitation, and Automated External
Defibrillators: A Scientific Statement From the American Heart Association and
American College of Cardiology.
PMID- 26542666
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 8: Coronary Artery Disease: A Scientific
Statement from the American Heart Association and American College of Cardiology.
PMID- 26542667
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 5: Valvular Heart Disease: A Scientific
Statement From the American Heart Association and American College of Cardiology.
PMID- 26542668
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 14: Sickle Cell Trait: A Scientific
Statement From the American Heart Association and American College of Cardiology.
PMID- 26542669
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 13: Commotio Cordis: A Scientific
Statement From the American Heart Association and American College of Cardiology.
PMID- 26542670
TI - Eligibility and Disqualification Recommendations for Competitive Athletes With
Cardiovascular Abnormalities: Task Force 9: Arrhythmias and Conduction Defects: A
Scientific Statement From the American Heart Association and American College of
Cardiology.
PMID- 26542671
TI - Effect of antiresorptive and anabolic bone therapy on development of
osteoarthritis in a posttraumatic rat model of OA.
AB - INTRODUCTION: Osteoarthritis (OA) is a leading cause of disability, but despite
the high unmet clinical need and extensive research seeking dependable
therapeutic interventions, no proven disease-modifying treatment for OA is
currently available. Due to the close interaction and interplay between the
articular cartilage and the subchondral bone plate, it has been hypothesized that
antiresorptive drugs can also reduce cartilage degradation, inhibit excessive
turnover of the subchondral bone plate, prevent osteophyte formation, and/or that
bone anabolic drugs might also stimulate cartilage synthesis by chondrocytes and
preserve cartilage integrity. The benefit of intensive zoledronate (Zol) and
parathyroid hormone (PTH) therapy for bone and cartilage metabolism was evaluated
in a rat model of OA. METHODS: Medial meniscectomy (MM) was used to induce OA in
male Lewis rats. Therapy with Zol and human PTH was initiated immediately after
surgery. A dynamic weight-bearing (DWB) system was deployed to evaluate the
weight-bearing capacity of the front and hind legs. At the end of the 10-week
study, the rats were euthanized and the cartilage pathology was evaluated by
contrast (Hexabrix)-enhanced MUCT imaging and traditional histology. Bone tissue
was evaluated at the tibial metaphysis and epiphysis, including the subchondral
bone. Histological techniques and dynamic histomorphometry were used to evaluate
cartilage morphology and bone mineralization. RESULTS: The results of this study
highlight the complex changes in bone metabolism in different bone compartments
influenced by local factors, including inflammation, pain and mechanical loads.
Surgery caused severe and extensive deterioration of the articular cartilage at
the medial tibial plateau, as evidenced by contrast-enhanced MUCT and histology.
The study results showed the negative impact of MM surgery on the weight-bearing
capacity of the operated limb, which was not corrected by treatment. Although
both Zol and PTH improved subchondral bone mass and Zol reduced serum CTX-II
level, both treatments failed to prevent or correct cartilage deterioration,
osteophyte formation and mechanical incapacity. CONCLUSIONS: The various methods
utilized in this study showed that aggressive treatment with Zol and PTH did not
have the capacity to prevent or correct the deterioration of the hyaline
cartilage, thickening of the subchondral bone plate, osteophyte formation or the
mechanical incapacity of the osteoarthritic knee.
PMID- 26542672
TI - Malaria, anaemia and under-nutrition: three frequently co-existing conditions
among preschool children in rural Rwanda.
AB - BACKGROUND: Malaria, anaemia and under-nutrition are three highly prevalent and
frequently co-existing diseases that cause significant morbidity and mortality
particularly among children aged less than 5 years. Currently, there is paucity
of conclusive studies on the burden of and associations between malaria, anaemia
and under-nutrition in Rwanda and comparable sub-Saharan and thus, this study
measured the prevalence of malaria parasitaemia, anaemia and under-nutrition
among preschool age children in a rural Rwandan setting and evaluated for
interactions between and risk determinants for these three conditions. METHODS: A
cross-sectional household (HH) survey involving children aged 6-59 months was
conducted. Data on malaria parasitaemia, haemoglobin densities, anthropometry,
demographics, socioeconomic status (SES) and malaria prevention knowledge and
practices were collected. RESULTS: The prevalences of malaria parasitaemia and
anaemia were 5.9 and 7.0 %, respectively, whilst the prevalence of stunting was
41.3 %. Malaria parasitaemia risk differed by age groups with odds ratio (OR) =
2.53; P = 0.04 for age group 24-35 months, OR = 3.5; P = 0.037 for age group 36
47 months, and OR = 3.03; P = 0.014 for age group 48-60 months, whilst a reduced
risk was found among children living in high SES HHs (OR = 0.37; P = 0.029). Risk
of anaemia was high among children aged >=12 months, those with malaria
parasitaemia (OR = 3.86; P <= 0.0001) and children living in HHs of lower SES.
Overall, under-nutrition was not associated with malaria parasitaemia.
Underweight was higher among males (OR = 1.444; P = 0.019) and children with
anaemia (OR = 1.98; P = 0.004). CONCLUSIONS: In this study group, four in 10 and
one in 10 children were found stunted and underweight, respectively, in an area
of low malaria transmission. Under-nutrition was not associated with malaria
risk. While the high prevalence of stunting requires urgent response, reductions
in malaria parasitaemia and anaemia rates may require, in addition to scaled-up
use of insecticide-treated bed nets and indoor residual insecticide spraying,
improvements in HH SES and better housing to reduce risk of malaria.
PMID- 26542673
TI - The association between physical activity and sexual dysfunction in patients with
diabetes mellitus of European and South Asian origin: The Oxford Sexual
Dysfunction Study.
AB - BACKGROUND: The present study aims to evaluate the relationship between physical
activity and sexual dysfunction amongst an ethnic South Asian population living
in the United Kingdom and compare the association with that of the native
Caucasian population. METHODS: Twenty-five general practitioner clinics from
eight primary care trusts in the United Kingdom collaborated in the Oxford Sexual
Dysfunction Study. In each practice, a sample of diabetic and non-diabetic
patients of European/Europid and South Asian origin were invited for the study.
Erectile dysfunction (ED) was assessed using a five-item version of the
International Index of Erectile Function. Premature ejaculation (PE) was
diagnosed using the premature ejaculation diagnostic tool. Libido was assessed by
asking participants to grade their desire for sexual activity. Physical activity
during the past week was assessed using the short version of the International
Physical Activity Questionnaire (IPAQ). A binary logistic regression analysis was
performed in all adults, Europids and South Asians with 'presence of ED' as the
dichotomous dependent variable (0 = ED absent; 1 = ED present) and age, diabetes
status, physical activity, ethnicity, current smoking and use of antihypertensive
medications as the independent variables. RESULTS: Sample size was 510, and mean
age was 56.9 +/- 9.7 years. There were 63.9 % (n = 326) Europid males in the
study population. The prevalence of ED was 64.5 % and it was significantly higher
in men with diabetes than in those without diabetes (84.4 vs. 49.0 %, p < 0.001).
The overall prevalence of PE was 28.8 %, (with diabetes 32.6 %, without diabetes
25.8 %; p = 0.109). Reduced libido was reported by 26.9 % of study participants
(with diabetes 32.8 %, without diabetes 22.0 %; p < 0.01). The median (IQR) total
physical activity of the study population was 2373 (3612) MET-min/week. In the
IPAQ categorical score, 36.8 % (n = 184/434) males were 'highly active', and 17.8
% (n = 89/434) were 'inactive'. In all adults, age (OR: 1.06), South Asian
ethnicity (OR: 1.40), physical inactivity (OR: 1.62) and presence of diabetes
(OR: 3.90) all were associated with significantly increased risk of developing
ED. A similar result was observed in Europids but not in South Asians.
CONCLUSIONS: Erectile dysfunction was associated with physical inactivity, mainly
in Europid males, irrespective of diabetes status. This association was not
observed in South Asian males with or without diabetes.
PMID- 26542674
TI - Interpreting digit ratio (2D:4D)-behavior correlations: 2D:4D sex difference,
stability, and behavioral correlates and their replicability in young children.
AB - The popularity of using the ratio of the second to the fourth digit (2D:4D) to
study influences of early androgen exposure on human behavior relies, in part, on
a report that the ratio is sex-dimorphic and stable from age 2 years (Manning
etal., 1998). However, subsequent research has rarely replicated this finding.
Moreover, although 2D:4D has been correlated with many behaviors, these
correlations are often inconsistent. Young children's 2D:4D-behavior correlations
may be more consistent than those of older individuals, because young children
have experienced fewer postnatal influences. To evaluate the usefulness of 2D:4D
as a biomarker of prenatal androgen exposure in studies of 2D:4D-behavior
correlations, we assessed its sex difference, temporal stability, and behavioral
correlates over a 6- to 8-month period in 126, 2- to 3-year-old children,
providing a rare same-sample replicability test. We found a moderate sex
difference on both hands and high temporal stability. However, between-sex
overlap and within-sex variability were also large. Only 3 of 24 correlations
with sex-typed behaviors-scores on the Preschool Activities Inventory (PSAI),
preference for a boy-typical toy, preference for a girl-typical toy, were
significant and in the predicted direction, all of which involved the PSAI,
partially confirming findings from another study. Correlation coefficients were
larger for behaviors that showed larger sex differences. But, as in older
samples, the overall pattern showed inconsistency across time, sex, and hand.
Therefore, although sex-dimorphic and stable, 2D:4D-behavior correlations are no
more consistent for young children than for older samples. Theoretical and
methodological implications are discussed.
PMID- 26542675
TI - Correction: Probing viscoelastic response of soft material surfaces at the
nanoscale.
PMID- 26542676
TI - What should we do to optimise outcome in twin pregnancy complicated with placenta
percreta? A case report.
AB - BACKGROUND: Patients with morbidly adherent placenta (MAP) are under risk of
massive bleeding. It readily necessitates very complicated surgery and massive
blood transfusion, and even leads to mortality. Cesarean hysterectomy (CH) is the
procedure that is acknowledged worldwide, since it helps to minimize
complications. CASE PRESENTATION: A patient with dichorionic twin pregnancy
underwent to cesarean section (CS) due to preliminary diagnosis of placenta
percreta at her 35(th) week of pregnancy. Both of the placentas were left in
situ. The patient admitted with signs of infection. Emergency total abdominal
hysterectomy was performed 7 weeks after CS. In the course of hysterectomy, 3
units of erythrocyte suspension and 2 units of fresh frozen plasma were
transferred, whereas none was required during CS. CONCLUSION: Abandoning placenta
in situ seems to be a logical alternative to the CH in patients with placenta
percreta in order to minimize complications related to massive blood transfusion
and surgical technique. However, it appears to increase maternal morbidity due to
maternal infection in twin pregnancy.
PMID- 26542677
TI - Refractive errors and refractive development in premature infants.
AB - PURPOSE: To examine refractive errors and refractive development in premature
infants. METHODS: Premature infants in the retinopathy of prematurity (ROP)
screening program were recruited and examined longitudinally between 28 and 58
weeks postmenstrual age. For performing cycloplegic retinoscopy, 1% tropicamide
was administered, two drops with a 10-minute interval, in order to paralyze
accommodation and to achieve cycloplegia. Birth weight, gestational age, gender
and acute ROP disease were recorded. The relationship between spherical
equivalent, astigmatism and postmenstrual age was evaluated. RESULTS: A total of
798 readings were obtained from 258 infants (131 females, 127 males) between 28
and 58 weeks postmenstrual age. The median number of examinations was 3 (minimum
1, maximum 7). In the comparisons of birth weight, gestational age, spherical
equivalent and astigmatism between genders, there were no statistically
significant differences (P>0.05). Gestational age (regression analysis,
r(2)=0.30, P<0.01) and birth weight (regression analysis, r(2)=0.22, P<0.01) had
a significant effect on refractive error development. Preterm babies with lower
birth weight and those born more prematurely had lower spherical equivalent. The
spherical equivalent of the eyes correlated significantly with the postmenstrual
age of the infants (r=0.512, P<0.01). CONCLUSIONS: Infants with low gestational
age and low birth weight also had low spherical equivalent. Moreover, spherical
equivalent correlated with increasing postmenstrual age. However, astigmatism did
not correlate with postmenstrual age and did not associate with gestational age
or birth weight.
PMID- 26542678
TI - [Role of surgery in the management of pigmented iris tumors: Case report].
PMID- 26542679
TI - [Assessment of postoperative pain after corneal collagen cross-linking by
iontophoresis vs the rapid epithelium-off technique in progressive keratoconus
patients].
AB - INTRODUCTION: Cross-linking (CXL) increases corneal biomechanical strength in
progressive keratoconus. Since riboflavin cannot penetrate intact corneal
epithelium, removal of epithelium is necessary for the classic CXL procedure (epi
off), but can cause severe postoperative pain. To avoid this problem, a method
preserving the epithelium (epi-on) is used. In this study, we aimed to evaluate
and compare postoperative pain after epi-off CXL and epi-on CXL. MATERIALS AND
METHODS: We present a retrospective study assessing the level of pain
postoperatively in 38 patients between the age of 12 and 53 years who underwent
CXL procedures at the University Hospital of Clermont-Ferrand from July 2013 to
May 2014. Epi-off consisted of manual corneal de-epithelialization and riboflavin
instillation for 20minutes, followed by UVA exposure for 9minutes. The epi-on
technique used an applicator on the eye, filled with riboflavin, and a generator
delivered a continuous low-level current for 5minutes. The duration of light
exposure was similar in both groups. Postoperative medications were the same for
both techniques. Assessment of pain and analgesic intake were reported by the
patient on paper questionnaires. Pain was evaluated from preoperatively up until
the end of the month. Statistical analyses were performed in bilateral
formulation to an alpha type I and error risk of 5%. RESULTS: Twenty-three epi
off patients and 15 epi-on patients. Twenty-nine men and 9 women (76.3%/23.7%).
Mean age: 28 years. Reference base time was the return from the operating room.
In the epi-off group, pain increased significantly until the morning of D2 and
did not return to its intraoperative level until noon D2, 1.8+/-2.0 vs 2.5+/-2.5
(P=0.12). Pain remained stable until the morning of D4. From noon D4 until D30,
it was significantly less than intraoperatively 1.8+/-2.0 vs 0.7+/-1.4 (P=0.01).
In the epi-on group, pain was significantly higher than intraoperatively until
noon of D1 2.5+/-2.2 vs 3.8+/-2.5 (P=0.01). From the evening of D1, it returned
to its intraoperative level until the evening of D2 2.5+/-2.2 vs 2+/-1.7
(P=0.34). From the morning of D3 it was significantly less than intraoperatively
2.5+/-2.2 vs 0.8+/-0.9 (P=0.001). Considering all measurement times, there was no
significant difference between the two groups (P=0.75), except from evening of D2
until evening of D3 in favor of iontophoresis: 1.9+/-2.3 vs 1.0+/-1.3 (P=0.038).
DISCUSSION: Epi-on seems less painful in the short term (up to noon of D1 for epi
on vs morning of D2 for epi-off) and with a shorter duration than epi-off. This
can be explained by the absence of corneal de-epithelialization. However, the
reduction in pain is not significant at all postoperative times, and a risk of
epithelial abrasion during placement and removal of the corneal applicator may
exist. CONCLUSION: Iontophoresis maintains the corneal epithelium, decreases pain
and improves patient comfort. A new study involving more patients and strict
monitoring of medication intake would strengthen the validity of these results.
PMID- 26542680
TI - Direct force measurements reveal that protein Tau confers short-range attractions
and isoform-dependent steric stabilization to microtubules.
AB - Microtubules (MTs) are hollow cytoskeletal filaments assembled from alphabeta
tubulin heterodimers. Tau, an unstructured protein found in neuronal axons, binds
to MTs and regulates their dynamics. Aberrant Tau behavior is associated with
neurodegenerative dementias, including Alzheimer's. Here, we report on a direct
force measurement between paclitaxel-stabilized MTs coated with distinct Tau
isoforms by synchrotron small-angle X-ray scattering (SAXS) of MT-Tau mixtures
under osmotic pressure (P). In going from bare MTs to MTs with Tau coverage near
the physiological submonolayer regime (Tau/tubulin-dimer molar ratio; PhiTau =
1/10), isoforms with longer N-terminal tails (NTTs) sterically stabilized MTs,
preventing bundling up to PB ~ 10,000-20,000 Pa, an order of magnitude larger
than bare MTs. Tau with short NTTs showed little additional effect in suppressing
the bundling pressure (PB ~ 1,000-2,000 Pa) over the same range. Remarkably, the
abrupt increase in PB observed for longer isoforms suggests a mushroom to brush
transition occurring at 1/13 < PhiTau < 1/10, which corresponds to MT-bound Tau
with NTTs that are considerably more extended than SAXS data for Tau in solution
indicate. Modeling of Tau-mediated MT-MT interactions supports the hypothesis
that longer NTTs transition to a polyelectrolyte brush at higher coverages.
Higher pressures resulted in isoform-independent irreversible bundling because
the polyampholytic nature of Tau leads to short-range attractions. These findings
suggest an isoform-dependent biological role for regulation by Tau, with longer
isoforms conferring MT steric stabilization against aggregation either with other
biomacromolecules or into tight bundles, preventing loss of function in the
crowded axon environment.
PMID- 26542681
TI - Screening for tumor suppressors: Loss of ephrin receptor A2 cooperates with
oncogenic KRas in promoting lung adenocarcinoma.
AB - Lung adenocarcinoma, a major form of non-small cell lung cancer, is the leading
cause of cancer deaths. The Cancer Genome Atlas analysis of lung adenocarcinoma
has identified a large number of previously unknown copy number alterations and
mutations, requiring experimental validation before use in therapeutics. Here, we
describe an shRNA-mediated high-throughput approach to test a set of genes for
their ability to function as tumor suppressors in the background of mutant KRas
and WT Tp53. We identified several candidate genes from tumors originated from
lentiviral delivery of shRNAs along with Cre recombinase into lungs of Loxp-stop
Loxp-KRas mice. Ephrin receptorA2 (EphA2) is among the top candidate genes and
was reconfirmed by two distinct shRNAs. By generating knockdown, inducible
knockdown and knockout cell lines for loss of EphA2, we showed that negating its
expression activates a transcriptional program for cell proliferation. Loss of
EPHA2 releases feedback inhibition of KRAS, resulting in activation of ERK1/2 MAP
kinase signaling, leading to enhanced cell proliferation. Intriguingly, loss of
EPHA2 induces activation of GLI1 transcription factor and hedgehog signaling that
further contributes to cell proliferation. Small molecules targeting MEK1/2 and
Smoothened hamper proliferation in EphA2-deficient cells. Additionally, in EphA2
WT cells, activation of EPHA2 by its ligand, EFNA1, affects KRAS-RAF interaction,
leading to inhibition of the RAS-RAF-MEK-ERK pathway and cell proliferation.
Together, our studies have identified that (i) EphA2 acts as a KRas cooperative
tumor suppressor by in vivo screen and (ii) reactivation of the EphA2 signal may
serve as a potential therapeutic for KRas-induced human lung cancers.
PMID- 26542682
TI - The ever-emerging complexity of alpha-toxin's interaction with host cells.
PMID- 26542683
TI - Atmospheric Ar and Ne returned from mantle depths to the Earth's surface by
forearc recycling.
AB - In subduction zones, sediments, hydrothermally altered lithosphere, fluids, and
atmospheric gases are transported into the mantle, where ultrahigh-pressure (UHP)
metamorphism takes place. However, the extent to which atmospheric noble gases
are trapped in minerals crystallized during UHP metamorphism is unknown. We
measured Ar and Ne trapped in phengite and omphacite from the youngest known UHP
terrane on Earth to determine the composition of Ar and Ne returned from mantle
depths to the surface by forearc recycling. An (40)Ar/(39)Ar age [7.93 +/- 0.10
My (1sigma)] for phengite is interpreted as the timing of crystallization at
mantle depths and indicates that (40)Ar/(39)Ar phengite ages reliably record the
timing of UHP metamorphism. Both phengite and omphacite yielded atmospheric
(38)Ar/(36)Ar and (20)Ne/(22)Ne. Our study provides the first documentation, to
our knowledge, of entrapment of atmospheric Ar and Ne in phengite and omphacite.
Results indicate that a subduction barrier for atmospheric-derived noble gases
does not exist at mantle depths associated with UHP metamorphism. We show that
the crystallization age together with the isotopic composition of nonradiogenic
noble gases trapped in minerals formed during subsolidus crystallization at
mantle depths can be used to unambiguously assess forearc recycling of
atmospheric noble gases. The flux of atmospheric noble gas entering the deep
Earth through subduction and returning to the surface cannot be fully realized
until the abundances of atmospheric noble gases trapped in exhumed UHP rocks are
known.
PMID- 26542684
TI - Short-term outcome and differences between rural and urban trauma patients
treated by mobile intensive care units in Northern Finland: a retrospective
analysis.
AB - BACKGROUND: Emergency medical services are an important part of trauma care, but
data comparing urban and rural areas is needed. We compared 30-day mortality and
length of intensive care unit (ICU) stay for trauma patients injured in rural and
urban municipalities and collected basic data on trauma care in Northern Finland.
METHODS: We examined data from all trauma patients treated by the Finnish
Helicopter Emergency Medical Services in 2012 and 2013. Only patients surviving
to hospital were included in the analysis but all pre-hospital deaths were
recorded. All data was retrieved from the national Helicopter Emergency Medical
Services database, medical records, and the Finnish Causes of Death Registry.
Patients were defined as urban or rural depending on the type of municipality
where the injury occurred. RESULTS: A total of 472 patients were included. Age
and Injury Severity Score did not differ between rural and urban patients. The
pre-hospital time intervals and distances to trauma centers were longer for rural
patients and a larger proportion of urban patients had intentional injuries
(23.5% vs. 9.3%, P <0.001). The 30-day mortality for severely injured patients
(Injury Severity Score >15) was 23.9% in urban and 13.3% in rural municipalities.
In the multivariate regression analysis the odds ratio (OR) for 30-day mortality
was 2.8 (95% confidence interval 1.0 to 7.9, P = 0.05) in urban municipalities.
There was no difference in the length of ICU stay or scores. Twenty patients died
on scene or during transportation and 56 missions were aborted because of pre
hospital death. CONCLUSIONS: The severely injured urban trauma patients had a
trend toward higher 30-day mortality compared with patients injured in rural
areas but the length of ICU stay was similar. However, more pre-hospital deaths
occurred in rural municipalities. The time before mobile ICU arrival appears to
be critical for trauma patients' survival, especially in rural areas.
PMID- 26542685
TI - Determinants of favourable opinions about euthanasia in a sample of French
physicians.
AB - BACKGROUND: The question whether euthanasia should be legalised has led to
substantial public debate in France. The objective of this study in a sample of
French physicians was to establish the potential determinants of a favourable
opinion about euthanasia in general and when faced with a specific situation as
embodied in the Humbert affair. METHODS: The study was a cross-sectional survey
investigating two different samples of medical doctors: (1) those specialised in
palliative care and affiliated to the French Society for Patient Accompaniment
and Palliative Care; (2) medical interns (medical doctors in training course) in
a French medical university (Marseille). A questionnaire was sent (email) to each
voluntary participant including sociodemographics, professional status, mention
of believing in God, and opinion about euthanasia (the question was designed to
assess the general opinion about euthanasia and the opinion about a specific
case, the Vincent Humbert' case (a man who was rendered quadriplegic, blind, and
mute after an accident and has requested euthanasia). RESULTS: A total of 413
physicians participated in the research (participation rate: 48.5%). Less than
half of the population were favourable to euthanasia in general and almost two
thirds of the population were favourable to Vincent Humbert's request for
euthanasia. Based on the multivariate analysis, individuals believing in God and
being a medical intern were significant independent factors linked to having a
favourable opinion about euthanasia in general and about the Vincent Humbert's
request. DISCUSSION: There is still no study in France on the development of
opinion about euthanasia and its impact. The issue goes beyond the strictly
professional sphere and involves broader socio-political stakes. These stakes do
not necessarily take into account medical practices and experiences or the
desires of end-of-life patients. The professional upheaval that the future French
legal framework will doubtlessly trigger will require further research.
CONCLUSION: The professional upheaval that the future French legal framework will
doubtlessly trigger will require further research.
PMID- 26542686
TI - Late follicular progesterone to estradiol ratio is not influenced by protocols or
gonadotropins used.
AB - OBJECTIVE: Increased progesterone level during follicular phase seemed to be
associated with decreased pregnancy rate. STUDY DESIGN AND METHODS: A prospective
cohort study, 1.1.2012 - 31.8.13. The Progesterone (P) and Progesterone/Estrogen
(P/E2) level on ovulation induction day were compared between the protocols and
the different gonadotropins used. Roc analysis was calculated to determine the
cutoff of P/E2 to predict delivery rates. P/E2 ratio was calculated as PX1000/e2
level. MAIN RESULTS: One hundred thirty-nine patients were enrolled to the study.
No difference in the P level at hCG stimulation day between different protocols,
however, E2 and P/E2 ratio were significantly lower in the long protocol compare
with antagonist protocol 1757.7 +/- 923.2 vs. 1342.9 +/- 1223; P = 0.003 and 0.48
+/- 0.31 vs. 0.83 +/- 0.87; P = 0.038). The endometrium was significantly thicker
in the long group compare with short and antagonist. Significantly more top
quality embryos (TOP) were achieved in the antagonist group. Comparable results
between the types of gonadotropins used in regards with cycle characteristics and
pregnancy and delivery rates. The P/E2 ratio which can predict live birth rate
was found to be 0.45, AUC = 0.632, p = 0.02 and 95 % CI 0.525-0.738 and a
significantly higher pregnancy and delivery rates at a P/E2 bellow 0.45.
CONCLUSION: Endometrial receptivity is determined by the complex interactions of
E2 and P.
PMID- 26542687
TI - Unusual presentation of a retained foreign body in a child.
AB - A 4-year-old boy, not known to have any medical illness, presented to several
medical facilities reporting right upper quadrant and right flank pain,
intermittent fever and chills for 3 months. A CT of the abdomen showed that a
swallowed 'bobby pin' had pierced through the right kidney. This finding
explained the boy's symptoms. The pin was removed by laparotomy without any
subsequent complication. The patient had an uneventful postoperative recovery.
PMID- 26542688
TI - Financial Dependence of Young Adults with Childhood ADHD.
AB - This study used data from the Pittsburgh ADHD Longitudinal Study (PALS) to
evaluate financial outcomes of young adults (YA) with ADHD relative to
comparisons. Participants for this study included 309 individuals who had been
diagnosed with ADHD (DSM-III-R or DSM-IV) in childhood and 208 comparison YA
without childhood ADHD diagnoses (total N = 517) who were followed through age
25. Participants were predominately male (88 %) and Caucasian (84 %). Diagnostic
interviews were conducted in childhood. Young adults and their parents reported
on financial outcomes and a number of predictor variables. Young adults with ADHD
experienced greater financial dependence on family members (p < 0.05) and the
welfare system (p < 0.01) and had lower earnings (p < 0.05) than comparisons.
ADHD diagnostic status, education attainment, and delinquency were significant
predictors of financial outcomes. A projection of lifetime earnings indicated
that ADHD group participants could expect to earn $543,000-$616,000 less over
their lifetimes than comparisons. Due to the propensity of individuals with ADHD
to underreport problems, the data are likely to be underestimates. These findings
support the need for interventions to improve labor market outcomes as well as
the development of interventions that target the management of personal finances
for individuals with ADHD in young adulthood.
PMID- 26542690
TI - Risperidone, quetiapine and chlorpromazine may have induced priapism in an
adolescent.
AB - Priapism is the prolonged, painful erection of penile tissue not accompanied by
sexual arousal. Priapism has been established as a rare adverse drug reaction to
drugs such as antipsychotics, psychostimulants, antidepressants, and mood
stabilizers. Immediate intervention is needed to prevent destructive and
irreversible complications, such as erectile dysfunction, disfigurement,
inability of the penis to stay erect, and related social/emotional problems.
Antipsychotic-induced priapism may result from the alpha receptor occupancy
property of those drugs. We report the case of a 13-year-old suffering from
attention deficit-hyperactivity disorder plus conduct disorder with priapism
related to antipsychotics. Episodes occurred with risperidone plus
methylphenidate, quetiapine plus methylphenidate, and chlorpromazine alone.
PMID- 26542691
TI - Impact of Collateral Status Evaluated by Dynamic Computed Tomographic Angiography
on Clinical Outcome in Patients With Ischemic Stroke.
AB - BACKGROUND AND PURPOSE: Status of collateral circulation is a strong predictor of
outcome after acute ischemic stroke. Our aim was to compare the predictive value
of strategies for collateral blood flow assessment with dynamic computed
tomographic angiography (CTA) and conventional single-phase CT angiography.
METHODS: Patients with a proximal middle cerebral artery occlusion underwent
noncontrast CT, single-phase CTA and whole brain CT perfusion/dynamic CTA within
9 hours after stroke onset. We defined poor outcome as a score on the modified
Rankin Scale score of >=3. The association between collateral score and clinical
outcome at 3 months was analyzed with Poisson regression. The prognostic value of
collateral scoring with dynamic CTA and single-phase CTA in addition to age,
stroke severity, and noncontrast CT was assessed with logistic regression and
summarized with the area under the curve. RESULTS: Seventy patients were
included, with a mean age of 68 years. We observed an increased risk of poor
outcome in patients with poor collaterals on single-phase CTA (risk ratio, 1.8;
95% confidence interval, 1.0-3.1) and on dynamic CTA (risk ratio, 2.0; 95%
confidence interval, 1.5-2.7). The prediction of poor clinical outcome by means
of collateral adjustment was better with dynamic CTA (area under the curve, 0.84;
likelihood ratio test P<0.01) than by single-phase CTA (area under the curve,
0.80; likelihood ratio test P=0.33). CONCLUSIONS: Collateral assessment with
dynamic CTA better predicts clinical outcome at 3 months than single-phase
conventional CTA. CLINICAL TRIAL REGISTRATION: URL:
http://www.trialregister.nl/trialreg. Unique identifier: NTR1804. URL:
http://www.clinicaltrials.gov. Unique identifier: NCT00880113.
PMID- 26542689
TI - Sporadic multiple parathyroid gland disease--a consensus report of the European
Society of Endocrine Surgeons (ESES).
AB - BACKGROUND: Sporadic multiglandular disease (MGD) has been reported in literature
in 8-33 % of patients with primary hyperparathyroidism (pHPT). This paper aimed
to review controversies in the pathogenesis and management of sporadic MGD.
METHODS: A literature search and review was made to evaluate the level of
evidence concerning diagnosis and management of sporadic MGD according to
criteria proposed by Sackett, with recommendation grading by Heinrich et al. and
Grading of Recommendations, Assessment, Development and Evaluation (GRADE)
system. Results were discussed at the 6th Workshop of the European Society of
Endocrine Surgeons entitled 'Hyperparathyroidism due to multiple gland disease:
An evidence-based perspective'. RESULTS: Literature reports no prospective
randomised studies; thus, a relatively low level of evidence was achieved.
Appropriate surgical therapy of sporadic MGD should consist of a bilateral
approach in most patients. Unilateral neck exploration guided by preoperative
imaging should be reserved for selected patients, performed by an experienced
endocrine surgeon and monitored by intraoperative parathormone assay (levels of
evidence III-V, grade C recommendation). There is conflicting or equally weighted
levels IV-V evidence supporting that cure rates can be similar or worse for
sporadic MGD than for single adenomas (no recommendation). Best outcomes can be
expected if surgery is performed by an experienced parathyroid surgeon working in
a high-volume centre (grade C recommendation). Levels IV-V evidence supports that
recurrent/persistence pHPT occurs more frequently in patients with double
adenomas hence in situations where a double adenoma has been identified, the
surgeon should have a high index of suspicion during surgery and postoperatively
for the possibility of a four-gland disease (grade C recommendation).
CONCLUSIONS: Identifying preoperatively patients at risk for MGD remains
challenging, intraoperative decisions are important for achieving acceptable cure
rates and long-term follow-up is mandatory in such patients.
PMID- 26542692
TI - Discovery of New Risk Markers for Ischemic Stroke Using a Novel Targeted
Proteomics Chip.
AB - BACKGROUND AND PURPOSE: Emerging technologies have made it possible to
simultaneously evaluate a large number of circulating proteins as potential new
stroke risk markers. METHODS: We explored associations between 85 cardiovascular
proteins, assessed by a proteomics chip, and incident ischemic stroke in 2
independent cohorts of elderly (Prospective Investigation of the Vasculature in
Uppsala Seniors [PIVUS]: n=977; 50% women, mean age=70.1 years, 71 fatal/nonfatal
ischemic stroke events during 10.0 years; and Uppsala Longitudinal Study in Adult
Men [ULSAM]: n=720, mean age=77.5 years, 75 ischemic stroke events during 9.5
years). The proteomics chip uses 2 antibodies for each protein and a polymerase
chain reaction step to achieve a high-specific binding and the possibility to
measure multiple proteins in parallel, but gives no absolute concentrations.
RESULTS: In PIVUS, 16 proteins were related to incident ischemic stroke using a
false discovery rate of 5%. Of these, N-terminal pro-B-type natriuretic peptide
(P=0.0032), adrenomedullin (P=0.018), and eosinophil cationic protein (P=0.0071)
were replicated in ULSAM after adjustment for established stroke risk factors. In
predefined secondary meta-analyses of individual data, interleukin-27 subunit
alpha, growth/differentiation factor 15, urokinase plasminogen activator surface
receptor, tumor necrosis factor receptor superfamily member 6, macrophage colony
stimulating factor 1, and matrix metalloproteinase-7 were also potential risk
markers for ischemic stroke after adjustment for multiple comparisons (P<0.0006).
The addition of N-terminal pro-B-type natriuretic peptide, adrenomedullin, and
eosinophil cationic protein to a model with established risk factors increased
the C-statistic from 0.629 to 0.689 (P=0.001). CONCLUSIONS: Our data suggest that
large-scale proteomics analysis is a promising way of discovering novel
biomarkers that could substantially improve the prediction of ischemic stroke.
PMID- 26542694
TI - Letter by Galyfos et al Regarding Article, "Periprocedural Myocardial Infarction
After Carotid Endarterectomy and Stenting: Systematic Review and Meta-Analysis".
PMID- 26542693
TI - Integrative Mouse and Human Studies Implicate ANGPT1 and ZBTB7C as Susceptibility
Genes to Ischemic Injury.
AB - BACKGROUND AND PURPOSE: The extent of ischemic injury in response to cerebral
ischemia is known to be affected by native vasculature. However, the nonvascular
and dynamic vascular responses and their genetic basis are not well understood.
METHODS: We performed a genome-wide association study in 235 mice from 33 inbred
strains using the middle cerebral artery occlusion model. Population structure
and genetic relatedness were accounted for using the efficient mixed-model
association method. Human orthologs to the genes associated with the significant
and suggestive single-nucleotide polymorphisms from the mouse strain survey were
examined in patients with M1 occlusions admitted with signs and symptoms of acute
ischemic stroke. RESULTS: We identified 4 genome-wide significant and suggestive
single-nucleotide polymorphisms to be associated with infarct volume in mice
(rs3694965, P=2.17*10(-7); rs31924033, P=5.61*10(-6); rs32249495, P=2.08*10(-7);
and rs3677406, P=9.56*10(-6)). rs32249495, which corresponds to angiopoietin-1
(ANGPT1), was also significant in the recessive model in humans, whereas
rs1944577, which corresponds to ZBTB7C, was nominally significant in both the
additive and dominant genetic models in humans. ZBTB7C was shown to be
upregulated in endothelial cells using both in vitro and in vivo models of
ischemia. CONCLUSIONS: Genetic variations of ANGPT1 and ZBTB7C are associated
with increased infarct size in both mice and humans. ZBTB7C may modulate the
ischemic response via neuronal apoptosis and dynamic collateralization and, in
addition to ANGPT1, may serve as potential novel targets for treatments of
cerebral ischemia.
PMID- 26542696
TI - Response to Letter Regarding Article, "Periprocedural Myocardial Infarction After
Aarotid Endarterectomy and Stenting: Systematic Review and Meta-Analysis".
PMID- 26542695
TI - Examining Differences in Patterns of Sensory and Motor Recovery After Stroke With
Robotics.
AB - BACKGROUND AND PURPOSE: Developing a better understanding of the trajectory and
timing of stroke recovery is critical for developing patient-centered
rehabilitation approaches. Here, we quantified proprioceptive and motor deficits
using robotic technology during the first 6 months post stroke to characterize
timing and patterns in recovery. We also make comparisons of robotic assessments
to traditional clinical measures. METHODS: One hundred sixteen subjects with
unilateral stroke were studied at 4 time points: 1, 6, 12, and 26 weeks post
stroke. Subjects performed robotic assessments of proprioceptive (position sense
and kinesthesia) and motor function (unilateral reaching task and bimanual object
hit task), as well as several clinical measures (Functional Independence Measure,
Purdue Pegboard, and Chedoke-McMaster Stroke Assessment). RESULTS: One week post
stroke, many subjects displayed proprioceptive (48% position sense and 68%
kinesthesia) and motor impairments (80% unilateral reaching and 85% bilateral
movement). Interindividual recovery on robotic measures was highly variable.
However, we characterized recovery as early (normal by 6 weeks post stroke), late
(normal by 26 weeks post stroke), or incomplete (impaired at 26 weeks post
stroke). Proprioceptive and motor recovery often followed different timelines.
Across all time points, robotic measures were correlated with clinical measures.
CONCLUSIONS: These results highlight the need for more sensitive, targeted
identification of sensory and motor deficits to optimize rehabilitation after
stroke. Furthermore, the trajectory of recovery for some individuals with mild to
moderate stroke may be much longer than previously considered.
PMID- 26542697
TI - Phone and Video-Based Modalities of Central Blinded Adjudication of Modified
Rankin Scores in an Endovascular Stroke Trial.
AB - BACKGROUND AND PURPOSE: The standard outcome measure in stroke research is
modified Rankin scale (mRS) evaluated by local blinded investigators. We aimed to
assess feasibility and reliability of 2 central adjudication methods of mRS in
the setting of a randomized endovascular stroke trial. METHODS: This is a
secondary analysis derived from the Randomized Trial of Revascularization With
Solitaire FR Device Versus Best Medical Therapy in the Treatment of Acute Stroke
Due to Anterior Circulation Large Vessel Occlusion Presenting Within Eight Hours
of Symptom Onset (REVASCAT) trial cohort. Primary outcome was distribution of mRS
at 90 days. Local evaluation was done by certified investigators masked to
treatment assignment using structured face-to-face interviews. In addition,
central assessment was performed by 2 independent raters via structured phone
interview (n=120) and via video recordings of the face-to-face interviews with
local investigators (n=106). Interrater agreement was evaluated using kappa and
discordance statistics. Sensitivity analyses for the primary end point using
different adjudication approaches were performed. Correlation between mRS
obtained with each modality and 24-hour follow-up infarct volumes was studied.
RESULTS: Using local evaluation as the reference, higher agreement rates were
noted with central video than with central phone evaluations (kw 0.92 [0.88-0.96]
versus 0.77 [0.72-0.83]). Discrepancies in mRS scoring between local and central
raters (phone- and video-based) were similar in both treatment allocation arms.
Sensitivity analyses showed benefit of endovascular treatment irrespective of
adjudication method, but higher odds ratios were observed with local evaluations.
Final infarct volume was similarly correlated with mRS across all 3 evaluation
modalities. CONCLUSIONS: Central adjudication of mRS is feasible, reducing
interrater variability and avoiding potential problems related to lack of
blinding. Our findings may have implications in the planning of future randomized
acute stroke trials, especially in those including nonpharmacological
interventions. CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov.
Unique identifier: NCT01692379.
PMID- 26542699
TI - Retraction Note: TREEFINDER: a powerful graphical analysis environment for
molecular phylogenetics.
PMID- 26542700
TI - GP numbers are not shrinking but demand is swallowing the extra workforce, MPs
told.
PMID- 26542701
TI - [Report of the working group on uropathology of the German Society of Pathology
2015].
PMID- 26542698
TI - Value of Computed Tomographic Perfusion-Based Patient Selection for Intra
Arterial Acute Ischemic Stroke Treatment.
AB - BACKGROUND AND PURPOSE: The utility of computed tomographic perfusion (CTP)-based
patient selection for intra-arterial treatment of acute ischemic stroke has not
been proven in randomized trials and requires further study in a cohort that was
not selected based on CTP. Our objective was to study the relationship between
CTP-derived parameters and outcome and treatment effect in patients with acute
ischemic stroke because of a proximal intracranial arterial occlusion. METHODS:
We included 175 patients who underwent CTP in the Multicenter Randomized Clinical
Trial of Endovascular Treatment for Acute Ischemic Stroke in The Netherlands (MR
CLEAN). Association of CTP-derived parameters (ischemic-core volume, penumbra
volume, and percentage ischemic core) with outcome was estimated with
multivariable ordinal logistic regression as an adjusted odds ratio for a shift
in the direction of a better outcome on the modified Rankin Scale. Interaction
between CTP-derived parameters and treatment effect was determined using
multivariable ordinal logistic regression. Interaction with treatment effect was
also tested for mismatch (core <70 mL; penumbra core >1.2; penumbra core >10 mL).
RESULTS: The adjusted odds ratio for improved functional outcome for ischemic
core, percentage ischemic core, and penumbra were 0.79 per 10 mL (95% confidence
interval: 0.71-0.89; P<0.001), 0.82 per 10% (95% confidence interval: 0.66-0.90;
P=0.002), and 0.97 per 10 mL (96% confidence interval: 0.92-1.01; P=0.15),
respectively. No significant interaction between any of the CTP-derived
parameters and treatment effect was observed. We observed no significant
interaction between mismatch and treatment effect. CONCLUSIONS: CTP seems useful
for predicting functional outcome, but cannot reliably identify patients who will
not benefit from intra-arterial therapy.
PMID- 26542702
TI - A derived transformation of emotional functions using self-reports, implicit
association tests, and frontal alpha asymmetries.
AB - Research on the derived transformation of stimulus functions (ToF) typically
employs single dependent measures for assessing the stimulus functions after
derived relations have been established. For the first time, we examined ToF
using three dependent measures both prior to and after relational training and
testing. Specifically, we employed self-reports, implicit association tests, and
frontal alpha asymmetry as pre versus post measures for assessing ToF. First, we
trained two abstract shapes as contextual cues for happier-than and unhappier
than relations, respectively. Next, four conditional discriminations (A+/B-, B+/C
, C+/D-, and D+/E-) were trained in the presence of the happier-than cue only,
where A, B, C, D, and E were blurred faces. This was followed by tests for
contextually controlled transitive inference (TI) in the presence of both the
happier-than and unhappier-than cues. For the participants who demonstrated TI,
performance across all three measures following relational training and testing
indicated that the "happiness" functions of the A/B stimuli were greater than
those of the D/E stimuli. This constitutes the first known demonstration of
emotional ToF along explicit, implicit, and neurophysiological measures
concurrently.
PMID- 26542703
TI - Operant avoidance learning in crayfish, Orconectes rusticus: Computational
ethology and the development of an automated learning paradigm.
AB - Research in crustaceans offers a valuable perspective for studying the neural
implementation of conserved behavioral phenomena, including motivation, escape,
aggression, and drug-sensitive reward. The present work adds to this literature
by demonstrating that crayfish successfully learn to respond to spatially
contingent cues. An integrated video-tracking system automatically delivered a
mild electric shock when a test animal entered or remained on a substrate paired
with punishment. Following a few instances of shock delivery, crayfish quickly
learned to avoid these areas. Comparable changes in substrate preference were not
exhibited by yoked controls, but locomotion differed significantly from both pre
conditioning levels and from those of their masters receiving shock in a
contingent fashion. The results of this work provide valuable insights into the
principles governing avoidance learning in an invertebrate system and provide a
behavioral template for exploring the neural changes during associative learning.
Serving as a case study, this project introduces a new computer framework for the
automated control of learning paradigms. Based on routines contained within the
JavaGrinders library (free download at iEthology.com), it integrates real-time
video tracking with robotic interfaces, and provides a suitable framework for
implementing automated learning paradigms.
PMID- 26542705
TI - Effect of bromocriptine alginate nanocomposite (BANC) on a transgenic Drosophila
model of Parkinson's disease.
AB - The effect of bromocriptine, a dopamine agonist, administered in the form of
bromocriptine alginate nanocomposite (BANC) was studied on Parkinson's disease
(PD) model flies. The synthesized BANC was subject to characterization and, at a
final concentration of 0.5, 1.0 and 1.5 uM, was mixed in diet. The PD flies were
allowed to feed on it for 24 days. A significant dose-dependent delay in the loss
of climbing activity and activity pattern was observed in PD flies exposed to
0.5, 1.0 and 1.5 uM BANC. The PD flies exposed to BANC also showed a significant
reduction in lipid peroxidation and glutathione-S-transferase activity, and an
increase in glutathione content. However, no gross morphological changes were
observed in the brains of PD flies compared with controls. The results suggest
that BANC is effective in reducing the PD symptoms in these transgenic flies.
PMID- 26542706
TI - The goya mouse mutant reveals distinct newly identified roles for MAP3K1 in the
development and survival of cochlear sensory hair cells.
AB - Mitogen-activated protein kinase, MAP3K1, plays an important role in a number of
cellular processes, including epithelial migration during eye organogenesis. In
addition, studies in keratinocytes indicate that MAP3K1 signalling through JNK is
important for actin stress fibre formation and cell migration. However, MAP3K1
can also act independently of JNK in the regulation of cell proliferation and
apoptosis. We have identified a mouse mutant, goya, which exhibits the eyes-open
at-birth and microphthalmia phenotypes. In addition, these mice also have hearing
loss. The goya mice carry a splice site mutation in the Map3k1 gene. We show that
goya and kinase-deficient Map3k1 homozygotes initially develop supernumerary
cochlear outer hair cells (OHCs) that subsequently degenerate, and a progressive
profound hearing loss is observed by 9 weeks of age. Heterozygote mice also
develop supernumerary OHCs, but no cellular degeneration or hearing loss is
observed. MAP3K1 is expressed in a number of inner-ear cell types, including
outer and inner hair cells, stria vascularis and spiral ganglion. Investigation
of targets downstream of MAP3K1 identified an increase in p38 phosphorylation
(Thr180/Tyr182) in multiple cochlear tissues. We also show that the extra OHCs do
not arise from aberrant control of proliferation via p27KIP1. The identification
of the goya mutant reveals a signalling molecule involved with hair-cell
development and survival. Mammalian hair cells do not have the ability to
regenerate after damage, which can lead to irreversible sensorineural hearing
loss. Given the observed goya phenotype, and the many diverse cellular processes
that MAP3K1 is known to act upon, further investigation of this model might help
to elaborate upon the mechanisms underlying sensory hair cell specification, and
pathways important for their survival. In addition, MAP3K1 is revealed as a new
candidate gene for human sensorineural hearing loss.
PMID- 26542708
TI - A Patient-Based Nomogram for Predicting Overall Survival after Radiofrequency
Ablation for Hepatocellular Carcinoma.
AB - PURPOSE: To develop a prognostic nomogram based on specific patient and tumor
factors capable of estimating individual survival outcomes after radiofrequency
(RF) ablation as a primary therapy for hepatocellular carcinoma (HCC). MATERIALS
AND METHODS: This retrospective study included 893 patients who were initially
treated with curative RF ablation for HCC; patients were temporally divided into
derivation (n = 607) and validation (n = 286) cohorts. A multivariate Cox
proportional hazards model for overall survival was developed and validated. The
discriminatory accuracy of the model was compared with the preexisting Cancer of
the Liver Italian Program (CLIP) system and the Tokyo score previously proposed
for percutaneous therapy for HCC by analyzing receiver operating characteristic
(ROC) curves. RESULTS: A nomogram was generated for 3-year survival,
incorporating largest tumor diameter and number of tumors, serum albumin and
creatinine, platelet count, prothrombin time, and serum alpha-fetoprotein on a
logarithmic scale. It had good calibration and discrimination abilities with a C
index of 0.74. The validation results also showed that the nomogram performed
well in terms of goodness-of-fit and discrimination (C-index, 0.72). Analysis of
ROC curves in the validation cohort indicated that the model had better
predictive power than CLIP and Tokyo scores (C-indexes, 0.54 and 0.66,
respectively). CONCLUSIONS: This prognostic tool quantifying per-patient expected
survival after RF ablation can be used in daily clinical decision making with
regard to patients with HCC deemed suitable for radical ablation and is probably
more reliable than existing guidelines.
PMID- 26542709
TI - Improved electroporation procedure for genetic transformation of
Dekkera/Brettanomyces bruxellensis.
AB - Yeast Dekkera/Brettanomyces bruxellensis is one of the most common contaminants
in wine industry, but also one of the most promising candidates for large-scale
bioethanol production. Brettanomyces bruxellensis not only produces and tolerates
high ethanol concentrations, but can also ferment cellobiose and adapt to
lignocellulose hydrolasate. Furthermore, genome sequences of several B.
bruxellensis strains are available, and efforts have been made to develop tools
for genetic transformation of this yeast. Previously, we reported a successful
transformation using lithium acetate/PEG method and electroporation, however,
with very low transformation efficiency (10-20 transformants MUg(-1)). Here we
describe an optimization of electroporation procedure which resulted in a
significant increase of transformation efficiency (2.8 * 10(3) transformants MUg(
1)). Several key transformation parameters were optimized including cell growth
phase, density of cells in the transformation sample and electroporation
settings. We determined that treating the cells with both lithium acetate (100
mM) and dithiothreitol (35 mM) synergistically improves transformation
efficiency. Using the described procedure around 500 transformants can be
obtained per transformation sample with 180 ng of non-homologous linear
transforming fragment. Additionally, several transformants were obtained with
less than 1 ng of DNA demonstrating that this procedure is adequate even when
very limited amount of DNA is available.
PMID- 26542704
TI - Non-muscle myosin II in disease: mechanisms and therapeutic opportunities.
AB - The actin motor protein non-muscle myosin II (NMII) acts as a master regulator of
cell morphology, with a role in several essential cellular processes, including
cell migration and post-synaptic dendritic spine plasticity in neurons. NMII also
generates forces that alter biochemical signaling, by driving changes in
interactions between actin-associated proteins that can ultimately regulate gene
transcription. In addition to its roles in normal cellular physiology, NMII has
recently emerged as a critical regulator of diverse, genetically complex
diseases, including neuronal disorders, cancers and vascular disease. In the
context of these disorders, NMII regulatory pathways can be directly mutated or
indirectly altered by disease-causing mutations. NMII regulatory pathway genes
are also increasingly found in disease-associated copy-number variants,
particularly in neuronal disorders such as autism and schizophrenia. Furthermore,
manipulation of NMII-mediated contractility regulates stem cell pluripotency and
differentiation, thus highlighting the key role of NMII-based pharmaceuticals in
the clinical success of stem cell therapies. In this Review, we discuss the
emerging role of NMII activity and its regulation by kinases and microRNAs in the
pathogenesis and prognosis of a diverse range of diseases, including neuronal
disorders, cancer and vascular disease. We also address promising clinical
applications and limitations of NMII-based inhibitors in the treatment of these
diseases and the development of stem-cell-based therapies.
PMID- 26542710
TI - Identification and characterization of Csh3 as an SH3 protein that interacts with
fission yeast Cap1.
AB - Schizosaccharomyces pombe Cap1 has been identified as the (adenylyl) cyclase
associated protein. Cap1 was able to bind Cap1 itself and actin. Cap1 localized
at the growing tip, and this localization was dependent on the Cap1 P2 region. In
a two-hybrid screening using cap1 as bait, we isolated csh3, which encodes a
protein of 296 amino acids with an SH3 domain and a proline/glutamine-rich
region. The binding of Csh3 and Cap1 was confirmed by in vivo pull down assays.
Cooperative functions of Csh3 and Cap1 were observed. Deletion of both csh3 and
cap1 resulted in heightened sensitivity to CaCl2, while disruption of either gene
alone did not have any effect in this regard. In addition, over-expression of
csh3 or cap1 alone did not affect cell growth, while over-expression of both
genes resulted in growth retardation. Finally, while Csh3-GFP localized to the
cytoplasm in wild-type cells, its localization was altered in cap1Delta cells,
suggesting that the interaction between Csh3 and Cap1 controls the cellular
localization of Csh3. These results demonstrate that Cap1 in Schizo. pombe is a
multifunctional protein that functions through interaction with Cap1 itself and
other proteins including adenylyl cyclase, actin and Csh3.
PMID- 26542711
TI - Single-Cell Western Blotting.
AB - Little headway has been made in single cell protein analysis, aside from tools
that rely solely on antibody-probe based detection (i.e., flow cytometry,
immunocytochemistry), which are limited by low specificity and multiplexing
capabilities. To address these protein analysis gaps, we have introduced a single
cell western blot (scWestern). The protein assay is capable of highly specific
analysis by coupling antibody-based detection with a polyacrylamide gel
electrophoresis (PAGE) protein separation. Cells are settled via gravity into
polyacrylamide (PA) microwells, chemically lysed in the wells, and then subjected
to PAGE through the walls of the microwells and into the surrounding PA gel. Over
a thousand single-cell separations are performed simultaneously, and multiple
protein targets of interest are investigated. After PAGE separation, photo
immobilization of all proteins to the gel allows for antibody probing and lends
to the archival quality of the scWestern assay where new proteins targets can be
investigated months after the initial separations are performed.
PMID- 26542712
TI - A Microfluidic Device for Immunoassay-Based Protein Analysis of Single E. coli
Bacteria.
AB - We present a method suitable for quantitative analysis of intracellular proteins,
metabolites and secondary messengers of single bacterial cells. The method
integrates the concept of immunoassays on a microfluidic device that facilitates
single cell trapping and isolating in a small volume of a few tens of picoliters.
Combination of the benefits of microfluidic systems for single cell analysis with
the high analytical selectivity and sensitivity of immunoassays enables the
detection of even low abundant intracellular analytes which occur only at a few
hundred copies per bacterium.
PMID- 26542707
TI - The role of prolactin in andrology: what is new?
AB - Prolactin (PRL) has been long deemed as a hormone involved only in female
reproduction. However, PRL is a surprising hormone and, since its identification
in the 1970s, its attributed functions have greatly increased. However, its
specific role in male health is still widely unknown. Recently, low PRL has been
associated with reduced ejaculate and seminal vesicle volume in infertile
subjects. In addition, in men consulting for sexual dysfunction,
hypoprolactinemia has been associated with erectile dysfunction and premature
ejaculation, findings further confirmed in the general European population and
infertile men. Several metabolic derangements, recapitulating metabolic syndrome,
have also been associated with low PRL both in men with sexual dysfunction and
from the general European population. In men with sexual dysfunction, followed-up
for more than 4 years, low PRL was identified as an independent predictor of the
incidence of major adverse cardiovascular events. Finally, an association with
anxiety or depressive symptoms has been found in men with sexual dysfunction and
from the general European population. While a direct role for impaired PRL
function in the pathogenesis of these reproductive, sexual, metabolic and
psychological disorders is conceivable, the possibility that low PRL is a mirror
of an increased dopaminergic or a decreased serotonergic tone cannot be ruled
out. Hyperactivity of the dopaminergic system can explain only a few of the
aforementioned findings, whereas a hypo-serotonergic tone fits well with the
clinical features associated with low PRL, and there is significant evidence
supporting the hypothesis that PRL could be a mirror of serotonin in the brain.
PMID- 26542713
TI - Enzyme-Linked ImmunoSpot (ELISpot) for Single-Cell Analysis.
AB - The ELISpot, a heterogeneous immunoassay, is widely used for detection of low
abundant analytes. It is a reliable and robust assay to monitor responses of the
immune system at the single-cell level by capturing secreted molecules of
interest with specific, membrane-bound antibodies. Those molecules are then made
visible by a cascade of ELISA-related development steps. The final results are
distinct spots on the membrane as an imprint of the cell secreting the captured
molecules, not only allowing their quantification but also providing insight on
the kinetics and strength of secretion. This chapter describes the optimized
protocol steps of the ELISpot technique, important improvements and tools
available for the community, and the current expansion of the technique into
polyfunctional cell analysis.
PMID- 26542714
TI - Photocleavable DNA Barcoding Antibodies for Multiplexed Protein Analysis in
Single Cells.
AB - We describe a DNA-barcoded antibody sensing technique for single cell protein
analysis in which the barcodes are photocleaved and digitally detected without
amplification steps (Ullal et al., Sci Transl Med 6:219, 2014). After
photocleaving the unique ~70 mer DNA barcodes we use a fluorescent hybridization
technology for detection, similar to what is commonly done for nucleic acid
readouts. This protocol offers a simple method for multiplexed protein detection
using 100+ antibodies and can be performed on clinical samples as well as single
cells.
PMID- 26542715
TI - Genome-Wide Analysis of Protein and mRNA Copy Numbers in Single Escherichia coli
Cells with Single-Molecule Sensitivity.
AB - Single-cell proteomic and transcriptomic analysis is an emerging approach for
providing quantitative and comprehensive characterization of gene functions in
individual cells. This analysis, however, is often hampered by insufficient
sensitivity for detecting low copy gene expression products such as transcription
factors and regulators. Here I describe a method for the quantitative genome-wide
analysis of single-cell protein and mRNA copy numbers with single molecule
sensitivity for the model organism Escherichia coli.
PMID- 26542716
TI - Microfluidic Flow Cytometry for Single-Cell Protein Analysis.
AB - Flow-cytometric (FC) detection of proteins in single cells is a rapid,
quantitative method for single-cell protein analysis. Recent advancements in
microfluidic technologies have leveraged miniaturization and automation to adapt
flow cytometry for analyzing single cell protein profiles both for cell surface
and intracellular proteins. Here, we describe the method for microfluidic FC,
along with instructions to build a microfluidic platform capable of automated
cell culture, cell surface receptor immunostaining, intracellular phosphoprotein
and intracellular cytokine immunostaining, and analysis using micro-flow
cytometry. As a demonstration of our platform and protocol, we detail the
profiling of TLR4 receptor activation, ERK1/2 phosphorylation, and TNFalpha
production in LPS stimulated macrophages using the microfluidic platform.
PMID- 26542717
TI - Microfluidic Image Cytometry for Single-Cell Phenotyping of Human Pluripotent
Stem Cells.
AB - A microfluidic human pluripotent stem cell (hPSC) array has been developed for
robust and reproducible hPSC culture methods to assess chemically defined serum-
and feeder-free culture conditions. This microfluidic platform, combined with
image cytometry, enables the systematic analysis of multiple simultaneously
detected marker expression in individual cells, for screening of various
chemically defined media across hPSC lines, and the study of phenotypic
responses.
PMID- 26542718
TI - Characterizing Phenotypes and Signaling Networks of Single Human Cells by Mass
Cytometry.
AB - Single cell mass cytometry is revolutionizing our ability to quantitatively
characterize cellular biomarkers and signaling networks. Mass cytometry
experiments routinely measure 25-35 features of each cell in primary human tissue
samples. The relative ease with which a novice user can generate a large amount
of high quality data and the novelty of the approach have created a need for
example protocols, analysis strategies, and datasets. In this chapter, we present
detailed protocols for two mass cytometry experiments designed as training tools.
The first protocol describes detection of 26 features on the surface of human
peripheral blood mononuclear cells. In the second protocol, a mass cytometry
signaling network profile measures 25 node states comprised of five key signaling
effectors (AKT, ERK1/2, STAT1, STAT5, and p38) quantified under five conditions
(Basal, FLT3L, SCF, IL-3, and IFNgamma). This chapter compares manual and
unsupervised data analysis approaches, including bivariate plots, heatmaps,
histogram overlays, SPADE, and viSNE. Data files in this chapter have been shared
online using Cytobank ( http://www.cytobank.org/irishlab/ ).
PMID- 26542719
TI - Multiplexed Peptide-MHC Tetramer Staining with Mass Cytometry.
AB - Mass cytometry is flow cytometry based on single cell mass spectrometry with
decreased crosstalk between channels and an ability to probe >40 parameters per
cell, making it well suited for multiplexed assays. Peptide major
histocompatibility (MHC) tetramer staining allows direct detection of antigen
specific cells and is also amenable to multiplexing/combinatorial approaches.
Here we describe methods for multiplexed pMHC-tetramer staining using mass
cytometry.
PMID- 26542720
TI - Imaging and Mapping of Tissue Constituents at the Single-Cell Level Using MALDI
MSI and Quantitative Laser Scanning Cytometry.
AB - For nearly a century, histopathology involved the laborious morphological
analyses of tissues stained with broad-spectrum dyes (i.e., eosin to label
proteins). With the advent of antibody-labeling, immunostaining (fluorescein and
rhodamine for fluorescent labeling) and immunohistochemistry (DAB and
hematoxylin), it became possible to identify specific immunological targets in
cells and tissue preparations. Technical advances, including the development of
monoclonal antibody technology, led to an ever-increasing palate of dyes, both
fluorescent and chromatic. This provides an incredibly rich menu of molecular
entities that can be visualized and quantified in cells-giving rise to the new
discipline of Molecular Pathology. We describe the evolution of two analytical
techniques, cytometry and mass spectrometry, which complement histopathological
visual analysis by providing automated, cellular-resolution constituent maps. For
the first time, laser scanning cytometry (LSC) and matrix-assisted laser
desorption/ionization mass spectrometry imaging (MALDI-MSI) are combined for the
analysis of tissue sections. The utility of the marriage of these techniques is
demonstrated by analyzing mouse brains with neuron-specific, genetically encoded,
fluorescent proteins. We present a workflow that: (1) can be used with or without
expensive matrix deposition methods, (2) uses LSC images to reveal the diverse
landscape of neural tissue as well as the matrix, and (3) uses a tissue fixation
method compatible with a DNA stain. The proposed workflow can be adapted for a
variety of sample preparation and matrix deposition methods.
PMID- 26542721
TI - SPLIFF: A Single-Cell Method to Map Protein-Protein Interactions in Time and
Space.
AB - Protein interactions occur at certain times and at specific cellular places. The
past years have seen a massive accumulation of binary protein-protein interaction
data. The rapid increase of this context-free information necessitates robust
methods to monitor protein interactions with temporal and spatial resolution in
single cells. We have developed a simple split-ubiquitin-based method (SPLIFF)
that uses the ratio of two fluorescent reporters as a signal for protein-protein
interactions. One protein of the pair of interest is attached to the linear
fusion of mCherry, the C-terminal half of ubiquitin, and GFP (mCherry-Cub-GFP).
The other potential binding partner is expressed as a C-terminal fusion to the N
terminal half of ubiquitin (Nub). Upon co-expression the interaction between the
two proteins of interest induces the reassociation of Nub and Cub to the native
like ubiquitin. GFP is subsequently cleaved from the C-terminus of Cub and
degraded whereas the red-fluorescent mCherry stays attached to the Cub-fusion
protein. We first implemented this method in the model yeast Saccharomyces
cerevisiae. One fusion protein is expressed in cells of the a-mating type and the
complementary fusion protein in cells of the alpha-mating type. Upon mixing, both
cell types fuse and the Nub- and Cub-fusion proteins are free to interact. The
red and green fluorescence is monitored by two-channel fluorescence time-lapse
microcopy. The moment of cell fusion defines the start of the analysis. The
calculated ratio of green to red fluorescence allows mapping the spatiotemporal
interaction profiles of the investigated proteins in single cells.
PMID- 26542722
TI - Microfluidic Proximity Ligation Assay for Profiling Signaling Networks with
Single-Cell Resolution.
AB - The proximity ligation assay (PLA) is a technique that can be used to
characterize proteins, protein-protein interactions, and protein modifications at
the single-cell level. Image-based in situ detection of proteins using PLA is a
quantitative method with a high degree of sensitivity and specificity. The
miniaturization and parallelization of the PLA onto a microfluidic chip and
concurrent use of an automated cell-culture system increase the throughput of
this technology. Here, we describe the performance of PLA on a microfluidic chip.
We provide protocols for on-chip cell culture, time-shifted cell stimulation and
fixation, PLA implementation, and computational image analysis in order to
achieve single-cell resolution. As a proof of concept, we studied the
phosphorylation of Akt in response to stimulation with platelet-derived growth
factor.
PMID- 26542723
TI - Dynamics and Interactions of Individual Proteins in the Membrane of Single,
Living Cells.
AB - Total internal reflection fluorescence (TIRF) microscopy is a powerful technique
for interrogating protein dynamics in the membranes of living single cells.
Receptor-ligand interactions are of particular interest for improving our
understanding of cell signaling networks in a variety of applications. Here, we
describe methods for fluorescently labeling individual receptors and their
ligands, conducting single-molecule TIRF microscopy of receptors and ligands in
single, living cells, and importantly, performing image analysis on the resulting
time sequence of images to extract quantitative dynamics. While we use Toll-like
receptor 4 and its ligand lipopolysaccharide as a specific example, the methods
are general and readily extendable to other receptor-ligand systems of importance
in cellular biology.
PMID- 26542724
TI - Microfluidics-Enabled Enzyme Activity Measurement in Single Cells.
AB - Cellular heterogeneity has presented a significant challenge in the studies of
biology. While most of our understanding is based on the analysis of ensemble
average, individual cells may process information and respond to perturbations
very differently. Presented here is a highly sensitive platform capable of
measuring enzymatic activity at the single-cell level. The strategy innovatively
combines a rolling circle-enhanced enzyme activity detection (REEAD) assay with
droplet microfluidics. The single-molecule sensitivity of REEAD allows highly
sensitive detection of enzymatic activities, i.e. at the single catalytic event
level, whereas the microfluidics enables isolation of single cells. Further,
confined reactions in picoliter-sized droplets significantly improve enzyme
extraction from human cells or microorganisms and result in faster reaction
kinetics. Taken together, the described protocol is expected to open up new
possibilities in the single-cell research, particularly for the elucidation of
heterogeneity in a population of cells.
PMID- 26542725
TI - Microfluidic Chemical Cytometry for Enzyme Assays of Single Cells.
AB - Cellular heterogeneity occurs, and should be probed, at multiple levels of
cellular structure and physiology from the genome to enzyme activity. In
particular, single-cell measures of protein levels are complemented by single
cell measurements of the activity of these proteins. Microfluidic assays of
enzyme activity at the single-cell level combine moderate to high throughput with
low dead volumes and the potential for automation. Herein, we describe the steps
required to fabricate and operate a microfluidic device for chemical cytometry of
fluorescent or fluorogenic reporters of enzyme activity in individual cells.
PMID- 26542726
TI - Quantitative Detection of Nucleocytoplasmic Transport of Native Proteins in
Single Cells.
AB - The detection of protein translocation (i.e., the movement of intracellular
proteins among various subcellular compartments) conventionally relies on imaging
and subcellular-fractionation-based techniques that do not generate information
on a large cell population with single-cell resolution. Although special flow
cytometric tools such as imaging flow cytometry may generate single-cell data on
processes such as nucleocytoplasmic transport, such equipment is expensive (thus
has limited accessibility) and has low throughput for examining cells due to the
reliance on high-speed imaging. Here we describe a protocol for detecting
translocation of native proteins using a common flow cytometer which detects
fluorescence intensity without imaging. We conduct chemical release of cytosolic
proteins and fluorescence immunostaining of a targeted protein. The detected
fluorescence intensity is quantitatively correlated to the cytosolic/nuclear
localization of the protein at the single cell level. Our technique provides a
simple route for studying nucleocytoplasmic transport with single-cell resolution
using common flow cytometers.
PMID- 26542727
TI - The CAT (COPD Assessment Test) questionnaire as a predictor of the evolution of
severe COPD exacerbations.
AB - INTRODUCTION: Since exacerbations of chronic obstructive pulmonary disease (COPD)
cause both a great impact on the progression of the disease and generate high
health expenditures, there is a need to develop tools to evaluate their
prognosis. METHOD: Multicenter, observational, prospective study that evaluated
the prognostic utility of the COPD Assessment Test (CAT) in severe exacerbations
of COPD. Anthropometric and clinical variables were analyzed: smoking, history of
exacerbations during the previous year, drug treatment, degree of baseline
dyspnea, comorbidities; laboratory variables at admission (complete blood count,
arterial blood gas and biochemistry) and CAT scores in the first 24 h of
admission, on the third day, at discharge and at 3 months. RESULTS: We evaluated
106 patients (91 males) with a mean age of 71.1 (SD 9.8 years), mean FEV1 45.2%
(14.7%) and average CAT score at admission of 24.7 points (7.1). At three months
after discharge, treatment failure was observed in 39 (36.8%) patients: 14
(13.2%) presented an exacerbation without the need for hospital admission, 22
were readmitted (20.8%) and 3 (2.8%) died during follow-up. The three factors
associated with increased risk of failure were a reduction less than 4 units in
the CAT at discharge compared to admission, lower hemoglobin levels and treatment
with domiciliary oxygen. CONCLUSIONS: A change of <=4 points in the CAT score at
discharge compared to that obtained at admission due to a severe exacerbation of
COPD, helps to predict therapeutic failure such as a new exacerbation,
readmission or death in the subsequent three months.
PMID- 26542728
TI - Undersized angioplasty and stenting of symptomatic intracranial tight stenosis
with Enterprise: Evaluation of clinical and vascular outcome.
AB - BACKGROUND: Severe intracranial arterial stenosis results in more than 10%
incidence of stroke and transient ischemic attack. Using undersized angioplasty
with off-label closed-cell Enterprise stent may be a feasible alternative option
for treating patients with intracranial atherosclerotic disease who fail dual
antiplatelet medical therapy. The results of the authors' study are presented in
this paper. MATERIALS AND METHODS: Between January 2013 and July 2014, 24
symptomatic patients with a total of 30 intracranial arterial stenotic lesions
refractory to medical therapy, who underwent undersized angioplasty and
Enterprise stenting, were retrospectively reviewed in the authors' institution.
The results evaluated include technical success rate, clinical outcome measured
as modified Rankin Scale at presentation and follow-up, peri-procedural morbidity
within 30 days and 1 year, and follow-up vessel patency. RESULTS: Stent
deployment was successfully achieved in all stenotic lesions (30/30). Mean pre
stent and post-stent diameter residual stenosis was 81% and 18%, respectively.
The peri-procedural complication rate during 30 days after stenting was 10% per
lesion (3/30), including intracranial hemorrhage, in-stent thrombosis and
ischemic stroke. No further thromboembolic event or complication occurred in any
patient more than 30 days after stenting. Modified Rankin scale <= 2 was observed
in 64% and 83% of patients at initial presentation and follow-up (mean 15.8
months), respectively. Imaging follow-up was available in 17 of 24 patients
(70.8%) and 20 of 30 treated lesions (66.6%) with a mean follow-up period of 15.4
months. Only one asymptomatic in-stent restenosis occurred in 20 available
lesions (5.0%). CONCLUSION: This preliminary study suggests that using undersized
angioplasty and Enterprise stenting may effectively treat high-degree symptomatic
intracranial arterial stenosis with favorable clinical and angiographic outcome.
PMID- 26542730
TI - Late Diagnosis of HIV Infection in Metropolitan Areas of the United States and
Puerto Rico.
AB - The majority of persons infected with HIV live in large metropolitan areas and
many such areas have implemented intensified HIV testing programs. A national
indicator of HIV testing outcomes is late diagnosis of HIV infection (stage 3,
AIDS). Based on National HIV Surveillance System data, 23.3 % of persons with HIV
diagnosed in 2012 had a late diagnosis in large MSAs, 26.3 % in smaller MSAs, and
29.6 % in non-metropolitan areas. In the 105 large MSAs, the percentage diagnosed
late ranged from 13.2 to 47.4 %. During 2003-2012, the percentage diagnosed late
decreased in large MSAs (32.2-23.3 %), with significant decreases in 41 of 105
MSAs overall and among men who have sex with men. Sustained testing efforts may
help to continue the decreasing trend in late-stage HIV diagnosis and provide
opportunities for early care and treatment and potential reduction in HIV
transmission.
PMID- 26542729
TI - Altered relaxin family receptors RXFP1 and RXFP3 in the neocortex of depressed
Alzheimer's disease patients.
AB - RATIONALE: The G-protein-coupled relaxin family receptors RXFP1 and RXFP3 are
widely expressed in the cortex and are involved in stress responses and memory
and emotional processing. However, the identification of these receptors in human
cortex and their status in Alzheimer's disease (AD), which is characterized by
both cognitive impairments and neuropsychiatric behaviours, have not been
reported. OBJECTIVES: In this study, we characterized RXFP receptors for
immunoblotting and measured RXFP1 and RXFP3 immunoreactivities in the postmortem
neocortex of AD patients longitudinally assessed for depressive symptoms.
METHODS: RXFP1 and RXFP3 antibodies were characterized by immunoblotting with
lysates from transfected HEK cells and preadsorption with RXFP3 peptides. Also,
postmortem neocortical tissues from behaviourally assessed AD and age-matched
controls were processed for immunoblotting with RXFP1 and RXFP3 antibodies.
RESULTS: Compared to controls, putative RXFP1 immunoreactivity was reduced in
parietal cortex of non-depressed AD patients but unchanged in depressed patients.
Furthermore, putative RXFP3 immunoreactivity was increased only in depressed AD
patients. RXFP1 levels in the parietal cortex also correlated with severity of
depression symptoms. In contrast, RXFP1 and RXFP3 levels did not correlate with
dementia severity or beta-amyloid burden. CONCLUSION: Alterations of RXFP1 and
RXFP3 may be neurochemical markers of depression in AD, and relaxin family
receptors warrant further preclinical investigations as possible therapeutic
targets for neuropsychiatric symptoms in dementia.
PMID- 26542731
TI - Cerebellar and Motor Cortical Transcranial Stimulation Decrease Levodopa-Induced
Dyskinesias in Parkinson's Disease.
AB - Transcranial direct current stimulation (tDCS) is a non-invasive technique for
inducing prolonged functional changes in the human cerebral cortex. This simple
and safe neurostimulation technique for modulating motor functions in Parkinson's
disease could extend treatment option for patients with movement disorders. We
assessed whether tDCS applied daily over the cerebellum (cerebellar tDCS) and
motor cortex (M1-tDCS) improves motor and cognitive symptoms and levodopa-induced
dyskinesias in patients with Parkinson's disease (PD). Nine patients (aged 60-85
years; four women; Hoehn & Yahr scale score 2-3) diagnosed as having idiopathic
PD were recruited. To evaluate how tDCS (cerebellar tDCS or M1-tDCS) affects
motor and cognitive function in PD, we delivered bilateral anodal (2 mA, 20 min,
five consecutive days) and sham tDCS, in random order, in three separate
experimental sessions held at least 1 month apart. In each session, as outcome
variables, patients underwent the Unified Parkinson's Disease Rating Scale (UPDRS
III and IV) and cognitive testing before treatment (baseline), when treatment
ended on day 5 (T1), 1 week later (T2), and then 4 weeks later (T3), at the same
time each day. After patients received anodal cerebellar tDCS and M1-tDCS for
five days, the UPDRS IV (dyskinesias section) improved (p < 0.001). Conversely,
sham tDCS, cerebellar tDCS, and M1-tDCS left the other variables studied
unchanged (p > 0.05). Despite the small sample size, our preliminary results show
that anodal tDCS applied for five consecutive days over the motor cortical areas
and cerebellum improves parkinsonian patients' levodopa-induced dyskinesias.
PMID- 26542732
TI - Sunitinib modulates the radiosensitivity of esophageal squamous cell carcinoma
cells in vitro.
AB - This study aims to explore the radiosensitivity of sunitinib on esophageal cancer
cell lines. For in vitro studies, human esophageal squamous cell carcinoma (ESCC)
cell lines were treated with sunitinib 24 hours before irradiation. ESCC cell
lines were treated with sunitinib with or without radiation. Cell proliferation
was detected by Cell Counting Kit 8 assay. Radiosensitization was evaluated by
clonogenic survival assay. Cell apoptosis and cell cycle analysis were detected
by flow cytometry. Deoxyribonucleic acid (DNA) double-strand breaks were
performed by immunocytofluorescence analysis. Western blot analysis was used to
determine the effect of sunitinib on radiation induced signal transduction.
Sunitinib potently sensitized ESCC cells to radiation with a sensitization
enhancement ratio of 1.13-1.72. Furthermore, sunitinib increased radiation
induced DNA double-strand breaks, promoted the apoptosis of ESCC cells and
induced the G2/M arrest. Radiosensitization was accompanied with enhanced
apoptosis and regulated by the intrinsic pathway of apoptosis. Sunitinib
sensitized ESCC cells to the cytotoxic effects of radiation. This compound is
promising for future clinical trials with chemoradiation in esophageal cancer.
PMID- 26542733
TI - Differentially expressed small RNAs in Arabidopsis galls formed by Meloidogyne
javanica: a functional role for miR390 and its TAS3-derived tasiRNAs.
AB - Root-knot nematodes (RKNs) induce inside the vascular cylinder the giant cells
(GCs) embedded in the galls. The distinctive gene repression in early-developing
GCs could be facilitated by small RNAs (sRNA) such as miRNAs, and/or epigenetic
mechanisms mediated by 24nt-sRNAs, rasiRNAs and 21-22nt-sRNAs. Therefore, the
sRNA-population together with the role of the miR390/TAS3/ARFs module were
studied during early gall/GC formation. Three sRNA libraries from 3-d-post
inoculation (dpi) galls induced by Meloidogyne javanica in Arabidopsis and three
from uninfected root segments were sequenced following Illumina-Solexa
technology. pMIR390a::GUS and pTAS3::GUS lines were assayed for nematode
dependent promoter activation. A sensor line indicative of TAS3-derived tasiRNAs
binding to the ARF3 sequence (pARF3:ARF3-GUS) together with a tasiRNA-resistant
ARF3 line (pARF3:ARF3m-GUS) were used for functional analysis. The sRNA
population showed significant differences between galls and controls, with high
validation rate and correspondence with their target expression: 21-nt sRNAs
corresponding mainly to miRNAs were downregulated, whilst 24-nt-sRNAs from the
rasiRNA family were mostly upregulated in galls. The promoters of MIR390a and
TAS3, active in galls, and the pARF3:ARF3-GUS line, indicated a role of TAS3
derived-tasiRNAs in galls. The regulatory module miR390/TAS3 is necessary for
proper gall formation possibly through auxin-responsive factors, and the
abundance of 24-nt sRNAs (mostly rasiRNAs) constitutes a gall hallmark.
PMID- 26542735
TI - Hierarchical MoS2 @Carbon Microspheres as Advanced Anodes for Li-Ion Batteries.
AB - Hierarchical hybridized nanocomposites with rationally constructed compositions
and structures have been considered key for achieving superior Li-ion battery
performance owing to their enhanced properties, such as fast lithium ion
diffusion, good collection and transport of electrons, and a buffer zone for
relieving the large volume variations during cycling processes. Hierarchical MoS2
@carbon microspheres (HMCM) have been synthesized in a facile hydrothermal
treatment. The structure analyses reveal that ultrathin MoS2 nanoflakes (ca. 2-5
nm) are vertically supported on the surface of carbon nanospheres. The reversible
capacity of the HMCM nanocomposite is maintained at 650 mA h g(-1) after 300
cycles at 1 A g(-1) . Furthermore, the capacity can reach 477 mA h g(-1) even at
a high current density of 4 A g(-1) . The outstanding electrochemical performance
of HMCM is attributed to the synergetic effect between the carbon spheres and the
ultrathin MoS2 nanoflakes. Additionally, the carbon matrix can supply conductive
networks and prevent the aggregation of layered MoS2 during the charge/discharge
process; and ultrathin MoS2 nanoflakes with enlarged surface areas, which can
guarantee the flow of the electrolyte, provide more active sites and reduce the
diffusion energy barrier of Li(+) ions.
PMID- 26542734
TI - Function of Slit/Robo signaling in breast cancer.
AB - Slit and Robo are considered tumor suppressors because they are frequently
inactivated in various tumor tissue. These genes are closely correlated with CpG
hypermethylation in their promoters. The Slit/Robo signaling pathway is
reportedly involved in breast cancer development and metastasis. Overexpression
of Slit/ Robo induces its tumor suppressive effects possibly by inactivating the
beta-catenin/LEF/TCF and PI3K/Akt signaling pathways or by altering beta
catenin/E-cadherin-mediated cell-cell adhesion in breast cancer cells.
Furthermore, loss of Slit proteins or their Robo receptors upregulates the
CXCL12/CXCR4 signaling axis in human breast carcinoma. In addition, this pathway
regulates the distant migration of breast cancer cells not only by mediating the
phosphorylation of the downstream molecules of CXCL12/CXCR4 and srGAPs, such as
PI3K/ Src, RAFTK/ Pyk2, and CDC42, but also by regulating the activities of MAP
kinases. This review includes recent studies on the functions of Slit/Robo
signaling in breast cancer and its molecular mechanisms.
PMID- 26542736
TI - Guanine nucleotide induced conformational change of Cdc42 revealed by
hydrogen/deuterium exchange mass spectrometry.
AB - Cdc42 regulates pathways related to cell division. Dysregulation of Cdc42 can
lead to cancer, cardiovascular diseases and neurodegenerative diseases. GTP
induced activation mechanism plays an important role in the activity and
biological functions of Cdc42. P-loop, Switch I and Switch II are critical
regions modulating the enzymatic activity of Cdc42. We applied amide
hydrogen/deuterium exchange coupled with liquid chromatography mass spectrometry
(HDXMS) to investigate the dynamic changes of apo-Cdc42 after GDP, GTP and GMP
PCP binding. The natural substrate GTP induced significant decreases of
deuteration in P-loop and Switch II, moderate changes of deuteration in Switch I
and significant changes of deuteration in the alpha7 helix, a region far away
from the active site. GTP binding induced similar effects on H/D exchange to its
non-hydrolysable analog, GMP-PCP. HDXMS results indicate that GTP binding blocked
the solvent accessibility in the active site leading to the decrease of H/D
exchange rate surrounding the active site, and further triggered a conformational
change resulting in the drastic decrease of H/D exchange rate at the remote
alpha7 helix. Comparing the deuteration levels in three activation states of apo
Cdc42, Cdc42-GDP and Cdc42-GMP-PCP, the apo-Cdc42 has the most flexible
structure, which can be stabilized by guanine nucleotide binding. The rates of
H/D exchange of Cdc42-GDP are between the GMP-PCP-bound and the apo form, but
more closely to the GMP-PCP-bound form. Our results show that the activation of
Cdc42 is a process of conformational changes involved with P-loop, Switch II and
alpha7 helix for structural stabilization.
PMID- 26542737
TI - Recycling organs - growing tailor-made replacement kidneys.
PMID- 26542738
TI - Cryptochrome-dependent circadian periods in the arcuate nucleus.
AB - The circadian pacemaker in the suprachiasmatic nucleus (SCN) of the hypothalamus
is responsible for controlling behavioral activity rhythms, such as a free
running rhythm in constant darkness. Rodents have several circadian oscillators
in other brain regions including the arcuate nucleus (ARC). In specific
conditions such as food anticipatory activity rhythms in the context of timed
restricted feeding, an alternative circadian pace-making system has been assumed
by means of circadian oscillators like the SCN. Despite extensive lesion studies,
the anatomic locations of extra-SCN circadian pacemakers responsible for
regulating behavioral rhythms have not been found. In the present study, we
investigated circadian rhythms in the SCN and extra-SCN region of the arcuate
nucleus (ARC) by analyzing PER2::LUCIFERASE expression in specific regions from
wild-type C57BL/6, Cry1(-/-), and Cry2(-/-) mice. Compared to wild-type animals,
we observed period shortening in both the SCN and ARC of Cry1(-/-) mice and
period lengthening in Cry2(-/-) mice. Interestingly, the periods in the ARC of
both genotypes were identical to those in the SCN. Moreover, the amplitudes of
PER2::LUC rhythms in the ARC of all animals were decreased compared to those in
the SCN. These data suggest that the ARC is a candidate circadian pacemaker
outside the SCN.
PMID- 26542739
TI - An overview of pregnancy and fertility issues in breast cancer patients.
AB - Breast cancer is one of the most common malignancies of women in the reproductive
years. In the Western world there is a trend towards delaying pregnancy to later
in life, and in combination with an increased incidence of breast cancer an
increased number of women are diagnosed with breast cancer before they have
completed their reproductive plans. In addition, breast cancer during pregnancy
may affect an increased number of women as the childbearing years are delayed.
The survival rate after breast cancer has improved during the last decades, and
many young breast cancer survivors will consider a pregnancy subsequent to the
completion of adjuvant breast cancer therapy. Traditionally, many women are
advised against a pregnancy due to a fear of increased risk of recurrence,
especially women with estrogen receptor-positive breast cancer. Due to
feasibility issues, evidence from large prospective randomized trials is missing
regarding the safety of pregnancy after breast cancer. Today guidelines are based
on cohort studies and population-based registry evidence with its limitations.
Overall, data suggest that pregnancy after breast cancer therapy is safe, and the
current evidence is summarized in this overview.
PMID- 26542740
TI - Toward illness phase-specific pharmacotherapy for schizophrenia.
PMID- 26542741
TI - Spines, synapses, and schizophrenia.
PMID- 26542742
TI - Understanding symbol coding in schizophrenia.
PMID- 26542743
TI - Human epidermal growth factor receptor 2 testing in invasive breast cancer:
should histological grade, type and oestrogen receptor status influence the
decision to repeat testing?
AB - AIMS: The recent American Society of Clinical Oncology/College of American
Pathologists guidelines for human epidermal growth factor receptor 2 (HER2)
testing in breast cancer recommend repeat testing based on tumour grade, tumour
type, and hormone receptor status. The aim of this study was to test the value of
these criteria. METHODS AND RESULTS: HER2 status was concordant in the core
biopsies and excision specimens in 392 of 400 invasive carcinomas. The major
reasons for discordance were amplification around the cut-off for positivity and
tumour heterogeneity. Of 116 grade 3 carcinomas that were HER2-negative in the
core biopsy, four were HER2-positive in the excision specimen. Three of these
four either showed borderline negative amplification in the core biopsy or were
heterogeneous. None of the 55 grade 1 carcinomas were HER2-positive. Review of
repeat testing of HER2 in routine practice suggested that it may also be of value
for multifocal tumours and if recommended by the person assessing the in-situ
hybridization. CONCLUSIONS: Mandatory repeat HER2 testing of grade 3 HER2
negative carcinomas is not appropriate. This is particularly true if repeat
testing is performed after borderline negative amplification in the core biopsy
or in HER2-negative heterogeneous carcinomas.
PMID- 26542744
TI - PI(4)P homeostasis: Who controls the controllers?
AB - During recent decades, PI(4)P (phosphoinositol-4-phosphate) has been described as
a key regulator of a wide range of cellular functions such as organelle
biogenesis, lipid metabolism and distribution, membrane trafficking, ion
channels, pumps, and transporter activities. In this review we will focus on the
multiple mechanisms that regulate PI(4)P homeostasis ranging from those
responsible for the spatial distribution of the PI4 kinases and PI(4)P
phosphatase to those controlling their enzymatic activity or the
delivery/presentation of the substrate, i.e. PI or PI(4)P, to the PI4Ks or PI(4)P
phosphatase, respectively. We will also highlight the open questions in the field
mainly dealing with the existence and mode of action of PI(4)P sensors that
monitor its amount and can act as a rheostat tuning PI(4)P levels in different
compartments and adapting them to the different needs of the cell.
PMID- 26542745
TI - Targeted, noninvasive blockade of cortical neuronal activity.
AB - Here we describe a novel method to noninvasively modulate targeted brain areas
through the temporary disruption of the blood-brain barrier (BBB) via focused
ultrasound, enabling focal delivery of a neuroactive substance. Ultrasound was
used to locally disrupt the BBB in rat somatosensory cortex, and intravenous
administration of GABA then produced a dose-dependent suppression of
somatosensory-evoked potentials in response to electrical stimulation of the
sciatic nerve. No suppression was observed 1-5 days afterwards or in control
animals where the BBB was not disrupted. This method has several advantages over
existing techniques: it is noninvasive; it is repeatable via additional GABA
injections; multiple brain regions can be affected simultaneously; suppression
magnitude can be titrated by GABA dose; and the method can be used with freely
behaving subjects. We anticipate that the application of neuroactive substances
in this way will be a useful tool for noninvasively mapping brain function, and
potentially for surgical planning or novel therapies.
PMID- 26542746
TI - Relationship between marijuana and other illicit drug use and depression/suicidal
thoughts among late middle-aged and older adults.
AB - BACKGROUND: Despite growing numbers of older-adult illicit drug users, research
on this topic is rare. This study examined the relationship between marijuana
and/or other illicit drug use and major depressive episode (MDE) and serious
suicidal thoughts among those aged 50+ years in the USA. METHODS: The public use
files of the 2008 to 2012 US National Survey on Drug Use and Health (NSDUH)
provided data on 29,634 individuals aged 50+ years. Logistic regression analysis
was used to test hypothesized associations between past-year marijuana and/or
other illicit drug use and MDE and serious suicidal thoughts. RESULTS: Nearly 6%
of the 50+ years age group reported past-year marijuana and/or other illicit drug
use. Compared to non-users of any illicit drug, the odds of past-year MDE among
those who used marijuana only, other illicit drugs only, and marijuana and other
illicit drugs were 1.54 (95% CI = 1.17-2.03), 2.75 (95% CI = 1.75-4.33), and 2.12
(95% CI = 1.45-3.09), respectively. Those who used marijuana and other drugs also
had higher odds (2.44, 95% CI = 1.58-3.77) of suicidal thoughts than non-users of
any illicit drug. However, among users of any illicit drug, no difference was
found among users of marijuana only, marijuana and other illicit drugs, and other
illicit drugs only. Among marijuana users, marijuana use frequency was a
significant correlate of suicidal thoughts only among those with MDE.
CONCLUSIONS: Health and mental health (MH) service providers should pay close
attention to the potential reciprocal effects of marijuana and other illicit drug
use and MDE and suicidal thoughts among late middle-aged and older adults.
PMID- 26542747
TI - On the Extraction of Charge Carrier Mobility in High-Mobility Organic
Transistors.
AB - Transistor parameter extraction by the conventional transconductance method can
lead to a mobility overestimate. Organic transistors undergoing major contact
resistance experience a significant drop in mobility upon mild annealing. Before
annealing, strong field-dependent contact resistance yields nonlinear transfer
curves with locally high transconductances, resulting in a mobility overestimate.
After annealing, a contact resistance below 200 Omega cm is achieved, which is
stable over a wide V(G) range.
PMID- 26542748
TI - Energetic constraint of non-monotonic mass change during offspring growth: a
general hypothesis and application of a new tool.
AB - Post-natal growth is an important life-history trait and can be a sensitive
indicator of ecological stress. For over 50 years, monotonic (never-decreasing)
growth has been viewed as the predominant trajectory of post-natal mass change in
most animal species, notably among birds. However, prevailing analytical
approaches and energetic constraints may limit detection of non-monotonic (or
multiphasic), determinate growth patterns, such as mass recession in birds
(weight loss prior to fledging, preceded by overshooting adult mass), which is
currently believed to be restricted to few taxa. Energetic surplus and shortfall
are widespread conditions that can directly influence the degree of mass
overshooting and recession. Thus, we hypothesize that in many species, prevailing
energetic constraints force mass change away from a fundamental non-monotonic
trajectory to instead follow a monotonic curve. We observed highly non-monotonic,
mass change trajectories (overshooting adult mass by up to almost 20%) among
common tern Sterna hirundo chicks, a well-studied species long-established as
growing monotonically. We quantified the prevalence and magnitude of non
monotonic mass change prior to fledging for 313 common tern chicks that
successfully fledged from two discrete populations in multiple years. We used a
new approach for analysing non-monotonic curves to examine differences in mass
change trajectories between populations under contrasting abiotic (freshwater vs.
saltwater) and biotic stresses (low rates of food provisioning). Some degree of
mass recession occurred in 73% of all study chicks. Overshooting adult mass
followed by extensive mass recession was most prevalent at our freshwater colony,
being detected among 34-38% of chicks annually. Non-monotonic trajectories were
less marked in populations experiencing ecological stress and among lower quality
individuals. Chicks that were provisioned at higher rates were more likely to
both overshoot adult mass and experience subsequent mass recession. Our results
in common terns provide strong support for the hypothesis that non-monotonic
trajectories are the fundamental pattern of mass change but are constrained to be
monotonic under energetic shortfall. This justifies future tests of the
generality of this hypothesis across a broad range of taxa. We also demonstrate a
recent analytical tool that prevents routine fitting of monotonic curves without
prior investigation of non-monotonic trends.
PMID- 26542750
TI - Performance study of magnesium-sulfur battery using a graphene based sulfur
composite cathode electrode and a non-nucleophilic Mg electrolyte.
AB - Here we report for the first time the development of a Mg rechargeable battery
using a graphene-sulfur nanocomposite as the cathode, a Mg-carbon composite as
the anode and a non-nucleophilic Mg based complex in tetraglyme solvent as the
electrolyte. The graphene-sulfur nanocomposites are prepared through a new
pathway by the combination of thermal and chemical precipitation methods. The
Mg/S cell delivers a higher reversible capacity (448 mA h g(-1)), a longer
cyclability (236 mA h g(-1) at the end of the 50(th) cycle) and a better rate
capability than previously described cells. The dissolution of Mg polysulfides to
the anode side was studied by X-ray photoelectron spectroscopy. The use of a
graphene-sulfur composite cathode electrode, with the properties of a high
surface area, a porous morphology, a very good electronic conductivity and the
presence of oxygen functional groups, along with a non-nucleophilic Mg
electrolyte gives an improved battery performance.
PMID- 26542749
TI - Stat3 is a candidate epigenetic biomarker of perinatal Bisphenol A exposure
associated with murine hepatic tumors with implications for human health.
AB - Bisphenol A (BPA) is an endocrine disrupting chemical (EDC) that has been
implicated as a potential carcinogen and epigenotoxicant. We have previously
reported dose-dependent incidence of hepatic tumors in 10-month-old isogenic mice
perinatally exposed to BPA. Here, we evaluated DNA methylation at 3 candidate
genes (Esr1, Il-6st, and Stat3) in liver tissue of BPA-exposed mice euthanized at
2 time points: post-natal day 22 (PND22; n = 147) or 10-months of age (n = 78,
including n = 18 with hepatic tumors). Additionally, DNA methylation profiles
were analyzed at human homologs of murine candidate genes in human fetal liver
samples (n = 50) with known liver tissue BPA levels. Candidate genes were chosen
based on reported expression changes in both rodent and human hepatocellular
carcinoma (HCC). Regions for bisulfite sequencing were chosen by mining whole
genome next generation sequencing methylation datasets of both mice and human
liver samples with known perinatal BPA exposures. One of 3 candidate genes,
Stat3, displayed dose-dependent DNA methylation changes in both 10-month mice
with liver tumors as compared to those without liver tumors and 3-week sibling
mice from the same exposure study, implicating Stat3 as a potential epigenetic
biomarker of both early life BPA exposure and adult disease in mice. DNA
methylation profiles within STAT3 varied with liver tissue BPA level in human
fetal liver samples as well, suggesting STAT3 may be a translationally relevant
candidate biomarker. These data implicate Stat3 as a potential early life
biomarker of adult murine liver tumor risk following early BPA exposure with
early evidence of relevance to human health.
PMID- 26542751
TI - Functional polymorphisms in the gene encoding macrophage migration inhibitory
factor (MIF) are associated with active pulmonary tuberculosis.
AB - OBJECTIVE: The role of the cytokine, macrophage migration inhibition factor (MIF)
was assessed in tuberculosis. This case-control study investigated whether
commonly occurring functional MIF polymorphisms are associated with active
tuberculosis as well as with serum levels of MIF, IFN-gamma and TNF-alpha.
METHODS: Two MIF promoter polymorphisms, a functional -794 CATT5-8 microsatellite
repeat (rs5844572) and a -173G/C single-nucleotide polymorphism (rs755622), were
analysed by PCR and PCR-RFLP, respectively, in 47 patients and 50 healthy
subjects. The mRNA level of MIF was performed by real-time PCR (RT-PCR), and MIF,
IFN-gamma and TNF-alpha serum levels were determined by ELISA. RESULTS: A
significant increase of MIF mRNA expression and MIF protein level were found in
patients compared to healthy controls. Meanwhile, the increase of IFN-gamma and
TNF-alpha serum levels were confirmed. According to the profile of genetic model,
a significant association was found of genotypes carrying the -794 CATT 7 or 8
and -173 C risk alleles with susceptibility to active tuberculosis and with a
significant increase of MIF, IFN-gamma and TNF-alpha. CONCLUSIONS: These data
suggested a distinct genetic and immunopathogenic basis for tuberculosis at the
MIF locus. Serum MIF, IFN-gamma and TNF-alpha profiles distinguish tuberculosis
from the more inflammatory phenotype and may play a role in pathogenesis and as
biomarkers of active tuberculosis.
PMID- 26542752
TI - Nurse occupational burnout and patient-rated quality of care: The boundary
conditions of emotional intelligence and demographic profiles.
AB - AIM: Most previous studies on the relationship between occupational burnout and
the quality of care among nurses have used self-reported data on the quality of
care from nurses, thus rendering evaluating the relationship between burnout and
the quality of care difficult. Hospitals increasingly hire contract nurses and
high turnover rates remain a concern. Little is known about whether nurses'
emotional intelligence and demographic factors such as contract status, tenure,
and marital status affect the quality of care when burnout occurs. This study
investigated the relationship between burnout and patient-rated quality of care
and investigated the moderating role of emotional intelligence and demographic
variables. METHODS: Hierarchical moderated regression was used to analyze 98 sets
of paired data obtained from nurses and their patients at a teaching hospital in
northern Taiwan. RESULTS: The results suggest that occupational burnout has a
less unfavorable effect on the quality of care from permanent, married, and
senior nurses. CONCLUSION: Nursing management should pay particular attention to
retaining permanent, married, and senior nurses. To ensure a sustainable nursing
workforce in the future, newly graduated registered nurses should have access to
permanent positions and opportunities for long-term professional development. In
addition, married nurses should be provided with flexible work-family
arrangements to ensure their satisfaction in the nursing profession.
PMID- 26542753
TI - A quantitative approach to analysing cortisol response in the horse.
AB - The cortisol response to glucocorticoid intervention has, in spite of several
studies in horses, not been fully characterized with regard to the determinants
of onset, intensity and duration of response. Therefore, dexamethasone and
cortisol response data were collected in a study applying a constant rate
infusion regimen of dexamethasone (0.17, 1.7 and 17 MUg/kg) to six Standardbreds.
Plasma was analysed for dexamethasone and cortisol concentrations using UHPLC
MS/MS. Dexamethasone displayed linear kinetics within the concentration range
studied. A turnover model of oscillatory behaviour accurately mimicked cortisol
data. The mean baseline concentration range was 34-57 MUg/L, the fractional
turnover rate 0.47-1.5 1/h, the amplitude parameter 6.8-24 MUg/L, the maximum
inhibitory capacity 0.77-0.97, the drug potency 6-65 ng/L and the sigmoidicity
factor 0.7-30. This analysis provided a better understanding of the time course
of the cortisol response in horses. This includes baseline variability within and
between horses and determinants of the equilibrium concentration-response
relationship. The analysis also challenged a protocol for a dexamethasone
suppression test design and indicated future improvement to increase the
predictability of the test.
PMID- 26542754
TI - Microbial immigration across the Mediterranean via airborne dust.
AB - Dust particles lifting and discharge from Africa to Europe is a recurring
phenomenon linked to air circulation conditions. The possibility that
microorganisms are conveyed across distances entails important consequences in
terms of biosafety and pathogens spread. Using culture independent DNA-based
analyses via next generation sequencing of the 16 S genes from the airborne
metagenome, the atmospheric microbial community was characterized and the
hypothesis was tested that shifts in species diversity could be recorded in
relation to dust discharge. As sampling ground the island of Sardinia was chosen,
being an ideal cornerstone within the Mediterranean and a crossroad of wind
circulation amidst Europe and Africa. Samples were collected in two opposite
coastal sites and in two different weather conditions comparing dust-conveying
winds from Africa with a control situation with winds from Europe. A major
conserved core microbiome was evidenced but increases in species richness and
presence of specific taxa were nevertheless observed in relation to each wind
regime. Taxa which can feature strains with clinical implications were also
detected. The approach is reported as a recommended model monitoring procedure
for early warning alerts in frameworks of biosafety against natural spread of
clinical microbiota across countries as well as to prevent bacteriological
warfare.
PMID- 26542755
TI - Beyond passivity: Dependency as a risk factor for intimate partner violence.
AB - Interpersonal dependency in male perpetrators of intimate partner violence (IPV)
is an understudied phenomenon but one that has noteworthy clinical implications.
The present investigation used meta-analytic techniques to quantify the
dependency-IPV link in all extant studies examining this relationship (n of
studies = 17). Studies were gathered via an extensive literature search using
relevant dependency/IPV search terms in the PsychInfo, Medline and Google Scholar
databases. Results revealed a small but statistically significant relationship
between dependency and perpetration of IPV in men (r = 0.150, Combined Z = 4.25,
p < 0.0001), with the magnitude of the dependency-IPV link becoming stronger (r =
0.365, Combined Z = 6.00, p < 0.0001) when studies using measures of dependent
personality disorder symptoms were omitted. Other moderators of the dependency
IPV effect size included IPV measure, type of sample and perpetrator age. These
findings illuminate the underlying dynamics and interpersonal processes involved
in some instances of IPV and may aid in understanding how to identify and treat
male perpetrators of domestic violence.
PMID- 26542756
TI - Predictive or not predictive: understanding the mixed messages from the patient's
DNA sequence.
AB - AIMS AND OBJECTIVES: The aim of this discussion paper is to enable nurses to
understand how deoxyribonucleic acid analysis can be predictive for some diseases
and not predictive for others. This will facilitate nurses to interpret genomic
test results and explain them to patients. BACKGROUND: Advances in technology
mean that genetic testing is now commonly performed by sequencing the majority of
an individual's genome or exome. This results in a huge amount of data, some of
which can be used to predict or diagnose disease. DESIGN: This is a discussion
paper. METHODS: This paper emerged from multiple discussions between the three
authors over many months, culminating in a writing workshop to prepare this text.
RESULTS: The results of DNA analysis can be used to diagnose or predict rare
diseases that are caused by a mutation in a single gene. However, while there are
a number of genetic factors that contribute to common diseases, the ability to
predict whether an individual will develop that condition is limited by the
overall heritability of the condition. Environmental factors (such as lifestyle)
are likely to be more useful in predicting common disease than genomic testing.
Genomic tests may be of use to inform management of diseases in specific
situations. CONCLUSIONS: Genomic testing will be of use in diagnosing disorders
due to single gene mutations, but the use of genomic testing to predict the
chance of a patient being affected in the future by a common disease is unlikely
to be a realistic option within a health service setting. RELEVANCE TO CLINICAL
PRACTICE: Nurses will increasingly be involved in the use of genomic tests in
mainstream patient care. However, they need to understand and be able to explain
to patients the practical applications of and limitations of such tests.
PMID- 26542757
TI - Epigenetic inactivation of TRAIL decoy receptors at 8p12-21.3 commonly deleted
region confers sensitivity to Apo2L/trail-Cisplatin combination therapy in
cervical cancer.
AB - Multiple chromosomal regions are affected by deletions in cervical cancer (CC)
genomes, but their consequence and target gene involvement remains unknown. Our
single nucleotide polymorphism (SNP) array identified 8p copy number losses
localized to an 8.4 Mb minimal deleted region (MDR) in 36% of CC. The 8p MDR was
associated with tumor size, treatment outcome, and with multiple HPV infections.
Genetic, epigenetic, and expression analyses of candidate genes at MDR identified
promoter hypermethylation and/or inactivation of decoy receptors TNFRSF10C and
TNFRSF10D in the majority of CC patients. TNFRSF10C methylation was also detected
in precancerous lesions suggesting that this change is an early event in cervical
tumorigenesis. We further demonstrate here that CC cell lines exhibiting
downregulated expression of TNFRSF10C and/or TNFRSF10D effectively respond to
TRAIL-induced apoptosis and this affect was synergistic in combination with DNA
damaging chemotherapeutic drugs. We show that the CC cell lines harboring
epigenetic inactivation of TRAIL decoy receptors effectively activate downstream
caspases suggesting a critical role of inactivation of these genes in efficient
execution of extrinsic apoptotic pathway and therapy response. Therefore, these
findings shed new light on the role of genetic/epigenetic defects in TRAIL decoy
receptor genes in the pathogenesis of CC and provide an opportunity to explore
strategies to test decoy receptor gene inactivation as a biomarker of response to
Apo2L/TRAIL-combination therapy.
PMID- 26542758
TI - Flexible estimation of survival curves conditional on non-linear and time
dependent predictor effects.
AB - Prognostic studies often estimate survival curves for patients with different
covariate vectors, but the validity of their results depends largely on the
accuracy of the estimated covariate effects. To avoid conventional proportional
hazards and linearity assumptions, flexible extensions of Cox's proportional
hazards model incorporate non-linear (NL) and/or time-dependent (TD) covariate
effects. However, their impact on survival curves estimation is unclear. Our
primary goal is to develop and validate a flexible method for estimating
individual patients' survival curves, conditional on multiple predictors with
possibly NL and/or TD effects. We first obtain maximum partial likelihood
estimates of NL and TD effects and use backward elimination to select
statistically significant effects into a final multivariable model. We then plug
the selected NL and TD estimates in the full likelihood function and estimate the
baseline hazard function and the resulting survival curves, conditional on
individual covariate vectors. The TD and NL functions and the log hazard are
modeled with unpenalized regression B-splines. In simulations, our flexible
survival curve estimates were unbiased and had much lower mean square errors than
the conventional estimates. In real-life analyses of mortality after a septic
shock, our model improved significantly the deviance (likelihood ratio test =
84.8, df = 20, p < 0.0001) and changed substantially the predicted survival for
several subjects.
PMID- 26542759
TI - Patterns of Somatic Diagnoses in Older People with Intellectual Disability: A
Swedish Eleven Year Case-Control Study of Inpatient Data.
AB - BACKGROUND: Knowledge about diagnoses patterns in older people with intellectual
disabilities is limited. METHODS: The case group (n = 7936) comprised people with
intellectual disabilities aged 55 years and older. The control group (n = 7936)
was age matched and sex matched. Somatic inpatient diagnoses (2002-2012) were
collected retrospectively. RESULTS: Several diagnoses were in several years
significantly more common in the case group, particularly infections [odds ratio
(OR) 1.78-4.08]; nervous system (OR 2.06-31.75); respiratory (OR 1.78-4.08) and
genitourinary diseases (1.59-11.50); injuries, unspecified symptoms (OR 1.56
4.27); and external causes of morbidity (OR 1.53-4.08). The oldest in the case
group had significantly less occurrence of tumours (OR 0.26-0.51), cardiovascular
(OR 0.42-0.72), and musculoskeletal diseases (OR 0.32-0.53) than controls.
CONCLUSIONS: Older people with intellectual disabilities have higher numbers of
some diagnoses, but lower numbers of others. Further research on the reasons for
the unique pattern of diagnoses in this group is required.
PMID- 26542761
TI - Cost Analysis of Flexible Ureteroscope Repairs: Evaluation of 655 Procedures in a
Community-Based Practice.
AB - INTRODUCTION: The frequency of flexible ureteroscopy has increased with the
introduction of improved instrumentation. Ureteroscopes allow increased
endoscopic access to the ureter and kidney. However, maintenance and repair of
scopes may increase the total procedure expense. METHODS: In 3 years (8/2011
7/2014), 655 flexible ureteroscopies were performed at a single-specialty,
urology, ambulatory surgery center. Procedures were performed by 26 board
certified urologists using four Olympus URF P5 flexible ureteroscopes. The
instruments were handled by a single team and sterilized through the STERIS
System E1. Repairs were performed by the manufacturer on an as needed basis.
Patient records were reviewed to determine the preoperative diagnosis, operative
time, location and size of the stone, and use of laser or ureteral sheath. The
occurrence, nature of flexible ureteroscope damage, and cost of repairs were
evaluated. RESULTS: Of the ureteroscopies performed, 78% was for the treatment of
calculi (50.1% in the kidney). Mean stone size was 8.5 +/- 0.2 mm, with larger
stones (11 mm) located in the kidney. The flexible ureteroscope was advanced over
a guidewire (88% of cases); a laser fiber was introduced in 70%, and a ureteral
sheath was used in 13.4%. Mean procedure time was 40 minutes. The most common
reasons for ureteroscope repair were cloudy lens (16 repairs) and broken optic
fibers (9 repairs). There were 31 repairs during the study period (average 21
cases per repair). Flexible ureteroscopes were out of service for an average of
11 days per repair (range 3-20). The total cost of repairs was $233,150 or ~$7521
per repair. The average repair cost per flexible ureteroscopy performed was $355.
CONCLUSIONS: Expenses associated with instrument repair can significantly impact
a procedure's net revenue, thus efforts should be made to minimize instrument
breakage. The expense of repairing a flexible ureteroscope per procedure can be
significant and needs to be considered when pricing this procedure.
PMID- 26542760
TI - Apelin regulates FoxO3 translocation to mediate cardioprotective responses to
myocardial injury and obesity.
AB - The increasing incidence of obesity accentuates the importance of identifying
mechanisms and optimal therapeutic strategies for patients with heart failure
(HF) in relation to obesity status. Here, we investigated the association between
plasma level of apelin, an adipocyte-derived factor, and clinicopathological
features of obese and non-obese patients with HF. We further explored potential
regulatory mechanisms of cardiac cell fate responses in conditions combining
myocardial injury and obesity. In a prospective, cross-sectional study involving
patients with HF we show that obese patients (BMI >= 30 kg/m(2)) have higher left
ventricular ejection fraction (LVEF) and greater levels of plasma apelin (p <
0.005) than non-obese patients (< 30 kg/m(2)), independently of ischemic
etiology. In a mouse model combining ischemia-reperfusion (I/R) injury and high
fat diet (HFD)-induced obesity, we identify apelin as a novel regulator of FoxO3
trafficking in cardiomyocytes. Confocal microscopy analysis of cardiac cells
revealed that apelin prevents nuclear translocation of FoxO3 in response to
oxygen deprivation through a PI3K pathway. These findings uncover apelin as a
novel regulator of FoxO3 nucleocytoplasmic trafficking in cardiac cells in
response to stress and provide insight into its potential clinical relevance in
obese patients with HF.
PMID- 26542762
TI - In vitro selection of RNA aptamers against CA125 tumor marker in ovarian cancer
and its study by optical biosensing.
AB - Early identification of neoplastic diseases is essential to achieve timely
therapeutic interventions and significantly reduce the mortality of patients. A
well-known biomarker is the Cancer Antigen 125 (CA125) or 16 mucin (MUC 16), a
glycoprotein of the human family of mucins, already used for the diagnostic and
prognostic evaluation of ovarian cancer. Therefore, the detection of CA125 to now
remains a promising tool in the early diagnosis of this tumor. In this paper, we
describe the development of RNA aptamers that bind with high affinity the tumor
antigen CA125. We performed eight cycles of selection against CA125 purified
protein. The selected aptamers were cloned and sequenced and the binding
properties of the most promising sequences were studied by Real Time PCR and
Surface Plasmon Resonance (SPR) to evaluate their ability in targeting CA125
protein with perspective applications in aptamer-based bioassays.
PMID- 26542763
TI - Visual detection of Flavivirus RNA in living cells.
AB - Flaviviruses include a wide range of important human pathogens delivered by
insects or ticks. These viruses have a positive-stranded RNA genome that is
replicated in the cytoplasm of the infected cell. The viral RNA genome is the
template for transcription by the virally encoded RNA polymerase and for
translation of the viral proteins. Furthermore, the double-stranded RNA
intermediates of viral replication are believed to trigger the innate immune
response through interaction with cytoplasmic cellular sensors. Therefore,
understanding the subcellular distribution and dynamics of Flavivirus RNAs is of
paramount importance to understand the interaction of the virus with its cellular
host, which could be of insect, tick or mammalian, including human, origin.
Recent advances on the visualization of Flavivirus RNA in living cells together
with the development of methods to measure the dynamic properties of viral RNA
are reviewed and discussed in this essay. In particular the application of
bleaching techniques such as fluorescence recovery after photobleaching (FRAP)
and fluorescence loss in photobleaching (FLIP) are analysed in the context of
tick-borne encephalitis virus replication. Conclusions driven by this approached
are discussed in the wider context Flavivirus infection.
PMID- 26542765
TI - Endoscopic sphincterotomy and cholecystectomy in acute biliary pancreatitis.
AB - BACKGROUND: This review discusses current insights with regard to biliary tract
management during and after acute biliary pancreatitis. METHODS: A MEDLINE and
EMBASE search was done and studies were selected based on methodological quality
and publication date. The recommendations of recent guidelines are incorporated
in this review. In absence of consensus in the literature, expert opinion is
expressed. RESULTS: There is no role for early endoscopic retrograde
cholangiopancreatography (ERCP) in patients with (predicted) mild biliary
pancreatitis to improve outcome. In case of persisting choledocholithiasis, ERCP
with stone extraction is scheduled electively when the acute event has subsided.
Whether early ERCP with sphincterotomy is beneficial in patients with predicted
severe pancreatitis remains subject to debate. Regardless of disease severity, in
case of concomitant cholangitis urgent endoscopic sphincterotomy (ES) is
recommended. As a definitive treatment to reduce the risk of recurrent biliary
events in the long term, ES is inferior to cholecystectomy and should be reserved
for patients considered unfit for surgery. After severe biliary pancreatitis,
cholecystectomy should be postponed until all signs of inflammation have
subsided. In patients with mild pancreatitis, cholecystectomy during the primary
admission reduces the risk of recurrent biliary complications. CONCLUSION: Recent
research has provided valuable data to guide biliary tract management in the
setting of acute biliary pancreatitis with great value and benefit for patients
and clinicians. Some important clinical dilemmas remain, but it is anticipated
that on-going clinical trials will deliver some important insights and additional
guidance soon.
PMID- 26542764
TI - A high risk of osteosarcoma in individuals who are homozygous for the p.D104N in
endostatin.
AB - The D104N polymorphism (p.D104N) in endostatin has been previously identified in
many types of cancer, and this polymorphism is believed to be a phenotypic
modulator in some tumors. However, it is unknown whether endostatin p.D104N
affects the risk and progression of osteosarcoma (OS). Here, we analyzed the
p.D104N endostatin variant in 236 patients with OS and 418 healthy individuals.
Similar frequencies of wild type and heterozygous p.104DN endostatin were
observed in controls and OS patients. Interestingly, the frequency of the
homozygous p.D104N (p.104NN) genotype was higher in OS patients group compared to
control group, suggesting that individuals with p.104NN endostatin have a
significantly increased risk for OS. In addition, OS patients with p.104NN
endostatin had a shorter survival time and a higher rate of metastasis than OS
patients with wild type endostatin. Animal experiments revealed that
overexpression of p.104NN endostatin did not significantly inhibit OS lung
metastasis. Interestingly, administration of endostatin dramatically inhibited OS
lung metastasis in the p.104NN endostatin xenograft model. Together, these
results suggest that p.104NN of endostatin is associated with the risk of OS and
demonstrates predictive significance for clinical outcome in OS patients. In
addition, endostatin therapy may be necessary for OS patients harboring p.104NN
endostatin.
PMID- 26542766
TI - Ce-Zr-La/Al2O3 prepared in a continuous stirred-tank reactor: a highly
thermostable support for an efficient Rh-based three-way catalyst.
AB - Two Ce-Zr-La/Al2O3 composite oxides, CZLA-C and CZLA-B, were synthesized using a
co-precipitation method in a continuous stirred-tank reactor (CSTR) and a batch
reactor (BR), respectively. Two Rh-based three-way catalysts (TWCs), Rh/CZLA-C
and Rh/CZLA-B were obtained by a wet-impregnation method using the two composites
as the supports. The physicochemical properties of the samples before and after
thermal treatment at 1000 degrees C were characterized by N2 adsorption
desorption, X-ray diffraction (XRD), scanning electron microscopy (SEM),
transmission electron microscopy (TEM), high-resolution transmission electron
microscopy (HRTEM), H2-temperature programmed reduction (H2-TPR) and CO
chemisorption. The results indicated that CZLA-C shows higher thermal stability
than CZLA-B due to a sparsely-agglomerated morphology. Compared with Rh/CZLA-B,
Rh/CZLA-C displayed better reducibility and higher thermal stability and
exhibited significantly higher activity in the catalytic removal of the simulated
gasoline vehicle exhaust emission (NO, CO and C3H8). Our work can provide a
facile and economical synthesis route to advanced support materials and catalysts
for exhaust emission control.
PMID- 26542768
TI - Discussion.
PMID- 26542769
TI - Analysis of Nitrosamines in Cooked Bacon by QuEChERS Sample Preparation and Gas
Chromatography-Tandem Mass Spectrometry with Backflushing.
AB - Nitrites are added as a preservative to a variety of cured meats, including
bacon, to kill bacteria, extend shelf life, and improve quality. During cooking,
nitrites in the meat can be converted to carcinogenic nitrosamines (NAs), the
formation of which is mitigated by the addition of antioxidants. In the past, the
U.S. Department of Agriculture (USDA) Food Safety and Inspection Service (FSIS)
monitored NAs in pan-fried bacon, but FSIS terminated monitoring of NAs in the
1990s due to the very low levels found. FSIS recently chose to conduct a risk
assessment of NAs in cooked bacon to determine if current levels warrant routine
monitoring of NAs again. To meet FSIS needs, we developed, validated, and
implemented a new method of sample preparation and analysis to test cooked bacon
for five NAs of most concern, which consist of N-nitroso-dimethylamine,
diethylamine, -dibutylamine, -piperidine, and -pyrrolidine. Sample preparation
was based on the QuEChERS (quick, easy, cheap, effective, rugged, and safe)
approach and analysis by gas chromatography-tandem mass spectrometry. Ruggedness
was improved markedly in the analysis of the complex fatty extracts by
backflushing the guard column, injection liner, and half of the analytical column
after every injection. Validation results were acceptable with recoveries of 70
120% and <20% RSDs for the five NAs, with a reporting limit of 0.1 ng/g. NA
concentrations in 48 samples were all <15 ng/g, with most <1 ng/g and many <0.1
ng/g. Also, microwave cooking of bacon gave slightly lower concentrations overall
compared to pan-frying.
PMID- 26542767
TI - Novel HSP90 inhibitors effectively target functions of thyroid cancer stem cell
preventing migration and invasion.
AB - BACKGROUND: Thyroid cancer stem cells (CSCs) with ALDH and CD44 markers
contribute to tumor growth and aggressiveness. We hypothesized that novel HSP90
inhibitors (KU711, WGA-TA) and 17-AAG can effectively target the function of
thyroid CSCs in vitro and prevent migration and invasion. METHODS: Validated
papillary (TPC1), follicular (FTC238,WRO), and anaplastic (ACT1) human thyroid
cancer cell lines were treated with 3 HSP90 inhibitors. CSCs were quantified for
aldehyde dehydrogenase by flow cytometry, CD44 expression by Western blot, and
thyrosphere formation assay. Cellular pathway proteins were analyzed by Western
blot and migration/invasion by Boyden-chambers. RESULTS: WGA-TA and 17-AAG
induced HSP70 compensation (not observed with KU711) on Western blot in all cell
lines (>1,000 fold vs controls). Only WGA-TA degraded HSP90-Cdc37 complexing by
60-70% versus controls. Expression of HSP90 clients beta-catenin, BRAF, Akt, and
phospho-Akt were significantly inhibited by WGA-TA treatment (50-80%, 50-90%,
>80%, and >90%) compared with controls, KU711, and 17-AAG treatment. KU711 and
WGA-TA decreased CD44 expression in all cell lines (25-60% vs controls/17-AAG),
decreased ALDEFLOR activity by 69-98% (P < .005), and decreased sphere formation
by 64-99% (P < .05 each). Finally, cell migration was decreased by 31-98%, 100%,
and 30-38%, and invasion by 75-100%, 100%, and 47% by KU711,WGA-TA, and 17-AAG
treatment (P < .05) each, respectively. CONCLUSION: KU711 and WGA-TA are novel
HSP90 inhibitors targeting CSC function and inhibiting cell migration/invasion in
differentiated and anaplastic thyroid cancers, warranting further translational
evaluation in vivo.
PMID- 26542770
TI - Mutational and phenotypical spectrum of phenylalanine hydroxylase deficiency in
Denmark.
AB - We describe the genotypes of the complete cohort, from 1967 to 2014, of
phenylketonuria (PKU) patients in Denmark, in total 376 patients. A total of 752
independent alleles were investigated. Mutations were identified on 744 PKU
alleles (98.9%). In total, 82 different mutations were present in the cohort. The
most frequent mutation c.1315+1G>A (IVS12+1G>A) was found on 25.80% of the 744
alleles. Other very frequent mutations were c.1222C>T (p.R408W) (16.93%) and
c.1241A>G (p.Y414C) (11.15%). Among the identified mutations, five mutations;
c.532G>A (p.E178K), c.730C>T (p.P244S), c.925G>A (p.A309T), c.1228T>A (p.F410I),
and c.1199+4A>G (IVS11+4A>G) have not been reported previously. The metabolic
phenotypes of PKU are classified into four categories; 'classical PKU', 'moderate
PKU', 'mild PKU' and 'mild hyperphenylalaninemia'. In this study, we assigned the
phenotypic outcome of three of the five novel mutations and furthermore six not
previously classified mutations to one of the four PKU categories.
PMID- 26542771
TI - [Vaginal reflux: What is not known cannot be diagnosed].
PMID- 26542772
TI - [Facial haemangioma as a diagnostic key of PHACES].
PMID- 26542773
TI - [Assessment of foetal nutrition status at birth using the CANS score].
AB - INTRODUCTION: Foetal malnutrition (FM) is the result of a loss or failure of
intrauterine acquisition of the correct amount of fat and muscle mass, with short
and long term implications. As the diagnosis of FM is essentially clinical, the
aim of this study is to detect the incidence of FM using the Clinical Assessment
of Nutritional Status (CANS) score, and compare the results with the classic
anthropometric parameters. PATIENTS AND METHODS: Retrospective population of term
infants was studied between 2003 and 2014 (n=14,477). They were classified into
adequate weight (AGA), small weight (SGA) and large weight (LGA) for gestational
age newborns. The CANS score was performed on all infants enrolled in the study,
and the ponderal index (PI) was calculated, considering an FM cut off value of a
CANS score <25 and PI <2.2g/cm(3). RESULTS: Using the CANS score, 7.6% (n 1,101)
of the population showed FM, 50.3% (n=538) of SGA, 76.2% (n=193) subgroup =2.2g/cm(3) (n=14.356), and the CANS score was >24 in 49% with PI
<2.2g/cm(3) (n=109) CONCLUSIONS: It is worthwhile identifying all newborns with
FM due to the risks they may have in the short and long term. CANS score
assessment allows a better identification of nutritional status of infants than
only using the curves of weight for gestational age.
PMID- 26542774
TI - Serum concentrations of kynurenines in adult patients with attention-deficit
hyperactivity disorder (ADHD): a case-control study.
AB - BACKGROUND: The essential amino acid tryptophan is catabolised mainly through the
kynurenine pathway. Altered circulating levels of kynurenines have been reported
in chronic inflammatory conditions and in several neuropsychiatric disorders,
including depression and schizophrenia. Candidate gene studies suggest that genes
related to the kynurenine catabolism may be associated with attention-deficit
hyperactivity disorder (ADHD). Additionally, ADHD patients often report comorbid
depression or anxiety. In this study we investigated serum levels of kynurenines
in Norwegian adult ADHD patients and adult controls. METHODS: We compared serum
levels of tryptophan and the seven tryptophan metabolites kynurenine, kynurenic
acid, anthranilic acid, 3-hydroxykynurenine, xanthurenic acid, 3
hydroxyanthranilic acid and quinolinic acid in 133 adult patients with ADHD and
131 adult controls (18-40 years). Riboflavin (vitamin B2), total vitamin B6 and
the nicotine metabolite cotinine were also measured. Serum samples were analysed
using mass spectrometry. Patients and controls reported comorbid disorders and
past (childhood) and current ADHD symptoms using the Wender Utah Rating Scale
(WURS) and the Adult ADHD Self-report Scale (ASRS). Logistic regression was used
to calculate odds ratios for having an ADHD diagnosis for different serum levels
of each metabolite. In addition, we used Spearman's correlation analysis to
investigate the correlation between serum levels of tryptophan and kynurenines
and ADHD symptom scores. RESULTS: Lower serum concentrations of tryptophan [odds
ratio 0.61 (95 % confidence interval 0.45-0.83)], kynurenic acid [0.73 (0.53
0.99)], xanthurenic acid [0.65 (0.48-0.89)] and 3-hydroxyanthranilic acid [0.63
(0.46-0.85)], and higher levels of cotinine [7.17 (4.37-12.58)], were
significantly associated with ADHD. After adjusting for tryptophan levels, only 3
hydroxyanthranilic acid and cotinine remained significant. Lower levels of
tryptophan and kynurenine were also found to be correlated with higher total ASRS
score and higher total WURS score, when adjusting for smoking and age.
CONCLUSIONS: Our results suggest that there may be differences in serum levels of
tryptophan and kynurenines between adult ADHD patients and adult controls.
Although our findings do not suggest a chronic immune activation in ADHD, the
underlying mechanisms and possible clinical implications of the differences
should be further explored.
PMID- 26542775
TI - Enantioselective Rh(I)-Catalyzed Addition of Arylboronic Acids to Cyclic
Ketimines.
AB - A method for the enantioselective synthesis of chiral alpha-tertiary amines via
Rh-catalyzed 1,2-addition of arylboronic acids to cyclic ketimines is described.
The products are efficiently accessed in good yields and excellent
enantioselectivities using a commercially available chiral ligand. The reaction
scope includes vinyl, aryl, and heteroarylboronic acids with yields ranging from
40% to 99% and enantiomeric excesses from 88% to 99%. Conversion of an addition
product into an alpha,alpha-diaryl-substituted amino acid is also demonstrated.
PMID- 26542776
TI - Excessive reactive oxygen species are therapeutic targets for intervertebral disc
degeneration.
AB - INTRODUCTION: Oxidative stress has been reported to be involved in numerous human
diseases, including musculoskeletal disorders such as osteoarthritis. However,
the interaction between intervertebral disc (IVD) degeneration and oxidative
stress is not well understood. The purpose of the present study was to elucidate
the contribution of oxidative stress to IVD degeneration and the efficacy of
antioxidant treatment for degenerative discs. METHODS: The expression level of an
oxidative stress marker, nitrotyrosine, was assessed by immunohistochemistry and
Western blotting. For evaluating intracellular reactive oxygen species (ROS)
levels and oxidative stress in rat annulus fibrosus (AF) cells, flow cytometry
and luciferase assay with an OKD48 construct were performed. The grade of IVD
degeneration was assessed by magnetic resonance imaging and histological
analysis. RESULTS: A high frequency of nitrotyrosine-positive cells was observed
in rat and human degenerative discs. mRNA expression of catabolic factors such as
tumor necrosis factor-alpha (TNF-alpha), matrix metalloprotease-3 (MMP-3), and
cyclooxygenase-2 (COX-2) was significantly induced by treatment with H2O2 or
buthionine sulfoximine, whereas that of aggrecan, an important chondrogenic
proteoglycan, was reduced in a dose-dependent manner. Treatment with mitogen
activated protein kinase (MAPK) inhibitors blocked the inductive effect of
excessive ROS on COX-2 mRNA expression. Western blotting confirmed the
phosphorylation of MAPKs in H2O2 and BSO-treated AF cells. Conversely, we showed
that TNF-alpha induced oxidative stress with increased intracellular ROS levels
in AF cells. Treatment with the antioxidant N-acetyl cysteine (NAC) abrogated the
catabolic effect of excessive ROS and TNF-alpha in vitro. Finally, we showed that
oral administration of NAC prevented IVD degeneration in rat degenerative model.
CONCLUSIONS: A positive feedback loop was formed between excessive ROS and TNF
alpha in AF cells. Thus, oxidative stress contributes to the progression of IVD
degeneration and NAC can be a therapeutic option for IVD degeneration.
PMID- 26542777
TI - Population-based prevalence of malaria among pregnant women in Enugu State,
Nigeria: the Healthy Beginning Initiative.
AB - BACKGROUND: Malaria adversely affects pregnant women and their fetuses or
neonates. Estimates of the malaria burden in pregnant women based on health
facilities often do not present a true picture of the problem due to the low
proportion of women delivering at these facilities in malaria-endemic regions.
METHODS: Data for this study were obtained from the Healthy Beginning Initiative
using community-based sampling. Self-identified pregnant women between the ages
of 17-45 years were recruited from churches in Enugu State, Nigeria. Malaria
parasitaemia was classified as high and low based on the malaria plus system.
RESULTS: Of the 2069 pregnant women for whom malaria parasitaemia levels were
recorded, over 99 % tested positive for malaria parasitaemia, 62 % showed low
parasitaemia and 38 % high parasitaemia. After controlling for confounding
variables, odds for high parasitaemia were lower among those who had more people
in the household (for every one person increase in a household, OR = 0.94, 95 %
CI 0.89-0.99). CONCLUSION: Results of this study are consistent with hospital
based estimates of malaria during pregnancy in southeastern Nigeria. Based on the
high prevalence of malaria parasitaemia in this sample, education on best
practices to prevent malaria during pregnancy, and resources in support of these
practices are urgently needed.
PMID- 26542778
TI - HDAC3 role in medication consumption in medication overuse headache patients: a
pilot study.
AB - BACKGROUND: Medication overuse headache (MOH) is a common and debilitating
disorder characterized by generation, perpetuation, and persistence of intense
chronic migraine, caused by overuse of analgesics, triptans, or other acute
headache compounds. It has been suggested that MOH could share some pathogenetic
mechanisms with other kinds of drug addiction. In this regard, histone
deacetylases 3 (HDAC3) seems to have a role in the memory processes involved in
extinction of drug-seeking behavior in animal models. HDAC3 is inhibited by
sodium valproate, a drug with proven efficacy in MOH. Recent evidence suggests an
involvement of genetic factors in predisposition to medication overuse. RESULTS:
In this association study, we sequenced all exons, intron/exon junctions, and 3'
5'UTR regions of HDAC3 in 23 MOH patients to investigate its role in medication
overuse. Associations between genotypes with continuous and dichotomous clinical
characteristics were tested by multivariate analysis and Fisher's exact test,
respectively. Sequencing of HDAC3 revealed six single-nucleotide polymorphisms.
The G allele of rs2530223 was significantly associated with the number of acute
medications/month used and with the number of days/month in which medications
were used (p = 0.006 and p = 0.007, respectively), but neither with headache
frequency or intensity. None of the single-nucleotide polymorphisms (SNPs) was
associated with clinical characteristics or response to sodium valproate.
CONCLUSIONS: HDAC3 could be implicated in excessive medication consumption in MOH
patients. Our preliminary findings provide support for the need of further
investigation on larger independent samples to confirm and extend the role of
HDAC3 in medication overuse headache.
PMID- 26542779
TI - Outcome of vulvar reconstruction in patients with advanced and recurrent vulvar
malignancies.
AB - BACKGROUND: The use of flaps in vulvar cancer-related reconstruction has been
increasing, but few studies have evaluated the outcome and quality of life of
patients after this surgery. The purpose of this study was to evaluate the
outcomes of vulvar reconstruction using musculocutaneous/skin flaps in patients
with advanced and recurrent vulvar malignancies. METHODS: Patients with vulvar
malignancies who underwent vulvar reconstruction using different types of flaps
were retrospectively reviewed. Patient outcomes were evaluated with a focus on
quality of life and prognosis. RESULTS: Thirty-six patients were enrolled, 58.33%
of them used anterolateral thigh flap (ALT), 16.67% of them used pudendal thigh
flap (PTF), 11.11% of them used deep omferior epigastric perforator (DIEP) and
gracilis myocutaneous flap were used in 2.78% of the patients, the other 11.11%
patients used two types of flaps. Eleven patients (30.56%) developed
complications, including 5 patients (13.89%) with partial necrosis, 5 (13.89%)
with minimal wound dehiscence and 1 (2.78%) with flap cellulitis. All patients
who developed partial necrosis (13.89%) underwent reoperation. The mean verbal
rating scale score was 1.44 before reconstruction and 0.17 after surgery (P <
0.0001). The mean performance status was 1.67 before surgery and improved to 0.31
after surgery (P < 0.0001). The median overall follow-up time after vulvar
reconstruction was 9 months. Twenty-one patients (58.3%) developed recurrence at
a median interval of 5 months after vulvar reconstruction. After a median follow
up time of 14 months, 41.7 % of the patients were living and disease-free. The 5
year survival of the 36 patients was 53.8%. CONCLUSION: Soft tissue
reconstruction in patients undergoing resection of advanced/recurrent vulvar
malignances is associated with a low rate of postoperative complications,
decreased pain, and improved functional status. Although the recurrence rate in
this patient population is high, a reasonable proportion of patients who undergo
resection for advanced/recurrent vulvar cancer and reconstructive surgery appear
to benefit.
PMID- 26542780
TI - Pediatric heart transplant for unresectable primary cardiac tumor.
AB - Surgery for primary cardiac tumors in children includes complete resection,
partial resection, and cardiac transplantation. A pediatric heart transplant in
this setting is associated with significantly higher mortality and poorer long
term outcome, and it is reserved for unresectable tumors. We recently performed
an emergency pediatric heart transplant in a 7-year-old boy with an unresectable
cardiac fibroma in the left ventricle.
PMID- 26542781
TI - Prediction of lung tumor palpability using high-resolution computed tomography.
AB - BACKGROUND: Palpation is the most important means of locating lung tumors and
resecting them with sufficient margins. This study aimed to predict the
palpability of pulmonary lesions using high-resolution computed tomography.
METHODS: Eighty-six pulmonary lesions were palpated in fresh resected lung
specimens from July 2013 to March 2014. The following parameters were compared
between 10 impalpable and 76 palpable lesions: maximum tumor size in pulmonary
and bone window level settings, consolidation tumor size in pulmonary window
level setting, and pleural-tumor distance. In 54 adenocarcinomas, the lepidic
component and fibrosis foci rates were compared between the two groups. RESULTS:
Tumor size in bone window level setting and the consolidation tumor size were
significantly smaller in the impalpable group (both p < 0.001), and an
operational cutoff of 5 mm was identified by receiver-operating characteristic
analysis (sensitivity/specificity was 90.0%/94.7% and 90.0%/86.9%, respectively).
Pulmonary lesions were impalpable with 87.5% probability when the tumor size in
bone window level setting was <= 5 mm and the pleural-tumor distance was >= 5 mm,
and with 85.7% probability when the consolidation tumor size was <= 5 mm and the
pleural-tumor distance was >= 5 mm. Lepidic component and fibrosis foci rates of
impalpable/palpable lesions were 96.0%/52.8% and 4.0%/24.7%, respectively (both p
< 0.001). CONCLUSIONS: Tumor size in bone window level setting or a consolidation
tumor size <= 5 mm and pleural-tumor distance >= 5 mm are simple criteria that
are potentially useful indicators for preoperative marking to locate small-sized
lepidic-predominant adenocarcinomas with few fibrotic foci.
PMID- 26542782
TI - Cardiac perforation caused by cement after percutaneous balloon kyphoplasty.
AB - Percutaneous balloon kyphoplasty is a relatively simple, convenient, and
minimally invasive procedure in which polymethylmethacrylate bone cement is used
to manage back pain and spinal instability associated with osteoporotic
compression fractures and other osteolytic spinal lesions. However, cement
leakage into the venous system is a serious complication following percutaneous
balloon kyphoplasty. A 74-year-old woman presented with cardiac perforation and
pulmonary embolism caused by cement leakage into her venous system. She
subsequently underwent surgery to effectively remove a needle-shaped cement piece
from the right ventricular wall, without cardiopulmonary bypass and cardiac
arrest.
PMID- 26542783
TI - Staged repair of hemitruncus without prosthetic material.
AB - Right pulmonary artery banding was performed in a patient with right hemitruncus
at 17 days of age, due to severe hypertension in both pulmonary arteries and
severely reduced right ventricular contraction. Following the procedure, the
pulmonary hypertension and right ventricular contraction gradually improved with
pulmonary vasodilator administration, and total correction was achieved two
months later. A cardiac catheter examination at the 1-year follow-up showed
normal pressure in both pulmonary arteries and good right ventricular
contraction.
PMID- 26542784
TI - Pseudoaneurysm fistulized into pulmonary artery 13 years after aortic surgery.
AB - Pseudoaneurysm formation some years after aortic root or ascending aorta surgery,
complicated by fistula formation through a branch of the pulmonary artery, is a
rare condition described only in case reports. We describe a case of this rare
complication in a 58-year-old man, which occurred 13 years after aortic surgery
and was characterized by a huge pseudoaneurysm of 70 mm that fistulized into the
right pulmonary artery, causing a life-threatening situation.
PMID- 26542785
TI - Clinical implications of proliferation activity in T1 or T2 male gastric cancer
patients.
AB - Proliferation activity has already been established as a prognostic marker or as
a marker for anticancer drug sensitivity. In gastric cancer, however, the
prognostic significance of proliferation activity is still being debated. Several
studies evaluating proliferation activity using Ki-67 have shown controversial
results in terms of the relationship between proliferation activity and overall
survival (OS) or drug sensitivity in gastric cancer patients. Because
cytoskeleton-associated protein 2 (CKAP2) staining has recently been introduced
as a marker of proliferation activity, we analyzed 437 gastric cancer tissues
through CKAP2 immunohistochemistry, and we evaluated the chromatin CKAP2-positive
cell count (CPCC) for proliferation activity. Although the CPCC did not show any
significant correlation with OS in the male, female or total number of cases, it
did show a significant correlation in the T1 or T2 male patient subgroup,
according to log-rank tests (P=0.001) and univariate analysis (P=0.045).
Additionally, multivariate analysis with the Cox proportional hazard regression
model showed a significant correlation between the CPCC and OS (P=0.039) for the
co-variables of age, gender, T stage, N stage, histology, tumor location, tumor
size and adjuvant chemotherapy. In male gastric cancer cell lines, faster-growing
cancer cells showed higher sensitivity to cisplatin than slow-growing cells. Thus
our study indicates that CPCC-measured proliferation activity demonstrates a
significantly worse prognosis in T1 or T2 male gastric cancer patients. The CPCC
will help to more precisely classify gastric cancer patients and to select
excellent candidates for adjuvant chemotherapy, which in turn will facilitate
further clinical chemotherapeutic trials.
PMID- 26542786
TI - Examination of the torque required to passively palmar abduct the thumb CMC joint
in a pediatric population with hemiplegia and stroke.
AB - Many activities of daily living involve precision grasping and bimanual
manipulation, such as putting toothpaste on a toothbrush or feeding oneself.
However, children afflicted by stroke, cerebral palsy, or traumatic brain injury
may have lost or never had the ability to actively and accurately control the
thumb. To translate insights from adult rehabilitation robotics to innovative
therapies for hand rehabilitation in pediatric care, specifically for thumb
deformities, an understanding of the torque needed to abduct the thumb to assist
grasping tasks is required. Participants (n=16, 10 female, 13.2+/-3.1 years) had
an upper extremity evaluation and measures were made of their passive range of
motion, anthropometrics, and torques to abduct the thumb for both their affected
and non-affected sides. Torque measures were made using a custom wrist orthosis
that was adjusted for each participant. The torque to achieve maximum abduction
was 1.47+/-0.61inlb for the non-affected side and 1.51+/-0.68inlb for the
affected side, with a maximum recorded value of 4.87inlb. The overall maximum
applied torque was observed during adduction and was 5.10inlb. We saw variation
in the applied torque, which could have been due to the applied torques by the
Occupational Therapist or the participant actively assisting or resisting the
motion rather than remaining passive. We expect similar muscle and participant
variation to exist with an assistive device. Thus, the data presented here can be
used to inform the specifications for the development of an assistive thumb
orthosis for children with "thumb-in-palm" deformity.
PMID- 26542787
TI - The influence of bone density and anisotropy in finite element models of distal
radius fracture osteosynthesis: Evaluations and comparison to experiments.
AB - Continuum-level finite element (FE) models can be used to analyze and improve
osteosynthesis procedures for distal radius fractures (DRF) from a biomechanical
point of view. However, previous models oversimplified the bone material and
lacked thorough experimental validation. The goal of this study was to assess the
influence of local bone density and anisotropy in FE models of DRF osteosynthesis
for predictions of axial stiffness, implant plate stresses, and screw loads.
Experiments and FE analysis were conducted in 25 fresh frozen cadaveric radii
with DRFs treated by volar locking plate osteosynthesis. Specimen specific
geometries were captured using clinical quantitative CT (QCT) scans of the
prepared samples. Local bone material properties were computed based on high
resolution CT (HR-pQCT) scans of the intact radii. The axial stiffness and
individual screw loads were evaluated in FE models, with (1) orthotropic
inhomogeneous (OrthoInhom), (2) isotropic inhomogeneous (IsoInhom), and (3)
isotropic homogeneous (IsoHom) bone material and compared to the experimental
axial stiffness and screw-plate interface failures. FE simulated and experimental
axial stiffness correlated significantly (p<0.0001) for all three model types.
The coefficient of determination was similar for OrthoInhom (R(2)=0.807) and
IsoInhom (R(2)=0.816) models but considerably lower for IsoHom models
(R(2)=0.500). The peak screw loads were in qualitative agreement with
experimental screw-plate interface failure. Individual loads and implant plate
stresses of IsoHom models differed significantly (p<0.05) from OrthoInhom and
IsoInhom models. In conclusion, including local bone density in FE models of DRF
osteosynthesis is essential whereas local bone anisotropy hardly effects the
models' predictive abilities.
PMID- 26542788
TI - The effect of mechanical strains in soft tissues of the shoulder during load
carriage.
AB - Soldiers and recreational backpackers are often required to carry heavy loads
during military operations or hiking. Shoulder strain appears to be one of the
limiting factors of load carriage due to skin and underlying soft tissue
deformations, trapped nerves, or obstruction of blood vessels. The present study
was aimed to determine relationships between backpack weights and the state of
loads in the shoulder's inner tissues, with a special focus on the deformations
in the brachial plexus. Open-MRI scans were used for developing and then
verifying a three-dimensional, non-linear, large deformation, finite element
model of the shoulder. Loads were applied at the strap-shoulder contact surfaces
of the model by pulling the strap towards the shoulder until the desired load was
reached. Increasing the strap tensile forces up to a load that represents 35kg
backpack resulted in gradual increase in strains within the underlying soft
tissues: the maximal tensile strain in the brachial plexus for a 25kg backpack
was 12%, and while carrying 35kg, the maximal tensile strain increased to 16%.
The lateral aspect of the brachial plexus was found to be more vulnerable to
deformation-inflicted effects than the medial aspect. This is due to the anatomy
of the clavicle that poorly shields the plexus from compressive loads applied
during load carriage, while the neural tissue in the medial aspect of the
shoulder is better protected by the clavicle. The newly developed model can serve
as a tool to estimate soft tissue deformations in the brachial plexus for heavy
backpack loads, up to 35kg. This method will allow further development of new
strap structures and materials for alleviating the strains applied on the
shoulder soft tissues.
PMID- 26542789
TI - [The patents game. Generic and biosimilar drugs].
AB - The protection provided by patents on medicines has a limited duration. The
expiry of patents expiration allows copies of the drugs to be released, competing
with original. At first, they were identical to the original, known as generic
drugs, but in recent years, due to the marketing of biological therapies and the
expiry of many of their patents, biosimilar drugs have also emerged. These are
not exact copies of the original, but, like generic drugs, biosimilar drugs have
to demonstrate equivalence to the reference drugs in quality, safety and
efficacy. Nevertheless, despite their importance and contribution to
sustainability of health system, doctors are sometimes unaware of differences
between them, and their impact in terms of clinical and economic effects. An
attempt is made to review and clarify certain aspects often unknown by
physicians, despite their involvement in their use.
PMID- 26542790
TI - [Verification of compliance with specifications of analytical quality].
PMID- 26542791
TI - [Patient identification errors and biological samples in the analytical process:
Is it possible to improve patient safety?].
AB - BACKGROUND: Patient identification errors and biological samples are one of the
problems with the highest risk factor in causing an adverse event in the patient.
OBJECTIVE: To detect and analyse the causes of patient identification errors in
analytical requests (PIEAR) from emergency departments, and to develop
improvement strategies. MATERIAL AND METHODS: A process and protocol was
designed, to be followed by all professionals involved in the requesting and
performing of laboratory tests. Evaluation and monitoring indicators of PIEAR
were determined, before and after the implementation of these improvement
measures (years 2010-2014). RESULTS: A total of 316 PIEAR were detected in a
total of 483,254 emergency service requests during the study period, representing
a mean of 6.80/10,000 requests. Patient identification failure was the most
frequent in all the 6-monthly periods assessed, with a significant difference
(P<.0001). CONCLUSIONS: The improvement strategies applied showed to be effective
in detecting PIEAR, as well as the prevention of such errors. However, we must
continue working with this strategy, promoting a culture of safety for all the
professionals involved, and trying to achieve the goal that 100% of the
analytical and samples are properly identified.
PMID- 26542792
TI - Optimizing citrate dose for regional anticoagulation in continuous renal
replacement therapy: measuring citrate concentrations instead of ionized calcium?
PMID- 26542793
TI - ST depression in lead aVL differentiates inferior ST-elevation myocardial
infarction from pericarditis.
AB - BACKGROUND: ST-segment elevation (STE) due to inferior STE myocardial infarction
(STEMI) may be misdiagnosed as pericarditis. Conversely, this less life
threatening etiology of ST elevation may be confused for inferior STEMI. We
sought to determine if the presence of any ST-segment depression in lead aVL
would differentiate inferior STEMI from pericarditis. METHODS: Retrospective
study of 3 populations. Cohort 1 included patients coded as inferior STEMI,
cohort 2 included patients with a discharge diagnosis of pericarditis who
presented with chest pain and at least 0.5 mm of ST elevation in at least 1
inferior lead. We analyzed the presenting electrocardiogram in both populations,
with careful assessment of leads II, III, aVF, and aVL. In addition, we
retrospectively studied a third cohort of patients with subtle inferior STEMI (<1
mm STE with occluded artery on catheterization) and assessed the sensitivity of
ST depression in lead aVL for this group. RESULTS: Of 154 inferior STEMI
patients, 154 had some amount of ST depression in lead aVL (100%; confidence
interval, 98%-100%). Of the 49 electrocardiograms in the pericarditis group, all
49 had some inferior STE but none had any ST-segment depression in lead aVL
(specificity, 100%; confidence interval, 91%-100%). In the third cohort, there
were 272 inferior MIs with coronary occlusion, of which 54 were "subtle." Of
these, 49 had some ST depression in lead aVL. CONCLUSION: When there is inferior
ST-segment elevation, the presence of any ST depression in lead aVL is highly
sensitive for coronary occlusion in inferior myocardial infarction and very
specific for differentiating inferior myocardial infarction from pericarditis.
PMID- 26542794
TI - Cardiovascular disease outcomes in tertiary care centers in Japan.
PMID- 26542795
TI - Appropriateness of epinephrine use in ED patients with anaphylaxis.
AB - BACKGROUND: Studies have demonstrated low rates of emergency department (ED)
epinephrine administration for anaphylaxis patients, suggestive of ED
undertreatment of anaphylaxis. Our study assessed the appropriateness of ED
epinephrine administration in anaphylaxis management. METHODS: A prospective
observational study was conducted involving ED patients presenting with possible
allergic reactions. Patients and ED providers completed questionnaires regarding
the suspected trigger, signs and symptoms, and prehospital treatment. Two board
certified allergists-immunologists independently reviewed the questionnaires, as
well as electronic health records, to determine whether the cases represented
anaphylaxis and whether ED epinephrine administration was appropriate. RESULTS:
Among 174 patients enrolled in the study, 61 (35%) were confirmed to have
anaphylaxis. Overall, 47 anaphylaxis patients (77%) received epinephrine either
before ED arrival or in the ED. In the latter situation, 24 anaphylaxis patients
(39%) received epinephrine and 37 (61%) did not. Of the patients who received ED
epinephrine, the allergists-immunologists determined that its administration was
appropriate in all cases (95% confidence interval [CI], 83%-100%). Among the 37
patients who did not receive ED epinephrine, the allergists-immunologists
determined that nonadministration of epinephrine was appropriate in 36 patients
(97%; 95% CI, 84%-100%). The allergists-immunologists determined that overall, ED
management was appropriate for 60 (98%) of 61 patients with anaphylaxis (95% CI,
90%-100%). CONCLUSIONS: Although more than 60% of anaphylaxis patients did not
receive epinephrine in the ED, the allergists-immunologists deemed ED management
appropriate in 98% of total cases. Previous retrospective studies may
underestimate the appropriateness of ED anaphylaxis management, particularly when
prehospital epinephrine administration is not reported.
PMID- 26542796
TI - Inflammatory and fibrotic responses of cardiac fibroblasts to myocardial damage
associated molecular patterns (DAMPs).
AB - Cardiac fibroblasts (CF) are well-established as key regulators of extracellular
matrix (ECM) turnover in the context of myocardial remodelling and fibrosis.
Recently, this cell type has also been shown to act as a sensor of myocardial
damage by detecting and responding to damage-associated molecular patterns
(DAMPs) upregulated with cardiac injury. CF express a range of innate immunity
pattern recognition receptors (TLRs, NLRs, IL-1R1, RAGE) that are stimulated by a
host of different DAMPs that are evident in the injured or remodelling
myocardium. These include intracellular molecules released by necrotic cells
(heat shock proteins, high mobility group box 1 protein, S100 proteins),
proinflammatory cytokines (interleukin-1alpha), specific ECM molecules up
regulated in response to tissue injury (fibronectin-EDA, tenascin-C) or molecules
modified by a pathological environment (advanced glycation end product-modified
proteins observed with diabetes). DAMP receptor activation on fibroblasts is
coupled to altered cellular function including changes in proliferation,
migration, myofibroblast transdifferentiation, ECM turnover and production of
fibrotic and inflammatory paracrine factors, which directly impact on the heart's
ability to respond to injury. This review gives an overview of the important role
played by CF in responding to myocardial DAMPs and how the DAMP/CF axis could be
exploited experimentally and therapeutically.
PMID- 26542797
TI - The role of Interleukin Receptor Associated Kinase (IRAK)-M in regulation of
myofibroblast phenotype in vitro, and in an experimental model of non-reperfused
myocardial infarction.
AB - In the infarcted myocardium, necrotic cardiomyocytes activate innate immune
pathways, stimulating pro-inflammatory signaling cascades. Although inflammation
plays an important role in clearance of the infarct from dead cells and matrix
debris, repair of the infarcted heart requires timely activation of signals that
negatively regulate the innate immune response, limiting inflammatory injury. We
have previously demonstrated that Interleukin receptor-associated kinase (IRAK)
M, a member of the IRAK family that suppresses toll-like receptor/interleukin-1
signaling, is upregulated in the infarcted heart in both macrophages and
fibroblasts, and restrains pro-inflammatory activation attenuating adverse
remodeling. Although IRAK-M is known to suppress inflammatory activation of
macrophages, its role in fibroblasts remains unknown. Our current investigation
examines the effects of IRAK-M on fibroblast phenotype and function. In vitro,
IRAK-M null cardiac fibroblasts have impaired capacity to contract free-floating
collagen pads. IRAK-M loss reduces transforming growth factor (TGF)-beta-mediated
alpha-smooth muscle actin (alpha-SMA) expression. IRAK-M deficient cardiac
fibroblasts exhibit a modest reduction in TGF-beta-stimulated Smad activation and
increased expression of the alpha-SMA repressor, Y-box binding protein (YB)-1. In
a model of non-reperfused myocardial infarction, IRAK-M absence does not affect
collagen content and myofibroblast density in the infarcted and remodeling
myocardium, but increases YB-1 levels and is associated with attenuated alpha-SMA
expression in isolated infarct myofibroblasts. Our findings suggest that, in
addition to its role in restraining inflammation following reperfused infarction,
IRAK-M may also contribute to myofibroblast conversion.
PMID- 26542798
TI - Palliative enteral feeding for patients with malignant esophageal obstruction: a
retrospective study.
AB - BACKGROUND: Malignant esophageal obstruction leads to dysphagia, deterioration in
quality of life, and malnutrition. Traditional bedside nasogastric (NG) tube
placement is very difficult under these circumstances. However, endoscopically
assisted NG tube placement under fluoroscopic guidance could be an alternative
option for establishing palliative enteral nutrition. This study aimed to compare
the clinical outcomes of enteral tube feeding and esophageal stenting for
patients with malignant esophageal obstruction and a short life expectancy.
METHODS: Thirty-one patients were divided into 3 groups according to their
treatment modality: NG tube (n = 12), esophageal stent group (n = 10), and
supportive care with nil per os (NPO) (n = 9). Enteral nutrition, clinical
outcomes, length of hospital stay, and median survival were evaluated. RESULTS:
There were no significant baseline differences among the groups, except in age.
The tube and stent groups had significantly higher enteral calorie intake (p =
0.01), higher serum albumin (p < 0.01), shorter hospital stay (p = 0.01), and
longer median survival (p < 0.01) than the NPO group. The incidence of
dislodgement in the tube group was significantly higher than in the stent group
(58% vs. 20%, respectively; p = 0.01). However, stenting costs more than NG tube
placement. CONCLUSIONS: Palliative enteral feeding by NG tube is safe,
inexpensive, and has a low complication rate. Endoscopically assisted NG tube
placement under fluoroscopic guidance could be a feasible palliative option for
malignant esophageal obstruction for patients who have a short life expectancy.
PMID- 26542799
TI - Unconventional EGF-induced ERK1/2-mediated Kv1.3 endocytosis.
AB - The potassium channel Kv1.3 plays roles in immunity, neuronal development and
sensory discrimination. Regulation of Kv1.3 by kinase signaling has been studied.
In this context, EGF binds to specific receptors (EGFR) and triggers tyrosine
kinase-dependent signaling, which down-regulates Kv1.3 currents. We show that
Kv1.3 undergoes EGF-dependent endocytosis. This EGF-mediated mechanism is
relevant because is involved in adult neural stem cell fate determination. We
demonstrated that changes in Kv1.3 subcellular distribution upon EGFR activation
were due to Kv1.3 clathrin-dependent endocytosis, which targets the Kv1.3
channels to the lysosomal degradative pathway. Interestingly, our results further
revealed that relevant tyrosines and other interacting motifs, such as PDZ and
SH3 domains, were not involved in the EGF-dependent Kv1.3 internalization.
However, a new, and yet undescribed mechanism, of ERK1/2-mediated threonine
phosphorylation is crucial for the EGF-mediated Kv1.3 endocytosis. Our results
demonstrate that EGF triggers the down-regulation of Kv1.3 activity and its
expression at the cell surface, which is important for the development and
migration of adult neural progenitors.
PMID- 26542800
TI - Regulation of energy balance by a gut-brain axis and involvement of the gut
microbiota.
AB - Despite significant progress in understanding the homeostatic regulation of
energy balance, successful therapeutic options for curbing obesity remain
elusive. One potential target for the treatment of obesity is via manipulation of
the gut-brain axis, a complex bidirectional communication system that is crucial
in maintaining energy homeostasis. Indeed, ingested nutrients induce secretion of
gut peptides that act either via paracrine signaling through vagal and non-vagal
neuronal relays, or in an endocrine fashion via entry into circulation, to
ultimately signal to the central nervous system where appropriate responses are
generated. We review here the current hypotheses of nutrient sensing mechanisms
of enteroendocrine cells, including the release of gut peptides, mainly
cholecystokinin, glucagon-like peptide-1, and peptide YY, and subsequent gut-to
brain signaling pathways promoting a reduction of food intake and an increase in
energy expenditure. Furthermore, this review highlights recent research
suggesting this energy regulating gut-brain axis can be influenced by gut
microbiota, potentially contributing to the development of obesity.
PMID- 26542801
TI - Epigenesis and plasticity of mouse trophoblast stem cells.
AB - The critical role of the placenta in supporting a healthy pregnancy is mostly
ensured by the extraembryonic trophoblast lineage that acts as the interface
between the maternal and the foetal compartments. The diverse trophoblast cell
subtypes that form the placenta originate from a single layer of stem cells that
emerge from the embryo when the earliest cell fate decisions are occurring.
Recent studies show that these trophoblast stem cells exhibit extensive
plasticity as they are capable of differentiating down multiple pathways and are
easily converted into embryonic stem cells in vitro. In this review, we discuss
current knowledge of the mechanisms and control of the epigenesis of mouse
trophoblast stem cells through a comparison with the corresponding mechanisms in
pluripotent embryonic stem cells. To illustrate some of the more striking
manifestations of the epigenetic plasticity of mouse trophoblast stem cells, we
discuss them within the context of two paradigms of epigenetic regulation of gene
expression: the imprinted gene expression of specific loci and the process of X
chromosome inactivation.
PMID- 26542803
TI - MicroRNA-7 Compromises p53 Protein-dependent Apoptosis by Controlling the
Expression of the Chromatin Remodeling Factor SMARCD1.
AB - We previously demonstrated that the epidermal growth factor receptor (EGFR) up
regulated miR-7 to promote tumor growth during lung cancer oncogenesis. Several
lines of evidence have suggested that alterations in chromatin remodeling
components contribute to cancer initiation and progression. In this study, we
identified SMARCD1 (SWI/SNF-related, matrix-associated, actin-dependent regulator
of chromatin, subfamily d, member 1) as a novel target gene of miR-7. miR-7
expression reduced SMARCD1 protein expression in lung cancer cell lines. We used
luciferase reporters carrying wild type or mutated 3'UTR of SMARCD1 and found
that miR-7 blocked SMARCD1 expression by binding to two seed regions in the 3'UTR
of SMARCD1 and down-regulated SMARCD1 mRNA expression. Additionally, upon
chemotherapy drug treatment, miR-7 down-regulated p53-dependent apoptosis-related
gene BAX (BCL2-associated X protein) and p21 expression by interfering with the
interaction between SMARCD1 and p53, thereby reducing caspase3 cleavage and the
downstream apoptosis cascades. We found that although SMARCD1 sensitized lung
cancer cells to chemotherapy drug-induced apoptosis, miR-7 enhanced the drug
resistance potential of lung cancer cells against chemotherapy drugs. SMARCD1 was
down-regulated in patients with non-small cell lung cancer and lung
adenocarcinoma cell lines, and SMARCD1 and miR-7 expression levels were
negatively correlated in clinical samples. Our investigation into the involvement
of the EGFR-regulated microRNA pathway in the SWI/SNF chromatin remodeling
complex suggests that EGFR-mediated miR-7 suppresses the coupling of the
chromatin remodeling factor SMARCD1 with p53, resulting in increased chemo
resistance of lung cancer cells.
PMID- 26542804
TI - The Voltage-dependent Anion Channel 1 Mediates Amyloid beta Toxicity and
Represents a Potential Target for Alzheimer Disease Therapy.
AB - The voltage-dependent anion channel 1 (VDAC1), found in the mitochondrial outer
membrane, forms the main interface between mitochondrial and cellular
metabolisms, mediates the passage of a variety of molecules across the
mitochondrial outer membrane, and is central to mitochondria-mediated apoptosis.
VDAC1 is overexpressed in post-mortem brains of Alzheimer disease (AD) patients.
The development and progress of AD are associated with mitochondrial dysfunction
resulting from the cytotoxic effects of accumulated amyloid beta (Abeta). In this
study we demonstrate the involvement of VDAC1 and a VDAC1 N-terminal peptide
(VDAC1-N-Ter) in Abeta cell penetration and cell death induction. Abeta directly
interacted with VDAC1 and VDAC1-N-Ter, as monitored by VDAC1 channel conductance,
surface plasmon resonance, and microscale thermophoresis. Preincubated Abeta
interacted with bilayer-reconstituted VDAC1 and increased its conductance ~ 2
fold. Incubation of cells with Abeta resulted in mitochondria-mediated apoptotic
cell death. However, the presence of non-cell-penetrating VDAC1-N-Ter peptide
prevented Abeta cellular entry and Abeta-induced mitochondria-mediated apoptosis.
Likewise, silencing VDAC1 expression by specific siRNA prevented Abeta entry into
the cytosol as well as Abeta-induced toxicity. Finally, the mode of Abeta
mediated action involves detachment of mitochondria-bound hexokinase, induction
of VDAC1 oligomerization, and cytochrome c release, a sequence of events leading
to apoptosis. As such, we suggest that Abeta-mediated toxicity involves
mitochondrial and plasma membrane VDAC1, leading to mitochondrial dysfunction and
apoptosis induction. The VDAC1-N-Ter peptide targeting Abeta cytotoxicity is thus
a potential new therapeutic strategy for AD treatment.
PMID- 26542805
TI - Analysis of Perforin Assembly by Quartz Crystal Microbalance Reveals a Role for
Cholesterol and Calcium-independent Membrane Binding.
AB - Perforin is an essential component in the cytotoxic lymphocyte-mediated cell
death pathway. The traditional view holds that perforin monomers assemble into
pores in the target cell membrane via a calcium-dependent process and facilitate
translocation of cytotoxic proteases into the cytoplasm to induce apoptosis.
Although many studies have examined the structure and role of perforin, the
mechanics of pore assembly and granzyme delivery remain unclear. Here we have
employed quartz crystal microbalance with dissipation monitoring (QCM-D) to
investigate binding and assembly of perforin on lipid membranes, and show that
perforin monomers bind to the membrane in a cooperative manner. We also found
that cholesterol influences perforin binding and activity on intact cells and
model membranes. Finally, contrary to current thinking, perforin efficiently
binds membranes in the absence of calcium. When calcium is added to perforin
already on the membrane, the QCM-D response changes significantly, indicating
that perforin becomes membranolytic only after calcium binding.
PMID- 26542806
TI - E3 Ubiquitin Ligase Fbw7 Negatively Regulates Osteoblast Differentiation by
Targeting Runx2 for Degradation.
AB - Runx2, a master regulator of osteoblast differentiation, is tightly regulated at
both transcriptional and post-translational levels. Post-translational
modifications such as phosphorylation and ubiquitination have differential
effects on Runx2 functions. Here, we show that the reduced expression and
functions of Runx2 upon its phosphorylation by GSK3beta are mediated by its
ubiquitin-mediated degradation through E3 ubiquitin ligase Fbw7alpha. Fbw7alpha
through its WD domain interacts with Runx2 both in a heterologous (HEK293T cells)
system as well as in osteoblasts. GSK3beta was also present in the same complex
as determined by co-immunoprecipitation. Furthermore, overexpression of either
Fbw7alpha or GSK3beta was sufficient to down-regulate endogenous Runx2 expression
and function; however, both failed to inhibit endogenous Runx2 when either of
them was depleted in osteoblasts. Fbw7alpha-mediated inhibition of Runx2
expression also led to reduced Runx2 transactivation and osteoblast
differentiation. In contrast, inhibition of Fbw7alpha restored Runx2 levels and
promoted osteoblast differentiation. We also observed reciprocal expression
levels of Runx2 and Fbw7alpha in models of bone loss such as lactating
(physiological bone loss condition) and ovariectomized (induction of surgical
menopause) animals that show reduced Runx2 and enhanced Fbw7alpha, whereas this
was reversed in the estrogen-treated ovariectomized animals. In addition,
methylprednisolone (a synthetic glucocorticoid) treatment to neonatal rats showed
a temporal decrease in Runx2 with a reciprocal increase in Fbw7 in their
calvarium. Taken together, these data demonstrate that Fbw7alpha negatively
regulates osteogenesis by targeting Runx2 for ubiquitin-mediated degradation in a
GSK3beta-dependent manner and thus provides a plausible explanation for GSK3beta
mediated bone loss as described before.
PMID- 26542802
TI - Neuronal correlates of depression.
AB - Major depressive disorder (MDD) is a common psychiatric disorder effecting
approximately 121 million people worldwide and recent reports from the World
Health Organization (WHO) suggest that it will be the leading contributor to the
global burden of diseases. At present, the most commonly used treatment
strategies are still based on the monoamine hypothesis that has been the
predominant theory in the last 60 years. Clinical observations show that only a
subset of depressed patients exhibits full remission when treated with classical
monoamine-based antidepressants together with the fact that patients exhibit
multiple symptoms suggest that the pathophysiology leading to mood disorders may
differ between patients. Accumulating evidence indicates that depression is a
neural circuit disorder and that onset of depression may be located at different
regions of the brain involving different transmitter systems and molecular
mechanisms. This review synthesises findings from rodent studies from which
emerges a role for different, yet interconnected, molecular systems and
associated neural circuits to the aetiology of depression.
PMID- 26542807
TI - In Silico Prediction of Human Sulfotransferase 1E1 Activity Guided by
Pharmacophores from Molecular Dynamics Simulations.
AB - Acting during phase II metabolism, sulfotransferases (SULTs) serve detoxification
by transforming a broad spectrum of compounds from pharmaceutical, nutritional,
or environmental sources into more easily excretable metabolites. However, SULT
activity has also been shown to promote formation of reactive metabolites that
may have genotoxic effects. SULT subtype 1E1 (SULT1E1) was identified as a key
player in estrogen homeostasis, which is involved in many physiological processes
and the pathogenesis of breast and endometrial cancer. The development of an in
silico prediction model for SULT1E1 ligands would therefore support the
development of metabolically inert drugs and help to assess health risks related
to hormonal imbalances. Here, we report on a novel approach to develop a model
that enables prediction of substrates and inhibitors of SULT1E1. Molecular
dynamics simulations were performed to investigate enzyme flexibility and sample
protein conformations. Pharmacophores were developed that served as a cornerstone
of the model, and machine learning techniques were applied for prediction
refinement. The prediction model was used to screen the DrugBank (a database of
experimental and approved drugs): 28% of the predicted hits were reported in
literature as ligands of SULT1E1. From the remaining hits, a selection of nine
molecules was subjected to biochemical assay validation and experimental results
were in accordance with the in silico prediction of SULT1E1 inhibitors and
substrates, thus affirming our prediction hypotheses.
PMID- 26542808
TI - Bivalent Motif-Ear Interactions Mediate the Association of the Accessory Protein
Tepsin with the AP-4 Adaptor Complex.
AB - The heterotetrameric (epsilon-beta4-MU4-sigma4) complex adaptor protein 4 (AP-4)
is a component of a non-clathrin coat involved in protein sorting at the trans
Golgi network (TGN). Considerable interest in this complex has arisen from the
recent discovery that mutations in each of its four subunits are the cause of a
congenital intellectual disability and movement disorder in humans. Despite its
physiological importance, the structure and function of this coat remain poorly
understood. To investigate the assembly of the AP-4 coat, we dissected the
determinants of interaction of AP-4 with its only known accessory protein, the
ENTH/VHS-domain-containing protein tepsin. Using a variety of protein interaction
assays, we found that tepsin comprises two phylogenetically conserved peptide
motifs, [GS]LFXG[ML]X[LV] and S[AV]F[SA]FLN, within its C-terminal unstructured
region, which interact with the C-terminal ear (or appendage) domains of the
beta4 and epsilon subunits of AP-4, respectively. Structure-based mutational
analyses mapped the binding site for the [GS]LFXG[ML]X[LV] motif to a conserved,
hydrophobic surface on the beta4-ear platform fold. Both peptide-ear interactions
are required for efficient association of tepsin with AP-4, and for recruitment
of tepsin to the TGN. The bivalency of the interactions increases the avidity of
tepsin for AP-4 and may enable cross-linking of multiple AP-4 heterotetramers,
thus contributing to the assembly of the AP-4 coat. In addition to revealing
critical aspects of this coat, our findings extend the paradigm of peptide-ear
interactions, previously established for clathrin-AP-1/AP-2 coats, to a non
clathrin coat.
PMID- 26542810
TI - Effect of dietary lactulose supplementation on growth performance, nutrient
digestibility, meat quality, relative organ weight, and excreta microflora in
broilers.
AB - A 35 d trial was conducted to evaluate the effects of dietary lactulose on growth
performance, nutrient digestibility, meat quality, relative organ weight, and
excreta microflora in broilers. A total of 816 1-day-old male Ross broilers (40.2
+/- 0.4 g) were allotted to 4 dietary treatments using 12 cages with 17 chicks
per cage. Treatments were: 1) CON, basal diet; 2) L05, CON + 0.05% lactulose; 3)
L10, CON + 0.10% lactulose; and 4) L15, CON + 0.15% lactulose. Higher (P < 0.05)
body weight gain (BWG) and lower (P < 0.05) feed conversion ratio (FCR) were
observed in broilers fed the L15 diet compared with those fed the CON diet during
d 22 to 35. During d 0 to 35, BWG was higher (P < 0.05) and FCR was lower (P <
0.05) in broilers fed lactulose diets than those fed the CON diet. Additionally,
broilers fed L15 diets had the highest BWG (P < 0.05) and lowest FCR (P < 0.05).
The apparent total tract digestibility (ATTD) of DM and nitrogen (N) was
increased (P < 0.05) in broilers fed the L15 diet compared with those fed the CON
diet. Drip loss was decreased (P < 0.05) in L10 and L15 treatments compared with
CON treatment on d 1, d 3, and d 5. On d 3, lowest (P < 0.05) drip loss was
observed in the L15 treatment. Excreta E. coli counts in the L15 treatment were
decreased (P < 0.05) on d 14, but Lactobacillus counts in the L15 treatment were
increased (P < 0.05) on d 14 and d 35 compared with the CON diet. A linear effect
(P < 0.05) was observed on BWG (d 22 to 35), FCR (d 0 to 35), the ATTD of DM and
N, drip loss, E. coli (d 14), and Lactobacillus (d 14 and d 35) counts. In
conclusion, dietary supplementation of 0.15% lactulose can improve growth
performance and nutrient digestibility; as well as increase the proliferation of
Lactobacillus and decrease E. coli counts in excreta.
PMID- 26542809
TI - Effects of adrenomedullin on the expression of inflammatory cytokines and
chemokines in oviducts from women with tubal ectopic pregnancy: an in-vitro
experimental study.
AB - BACKGROUND: The occurrence of tubal ectopic pregnancy (tEP) is related to the
inflammation of the oviduct. Recently, Adrenomedullin (ADM) was found highly
expression in human oviduct. The current study is to investigate whether ADM have
a modulatory action on inflammatory cytokines and chemokines in oviductal tissue
from women with tubal ectopic pregnancy (tEP). METHODS: Oviductal isthmus samples
were collected from women with tEP undergoing salpingectomy, and women undergoing
hysterectomy for benign gynaecological conditions. The mRNA and protein levels of
inflammatory cytokines/chemokines were assayed by PCR (n = 6 for tEP, n = 5 for
controls) and protein microarray methods (n = 5 for both tEP and controls)
respectively. RESULTS: Some of the inflammatory cytokines/chemokines were
upregulated by ADM in oviducts from tEP patients at both mRNA and protein levels.
Incubation of oviduct from tEP patients with ADM for 24 h down-regulated some of
these cytokines/chemokines. CONCLUSION: Our results suggest an additional
mechanism whereby ADM insufficiency may increase the susceptibility to tEP
through diminished anti-inflammatory activity. The actual impact of the
relationship between ADM and inflammatory process on tubal implantation needs
further exploration.
PMID- 26542811
TI - Effects of acute treadmill running at different intensities on activities of
serotonin and corticotropin-releasing factor neurons, and anxiety- and depressive
like behaviors in rats.
AB - Accumulating evidence suggests that physical exercise can reduce and prevent the
incidence of stress-related psychiatric disorders, including depression and
anxiety. Activation of serotonin (5-HT) neurons in the dorsal raphe nucleus (DRN)
is implicated in antidepressant/anxiolytic properties. In addition, the incidence
and symptoms of these disorders may involve dysregulation of the hypothalamic
pituitary-adrenal axis that is initiated by corticotropin-releasing factor (CRF)
neurons in the hypothalamic paraventricular nucleus (PVN). Thus, it is possible
that physical exercise produces its antidepressant/anxiolytic effects by
affecting these neuronal activities. However, the effects of acute physical
exercise at different intensities on these neuronal activation and behavioral
changes are still unclear. Here, we examined the activities of 5-HT neurons in
the DRN and CRF neurons in the PVN during 30 min of treadmill running at
different speeds (high speed, 25 m/min; low speed, 15m/min; control, only sitting
on the treadmill) in male Wistar rats, using c-Fos/5-HT or CRF
immunohistochemistry. We also performed the elevated plus maze test and the
forced swim test to assess anxiety- and depressive-like behaviors, respectively.
Acute treadmill running at low speed, but not high speed, significantly increased
c-Fos expression in 5-HT neurons in the DRN compared to the control, whereas high
speed running significantly enhanced c-Fos expression in CRF neurons in the PVN
compared with the control and low-speed running. Furthermore, low-speed running
resulted in decreased anxiety- and depressive-like behaviors compared with high
speed running. These results suggest that acute physical exercise with mild and
low stress can efficiently induce optimal neuronal activation that is involved in
the antidepressant/anxiolytic effects.
PMID- 26542812
TI - Changes in spatial cognition and brain activity after a single dose of
testosterone in healthy women.
AB - Studies have consistently shown that males perform better than females on several
spatial tasks. Animal and human literature suggests that sex hormones have an
important role in both establishing and maintaining this difference. The aim of
the present study was to examine the effects of exogenous testosterone on spatial
cognition and brain activity in healthy women. A cross-sectional, double-blind,
randomized, placebo-controlled study was performed in 42 healthy young women who
either received one dose of 0.5mg sublingual testosterone or placebo. They then
learned a virtual environment and performed navigation tasks during functional
magnetic resonance imaging (fMRI). Subsequently, their knowledge of the virtual
environment, self-reported navigation strategy, and mental rotation abilities
were measured. The testosterone group had improved representations of the
directions within the environment and performed significantly better on the
mental rotation task compared to the placebo group, but navigation success and
navigation strategy were similar in the two groups. Nevertheless, the
testosterone group had significantly increased activity within the medial
temporal lobe during successful navigation compared to the placebo group, and a
positive correlation between testosterone load and medial temporal lobe activity
was found. Fetal testosterone levels, measured as second-to-fourth digit length
ratio, interacted significantly with parahippocampal activity and tended towards
giving higher mental rotation task scores. These results demonstrated that
testosterone had a limited effect pertaining specifically to spatial cognition
involving 3D-visualization in healthy women, while complex behaviors such as
navigation, relying more on learned strategies, were not altered despite
increased neuronal activity in relevant brain regions.
PMID- 26542813
TI - Greater neurobehavioral deficits occur in adult mice after repeated, as compared
to single, mild traumatic brain injury (mTBI).
AB - Mild traumatic brain injury (mTBI) accounts for the majority of all brain
injuries and affected individuals typically experience some extent of cognitive
and/or neuropsychiatric deficits. Given that repeated mTBIs often result in
worsened prognosis, the cumulative effect of repeated mTBIs is an area of
clinical concern and on-going pre-clinical research. Animal models are critical
in elucidating the underlying mechanisms of single and repeated mTBI-associated
deficits, but the neurobehavioral sequelae produced by these models have not been
well characterized. Thus, we sought to evaluate the behavioral changes incurred
after single and repeated mTBIs in mice utilizing a modified impact-acceleration
model. Mice in the mTBI group received 1 impact while the repeated mTBI group
received 3 impacts with an inter-injury interval of 24h. Classic behavior
evaluations included the Morris water maze (MWM) to assess learning and memory,
elevated plus maze (EPM) for anxiety, and forced swim test (FST) for
depression/helplessness. Additionally, species-typical behaviors were evaluated
with the marble-burying and nestlet shredding tests to determine motivation and
apathy. Non-invasive vibration platforms were used to examine sleep patterns post
mTBI. We found that the repeated mTBI mice demonstrated deficits in MWM testing
and poorer performance on species-typical behaviors. While neither single nor
repeated mTBI affected behavior in the EPM or FST, sleep disturbances were
observed after both single and repeated mTBI. Here, we conclude that behavioral
alterations shown after repeated mTBI resemble several of the deficits or
disturbances reported by patients, thus demonstrating the relevance of this
murine model to study repeated mTBIs.
PMID- 26542814
TI - Concurrent antagonism of NMDA and AMPA receptors in the ventral tegmental area
reduces the expression of conditioned approach learning in rats.
AB - Conditioned stimuli (CSs) come to function as CSs by acquiring the capacity to
activate the same mesocorticolimbic dopamine (DA) neurons activated by primary
rewards, producing conditioned activation of these neurons and their associated
motivational states. This model stipulates that CSs activate mesocorticolimbic DA
systems through the activation of glutamate receptors on DA neurons in the
ventral tegmental area (VTA). We tested the hypothesis that glutamate receptor
stimulation in the VTA is necessary for the expression of conditioned approach.
Rats were tested in a conditioned approach protocol that consisted of 7
consecutive conditioning sessions (light presentations and food were paired), one
session with no light or food and one test session with only light stimulus (CS
only) presentations. The number of head entries during the CS and pre-CS
(baseline) periods was used to calculate difference scores. Bilateral VTA
microinjections of glutamate receptor antagonists were made prior to the CS-only
session. Kynurenic acid (ionotropic glutamate receptor antagonist; 1.125-4.5
MUg/0.5 MUl) significantly reduced difference scores compared to vehicle (0 MUg),
whereas MCPG (metabotropic glutamate receptor antagonist; 1.875-7.5 MUg), AP-5
(NMDA antagonist; 0.03125-2.0 MUg), and NBQX (AMPA antagonist; 0.5-4.0 MUg) had
no effects. When AP-5 and NBQX were administered simultaneously at doses of
0.25/4.0 and 2.0/4.0 MUg, respectively, the combination significantly reduced the
difference scores compared to 0/0 MUg, indicating a reduction in the expression
of conditioned approach. These findings indicate that expression of conditioned
approach learning requires NMDA or AMPA receptor stimulation in the VTA.
PMID- 26542815
TI - A retrospective, multicenter analysis of the predictive value of mitotic rate for
sentinel lymph node (SLN) positivity in thin melanomas.
AB - BACKGROUND: There is a paucity of studies to substantiate whether the presence of
a single mitosis justifies sentinel lymph node (SLN) biopsy (SLNB) in thin
melanomas. OBJECTIVE: We sought to determine if mitotic rate is associated with
SLNB outcome when taking into account other prognostic factors. METHODS: All
cases of melanoma that underwent SLNB in the province of Alberta, Canada, between
2007 and 2013 were reviewed through a provincial tumor database. RESULTS: A total
of 1072 patients fulfilled inclusion criteria. When analyzing all melanomas
regardless of thickness, mitotic rate was a good predictor of SLN status. When
stratified by Breslow thickness, only intermediate melanomas (1.01-2.0 mm)
demonstrated a significant relationship between mitotic rate and positive SLN
status (P = .010). For melanomas 1 mm or smaller, mitotic rate was not associated
with SLN status. A statistically significant interaction was identified between
Breslow thickness and mitotic rate such that for decreasing Breslow depth, the
effect of mitotic rate on SLNB status diminished (P = .028). LIMITATIONS: The
study was retrospective in nature. There is underlying variability in mitotic
rate reporting methods over time, and between different dermatopathologists.
CONCLUSIONS: Mitotic rate does not have unequivocal utility in predicting SLNB
status in thin melanomas. There is a significant interaction between mitotic rate
and Breslow depth, such that the predictive value of mitotic rate on SLN
positivity may be dependent on Breslow thickness.
PMID- 26542816
TI - Using the Autism-Spectrum Quotient to Measure Autistic Traits in Anorexia
Nervosa: A Systematic Review and Meta-Analysis.
AB - Interest in the link between Autism Spectrum Disorder (ASD) and Anorexia Nervosa
(AN) has led to estimates of the prevalence of autistic traits in AN. This
systematic review and meta-analysis assessed the use of the Autism-Spectrum
Quotient (AQ) or abbreviated version (AQ-10) to examine whether patients with AN
have elevated levels of autistic traits. Seven studies were identified and
subsequent meta-analysis indicated that those with AN appear to have significant
difficulties of a manner characteristic of ASD, relative to controls. Whilst this
analysis supports previous indications of higher prevalence of ASD in AN, the
aetiology of these traits remains unclear. Studies using more robust clinical
measures of ASD within AN are needed to confirm what self-report measures appear
to show.
PMID- 26542818
TI - Giant accessory breast: a rare occurrence reported, with a review of the
literature.
AB - Polymastia, or the presence of supranumerary breasts, occurs in 2-6% of the
female population, the spectrum of the disorder ranging between a small mole and
a fully functional ectopic breast. They are often asymptomatic but require
treatment when symptomatic or if they harbour malignancy. We present a case of a
41-year-old woman with an accessory breast in the left inframammary fold, which
increased in size over the decade following her first pregnancy, to reach a size
almost three times that of her right breast. Preoperative fine-needle aspiration
and ultrasound was suggestive of accessory breast tissue, distinct from the left
breast. Intraoperatively, a 14*10*8 cm accessory breast was found in the
inframammary fold, distinct from the left breast and having an accessory nipple
areola complex as well. A simple mastectomy was performed with trimming and
rotation of the inframammary flap. The patient was happy with the cosmetic
outcome. This article also reviews the literature and covers classification of
polymastia, diagnostic complexities and challenges associated with surgery.
PMID- 26542819
TI - NtRING1, putative RING-finger E3 ligase protein, is a positive regulator of the
early stages of elicitin-induced HR in tobacco.
AB - KEY MESSAGE: NtRING1 is a RING-finger protein with a putative E3 ligase activity.
NtRING1 regulates HR establishment against different pathogens. Loss-/gain-of
function of NtRING1 altered early stages of HR phenotype establishment. Plant
defence responses against pathogens often involve the restriction of pathogens by
inducing a hypersensitive response (HR). cDNA clones DD11-39, DD38-11 and DD34-26
were previously obtained from a differential screen aimed at characterising
tobacco genes with an elicitin-induced HR-specific pattern of expression. Our
precedent observations suggested that DD11-39, DD38-11 and DD34-26 might play
roles in the HR establishment. Only for DD11-39 a full-length cDNA sequence was
obtained and the corresponding protein encoded for a type-HC RING-finger/putative
E3 ligase protein which we termed NtRING1. The expression of NtRING1 was
upregulated upon HR induction by elicitin, Ralstonia solanacearum, or tobacco
mosaic virus (TMV) in tobacco. Silencing of NtRING1 remarkably delayed the
establishment of elicitin-induced HR in tobacco as well as the expression of
different early induction genes in tissues undergoing HR. Accordingly, transient
overexpression of NtRING1 accelerated the HR launching upon elicitin treatment.
Taking together, our data suggests that NtRING1 plays a functional role in the
early establishment of HR.
PMID- 26542820
TI - Evaluating the Siebens Model in Geriatric-Stroke Inpatient Rehabilitation to
Reduce Institutionalization and Acute-Care Readmissions.
AB - BACKGROUND: The objective of the study is to evaluate the use of Siebens Domain
Management Model (SDMM) in geriatric-stroke patients during inpatient
rehabilitation (IR) to increase functional independence, and to reduce
institutionalization and acute-care readmissions, which are quality indicators
under the U.S. Affordable Care Act. METHODS: In 2010 (preintervention), 66 stroke
patients aged more than 75 years were admitted to an IR facility, on average, 8.8
days postacute care. In 2012 (postintervention), 58 patients aged more than 75
years were admitted to the same IR facility, on average, 5.0 days postacute care.
SDMM intervention involved weekly adjustments of clinical care focused on
potential barriers to discharge home. Functional Independence Measure (FIM)
efficiency, length of stay (LOS), and disposition rates to community or home,
acute care, and long-term care were compared pre- and postintervention within
facility, and facility data were compared to national case-mix-group-adjusted
data from the Uniform Data System for Medical Rehabilitation for both years
(2010/2012). RESULTS: Pre- and postintervention demographics and prestroke living
support/setting were similar, but preintervention had on average 4 more days LOS
in IR and 3.8 more days to IR onset. There were significantly more discharges to
community in postintervention (79.3%) compared to preintervention (56.9%) (chi
square = 6.02, P < .013). The preintervention group did not significantly differ
from 2010 national data whereas the postintervention/2012 group significantly
differed from 2012 national data for higher FIM efficiency (t = -3.1, P < .002)
and more discharges to community (chi-square = 19.7; P < .0001). From 2010 to
2012, there were 3.8 times more discharges to community (chi-square = 8535; P <
.0001) and 6 times fewer acute-care dispositions postintervention than nationally
(chi-square = 58.7; P < .0001).
PMID- 26542821
TI - Safety of Intravenous Thrombolysis among Stroke Patients Taking New Oral
Anticoagulants--Case Series and Systematic Review of Reported Cases.
AB - BACKGROUND: Current guidelines do not recommend the administration of intravenous
tissue plasminogen activator (IV-tPA) to patients with acute ischemic stroke
(AIS) who take new oral anticoagulants (NOACs). We present a multicenter case
series of IV-tPA use while the patients are on NOACs, as well as a systematic
review of the literature. METHODS: We reviewed the medical records of consecutive
patients on NOACs who received IV-tPA for symptoms of AIS at four participating
stroke centers in the United States and Europe. Safety endpoints were post
thrombolysis symptomatic intracranial hemorrhage (sICH) or other serious systemic
bleeding. RESULTS: Between October 2010 and October 2014, 6 patients received IV
tPA for possible AIS while taking dabigatran. None of the patients had sICH or
any other hemorrhagic complication. Literature review resulted in a total of 26
patients receiving IV-tPA while on NOACs (dabigatran: 15, rivaroxaban: 10,
apixaban: 1). Among them, two patients experienced sICH and died. None of the
patients experienced major extracranial hemorrhage; however, minor and
asymptomatic hemorrhagic complications were described in 7 patients. Pooled
analysis indicates an sICH rate of 6.45% (95% CI by the adjusted Wald method: .8
21.7%). The mean interval between the last dose of NOAC and IV thrombolysis was
12 +/- 7.8 [4-28.3] hours. CONCLUSIONS: Although the safety of IV-tPA cannot be
definitively confirmed in a small series, consideration of stroke severity and
management of hemorrhage risk with general precautions with post-tPA management
protocols can justify treatment in the absence of coagulopathy.
PMID- 26542822
TI - Distance to Thrombus in Acute Middle Cerebral Artery Occlusion Predicts Target
Mismatch and Ischemic Penumbra.
AB - BACKGROUND AND PURPOSE: In patients with occlusion of the middle cerebral artery
(MCA) treated by intravenous thrombolysis (IVT), the distance to thrombus (DT)
has been proposed as a predictor of outcome. The purpose of the present study was
to investigate how DT relates to dynamic susceptibility contrast perfusion
metrics. METHODS: Retrospective analysis was undertaken of patients who were
diagnosed with acute MCA occlusion by magnetic resonance imaging and treated with
IVT. Volumes of time-to-maximum (Tmax) perfusion deficits and diffusion-weighted
imaging (DWI) lesions, diffusion-perfusion mismatch volumes, and the presence of
target mismatch were determined. Correlations between the above stoke measures
and DT were then calculated. RESULTS: Fifty-five patients were included. DT
showed significant inverse correlations with Tmax greater than 4, 6, 8, and 10
seconds, respectively, and mismatch volumes. Using the DT group median (14 mm) as
a separator, significant intergroup differences were observed for Tmax greater
than 4, 6, and 8 seconds, respectively, and for mismatch volumes. Grouping DT
into quartiles showed significant intergroup differences regarding mismatch
volumes and Tmax values greater than 4 and 6 seconds. Binary logistic regression
identified DT (odds ratio [OR] = .89; 95% confidence interval [CI], .81-.99) and
DWI lesion volumes (OR = .92; 95% CI, .86-.97) as independent predictors of
target mismatch. A low DT predicted target mismatch with an area under the curve
of .69. CONCLUSIONS: DT correlates inversely with Tmax perfusion deficits and
mismatch volumes and acts as an independent predictor of target mismatch.
PMID- 26542823
TI - Development and Assessment of a Computer Algorithm for Stroke Vascular
Localization Using Components of the National Institutes of Health Stroke Scale.
AB - BACKGROUND: The National Institutes of Health Stroke Scale (NIHSS) was not
intended to be used to determine the stroke's vascular distribution. The aim of
this study was to develop, assess the reliability, and validate a computer
algorithm based on the NIHSS for this purpose. METHODS: Two cohorts of patients
with ischemic stroke having similar distributions of Oxfordshire localizations
(total anterior, partial anterior, lacunar, and posterior circulation) based on
neuroimaging were identified. The first cohort (n = 40) was used to develop a
computer algorithm for vascular localization using a modified version of the
NIHSS (NIHSS-Localization [NIHSS-Loc]) that included the laterality of selected
deficits; the second (n = 20) was used to assess the reliability of algorithm
based localizations compared to those of 2 vascular neurologists. The validity of
the algorithm-based localizations was assessed in comparison to neuroimaging.
Agreement was assessed using the unweighted kappa (kappa) statistic. RESULTS:
Agreement between the 2 raters using the standard NIHSS was slight to moderate
(kappa = .36, 95% confidence interval [CI] .10-.61). Inter-rater agreement
significantly improved to the substantial to almost perfect range using the NIHSS
Loc (kappa = .88, 95% CI .73-1.00). Agreement was perfect when the 2 raters
entered the data into the NIHSS-Loc computer algorithm (kappa = 1.00, 95% CI 1.00
1.00). Agreement between the algorithm localization and neuroimaging results was
fair to moderate (kappa = .59, 95% CI .35-.84) and not significantly different
from the localizations of either rater using the NIHSS-Loc. CONCLUSION: A
computerized, modified version of the standard NIHSS can be used to reliably and
validly assign the vascular distribution of an acute ischemic stroke.
PMID- 26542824
TI - Predicting Paroxysmal Atrial Fibrillation in Cerebrovascular Ischemia Using
Tissue Doppler Imaging and Speckle Tracking Echocardiography.
AB - BACKGROUND: Often the underlying cause of cerebral ischemia (CI) cannot be found
during a routine diagnostic investigation, but paroxysmal atrial fibrillation
(PAF) could be the culprit. AIM: The objective of the study is to investigate
whether advanced echocardiography improves the diagnostic approach for PAF in CI.
METHODS: The study included 286 CI patients with an echocardiogram in sinus
rhythm. Patients were divided by PAF occurrence (PAF: n = 86, non-PAF: n = 200).
PAF was defined as 1 or more reported episodes of atrial fibrillation.
Echocardiograms consisted of conventional measures, tissue Doppler imaging (TDI),
and speckle tracking. TDI was performed to acquire myocardial peak velocities
during systole/ventricular contraction (global s'), early diastole/ventricular
filling (global e'), and late diastole/atrial contraction (global a'). Speckle
tracking was performed for myocardial strain analysis, thereby retrieving global
longitudinal strain and global strain rate (s, e, a) values. RESULTS: Patients
with PAF exhibited significantly impaired atrial contractile measures: global a'
(-7.0 cm/second versus -5.7 cm/second, P < .001) and global strain rate a (.97
second(-1) versus .81 second(-1), P < .001). Both were univariable markers of
PAF, and along with age remained the only independent significant determinants of
PAF after multivariable logistic regression. Area under the curve (AUC) for age,
global a', and global strain rate a significantly exceeded AUC for age alone (.79
versus .76, P = .032). Cutoff values with the highest sensitivity and specificity
for these 3 parameters improved the diagnostic accuracy (sensitivity = 97%,
specificity = 32%, negative predictive value = 95%, and positive predictive value
= 38%). CONCLUSIONS: Atrial contractile measures by advanced echocardiography are
significant determinants of PAF in CI. However, there is no discriminatory power
to make them clinically useful at the current moment.
PMID- 26542825
TI - Herpes Simplex Virus Type 2 Encephalitis as a Cause of Ischemic Stroke: Case
Report and Systematic Review of the Literature.
AB - BACKGROUND AND AIM: Our objective is to describe a patient who developed an
ischemic stroke as a complication of herpes simplex virus type 2 (HSV-2)
encephalitis and to review the literature. PATIENTS AND METHODS: A 45-year-old
immune-competent Caucasian man presented with a 24-hour history of confusion and
fever, and following clinical and laboratory examination was diagnosed with HSV-2
encephalitis. However, the brain magnetic resonance imaging also showed an acute
ischemic infarct in the left frontal lobe corresponding to vascular territories
of middle cerebral artery branches. Further screening failed to identify any
other cause of the stroke. A systematic literature search was conducted in
February 2015 using the PubMed database. RESULTS: Six more cases of herpes
simplex virus (HSV) central nervous system (CNS) infection that developed a
definite ischemic stroke as a complication of the infection were identified.
CONCLUSIONS: Ischemic stroke, although infrequent, can complicate the evolution
of herpes simplex meningitis or encephalitis. Clinicians should include HSV CNS
infection as a possible cause of ischemic stroke, especially in young patients
with ischemic stroke of unknown etiology.
PMID- 26542826
TI - Adding ultrasound to mammography could increase breast cancer detection in Asian
women.
PMID- 26542827
TI - Altering sensorimotor feedback disrupts visual discrimination of facial
expressions.
AB - Looking at another person's facial expression of emotion can trigger the same
neural processes involved in producing the expression, and such responses play a
functional role in emotion recognition. Disrupting individuals' facial action,
for example, interferes with verbal emotion recognition tasks. We tested the
hypothesis that facial responses also play a functional role in the perceptual
processing of emotional expressions. We altered the facial action of participants
with a gel facemask while they performed a task that involved distinguishing
target expressions from highly similar distractors. Relative to control
participants, participants in the facemask condition demonstrated inferior
perceptual discrimination of facial expressions, but not of nonface stimuli. The
findings suggest that somatosensory/motor processes involving the face contribute
to the visual perceptual-and not just conceptual-processing of facial
expressions. More broadly, our study contributes to growing evidence for the
fundamentally interactive nature of the perceptual inputs from different sensory
modalities.
PMID- 26542828
TI - The influence of high temperature on the possibility of DNA typing in various
human tissues.
AB - INTRODUCTION: The identification of unknown victims of high temperatures (fire,
terrorist attack, and other disasters) is one of the most difficult tasks faced
by forensic geneticists. The main aim of this study was to in-vestigate the
availability of DNA isolated from various human tissue samples exposed to high
temperatures of 100-1000 degrees C for 5 and 10 minutes. MATERIAL AND METHODS:
Samples of varying thickness of thigh muscle, liver, heart, adipose tissue, bone,
teeth, hair and nails of 52 fresh cadavers and 59 healthy teeth of 29 volunteers
were used. The study was performed using the following commercially available STR
(Short Tandem Repeats) and miniSTR kits: AmpFlSTR(r)SGM Plus(r) and
AmpFlSTR(r)MiniFilerTM. Hyper variable region I (HVI) of human mitochondrial DNA
(mtDNA) was sequenced with BigDye Terminator Cycle Sequencing Kit 1.1. The PEP
(Primer-Extension Preamplification) method was used for the whole human genome
amplification. RESULTS: It was possible to obtain complete DNA profiles
(AmpFlSTR(r)SGM Plus(r), AmpFlSTR(r)MiniFilerTM Applied Biosystems, USA and mtDNA
HVI region) for tissue samples of heart, liver and thigh muscle, exposed up to
900 degrees C for 5 min. However, under the applied conditions, limited
usefulness of hair, nails and teeth for identification purposes was shown.
CONCLUSIONS: DNA stability in tissues subjected to incineration depends on many
factors, like tissue type and its thickness, temperature and time of exposure. In
the cases of human remains exposed to high temperatures, samples of soft tissues
of the highest weight (thickness) provide the best chance of successful
identification through the genetic analysis. In some cases of negative results,
even if using mtDNA typing, application of the whole genome amplification (WGA)
technique could provide the expected results for highly degraded DNA templates.
PMID- 26542829
TI - Simultaneous detection of Cymbidium mosaic virus and Odontoglossum ringspot virus
in orchids using multiplex RT-PCR.
AB - A system for simultaneous detection of two orchid-infecting viruses was developed
and applied to several orchid species. The detection system involved multiplex
reverse transcription-polymerase chain reaction (RT-PCR) and could simultaneously
identify Cymbidium mosaic virus (CymMV) and Odontoglossum ringspot virus (ORSV)
from the orchid species studied. Multiplex RT-PCR was conducted using two virus
specific primer pairs and an internal control pair of primers to amplify the
CymMV and ORSV coat protein regions, and orchid 18S rDNA, respectively. For
optimization of multiplex RT-PCR conditions, serial dilutions of total RNA and
cDNA were performed and the detection limit of the system was evaluated. The
optimized multiplex detection system for CymMV and ORSV was applied to various
orchid species, including several cultivars of Doritaenopsis, Cymbidium,
Dendrobium, and Phalaenopsis to test the efficacy of this method. Our results
indicate that the multiplex RT-PCR detection system will be a rapid, simple, and
precise diagnosis tool in a range of orchid species.
PMID- 26542830
TI - Recycling supercapacitors based on shredding and mild thermal treatment.
AB - Supercapacitors are widely used in electric and hybrid vehicles, wind farm and
low-power equipment due to their high specific power density and huge number of
charge-discharge cycles. Waste supercapacitors should be recycled according to EU
directive 2002/96/EC on waste electric and electronic equipment. This paper
describes a recycling approach for end-of-life supercapacitors based on shredding
and mild thermal treatment. At first, supercapacitors are shredded using a Retsch
cutting mill. The shredded mixture is then undergone thermal treatment at 200
degrees C to recycle the organic solvent contained in the activated carbon
electrodes. After the thermal treatment, the mixture is roughly separated using a
fluidized bed method to remove the aluminium foil particles and paper particles
from the activated carbon particles, which is subsequently put into water for a
wet shredding into fine particles that can be re-used. The recycled activated
carbon has a BET surface area of up to 1200m(2)/g and the recycled acetonitrile
has a high purity.
PMID- 26542831
TI - Brave new format.
PMID- 26542832
TI - Reliability and utility of the Acute Care Index of Function in intensive care
patients: An observational study.
AB - OBJECTIVES: To establish the inter-rater reliability of the Acute Care Index of
Function (ACIF) in intensive care unit (ICU) patients and determine whether ACIF
scores have predictive utility beyond ICU discharge. BACKGROUND: Accurate and
reliable measures of physical function are required to describe the recovery
trajectory of ICU survivors. The clinimetric properties of the ACIF are yet to be
established in ICU patients. METHODS: Prospective observational study in a single
tertiary ICU. ACIF scores were recorded independently by 2 physiotherapists
across a convenience sample of 100 physiotherapy assessments, and at ICU
discharge. RESULTS: Inter-rater reliability of total ACIF scores was very strong
(ICC = 0.94). ACIF <0.40 at ICU discharge predicted hospital discharge to a
destination other than home (area under ROC = 0.79, 95% CI 0.64-0.89)
(sensitivity 0.78). CONCLUSION: The ACIF has excellent inter-rater reliability in
ICU patients and scores at ICU discharge predict the likelihood of discharge
home. TRIAL REGISTRATION: ACTRN12614001008617 (September 18 2014).
PMID- 26542833
TI - Determination of D-serine in human serum by LC-MS/MS using a triazole-bonded
column after pre-column derivatization with (S)-4-(3-isothiocyanatopyrrolidin-1
yl)-7- (N, N-dimethylaminosulfonyl)-2,1,3-benzoxadiazole.
AB - An LC-MS/MS-based method for determining D-serine (Ser), an endogenous co-agonist
of the N-methyl-D-aspartate receptor, in human serum, was developed and validated
using a triazole-bonded silica-packed column after pre-column fluorescence
derivatization with a chiral labeling reagent, (S)-4-(3-isothiocyanatopyrrolidin
1-yl)-7-(N,N-dimethylaminosulfonyl)-2,1,3-benzoxadiazole. Enantiomeric separation
of the D- and L-Ser derivatives occurred in the triazole-bonded column (R s:
1.85) with CH3CN/100 mM HCO2NH4 in H2O (95.5:4.5) as the mobile phase with
isocratic elution. The ln(capacity factor of D-Ser) in the van't Hoff plot
gradually decreased with the inverse of temperature, suggesting enhanced
hydrophilic interactions with the triazole-bonded stationary phase with
increasing column temperature, owing to decrease in the partition coefficient to
the mobile phase. Multiple reaction monitoring (m/z 457.10 > 409.00) by triple
quadrupole mass spectrometry was used for quantifying D-Ser in human serum. The
presence of D-Ser in the serum was confirmed by treatment with commercial D-amino
acid oxidase. A linear calibration curve was constructed in the D-Ser
concentration range of 0.5-5.0 MUM (r (2) = 0.999, n = 3) using D-homoserine as
the internal standard. The precision and recovery values were adequate for
quantification. The detection limit for D-Ser was 1.1 fmol/injection (signal-to
noise ratio = 3), owing to the high CH3CN content in the mobile phase. The
proposed LC-MS/MS method showed few fluctuations in the retention times of D- and
L-Ser, and R s was stable until the 40th injection of serum without column
washing, and thus can be useful for D-Ser determination in human serum in
clinical research.
PMID- 26542834
TI - Assessing similarity analysis of chromatographic fingerprints of Cyclopia
subternata extracts as potential screening tool for in vitro glucose utilisation.
AB - Similarity analysis of the phenolic fingerprints of a large number of aqueous
extracts of Cyclopia subternata, obtained by high-performance liquid
chromatography (HPLC), was evaluated as a potential tool to screen extracts for
relative bioactivity. The assessment was based on the (dis)similarity of their
fingerprints to that of a reference active extract of C. subternata, proven to
enhance glucose uptake in vitro and in vivo. In vitro testing of extracts,
selected as being most similar (n = 5; r >= 0.962) and most dissimilar (n = 5; r
<= 0.688) to the reference active extract, showed that no clear pattern in terms
of relative glucose uptake efficacy in C2C12 myocytes emerged, irrespective of
the dose. Some of the most dissimilar extracts had higher glucose-lowering
activity than the reference active extract. Principal component analysis revealed
the major compounds responsible for the most variation within the chromatographic
fingerprints, as mangiferin, isomangiferin, iriflophenone-3-C-beta-D-glucoside-4
O-beta-D-glucoside, iriflophenone-3-C-beta-D-glucoside, scolymoside, and
phloretin-3',5'-di-C-beta-D-glucoside. Quantitative analysis of the selected
extracts showed that the most dissimilar extracts contained the highest
mangiferin and isomangiferin levels, whilst the most similar extracts had the
highest scolymoside content. These compounds demonstrated similar glucose uptake
efficacy in C2C12 myocytes. It can be concluded that (dis)similarity of
chromatographic fingerprints of extracts of unknown activity to that of a proven
bioactive extract does not necessarily translate to lower or higher bioactivity.
PMID- 26542835
TI - Selective extraction and determination of fluoroquinolones in bovine milk samples
with montmorillonite magnetic molecularly imprinted polymers and capillary
electrophoresis.
AB - A sensitive and selective method for separating fluoroquinolones (FQs) from
bovine milk samples was successfully developed using montmorillonite magnetic
molecularly imprinted polymers (MMMIPs) as adsorbents. MMMIPs were prepared using
montmorillonite as carrier, fleroxacin (FLE) as template molecule, and Fe3O4
magnetite as magnetic component. MMMIPs possessed high adsorption capacity of
46.3 mg g(-1) for FLE. A rapid and convenient magnetic solid-phase extraction
procedure coupled with capillary electrophoresis was established with MMMIPs as
adsorbents for simultaneous and selective extraction of four FQs in bovine milk
samples. Limits of detection ranged between 12.9 and 18.8 MUg L(-1), and the RSDs
were between 1.8% and 8.6%. The proposed method was successfully applied to spike
bovine milk samples with recoveries of 92.7%-108.6%.
PMID- 26542836
TI - Effects of olanzapine on LPS-induced inflammation in rat primary glia cells.
AB - Olanzapine (OLZ) is an atypical antipsychotic drug that also has mood-stabilizing
effects. The mechanism of action of OLZ is not fully understood. Accumulating
data suggest that inflammation plays a role in the pathophysiology of mental
disorders and that psychotropic drugs exhibit some anti-inflammatory properties.
This study was undertaken to examine the effects of OLZ on LPS-induced
inflammation in rat primary glia cells. Glia cells were extracted from newborn
rat brains. OLZ (1 or 50 uM) was added to culture medium at 6 or 72 h before
addition of LPS for another 18 h, and levels of IL-10, prostaglandin (PG) E2, NO
and TNF-alpha, and expression of cyclo-oxygensase (COX)-2 and inducible NO
synthase (iNOS) were determined. Treatment with 50 uM OLZ (but not 1 uM)
significantly decreased LPS-induced secretion of IL-10, PGE2 and TNF-alpha. In
contrast, 50 uM OLZ significantly increased NO levels. OLZ did not alter the
expression of COX-2 or iNOS in LPS-treated cells. These results suggest that OLZ
differently affects the secretion of inflammatory mediators. Most of the
significant effects of OLZ were obtained when 50 uM was used, which is a high and
probably therapeutically irrelevant concentration. Therefore, under the
conditions used in the present study OLZ seemed to lack a potent anti
inflammatory effect.
PMID- 26542837
TI - Diverse functions and reactions of class III peroxidases.
AB - Higher plants contain plant-specific peroxidases (class III peroxidase; Prxs)
that exist as large multigene families. Reverse genetic studies to characterize
the function of each Prx have revealed that Prxs are involved in lignification,
cell elongation, stress defense and seed germination. However, the underlying
mechanisms associated with plant phenotypes following genetic engineering of Prx
genes are not fully understood. This is because Prxs can function as catalytic
enzymes that oxidize phenolic compounds while consuming hydrogen peroxide and/or
as generators of reactive oxygen species. Moreover, biochemical efforts to
characterize Prxs responsible for lignin polymerization have revealed specialized
activities of Prxs. In conclusion, not only spatiotemporal regulation of gene
expression and protein distribution, but also differentiated oxidation properties
of each Prx define the function of this class of peroxidases.
PMID- 26542838
TI - Novel methylene blue staining technique for localizing small esophageal
leiomyomas during thoracoscopic enucleation.
AB - The treatment of choice for leiomyoma, the most common benign esophageal tumor,
is thoracoscopic enucleation. One of the most difficult aspects of thoracoscopic
enucleation is the precise localization of small tumors (<=1.5 cm) and tumors
without external protrusion. No simple, feasible solutions to this problem are
available. We developed a novel methylene blue staining technique to localize
small esophageal leiomyomas and evaluated the feasibility of our technique.
Between January 2013 and July 2014, eight patients with small esophageal
leiomyomas (<=1.5 cm) underwent thoracoscopic enucleation in Tongji Hospital.
Preoperative endoscopic ultrasonography was performed in all patients. The
leiomyomas were located in the middle (n = 5) and lower (n = 3) thirds of the
esophagus. We preoperatively injected 0.5-1.0 mL methylene blue in the submucosa
adjacent to the tumors under standard gastroscope guidance. The entire staining
process took about 10 minutes. Staining was successful in all patients. The
unstained tumor was exposed after the blue-stained mediastinal pleura, and
overlying muscle were incised longitudinally. All procedures were successfully
completed without conversion to open surgery. No abnormalities were detected in
the esophageal mucosa. The median operating time was 60 minutes (range, 40-90
minutes). Postoperative histopathology confirmed leiomyoma in all patients. The
median postoperative hospital stay was 6 days (range, 5-7 days). No major
complications, such as esophageal leakage or esophageal diverticulum, occurred.
Endoscopic methylene blue staining is safe and feasible for localizing small
esophageal leiomyomas during thoracoscopic enucleation. This method will enable
precise and easy enucleation.
PMID- 26542839
TI - Actinomadura algeriensis sp. nov., an actinobacterium isolated from Saharan soil.
AB - During the course of a screening programme for new taxa of actinobacteria, a
strain designated ACD1(T), was isolated from a Saharan soil in the Hoggar region
(Algeria). The taxonomic position of this strain was determined using a
polyphasic taxonomic approach. The strain was observed to form extensively
branched, non-fragmenting substrate mycelium, and aerial mycelium with straight
to flexuous, hooked and irregular spirals (1-2 turns) forming short chains of
spores. The diamino acid present in the cell wall is meso-diaminopimelic acid.
Galactose, glucose, madurose, mannose and ribose occur in whole-cell
hydrolysates. The diagnostic phospholipids detected were diphosphatidylglycerol
and phosphatidylinositol. The major menaquinones were identified as MK-9 (H4) and
MK-9 (H2). The major fatty acids were found to be C16:0, C18:1 cis9, iso-C16:0
and 10-methyl C18:0. Phylogenetic analysis based on the 16S rRNA gene showed that
the strain belongs to the genus Actinomadura, and is closely related to
Actinomadura sediminis DSM 45500(T) (98.5 % similarity) and Actinomadura cremea
subsp. cremea DSM 43676(T) (98.3 % similarity). However, DNA-DNA hybridization
revealed only 48.0 % relatedness with A. sediminis DSM 45500(T) and 33.2 %
relatedness with A. cremea subsp. cremea DSM 43676(T). The combined phenotypic
and genotypic data showed that the strain represents a novel species of the genus
Actinomadura, for which the name Actinomadura algeriensis sp. nov. is proposed,
with the type strain ACD1(T) (= DSM 46744(T) = CECT 8841(T)).
PMID- 26542840
TI - PacBio Sequencing and Its Applications.
AB - Single-molecule, real-time sequencing developed by Pacific BioSciences offers
longer read lengths than the second-generation sequencing (SGS) technologies,
making it well-suited for unsolved problems in genome, transcriptome, and
epigenetics research. The highly-contiguous de novo assemblies using PacBio
sequencing can close gaps in current reference assemblies and characterize
structural variation (SV) in personal genomes. With longer reads, we can sequence
through extended repetitive regions and detect mutations, many of which are
associated with diseases. Moreover, PacBio transcriptome sequencing is
advantageous for the identification of gene isoforms and facilitates reliable
discoveries of novel genes and novel isoforms of annotated genes, due to its
ability to sequence full-length transcripts or fragments with significant
lengths. Additionally, PacBio's sequencing technique provides information that is
useful for the direct detection of base modifications, such as methylation. In
addition to using PacBio sequencing alone, many hybrid sequencing strategies have
been developed to make use of more accurate short reads in conjunction with
PacBio long reads. In general, hybrid sequencing strategies are more affordable
and scalable especially for small-size laboratories than using PacBio Sequencing
alone. The advent of PacBio sequencing has made available much information that
could not be obtained via SGS alone.
PMID- 26542841
TI - Development of a biomaterial associated with mesenchymal stem cells and
keratinocytes for use as a skin substitute.
AB - AIM: The present study has aimed to produce a cutaneous substitute, bringing
together stem cells (mesenchymal stem cells) and keratinocytes, and an
electrospun biomaterial. MATERIALS & METHODS: Three groups of scaffolds were
studied: group 1, poly-dl-lactic acid (PDLLA); group 2, hydrolyzed PDLLA
(PDLLA/NaOH) and group 3, PDLLA/Lam - a PDLLA/NaOH scaffold linked to laminin
protein. They were characterized by physicochemical and biological parameters.
RESULTS: As a result, the scaffolds presented well-formed and randomly
distributed fibers. Group 3 showed the greatest hydrophilic characteristics.
Group 1 showed a greater degradation rate after 14 days. Groups 2 and 3 presented
molecular weight of about 40-50 Da. In general, group 3 showed the best results
concerning cell adhesion and viability. CONCLUSION: This study associated two
revolutionary fields, stem cells and nanotechnology, for use in regenerative
medicine.
PMID- 26542842
TI - Selection on pollen and pistil traits during pollen competition is affected by
both sexual conflict and mixed mating in a self-compatible herb.
AB - PREMISE OF THE STUDY: Although much attention has focused on the diversity of
plant mating systems, only a few studies have considered the joint effects of
mating system and sexual conflict in plant evolution. In mixed-mating Collinsia
heterophylla, a sexual conflict over timing of stigma receptivity is proposed:
pollen with a capacity to induce early onset of stigma receptivity secures
paternity for early-arriving pollen (at the expense of reduced maternal seed
set), whereas late onset of stigma receptivity mitigates the negative effects of
early-arriving pollen. Here we investigated whether selection on pollen and
pistil traits involved in sexual conflict is affected by the presence of both
outcross- and self-pollen (mixed mating) during pollen competition. METHODS: We
conducted two-donor crosses at different floral developmental stages to explore
male fitness (siring ability) and female fitness (seed set) in relation to male
and female identity, pollen and pistil traits, and type of competitor pollen
(outcross vs. self). KEY RESULTS: Late-fertilizing pollen rather than rapidly
growing pollen tubes was most successful in terms of siring success, especially
in competition with self-pollen after pollination at early floral stages. Late
stigma receptivity increased seed set after early-stage pollinations, in
agreement with selection against antagonistic pollen. CONCLUSIONS: Selection on
pollen and pistil traits in C. heterophylla is affected by both sexual conflict
and mixed mating, suggesting the importance of jointly considering these factors
in plant evolution.
PMID- 26542843
TI - Shifts in diversification rates linked to biogeographic movement into new areas:
An example of a recent radiation in the Andes.
AB - PREMISE OF THE STUDY: Clade-specific bursts in diversification are often
associated with the evolution of key innovations. However, in groups with no
obvious morphological innovations, observed upticks in diversification rates have
also been attributed to the colonization of a new geographic environment. In this
study, we explore the systematics, diversification dynamics, and historical
biogeography of the plant clade Rhinantheae in the Orobanchaceae, with a special
focus on the Andean clade of the genus Bartsia. METHODS: We sampled taxa from
across Rhinantheae, including a representative sample of Andean Bartsia species.
Using standard phylogenetic methods, we reconstructed evolutionary relationships,
inferred divergence times among the clades of Rhinantheae, elucidated their
biogeographic history, and investigated diversification dynamics. KEY RESULTS: We
confirmed that the South American Bartsia species form a highly supported
monophyletic group. The median crown age of Rhinantheae was determined to be ca.
30 Myr, and Europe played an important role in the biogeographic history of the
lineages. South America was first reconstructed in the biogeographic analyses
around 9 Myr ago, and with a median age of 2.59 Myr, this clade shows a
significant uptick in diversification. CONCLUSIONS: Increased net diversification
of the South American clade corresponds to biogeographic movement into the New
World. This movement happened at a time when the Andes were reaching the
necessary elevation to host an alpine environment. Although a specific route
could not be identified with certainty, we provide plausible hypotheses to how
the group colonized the New World.
PMID- 26542844
TI - Potential impacts of tolerance to herbivory on population dynamics of a
monocarpic herb.
AB - PREMISE OF THE STUDY: Mammalian herbivores, particularly white-tailed deer, can
have a major impact on plant abundance and distribution. However, plants can
tolerate herbivory by increasing seed production or seed quality. We used the
monocarpic perennial Prenanthes roanensis to examine tolerance to mammalian
herbivory through seed quality and modeled the effects of tolerance on population
growth rate. METHODS: We examined seed quality (proportion of viable seeds, seed
mass, germination, and seedling size) on damaged and undamaged plants to
determine the extent to which plants tolerate herbivory. We then varied seed
quality parameters over a range of values in population models to compare
population growth rates under "no-tolerance" conditions (herbivory, but no
tolerance) to those under "tolerance" conditions. KEY RESULTS: In most
populations, plants damaged by herbivores had a greater proportion of viable
seeds per plant or a greater probability of seed germination. Incorporating
observed tolerance into population models did not significantly increase
population growth rate. However, at low germination rates, increased germination
of seeds from damaged plants has the potential to significantly increase
population growth rate. CONCLUSIONS: Damaged plants can compensate for loss of
reproductive heads by increasing seed viability and germination rates in the
remaining seeds. This study is one of the first to demonstrate that tolerance
through seed quality has the potential to affect population growth rate. Our
results suggest that incorporating tolerance into population models may help
elucidate mechanisms by which plant populations persist despite herbivory.
PMID- 26542845
TI - Exploring the fossil history of pleurocarpous mosses: Tricostaceae fam. nov. from
the Cretaceous of Vancouver Island, Canada.
AB - PREMISE OF THE STUDY: Mosses, very diverse in modern ecosystems, are currently
underrepresented in the fossil record. For the pre-Cenozoic, fossil mosses are
known almost exclusively from compression fossils, while anatomical preservation,
which is much more taxonomically informative, is rare. The Lower Cretaceous of
Vancouver Island (British Columbia, Canada) hosts a diverse anatomically
preserved flora at Apple Bay. While the vascular plant component of the Apple Bay
flora has received much attention, the numerous bryophytes identified at the
locality have yet to be characterized. METHODS: Fossil moss gametophytes in more
than 20 carbonate concretions collected from the Apple Bay locality on Vancouver
Island were studied in serial sections prepared using the cellulose acetate peel
technique. KEY RESULTS: We describe Tricosta plicata gen. et sp. nov., a
pleurocarpous moss with much-branched gametophytes, tricostate plicate leaves,
rhizoid-bearing bases, and delicate gametangia (antheridia and archegonia) borne
on specialized branches. A new family of hypnanaean mosses, Tricostaceae fam.
nov., is recognized based on the novel combination of characters of T. plicata.
CONCLUSIONS: Tricosta plicata reveals pleurocarpous moss diversity unaccounted
for in extant floras. This new moss adds the first bryophyte component to an
already diverse assemblage of vascular plants described from the Early Cretaceous
at Apple Bay and, as the oldest representative of the Hypnanae, provides a hard
minimum age for the group (136 Ma).
PMID- 26542846
TI - Resolving cryptic species of Bossiella (Corallinales, Rhodophyta) using
contemporary and historical DNA.
AB - PREMISE OF THE STUDY: Phenotypic plasticity and convergent evolution have long
complicated traditional morphological taxonomy. Fortunately, DNA sequences
provide an additional basis for comparison, independent of morphology. Most
importantly, by obtaining DNA sequences from historical type specimens, we are
now able to unequivocally match species names to genetic groups, often with
surprising results. METHODS: We used an integrative taxonomic approach to
identify and describe Northeast Pacific pinnately branched species in the red
algal coralline genus Bossiella, for which traditional taxonomy recognized only
one species, the generitype, Bossiella plumosa. We analyzed DNA sequences from
historical type specimens and modern topotype specimens to assign species names
and to identify genetic groups that were different and that required new names.
Our molecular taxonomic assessment was followed by a detailed morphometric
analysis of each species. KEY RESULTS: Our study of B. plumosa revealed seven
pinnately branched Bossiella species. Three species, B. frondescens, B.
frondifera, and B. plumosa, were assigned names based on sequences from type
specimens. The remaining four species, B. hakaiensis, B. manzae, B. reptans, and
B. montereyensis, were described as new to science. In most cases, there was
significant overlap of morphological characteristics among species. CONCLUSIONS:
This study underscores the pitfalls of relying upon morpho-anatomy alone to
distinguish species and highlights our likely underestimation of species
worldwide. Our integrative taxonomic approach can serve as a model for resolving
the taxonomy of other plant and algal genera.
PMID- 26542847
TI - Multiple glacial refugia lead to genetic structuring and the potential for
reproductive isolation in a herbaceous plant.
AB - PREMISE OF THE STUDY: Glacial cycles have influenced the genetic structure of
many species. In addition to facilitating genetic divergence, isolation in
multiple glacial refugia may have contributed to the development of genetic
incompatibility and reproductive isolation. We examined the phylogeography of
Campanulastrum americanum, a monocarpic herbaceous plant that exhibits strong
intraspecific reproductive isolation, to determine whether the current genetic
structure reflects a history of multiple glacial refugia. METHODS: Chloroplast
loci and nuclear RAD sequencing were used to characterize the range-wide
phylogeography of C. americanum, in order to determine locations of potential
glacial refugia and recolonization routes. Potential locations of refugia during
the Last Glacial Maximum were also identified using ecological niche modeling.
KEY RESULTS: Together, the chloroplast and nuclear phylogenies found support for
three geographically structured, genetically divergent lineages, among which gene
flow appears to be restricted. The distribution of these lineages indicates that
C. americanum survived the Last Glacial Maximum in at least three refugia located
in the Appalachians and on the Atlantic and Gulf coasts. The ecological niche
model also supported the existence of multiple refugia. CONCLUSIONS: The
isolation of populations of C. americanum in multiple refugia has led to a degree
of phylogeographic structure greater than that found in most previously studied
plants in eastern North America, which may be attributable to its short
generation time. Reproductively isolated populations of C. americanum belong to
divergent lineages, which suggests that survival in multiple glacial refugia
contributed to the development of reproductive isolation in this species.
PMID- 26542848
TI - Postzygotic barriers isolate sympatric species of Cyrtandra (Gesneriaceae) in
Hawaiian montane forest understories.
AB - PREMISE OF THE STUDY: Recent reviews of reproductive isolation (RI) in plants
propose that boundaries between closely related species are maintained
predominantly through prezygotic mechanisms. However, few experimental studies
have explored how boundaries are maintained in long-lived species. Hawaiian
Cyrtandra presents an intriguing challenge to our understanding of RI, as it
comprises 60 shrub or small tree species that are almost exclusively restricted
to wet forests, where sympatry of multiple species is common. METHODS: We
assessed the relative strengths of pre- and postzygotic barriers among four
species of Cyrtandra occurring at the extremes of the main Hawaiian Island's
natural island-age gradient, Kaua'i (4.7 Myr) and Hawai'i Island (0.6 Myr), to
contrast the strengths and stages of reproductive isolation among species at
different stages of divergence. KEY RESULTS: A combination of F1 seed
germination, F1 seedling survival, and F1 seedling growth isolated (61-91%) three
of the species from sympatric relatives. In contrast, the fourth species was
isolated (59%) from its sympatric relative through phenological differences
alone. Significant postzygotic barriers in between-island crosses were also
observed in one species. CONCLUSIONS: Results suggest that boundaries between
sympatric Cyrtandra species in Hawaii are maintained predominantly through
postzygotic barriers. Observations from between-island crosses indicate that
postzygotic barriers can arise in allopatry, which may be important in the
initial divergence of populations. Future studies of RI in Cyrtandra should
include a broader range of species to determine if postzygotic isolating barriers
are foremost in the maintenance of species boundaries in this large genus.
PMID- 26542849
TI - Tumor antigens in human cancer control.
AB - The body of evidence that is supporting the role of T cells in human tumor
control is substantial and it is now beyond doubt that T cells can be crucial in
the clinical response to cancer immunotherapies such as adoptive T cell therapy
and checkpoint blockade. This has been proven in particular for melanoma and non
small cell lung cancer. Strikingly, while clinical experience with these
therapies is extensive, what these T cells detect on the tumors remains largely
unknown. An extensive effort has been put into the characterization of tumor
antigens and based on the recent successes of immunotherapies Cancer/Germline,
mutated and viral antigens appear rather promising targets for tumor control.
Furthermore, it is becoming evident that the most potent antigen in tumor control
is highly dependent on the type of malignancy and may also vary even within
malignancies.
PMID- 26542850
TI - Association between low-grade albuminuria and hearing impairment in a non
diabetic Korean population: The Korea National Health and Nutrition Examination
Survey (2011-2013).
AB - INTRODUCTION: The objective of the present study was to examine the association
between low-grade albuminuria and hearing impairment in the non-diabetic
population. MATERIALS AND METHODS: Data from the Korean National Health and
Nutrition Examination Survey 2011-2013 were used in the analyses. Participants
were excluded from this study if they were younger than 19 years old, or had
urine albumin/creatinine ratio (UACR) >= 30 mg/g or diabetes mellitus. There were
10,608 participants included in this study. The participants were divided into
three groups according to their UACR tertiles. RESULTS: There were 1560; 1561;
and 1552 male and 1982; 1975; and 1978, female participants in the low, middle,
and high tertile groups, respectively. The results indicated the association
between low-grade albuminuria and the numbers of metabolic syndrome (MetS)
components or Framingham risk score, and the presence of MetS or the proportions
of participants at high cardiovascular risk. Univariate and multivariate linear
regression analyses demonstrated an association between the UACR and average
hearing threshold (AHT) that was observed in both sexes. Multivariate analyses
showed that mean AHTs in the low, middle, and high tertile groups were,
respectively, 16.127 dB, 17.139 dB, and 18.604 dB for men, and 14.842 dB, 15.100
dB, and 16.353 dB, respectively, for women. Low-frequency, mid-frequency, and
high-frequency hearing thresholds according to UACR tertiles showed similar
trends. In both sexes, multivariate logistic regression analyses revealed that
participants in the low and middle tertile groups had a decreased risk for
hearing loss compared to participants in the high tertile group. CONCLUSION: Low
grade albuminuria was associated with hearing impairment in the non-diabetic
participants of this study.
PMID- 26542851
TI - Erratum to: An Endoscopic Strategy Combining Mega Stents and Over-The-Scope Clips
for the Management of Post-Bariatric Surgery Leaks and Fistulas (with video).
PMID- 26542852
TI - Current concepts and innovations in trauma care: The German perspective.
PMID- 26542854
TI - Bacterial contamination of open fractures - pathogens, antibiotic resistances and
therapeutic regimes in four hospitals of the trauma network Cologne, Germany.
AB - INTRODUCTION: The bacterial contamination of soft tissues and bone in open
fractures leads to an infection rate of up to 50%. Pathogens and their resistance
against therapeutic agents change with time and vary in different regions. In
this work, our aims were to characterize the bacterial spectrum present in open
fractures, analyze the bacterial resistance to antibiotic agents and question the
EAST guideline recommendations for antibiotic prophylaxis after open fractures in
a German Trauma Network. MATERIALS AND METHODS: We conducted a retrospective
cohort study and included all patients with open fractures from 1(st) of January
2011 until the 31(st) of December 2014 in four hospitals of the trauma network
cologne. Soft tissue damage was classified according to the Gustilo Anderson
classification. RESULTS: We included 123 patients. Forty-five injuries (37%) were
classified I degrees , 45 (37%) as II degrees and 33 (27%) as III degrees .
Lower leg (34%) was the most commonly injured location. An antibiotic prophylaxis
was administered to 109 patients (89%). In 107 of them (98%) a cephalosporin or
cephalosporin combination was given. In 35 of the patients (28%), microbiological
samples were taken of the fracture site. Wound cultures were positive in 21
patients (60%). Fifty percent of the bacterial detections occurred in III degrees
fractures. Coagulase negative Staphylococci (COST) were the most frequent
pathogens. In II degrees open fractures one gram-negative strain was isolated.
Fewest resistances were seen against quinolones and co-trimoxazole. DISCUSSION:
The recommended EAST guideline prophylaxis would have covered all but one
bacterium (97% of positive cultures). One Escherichia coli was found in a II
degrees open fracture and would have been missed. One of the isolated
Staphylococci epidermidis and an Enterococcus faecium were resistant against
gentamycin and first- and second-generation-cephalosporin's which were used as
prophylaxis frequently. However, a regional adaption of the EAST guidelines seems
not justified due to the rather low number of cases in our study. CONCLUSION: The
EAST guideline seems to be adequate in a high percentage of cases (97%) in the
setting of the trauma network cologne. Further research should be guided at
identification of initial open fracture pathogens to improve the efficiency of
antibiotic prophylaxis.
PMID- 26542853
TI - Isolated pediatric radial head and neck fractures. A rare injury. Analysis and
follow up of 19 patients.
AB - PURPOSE: Isolated pediatric radial head and neck fractures are rare. In recent
literature, their incidence is estimated to be around 1% of all fractures. High
rates of complications are reported. Beside non-operative treatment, head
fractures are treated with k-wires, mini-screws or polypins, whereas neck
fractures are treated more and more with elastic stable intramedullary nailing
(ESIN). Purpose of the study was to evaluate the operative management,
complications and clinical outcomes of these injuries. METHODS: Retrospective
analysis between 2002 and 2014. 19 children with isolated radial head and neck
fractures were treated in our institution. Age averaged 11 years (range 6-16).
Operative treatment with elastic stable intramedullary nailing (ESIN) was
performed in 13 patients, in one patient with an additional k-wire; two screw,
two k-wire and one polypin fixation was performed in the others. One child was
treated non-operatively. RESULTS: Follow up averaged 19 months (2-89). Initial
complications occurred in nine children such as fracture dislocation (1),
nonunion (1), malunion (1), elbow ankylosis (1), infection (1), crossunion (2),
intraarticular screw penetration (1) and radial nerve irritation (1). ESIN lead a
complication rate of 36%, mini-screw fixation and k-wire fixation showed a
complication rate of 100%. All children (100%) with an open reduction maneuver
and 36% children with closed or percutaneous reduction developed a complication.
Secondary surgeries included ESIN removal and k-wire fixation (1), open
arthrolysis (1), debridement (1), removal of crossunion (1), radial head removal
plus arthrolysis (3) and screw removal (1). Subsequently 74% (14) children showed
a free or <20 degrees limited range of motion on final follow up. Implant
removal was performed after an average of 8 weeks (5-12). Three patients were
transferred to our surgical department after a complication following initial
treatment. Excluding these, an overall complication rate of 37.5% was noted.
CONCLUSIONS: Radial head injuries in children are rare. In this population, neck
fractures occur more frequently. If conservative treatment is not possible, ESIN
seems to be a simple and protective procedure for neck fractures; polypins or
screws can be used for complicated radial head fractures. Complications occur
frequently after open reduction. If closed reduction and internal fixation is
possible, range of motion can be completely restored.
PMID- 26542855
TI - Size matters: The influence of the posterior fragment on patient outcomes in
trimalleolar ankle fractures.
AB - INTRODUCTION: Ankle fractures are increasing in incidence. The more complicated
the lesion is, the higher the risk of developing posttraumatic arthrosis. Severe
posttraumatic arthrosis results in a reduced quality of life. Therefore, the
treatment of a trimalleolar fractures is crucial. However, the treatment
guidelines for posterior malleolar fractures (PMF) are still based on
recommendations from 1940. Only a few retrospective studies have been conducted,
which analysed patient outcomes based on lateral X-rays of the ankle. The purpose
of this retrospective analysis was to survey patient outcomes in relation to the
size of the PMF on the basis of CT-scans. METHODS: We retrospectively examined 42
patients with trimalleolar fractures with an average follow-up of 2.5 years.
Twenty-four patients (57%) received a CT scan of the ankle joint. The radiologic
images were analysed for the size of the PMF and the involvement of the joint
surface using lateral X-rays and available CT images. We examined all 42 patients
clinically and radiologically, and estimated the grade of arthrosis of the ankle
in accordance with the Bargon Score and assigned AOFAS Scores for each patient.
We divided our patients into different groups according to the size of their PMF
and evaluated patient outcomes in accordance with the compiled data first on the
basis of X-ray data and then on the basis of CT data. RESULTS: Comparing the
measurement results by two different radiologic methods revealed that CT results
in a more precise determination of PMF size in contrast to lateral X-rays, by
which measurements were generally overrated. The statistical evaluation of our
data demonstrated that patients with an osteosynthesis of the PMF and a PMF size
of >25% showed signs of posttraumatic arthrosis but had better outcomes in
accordance to the AOFAS score. All results were not significant. CONCLUSION: An
exact evaluation of CT images of posterior malleolar fractures in patients with
trimalleolar ankle fractures is crucial for the decision to perform an
osteosynthesis of the PMF and, therefore, an analysis of patient outcomes. The
results of previous studies should be evaluated cautiously due to missing CT
data. To date, this is the largest retrospective patient series of patient
outcomes based on CT data.
PMID- 26542856
TI - The cement-augmented transiliacal internal fixator (caTIFI): an innovative
surgical technique for stabilization of fragility fractures of the pelvis.
AB - INTRODUCTION: Analyzing the different age groups in a population who suffered a
pelvic ring fracture it becomes obvious that there are important differences
between the pelvic ring lesions of an elderly patient compared to a young adult
concerning trauma mechanism, fracture pattern and therapeutic options. In the
elderly patient it is very important to achieve maximum of stability if surgery
is necessary in order to avoid early failure of the ostheosynthesis under
mobilization with full weight bearing. PATIENTS AND METHODS: 15 patients (14
female) with fragility fractures of the pelvis that required surgical
stabilization were eligible to participate in this study from December 2012 to
December 2014. Such details were documented and analysed as patient demographics,
mechanism of injury, fracture classification, operative treatment and
postoperative radiological parameters of achieved bone-implant interface.
RESULTS: The average age of the patients was 79.9 years (SD 9.0 years). According
to Rommens five patients had a fragility fracture of the pelvis Type II-c, one a
Type III-c, six a Type IV-b and three a Type IV-c. Four patients were treated by
a cement augmented transiliac internal fixation (caTIFI). Seven patients received
a cement augmented iliolumbar fixation. In all these patients the Schanz screws
applied to the ilium were placed in an oblique dorsoventral direction into the
supraacetabular bone canal (mean length of screws 100 +/- 20mm, max. 135 mm, min.
70 mm). Even though in four patients the iliosacral joint was hit tangential and
one cortex perforation without any cement leakage appeared no revision surgery
was necessary. Overall the clinical findings including mobilisation with full
weight bearing showed a sufficient mechanically stability in all patients.
CONCLUSION: The focus of this study was to describe the modified surgical
technique of the caTIFI with placing the Schanz screws from the posterior
superior iliac spine to the anterior inferior iliac spine into the
supraacetabular bone canal. Usage of cannulated and perforated Schanz screws
gives the opportunity to control the correct position of the screws before
implanting them. Another advantage is that additional stability can be obtained
by cement augmentation. We believe that the new technique of the caTIFI provides
a greater intraoperative versatility and a greater mechanical stability for
fragility fractures of the pelvis.
PMID- 26542857
TI - Reconstruction of septic diaphyseal bone defects with the induced membrane
technique.
AB - Septic segmental bone voids of the diaphysis are difficult to manage. The induced
membrane technique by Masquelet has been successfully used to reconstruct
segmental defects more than 20 cm. Our article describes a series of 13 cases
with extensive posttraumatic bone loss of the metatarsal, tibial, femoral and
radial bones after septic injuries followed by multiple surgical interventions.
Antibiotic-impregnated polymethyl methacrylate (PMMA) cement spacers were
implanted after successful eradication of bacterial infections of soft tissue and
bones. After a mean of 9.8 weeks, body-induced membranes were established and the
cements spacers removed. To fill up the bone void, cancellous bone autografts
were implanted into the membranes. The follow-up examination after 24 months
revealed bony union in all cases and favorable functional results. The induced
membrane technique has shown to be effective in treating bone defects of upper
and lower extremity bone defects.
PMID- 26542858
TI - PMMA-augmented SI screw: a biomechanical analysis of stiffness and pull-out force
in a matched paired human cadaveric model.
AB - INTRODUCTION: Current literature data and clinical experience show that the
number of pelvic fractures continuously rises due to the increasing elderly
population. In the elderly with suspected osteoporosis additional implant
augmentation with bone cement seems to be an option to avoid secondary
displacement. There are no reported biomechanical data in the literature
comparing the fixation strength (and anchorage) of standard and augmented SI
screws so far. The purpose of this study was to assess the biomechanical
performance of cement-augmented versus non-augmented SI screws in a human
cadaveric pelvis model. MATERIAL AND METHODS: Six human cadaveric pelvises
preserved with the method of Thiel were used in this study. Each pelvis was split
to a pair of 2 hemi-pelvises, assigned to 2 different groups for instrumentation
with one non-augmented or one contralateral cement-augmented SI screw, placed in
the body of S1 in a randomized fashion. The osteosynthesis followed a standard
procedure with 3D controlled percutaneous iliosacral screw positioning. A
biomechanical setup for a quasistatic pullout test of each SI screw was used.
Construct stiffness and maximum pullout force were calculated from the load
displacement curve of the machine data. Statistical evaluation was performed at a
level of significance p = .05 for all statistical tests. RESULTS: Stiffness and
pullout force in the augmented group (501.6 N/mm +/- 123.7, 1336.8 N +/- 221.1)
were significantly higher than in the non-augmented one (289.7 N/mm +/- 97.1,
597.7 N +/- 115.5), p = .04 and p = .014, respectively. BMD influenced
significantly the pullout force in all study groups. CONCLUSION: Cement
augmentation significantly increased the fixation strength in iliosacral screw
osteosynthesis of the sacrum in a biomechanical human cadaveric model.
PMID- 26542859
TI - One year orthopaedic trauma experience using an advanced interdisciplinary hybrid
operating room.
AB - Hybrid operating rooms have been used successfully in several surgical
specialties, but no data have been published for orthopaedic trauma. We present
our one-year orthopaedic trauma experience using a hybrid operating room, which
incorporates 3D fluoroscopic imaging as well as navigation capabilities. Data
were compiled for a series of 92 cases performed in an advanced hybrid operating
room at the level one trauma center in Ulm, Germany. All patients who had surgery
performed using this operating room during the first year were included. Setup
time and surgical complications using hybrid operating room were recorded and
analysed. The hybrid operating room resulted in no higher rate of complication
than expected from the same cases in a conventional operating room. The hybrid
room did however allow the surgeon to confidently place implants for orthopaedic
trauma cases, and was most advantageous for spine and pelvis cases, both
minimally invasive and conventional. Further, appropriate reduction and implant
position was confirmed with 3D imaging prior to leaving the operating room and
obviated the need for postoperative CT scan. Based on our one-year experience,
the hybrid operating room is a useful and safe tool for orthopaedic trauma
surgery.
PMID- 26542860
TI - Decrease of morbidity in road traffic accidents in a high income country - an
analysis of 24,405 accidents in a 21 year period.
AB - BACKGROUND: The WHO initiated the "Decade of Action for Road Safety" because the
fatality on road traffic accidents could become the fifth leading cause of death
in 2030. On the contrary, fatalities continue to decrease in high income
countries. The aim of the study was to find evidence for changes in injury
severity of passenger car occupants after road traffic accidents in Germany over
time, and to find contributing factors. METHODS: Data from the German In Depth
Accident Study (GIDAS), representative for Germany, was used. A total of 24.405
accidents, reported from 1991 until 2011. 44.503 adult passenger car occupants
were examined. A multivariable logistic regression model was developed to find
reasons for observed trends over time. RESULTS: The relative decrease in
mortality was 68.8% from 1991 until 2011. Between 2006 and 2011, the percentage
of severely injured traffic victims was less than half, both in terms of the
whole body and individual body regions. For injuries with an Abbreviated Injury
Scale (AIS) >= 2, the percentage of persons with lower leg injuries declined by
72.5%, followed by the percentage of persons with pelvic injuries (61.5%), upper
extremity injuries (57.7%), head injuries (54.3%), thorax injuries (50.0%), and
abdomen injuries (40.0%). The multivariable regression model found 13 independent
variables associated with injury prevention (e.g. seat belt use: OR 0.41, CI 95%
0.32-0.49; airbag: OR 0.86, CI 95% 0.75-0.99). The implementation of protective
factors increased over time while accident constellations with a high probability
for severe injury decreased over time. CONCLUSION: The decrease of severe
injuries after road traffic accidents can be only attributed to a comprehensive
approach including the enforcement of road safety policies and innovations in car
engineering and emergency medicine. Traffic related measures and alcohol level
control, and seat belt usage enforcement next to other technical advances are
considered especially important.
PMID- 26542861
TI - The standardized creation of a lumbar spine vertebral compression fracture in a
sheep osteoporosis model induced by ovariectomy, corticosteroid therapy and
calcium/phosphorus/vitamin D-deficient diet.
AB - INTRODUCTION: Vertebral compression fractures (VCFs) are one of the most common
injuries in the aging population presenting with an annual incidence of 1.4
million new cases in Europe. Current treatment strategies focus on cement
associated solutions (kyphoplasty/vertebroplasty techniques). Specific cement
associated problems as leakage, embolism and the adjacent fracture disease are
reported adding to open questions like general fracture healing properties of the
osteoporotic spine. In order to analyze those queries animal models are of great
interest; however, both technical difficulties in the induction of experimental
osteoporosis in animal as well as the lack of a standardized fracture model
impede current and future in vivo studies. This study introduces a standardized
animal model of an osteoporotic VCF type A3.1 that may enable further in-depth
analysis of the afore mentioned topics. MATERIAL AND METHODS: Twenty-four 5-year
old female Merino sheep (mean body weight: 67 kg; range 57-79) were
ovariectomized (OP1) and underwent 5.5 months of weekly corticosteroid injections
(dexamethasone and dexamethasone-sodium-phosphate), adding to a
calcium/phosphorus/vitamin D-deficient diet. Osteoporosis induction was
documented by pQCT and micro-CT BMD (bone mineral density) as well as 3D
histomorphometric analysis postoperatively of the sheep distal radius and spine.
Non osteoporotic sheep served as controls. Induction of a VCF of the second
lumbar vertebra was performed via a mini-lumbotomy surgical approach with a
standardized manual compression mode (OP2). RESULTS: PQCT analysis revealed
osteoporosis of the distal radius with significantly reduced BMD values (0.19
g/cm(3), range 0.13-0.22 vs. 0.27 g/cm(3), range 0.23-0.32). Micro-CT documented
significant lowering of BMD values for the second lumbar vertebrae (0.11 g/cm(3),
range 0.10-0.12) in comparison to the control group (0.14 g/cm(3), range 0.12
0.17). An incomplete burst fracture type A3.1 was achieved in all cases and
resulted in a significant decrease in body angle and vertebral height (KA 4.9
degrees , range: 2-12; SI 4.5%, range: 2-12). With OP1, one minor complication
(lesion of small bowel) occurred, while no complications occurred with OP2.
CONCLUSIONS: A suitable spinal fracture model for creation of VCFs in
osteoporotic sheep was developed. The technique may promote the development of
improved surgical solutions for VCF treatment in the experimental and clinical
setting.
PMID- 26542863
TI - Semi-rigid screws provide an auxiliary option to plate working length to control
interfragmentary movement in locking plate fixation at the distal femur.
AB - BACKGROUND: Extent and orientation of interfragmentary movement (IFM) are
crucially affecting course and quality of fracture healing. The effect of
different configurations for implant fixation on successful fracture healing
remain unclear. We hypothesize that screw type and configuration of locking plate
fixation profoundly influences stiffness and IFM for a given load in a distal
femur fracture model. METHODS: Simple analytical models are presented to
elucidate the influence of fixation configuration on construct stiffness. Models
were refined with a consistent single-patient-data-set to create finite-element
femur models. Locking plate fixation of a distal femoral 10mm-osteotomy
(comminution model) was fitted with rigid locking screws (rLS) or semi-rigid
locking screws (sLS). Systematic variations of screw placements in the proximal
fragment were tested. IFM was quantitatively assessed and compared for different
screw placements and screw types. RESULTS: Different screw allocations
significantly affect IFM in a locking plate construct. LS placement of the first
screw proximal to the fracture (plate working length, PWL) has a significant
effect on axial IFM (p < 0.001). Replacing rLS with sLS caused an increase (p <
0.001) of IFM under the plate (cis-cortex) between +8.4% and +28.1% for the
tested configurations but remained constant medially (<1.1%, trans-cortex).
Resultant shear movements markedly increased at fracture level (p < 0.001) to the
extent that plate working length increased. The ratio of shear/axial IFM was
found to enhance for longer PWL. sLS versus rLS lead to significantly smaller
ratios of shear/axial IFM at the cis-cortex for PWL of >= 62 mm (p <= 0.003).
CONCLUSION: Mechanical frame conditions can be significantly influenced by type
and placement of the screws in locking plate osteosynthesis of the distal femur.
By varying plate working length stiffness and IFM are modulated. Moderate axial
and concomitantly low shear IFM could not be achieved through changes in screw
placement alone. In the present transverse osteotomy model, ratio of shear/axial
IFM with simultaneous moderate axial IFM is optimized by the use of appropriate
plate working length of about 42-62 mm. Fixation with sLS demonstrated
significantly more axial IFM underneath the plate and may further contribute to
compensation of asymmetric straining.
PMID- 26542862
TI - Defect type, localization and marker gene expression determines early adverse
events of matrix-associated autologous chondrocyte implantation.
AB - INTRODUCTION: Since the first description of autologous chondrocyte implantation
(ACI) in 1994 different methods and improvements were established for this
regenerative treatment option of large chondral defects. This study analyzes
safety and short-term clinical results from characterized ACI using a collagen
based biphasic scaffold and evaluates prognostic factors. METHODS: 433 patients
with a mean age of 33.4 years and localized grade III to IV cartilage defects
(ICRS classification) in the knee or ankle were included. Mean defect size was
5.9 cm(2). Prior seeding of the scaffold, expanded chondrocytes were
characterized by RT-PCR on 6 different marker genes (type I and II collagen,
aggrecan, interleukin-1 beta (IL-1beta), vascular endothelial growth factor
receptor 1 (FLT-1) and bone sialoprotein-2 (BSP-2)). Clinical outcome was
evaluated using a questionnaire for defect history, basic demographics, time
elapsed from surgery, 10-point outcome assessments of pain, function and
swelling. Moreover, adverse events (AEs) or subsequent treatments were recorded
and analysed. RESULTS: Patients improved significantly over baseline (p < 0.0001)
in pain, function and swelling. Subjects with later than 12 months follow-up
reported nominally greater mean changes. Graft failure incidence was 6% for
patients with greater than one year follow-up. Graft-related complications were
significantly higher for patellar (p < 0.0001) and degenerative defects (p =
0.005). Elevated expression of FLT-1 (p = 0.02) or IL-1 beta mRNA (p = 0.03) was
associated with graft-related AEs. A borderline association was found for low
collagen type II expression (p = 0.08). CONCLUSION: Early graft-related AEs after
ACI with a biphasic collagen scaffold are related to defect type, location and
marker gene expression. The levels of significance observed for gene expression
with respect to graft-related AEs were subordinate to those identified in the
analysis of lesion history and location.
PMID- 26542864
TI - The role of angio-embolization in the acute treatment concept of severe pelvic
ring injuries.
AB - BACKGROUND: In recent years a wide variety of strategies to treat the
haemodynamically unstable patient with pelvic ring fractures have been proposed.
This study evaluates our institutional management of patients with severe pelvic
fractures and analyses their outcomes. METHODS: Retrospective review of all
severely injured trauma patients with pelvic ring injuries admitted to a level I
trauma centre from 2007 to 2012. Patient records were documented prospectively in
a trauma database and evaluation was performed by SPSS. RESULTS: During the study
period, a total of 173 patients with pelvic ring fractures were admitted and
formed the basis of this study. Overall, 46% of the patients had suffered a type
A fracture, 25% a type B fracture and the remaining 29% a type C pelvic ring
fracture. Surgical treatment was required in 21% of the patients (pelvic C-clamp,
n = 6; supra-acetabular external fixator, n = 32; pelvic packing, n = 12;
definitive plate osteosynthesis of the pubis symphysis, n = 6). Angio
embolization was performed in 16 patients (9%); in 8 patients it was the only
specific treatment for the pelvic injury on day 0 and in 8 patients it was
performed immediately post-operatively. The overall mortality rate was 12.7% (n =
22), with the type C pelvic fractures having the highest mortality (30.0%). Four
patients died immediately after admission in the shock room. CONCLUSIONS:
Angiographic embolization as a first-line treatment was only performed in
haemodynamically stable patients or in patients responding to fluid resuscitation
with the finding of an arterial blush in the CT scan. In haemodynamically
unstable patients, pre-peritoneal pelvic packing in combination with mechanical
pelvic stabilization was immediately carried out, followed by angio-embolization
post-operatively if signs of persistent bleeding remained present.
PMID- 26542865
TI - Treatment of atrophic tibia non-unions according to 'diamond concept': Results of
one- and two-step treatment.
AB - BACKGROUND: The successful treatment of atrophic tibia non-unions and tibia non
unions with large bone defects or infections is a major challenge in orthopedic
and trauma surgery. This article evaluates the use of the 'diamond concept' using
a one-step or two-step procedure according to 'Masquelet technique' in the
treatment of atrophic tibia non-unions. METHODS: Between February 2010 and March
2014, 102 patients with atrophic non-unions were treated according to the
'diamond concept' in our center. Ninety-nine were available for follow-up. Forty
nine received a one-step treatment (Group 1, G1) and 50 patients received a two
step treatment according to the 'Masquelet technique' (Group 2, G2). Clinical and
radiological parameters were measured preoperatively as well as 4, 6, and 12
weeks and 6 and 12 months postoperatively. In order to evaluate the subjective
health of patients, we used the SF-12 questionnaire. Data analysis was performed
one year after treatment. RESULTS: The rate of consolidation in G1 was 84% and
80% in G2. The time to heal in G2 was 8.6 +/- 2.9 months, which is significantly
longer than in G1 being 6.9 +/- 3.1 months. In comparison patients in G1/G2 had
an average of 3.2/6.7 previous major surgeries. In G1, 4 of 8 patients who did
not heal successfully showed positive intraoperative cultures. In G2, 26 patients
(52%) initially presented with positive cultures. The results of the SF-12
questionnaire improved in both groups during the postoperative follow-up, but
showed no significant differences between groups. In 29 patients a gentamycin
coated nail was used for reosteosynthesis. These patients showed by trend a lower
rate of complications at a higher rate of consolidation. CONCLUSIONS: Our study
showed that the 'diamond concept' is a suitable method for safely and effectively
treating non-unions with large defects or infections. The use of an antibiotic
coated nail provides a therapeutic benefit. For large bone defects of infected
non-unions the two-step procedure after Masquelet is an efficient way to
eradicate the infection and treat the bone defect successfully.
PMID- 26542866
TI - Analysis of 213 currently used rehabilitation protocols in foot and ankle
fractures.
AB - INTRODUCTION: Fractures of the ankle, hind- and midfoot are amongst the five most
common fractures. Besides initial operative or non-operative treatment,
rehabilitation of the patients plays a crucial role for fracture union and long
term functional outcome. Limited evidence is available with regard to what a
rehabilitation regimen should include and what guidelines should be in place for
the initial clinical course of these patients. This study therefore investigated
the current rehabilitation concepts after fractures of the ankle, hind- and
midfoot. METHODS: Written rehabilitation protocols provided by orthopedic and
trauma surgery institutions in terms of recommendations for weight bearing, range
of motion (ROM), physiotherapy and choice of orthosis were screened and analysed.
All protocols for lateral ankle fractures type AO 44A1, AO 44B1 and AO 44C1, for
calcaneal fractures and fractures of the metatarsal as well as other not specific
were included. Descriptive analysis was carried out and statistical analysis
applied where appropriate. RESULTS: 209 rehabilitation protocols for ankle
fractures type AO 44B1 and AO 44C1, 98 for AO 44A1, 193 for metatarsal fractures,
142 for calcaneal fractures, 107 for 5(th) metatarsal base fractures and 70 for
5(th) metatarsal Jones fractures were evaluated. The mean time recommended for
orthosis treatment was 6.04 (SD 0.04) weeks. While the majority of protocols
showed a trend towards increased weight bearing and increased ROM over time, the
best consensus was noted for weight bearing recommendations. CONCLUSION: Our
study shows that there exists a huge variability in rehabilitation of fractures
of the ankle-, hind- and midfoot. This may be contributed to a lack of consensus
(e.g. missing publication of guidelines), individualized patient care (e.g. in
fragility fractures) or lack of specialization. This study might serve as basis
for prospective randomized controlled trials in order to optimize rehabilitation
for these common fractures.
PMID- 26542867
TI - Timing of surgery for open reduction and internal fixation of displaced proximal
humeral fractures.
AB - BACKGROUND: Open reduction and internal fixation is one established method for
treatment of displaced fractures of the proximal humerus. However, the timing of
surgery and its effect on complications have not yet been investigated in the
literature. Hence, aim of this study was to analyze the occurrence of
complication following locked plating of proximal humeral fractures when surgery
was delayed in comparison to early intervention. METHODS: Between February 2002
and November 2010, 497 patients with displaced proximal humeral fractures were
treated by open reduction and locked plating. 329 patients were available for
follow-up with a minimum of 12 months after surgery. Outcome analysis included
radiographic evidence of loss of fixation (> 10 degrees of secondary
displacement), screw-cutout and avascular head necrosis. Outcomes were analyzed
with regards to age, gender and fracture pattern and were compared between time
intervals in which the primary surgery had been conducted; early intervention (<
48 h), timely scheduled for surgery (3-5 days) and delayed intervention (>5
days). RESULTS: Of 329 patients (68.4% women; median age at time of surgery: 69.9
years, 95% Confidence Interval (CI) 68.2, 71.2) the median time between fracture
incident and surgical intervention was 3.2 days (95%CI: 3.1, 3.3). Surgery was
performed in a 2-part fracture at a median of 3.3 days (95%CI: 3.2, 3.4) after
trauma, in a 3-part fracture after 3.3 days (95%CI: 3.1, 3.4), in a 4-part
fracture 2.9 days (95%CI: 2.8, 3.0), in head split type fracture 2.2 days (95%CI:
2.0, 2.4) and in dislocation type fracture 0.8 days after trauma (95%CI: 0.7,
0.9, p = 0.40). Loss of fixation was observed in 12.8% (n = 42 patients), of
which in 4.9% (n = 16) screw cutout was evident and in 6.8% of cases (n = 20)
avascular head necrosis was diagnosed. Patients in which complication was
observed were treated at median 2.5 days after trauma (95% CI, 1.8, 3.2), in
comparison, patients without evidence of complications were treated at a median
of 3.2 days (95% CI, 2.8-3.8, p = 0.35). The odds ratio regarding occurrence of
complications for patients treated <48 hours was 0,924, for patients in which
surgery was performed 3-5 days after the incident the odds ratio was 0,836 and in
patients treated > 5 days the odds ratio was 1,637. CONCLUSIONS: Loss of fixation
following open reduction and internal fixation of proximal humeral fractures was
not more frequently observed when surgery was performed 3-5 days after the
incident in comparison to early intervention (< 48 h). However, a delay of
intervention > 5 days is related to significant increase of complications. Thus,
if open reduction and internal fixation is indicated, reconstruction of the
proximal humerus should be performed within 5 days of the fracture event. In head
split and dislocated fracture types anatomic reconstruction completed within 48 h
from the incident may be beneficial with regards to risk of avascular necrosis.
PMID- 26542868
TI - Reduction and retention of thoracolumbar fractures by minimally invasive
stabilisation versus open posterior instrumentation.
AB - PURPOSE: The purpose of this study was in thoracolumbar fractures to assess the
effectiveness of minimal invasive stabilisation compared to the open technique
with regards to the change in kyphosis angle, the loss of reduction and length of
hospital stay. METHODS: The retrospective study consisted of 104 patients who
received minimally invasive stabilisation or open stabilisation. Patients were
between 15 and 86 years of age, had a thoracolumbar fracture and no neurological
deficits. Kyphotic angle (Cobb angle) and loss of reduction was compared after
minimal invasive and open stabilisation. The Cobb angle was evaluated directly
post operatively, at 6 weeks, 3 months, 6 months and 12 months after surgery.
RESULTS: Evaluated patients who received the minimally invasive technique had a
shorter surgical intervention time and a shorter hospital stay compared to
patients who received the open technique. Kyphosis angle and loss of reduction
showed no significant difference compared to open technique. There was also no
significant difference between minimally invasive poly-axial and mono-axial
stabilisation. CONCLUSION: In this study we provide evidence that MIS
instrumentation in selected thoracolumbar fractures can effectively be used
without significant differences in loss of reduction compared to open
stabilisation. MIS can also sufficiently retain reduction as compared to
traditional open techniques. The main advantages are reduced operation time and
shorter hospital stay.
PMID- 26542869
TI - A novel electromagnetic navigation tool for acetabular surgery.
AB - BACKGROUND: Acetabular fracture surgery is demanding and screw placement along
narrow bony corridors remains challenging. It necessitates x-ray radiation for
fluoroscopically assisted screw insertion. The purpose of this cadaver study was
to evaluate the feasibility, accuracy and operation time of a novel
electromagnetic navigation system for screw insertion along predefined acetabular
corridors. METHODS: A controlled laboratory study with a total of 24
electromagnetically navigated screw insertions was performed on 8 cadaveric
acetabula. 3 peri-acetabular bony corridors (QSS, Quadrilateral Surface Screw;
IAS, Infra-Acetabular Screw; PCS, Posterior Column Screw) were defined and screws
were placed in a defined order without fluoroscopy. Operation time was
documented. Postoperative CT scans were performed to analyse accuracy of screw
placement. RESULTS: Mean cadaver age was 70.4 +/- 11.7. Successful screw
placement was accomplished in 22 out of 24 (91.7%) cases. The overall mean time
for all 3 acetabular screws was 576.6 +/- 75.9s. All 3 complications occurred
during the placement of the IAS due to an impassable narrow bony corridor. QSS
mean length was 50 +/- 5mm, IAS mean length was 85 +/- 10mm and PCS mean length
was 120 +/- 5mm. CONCLUSION: In this cadaver study the novel electromagnetic
navigation system was feasible to allow accurate screw placement without
fluoroscopy in defined narrow peri-acetabular bony corridors.
PMID- 26542870
TI - Spinal fracture reduction with a minimal-invasive transpedicular Schanz Screw
system: clinical and radiological one-year follow-up.
AB - INTRODUCTION: Surgical management of thoracolumbar trauma involves correction of
posttraumatic deformity and placement of transpedicular instrumentation. The aim
of this prospective cohort study was to generate first results reflecting the
clinical and radiological outcome of patients treated with percutaneous dorsal
instrumentation for fractures of the thoracic and lumbar spine with the use of a
transpedicular new Schanz Screw system (USS Fracture MIS, DePuy Synthes).
METHODS: A total of 26 patients with fractures of the thoracic and lumbar spine
were operatively treated with bi-segmental dorsal instrumentation between January
and December 2012. Radiological data acquisition was performed pre- and
postoperatively, after six weeks, three, six, and twelve months. The radiological
parameter of interest was the bi-segmental kyphotic end plate angle (Cobb angle).
The Chronic Disability Index (CDI), the Oswestry Disability Index (ODI), and the
Spine Tango Core Outcome Measurement Index (COMI) were applied to investigate the
clinical outcome. RESULTS: The clinical follow-up was completed by 22 patients
(84.6%), and the radiological follow-up by 21 (80.8%) patients. Our patient
population had a mean age of 47.4 +/- 4.1 years. Twelve patients received dorsal
instrumentation, and 14 patients were treated with an additional ventral
reconstruction. Intraoperative reduction was 11.5 +/- 1.5 degrees among all
patients (p < 0.01). A considerable amount of the operative correction was lost
after six weeks with a loss of reduction of 4.6 +/- 1.4 degrees (p < 0.01). At
one year follow-up, the measured loss of reduction was significant in comparison
to the postoperative state, 6.9 +/- 1.3 degrees among all patients, 8.7 +/- 2.1
degrees after dorsal and 4.9 +/- 1.1 degrees after dorsoventral stabilisation
(all p < 0.01). Moreover, all patients had minimal to moderate disability with a
CDI of 1.8 +/- 0.4 (0 - 7), and an ODI of 15.6 +/- 3.6 (0 - 60). CONCLUSION: The
new transpedicular Schanz screw system can deliver a correction and stabilization
of thoracic and lumbar spine fractures. Patients report minimal to moderate
disability as a result of their severe injury one year after trauma. We advocate
the use of the transpedicular Schanz screw system to correct posttraumatic
kyphotic deformity, with secondary anterior fusion in our treatment strategy of
thoracolumbar incomplete burst fractures in patients without a neurologic
deficit.
PMID- 26542871
TI - A novel locking screw hip stem to achieve immediate stability in total hip
arthroplasty: A biomechanical study.
AB - INTRODUCTION: As total hip arthroplasty is now applicable for younger, healthier,
and more active patients, bone preservation becomes even more essential, and
proximal fixation, resulting in less stress shielding, draws special attention
with focus on new strategies and implant designs. Recently, a new type of non
cemented fixation of the femoral component, featured with the locking screw hip
(LSH) stem, was developed by Scyon Orthopaedics AG (Au-Waedenswil, Switzerland).
The idea to rigidly fix the femoral component of a prosthesis for total hip
replacement in this fashion evolved from the very good results achieved with the
internal point-contact fixator PC-Fix. The purpose of this study was to
investigate the unique characteristics of the LSH-stem and to assess its
biomechanical performance in comparison to a conventional cemented prosthesis
(Mueller straight stem). MATERIAL AND METHODS: Six paired human cadaveric femora
were preserved with the method of Thiel, split in two study groups, implanted
with either cemented Mueller straight stem or LSH-stem prostheses and
biomechanically tested under progressively increasing axial loading until
catastrophic failure. Bone mineral density (BMD) of all femora was evaluated in
the femoral head prior to implantation. Axial construct stiffness, failure load
and cycles to failure were calculated from the machine data and statistically
evaluated at a level of significance p = 0.05. RESULTS: No statistically
significant difference between the LSH-stem and the Mueller straight stem was
found in terms of axial construct stiffness (2031.5 N/mm +/- 483.1 N/mm and
2403.6 N/mm +/- 705.2 N/mm, p = 0.115), failure load (4958.8 N +/- 1094.1 N and
5907.2 N +/- 1562.8 N, p = 0.138) and cycles to failure (7917.7 +/- 2188.1 and
9814.3 +/- 3125.6, p = 0.138). BMD showed no significant difference between the
two study groups, p = 0.616. CONCLUSION: The LSH-stem seems to be stable enough
to carry loads experienced during the rehabilitation period of a patient after
THR. Its stability, which is similar to that of the Mueller straight stem, may
justify the clinical application of the LSH-stem under thorough investigation.
PMID- 26542873
TI - Development of a scoring system based on conventional parameters to assess
polytrauma patients: PolyTrauma Grading Score (PTGS).
AB - BACKGROUND: The impact of conventional laboratory data to identify polytrauma
patients at risk of complications is established. However, it has not been
assessed in terms of prognostic accuracy for systemic complications (ARDS, organ
failure). We therefore assessed the most predictive parameters for systemic
complications and developed a scoring system for early grading of polytrauma
patients. METHODS: A population based trauma registry was used. INCLUSION
CRITERIA: age >16 years, Abbreviated Injury Score (AIS) of the abdomen or chest
>= 3 points and treatment in an intensive care unit, or Injury Severity Score
(ISS) >= 16 points. The primary endpoint was hospital mortality. Patients were
graded according their risk of death: low risk of death (5-14% mortality),
intermediate risk patients (15-39% mortality) and high risk (>40%). Routine
clinical and laboratory parameters on admission were assessed to determine their
specific relevance to describe the risk profile of the patient. Based on these
data, a scoring system for the description of the clinical status was developed.
Statistical analysis included uniand multivariate analysis. RESULTS: 11.436
patients were included, the mean ISS was 22.7 +/- 11.2 points, 73% were male, and
95.6% had blunt injuries. The most sensitive parameters were found to be the
following ones: systolic blood pressure, INR, thrombocytes, base deficit, NISS,
packed red blood cells administered. The multivariate analysis revealed the
following threshold levels: BP 76-90 mmHg: r = 0.249, OR 1.283: Base deficit 8-10
r = 0.474, OR 1.606; INR 1.4-2 r = 0.160, OR 1.174; NISS 35-39 r = 0.9, OR 2.46;
pBRC 3-14: r = 0.671, OR 1.957. The following ranges of score values were found
to be associated with different patient status: <6 points: stable patients; 6-11
points: borderline condition; >11 points: unstable patients. When using this
score, 80.6% were stable, 14.6% in a borderline condition and 4.8% unstable.
CONCLUSION: We developed a scoring system to discriminate polytrauma patients on
admission that are at risk of systemic complications. Systolic blood pressure,
INR, thrombocytes, base deficit, NISS, packed red blood cells administered are
able to provide a prognosis of patients at risk of posttraumatic complications.
Further prospective studies should be performed to verify this new scoring
system.
PMID- 26542872
TI - Femoral offset following trochanteric femoral fractures: a prospective
observational study.
AB - BACKGROUND: Reconstruction of the femoral offset reportedly improves outcome
following total hip arthroplasty, but little is known of its influence following
hip fractures. We aimed to establish the effect of the femoral offset on the
medium-term functional outcome in elderly patients who had sustained trochanteric
fractures requiring proximal femoral nailing. PATIENTS AND METHODS: We measured
the rotation corrected femoral offset (FORC) and relative femoral offset (FORL)
on plain anteroposterior radiographs of the hip in 188 patients (58 male, 130
female) with a trochanteric fracture who underwent proximal femoral nailing at
our institution. The primary outcome measure was the Harris hip score (HSS) 6 and
12 months postoperatively; the Barthel index was assessed as a secondary outcome.
RESULTS: The mean FORC after surgery was 58 mm (+/-11 mm), while the mean FORL
was 1.21 (+/-0.22). At final follow up, we found significant inverse
relationships (Spearman's rank correlation coefficient, rho) between FORC and
FORL and the functional outcome assessed by the HSS (FORC: rho = -0.207, p =
0.036; FORL: rho = -0.247, p = 0.012), and FORL and the Barthel index (FORC: rho
= -147, p = 0.129; FORL: rho = -0.192, p = 0.046). A consistent trend was
observed after adjustment for confounding variables. CONCLUSIONS: Our results
underline the biomechanical importance of the femoral offset for medium-term
outcomes in elderly patients with trochanteric fractures. In contrast with the
published findings on total hip arthroplasty, we found an inverse correlation
between functional outcome and the extent of the reconstructed femoral offset.
LEVEL OF EVIDENCE: Level I - Prognostic study.
PMID- 26542874
TI - Treatment of distal intraarticular tibial fractures: A biomechanical evaluation
of intramedullary nailing vs. angle-stable plate osteosynthesis.
AB - In factures of the distal tibia with simple articular extension, the optimal
surgical treatment remains debatable. In clinical practice, minimally invasive
plate osteosynthesis and intramedullary nailing are both routinely performed.
Comparative biomechanical studies of different types of osteosynthesis of
intraarticular distal tibial fractures are missing due to the lack of an
established model. The goal of this study was first to establish a biomechanical
model and second to investigate, which are the biomechanical advantages of angle
stable plate osteosynthesis and intramedullary nailing of distal intraarticular
tibial fractures. Seven 4(th) generation biomechanical composite tibiae featuring
an AO 43-C2 type fracture were implanted with either osteosynthesis technique.
After primary lag screw fixation, 4-hole Medial Distal Tibial Plate (MDTP) with
triple proximal and quadruple distal screws or intramedullary nailing with double
proximal and triple 4.0mm distal interlocking were implanted. The stiffness of
the implant-bone constructs and interfragmentary movement were measured under non
destructive axial compression (350 and 600 N) and torsion (1.5 and 3Nm).
Destructive axial compression testing was conducted with a maximal load of up to
1,200 N. No overall superior biomechanical results can be proclaimed for either
implant type. Intramedullary nailing displays statistically superior results for
axial loading in comparison to the MDTP. Torsional loading resulted in non
statistically significant differences for the two-implant types with higher
stability in the MDTP group. From a biomechanical view, the load sharing
intramedullary nail might be more forgiving and allow for earlier weight bearing
in patients with limited compliance.
PMID- 26542875
TI - Inhaled budesonide in bronchopulmonary dysplasia.
PMID- 26542876
TI - AstraZeneca halts two lung cancer drug trials.
PMID- 26542877
TI - Respiratory transition in the newborn: a three-phase process.
AB - We propose that the respiratory transition at birth passes through three
distinct, but overlapping phases, which reflect different physiological states of
the lung. Accordingly, respiratory support given to infants should be optimised
to suit the underlying physiological state of the lung as it passes through each
phase. During the first phase, the airways are liquid-filled and so no pulmonary
gas exchange can occur. Respiratory support should, therefore, be focused on
clearing the gas exchange regions of liquid. In the absence of gas exchange,
little or no CO2will accumulate within the airways and, therefore, interrupting
inflation pressures to allow the lung to deflate and exhale CO2is unnecessary.
This is the primary rationale for administering a sustained inflation at birth.
During the second phase, the gas exchange regions are mostly cleared of liquid,
allowing pulmonary gas exchange to commence. However, the liquid cleared from the
airways resides within the tissue during this phase, which increases perialveolar
interstitial tissue pressures and the risk of liquid re-entry back into the
airways. As a result, respiratory support should be optimised to minimise
alveolar re-flooding during expiration, which can be achieved by applying an end
expiratory pressure. The third and final phase occurs when the liquid is
eventually cleared from lung tissue. Although gas exchange may be restricted by
lung immaturity, injury and inflammation during this phase, considerations of how
fetal lung liquid can adversely affect lung function are no longer relevant.
PMID- 26542878
TI - End of life decisions for newborns: an ethical and compassionate process?
PMID- 26542879
TI - Pharmacogenomics of preterm birth prevention and treatment.
AB - Pharmacogenomics and personalised medicine incorporate genetic factors,
historical data, and environmental exposures to predict individual variation in
response to medications. The study of pharmacology and pharmacogenomics is
challenging in obstetrics, and our knowledge in this area lags behind other
disciplines of medicine. Some preliminary data, however, suggest that some of the
interindividual variation seen in response to medications given for the
prevention (progesterone) and the treatment (nifedipine, terbutaline, and others)
of preterm labour may be caused by pharmacogenomic effects. A comprehensive
approach, integrating clinical data, environmental factors, including concomitant
medications and genotype, to optimise the prevention and treatment strategies for
preterm birth, is urgently needed. TWEETABLE ABSTRACT: Some of the variation to
meds for prematurity prevention/treatment may arise from pharmacogenomic effects.
PMID- 26542880
TI - The mediating role of cardiovascular risk factors in the relationship between
symptoms of apathy and incident cardiovascular disease in community-dwelling
older individuals.
AB - BACKGROUND: In old age, both apathy and depression have been associated with an
increased cardiovascular disease (CVD) risk. This study evaluated the mediating
role of cardiovascular risk factors in the relationship of apathy and mood
symptoms with incident CVD. METHODS: Prospective cohort study of 1,790 community
dwelling older individuals (70-78 years) without a history of CVD or stroke. At
baseline, apathy and mood symptoms were assessed with the 15-item Geriatric
Depression Scale (GDS-15), of which three items represent apathy symptoms. The
mediational risk factors included were diabetes mellitus (DM), body mass index
(BMI), current smoking, physical inactivity, systolic blood pressure, and total
cholesterol. Incident CVD was evaluated after two years of follow-up. Data were
analyzed using structural equation modeling (SEM). RESULTS: Incident CVD occurred
in 59 (3.3%) participants. Apathy symptoms had a significant estimated total
effect on incident CVD, with increases of 2.2% for each unit increase in apathy
score. Of this total effect, 22.7% was due to the mediational effects of physical
inactivity (13.6%), current smoking (4.5%), and DM (4.5%). The remaining 77.3%
was due to direct effects reflecting other mediational dynamics. No significant
(in)direct effects of mood symptoms on incident CVD were found. CONCLUSIONS:
Physical inactivity, smoking, and DM account for nearly one-fourth of the
variation reflecting the link between apathy symptoms and incident CVD. This
illustrates the relevance of unfavorable health behaviors and assessment of DM in
older individuals with apathy. The majority of the effect of apathy symptoms on
incident CVD is caused by other, yet unknown, factors.
PMID- 26542882
TI - Extreme Sensitivity of Room-Temperature Photoelectric Effect for Terahertz
Detection.
AB - Extreme sensitivity of room-temperature photoelectric effect for terahertz (THz)
detection is demonstrated by generating extra carriers in an electromagnetic
induced well located at the semiconductor, using a wrapped metal-semiconductor
metal configuration. The excellent performance achieved with THz detectors shows
great potential to open avenues for THz detection.
PMID- 26542881
TI - Highly efficient radiosensitization of human glioblastoma and lung cancer cells
by a G-quadruplex DNA binding compound.
AB - Telomeres are nucleoprotein structures at the end of chromosomes which stabilize
and protect them from nucleotidic degradation and end-to-end fusions. The G-rich
telomeric single-stranded DNA overhang can adopt a four-stranded G-quadruplex DNA
structure (G4). Stabilization of the G4 structure by binding of small molecule
ligands enhances radiosensitivity of tumor cells, and this combined treatment
represents a novel anticancer approach. We studied the effect of the platinum
derived G4-ligand, Pt-ctpy, in association with radiation on human glioblastoma
(SF763 and SF767) and non-small cell lung cancer (A549 and H1299) cells in vitro
and in vivo. Treatments with submicromolar concentrations of Pt-ctpy inhibited
tumor proliferation in vitro with cell cycle alterations and induction of
apoptosis. Non-toxic concentrations of the ligand were then combined with
ionizing radiation. Pt-ctpy radiosensitized all cell lines with dose-enhancement
factors between 1.32 and 1.77. The combined treatment led to increased DNA
breaks. Furthermore, a significant radiosensitizing effect of Pt-ctpy in mice
xenografted with glioblastoma SF763 cells was shown by delayed tumor growth and
improved survival. Pt-ctpy can act in synergy with radiation for efficient
killing of cancer cells at concentrations at which it has no obvious toxicity per
se, opening perspectives for future therapeutic applications.
PMID- 26542883
TI - Different wound healing properties of dermis, adipose, and gingiva mesenchymal
stromal cells.
AB - Oral wounds heal faster and with better scar quality than skin wounds. Deep skin
wounds where adipose tissue is exposed, have a greater risk of forming
hypertrophic scars. Differences in wound healing and final scar quality might be
related to differences in mesenchymal stromal cells (MSC) and their ability to
respond to intrinsic (autocrine) and extrinsic signals, such as human salivary
histatin, epidermal growth factor, and transforming growth factor beta1. Dermis-,
adipose-, and gingiva-derived MSC were compared for their regenerative potential
with regards to proliferation, migration, and matrix contraction. Proliferation
was assessed by cell counting and migration using a scratch wound assay. Matrix
contraction and alpha smooth muscle actin was assessed in MSC populated collagen
gels, and also in skin and gingival full thickness tissue engineered equivalents
(reconstructed epithelium on MSC populated matrix). Compared to skin-derived MSC,
gingiva MSC showed greater proliferation and migration capacity, and less matrix
contraction in full thickness tissue equivalents, which may partly explain the
superior oral wound healing. Epidermal keratinocytes were required for enhanced
adipose MSC matrix contraction and alpha smooth muscle actin expression, and may
therefore contribute to adverse scarring in deep cutaneous wounds. Histatin
enhanced migration without influencing proliferation or matrix contraction in all
three MSC, indicating that salivary peptides may have a beneficial effect on
wound closure in general. Transforming growth factor beta1 enhanced contraction
and alpha smooth muscle actin expression in all three MSC types when incorporated
into collagen gels. Understanding the mechanisms responsible for the superior
oral wound healing will aid us to develop advanced strategies for optimal skin
regeneration, wound healing and scar formation.
PMID- 26542884
TI - Exciton and Trion Dynamics in Bilayer MoS2.
AB - The control of exciton and triondynamics in bilayer MoS2 is demonstrated, via the
comodulations by both temperature and electric field. The calculations here show
that the band structure of bilayer MoS2 changes from indirect at room temperature
toward direct nature as temperature decreases, which enables the electrical
tunability of the K-K direct PL transition in bilayer MoS2 at low temperature.
PMID- 26542885
TI - Metals and neurodegeneration.
PMID- 26542886
TI - Vascular Endothelial Growth Factor A Regulates the Secretion of Different
Angiogenic Factors in Lung Cancer Cells.
AB - Vascular endothelial growth factor A (VEGFA) is one of the main mediators of
angiogenesis in non-small cell lung cancer (NSCLC). Recently, it has been
described an autocrine feed-forward loop in NSCLC cells in which tumor-derived
VEGFA promoted the secretion of VEGFA itself, amplifying the proangiogenic
signal. In order to investigate the role of VEGFA in lung cancer progression, we
assessed the effects of recombinant VEGFA on proliferation, migration, and
secretion of other angiogenic factors in A549, H1975, and HCC827 NSCLC cell
lines. We found that VEGFA did not affect NSCLC cell proliferation and migration.
On the other hand, we demonstrated that VEGFA not only produced a strong and
persistent increase of VEGFA itself but also significantly induced the secretion
of a variety of angiogenic factors, including follistatin (FST), hepatocyte
growth factor (HGF), angiopoietin-2 (ANGPT2), granulocyte-colony stimulating
factor (G-CSF), interleukin (IL)-8, leptin (LEP), platelet/endothelial cell
adhesion molecule 1 (PECAM-1), and platelet-derived growth factor bb (PDGF-BB).
PI3K/AKT, RAS/ERK, and STAT3 signalling pathways were found to mediate the
effects of VEGFA in NSCLC cell lines. We also observed that VEGFA regulation
mainly occurred at post-transcriptional level and that NSCLC cells expressed
different isoforms of VEGFA. Collectively, our data suggested that VEGFA
contributes to lung cancer progression by inducing a network of angiogenic
factors, which might offer potential for therapeutic intervention.
PMID- 26542887
TI - Intravenous Administration of Simvastatin Improves Cognitive Outcome following
Severe Traumatic Brain Injury in Rats.
AB - Simvastatin is a 3-hydroxy-3-methylglutaryl coenzyme A reductase inhibitor
commonly used to reduce serum cholesterol. The beneficial effects of oral
simvastatin have been reported in pre-clinical models of traumatic brain injury
(TBI). The current study was designed to evaluate the potential beneficial
effects of simvastatin in a model of severe penetrating TBI using an intravenous
(IV) route of administration. Rats were subjected to unilateral frontal
penetrating ballistic-like brain injury (PBBI), and simvastatin was delivered
intravenously at 30 min and 6 h post-injury and continued once daily for either 4
or 10 days post-PBBI. Motor function was assessed on the rotarod and cognitive
performance was evaluated using the Morris water maze (MWM) task. Serum levels of
inflammatory cytokines and the astrocytic biomarker, glial fibrillary acidic
protein (GFAP), were quantified at 1 h, 4 h, and 24 h post-injury.
Histopathological damage was assessed at the terminal end-point. Rotarod testing
revealed significant motor deficits in all injury groups but no significant
simvastatin-induced therapeutic benefits. All PBBI-injured animals showed
cognitive impairment on the MWM test; however, 10-day simvastatin treatment
mitigated these effects. Animals showed significantly improved latency to
platform and retention scores, whereas the 4-day treatment regimen failed to
produce any significant improvements. Biomarker and cytokine analysis showed that
IV simvastatin significantly reduced GFAP, interleukin (IL)-1alpha, and IL-17
serum levels by 4.0-, 2.6-, and 7.0-fold, respectively, at 4 h post-injury.
Collectively, our results demonstrate that IV simvastatin provides significant
protection against injury-induced cognitive dysfunction and reduces TBI-specific
biomarker levels. Further research is warranted to identify the optimal dose and
therapeutic window for IV delivery of simvastatin in models of severe TBI.
PMID- 26542888
TI - Quantitative sensory testing of dentinal sensitivity in healthy humans.
AB - OBJECTIVE: The study was to provide information on quantitative sensory testing
(QST) of normal teeth to establish a sensory profile and investigate the possible
gender and regional differences. MATERIALS AND METHODS: A modified QST protocol
was applied on both left and right upper-jaw incisors and pre-molar sof 14
healthy men and 14 age-matched healthy women (18-25 years). Mechanical stimulus
sensitivity (MSS), cold detection threshold (CDT), cold pain threshold (CPT),
warm detection threshold (WDT), heat pain threshold (HPT), electrical detection
threshold (EDT) and electrical pain threshold (EPT) were determined from the four
teeth (labial side of incisor and buccal side of the first premolar). The QST
parameters were analysed by ANOVA. RESULTS: The applied mechanical or thermal
stimuli did not evoke any pain sensation. A normal tooth did not seem to be able
to distinguish between the warm or cold stimuli applied. No significant
differences were found between genders (p > 0.099) or teeth (p > 0.053) regarding
mechanical and thermal stimuli. The EDT and EPT were significantly higher in the
pre-molar compared with incisor (p < 0.002) without gender differences (p >
0.573). CONCLUSION: The established methods and results provided important
information on diagnosis and treatment evaluation of dentinal hypersensitivity.
PMID- 26542890
TI - Intriguing Electrostatic Potential of CO: Negative Bond-ends and Positive Bond
cylindrical-surface.
AB - The strong electronegativity of O dictates that the ground state of singlet CO
has positively charged C and negatively charged O, in agreement with ab initio
charge analysis, but in disagreement with the dipole direction. Though this
unusual phenomenon has been fairly studied, the study of electrostatic potential
(EP) for noncovalent interactions of CO is essential for better understanding.
Here we illustrate that both C and O atom-ends show negative EP (where the C end
gives more negative EP), favoring positively charged species, whereas the
cylindrical surface of the CO bond shows positive EP, favoring negatively charged
ones. This is demonstrated from the interactions of CO with Na(+), Cl(-), H2O, CO
and benzene. It can be explained by the quadrupole driven electrostatic nature of
CO (like N2) with very weak dipole moment. The EP is properly described by the
tripole model taking into account the electrostatic multipole moments, which has
a large negative charge at a certain distance protruded from C, a large positive
charge on C, and a small negative charge on O. We also discuss the EP of the
first excited triplet CO.
PMID- 26542889
TI - Comparison of health-related quality of life between patients with stage 3 and 4
chronic kidney disease and patients undergoing continuous ambulatory peritoneal
dialysis.
AB - AIM: This study compared health-related quality of life in patients with early to
mid-stage chronic kidney disease. METHODS: This study utilized a comparative
descriptive design. Patients receiving continuous ambulatory peritoneal dialysis
were recruited from a hospital in Korea. Information from patients with stage 3
and 4 chronic kidney disease was obtained from Korean national survey data. A
total of 75 pairs were matched using the propensity score method. Health-related
quality of life was compared using the European Quality of Life-5 Dimensions
questionnaire. RESULTS: Only 4% of patients with stage 3 or 4 chronic kidney
disease are aware of their disease. These patients have decreased mobility and
ability to perform their usual activities (chi(2) = 10.77, P = 0.001; chi(2) =
7.22, P = 0.007, respectively). However, they have lower levels of anxiety and
depression than patients undergoing continuous ambulatory peritoneal dialysis
(chi(2) = 13.37, P < 0.001). The European Quality of Life-5 Dimensions utility
scores do not differ between the two patient groups. CONCLUSION: The results of
this study suggest that more effective management programs are needed to improve
health-related quality of life in patients at all stages of chronic kidney
disease. Educational intervention in asymptomatic patients is important to
increase awareness and early detection of chronic kidney disease.
PMID- 26542891
TI - Challenges in carrier-mediated intracellular delivery: moving beyond endosomal
barriers.
AB - The deployment of molecular to microscale carriers for intracellular delivery has
tremendous potential for biology and medicine, especially for in vivo therapies.
The field remains limited, however, by a poor understanding of how carriers gain
access to the cell interior. In this review, we provide an overview of the
different types of carriers, their speculated modes of entry, putative pathways
of vesicular transport, and sites of endosomal escape. We compare this alongside
pertinent examples from the cell biology of how viruses, bacteria, and their
effectors enter cells and escape endosomal confinement. We anticipate insights
into the mechanisms of cellular entry and endosomal escape will benefit future
research efforts on effective carrier-mediated intracellular delivery. WIREs
Nanomed Nanobiotechnol 2016, 8:465-478. doi: 10.1002/wnan.1377 For further
resources related to this article, please visit the WIREs website.
PMID- 26542892
TI - Nuclear receptor ecdysone-induced protein 75 is required for larval-pupal
metamorphosis in the Colorado potato beetle Leptinotarsa decemlineata (Say).
AB - 20-hydroxyecdysone (20E) and juvenile hormone (JH) are key regulators of insect
development. In this study, three Leptinotarsa decemlineata Ecdysone-induced
protein 75 (LdE75) cDNAs (LdE75A, B and C) were cloned from L. decemlineata. The
three LdE75 isoforms were highly expressed just before or right after each moult.
Within the fourth larval instar, they showed a small rise and a big peak 40 and
80 h after ecdysis. The expression peaks of the three LdE75s coincided with the
peaks of circulating 20E levels. In vitro midgut culture and in vivo bioassay
revealed that 20E and an ecdysteroid agonist halofenozide (Hal) enhanced LdE75
expression in the day 1 final larval instars. Conversely, a decrease in 20E by
feeding a double-stranded RNA (dsRNA) against an ecdysteroidogenesis gene, Shade
(LdSHD), repressed the expression of LdE75. Moreover, Hal upregulated the
expression of the three LdE75s in LdSHD-silenced larvae. Thus, 20E pulses
activate the transcription of LdE75s. Furthermore, ingesting dsE75-1 and dsE75-2
from a common fragment of the three isoforms successfully knocked down these
LdE75s, and caused developmental arrest. Finally, knocking down LdE75s
significantly repressed the transcription of three ecdysteroidogenesis genes,
lowered the 20E titre and affected the expression of two 20E-response genes.
Silencing LdE75s also induced the expression of a JH biosynthesis gene, increased
JH titre and activated the transcription of a JH early-inducible gene. Thus, Ld
E75s are required for larval-pupal metamorphosis and act mainly by modulating 20E
and JH titres and mediating their signalling pathways.
PMID- 26542894
TI - What would make a dietary intake adequate in individuals with spinal cord injury.
PMID- 26542893
TI - ETV6-LPXN fusion transcript generated by t(11;12)(q12.1;p13) in a patient with
relapsing acute myeloid leukemia with NUP98-HOXA9.
AB - ETV6, which encodes an ETS family transcription factor, is frequently rearranged
in human leukemias. We show here that a patient with acute myeloid leukemia with
t(7;11)(p15;p15) gained, at the time of relapse, t(11;12)(q12.1;p13) with a split
ETV6 FISH signal. Using 3'-RACE PCR analysis, we found that ETV6 was fused to
LPXN at 11q12.1, which encodes leupaxin. ETV6-LPXN, an in-frame fusion between
exon 4 of ETV6 and exon 2 of LPXN, did not transform the interleukin-3-dependent
32D myeloid cell line to cytokine independence; however, an enhanced
proliferative response was observed when these cells were treated with G-CSF
without inhibition of granulocytic differentiation. The 32D and human leukemia
cell lines each transduced with ETV6-LPXN showed enhanced migration towards the
chemokine CXCL12. We show here for the first time that LPXN is a fusion partner
of ETV6 and present evidence indicating that ETV6-LPXN plays a crucial role in
leukemia progression through enhancing the response to G-CSF and CXCL12.
PMID- 26542895
TI - Monitoring Agitated Behavior After acquired Brain Injury: Onset, Duration,
Intensity, and Nursing Shift Variation.
AB - PURPOSE: To describe the onset, duration, intensity, and nursing shift variation
of agitated behavior in patients with acquired brain injury (ABI) at a
rehabilitation hospital. DESIGN: Prospective descriptive study. METHODS: A total
of 11 patients with agitated behavior were included. Agitated behavior was
registered with the Agitated Behavior Scale (ABS). The nurse or therapist
allocated the individual patient assessed ABS during each shift. Intensity of
agitated behavior was tested using exact test. A within-subject shift effect was
analyzed with repeated-measure ANOVA. FINDINGS: The onset of agitated behavior
was at a median of 14 (1-28) days from admission. Seven patients remained
agitated beyond 3 weeks from onset. Severe intensity of agitation was observed in
86 of 453 nursing shifts. Differences in agitated behavior between day, evening,
and night shifts were found, F(2.20) = 7.90, p = .008, with tendencies of
increased agitated behavior in day and evening shifts compared to night shifts.
CONCLUSION: Agitated behavior had a late onset, was severe, and long-lasting in
the present sample of patients. CLINICAL RELEVANCE: The study increases awareness
on the potential challenge of agitated behavior in patients with ABI.
PMID- 26542896
TI - Automated Outreach for Cardiovascular-Related Medication Refill Reminders.
AB - The objective of this study was to evaluate the effectiveness of an automated
telephone system reminding patients with hypertension and/or cardiovascular
disease to obtain overdue medication refills. The authors compared the
intervention with usual care among patients with an overdue prescription for a
statin or lisinopril-hydrochlorothiazide (lisinopril-HCTZ). The primary outcome
was refill rate at 2 weeks. Secondary outcomes included time to refill and change
in low-density lipoprotein cholesterol and blood pressure. Significantly more
patients who received a reminder call refilled their prescription compared with
the usual-care group (statin cohort: 30.3% vs 24.9% [P<.0001]; lisinopril-HCTZ
cohort: 30.7% vs 24.2% [P<.0001]). The median time to refill was shorter in
patients receiving the reminder call (statin cohort: 29 vs 36 days [P<.0001];
lisinopril-HCTZ cohort: 24 vs 31 days [P<.0001]). There were no statistically
significant differences in mean low-density lipoprotein cholesterol and blood
pressure. These findings suggest the need for interventions that have a longer
term impact.
PMID- 26542897
TI - Strengthening behavior of carbon/metal nanocomposites.
AB - Nanocomposites reinforced with nano-scale reinforcements exhibit excellent
mechanical properties with low volume fraction of the reinforcement. For
instance, only an addition of 0.7 vol.% few-layer graphene (FLG) into the pure
titanium shows strength of ~1.5 GPa, obviously much superior to that of the
monolithic titanium. The strengthening efficiency of composites is determined by
several factors such as reinforcement geometrical/spatial characteristics and
interfacial features between the matrix and the reinforcement. For the metal
matrix nanocomposites (MMNCs), since the nano-scale reinforcement has
significantly high specific surface area, interfacial feature is more important
and has to be clearly evaluated in understanding property of MMNCs. Although many
researchers suggested the theoretical work using continuum mechanics in order to
estimate the mechanical properties of the metallic composites, a clear
determination has yet not to be proven by systematic experimental works. Here, we
provide a new model to predict strength and stiffness of MMNCs based on
quantitative analysis of efficiency parameters in which interface feature is
strongly emphasized. To validate the model, we select multi-walled carbon
nanotube (MWCNT) and FLG for reinforcement, and titanium (Ti) and aluminum (Al)
for the matrix to modify bonding strength and specific surface area in the MMNCs.
PMID- 26542898
TI - Compassion is a constant.
AB - Compassion is a powerful word that describes an intense feeling of commiseration
and a desire to help those struck by misfortune. Most people know intuitively how
and when to offer compassion to relieve another person's suffering. In health
care, compassion is a constant; it cannot be rationed because emergency nurses
have limited time or resources to manage increasing demands.
PMID- 26542899
TI - Patients in Northern Ireland can see waiting times online.
AB - Waiting time details for emergency departments in Northern Ireland can now be
accessed online.
PMID- 26542900
TI - Call for better advice on concussion in sports.
AB - Failure to manage the risk of concussion properly could lead to fewer people
playing sport, according to Scotland's chief medical officer, writing in the
British Journal of Sports Medicine.
PMID- 26542901
TI - Without more nurses, NHS 111 staff could be 'overwhelmed'.
AB - Urgent action is needed to tackle the nurse staffing shortages at the NHS 24-hour
non-emergency helpline and stop the service being 'overwhelmed' this winter,
according to the RCN.
PMID- 26542904
TI - Rise in emergency admissions linked with mental health.
AB - People with mental health problems are five times more likely to be admitted to
hospital in an emergency than the rest of the population, a survey has revealed.
PMID- 26542905
TI - Professionals offer their opinions about the forthcoming nursing and midwifery
strategy.
AB - Over the next few weeks, chief nursing officer for England Jane Cummings and her
team will draw up the first draft of a new nursing and midwifery strategy. The
draft strategy is set to be presented to around 500 senior nurses attending the
chief nurse's annual two-day summit in Birmingham, to be held next month and
entitled Leading Change and Creating Value.
PMID- 26542906
TI - Extra funds set to relieve pressure on staff this winter.
AB - The NHS could not be better prepared for winter. At least that was the message
when the Department of Health, NHS England and Public Health England launched
their Stay Well This Winter campaign.
PMID- 26542907
TI - Epilepsy passports issued to convey crucial information in emergencies.
AB - A new epilepsy passport has been created for about 60,000 children in the UK who
have the the condition.
PMID- 26542908
TI - Stroke patients set to receive new treatment.
AB - A new technique to remove blood clots from arteries could soon be integrated into
everyday practice to treat patients with ischaemic stroke.
PMID- 26542914
TI - Board's eye view - Role of the scribe.
AB - Good and clear documentation of events in an emergency situation is paramount; as
the adage goes, 'if it is not written in the notes it never happened'. The role
of the scribe in these situations is a complex one and that individual is
invaluable to the team.
PMID- 26542915
TI - How to be a 'newbie'.
AB - Nursing careers spent solely in one area or department have become a thing of the
past. Nurses are constantly moving abroad, to different specialties or up the
ranks. In each case they will become new team members, or 'newbies'.
PMID- 26542916
TI - Preparing for disaster.
AB - Natural disasters and armed conflicts affect the health of hundreds of millions
of people around the world, not only directly through violence and trauma, but
also through damage to social and economic infrastructures. Disaster
preparedness, however, can help ensure that health systems and communities are
better prepared to cope with emergencies.
PMID- 26542922
TI - Role of partnership in a 24/7 stroke research project.
AB - The Royal Stoke has become the first hospital in the country to provide round-the
clock research cover for hyperacute stroke and trauma trials involving patients
presenting for emergency care services. The biggest challenge has been the small
window of opportunity to recruit patients to a stroke trial before results are
lost to the speed and impact of the condition. Good working relationships between
research nurses and emergency care teams have proved pivotal in creating the
fully funded 24/7 cover.
PMID- 26542923
TI - Reversal sign: a red-flag in emergency departments.
AB - The reversal sign is an ominous finding seen on computed tomographic images of
the brain as an inversion of the normal attenuation relationship between grey and
white matter. This article describes the pathophysiology of the reversal sign,
which indicates irreversible neural tissue damage, and includes a brief case
study of a child who drowned and later developed this sign. The child died two
hours after presenting to the emergency department. Emergency healthcare
professionals should be aware of this sign, which is significant in terms of
diagnosis, management and prognosis. Knowledge of the reversal sign will also
help emergency nurses support relatives who are about to be notified about the
possible long-term neurological deficits in, or death of, their loved one.
PMID- 26542924
TI - Researching participant recruitment times.
AB - Conducting research in emergency departments is relatively new, and there are a
number of ethical and practical challenges to recruiting patients in these
settings. In 2008, the Emergency Medicine Research Group Edinburgh (EMERGE) was
set up at the Royal Infirmary of Edinburgh emergency department to support
researchers and encourage the growth of research in emergency medicine. As part
of a review of their working methods, the group's clinical nurse researchers
undertook a small study to identify participant recruitment times. The results
showed a significant difference between perceived and actual recruitment times,
which has implications for planning staff numbers and budgets. This article
describes the evaluation process and methods of data collection, and discusses
the results.
PMID- 26542925
TI - Assessing and managing spider and scorpion envenomation.
AB - Envenomation by spiders or scorpions is a public health problem in many parts of
the world and is not isolated to the tropics and subtropics. Spiders and
scorpions can be unintentionally transported globally, and keeping them as pets
is becoming more popular, so envenomation can occur anywhere. Emergency nurses
should be prepared to assess and treat patients who present with a bite or sting.
This article gives an overview of the signs, symptoms and treatment of
envenomation by species of arachnids that are clinically significant to humans.
PMID- 26542926
TI - Structure of a Kunitz-type potato cathepsin D inhibitor.
AB - Potato cathepsin D inhibitor (PDI) is a glycoprotein of 188 amino acids which can
inhibit both the aspartic protease cathepsin D and the serine protease trypsin.
Here we report the first X-ray structure of PDI at a resolution of 2.1 A showing
that PDI adopts a beta-trefoil fold, which is typical of the Kunitz-family
protease inhibitors, with the inhibitory loops protruding from the core. Possible
reactive-site loops including one involving a unique disulphide and another
involving a protruding 310 helix are identified and docking studies indicate the
mode of action of this unusual bi-functional inhibitor.
PMID- 26542927
TI - Culture and religious beliefs in relation to reproductive health.
AB - An increasing number of contemporary research publications acknowledge the
influence of religion and culture on sexual and reproductive behavior and health
care utilization. It is currently hypothesized that religious influences can
partly explain disparities in sexual and reproductive health outcomes. In this
paper, we will pay particular attention to Muslims in sexual and reproductive
health care. This review reveals that knowledge about devout Muslims' own
experience of sexual and reproductive health-care matters is limited, thus
providing weak evidence for modeling of efficient practical guidelines for sexual
and reproductive health care directed at Muslim patients. Successful outcomes in
sexual and reproductive health of Muslims require both researchers and
practitioners to acknowledge religious heterogeneity and variability, and
individuals' possibilities to negotiate Islamic edicts. Failure to do so could
lead to inadequate health-care provision and, in the worst case, to suboptimal
encounters between migrants with Muslim background and the health-care providers
in the receiving country.
PMID- 26542928
TI - Malignancies in pregnancy.
AB - Malignancy complicating pregnancy is fortunately rare, affecting one in 1000 to
one in 1500 pregnancies. Optimal treatment involves balancing the benefit of
treatment for the mother while minimizing harm to the fetus. This balance is
dependent on the extent of the disease, the recommended course of treatment, and
the gestational age at which treatment is considered. Both surgery and
chemotherapy are generally safe in pregnancy, whereas radiation therapy is
relatively contraindicated. Iatrogenic prematurity is the most common pregnancy
complication, as infants are often delivered for maternal benefit. In general,
however, survival does not differ from the nonpregnant population. These patients
require a multidisciplinary approach for management with providers having
experience in caring for these complex patients. The aim of this review was to
provide an overview for obstetricians of the diagnosis and management of
malignancy in pregnancy.
PMID- 26542929
TI - Stem cells from amniotic fluid--Potential for regenerative medicine.
AB - Regenerative medicine has recently been established as an emerging field
focussing on repair, replacement or regeneration of cells, tissues and whole
organs. The significant recent advances in the field have intensified the search
for novel sources of stem cells with potential for therapy. Recently, researchers
have identified the amniotic fluid as an untapped source of stem cells that are
multipotent, possess immunomodulatory properties and do not have the ethical and
legal limitations of embryonic stem cells. Stem cells from the amniotic fluid
have been shown to differentiate into cell lineages representing all three
embryonic germ layers without generating tumours, which make them an ideal
candidate for tissue engineering applications. In addition, their ability to
engraft in injured organs and modulate immune and repair responses of host
tissues suggest that transplantation of such cells may be useful for the
treatment of various degenerative and inflammatory diseases affecting major
tissues/organs. This review summarises the evidence on amniotic fluid cells over
the past 15 years and explores the potential therapeutic applications of amniotic
fluid stem cells and amniotic fluid mesenchymal stem cells.
PMID- 26542930
TI - Uterine myomata: Organ-preserving surgery.
AB - Most women with uterine myoma are asymptomatic and do not require any treatment.
However, myoma can also lead to menorrhagia, pressure symptoms, abdominal pain,
and infertility. Management of symptomatic women with myoma depends on several
factors, including age, desire for fertility, and myoma characteristics. Uterine
myoma that distorts the uterine cavity, either submucous myoma or intramural
myoma, with a submucous component reduces fertility, and is associated with
increased uterine bleeding. The treatment of choice is hysteroscopic myomectomy
or abdominal myomectomy, preferably by laparoscopy. Robotic assistance in
laparoscopic myomectomy leads to outcomes similar to conventional laparoscopic
myomectomy. However, it is expensive. Newer techniques include either
laparoscopic or transcervical radiofrequency thermal ablation.
PMID- 26542931
TI - Pulmonary Artery Reconstruction with Donor Aortic Homograft During Cardiac
Transplantation in the Failed Fontan Circulation.
AB - The advanced surgical management of patients with single ventricle physiology, in
particular the hypoplastic left heart syndrome, has resulted in an increased
number of patients with a Fontan circulation. In a proportion of these patients,
the Fontan circulation will ultimately fail and cardiac transplantation may be
required. Their course may be complicated by the hemodynamic consequences of the
failing Fontan, multiple previous operations, and the frequent need for complex
reconstruction at transplantation. We describe a patient with a failed modified
Fontan circulation requiring concomitant pulmonary artery reconstruction and
cardiac transplantation and review potential reconstruction techniques.
PMID- 26542932
TI - SDS-assisted solvothermal synthesis of rose-like BiOBr partially enclosed by
{111} facets and enhanced visible-light photocatalytic activity.
AB - Rose-like BiOBr nanostructures with exposed {111} facets were firstly synthesized
with the assistance of an anionic surfactant, sodium dodecyl sulfate (SDS), via a
facile solvothermal route. The 2D nanosheets, which self-assembled to form the 3D
structures, were achieved with the thickness decreasing from average 120 nm to 20
nm. Specially, the nanosheets were partially enclosed by {111} facets due to the
effect of SDS. The as-prepared BiOBr with {111} facets exhibited excellent
electrochemical behavior and photocatalytic activity under both visible light
(lambda>= 420 nm) and monochromatic light (lambda = 420 nm) irradiation.
PMID- 26542933
TI - PCNA and apoptosis during post-spawning ovarian remodeling in the teleost
Oreochromis niloticus.
AB - The balance between cell proliferation and apoptosis is crucial for tissue
development and homeostasis. The present study investigated the contribution of
proliferating cell nuclear antigen (PCNA) and apoptosis during ovarian remodeling
after spawning in the Nile tilapia Oreochromis niloticus. Breeding females were
kept in controlled conditions and ovary samples were collected weekly for TUNEL
assay, immunohistochemistry for PCNA and caspase-3 and morphometric analysis.
During the follicular growth, PCNA labeled mainly the nuclei of oocytes and
follicular cells in a high proportion of follicles especially in primary growth,
while a low occurrence of apoptosis in follicular and theca cells was detected.
At 0-3 days post-spawning, post-ovulatory follicles showed no proliferative
activity, however the follicular cells exhibited high rates of apoptosis. At 7-10
days, PCNA labeled the thecal cells in a low proportion of post-ovulatory
follicles, which showed follicular cells with lower rates of apoptosis. PCNA
labeled mainly the theca in the advanced and late stages of atretic follicles,
while the follicular cells exhibited a significant increase of apoptosis along
follicular atresia. We concluded that PCNA and apoptosis work cooperatively to
ensuring the success of follicle development and maintaining of tissue
homeostasis during follicular growth. PCNA and apoptosis are also essential
mechanisms in the follicular regression during post-spawning ovarian recovery in
the Nile tilapia.
PMID- 26542935
TI - No evidence of 1918 influenza pandemic origin in Chinese laborers/soldiers in
France.
AB - Laborers and soldiers from China and Southeast Asia recruited during the First
World War by Britain and France have been suggested as the origin of the 1918
influenza pandemic in Western Europe. This study aimed to review the available
data to better understand the sources and origins of the 1918 influenza pandemic,
and clarify whether, in fact, there was an Asian connection to its onset. We
reviewed official mortality lists from the Commonwealth War Graves Commission and
the French Ministry of Defence for all-cause (Britain) and pneumonia/influenza
(France) mortality, respectively. The results indicated that influenza mortality
(estimated 1/1000) in Chinese and Southeast Asian laborers and soldiers lagged
other co-located military units by several weeks. This finding does not support a
Southeast Asian importation of lethal influenza to Europe in 1918.
PMID- 26542936
TI - A multi-faceted knowledge translation approach to support persons with stroke and
cognitive impairment: evaluation protocol.
AB - BACKGROUND: Patients with cognitive impairments following a stroke are often
denied access to inpatient rehabilitation. The few patients with cognitive
impairment admitted to rehabilitation generally receive services based on
outdated impairment-reduction models, rather than recommended function-based
approaches. Both reduced access to rehabilitation and the knowledge-to-practice
gap stem from a reported lack of skills and knowledge regarding cognitive
rehabilitation on the part of inpatient rehabilitation team members. To address
these issues, a multi-faceted knowledge translation (KT) initiative will be
implemented and evaluated. It will be targeted specifically at the inter
professional application of the cognitive orientation to daily occupational
performance (CO-OP). CO-OP training combined with KT support is called CO-OP KT.
The long-term objective of CO-OP KT is to optimize functional outcomes for
individuals with stroke and cognitive impairments. Three research questions are
posed: 1. Is the implementation of CO-OP KT associated with a change in the
proportion of patients with cognitive impairment following a stroke accepted to
inpatient rehabilitation? 2. Is the implementation of CO-OP KT associated with a
change in rehabilitation clinicians' practice, knowledge, and self-efficacy
related to implementing the CO-OP approach, immediately following and 1 year
later? 3. Is CO-OP KT associated with changes in activity, participation, and
self-efficacy to perform daily activities in patients with cognitive impairment
following stroke at discharge from inpatient rehabilitation and at 1-, 3-, and 6
month follow-ups? METHODS/DESIGN: Three interrelated studies will be conducted.
Study 1 will be a quasi-experimental, interrupted time series design measuring
monthly summaries of stroke unit level data. Study 2, which relates to changes in
health care professional practice and self-efficacy, will be a single group pre
post evaluation design incorporating chart audits and a self-report survey. Study
3 will assess patient functional outcomes using a non-randomized design with
historical controls. Assessments will occur during admission and discharge from
rehabilitation and at 1, 3, and 6 months following discharge from rehabilitation.
DISCUSSION: This project will advance knowledge about the degree to which the
implementation of a supported KT initiative can sustainably change health system,
knowledge, and patient outcomes.
PMID- 26542937
TI - The physiological correlates of children's emotions in contexts of moral
transgression.
AB - Heightened attention to sociomoral conflicts and arousal at the prospect of
committing moral transgressions are thought to increase the likelihood of
negatively valenced moral emotions (NVMEs; e.g., guilt) in children. Here, we
tested this biphasic model of moral emotions with a psychophysiological
framework. For a series of vignettes depicting moral transgressions, 5- and 8
year-olds (N=138) were asked to anticipate their emotions as hypothetical
victimizers. Their responses were coded for the presence and intensity of NVMEs.
In addition, their heart rate (HR) was calculated for three intervals of
interest: a baseline period, the presentation of vignettes, and the anticipation
of emotions following vignettes. We used multilevel modeling to examine how
change in children's HR across these intervals related to the intensity of their
NVMEs. Those who experienced greater HR deceleration from baseline to vignettes
and greater acceleration from vignettes to anticipated emotions reported more
intense NVMEs. We discuss the potential attention- and arousal-related processes
behind children's physiological reactivity and anticipated emotions in contexts
of moral transgression.
PMID- 26542934
TI - Timing by rhythms: Daily clocks and developmental rulers.
AB - Biological rhythms are widespread, allowing organisms to temporally organize
their behavior and metabolism in advantageous ways. Such proper timing of
molecular and cellular events is critical to their development and health. This
is best understood in the case of the circadian clock that orchestrates the daily
sleep/wake cycle of organisms. Temporal rhythms can also be used for spatial
organization, if information from an oscillating system can be recorded within
the tissue in a manner that leaves a permanent periodic pattern. One example of
this is the "segmentation clock" used by the vertebrate embryo to rhythmically
and sequentially subdivide its elongating body axis. The segmentation clock moves
with the elongation of the embryo, such that its period sets the segment length
as the tissue grows outward. Although the study of this system is still
relatively young compared to the circadian clock, outlines of molecular,
cellular, and tissue-level regulatory mechanisms of timing have emerged. The
question remains, however, is it truly a clock? Here we seek to introduce the
segmentation clock to a wider audience of chronobiologists, focusing on the role
and control of timing in the system. We compare and contrast the segmentation
clock with the circadian clock, and propose that the segmentation clock is
actually an oscillatory ruler, with a primary function to measure embryonic
space.
PMID- 26542938
TI - Biological motion perception links diverse facets of theory of mind during middle
childhood.
AB - Two cornerstones of social development--social perception and theory of mind-
undergo brain and behavioral changes during middle childhood, but the link
between these developing domains is unclear. One theoretical perspective argues
that these skills represent domain-specific areas of social development, whereas
other perspectives suggest that both skills may reflect a more integrated social
system. Given recent evidence from adults that these superficially different
domains may be related, the current study examined the developmental relation
between these social processes in 52 children aged 7 to 12 years. Controlling for
age and IQ, social perception (perception of biological motion in noise) was
significantly correlated with two measures of theory of mind: one in which
children made mental state inferences based on photographs of the eye region of
the face and another in which children made mental state inferences based on
stories. Social perception, however, was not correlated with children's ability
to make physical inferences from stories about people. Furthermore, the mental
state inference tasks were not correlated with each other, suggesting a role for
social perception in linking various facets of theory of mind.
PMID- 26542939
TI - [Beckwith-Wiedemann syndrome: What do you search in prenatal diagnosis? About 14
cases].
AB - OBJECTIVES: Beckwith-Wiedemann syndrome (BWS) is the most common overgrowth
syndrome and has an incidence of 1/13,700. The majority of the cases are
diagnosed after birth. Patients with BWS have an increased risk of neonatal
hypoglycemia and embryonal tumors development in childhood. We wanted to identify
the ultrasound signs that must alert physicians to prepare best perinatal
management strategies. METHODS: We conducted a retrospective study of a
population of 14 cases of BWS diagnosed in perinatal period; four of them were
detected prenatally by ultrasound. The anomalies signs described in prenatal were
analyzed and compared with the clinical features of the postnatal period.
RESULTS: The major features reported were represented by macrosomia for 71.4%
with an increase of abdominal circumference, and macroglossia for 78.6%. The
minor features were various with 64% of visceromegaly (nephromegaly and/or
hepatomegaly), 50% of hydramnios and for 80% of male children a genital anomaly
(crytorchidism and/or hypospadias). CONCLUSION: This study identified some
prenatal ultrasound signs that should alert the clinician to the possibility of
BWS. A genetic conseling, after confirmation by molecular diagnosis, could be
proposed in a near future in prenatal, and could improve postnatal management
strategies for these affected children at high postnatal risk.
PMID- 26542940
TI - Semaphorin 4A as novel regulator and promising therapeutic target in rheumatoid
arthritis.
AB - Rheumatoid arthritis (RA) is a systemic autoimmune disease manifesting in joint
destruction. The recognized hallmark of RA pathogenesis is the involvement of
immune cells which produce many mediators potentiating an inflammatory
environment. RA synovial fibroblasts (RASFs) contribute significantly to disease
progression by initiating and regulating many pathways of joint destruction.
Detailed molecular insights into RASF biology may lead to identification of
important therapeutic targets. The discovery of common molecular targets for
joint resident and inflammatory cells may help to develop the most effective
therapeutic strategy. One such pathway includes semaphorin 4A as reported in a
recent article in Arthritis Research & Therapy.
PMID- 26542941
TI - Bone morphogenetic protein 4 and bone morphogenetic protein receptor expression
in the pituitary gland of adult dogs in healthy condition and with ACTH-secreting
pituitary adenoma.
AB - The purpose of this study was to investigate the expression of bone morphogenetic
protein 4 (BMP4) and its receptors, bone morphogenetic protein receptor I (BMPRI)
and BMPRII, in the pituitary gland of healthy adult dogs and in those with ACTH
secreting pituitary adenoma. Quantitative polymerase chain reaction analysis
showed that the BMP4 messenger RNA expression level in the ACTH-secreting
pituitary adenoma samples was significantly lower than that in the normal
pituitary gland samples (P = 0.03). However, there were no statistically
significant differences between samples with respect to the messenger RNA
expression levels of the receptors BMPRIA, BMPRIB, and BMPRII. Double
immunofluorescence analysis of the normal canine pituitary showed that BMP4 was
localized in the thyrotroph (51.3 +/- 7.3%) and not the corticotroph cells. By
contrast, BMPRII was widely expressed in the thyrotroph (19.9 +/- 5.2%) and
somatotroph cells (94.7 +/- 3.6%) but not in the corticotroph cells (P < 0.001,
thyrotroph cells vs somatotroph cells). Similarly, in ACTH-secreting pituitary
adenoma, BMP4 and BMPRII were not expressed in the corticotroph cells. Moreover,
the percentage of BMP4-positive cells was also significantly reduced in the
thyrotroph cells of the surrounding normal pituitary tissue obtained from the
resected ACTH-secreting pituitary adenoma (8.3 +/- 7.9%) compared with that in
normal canine pituitary (P < 0.001). BMP4 has been reported to be expressed in
corticotroph cells in the human pituitary gland. Therefore, the results of this
study reveal a difference in the cellular pattern of BMP4-positive staining in
the pituitary gland between humans and dogs and further revealed the pattern of
BMPRII-positive staining in the dog pituitary gland. These species-specific
differences regarding BMP4 should be considered when using dogs as an animal
model for Cushing's disease.
PMID- 26542943
TI - A simulation study of sperm motility hydrodynamics near fish eggs and spheres.
AB - For teleost fish fertilisation, sperm must proceed through a small opening on the
egg surface, referred to as the micropyle. In this paper, we have used boundary
element simulations to explore whether the hydrodynamic attraction between sperm
and a fish egg can be a sperm guidance cue. Hydrodynamical egg-sperm interactions
alone do not increase the chances of an egg encounter, nor do they induce surface
swimming for virtual turbot fish sperm across smooth spheres with a diameter of
1mm, which is representative of a turbot fish egg. When a repulsive surface force
between the virtual turbot sperm and the egg is introduced, as motivated by
surface charge and van-der-Waals interactions for instance, we find that extended
surface swimming of the virtual sperm across a model turbot egg occurs, but
ultimately the sperm escapes from the egg. This is due to the small exit angle of
the scattering associated with the initial sperm-egg interaction at the egg
surface, leading to a weak drift away from the egg, in combination with a weak
hydrodynamical attraction between both gametes, though the latter is not
sufficient to prevent eventual escape. The resulting transience is not observed
experimentally but is a detailed quantitative difference between theory and
observation in that stable surface swimming is predicted for eggs with radii
larger than about 1.8mm. Regardless, the extended sperm swimming trajectory
across the egg constitutes a two-dimensional search for the micropyle and thus
the egg is consistently predicted to provide a guidance cue for sperm once they
are sufficiently close. In addition, the observation that the virtual turbot
sperm swims stably next to a flat plane given repulsive surface interactions, but
does not swim stably adjacent to a turbot-sized egg, which is extremely large by
sperm-lengthscales, also highlights that the stability of sperm swimming near a
boundary is very sensitive to geometry.
PMID- 26542942
TI - High-level Plasmodium falciparum sulfadoxine-pyrimethamine resistance with the
concomitant occurrence of septuple haplotype in Tanzania.
AB - BACKGROUND: Tanzania abandoned sulfadoxine-pyrimethamine (SP) as the first-line
treatment for uncomplicated malaria in 2006 due to high levels Plasmodium
falciparum resistance. However, SP is still being used for intermittent
preventive treatment during pregnancy (IPTp-SP). This study aimed to assess the
pattern of P. falciparum dihydrofolate reductase (Pfdhfr) and dihydropteroate
synthetase (Pfdhps) mutations and associated haplotypes in areas with different
malaria transmission intensities in mainland Tanzania, 6 years after withdrawal
of SP as a first-line treatment regimen for uncomplicated malaria. METHODS: A
total of 264 samples were collected during cross-sectional surveys in three
districts of Muheza, Muleba and Nachingwea in Tanga, Kagera and Lindi regions,
respectively. Parasite genomic DNA was extracted from P. falciparum positive
samples. The Pfdhfr, Pfdhps single nucleotide polymorphisms (SNPs) were amplified
using nested polymerase chain reaction and detected by sequence specific
oligonucleotide probe-enzyme linked immunosorbent assay (SSOP-ELISA). RESULTS:
The prevalence of the mutant Pfdhfr-Pfdhps haplotypes was heterogenous and
transmission dependent. The triple Pfdhfr mutant haplotypes (CIRNI) were
predominant in all sites with significantly higher frequencies at Muheza (93.3 %)
compared to Muleba (75.0 %) and Nachingwea districts (70.6 %), (p < 0.001).
Overall, the prevalence of the wild-type Pfdhps (SAKAA) haplotype was lowest at
Muheza (1.3 %), (p = 0.002). Double Pfdhps haplotype SGEAA was significantly high
at Muheza (27.2 %) and Muleba (20.8 %) while none (0 %) was detected at
Nachingwea (p < 0.001). The prevalence of triple Pfdhps SGEGA haplotype was
significantly higher at Muheza compared to Muleba and Nachingwea (p < 0.001). In
contrast, Nachingwea and Muleba had significantly higher prevalence of another
triple Pfdhps AGEAA haplotype (chi(2) = 39.9, p < 0.001). Conversely, Pfdhfr
Pfdhps as quintuple and sextuple haplotypes were predominant including the
emergence of a septuple mutant haplotype CIRNI-AGEGA (n = 11) observed at Muheza
and Muleba. CONCLUSION: These results ascertain the high prevalence and
saturation of Pfdhfr and Pfdhps haplotypes conferring SP resistance in areas with
changing malaria epidemiology; and this could undermine the use of IPTp-SP in
improving pregnancy outcomes. In these settings where high level SP resistance is
documented, additional control efforts are needed and evaluation of an
alternative drug for IPTp is an urgent priority.
PMID- 26542944
TI - Resilience, reactivity and variability: A mathematical comparison of ecological
stability measures.
AB - In theoretical studies, the most commonly used measure of ecological stability is
resilience: ecosystems asymptotic rate of return to equilibrium after a pulse
perturbation -or shock. A complementary notion of growing popularity is
reactivity: the strongest initial response to shocks. On the other hand,
empirical stability is often quantified as the inverse of temporal variability,
directly estimated on data, and reflecting ecosystems response to persistent and
erratic environmental disturbances. It is unclear whether and how this empirical
measure is related to resilience and reactivity. Here, we establish a connection
by introducing two variability-based stability measures belonging to the
theoretical realm of resilience and reactivity. We call them intrinsic,
stochastic and deterministic invariability; respectively defined as the inverse
of the strongest stationary response to white-noise and to single-frequency
perturbations. We prove that they predict ecosystems worst response to broad
classes of disturbances, including realistic models of environmental
fluctuations. We show that they are intermediate measures between resilience and
reactivity and that, although defined with respect to persistent perturbations,
they can be related to the whole transient regime following a shock, making them
more integrative notions than reactivity and resilience. We argue that
invariability measures constitute a stepping stone, and discuss the challenges
ahead to further unify theoretical and empirical approaches to stability.
PMID- 26542945
TI - EIF2A-dependent translational arrest protects leukemia cells from the energetic
stress induced by NAMPT inhibition.
AB - BACKGROUND: Nicotinamide phosphoribosyltransferase (NAMPT), the rate-limiting
enzyme in NAD(+) biosynthesis from nicotinamide, is one of the major factors
regulating cancer cells metabolism and is considered a promising target for
treating cancer. The prototypical NAMPT inhibitor FK866 effectively lowers NAD(+)
levels in cancer cells, reducing the activity of NAD(+)-dependent enzymes,
lowering intracellular ATP, and promoting cell death. RESULTS: We show that FK866
induces a translational arrest in leukemia cells through inhibition of MTOR/4EBP1
signaling and of the initiation factors EIF4E and EIF2A. Specifically, treatment
with FK866 is shown to induce 5'AMP-activated protein kinase (AMPK) activation,
which, together with EIF2A phosphorylation, is responsible for the inhibition of
protein synthesis. Notably, such an effect was also observed in patients' derived
primary leukemia cells including T-cell Acute Lymphoblastic Leukemia. Jurkat
cells in which AMPK or LKB1 expression was silenced or in which a non
phosphorylatable EIF2A mutant was ectopically expressed showed enhanced
sensitivity to the NAMPT inhibitor, confirming a key role for the LKB1-AMPK-EIF2A
axis in cell fate determination in response to energetic stress via NAD(+)
depletion. CONCLUSIONS: We identified EIF2A phosphorylation as a novel early
molecular event occurring in response to NAMPT inhibition and mediating protein
synthesis arrest. In addition, our data suggest that tumors exhibiting an
impaired LBK1- AMPK- EIF2A response may be especially susceptible to NAMPT
inhibitors and thus become an elective indication for this type of agents.
PMID- 26542946
TI - Genetic Progression of High Grade Prostatic Intraepithelial Neoplasia to Prostate
Cancer.
AB - BACKGROUND: Although high grade prostatic intraepithelial neoplasia (HGPIN) is
considered a neoplastic lesion that precedes prostate cancer (PCA), the genomic
structures of HGPIN remain unknown. OBJECTIVE: Identification of the genomic
landscape of HGPIN and the genomic differences between HGPIN and PCA that may
drive the progression to PCA. DESIGN, SETTINGS, AND PARTICIPANTS: We analyzed 20
regions of paired HGPIN and PCA from six patients using whole-exome sequencing
and array-comparative genomic hybridization. OUTCOME MEASUREMENTS AND STATISTICAL
ANALYSIS: Somatic mutation and copy number alteration (CNA) profiles of paired
HGPIN and PCA were measured and compared. RESULTS AND LIMITATIONS: The number of
total mutations and CNAs of HGPINs were significantly fewer than those of PCAs.
Mutations in FOXA1 and CNAs (1q and 8q gains) were detected in both HGPIN and PCA
('common'), suggesting their roles in early PCA development. Mutations in SPOP,
KDM6A, and KMT2D were 'PCA-specific', suggesting their roles in HGPIN progression
to PCA. The 8p loss was either 'common' or 'PCA-specific'. In-silico estimation
of evolutionary ages predicted that HGPIN genomes were much younger than PCA
genomes. Our data show that PCAs are direct descendants of HGPINs in most cases
that require more genomic alterations to progress to PCA. The nature of
heterogeneous HGPIN population that might attenuate genomic signals should
further be studied. CONCLUSIONS: HGPIN genomes harbor relatively fewer mutations
and CNAs than PCA but require additional hits for the progression. PATIENT
SUMMARY: In this study, we suggest a systemic diagram from high grade prostatic
intraepithelial neoplasia (HGPIN) to prostate cancer (PCA). Our results provide a
clue to explain the long latency from HGPIN to PCA and provide useful information
for the genetic diagnosis of HGPIN and PCA.
PMID- 26542947
TI - Clinical Utility of Quantitative Gleason Grading in Prostate Biopsies and
Prostatectomy Specimens.
AB - BACKGROUND: Gleason grading is the strongest prognostic parameter in prostate
cancer. Gleason grading is categorized as Gleason <= 6, 3 + 4, 4 + 3, 8, and 9
10, but there is variability within these subgroups. For example, Gleason 4
components may range from 5-45% in a Gleason 3 + 4 = 7 cancer. OBJECTIVE: To
assess the clinical relevance of the fractions of Gleason patterns. DESIGN,
SETTING, AND PARTICIPANTS: Prostatectomy specimens from 12823 consecutive
patients and of 2971 matched preoperative biopsies for which clinical data with
an annual follow-up between 2005 and 2014 were available from the Martini-Klinik
database. OUTCOME MEASUREMENTS AND STATISTICAL ANALYSIS: To evaluate the utility
of quantitative grading, the fraction of Gleason 3, 4, and 5 patterns seen in
biopsies and prostatectomies were recorded. Gleason grade fractions were compared
with prostatectomy findings and prostate-specific antigen recurrence. RESULTS AND
LIMITATIONS: Our data suggest a striking utility of quantitative Gleason grading.
In prostatectomy specimens, there was a continuous increase of the risk of
prostate-specific antigen recurrence with increasing percentage of Gleason 4
fractions with remarkably small differences in outcome at clinically important
thresholds (0% vs 5%; 40% vs 60% Gleason 4), distinguishing traditionally
established prognostic groups. Also, in biopsies, the quantitative Gleason
scoring identified various intermediate risk groups with respect to Gleason
findings in corresponding prostatectomies. Quantitative grading may also reduce
the clinical impact of interobserver variability because borderline findings such
as tumors with 5%, 40%, or 60% Gleason 4 fractions and very small Gleason 5
fractions (with pivotal impact on the Gleason score) are disclaimed. CONCLUSIONS:
Quantitative Gleason pattern data should routinely be provided in addition to
Gleason score categories, both in biopsies and in prostatectomy specimens.
PATIENT SUMMARY: Gleason score is the most important prognostic parameter in
prostate cancer, but prone to interobserver variation. The results of our study
show that morphological aspects that define the Gleason grade in prostate cancer
represent a continuum. Quantitation of Gleason patterns provides clinically
relevant information beyond the traditional Gleason grading categories <= 3 + 3,
3 + 4, 4 + 3, 8, 9 -1 0. Quantitative Gleason scoring can help to minimize
variations between different pathologists and substantially aid in optimized
therapy decision-making.
PMID- 26542949
TI - Carbapenemase-producing Enterobacteriaceae: use of a dynamic registry of cases
and contacts for outbreak management.
AB - BACKGROUND: The emergence and spread of carbapenemase-producing
Enterobacteriaceae (CPE) have become a major public health problem. Control and
prevention of CPE infections hinge on isolation precautions for carriers and
active screening and follow-up of contacts. AIM: To implement an open registry of
cases and contacts for acute outbreak management, long-term data collection and
epidemiological investigation. METHODS: All cases, defined as patients (infected
or colonized) with a CPE-positive culture during their hospitalization, and
contacts (e.g. patients cared for by the same healthcare team as a case) were
registered in an ongoing database. Hospital stays were cross-referenced for every
new entry and epidemiological links (e.g. shared contacts) investigated. All
cases and contacts not cleared by complete screening were registered on an active
list. FINDINGS: Between October 2012 and November 2014, we registered 30 cases
and 1268 contacts, among which 24 were linked to two or three separate cases.
Only 6.5% of contacts fulfilled complete screening with three rectal swabs, and
1145 contacts are still registered on the active surveillance list. Two outbreaks
(12 and nine cases) occurred nine months apart. Cross-referencing of hospital
stays using the registry revealed epidemiological links between seemingly
unrelated cases of CPE-positive patients and suggested an environmental source of
transmission, which was demonstrated thereafter. CONCLUSION: We implemented a
simple and multi-purpose tool to manage CPE episodes and investigate
epidemiological links. Efforts are necessary to improve screening of contact
patients who may be occult sources of transmission. A regional registry could be
helpful.
PMID- 26542948
TI - Genetic differences between two Leishmania major-like strains revealed by
suppression subtractive hybridization.
AB - Leishmania major, the causative agent of zoonotic leishmaniasis, is restricted to
Old World countries. Molecular and biochemical techniques have been used to
identify some L. major-like isolated in South America including Brazil. Here, two
L. major-like strains, one virulent (BH49) and one non-virulent (BH121), were
subjected to suppression subtractive hybridization (SSH) technique in order to
identify differentially expressed genes. SSH technique identified nine cDNA
fragments exhibiting high homology to previously sequenced L. major genes. Five
cDNAs (four specific for BH49 and one for BH121) were confirmed by RT-PCR. Among
those differentially expressed subtracted genes, some were involved in
physiological processes including metabolism, translation and destination of
proteins, production of energy, virulence factors and unknown functions. Western
blot analysis confirmed a higher expression level of beta-1,3-galactosyl residues
in L. major-like lipophosphoglycan (LPG). This molecular analysis opens the
possibility for identification of potential virulence factors not only in
different strains, but also in others species of Leishmania.
PMID- 26542950
TI - Organization of infection control in European hospitals.
AB - BACKGROUND: The Prevention of Hospital Infections by Intervention and Training
(PROHIBIT) survey was initiated to investigate the status of healthcare
associated infection (HCAI) prevention across Europe. AIM: This paper presents
the methodology of the quantitative PROHIBIT survey and outlines the findings on
infection control (IC) structure and organization including management's support
at the hospital level. METHODS: Hospitals in 34 countries were invited to
participate between September 2011 and March 2012. Respondents included IC
personnel and hospital management. FINDINGS: Data from 309 hospitals in 24
countries were analysed. Hospitals had a median (interquartile range) of four IC
nurses (2-6) and one IC doctor (0-2) per 1000 beds. Almost all hospitals (96%)
had defined IC objectives, which mainly addressed hand hygiene (87%), healthcare
associated infection reduction (84%), and antibiotic stewardship (66%). Senior
management provided leadership walk rounds in about half of hospitals, most often
in Eastern and Northern Europe, 65% and 64%, respectively. In the majority of
hospitals (71%), sanctions were not employed for repeated violations of IC
practices. Use of sanctions varied significantly by region (P < 0.001), but not
by countries' healthcare expenditure. CONCLUSION: There is great variance in IC
staffing and policies across Europe. Some areas of practice, such as hand
hygiene, seem to receive considerably more attention than others that are equally
important, such as antibiotic stewardship. Programmes in IC suffer from
deficiencies in human resources and local policies, ubiquitous factors that
negatively impact on IC effectiveness. Strengthening of IC policies in European
hospitals should be a public health priority.
PMID- 26542951
TI - Outcome of surgery in patients with solitary fibrous tumors of the pleura.
AB - BACKGROUND: Solitary fibrous tumor of the pleura is rare, and the origin is
submesothelial tissue. These tumors are seen in lung infrequently, and most are
benign. We report our experience in the diagnosis and treatment of patients with
solitary fibrous tumors of the pleura. METHODS: We studied 13 patients (6 men and
7 women, aged 26 to 76 years) with a diagnosis of benign solitary fibrous tumor
of the pleura who were operated on from 2001 to 2014. One had a recurrent tumor
after 10 years, and the others had primary tumors. Our approach was complete
resection in all cases. RESULTS: After surgical excision, the most essential
characteristic on histopathology was a neoplastic lesion composed of spindle
shaped tumor cells with hyperchromatic nuclei and eosinophilic bands of collagen.
On immunohistochemical analysis, the cells were positive for desmin and negative
for actin, synaptophysin, chromogranin, and CD117. CONCLUSIONS: The essential
step in the treatment of a patient with a diagnosis of benign solitary fibrous
tumor of the pleura is complete resection. These patients should be followed up
for a long time because of the possibility of late recurrence. Due to the rarity
of these tumors, there has been no systematic assessment of the role of adjuvant
therapy for benign solitary fibrous tumor of the pleura.
PMID- 26542952
TI - Qualitative real-time analysis by nurses of sublingual microcirculation in
intensive care unit: the MICRONURSE study.
AB - INTRODUCTION: We aimed to determine i) the feasibility of nurses taking bedside
measurements of microcirculatory parameters in real time in intensive care
patients; and ii) whether such measurements would be comparable to those obtained
by the classical delayed semi quantitative analysis made by a physician. METHODS:
This prospective observational study was conducted in a university hospital and
was approved by our local Institutional Review Board (IRB 00006477). After ICU
admission and study inclusion, a set of measurements of macrocirculatory and
microcirculatory parameters was taken by the nurse in charge of the patient every
4 h within the first 12 h after admission and before and after every hemodynamic
therapeutic intervention. Seventy-four sublingual microvascular measurements were
performed with incident dark field illumination (IDF) microscopy in 20
mechanically ventilated patients hospitalized in the ICU. RESULTS: There were no
significant differences between the microvascular flow index (MFI) taken in real
time by the nurses and the delayed evaluation by the physician. In fact, the
nurses' real-time measurement of MFI demonstrated good agreement with the
physician's delayed measurement. The mean difference between the two MFIs was
0.15, SD = 0.28. The nurses' real-time MFI assessment showed 97 % sensitivity (95
% CI: 84-99 %) and 95 % specificity (95 % CI: 84-99 %) at detecting a MFI <2.5
obtained by a physician upon delayed semiquantitative measurement. Concerning the
density, 81 % of the paramedical qualitative density measurements corresponded
with the automatized total vessel density (TVD) measurements. The nurses' real
time TVD assessment showed 77 % sensitivity (95 % CI: 46-95 %) and 100 %
specificity (95 % CI: 89-100 %) at detecting a TVD <8 mm/mm(2). CONCLUSION: A
real-time qualitative bedside evaluation of MFI by nurses showed good agreement
with the conventional delayed analysis by physicians. The bedside evaluations of
MFI and TVD were highly sensitive and specific for detecting impaired
microvascular flow and low capillary density. These results suggest that this
real-time technique could become part of ICU nurse routine surveillance and be
implemented in algorithms for hemodynamic resuscitation in future clinical trials
and regular practice. These results are an essential step to demonstrate whether
these real-time measurements have a clinical impact in the management of ICU
patients.
PMID- 26542953
TI - The costs of offering HPV-testing on self-taken samples to non-attendees of
cervical screening in Finland.
AB - BACKGROUND: Offering self-sampling to non-attendees of cervical screening
increases screening attendance. METHODS: We used observations from two Finnish
studies on the use of self-sampling among the non-attendees to estimate in a
hypothetical screening population of 100,000 women the possible costs per extra
screened woman and costs per extra detected and treated CIN2+ with three
intervention strategies; 1) a primary invitation and a reminder letter, 2) a
primary invitation and a mailed self-sampling kit and 3) two invitation letters
and a self-sampling kit. The program costs were derived from actual performance
and costs in the original studies and a national estimate on management costs of
HPV related diseases. RESULTS: The price per extra participant and price per
detected and treated CIN2+ lesion was lower with a reminder letter than by self
sampling as a first reminder. When self-sampling was used as a second reminder
with a low sampler price and a triage Pap-smear as a follow-up test for HPV
positive women instead of direct colposcopy referral, the eradication of a CIN2+
lesion by self-sampling was not more expensive than in routine screening, and the
addition of two reminders to the invitation protocol did not increase the price
of an treated CIN2+ lesion in the entire screened population. CONCLUSIONS: As a
first reminder, a reminder letter is most likely a better choice. As second
reminder, the higher costs of self-sampling might be compensated by the higher
prevalence of CIN2+ in the originally non-attending population.
PMID- 26542954
TI - Trends in prevalence of overweight and obesity: are Portuguese adolescents still
increasing weight?
AB - OBJECTIVE: To report the prevalence and trends of the BMI of Portuguese
adolescents in 2002, 2006 and 2010. METHODS: 4138 boys and 4472 girls self
reported weight, height, physical activity, perception of health and life
satisfaction. RESULTS: For adolescents aged 11-13 years, the prevalence of
overweight/obesity decreased from 23.5 % in 2002 to 20.7 % in 2010, using IOTF
cutoff points, and decreased from 32.4 to 28.4 % between 2002 and 2010 using WHO
cutoff points. For adolescents aged 15-17 years, the prevalence increased from
13.9 to 16.8 % between 2002 and 2010 using IOTF cutoff, and increased from 14.8 %
in 2002 to 18.2 % in 2010 when using WHO cutoff points. Although the prevalence
decreased among younger adolescents and increased among older ones, the
differences were not significant. Physical activity in the last 7 days (p <
0.05), better life satisfaction (p < 0.05) and perception of health (p < 0.001)
predicted lower body mass index z score. CONCLUSIONS: Overall, there have been no
significant changes in overweight and obesity prevalence in Portuguese
adolescents from 2002 to 2010. However, the prevalence remains high and therefore
it is important to continue surveillance.
PMID- 26542955
TI - Changes in stroke mortality trends and premature mortality due to stroke in
Serbia, 1992-2013.
AB - OBJECTIVES: To determine mortality trends and premature mortality due to stroke
in Serbia in 1992-2013 period. METHODS: We obtained mortality database from the
Statistical Office of Serbia. RESULTS: From 1992 to 2005, age-standardized
mortality rates (ASRs) per 100,000 for all stroke increased, with annual
percentage change (APC) of 1.01 % in men and 1.05 % in women. From 2005 to 2013,
ASRs decreased, with APC of -4.93 % in men, and -5.63 % in women. In men, years
of life lost (YLLs) for all stroke deaths were 21,710 in 1992; 22,193 in 2003 and
17,464 in 2013, with average years of life lost (AYLLs) of 3.46, 2.89 and 3.00,
respectively. In women, YLLs were 33,508 in 1992; 35,130 in 2003 and 21,676 in
2013, with AYLLs of 4.65; 3.57 and 2.97. CONCLUSIONS: From 1992 to 2013, ASRs and
YLLs for all stroke showed two segment trends in Serbia, with increase in the
first, and decrease in the second period. Due to the shorter AYLLs and longer
life tables, in 2013 stroke deaths occurred at >4 years older age in both sexes
than in 1992.
PMID- 26542957
TI - Capture of Tribolium castaneum and Tribolium confusum (Coleoptera: Tenebrionidae)
in Floor Traps: The Effect of Previous Captures.
AB - The impact of prior captures on the trapping performance of floor traps was
evaluated for the red flour beetle, Tribolium castaneum (Herbst) (Coleoptera:
Tenebrionidae), and the confused flour beetle, Tribolium confusum Jacquelin du
Val (Coleoptera: Tenebrionidae), in laboratory conditions. The effect of trap
seeding, adding adults of the same or different species, was evaluated in order
to determine possible effects of prior captures in the trap on each species'
behavioral responses. The presence of seeded beetles of the same species resulted
in an increase in beetle captures for both T. castaneum and T. confusum, but when
traps were seeded with the opposite species, there was no increase in beetle
captures for either species, and for T. castaneum overall captures in both seeded
and unseeded traps was reduced. Overall, T. castaneum tended to have greater
captures than T. confusum regardless of the treatment. When the two species were
released together, this negated the increased response to seeded traps observed
in the single-species treatments. These findings suggest the potential that the
presence of beetles in a trap may be influencing the response of beetles in a
nearby trap and that T. castaneum and T. confusum when they occur together may
influence each other's response to traps.
PMID- 26542956
TI - Fertility biomarkers to estimate metabolic risks in women with polycystic ovary
syndrome.
AB - PURPOSE: We sought to evaluate the relationship between the polycystic ovary
syndrome (PCOS)-defining characteristics and the risk of developing metabolic
complications in women presenting with complaints of infertility and/or menstrual
irregularities and subsequently diagnosed with PCOS. METHODS: This was a cross
sectional study. Women presenting with complaints of infertility and/or irregular
menses and diagnosed with PCOS by the Rotterdam criteria, underwent endocrine,
metabolic, and ultrasound assessment in the early follicular phase. Reproductive
and metabolic parameters were included in regression analysis models with the
PCOS-defining characteristics; ROC curves were calculated for the significant
predictors. RESULTS: Three hundred and seventy-four women with PCOS were included
in our study. Oligo-anovulation, menstrual irregularities, and hirsutism were not
predictive of any of the variables. Ovarian volume, follicle count, and
biochemical hyperandrogenism were predictors for hormonal, metabolic, and
endometrial complications. The relationships were independent of age and body
mass index. ROC curves identified lower cut-off values of the PCOS-defining
characteristics to predict patients' risks of hyperinsulinemia, dyslipidemia, and
glucose intolerance. CONCLUSIONS: Adverse metabolic effects of PCOS are already
present in women at the time they present complaining of infertility and/or
irregular menses. Hyperandrogenism and ultrasound can assist in predicting the
patients' concomitant metabolic abnormalities and can aid physicians in tailoring
counseling for effective preventive strategies.
PMID- 26542958
TI - OsNF-YC2 and OsNF-YC4 proteins inhibit flowering under long-day conditions in
rice.
AB - MAIN CONCLUSION: OsNF-YC2 and OsNF-YC4 proteins regulate the photoperiodic
flowering response through the modulation of three flowering-time genes ( Ehd1,
Hd3a , and RFT1 ) in rice. Plant NUCLEAR FACTOR Y (NF-Y) transcription factors
control numerous developmental processes by forming heterotrimeric complexes, but
little is known about their roles in flowering in rice. In this study, it is
shown that some subunits of OsNF-YB and OsNF-YC interact with each other, and
among them, OsNF-YC2 and OsNF-YC4 proteins regulate the photoperiodic flowering
response of rice. Protein interaction studies showed that the physical
interactions occurred between the three OsNF-YC proteins (OsNF-YC2, OsNF-YC4 and
OsNF-YC6) and three OsNF-YB proteins (OsNF-YB8, OsNF-YB10 and OsNF-YB11).
Repression and overexpression of the OsNF-YC2 and OsNF-YC4 genes revealed that
they act as inhibitors of flowering only under long-day (LD) conditions.
Overexpression of OsNF-YC6, however, promoted flowering only under LD conditions,
suggesting it could function as a flowering promoter. These phenotypes correlated
with the changes in the expression of three rice flowering-time genes [Early
heading date 1 (Ehd1), Heading date 3a (Hd3a) and RICE FLOWERING LOCUS T1
(RFT1)]. The diurnal and tissue-specific expression patterns of the subsets of
OsNF-YB and OsNF-YC genes were similar to those of CCT domain encoding genes such
as OsCO3, Heading date 1 (Hd1) and Ghd7. We propose that OsNF-YC2 and OsNF-YC4
proteins regulate the photoperiodic flowering response by interacting directly
with OsNF-YB8, OsNF-YB10 or OsNF-YB11 proteins in rice.
PMID- 26542960
TI - Severe iron deficiency anaemia associated with heavy lice infestation in a young
woman.
AB - Lice feed on human blood, and heavy and chronic lice infestation can lead to
chronic blood loss with resultant iron deficiency anaemia. Although no definite
relationship between lice infestation and iron deficiency anaemia has been
described, the concurrent presence of these two conditions has been reported in
children and adults, as well as in cattle. We present a case of a young woman
with severe iron deficiency anaemia that could not be explained by the known
causes of iron deficiency anaemia. However, the patient was found to have heavy
and chronic head lice infestation.
PMID- 26542959
TI - Conditional knockout of Foxc2 gene in kidney: efficient generation of conditional
alleles of single-exon gene by double-selection system.
AB - Foxc2 is a single-exon gene and a key regulator in development of multiple
organs, including kidney. To avoid embryonic lethality of conventional Foxc2
knockout mice, we conditionally deleted Foxc2 in kidneys. Conditional targeting
of a single-exon gene involves the large floxed gene segment spanning from
promoter region to coding region to avoid functional disruption of the gene by
the insertion of a loxP site. Therefore, in ES cell clones surviving a
conventional single-selection, e.g., neomycin-resistant gene (neo) alone,
homologous recombination between the long floxed segment and target genome
results in a high incidence of having only one loxP site adjacent to the
selection marker. To avoid this limitation, we employed a double-selection
system. We generated a Foxc2 targeting construct in which a floxed segment
contained 4.6 kb mouse genome and two different selection marker genes, zeocin
resistant gene and neo, that were placed adjacent to each loxP site. After double
selection by zeocin and neomycin, 72 surviving clones were screened that yielded
three correctly targeted clones. After floxed Foxc2 mice were generated by
tetraploid complementation, we removed the two selection marker genes by a
simultaneous-single microinjection of expression vectors for Dre and Flp
recombinases into in vitro-fertilized eggs. To delete Foxc2 in mouse kidneys,
floxed Foxc2 mice were mated with Pax2-Cre mice. Newborn Pax2-Cre;
Foxc2(loxP/loxP) mice showed kidney hypoplasia and glomerular cysts. These
results indicate the feasibility of generating floxed Foxc2 mice by double
selection system and simultaneous removal of selection markers with a single
microinjection.
PMID- 26542961
TI - Porcine liver vascular bed in Biodur E20 corrosion casts.
AB - BACKGROUND: Pigs are frequently used as animal models in experimental medicine.
To identify processes of vascular development or regression, vascular elements
must be recognised and quantified in a three-dimensional (3D) arrangement.
Vascular corrosion casts enable the creation of 3D replicas of vascular trees.
The aim of our study was to identify suitable casting media and optimise the
protocol for porcine liver vascular corrosion casting. MATERIALS AND METHODS:
Mercox II(r) (Ladd Research, Williston, Vermont, USA) and Biodur E20(r) Plus
(Biodur Products, Heidelberg, Germany) were tested in 4 porcine livers. The
resins (volume approximately 700 mL) were injected via the portal vein. Corrosion
casts were examined by macro-computed tomography, micro-computed tomography and
scanning electron microscopy. RESULTS: For hepatectomies, the operating protocol
was optimised to avoid gas or blood clot embolisation. We present a protocol for
porcine liver vascular bed casting based on corrosion specimens prepared using
Biodur E20(r) epoxy resin. CONCLUSIONS: Only Biodur E20(r)Plus appeared to be
suitable for high-volume vascular corrosion casting due to its optimal
permeability, sufficient processing time and minimum fragility. Biodur E20(r)
Plus is slightly elastic, radio-opaque and alcohol-resistant. These properties
make this acrylic resin suitable for not only vascular research but also teaching
purposes.
PMID- 26542962
TI - Clinical anatomy and clinical significance of the cervical intervertebral
foramen: a review.
AB - The aim of this paper is to summarise the knowledge about the anatomy of the
cervical intervertebral foramen as a whole. Such reviews are rare in the
literature. The intervertebral or neural foramen is the opening between the
spinal canal and the extraspinal region. It is located between the vertebral
pedicles at all spinal levels. A number of structures pass through the foramen:
nerves, vessels and ligaments. We describe the bony borders and dimensions of the
foramen, the adjacent ligaments, the arteries and veins passing through or
neighbouring it, and the neural components. Many procedures are performed in the
area of the cervical intervertebral foramen. Knowledge of the anatomy of the
foramen is essential in order to operate to the area and to minimize iatrogenic
injuries.
PMID- 26542963
TI - Cone beam computed tomography investigation of the antral artery anastomosis in a
population of Central Italy.
AB - BACKGROUND: The arterial vascular supply of maxillary sinus has to be considered
in all the surgical procedures where it is involved. In particular, the
intraosseous anastomosis between the posterior superior alveolar artery and the
infraorbital artery branches in the bony canal can be tricky to a not well aware
clinician. The aim of this study is to investigate the arterial blood supply of
the maxillary sinus to give clinicians the basis for a better understanding of
vascular complications that can derive from surgical procedures at this level.
MATERIALS AND METHODS: One hundred cone beam computed tomography were analysed by
the Imaging software for three-dimensional images, i-Dixel 2.0. The parameters
considered have been the presence (i), the calibre (ii), the dorso-ventral length
(iii) and the cranio-caudal distance (iv). The data have been processed with
means, standard deviations and verified by T-Student test. RESULTS: The
statistical outputs showed that the 38% of samples presented the intraosseous
anastomosis. Those anastomosis resulted long in dorso-ventral way both on left
(12.55 +/- 4.3 mm) and right side (12.4 +/- 4.3 mm). The mean cranio- -caudal
distance resulted 15.71 +/- 5.08 mm on the left and 14.73 +/- 4.74 mm on right
side. The calibre measurements resulted quite big as well: 1.68 +/- 0.3 mm on the
left and 1.54 +/- 0.38 mm on the right. The differences between the right and
left sides were found not statistically significant. CONCLUSIONS: This in vivo
investigation shows how a knowledge of the maxillary sinus vascularisation is
essential during the programming surgical phase in order to prevent blood
complications during the operations involving this region. (.
PMID- 26542964
TI - Synthesis, biological activity evaluation and molecular docking studies of novel
coumarin substituted thiazolyl-3-aryl-pyrazole-4-carbaldehydes.
AB - A novel series of coumarin substituted thiazolyl-3-aryl-pyrazole-4-carbaldehydes
(4a-o) were synthesized via an efficient, one-pot multicomponent approach
involving 3-(2-bromoacetyl)coumarins (1a-g), thiosemicarbazide (2) and
substituted acetophenones (3a-c) utilizing Vilsmeier-Haack reaction condition
with good yields. The title compounds structure was elucidated by spectroscopic
data (IR, NMR and Mass) and elemental analysis. All the synthesized compounds
were screened for their in vitro cytotoxic activity against MCF-7, DU-145 and
HeLa cell lines and studied detailed about molecular interaction of probable
target protein human microsomal cytochrome CYP450 2A6 using docking simulation.
These coumarin derivatives were exhibiting moderate to appreciable cytotoxic
activities. The compounds 4m and 4n exhibited significant cytotoxic activity with
IC50 values having 5.75 and 6.25MUM against HeLa cell line. Similarly compound 4n
also exhibiting good anti cancer property and antibacterial activity against DU
145 cell line and Gram negative bacterial strains.
PMID- 26542965
TI - Divinyl BODIPY derivative: Synthesis, photophysical properties, crystal
structure, photostability and bioimaging.
AB - 4,4-Difluoro-3,5-bis(3,3-dimethyl-1-butenyl)-8-anthryl-4-bora-3a,4a-diaza-s
indacene (1), a symmetric fluorescent difluoroboron dipyrromethene dye, was
produced in Knoevenagel reaction involving 4,4-difluoro-3,5-bis(methyl)-8-anthryl
4-bora-3a,4a-diaza-s-indacene (2) and pivaldehyde. Its crystal structure was
determined by single crystal X-ray diffraction analysis, and the photophysical
properties were investigated. The BODIPY 1 exhibits significant bathochromic
shifts in both absorption and fluorescence spectrum compared with the BODIPY 2.
In addition, the BODIPY 1 exhibited small energy gaps (2.11eV). The extensive pi
conjugation is responsible for their red-shifted emission. Cell imaging
experiments demonstrated its potential application as a biological fluorescent
probe due to its excellent imaging contrast.
PMID- 26542966
TI - Novel orally active inhibitors of beta-1,3-glucan synthesis derived from
enfumafungin.
AB - The clinical success of the echinocandins, which can only be administered
parentally, has validated beta-1,3-glucan synthase (GS) as an antifungal target.
Semi-synthetic modification of enfumafungin, a triterpene glycoside natural
product, was performed with the aim of producing a new class of orally active GS
inhibitors. Replacement of the C2 acetoxy moiety with various heterocycles did
not improve GS or antifungal potency. However, replacement of the C3 glycoside
with an aminoether moiety dramatically improved oral pharmacokinetic (PK)
properties while maintaining GS and antifungal potency. Installing an
aminotetrazole at C2 in conjunction with an N-alkylated aminoether at C3 produced
derivatives with significantly improved GS and antifungal potency that exhibited
robust oral efficacy in a murine model of disseminated candidiasis.
PMID- 26542968
TI - Role of computed tomography angiography and perfusion tomography in diagnosing
brain death: A systematic review.
AB - BACKGROUND: Several complications make the diagnosis of brain death (BD)
medically challenging and a complimentary method is needed for confirmation. In
this context, computed tomography angiography (CTA) and computed tomography
perfusion (CTP) could represent valuable alternatives; however, the reliability
of CTA and CTP for confirming brain circulatory arrest remains unclear. METHODS:
A systematic review was performed to identify relevant studies regarding the use
of CTA and CTP as ancillary tests for BD confirmation. RESULTS: Three hundred
twenty-two patients were eligible for the meta-analysis, which exhibited 87.5%
sensitivity. CTA image evaluation protocol exhibited variations between medical
institutions regarding which intracranial vessels should be considered to
determine positive or negative test results. CONCLUSIONS: For patients who were
previously diagnosed with BD according to clinical criteria, CTA demonstrated
high sensitivity to provide radiologic confirmation. The current evidence that
supports the use of CTA in BD diagnosis is comparable to other methods applied
worldwide.
PMID- 26542967
TI - Amino acid residues at positions 222 and 227 of the hemagglutinin together with
the neuraminidase determine binding of H5 avian influenza viruses to sialyl Lewis
X.
AB - Influenza viruses isolated from ducks are rarely able to infect chickens; it is
therefore postulated that these viruses need to adapt in some way to be able to
be transmitted to chickens in nature. Previous studies revealed that sialyl Lewis
X (3'SLeX), which is fucosylated alpha2,3 sialoside, was predominantly detected
on the epithelial cells of the chicken trachea, whereas this glycan structure is
not found in the duck intestinal tract. To clarify the mechanisms of the
interspecies transmission of influenza viruses between ducks and chickens, we
compared the receptor specificity of low-pathogenic avian influenza viruses
isolated from these two species. Glycan-binding analysis of the recombinant
hemagglutinin (HA) of a chicken influenza virus, A/chicken/Ibaraki/1/2005 (H5N2),
revealed a binding preference to alpha1,3 fucosylated sialosides. On the other
hand, the HA of a duck influenza virus, A/duck/Mongolia/54/2001 (H5N2) (Dk/MNG),
particularly bound to non-fucosylated alpha2,3 sialosides such as 3'
sialyllactosamine (3'SLacNAc). Computational analysis along with binding analysis
of the mutant HAs revealed that this glycan-binding specificity of the HA was
determined by amino acid residues at positions 222 and 227. Inconsistent with the
glycan-binding specificity of the recombinant HA protein, virions of Dk/MNG bound
to both 3'SLacNAc and 3'SLeX. Glycan-binding analysis in the presence of a
neuraminidase (NA) inhibitor revealed that the NA conferred binding to 3'SLeX to
virions of Dk/MNG. The present results reveal the molecular basis of the
interaction between fucosylated alpha2,3 sialosides and influenza viruses.
PMID- 26542969
TI - Spanish norms for affective and lexico-semantic variables for 1,400 words.
AB - Studies of semantic variables (e.g., concreteness) and affective variables (i.e.,
valence and arousal) have traditionally tended to run in different directions.
However, in recent years there has been growing interest in studying the
relationship, as well as the potential overlaps, between the two. This article
describes a database that provides subjective ratings for 1,400 Spanish words for
valence, arousal, concreteness, imageability, context availability, and
familiarity. Data were collected online through a process involving 826
university students. The results showed a high interrater reliability for all of
the variables examined, as well as high correlations between our affective and
semantic values and norms currently available in other Spanish databases.
Regarding the affective variables, the typical quadratic correlation between
valence and arousal ratings was obtained. Likewise, significant correlations were
found between the lexico-semantic variables. Importantly, we obtained moderate
negative correlations between emotionality and both concreteness and
imageability. This is in line with the claim that abstract words have more
affective associations than concrete ones (Kousta, Vigliocco, Vinson, Andrews, &
Del Campo, 2011). The present Spanish database is suitable for experimental
research into the effects of both affective properties and lexico-semantic
variables on word processing and memory.
PMID- 26542970
TI - A dyadic stimulus set of audiovisual affective displays for the study of
multisensory, emotional, social interactions.
AB - We describe the creation of the first multisensory stimulus set that consists of
dyadic, emotional, point-light interactions combined with voice dialogues. Our
set includes 238 unique clips, which present happy, angry and neutral emotional
interactions at low, medium and high levels of emotional intensity between nine
different actor dyads. The set was evaluated in a between-design experiment, and
was found to be suitable for a broad potential application in the cognitive and
neuroscientific study of biological motion and voice, perception of social
interactions and multisensory integration. We also detail in this paper a number
of supplementary materials, comprising AVI movie files for each interaction,
along with text files specifying the three dimensional coordinates of each point
light in each frame of the movie, as well as unprocessed AIFF audio files for
each dialogue captured. The full set of stimuli is available to download from:
http://motioninsocial.com/stimuli_set/ .
PMID- 26542971
TI - Tap Arduino: An Arduino microcontroller for low-latency auditory feedback in
sensorimotor synchronization experiments.
AB - Timing abilities are often measured by having participants tap their finger along
with a metronome and presenting tap-triggered auditory feedback. These
experiments predominantly use electronic percussion pads combined with software
(e.g., FTAP or Max/MSP) that records responses and delivers auditory feedback.
However, these setups involve unknown latencies between tap onset and auditory
feedback and can sometimes miss responses or record multiple, superfluous
responses for a single tap. These issues may distort measurements of tapping
performance or affect the performance of the individual. We present an
alternative setup using an Arduino microcontroller that addresses these issues
and delivers low-latency auditory feedback. We validated our setup by having
participants (N = 6) tap on a force-sensitive resistor pad connected to the
Arduino and on an electronic percussion pad with various levels of force and
tempi. The Arduino delivered auditory feedback through a pulse-width modulation
(PWM) pin connected to a headphone jack or a wave shield component. The Arduino's
PWM (M = 0.6 ms, SD = 0.3) and wave shield (M = 2.6 ms, SD = 0.3) demonstrated
significantly lower auditory feedback latencies than the percussion pad (M = 9.1
ms, SD = 2.0), FTAP (M = 14.6 ms, SD = 2.8), and Max/MSP (M = 15.8 ms, SD = 3.4).
The PWM and wave shield latencies were also significantly less variable than
those from FTAP and Max/MSP. The Arduino missed significantly fewer taps, and
recorded fewer superfluous responses, than the percussion pad. The Arduino
captured all responses, whereas at lower tapping forces, the percussion pad
missed more taps. Regardless of tapping force, the Arduino outperformed the
percussion pad. Overall, the Arduino is a high-precision, low-latency, portable,
and affordable tool for auditory experiments.
PMID- 26542972
TI - Reaction time effects in lab- versus Web-based research: Experimental evidence.
AB - Although Web-based research is now commonplace, it continues to spur skepticism
from reviewers and editors, especially whenever reaction times are of primary
interest. Such persistent preconceptions are based on arguments referring to
increased variation, the limits of certain software and technologies, and a
noteworthy lack of comparisons (between Web and lab) in fully randomized
experiments. To provide a critical test, participants were randomly assigned to
complete a lexical decision task either (a) in the lab using standard
experimental software (E-Prime), (b) in the lab using a browser-based version
(written in HTML and JavaScript), or (c) via the Web using the same browser-based
version. The classical word frequency effect was typical in size and corresponded
to a very large effect in all three conditions. There was no indication that the
Web- or browser-based data collection was in any way inferior. In fact, if
anything, a larger effect was obtained in the browser-based conditions than in
the condition relying on standard experimental software. No differences between
Web and lab (within the browser-based conditions) could be observed, thus
disconfirming any substantial influence of increased technical or situational
variation. In summary, the present experiment contradicts the still common
preconception that reaction time effects of only a few hundred milliseconds
cannot be detected in Web experiments.
PMID- 26542973
TI - Promises and pitfalls of Web-based experimentation in the advance of replicable
psychological science: A reply to Plant (2015).
AB - In a recent letter, Plant (2015) reminded us that proper calibration of our
laboratory experiments is important for the progress of psychological science.
Therefore, carefully controlled laboratory studies are argued to be preferred
over Web-based experimentation, in which timing is usually more imprecise. Here
we argue that there are many situations in which the timing of Web-based
experimentation is acceptable and that online experimentation provides a very
useful and promising complementary toolbox to available lab-based approaches. We
discuss examples in which stimulus calibration or calibration against response
criteria is necessary and situations in which this is not critical. We also
discuss how online labor markets, such as Amazon's Mechanical Turk, allow
researchers to acquire data in more diverse populations and to test theories
along more psychological dimensions. Recent methodological advances that have
produced more accurate browser-based stimulus presentation are also discussed. In
our view, online experimentation is one of the most promising avenues to advance
replicable psychological science in the near future.
PMID- 26542974
TI - Quantifying online visuomotor feedback utilization in the frequency domain.
AB - The utilization of sensory information during activities of daily living is
ubiquitous both prior to and during movements (i.e., related to planning and
online control, respectively). Because of the overlapping nature of online
corrective processes, the quantification of feedback utilization has proven
difficult. In the present study, we primarily sought to evaluate the utility of a
novel analysis in the frequency domain for identifying visuomotor feedback
utilization (i.e., online control). A second goal was to compare the sensitivity
of the frequency analysis to that of currently utilized measures of online
control. Participants completed reaching movements to targets located 27, 30, and
33 cm from a start position. During these reaches, vision of the environment was
either provided or withheld. Performance was assessed across contemporary
measures of online control. For the novel frequency analysis presented in this
study, the acceleration profiles of reaching movements were detrended with a 5th
order polynomial fit, and the proportional power spectra were computed from the
residuals of these fits. The results indicated that the use of visual feedback
during reaching movements increased the contribution of the 4.68-Hz frequency to
the residuals of the acceleration profiles. Comparisons across all measures of
online control showed that the most sensitive measure was the squared Fisher
transform of the correlation between the positions at 75 % and 100 % of the
movement time. However, because such correlational measures can be contaminated
by offline control processes, the frequency-domain analysis proposed herein
represents a viable and promising alternative to detect changes in online
feedback utilization.
PMID- 26542975
TI - Hierarchical Bayesian estimation and hypothesis testing for delay discounting
tasks.
AB - A state-of-the-art data analysis procedure is presented to conduct hierarchical
Bayesian inference and hypothesis testing on delay discounting data. The delay
discounting task is a key experimental paradigm used across a wide range of
disciplines from economics, cognitive science, and neuroscience, all of which
seek to understand how humans or animals trade off the immediacy verses the
magnitude of a reward. Bayesian estimation allows rich inferences to be drawn,
along with measures of confidence, based upon limited and noisy behavioural data.
Hierarchical modelling allows more precise inferences to be made, thus using
sometimes expensive or difficult to obtain data in the most efficient way. The
proposed probabilistic generative model describes how participants compare the
present subjective value of reward choices on a trial-to-trial basis, estimates
participant- and group-level parameters. We infer discount rate as a function of
reward size, allowing the magnitude effect to be measured. Demonstrations are
provided to show how this analysis approach can aid hypothesis testing. The
analysis is demonstrated on data from the popular 27-item monetary choice
questionnaire (Kirby, Psychonomic Bulletin & Review, 16(3), 457-462 2009), but
will accept data from a range of protocols, including adaptive procedures. The
software is made freely available to researchers.
PMID- 26542976
TI - Former drug firm president is charged with masterminding kickback scheme for
physicians.
PMID- 26542978
TI - Differential regulation by AMP and ADP of AMPK complexes containing different
gamma subunit isoforms.
AB - The gamma subunits of heterotrimeric AMPK complexes contain the binding sites for
the regulatory adenine nucleotides AMP, ADP and ATP. We addressed whether
complexes containing different gamma isoforms display different responses to
adenine nucleotides by generating cells stably expressing FLAG-tagged versions of
the gamma1, gamma2 or gamma3 isoform. When assayed at a physiological ATP
concentration (5 mM), gamma1- and gamma2-containing complexes were allosterically
activated almost 10-fold by AMP, with EC50 values one to two orders of magnitude
lower than the ATP concentration. By contrast, gamma3 complexes were barely
activated by AMP under these conditions, although we did observe some activation
at lower ATP concentrations. Despite this, all three complexes were activated,
due to increased Thr(172) phosphorylation, when cells were incubated with
mitochondrial inhibitors that increase cellular AMP. With gamma1 complexes,
activation and Thr(172) phosphorylation induced by the upstream kinase LKB1
[liver kinase B1; but not calmodulin-dependent kinase kinase (CaMKKbeta)] in cell
free assays was markedly promoted by AMP and, to a smaller extent and less
potently, by ADP. However, effects of AMP or ADP on activation and
phosphorylation of the gamma2 and gamma3 complexes were small or insignificant.
Binding of AMP or ADP protected all three gamma subunit complexes against
inactivation by Thr(172) dephosphorylation; with gamma2 complexes, ADP had
similar potency to AMP, but with gamma1 and gamma3 complexes, ADP was less potent
than AMP. Thus, AMPK complexes containing different gamma subunit isoforms
respond differently to changes in AMP, ADP or ATP. These differences may tune the
responses of the isoforms to fit their differing physiological roles.
PMID- 26542979
TI - miR-1343 attenuates pathways of fibrosis by targeting the TGF-beta receptors.
AB - Irreversible respiratory obstruction resulting from progressive airway damage,
inflammation and fibrosis is a feature of several chronic respiratory diseases,
including cystic fibrosis (CF), idiopathic pulmonary fibrosis (IPF) and chronic
obstructive pulmonary disease (COPD). The cytokine transforming growth factor
beta (TGF-beta) has a pivotal role in promoting lung fibrosis and is implicated
in respiratory disease severity. In the present study, we show that a previously
uncharacterized miRNA, miR-1343, reduces the expression of both TGF-beta receptor
1 and 2 by directly targeting their 3'-UTRs. After TGF-beta exposure, elevated
intracellular miR-1343 significantly decreases levels of activated TGF-beta
effector molecules, pSMAD2 (phosphorylated SMAD2) and pSMAD3 (phosphorylated
SMAD3), when compared with a non-targeting control miRNA. As a result, the
abundance of fibrotic markers is reduced, cell migration into a scratch wound
impaired and epithelial-to-mesenchymal transition (EMT) repressed. Mature miR
1343 is readily detected in human neutrophils and HL-60 cells and is activated in
response to stress in A549 lung epithelial cells. miR-1343 may have direct
therapeutic applications in fibrotic lung disease.
PMID- 26542981
TI - Esophageal submucosal gland duct adenoma: a clinicopathological and
immunohistochemical study with a review of the literature.
AB - Esophageal submucosal gland duct adenoma (ESGDA) is a rare tumor. The
clinicopathological features of the ESGDA and its precursor lesion have not been
comprehensively evaluated. In this study, we aimed at delineating the
clinicopathological features of the ESGDA and cyst formation of the esophageal
submucosal gland duct (ESGD), as well as their correlations and clinical
implications. We identified three cases of ESGDA and 16 cases of cyst formation
of the ESGD among 786 endoscopic mucosal resection specimens over a 7-year
period. The median patient age was 58 years with a male predominance. These
lesions were small submucosal bulges locating at the lower esophagus with a size
no more than 1 cm. The main microscopic changes of these lesions included content
retention, multilayered epithelium or papillary folds of the ESGD and
inflammatory cell infiltration, acidophilic degeneration, hyperplasia or atrophy
of the acini. The included cases generally showed moderate to severe microscopic
esophagitis. The ESGDA was mainly consisted by multiple glandular cysts covered
by two layers of cells. Immunohistochemical results showed that the luminal duct
lining cells and basal cells were positive for CK7 and p63, respectively. Both of
the two layer cells were positive for HMWCK and negative for CK20, p53, CDX2,
MUC5AC, MUC6, MUC2 and MUC1. The proliferation index was very low (1%). The
diagnostic criteria of the ESGDA were proposed and, the differential diagnosis
was discussed. Cyst formation of the ESGD is considered to be the precursor
lesion of the ESGDA, because they have overlapping clinicopathological features
with progressive relationship. In addition, the ESGDA have close connection with
advance of the GERD and, probably, an increased risk of carcinoma.
PMID- 26542980
TI - Giant peroxisomes in a moss (Physcomitrella patens) peroxisomal biogenesis factor
11 mutant.
AB - Peroxisomal biogenesis factor 11 (PEX11) proteins are found in yeasts, mammals
and plants, and play a role in peroxisome morphology and regulation of peroxisome
division. The moss Physcomitrella patens has six PEX11 isoforms which fall into
two subfamilies, similar to those found in monocots and dicots. We carried out
targeted gene disruption of the Phypa_PEX11-1 gene and compared the morphological
and cellular phenotypes of the wild-type and mutant strains. The mutant grew more
slowly and the development of gametophores was retarded. Mutant chloronemal
filaments contained large cellular structures which excluded all other cellular
organelles. Expression of fluorescent reporter proteins revealed that the mutant
strain had greatly enlarged peroxisomes up to 10 MUm in diameter. Expression of a
vacuolar membrane marker confirmed that the enlarged structures were not
vacuoles, or peroxisomes sequestered within vacuoles as a result of pexophagy.
Phypa_PEX11 targeted to peroxisome membranes could rescue the knock out phenotype
and interacted with Fission1 on the peroxisome membrane. Moss PEX11 functions in
peroxisome division similar to PEX11 in other organisms but the mutant phenotype
is more extreme and environmentally determined, making P. patens a powerful
system in which to address mechanisms of peroxisome proliferation and division.
PMID- 26542982
TI - Azaphilic versus Carbophilic Coupling at C=N Bonds: Key Steps in Titanium
Assisted Multicomponent Reactions.
AB - Consecutive C- and N-arylation of N-heterocyclic nitriles is mediated by
titanium(IV) alkoxides. The carbo- and azaphilic arylation step may be separated
by choosing the order in which the two equivalents of aryl transfer reagent are
added. In the course of this transformation, the ancillary N-heterocycle acts as
both a directing anchor group and electron reservoir. In the selectivity
determining step, the selectivity is governed by a choice between (direct) C- and
Ti-arylation; the latter opens up a reaction pathway that allows further
migration to the nitrogen atom. The isolation of metal-containing aggregates from
the reaction mixture and computational studies gave insights into the reaction
mechanism. Subsequently, a multicomponent one-pot protocol was devised to rapidly
access complex quaternary carbon centers.
PMID- 26542977
TI - Modulation of excitatory neurotransmission by neuronal/glial signalling
molecules: interplay between purinergic and glutamatergic systems.
AB - Glutamate is the main excitatory neurotransmitter of the central nervous system
(CNS), released both from neurons and glial cells. Acting via ionotropic (NMDA,
AMPA, kainate) and metabotropic glutamate receptors, it is critically involved in
essential regulatory functions. Disturbances of glutamatergic neurotransmission
can be detected in cognitive and neurodegenerative disorders. This paper
summarizes the present knowledge on the modulation of glutamate-mediated
responses in the CNS. Emphasis will be put on NMDA receptor channels, which are
essential executive and integrative elements of the glutamatergic system. This
receptor is crucial for proper functioning of neuronal circuits; its hypofunction
or overactivation can result in neuronal disturbances and neurotoxicity. Somewhat
surprisingly, NMDA receptors are not widely targeted by pharmacotherapy in
clinics; their robust activation or inhibition seems to be desirable only in
exceptional cases. However, their fine-tuning might provide a promising
manipulation to optimize the activity of the glutamatergic system and to restore
proper CNS function. This orchestration utilizes several neuromodulators. Besides
the classical ones such as dopamine, novel candidates emerged in the last two
decades. The purinergic system is a promising possibility to optimize the
activity of the glutamatergic system. It exerts not only direct and indirect
influences on NMDA receptors but, by modulating glutamatergic transmission, also
plays an important role in glia-neuron communication. These purinergic functions
will be illustrated mostly by depicting the modulatory role of the purinergic
system on glutamatergic transmission in the prefrontal cortex, a CNS area
important for attention, memory and learning.
PMID- 26542983
TI - Pattern-Reversal Visual Evoked Potential Parameters and Migraine in the Teenage
Population.
AB - Although migraine represents one of the most common form of primary headache in
the teenage population, most neurophysiologic studies are only on the adulthood.
We investigated 38 teenage patients with migraine with aura, 17 male and 21
female, with a mean age of 16.2 years, comparing them with gender- and age
matched patients with migraine without aura and healthy subjects. Also,
characteristics of aura were correlated with pattern-reversal visual evoked
potential parameters. There was a significant difference in left and right eye N2
wave latencies between migraine with aura and migraine without aura patients or
healthy controls. In migraine with aura and migraine without aura, 26.3% of
patients had abnormal wave latency. Reported tunnel vision during the aura was
correlated with lower N1P1 and/or P1N2 wave amplitudes. Also, higher amplitude in
patients with migraine with aura correlated with younger age and earlier disease
onset, whereas longer aura duration correlated with prolonged wave latency.
Findings suggest that migraine subtypes may be differentiated on the basis of N2
wave latency prolongation.
PMID- 26542984
TI - Temsirolimus Maintenance Therapy After Docetaxel Induction in Castration
Resistant Prostate Cancer.
AB - LESSONS LEARNED: Temsirolimus maintenance therapy after docetaxel induction
chemotherapyis safe in patients with castration-resistant prostate cancer,
although biochemical or tumor responses are rare;does not diminish quality of
life; anddelays radiological and/or symptomatic progression by approximately 6
months. BACKGROUND: No standard therapy is available for men with castration
resistant prostate cancer (CRPC) who have responded to docetaxel and do not yet
have disease progression. Hence, we designed a single-arm phase II trial to
explore whether the mTOR inhibitor temsirolimus can maintain the response to
docetaxel without compromising quality of life. METHODS: After successful
docetaxel induction (75 mg/m(2) every 3 weeks; 6-10 cycles), 21 CRPC patients
underwent temsirolimus maintenance treatment (25 mg weekly; 4 weeks per cycle).
The primary endpoint was the time to treatment failure (TTTF) (i.e., radiological
and/or symptomatic progression). The secondary endpoints included the tumor
response rate (RECIST 1.0), safety (National Cancer Institute Common Terminology
Criteria for Adverse Events, version 3.0), quality of life (Functional Assessment
of Cancer Therapy-Prostate [FACT-P]), pain (Present Pain Intensity [PPI] scale),
prostate-specific antigen (PSA) parameters, including time to PSA progression
(TTPP) according to Prostate Cancer Clinical Trials Working Group criteria, and
serial enumeration of circulating endothelial cells (CECs) and endothelial
progenitor cells (CEPs). RESULTS: Patients received a median of 7 cycles of
temsirolimus (range, 1-28), resulting in a median TTTF of 24.3 weeks (95%
confidence interval [CI], 16.1-33.0), 1 partial tumor response (4.8%), 1 PSA
response (4.8%), and a median TTPP of 12.2 weeks (95% CI, 7.8-23.9). Grade 3-4
adverse events were infrequent, and FACT-P and PPI scores remained stable during
treatment. CECs did not predict clinical benefit, and CEPs were not consistently
detectable. CONCLUSION: Temsirolimus maintenance therapy after successful
docetaxel induction is feasible, does not adversely affect quality of life, and,
in this exploratory single-arm phase II study, resulted in a median TTTF of 24.3
weeks.
PMID- 26542985
TI - Button hole hernioplasty: A new technique for treatment of umblical hernia in
cirrhotic patients. A prospective follow up study.
AB - BACKGROUND: Surgical treatment of umbilical hernia in cirrhotic patients is still
an interesting topic in many studies to achieve the best method of treatment.
These patients are liable to many surgical and medical risks. AIMS: to evaluate
the surgical outcome as well as the postoperative course of Button hole
hernioplasty as a simple, safe, and effective new technique for hernia repair in
cirrhotic patients. METHODS: Forty cirrhotic patients with uncomplicated
umbilical hernia were included in this study through collaboration between
Departments of General Surgery and Tropical Medicine and Gastroenterology, Assiut
University Hospital, Assiut, during one year period. Patients were categorized
according to the severity of liver cirrhosis into three groups (A, B, and C).
Patients were subjected to an elective hernioplasty after adjustment of the
disturbed medical and biochemical factors. RESULTS: There was a significant
difference in operative time, hospital stay, and prothrombine (time and
concentration) among the three groups (p < 0.05). The three parameters were
longest in group C when compared to the other two groups. No severe complications
were recorded except in only one case. Also, no recurrence, no morbidities or
deaths were recorded after 6 months follow-up. CONCLUSIONS: Button hole
hernioplasty is a new simple surgical technique for treatment of umbilical hernia
in cirrhotic patients with no significant complications.
PMID- 26542986
TI - Seizure outcome following primary motor cortex-sparing resective surgery for
perirolandic focal cortical dysplasia.
AB - OBJECTIVES: We present a case series of patients who underwent perirolandic
resection for medically refractory focal epilepsy due to focal cortical dysplasia
(FCD). Our aim was to specifically evaluate the outcome of a surgical strategy
intended for seizure freedom while preserving primary motor cortex function.
MATERIALS AND METHODS: Thirteen patients undergoing perirolandic resection for
pharmacoresistant focal epilepsy between 2010 and 2015 who demonstrated
histological evidence of FCD were selected from a prospectively maintained
database. Presurgical evaluation included video EEG telemetry and 3T MRI brain
for all patients. Eight patients underwent interictal FDG PET scan. Intracranial
EEG monitoring was done for 8 patients - six by conventional subdural grid and
depth electrodes and two by Stereo EEG. Additional techniques included
extraoperative cortical stimulation mapping, intraoperative electrocorticography
(ECoG), intraoperative motor cortex mapping and awake surgery in various
combinations. In all cases (lesional and nonlesional), resection was
intentionally limited for anatomic preservation of the primary motor cortex.
RESULTS: Amongst the thirteen patients with age ranging 14-44 years (mean 26.8 +/
9.2) 62% of them had daily seizures. MRI abnormalities were identified in 8
patients (62%), PET showed concordant findings in 7 patients (88%). When
utilized, the mean duration of intracranial EEG recordings was 8.0 +/- 7.2 days
(range 2-23 days). All patients underwent a primary motor cortex-sparing
resection of the suspected epileptogenic cortex. The mean postoperative follow up
period was 23 months (range 7.5-62 months). Twelve out of 13 (92%) were seizure
free (Engel 1) outcome at the last follow-up assessment; one patient had Engel 2a
outcome at 28 months. Six patients (46%) had immediate new focal neurological
deficits, however all six patients had recovered completely within three months.
CONCLUSION: The surgical strategy of a primary motor cortex-sparing resective
surgery for perirolandic FCD is associated with an excellent early seizure
freedom rate and no permanent neurological deficits. Since the ultimate goal of
resective epilepsy surgery is seizure freedom with simultaneous functional
preservation, similar long term outcome studies should ultimately guide the
resection strategy.
PMID- 26542987
TI - Surgical treatment of dorsal perilunate fracture-dislocations and prognostic
factors.
AB - INTRODUCTION: Perilunate injuries are rare entities which can be difficult to
diagnose. Most common type is dorsal perilunate fracture dislocation (97%). The
purpose of treatment is anatomic reduction and stable fixation. We aimed to
present the radiologic and functional results of surgically treated dorsal
perilunate fracture-dislocations and discuss the factors influencing the
prognosis. METHODS: Between 2007 and 2013, 17 patients were operated for
perilunate fracture-dislocations. The mechanism of injuries, soft tissue traumas,
etiologic factors and stages according to Herzberg classification were
determined. The MAYO wrist score was used for functional evaluation. Scapholunate
distance and scapholunate angle were measured and, degenerative changes were
investigated by comparing with contralateral side on plain x-ray images in terms
of radiologic evaluation. RESULTS: Mean follow-up was 37,8 (range, 16-84) months.
The average age at surgery was 35.1 (range, 18-51) years. Fifteen patients were
male and two were female. Functional results were excellent in four (23.5%), good
in two (11.8%), satisfactory in five (29.4%) and poor in six (35.3%) patients.
Degenerative changes were determined in radiocarpal and mid-carpal joints of 14
wrists (82.4%). Scapholunate dissociation more than 2 mm was detected in three
wrists. In four wrists osteochondral fragments were determined on the head of the
capitate. Stage 2 lesions, delayed presentations, open fractures, scapholunate
dissociations more than 2 mm had worse functional results. CONCLUSION: Despite
anatomic reduction, ligamentous and chondral injuries that occured at the time of
trauma may cause persistant wrist pain in patients who suffer perilunate fracture
dislocation. Mechanism of injury, presence of soft tissue defects and the time
between injury and treatment can affect clinical and radiologic results.
PMID- 26542988
TI - Patient selection for laparoscopic excision of adrenal metastases: A multicenter
cohort study.
AB - INTRODUCTION: The use of laparoscopy for the excision of adrenal metastasis
remains controversial. We aimed to report oncological and perioperative outcomes
of laparoscopic excision of adrenal metastases and to seek for predictive factors
of unfavorable oncological outcomes. METHODS: A retrospective chart review was
conducted and all consecutive patients who underwent laparoscopic adrenalectomy
(LA) in the setting of metastatic cancer in two academic urology departments from
November 2006 through January 2014 were included. Primary tumors were categorized
as pulmonary, renal or "other primary" tumors to allow statistical comparison.
Unfavorable surgical outcomes were defined as the occurrence of either
postoperative complications and/or positive surgical margins. RESULTS: Forty
three patients who underwent a total of 45 LA were included for analysis. There
were 8 complications (17.8%). Positive surgical margins were found in 12
specimens (26.7%). After a median follow-up of 37 months, estimated overall
survival rates were 89.5% and 51.5% at 1 year and 5 years, respectively. In
multivariable analysis the only predictor of unfavorable surgical outcomes was a
tumor size >5 cm (OR = 20.5; p = 0.001). In multivariate analysis the pulmonary
(OR = 0.3; p = 0.008) or "other" (OR = 0.1; p = 0.0006) origin of the primary
tumor was the only prognostic factor of shorter cancer specific survival.
CONCLUSION: Laparoscopic resection of adrenal metastasis can be safely performed
in most patients but is associated with an increased risk of positive surgical
margins and postoperative complications in larger tumors (>5 cm). Adrenalectomy
provides better oncological outcomes in metastases from renal cell carcinoma
compared to other primary tumors.
PMID- 26542989
TI - Role of imaging in cardiac amyloidosis: An ongoing challenge.
PMID- 26542990
TI - A useful and easy to develop combined stress test for myocardial perfusion
imaging: Regadenoson and isometric exercise, preliminary results.
AB - BACKGROUND: Regadenoson, a selective A2a receptor agonist, is a vasodilator
increasingly used in myocardial perfusion imaging. Adjunction of isometric
exercise is a simple method that could improve side effect profile while
providing better image quality. METHODS: Patients undergoing SPECT MPI were
prospectively enrolled in handgrip-Regadenoson (HG-Reg test, N = 20) and
Regadenoson (Reg) stress test (N = 40). Investigator blinded to stress test
analyzed clinical data and images. RESULTS: Heart rate (HR) increase was
statistically higher in the HG-Reg group (27 vs 22 bpm, P = .019). Decrease in
SBP was less frequent in the HG-Reg group than in the Reg group (55% vs 85.5%, P
= .005), there were less drops >10 mmHg (45% vs 77.7%, P = .012). During stress
testing, fewer subjects reported at least one side effect in the HG-Reg compared
to Reg group (70% vs 92.5%, P = .021). Images were more often classified as good
in the HG-Reg group (75% vs 52.5% in the Reg group, P = .25). CONCLUSIONS:
Adjunction of handgrip exercise to Regadenoson administration is a well-tolerated
and easy method, without loss of time. Furthermore, image quality seems to be
better.
PMID- 26542991
TI - Review of cardiovascular imaging in the journal of nuclear cardiology in 2015.
Part 1 of 2: Plaque imaging, positron emission tomography, computed tomography,
and magnetic resonance.
AB - In 2015, many original articles pertaining to cardiovascular imaging with
impressive quality were published in the Journal of Nuclear Cardiology. In a set
of 2 articles, we provide an overview of these contributions to facilitate for
the interested reader a quick review of the advancements that occurred in the
field over this year. In this first article, we focus on arterial plaque imaging,
cardiac positron emission tomography, computed tomography, and magnetic resonance
imaging.
PMID- 26542992
TI - Debate: Molecular cardiac imaging is ready for the prime time con between wishful
thinking and reality.
PMID- 26542993
TI - Molecular cardiovascular imaging is ready for prime time: almost there.
PMID- 26542994
TI - Pessimistic prophets.
PMID- 26542995
TI - Does melatonin influence the apoptosis in rat uterus of animals exposed to
continuous light?
AB - Melatonin has been described as a protective agent against cell death and
oxidative stress in different tissues, including in the reproductive system.
However, the information on the action of this hormone in rat uterine apoptosis
is low. Our objective was to evaluate the effects of melatonin on mechanisms of
cell death in uterus of rats exposed to continuous light stress. Twenty adult
Wistar rats were divided into two groups: GContr (vehicle control) and GExp which
were treated with melatonin (0.4 mg/mL), both were exposed to continuous light
for 90 days. The uterus was removed and processed for quantitative real time PCR
(qRT-PCR), using PCR-array plates of the apoptosis pathway; for
immunohistochemistry and TUNEL. The results of qRT-PCR of GEXP group showed up
regulation of 13 and 7, pro-apoptotic and anti-apoptotic genes, respectively,
compared to GContr group. No difference in pro-apoptotic proteins (Bax, Fas and
Faslg) expression was observed by immunohistochemistry, although the number of
TUNEL-positive cells was lower in the group treated with melatonin compared to
the group not treated with this hormone. Our data suggest that melatonin
influences the mechanism and decreases the apoptosis in uterus of rats exposed to
continuous light.
PMID- 26542996
TI - Melatonin reduces excitotoxic blood-brain barrier breakdown in neonatal rats.
AB - The blood-brain barrier (BBB) is a complex structure that protects the central
nervous system from peripheral insults. Understanding the molecular basis of BBB
function and dysfunction holds significant potential for future strategies to
prevent and treat neurological damage. The aim of our study was (1) to
investigate BBB alterations following excitotoxicity and (2) to test the
protective properties of melatonin. Ibotenate, a glutamate analog, was injected
intracerebrally in postnatal day 5 (P5) rat pups to mimic excitotoxic injury.
Animals were than randomly divided into two groups, one receiving intraperitoneal
(i.p.) melatonin injections (5mg/kg), and the other phosphate buffer saline (PBS)
injections. Pups were sacrificed 2, 4 and 18 h after ibotenate injection. We
determined lesion size at 5 days by histology, the location and organization of
tight junction (TJ) proteins by immunohistochemical studies, and BBB leakage by
dextran extravasation. Expression levels of BBB genes (TJs, efflux transporters
and detoxification enzymes) were determined in the cortex and choroid plexus by
quantitative PCR. Dextran extravasation was seen 2h after the insult, suggesting
a rapid BBB breakdown that was resolved by 4h. Extravasation was significantly
reduced in melatonin-treated pups. Gene expression and immunohistochemical assays
showed dynamic BBB modifications during the first 4h, partially prevented by
melatonin. Lesion-size measurements confirmed white matter neuroprotection by
melatonin. Our study is the first to evaluate BBB structure and function at a
very early time point following excitotoxicity in neonates. Melatonin
neuroprotects by preventing TJ modifications and BBB disruption at this early
phase, before its previously demonstrated anti-inflammatory, antioxidant and
axonal regrowth-promoting effects.
PMID- 26542997
TI - The role of intramolecular self-destruction of reactive metabolic intermediates
in determining toxicity.
AB - When reactive centers are formed in chemical conversions, intermolecular
reactions tend to dominate over intramolecular alternatives whenever both
alternatives are possible. Hence, when reactive metabolites are formed from
xenobiotics, intramolecular quenching by moieties adjacent to a toxicophore may
play an important role in reducing toxicity related to reactive intermediates.
The phenomenon is likely to be particularly noticeable for toxicophores that are
readily associated with a type of toxicity that is rarely caused by other
structural motives. In two demonstrative investigations, it is concluded that
nitrobenzenes for which the expected nitrosyl metabolite is likely to react with
adjacent groups are less toxic than what is rationally expected, and that among
aryl amine drugs allowing for the immediate quenching of the corresponding N-aryl
hydroxylamine metabolite, the typical erythrocyte toxicity often seen with aryl
amines is absent. The deliberate introduction of effective quenching groups
nearby a toxicophoric moiety may present a potential strategy for reducing
toxicity in the design of drugs and other man-made xenobiotics.
PMID- 26542998
TI - Calcified nodules on fingers in primary hyperoxaluria type 2.
PMID- 26542999
TI - When should we change our clinical practice based on the results of a clinical
study? Diagnostic accuracy studies I: the study design.
PMID- 26543000
TI - Lesser saphenous vein thrombosis diagnosed by point-of-care ultrasound in a
patient presenting with pulmonary embolism.
PMID- 26543001
TI - Ultrasound-guided drainage of peritonsillar abscess: shoot with your hockey
stick.
PMID- 26543002
TI - Brain size regulations by cbp haploinsufficiency evaluated by in-vivo MRI based
volumetry.
AB - The Rubinstein-Taybi Syndrome (RSTS) is a congenital disease that affects brain
development causing severe cognitive deficits. In most cases the disease is
associated with dominant mutations in the gene encoding the CREB binding protein
(CBP). In this work, we present the first quantitative analysis of brain
abnormalities in a mouse model of RSTS using magnetic resonance imaging (MRI) and
two novel self-developed automated algorithms for image volumetric analysis. Our
results quantitatively confirm key syndromic features observed in RSTS patients,
such as reductions in brain size (-16.31%, p < 0.05), white matter volume (
16.00%, p < 0.05), and corpus callosum (-12.40%, p < 0.05). Furthermore, they
provide new insight into the developmental origin of the disease. By comparing
brain tissues in a region by region basis between cbp(+/-) and cbp(+/+)
littermates, we found that cbp haploinsufficiency is specifically associated with
significant reductions in prosencephalic tissue, such us in the olfactory bulb
and neocortex, whereas regions evolved from the embryonic rhombencephalon were
spared. Despite the large volume reductions, the proportion between gray-, white
matter and cerebrospinal fluid were conserved, suggesting a role of CBP in brain
size regulation. The commonalities with holoprosencephaly and arhinencephaly
conditions suggest the inclusion of RSTS in the family of neuronal migration
disorders.
PMID- 26543003
TI - Complementing Graphenes: 1D Interplanar Charge Transport in Polymeric Graphitic
Carbon Nitrides.
AB - Charge transport in polymeric graphitic carbon nitrides is shown to proceed via
diffusive hopping of electron and hole polarons with reasonably high mobilities
>10(-5) cm(2) V(-1) s(-1). The power-law behavior of the ultrafast luminescence
decay exhibits that the predominant transport direction is perpendicular to the
graphitic polymer sheets, thus complementing 2D materials like graphene.
PMID- 26543005
TI - Role of Laser Doppler for the Evaluation of Pedal Microcirculatory Function in
Diabetic Neuropathy Patients.
AB - OBJECTIVE: We evaluated whether LD can detect alterations in skin
microcirculatory flow in type II diabetic neuropathy patients and determined
which parameters were most predictive. METHODS: A prospective analysis was
performed for three groups with presumed varying degrees of microvascular
dysfunction: diabetics with neuropathy (DMN, n = 20), diabetics without
microangiopathic complications (DM, n = 20), and healthy controls (n = 16). LD
was performed under strictly controlled protocols with provocation, consisting of
vasoconstrictive (valsalva, postural) and vasodilative tests (PORH, LTH).
RESULTS: There was an overall decrease in LD values in response to both
vasoconstrictive and vasodilative provocations in DMN patients compared to DM and
control groups. Statistically significant parameters were as follows: valsalva,
PORH and LTH between DMN and control; valsalva only between DMN and DM; and PORH
and LTH between DM and control. ROC curve analysis showed that Valsalva was the
most accurate parameter in DMN patients. CONCLUSIONS: LD could consistently
detect differences in microcirculatory flow between the three study groups
consisting of gradually more severe microvascular dysfunction. The Valsalva
parameter was the most accurate in detecting established microvascular
dysfunction, whereas PORH and LTH may have a possible role for detection of early
microvascular impairment.
PMID- 26543004
TI - Intrinsic Visual-Motor Synchrony Correlates With Social Deficits in Autism.
AB - BACKGROUND: Imitation, which is impaired in children with autism spectrum
disorder (ASD) and critically depends on the integration of visual input with
motor output, likely impacts both motor and social skill acquisition in children
with ASD; however, it is unclear what brain mechanisms contribute to this
impairment. Children with ASD also exhibit what appears to be an ASD-specific
bias against using visual feedback during motor learning. Does the temporal
congruity of intrinsic activity, or functional connectivity, between motor and
visual brain regions contribute to ASD-associated deficits in imitation, motor,
and social skills? METHODS: We acquired resting-state functional magnetic
resonance imaging scans from 100 8- to 12-year-old children (50 ASD). Group
independent component analysis was used to estimate functional connectivity
between visual and motor systems. Brain-behavior relationships were assessed by
regressing functional connectivity measures with social deficit severity,
imitation, and gesture performance scores. RESULTS: We observed increased
intrinsic asynchrony between visual and motor systems in children with ASD and
replicated this finding in an independent sample from the Autism Brain Imaging
Data Exchange. Moreover, children with more out-of-sync intrinsic visual-motor
activity displayed more severe autistic traits, while children with greater
intrinsic visual-motor synchrony were better imitators. CONCLUSIONS: Our twice
replicated findings confirm that visual-motor functional connectivity is
disrupted in ASD. Furthermore, the observed temporal incongruity between visual
and motor systems, which may reflect diminished integration of visual
consequences with motor output, was predictive of the severity of social deficits
and may contribute to impaired social-communicative skill development in children
with ASD.
PMID- 26543006
TI - Clinical Update on Nursing Home Medicine: 2015.
AB - This is the ninth yearly update on clinical care in the nursing home. Topics
covered this year are disease management in frail elders, heart failure,
pneumonia, mild cognitive impairment, meaningful activities in the nursing home,
atrial fibrillation, and anticoagulation.
PMID- 26543007
TI - The Effect of an Online Cognitive Training Package in Healthy Older Adults: An
Online Randomized Controlled Trial.
AB - INTRODUCTION: Cognitive training (CT) offers a potential approach for dementia
prevention and maintenance of cognitive function in older adults. Online delivery
provides a cost-effective means of implementing CT compared with in-person
interventions, with the potential of providing an effective public health
intervention for risk reduction. METHODS: A double-blind 6-month online
randomized controlled trial in adults older than 50 randomized to General CT,
Reasoning CT, or control. The primary outcome was instrumental activities of
daily living (IADL) in adults older than 60. Secondary outcomes were reasoning,
verbal short-term memory, spatial working memory, verbal learning (VL), and digit
vigilance in adults older than 50. Secondary analyses were performed with a group
defined as showing age-associated impairment in reasoning according to baseline
scores in this domain. RESULTS: A total of 2912 adults older than 60 (6742 > 50)
participated. General and reasoning packages conferred benefit to IADL (P = .008,
P = .011), reasoning (P < 0.0001, P < .0001), and VL (P = .007, P = .008) at 6
months. Benefit in reasoning was evident from 6 weeks. Other benefits developed
over 6 months. Analysis of participants with age-associated impairment also
showed the same pattern of benefit. A clear dose-response effect was seen.
CONCLUSIONS: Online CT confers significant benefit to cognition and function in
older adults, with benefit favoring the Reasoning package. Scale of benefit is
comparable with in-person training, indicating its potential as a public health
intervention. Impact on the group with age-associated impairment indicates a
particular sensitivity to this at-risk group, which merits further investigation.
PMID- 26543010
TI - Effectiveness of patient navigator interventions on uptake of colorectal cancer
screening in primary care settings.
AB - AIM: Colorectal cancer is the fourth most common type of cancer in the world and
every year it is responsible for 610,000 deaths worldwide. The aim of this review
was to examine the effectiveness of patient navigator interventions towards
enhancing uptake of colorectal cancer screening in primary care settings.
METHODS: Electronic databases such as PubMed, CINHAL, Google Scholar and SCOPUS
were searched to retrieve articles reporting on primary studies applying any
patient navigator intervention to promote uptake of colorectal cancer screening
in eligible patients. The search yielded 292 articles and 15 met the inclusion
criteria. RESULTS: All 15 studies were conducted in urban settings located in the
USA. The findings of the review show that patient navigator interventions can
increase colorectal cancer screening rates in diverse primary care settings.
Patient navigator interventions were most effective in patients who belong to
minority groups and enhanced uptake of colorectal cancer screening with rates
ranging 11-91%. CONCLUSION: There is a need for further studies to examine the
effectiveness of patient navigator interventions in rural populations and other
countries. Such studies will help us to clearly characterize the effectiveness of
patient navigator interventions.
PMID- 26543008
TI - The Case for Stage-Specific Frailty Interventions Spanning Community Aging to
Cognitive Impairment.
AB - OBJECTIVES: To explore factors associated with frailty across the continuum of
healthy aging to cognitive impairment (mild cognitive impairment [MCI], mild and
moderate Alzheimer disease [AD]). DESIGN: Cross-sectional study. SETTING: Senior
activity centers and the outpatient memory clinic of a tertiary hospital.
PARTICIPANTS: Community-dwelling and functionally independent adults aged 50
years and older and older adults attending the memory clinic with MCI, and mild
and moderate AD diagnoses. METHODS: We recruited 299 participants comprising 200
cognitively healthy individuals, 16 with MCI, 68 with mild AD, and 15 with
moderate AD. We collected measures of comorbidities, cognitive and functional
performance, physical activity level, and anthropometric and nutritional status.
Frailty was defined using Buchmann criteria, and sarcopenic obesity (SO) was
defined using the Asian Working Group for Sarcopenia criteria and the revised
National Cholesterol and Education Panel-obesity definition of waist
circumference. Multiple logistic regression was performed to identify factors
associated with frailty as a whole group and separately based on cognitive
subgroups. RESULTS: There were 16.7% of patients who met frailty criteria.
Frailty prevalence was lowest in the well elderly (3.5%) and subsequently
followed a U-shaped prevalence from MCI to mild and moderate AD, respectively.
Specific univariate differences were noted in age, hypertension, ischemic heart
disease, depressive symptoms, social differences, and functional scores.
Multivariable logistic regression showed age, cognitive status, and SO to be
significantly associated with frailty status. Subgroup analysis showed only SO to
be significant (odds ratio [OR] 15.55, 95% confidence interval [CI] 1.63-148.42)
in well elderly and only cognition to be associated with frailty (OR 0.89, 95% CI
0.80-0.99) among the cognitively impaired. CONCLUSION: Our findings lend initial
support to the case for stage-specific interventions for physical frailty with
the focus on SO in healthy community-dwelling older persons and cognitive-based
measures in older adults with cognitive impairment. The accurate clinical
phenotyping would then set the stage for future potential investigative therapies
along these specific lines, rather than an undifferentiated approach.
PMID- 26543011
TI - Micro-metric electronic patterning of a topological band structure using a photon
beam.
AB - In an ideal 3D topological insulator (TI), the bulk is insulating and the surface
conducting due to the existence of metallic states that are localized on the
surface; these are the topological surface states. Quaternary Bi-based compounds
of Bi(2-x)Sb(x)Te(3-y)Se(y) with finely-tuned bulk stoichiometries are good
candidates for realizing ideal 3D TI behavior due to their bulk insulating
character. However, despite its insulating bulk in transport experiments, the
surface region of Bi(2-x)Sb(x)Te(3-y)Se(y) crystals cleaved in ultrahigh vacuum
also exhibits occupied states originating from the bulk conduction band. This is
due to adsorbate-induced downward band-bending, a phenomenon known from other Bi
based 3D TIs. Here we show, using angle-resolved photoemission, how an EUV light
beam of moderate flux can be used to exclude these topologically trivial states
from the Fermi level of Bi1.46Sb0.54Te1.7Se1.3 single crystals, thereby re
establishing the purely topological character of the low lying electronic states
of the system. We furthermore prove that this process is highly local in nature
in this bulk-insulating TI, and are thus able to imprint structures in the
spatial energy landscape at the surface. We illustrate this by 'writing' micron
sized letters in the Dirac point energy of the system.
PMID- 26543012
TI - Cytoskeletal signaling in TGFbeta-induced epithelial-mesenchymal transition.
AB - Epithelial-mesenchymal transition (EMT) is a physiological process that plays an
important role in embryonic development and wound healing and is appropriated
during pathological conditions including fibrosis and cancer metastasis. EMT can
be initiated by a variety of factors, including transforming growth factor (TGF)
beta, and is characterized by loss of epithelial features including cell-cell
contacts and apicobasal polarity and acquisition of a motile, mesenchymal
phenotype. A key feature of EMT is reorganization of the cytoskeleton and recent
studies have elucidated regulation mechanisms governing this process. This review
describes changes in gene expression patterns of cytoskeletal associated proteins
during TGFbeta-induced EMT. It further reports TGFbeta-induced intracellular
signaling cascades that regulate cytoskeletal reorganization during EMT. Finally,
it highlights how changes in cytoskeletal architecture during EMT can regulate
gene expression, thus further promoting EMT progression.
PMID- 26543013
TI - Nocturnal indicators of increased cardiovascular risk in depressed adolescent
girls.
AB - Depression is an independent risk factor for cardiovascular disease in adults,
and recent literature suggests preclinical signs of cardiovascular risk are also
present in depressed adolescents. No study has examined the effect of clinical
depression on cardiovascular factors during sleep. This study examined the
relationship between clinical depression and nocturnal indicators of
cardiovascular risk in depressed adolescent girls from the general community (13
18 years old; 11 clinically depressed, eight healthy control). Continuous beat-to
beat finger arterial blood pressure and heart rate were monitored via Portapres
and electrocardiogram, respectively. Cardiovascular data were averaged over each
hour for the first 6 h of sleep, as well as in 2-min epochs of stable sleep that
were then averaged within sleep stages. Data were also averaged across 2-min
epochs of pre-sleep wakefulness and the first 5 min of continuous non-rapid eye
movement sleep to investigate the blood pressure dipping response over the sleep
onset period. Compared with controls, depressed adolescents displayed a similar
but significantly elevated blood pressure profile across sleep. Depressed
adolescents had significantly higher systolic and diastolic blood pressure and
mean arterial pressures across the entire night (P < 0.01), as well as during all
sleep stages (P < 0.001). Depressed adolescents also had higher blood pressure
across the sleep-onset period, but the groups did not differ in the rate of
decline across the period. Higher blood pressure during sleep in depressed
adolescent females suggests that depression has a significant association with
cardiovascular functioning during sleep in adolescent females, which may increase
risk for future cardiovascular pathology.
PMID- 26543014
TI - Corrigendum: Plasticity of Listeriolysin O Pores and its Regulation by pH and
Unique Histidine.
PMID- 26543016
TI - Removing the Active-Site Flap in Lipase A from Candida antarctica Produces a
Functional Enzyme without Interfacial Activation.
AB - A mobile region is proposed to be a flap that covers the active site of Candida
antarctica lipase A. Removal of the mobile region retains the functional
properties of the enzyme. Interestingly interfacial activation, required for the
wild-type enzyme, was not observed for the truncated variant, although stability,
activity, and stereoselectivity were very similar for the wild-type and variant
enzymes. The variant followed classical Michaelis-Menten kinetics, unlike the
wild type. Both gave the same relative specificity in the transacylation of a
primary and a secondary alcohol in organic solvent. Furthermore, both showed the
same enantioselectivity in transacylation of alcohols and the hydrolysis of
alcohol esters, as well as in the hydrolysis of esters chiral at the acid part.
PMID- 26543015
TI - Spousal Caregivers of Coronary Artery Bypass Surgery Patients: Differences
between Caregivers with Low vs. High Caregiving Demands.
AB - PURPOSE: Compared to non-caregivers, caregivers have higher rates of depressive
symptoms, caregiver strain, less mutuality, and health care visits. However, few
investigators have examined family caregivers after coronary artery bypass (CAB)
surgery. The purpose of this study was to examine differences in caregiving
difficulties, mutuality (i.e., open communication; avoiding sad thoughts), and
depressive symptoms based on low vs. high caregiving demands among spousal
caregivers. DESIGN: A descriptive, comparative design was used to examine 33
spousal caregivers of CAB surgery patients (16 in low and 17 in high caregiving
demand groups). METHODS: Measures included: Caregiving Burden Scale, Mutuality
and Interpersonal Sensitivity Scale, and Patient Health Questionnaire-9. Groups
were compared using Mann-Whitney U statistics. FINDINGS: It was found that
caregivers with high caregiving demands reported more caregiving difficulties and
more open communication about the surgery compared to caregivers with low
demands. CONCLUSION: Caregivers with greater caregiving demands may need
additional support to assist them with the caregiving situation. CLINICAL
RELEVANCE: Priority should be given to family caregivers, who take care of
patients in cardiac rehabilitation, with higher caregiving demand.
PMID- 26543017
TI - Arterial Stiffness, Central Pulsatile Hemodynamic Load, and Orthostatic
Hypotension.
AB - The association between central pulsatile hemodynamic load, arterial stiffness,
and orthostatic hypotension (OH) is unclear. The authors recruited 1099
participants from the community. Questionnaire, physical examination, and
laboratory tests were performed. To assess the correlation between central
pulsatile hemodynamic load, arterial stiffness, and OH, multiple logistic
regression analysis was performed, and the discriminatory power was assessed by
the area under the receiver operating curve. The prevalence of OH in this
population was 5.6%. After adjusting for potential confounders, brachial-ankle
pulse wave velocity (BaPWV) was significantly and positively correlated with OH
in both the hypertension and nonhypertension groups (all P<.05), while central
systolic blood pressure (CSBP) was only significantly associated with OH in the
hypertension subgroup. In addition, BaPWV seemed to have a better discriminatory
power than CSBP in both subgroups. BaPWV appears to be a better indicator of OH
than CSBP in routine clinical practice.
PMID- 26543019
TI - Long-Term Post-CABG Survival: Performance of Clinical Risk Models Versus
Actuarial Predictions.
AB - BACKGROUND/AIM: Clinical risk models are commonly used to predict short-term
coronary artery bypass grafting (CABG) mortality but are less commonly used to
predict long-term mortality. The added value of long-term mortality clinical risk
models over traditional actuarial models has not been evaluated. To address this,
the predictive performance of a long-term clinical risk model was compared with
that of an actuarial model to identify the clinical variable(s) most responsible
for any differences observed. METHODS: Long-term mortality for 1028 CABG patients
was estimated using the Hannan New York State clinical risk model and an
actuarial model (based on age, gender, and race/ethnicity). Vital status was
assessed using the Social Security Death Index. Observed/expected (O/E) ratios
were calculated, and the models' predictive performances were compared using a
nested c-index approach. Linear regression analyses identified the subgroup of
risk factors driving the differences observed. RESULTS: Mortality rates were 3%,
9%, and 17% at one-, three-, and five years, respectively (median follow-up: five
years). The clinical risk model provided more accurate predictions. Greater
divergence between model estimates occurred with increasing long-term mortality
risk, with baseline renal dysfunction identified as a particularly important
driver of these differences. CONCLUSIONS: Long-term mortality clinical risk
models provide enhanced predictive power compared to actuarial models. Using the
Hannan risk model, a patient's long-term mortality risk can be accurately
assessed and subgroups of higher-risk patients can be identified for enhanced
follow-up care. More research appears warranted to refine long-term CABG clinical
risk models.
PMID- 26543018
TI - The effect of vitamin D supplementation on insulin and glucose metabolism in
overweight and obese individuals: systematic review with meta-analysis.
AB - The aim of this systematic review was to assess the effect of vitamin D
supplementation on glucose and insulin metabolism in overweight and obese
subjects. The search process was based on the selection of publications listed in
the databases: PubMed, Scopus, Web of Knowledge, Embase and the Cochrane library
that met the inclusion criteria. Twelve randomized controlled trials were
included. The analysed population consisted of 1181 individuals with BMIs > 23
kg/m2. Changes in the concentration of 25(OH)D, fasting glucose, insulin and the
HOMA-IR index were assessed. In the meta-regression analysis, a restricted
maximum likelihood method was applied. To combine individual study results, a
meta-analysis was performed. Vitamin D supplementation did not have an effect on
glucose concentrations, insulin level and HOMA-IR values when the supplemented
dose, time of supplementation and baseline of 25(OH)D concentration were taken
under consideration in subgroup-analysis. This meta-analysis provides evidence
that vitamin D supplementation has no significant effect on glucose and insulin
metabolism in overweight and obese individuals.
PMID- 26543020
TI - Biomineralization and biocompatibility studies of bone conductive scaffolds
containing poly(3,4-ethylenedioxythiophene):poly(4-styrene sulfonate)
(PEDOT:PSS).
AB - Considering the well-known phenomenon of enhancing bone healing by applying
electromagnetic stimulation, manufacturing conductive bone scaffolds is on demand
to facilitate the delivery of electromagnetic stimulation to the injured region,
which in turn significantly expedites the healing procedure in tissue engineering
methods. For this purpose, hybrid conductive scaffolds composed of poly(3,4
ethylenedioxythiophene), poly(4-styrene sulfonate) ( PEDOT: PSS), gelatin (Gel),
and bioactive glass (BaG) were produced employing freeze drying technique.
Concentration of PEDOT: PSS were optimized to design the most appropriate
conductive scaffold in terms of biocompatibility and cell proliferation. More
specifically, scaffolds with four different compositions of 0, 0.1, 0.3 and 0.6%
(w/w) PEDOT: PSS in the mixture of 10% (w/v) Gel and 30% (w/v) BaG were
synthesized. Immersing the scaffolds in simulated body fluid (SBF), we evaluated
the bioactivity of samples, and the biomineralization were studied in details
using scanning electron microscopy, energy dispersive spectroscopy, X-ray
diffraction analysis and Fourier transform infrared spectroscopy. By performing
cytocompatibility analyses for 21 days using adult human mesenchymal stem cells,
we concluded that the scaffolds with 0.3% (w/w) PEDOT: PSS and conductivity of
170 MUS/m has the optimized composition and further increasing the PEDOT: PSS
content has inverse effect on cell proliferation. Based on our finding, addition
of this optimized amount of PEDOT: PSS to our composition can increase the cell
viability more than 4 times compared to a nonconductive composition.
PMID- 26543021
TI - Synthesis of folate- pegylated polyester nanoparticles encapsulating ixabepilone
for targeting folate receptor overexpressing breast cancer cells.
AB - The aim of this study was the preparation of novel polyester nanoparticles based
on folic acid (FA)-functionalized poly(ethylene glycol)-poly(propylene succinate)
(PEG-PPSu) copolymer and loaded with the new anticancer drug ixabepilone (IXA).
These nanoparticles may serve as a more selective (targeted) treatment of breast
cancer tumors overexpressing the folate receptor. The synthesized materials were
characterized by (1)H-NMR, FTIR, XRD and DSC. The nanoparticles were prepared by
a double emulsification and solvent evaporation method and characterized with
regard to their morphology by scanning electron microscopy, drug loading with
HPLC-UV and size by dynamic light scattering. An average size of 195 nm and
satisfactory drug loading efficiency (3.5%) were observed. XRD data indicated
that IXA was incorporated into nanoparticles in amorphous form. The nanoparticles
exhibited sustained drug release properties in vitro. Based on in vitro
cytotoxicity studies, the blank FA-PEG-PPSu nanoparticles were found to be non
toxic to the cells. Fluorescent nanoparticles were prepared by conjugating
Rhodanine B to PEG-PPSu, and live cell, fluorescence, confocal microscopy was
applied in order to demonstrate the ability of FA-PEG-PPSu nanoparticles to enter
into human breast cancer cells expressing the folate receptor.
PMID- 26543022
TI - Macrophage-mediated osteogenesis activation in co-culture with osteoblast on
calcium silicate cement.
AB - The use of calcium silicate (CS) cement holds great promise for bone substitute
biomaterials. However, the effects of CS on osteoblast and macrophage cells are
not fully understood. This study examines cell proliferation and differentiation
of mono- or co-cultured MC3T3-E1 and Raw 264.7 cells on CS cement. Very few
studies to date have looked at the effects of osteoblast and macrophages on
biomaterial-regulated osteogenesis. In this study the proliferation and
differentiation of MC3T3-E1, Raw 264.7 and co-cultured MC3T3-E1/Raw 264.7 on CS
cements have been analyzed using a PrestoBlue kit and ELISA. In addition, the
effect of macrophages on CS-coordinated osteogenesis of MC3T3-E1 has been
investigated. Results show that MC3T3-E1, Raw 264.7 and co-cultured MC3T3-E1/Raw
264.7 adhere to and proliferate well on the CS cement. In a co-culture, the CS
cements inhibit receptor activator of nuclear factor kappa B ligand expression of
both genes and proteins in Raw 264.7 cells when compared to those grown in mono
cultured system. Ca deposition of MC3T3-E1 in the co-culture is higher than that
of cells in a mono-culture. Bone morphogenetic protein 2 (BMP2) is also
significantly up-regulated by the CS cement stimulation, indicating that
macrophages may participate in the CS stimulated osteogenesis. Interestingly,
when macrophage are cultured with BMP2 receptor-blocking MC3T3-E1 on the CS
cements, the osteogenesis differentiation of the cells is significantly
inhibited, indicating the important role of macrophages in biomaterial-induced
osteogenesis via BMP2 receptors. It is assumed that it is an increase in the
secretion of the BMP2 from the Raw 264.7 cell that is primarily involved in the
promotion of the osteogenesis of the MC3T3-E1. These results provide valuable
insights into both the mechanism of CS-stimulated osteogenesis, and strategies to
optimize the evaluation system for the in vitro osteogenesis capacity of bone
substitute biomaterials.
PMID- 26543023
TI - Concurrent information affects response inhibition processes via the modulation
of theta oscillations in cognitive control networks.
AB - Inhibiting responses is a challenge, where the outcome (partly) depends on the
situational context. In everyday situations, response inhibition performance
might be altered when irrelevant input is presented simultaneously with the
information relevant for response inhibition. More specifically, irrelevant
concurrent information may either brace or interfere with response-relevant
information, depending on whether these inputs are redundant or conflicting. The
aim of this study is to investigate neurophysiological mechanisms and the network
underlying such modulations using EEG beamforming as method. The results show
that in comparison to a baseline condition without concurrent information,
response inhibition performance can be aggravated or facilitated by manipulating
the extent of conflict via concurrent input. This depends on whether the
requirement for cognitive control is high, as in conflicting trials, or whether
it is low, as in redundant trials. In line with this, the total theta frequency
power decreases in a right hemispheric orbitofrontal response inhibition network
including the SFG, MFG, and SMA, when concurrent redundant information
facilitates response inhibition processes. Vice versa, theta activity in a left
hemispheric response inhibition network (i.e., SFG, MFG, and IFG) increases, when
conflicting concurrent information compromises response inhibition processes. We
conclude that concurrent information bi-directionally shifts response inhibition
performance and modulates the network architecture underlying theta oscillations
which are signaling different levels of the need for cognitive control.
PMID- 26543024
TI - Upregulation of S1P1 and Rac1 receptors in the pulmonary vasculature of nitrofen
induced congenital diaphragmatic hernia.
AB - PURPOSE: Sphingolipids play a crucial role in pulmonary development. The
sphingosine kinase 1 (SphK1) modulates the synthesis of sphingolipid sphingosine
1-phosphate (S1P). S1P regulates cell proliferation and angiogenesis via
different receptors, S1P1, S1P2 and S1P3, which all influence the expression of
Ras-related C3 botulinum toxin substrate 1 (Rac1). We designed this study to test
the hypothesis that the S1P/Rac1 pathway is altered in the nitrofen-induced CDH
model. METHODS: Pregnant rats received nitrofen or vehicle on D9. On D21, fetuses
were killed and divided into nitrofen and control group (n = 12). QRT-PCR,
western blotting and confocal-immunofluorescence microscopy were performed to
reveal pulmonary gene and protein expression levels of SphK1, S1P1, S1P2, S1P3
and Rac1. RESULTS: Pulmonary gene expression of S1P1 and Rac1 was significantly
increased in the CDH group compared to controls, whereas S1P2 and S1P3 expression
was decreased. These results were confirmed by western blotting and confocal
microscopy. SphK1 expression was not found to be altered. CONCLUSION: The
increased expression of S1P1 and Rac1 in the pulmonary vasculature of nitrofen
induced CDH lungs suggests that S1P1 and Rac1 are important mediators of PH in
this model.
PMID- 26543025
TI - Accidental overdose in the deep shade of night: a warning on the assumed safety
of 'natural substances'.
AB - There is an increasing use of herbal remedies and medicines, with a commonly held
belief that natural substances are safe. We present the case of a 50-year-old
woman who was a trained herbalist and had purchased an 'Atropa belladonna (deadly
nightshade) preparation'. Attempting to combat her insomnia, late one evening she
deliberately ingested a small portion of this, approximately 50 mL.
Unintentionally, this was equivalent to a very large (15 mg) dose of atropine and
she presented in an acute anticholinergic syndrome (confused, tachycardic and
hypertensive) to our accident and emergency department. She received supportive
management in our intensive treatment unit including mechanical ventilation.
Fortunately, there were no long-term sequelae from this episode. However, this
dramatic clinical presentation does highlight the potential dangers posed by
herbal remedies. Furthermore, this case provides clinicians with an important
insight into potentially dangerous products available legally within the UK. To
help clinicians' understanding of this our discussion explains the manufacture
and 'dosing' of the A. belladonna preparation.
PMID- 26543026
TI - A novel splicing mutation in the albumin gene (c.270+1G>T) causes analbuminaemia
in a German infant.
AB - Congenital analbuminaemia is a rare autosomal recessive disorder manifested by
the presence of a very low amount of circulating serum albumin. The clinical
diagnosis may be challenging because of the absence of unambiguous symptoms and
because hypoalbuminemia may have many causes different from a genetic lack of the
protein. We describe the clinical and molecular characterization of a new case of
congenital analbuminaemia in an infant of apparently non-consanguineous parents
from Treves, Germany. For molecular diagnosis, we used our strategy, based on the
screening of the albumin gene by single-strand conformation polymorphism,
heteroduplex analysis and direct DNA sequencing, which revealed that the proband
is homozygous and both parents are heterozygous, for a novel G > T transversion
at nucleotide c.270+ 1, the first base of intron 3. The mutation inactivates the
strongly conserved GT dinucleotide at the 5' splice site consensus sequence of
this intron. In conclusion, we report the clinical findings and the molecular
defect of this case, which contributes to a better understanding of the
biological mechanism of congenital analbuminaemia.
PMID- 26543028
TI - Quantifying and correcting for tail vein extravasation in small animal PET scans
in cancer research: is there an impact on therapy assessment?
AB - BACKGROUND: Tail vein injection under short anesthesia is the most commonly used
route for administering radiopharmaceuticals. However, the small caliber of the
vein in rodents may lead to tracer extravasation and thereby compromise
quantitative accuracy of PET. We aimed to evaluate a method for correction of
interstitial radiotracer leakage in the context of pre-clinical therapeutic
response assessment. METHODS: In two separate studies involving 16 nude rats, a
model of human ovarian cancer was xenografted and each was treated with a
Phosphoinositide 3-kinase/mammalian target of rapamycin inhibitor or used as a
control. Tracer injections were performed via the tail vein by a single operator.
Two observers qualitatively evaluated the resulting images and if appropriate
drew a volume of interest (VOI) over the injection site to record extravasated
activities. Uncorrected and corrected tumors' mean standardized uptake value
(SUV)mean was computed (corrected injected activity = calibrated activity - decay
corrected residual syringe activity - decay corrected tail extravasated
activity). Molecular analyses were taken as a gold standard. The frequency and
magnitude of extravasation were analyzed, as well as the inter-observer agreement
and the impact of the correction method on tumor uptake quantification. RESULTS:
Extravasation never exceeded 20 % of the injected dose but occurred in more than
50 % of injections. It was independent of groups of animals and protocol time
points with p values of 1.00 and 0.61, respectively, in the first experiment and
0.47 and 0.13, respectively, in the second experiment. There was a good inter
observer agreement for qualitative analysis (kappa = 0.72) and a moderate
agreement when using quantitative analysis (rho c = 0.94). In both experiments,
there was significant difference between uncorrected and corrected SUVmean.
Despite this significant difference, mean percent differences between uncorrected
and corrected SUVmean in the first and the second experiments were -3.61 and
1.78, respectively. Concerning therapy assessment, in both experiments,
significant differences in median %SUVmean between control and treated groups
were observed over all time points with either uncorrected and corrected data (p
< 0.05). CONCLUSIONS: Although extravasation is common and can be reproducibly
corrected, this is probably not required for validation of response to drugs that
induce large SUV changes. However, further studies are required to evaluate the
impact of extravasation in situations where less marked metabolic responses are
observed or important extravasations occur.
PMID- 26543027
TI - Clavulanic acid enhances glutamate transporter subtype I (GLT-1) expression and
decreases reinforcing efficacy of cocaine in mice.
AB - The beta-lactam antibiotic ceftriaxone (CTX) reduces cocaine reinforcement and
relapse in preclinical assays through a mechanism involving activation of
glutamate transporter subtype 1 (GLT-1). However, its poor brain penetrability
and intravenous administration route may limit its therapeutic utility for
indications related to CNS diseases. An alternative is clavulanic acid (CA), a
structural analog of CTX that retains the beta-lactam core required for GLT-1
activity but displays enhanced brain penetrability and oral activity relative to
CTX. Here, we tested the hypothesis that CA (1, 10 mg/kg ip) would enhance GLT-1
expression and decrease cocaine self-administration (SA) in mice, but at lower
doses than CTX. Experiments revealed that GLT-1 transporter expression in the
nucleus accumbens of mice treated with repeated CA (1, 10 mg/kg) was enhanced
relative to saline-treated mice. Repeated CA treatment (1 mg/kg) reduced the
reinforcing efficacy of cocaine (0.56 mg/kg/inf) in mice maintained on a
progressive-ratio (PR) schedule of reinforcement but did not affect acquisition
of cocaine SA under fixed-ratio responding or acquisition or retention of
learning. These findings suggest that the beta-lactamase inhibitor CA can
activate the cellular glutamate reuptake system in the brain reward circuit and
reduce cocaine's reinforcing efficacy at 100-fold lower doses than CTX.
PMID- 26543030
TI - Germany considers legalising cannabis for medical use.
PMID- 26543029
TI - Gallium-68 Complexes Conjugated to Pittsburgh Compound B: Radiolabeling and
Biological Evaluation.
AB - PURPOSE: The aim of this work is to develop an efficient and fully automated
radiosynthesis of three derivatives of the Pittsburgh compound B labeled with
gallium-68 for the detection of amyloid plaques. PROCEDURES: The radiolabeling of
the precursors and purification of the radiolabeled agents by high pressure
liquid chromatography has been studied prior to their in vitro and in vivo
evaluations. RESULTS: The complete process led, in 50 min, to pure Ga-68 products
in a 12-38 % yield and with appreciable specific radioactivity (SRA, 85-168
GBq/MUmol) which enabled us to demonstrate a considerable in vivo stability of
the products. Unfortunately, this result was associated with a poor blood-brain
barrier (BBB) permeability and a limited uptake of our compounds by amyloid
deposits was observed by in vitro autoradiography. CONCLUSION: Although we have
not yet identified a compound able to significantly mark cerebral amyloidosis,
this present investigation will likely contribute to the development of more
successful Ga-68 radiotracers.
PMID- 26543032
TI - Tibial Bone Strength is Enhanced in the Jump Leg of Collegiate-Level Jumping
Athletes: A Within-Subject Controlled Cross-Sectional Study.
AB - An efficient method of studying skeletal adaptation to mechanical loading is to
assess side-to-side differences (i.e., asymmetry) within individuals who
unilaterally exercise one side of the body. Within-subject controlled study
designs have been used to explore skeletal mechanoadaptation at upper extremity
sites; however, there is no established model in the lower extremities. The
current study assessed tibial diaphysis and distal tibia asymmetry in collegiate
level jumping athletes (N = 12). To account for normal crossed asymmetry, data in
jumping athletes were compared to asymmetry in a cohort of athletic controls not
routinely exposed to elevated unilateral lower extremity loading (N = 11).
Jumpers exhibited side-to-side differences between their jump and lead legs at
both the tibial diaphysis and distal tibia, with differences at the former site
persisting following comparison to dominant-to-nondominant leg differences in
controls. In particular, jump-to-lead leg differences for cortical area and
thickness at the tibial diaphysis in jumpers were 3.6% (95% CI 0.5-6.8%) and 3.5%
(95% CI 0.4-6.6%) greater than dominant-to-nondominant differences in controls,
respectively (all p < 0.05). Similarly, jump-to-lead leg differences in jumpers
for tibial diaphysis maximum second moment of area and polar moment of inertia
were 7.2% (95% CI 1.2-13.2%) and 5.7% (95% CI 1.7-9.8%) greater than dominant-to
nondominant differences in controls, respectively (all p < 0.05). Assessment of
region-specific differences of the tibial diaphysis in jumpers indicated that the
jump leg had greater pericortical radii on the medial and posterior sides and
greater radial cortical thickness posteromedially when compared to the lead leg.
These data suggest that athletes who perform repetitive and forceful unilateral
jumping may be a useful and efficient within-subject controlled model for
studying lower extremity skeletal mechanoadaptation.
PMID- 26543033
TI - Systemic Treatment with Strontium Ranelate Does Not Influence the Healing of
Femoral Mid-shaft Defects in Rats.
AB - Strontium ranelate (SrR) has both bone anabolic and anti-resorption properties
and has therefore the potential to increase the healing of bone defects. The aim
of the present study was to investigate the effect of systemic treatment with SrR
during the healing of cortical bone defects in rats. In addition, the vertebral
bodies were examined in order to elucidate the effect of short-term treatment
with SrR on intact trabecular bone. Sixty 16-week-old female Wistar rats were
randomized into four groups. A cylindrical defect was drilled through the
anterior cortex of the mid-femoral diaphysis in both hind limbs. Two of the
groups were treated with SrR (900 mg/kg b.w.) mixed into the food and two groups
served as controls. The animals were euthanized after either 3 or 8 weeks of
treatment. Healing of the defects was analyzed with uCT, mechanical testing, and
stereology. Treatment with SrR resulted in increased thickness of the defects
after 3 weeks of treatment, whereas no effect on bone volume fraction (BV/TV),
mechanical properties (maximum strength and maximum stiffness), periosteal callus
volume, or osteoclast-covered bone surfaces (Oc.S/BS) after either 3 or 8 weeks
of treatment was found. Furthermore, SrR increased the bone material density
(rho) of the vertebral bodies, and tended to increase BV/TV after 8 weeks of
treatment (p = 0.087). The mechanical properties of the vertebral bodies were not
influenced by SrR treatment. In conclusion, 3 weeks of treatment with SrR
increased the thickness of the healing mid-femoral cortical bone defects in rats,
but did not influence BV/TV, mechanical properties, periosteal callus volume, or
Oc.S/BS after either 3 or 8 weeks. Furthermore, SrR had no effect on the
microstructure and mechanical properties of the vertebral bodies.
PMID- 26543034
TI - Conservative management of midcarpal instability.
AB - Midcarpal instability is a complex condition that can present in various forms,
from mild pain to debilitating subluxation. Once diagnosed, treatment guidelines
for hand therapy are limited by the scarcity of high-level evidence. Evidence
does exist for use of proprioceptive awareness and neuromuscular rehabilitation
for instability of the knee, shoulder and ankle joint, but studies of similar
programmes for the wrist joint have not been published. The purpose of this
review is to examine the evidence supporting current concepts in the non
operative management of midcarpal instability, and to provide recommendations for
the management of this condition with hand therapy.
PMID- 26543031
TI - Review: can diet influence the selective advantage of mitochondrial DNA
haplotypes?
AB - This review explores the potential for changes in dietary macronutrients to
differentially influence mitochondrial bioenergetics and thereby the frequency of
mtDNA haplotypes in natural populations. Such dietary modification may be
seasonal or result from biogeographic or demographic shifts. Mechanistically,
mtDNA haplotypes may influence the activity of the electron transport system
(ETS), retrograde signalling to the nuclear genome and affect epigenetic
modifications. Thus, differential provisioning by macronutrients may lead to
selection through changes in the levels of ATP production, modulation of
metabolites (including AMP, reactive oxygen species (ROS) and the NAD(+)/NADH
ratio) and potentially complex epigenetic effects. The exquisite complexity of
dietary influence on haplotype frequency is further illustrated by the fact that
macronutrients may differentially influence the selective advantage of specific
mutations in different life-history stages. In Drosophila, complex I mutations
may affect larval growth because dietary nutrients are fed through this complex
in immaturity. In contrast, the majority of electrons are provided to complex III
in adult flies. We conclude the review with a case study that considers specific
interactions between diet and complex I of the ETS. Complex I is the first enzyme
of the mitochondrial ETS and co-ordinates in the oxidation of NADH and transfer
of electrons to ubiquinone. Although the supposition that mtDNA variants may be
selected upon by dietary macronutrients could be intuitively consistent to some
and counter intuitive to others, it must face a multitude of scientific hurdles
before it can be recognized.
PMID- 26543035
TI - Characterization of a novel Acinetobacter baumannii xanthine dehydrogenase
expressed in Escherichia coli.
AB - OBJECTIVE: To characterize a novel xanthine dehydrogenase (XDH) from
Acinetobacter baumannii by recombinant expression in Escherichia coli and to
assess its potential for industrial applications. RESULTS: The XDH gene cluster
was cloned from A. baumannii CICC 10254, expressed heterologously in E. coli and
purified to homogeneity. The purified recombinant XDH consisted of two subunits
with the respective molecular weights of 87 kDa and 56 kDa according to SDS-PAGE.
XDH catalysis was optimum at pH 8.5 and 40-45 degrees C, was stable under
alkaline conditions (pH 7-11) and the half-inactivation temperature was 60
degrees C. The K m, turnover number and catalytic efficiency for xanthine were 25
MUM, 69 s(-1) and 2.7 MUM(-1) s(-1), respectively, which is an improvement over
XDHs characterized previously. A. baumannii XDH is less than 50 % identical to
previously identified XDH orthologs from other species, and is the first from the
Acinetobacter genus to be characterized. CONCLUSION: The novel A. baumannii
enzyme was found to be among the most active, thermostable and alkaline-tolerant
XDH enzymes reported to date and has potential for use in industrial
applications.
PMID- 26543037
TI - Enhancement of cellulose degradation in freshwater sediments by a sediment
microbial fuel cell.
AB - OBJECTIVE: To demonstrate that an enhanced sediment microbial fuel cell (SMFC)
system can accelerate the degradation of cellulose in fresh water sediments as
the accumulation of cellulose in lake sediments may aggravate the lake marsh,
increase organic matter content and result in rapid deterioration of water
quality and damage the ecosystem. RESULTS: After 330 days the highest cellulose
removal efficiency (72.7 +/- 2.1 %) was achieved in the presence of a SMFC with a
carbon nanotube decorated cathode, followed by a SMFC without the cathode
decoration (64.4 +/- 2.8 %). The lowest cellulose removal efficiency (47.9 +/-
2.1 %) was in the absence of SMFC. The sediment characterization analysis
confirmed that the carbon nanotube decorated cathode enhances the electron
transfer rate in the SMFC and improves the dissolved organic matter oxidation
rate. CONCLUSION: This study offers a relatively simple and promising new method
for cellulose degradation in sediment.
PMID- 26543036
TI - Catalase improves saccharification of lignocellulose by reducing lytic
polysaccharide monooxygenase-associated enzyme inactivation.
AB - OBJECTIVES: Efficient enzymatic saccharification of plant cell wall material is
key to industrial processing of agricultural and forestry waste such as straw and
wood chips into fuels and chemicals. RESULTS: Saccharification assays were
performed on steam-pretreated wheat straw under ambient and O2-deprived
environments and in the absence and presence of a lytic polysaccharide
monooxygenase (LPMO) and catalase. A kinetic model was used to calculate
catalytic rate and first-order inactivation rate constants of the cellulases from
reaction progress curves. The addition of a LPMO significantly (P < 0.01,
Student's T test) enhanced the rate of glucose release from 2.8 to 6.9 h(-1)
under ambient O2 conditions. However, this also significantly (P < 0.01,
Student's T test) increased the rate of inactivation of the enzyme mixture,
thereby reducing the performance half-life from 65 to 35 h. Decreasing O2 levels
or, strikingly, the addition of catalase significantly reduced (P < 0.01,
Student's T test) enzyme inactivation and, as a consequence, higher efficiency of
the cellulolytic enzyme cocktail was achieved. CONCLUSION: Oxidative inactivation
of commercial cellulase mixtures is a significant factor influencing the overall
saccharification efficiency and the addition of catalase can be used to protect
these mixtures from inactivation.
PMID- 26543038
TI - Relationship between morphological characteristics of hyoid bone and mandible in
Japanese cadavers using three-dimensional computed tomography.
AB - The aim of this study is to obtain a quantitative anatomical description of the
hyoid bone and mandible using three-dimensional computed tomography. Hyoid bones
were obtained from a total of 101 cadavers varying in age from 67 to 102 years.
The percentage of symmetrical U-type and asymmetrical-type hyoid bones was low
compared with symmetrical V type (14.9, 15.8, and 69.3 %, respectively), and no
significant sex difference was observed. We found bilateral nonfusion in cadavers
of advanced age at a rate of 22.7 % and bilateral complete fusion at a rate of
51.5 %. There were significant differences in metric variables (length and width)
between males and females, but no significant differences in width among the
different fusion types. There was no significant interaction effect of sex and
degree of fusion. Strong significant associations were observed between size
(length and width) of the hyoid bone and mandible in the nonfusion group, while
the complete fusion group revealed a moderate correlation. We also investigated
the hypothesis that the junction between the hyoid body and greater horn plays an
important role in the movement of bones that have not yet ossified. However, no
statistical difference was observed in the width between the two greater horns.
The degree of fusion of the greater horn with the hyoid body may also affect
relations of interdependencies between the hyoid bone and mandible, an important
component to consider when assessing risk factors in the development of
masticatory and swallowing function.
PMID- 26543040
TI - Elected Officials Travel in Parallel Universes Regarding the Affordable Care Act.
AB - This authoritative appraisal of the much debated U.S. Patient Protection and
Affordable Care Act, often referred to as Obamacare, describes the controversy,
support, and opposition from federal and state governments during the first 5.5
years since the law was passed. Its history, the impact on individual and
community health status, the limitations of health reform, its accomplishments
and deficiencies, and the impact of societal determinants on program
implementation are presented in a refreshing, candid, objective, and
knowledgeable manner.
PMID- 26543039
TI - Dietary ALA from Spinach Enhances Liver n-3 Fatty Acid Content to Greater Extent
than Linseed Oil in Mice Fed Equivalent Amounts of ALA.
AB - Although several works have reported absorption rate differences of n-3
polyunsaturated fatty acids (PUFA) bound to different lipid forms, such as ethyl
ester, triacylglycerol (TAG), and phospholipids, no studies have investigated the
effect of n-3 PUFA from glycolipids (GL). The present study compared the fatty
acid contents of tissue and serum lipids from normal C57BL/6J mice fed two types
of alpha-linolenic acid (ALA)-rich lipids, spinach lipid (SPL), and linseed oil
(LO). ALA was primarily present as the GL form in SPL, while it existed as TAG in
LO. Supplementation of both lipids increased ALA and its n-3 metabolites,
eicosapentaenoic acid (EPA), docosapentaenoic acid (DPA), and docosahexaenoic
acid, and decreased n-6 PUFA, linoleic acid and arachidonic acid, in the livers,
small intestines, and sera of the treated mice compared with those of the control
group. When the comparison between the SPL and LO diets containing the same
amount of ALA was conducted, the EPA and DPA levels in the liver lipids from mice
fed the SPL diet were significantly higher than those fed the LO diet.
Additionally, the total contents of n-3 PUFA of lipids from the livers, small
intestines, and sera of the SPL group were higher than those of the LO group.
PMID- 26543041
TI - Brain Activity and Network Interactions Linked to Valence-Related Differences in
the Impact of Emotional Distraction.
AB - Previous investigations showed that the impact of negative distraction on
cognitive processing is linked to increased activation in a ventral affective
system (VAS) and simultaneous deactivation in a dorsal executive system (DES).
However, less is known about the influences of positive valence and different
arousal levels on these effects. FMRI data were recorded while participants
performed a working memory (WM) task, with positive and negative pictures
presented as distracters during the delay between the memoranda and probes.
First, positive distraction had reduced impact on WM performance, compared with
negative distraction. Second, fMRI results identified valence-specific effects in
DES regions and overlapping arousal and valence effects in VAS regions,
suggesting increased impact of negative distraction and enhanced engagement of
coping mechanisms for positive distraction. Third, a valence-related rostro
caudal dissociation was identified in medial frontal regions associated with the
default-mode network (DMN). Finally, these DMN regions showed increased
functional connectivity with DES regions for negative compared with positive
distraction. Overall, these findings suggest that, while both positive and
negative distraction engage partly similar arousal-dependent mechanisms, their
differential impact on WM performance is linked to dissociations in the
engagement of, and coupling between, regions associated with emotion processing
and higher lever cognitive control.
PMID- 26543042
TI - Paediatrician found guilty of manslaughter after boy's death from septic shock.
PMID- 26543043
TI - Molecular mechanism of endothelial and vascular aging: implications for
cardiovascular disease.
AB - Western societies are aging due to an increasing life span, decreased birth
rates, and improving social and health conditions. On the other hand, the
prevalence of cardiovascular (CV) and cerebrovascular (CBV) diseases rises with
age. Thus, in view of the ongoing aging pandemic, it is appropriate to better
understand the molecular pathways of aging as well as age-associated CV and CBV
diseases. Oxidative stress contributes to aging of organs and the whole body by
an accumulation of reactive oxygen species promoting oxidative damage. Indeed,
increased oxidative stress produced in the mitochondria and cytosol of heart and
brain is a common denominator to almost all CV and CBV diseases. The
mitochondrial adaptor protein p66(Shc) and the family of deacetylase enzymes, the
sirtuins, regulate the aging process, determine lifespan of many species and are
involved in CV diseases. GDF11, a member of TGFbeta superfamily with homology to
myostatin also retards the aging process via yet unknown mechanisms. Recent
evidence points towards a promising role of this novel 'rejuvenation' factor in
reducing age-related heart disease. Finally, telomere length is also involved in
aging and the development of age-related CV dysfunction. This review focuses on
the latest scientific advances in understanding age-related changes of the CV and
CBV system, as well as delineating potential novel therapeutic targets derived
from aging research for CV and CBV diseases.
PMID- 26543044
TI - Endovascular grafts for abdominal aortic aneurysm.
AB - During the last two decades, endovascular technology has revolutionized the
management of patients with abdominal aortic aneurysm (AAA). Today, endovascular
aortic repair (EVAR) is the treatment of choice for the majority of patients with
an AAA. Randomized controlled trials provide robust evidence for the indication
of AAA repair and the rationale for the use of EVAR in selected patients.
However, despite that, practice varies and several areas need further
elucidation. Important future challenges and areas of research include the role
of medical therapy in AAA, whether the indication for repair should be any
different in women and in the elderly, and long-term follow-up of patients
undergoing complex EVAR with adjuncts, both for elective treatment and for
ruptured AAA. Continuous rapid technical and clinical development is to be
expected. In this paper, we review the current practice and evidence of stenting
in AAA.
PMID- 26543045
TI - Left ventricular assist devices: current controversies and future directions.
AB - Advanced heart failure is a growing epidemic that leads to significant suffering
and economic losses. The development of left ventricular assist devices (LVADs)
has led to improved quality of life and long-term survival for patients diagnosed
with this devastating condition. This review briefly summarizes the short history
and clinical outcomes of LVADs and focuses on the current controversies and
issues facing LVAD therapy. Finally, the future directions for the role of LVADs
in the treatment of end-stage heart failure are discussed.
PMID- 26543046
TI - Biomarkers of renal injury and function: diagnostic, prognostic and therapeutic
implications in heart failure.
AB - Heart failure guidelines suggest evaluating renal function as a routine work-up
in every patient with heart failure. Specifically, it is advised to calculate
glomerular filtration rate and determine blood urea nitrogen. The reason for this
is that renal impairment and worsening renal function (WRF) are common in heart
failure, and strongly associate with poor outcome. Renal function, however,
consists of more than glomerular filtration alone, and includes
tubulointerstitial damage and albuminuria. For each of these renal entities,
different biomarkers exist that have been investigated in heart failure.
Hypothetically, and in parallel to data in nephrology, these markers may aid in
the diagnosis of renal dysfunction, or for risk stratification, or could help in
therapeutic decision-making. However, as reviewed in the present manuscript,
while these markers may carry prognostic information (although not always
additive to established markers of renal function), their role in predicting WRF
is limited at best. More importantly, none of these markers have been evaluated
as a therapeutic target nor have their serial values been used to guide therapy.
The evidence is most compelling for the oldest-serum creatinine (in combination
with glomerular filtration rate)-but even for this biomarker, evidence to guide
therapy to improve outcome is circumstantial at best. Although many new renal
biomarkers have emerged at the horizon, they have only limited usefulness in
clinical practice until thoroughly and prospectively studied. For now, routine
measurement of (novel) renal biomarkers can help to determine cardiovascular
risk, but there is no role for these biomarkers to change therapy to improve
clinical outcome in heart failure.
PMID- 26543047
TI - Pathophysiology of ST-segment elevation myocardial infarction: novel mechanisms
and treatments.
AB - Despite major advances in mechanical and pharmacological reperfusion strategies
to improve acute myocardial infarction (MI) injury, substantial mortality,
morbidity, and socioeconomic burden still exists. To further reduce infarct size
and thus ameliorate clinical outcome, the focus has also shifted towards early
detection of MI with high-sensitive troponin assays, imaging, cardioprotection
against pathophysiological targets of myocardial reperfusion injury with
mechanical (ischaemic post-conditioning, remote ischaemic pre-conditioning,
therapeutic hypothermia, and hypoxemia) and newer pharmacological interventions
(atrial natriuretic peptide, cyclosporine A, and exenatide). Evidence from animal
models of myocardial ischaemia and reperfusion also demonstrated promising
results on more selective anti-inflammatory compounds that require additional
validation in humans. Cardiac stem cell treatment also hold promise to reduce
infarct size and negative remodelling of the left ventricle that may further
improves symptoms and prognosis in these patients. This review focuses on the
pathophysiology, detection, and reperfusion strategies of ST-segment elevation MI
as well as current and future challenges to reduce ischaemia/reperfusion injury
and infarct size that may result in a further improved outcome in these patients.
PMID- 26543048
TI - Coronary evaginations and peri-scaffold aneurysms following implantation of
bioresorbable scaffolds: incidence, outcome, and optical coherence tomography
analysis of possible mechanisms.
AB - BACKGROUND: Peri-stent coronary evaginations may disturb flow and have been
proposed as possible risk factor for late stent thrombosis. We describe
incidence, predictors, and possible mechanisms of coronary evaginations 12 months
after implantation of bioresorbable vascular scaffolds (BVS). METHODS AND
RESULTS: One hundred and two BVS implanted in 90 patients (age 63 +/- 13 years,
71 males, 14 diabetics) were analysed with angiography and optical coherence
tomography (OCT) 12 months after implantation. Evaginations were identified as
any hollow in the luminal vessel contour between well-apposed struts and were
classified as major when extending >=3 mm with a depth >=10% of the BVS diameter.
Fifty-five (54%) of the BVS (50(56%) of the patients) had at least one
evagination (6.1 +/- 6.2 evaginations per BVS), with a mean volume of 1.9 +/- 1.9
mm(3). Major evaginations were only found in one patient, and in-BVS aneurysms in
three patients (4BVS). The presence of evaginations was strongly associated with
that of malapposition (P = 0.003) and strut fractures (P = 0.01). No association
could be shown between the presence and volume of the evaginations and any
clinical variable or the presence of uncovered struts (P > 0.5). Peri-strut low
intensity areas (PSLIA) were present in 29 (53%) of the BVS with evaginations and
12 (26%) of those without (P = 0.0049); their presence was independently
associated with the presence, the number (P < 0.003) and volume of the
evaginations (P = 0.004) and with that of strut fracture. CONCLUSIONS: Optical
coherence tomography-detected evaginations are relatively common after BVS
implantation, but, as for modern drug-eluting metallic stents, major evaginations
are very rare. Optical coherence tomography evidence of immature neointima and
strut fractures were associated with more severe development of evaginations.
PMID- 26543050
TI - Mike Richards: "sometimes people can trade too much on reputation".
PMID- 26543049
TI - Modelling maternal obesity: the effects of a chronic high-fat, high-cholesterol
diet on uterine expression of contractile-associated proteins and ex vivo
contractile activity during labour in the rat.
AB - Maternal obesity is associated with prolonged and dysfunctional labour and
emergency caesarean section, but the mechanisms are unknown. The present study
investigated the effects of an adiposity-inducing high-fat, high-cholesterol
(HFHC) diet on uterine contractile-associated protein (CAP) expression and ex
vivo uterine contractility in term non-labouring (TNL) and term labouring (TL)
rats. Female rats were fed either control chow (CON n=20) or HFHC (n=20) diet 6
weeks before conception and during pregnancy. On gestational day 21 (TNL) or day
22 (TL) CON and HFHC (n=10) rats were killed to determine plasma cholesterol,
triacylglycerol and progesterone concentrations and collection of myometrium for
contractility studies and expression of CAPs caveolin-1 (Cav-1), connexin-43 (CX
43) and it's phosphorylated form (pCX-43), oxytocin receptor (OXTR) and
cyclooxygenase-2 (COX-2). HFHC feeding increased visceral fat (P<=0.001), plasma
cholesterol (P<=0.001) and triacylglycerol (P=0.039) concentrations. Stage of
labour effected uterine expression of CAV-1 (P<0.02), pCX43 and COX-2 (both
P<0.03). CAV-1 and pCX43 decreased but COX-2 increased with parturition.
Significant diet- and labour-stage interactions were evident for CX-43 and pCX43
(P<0.03 and P<0.004 respectively). CX-43 decreased with TL in HFHC animals but
was unaltered in CON. pCX-43 fell with labour in CON but remained high in HFHC.
OXTR expression was significantly higher in HFHC compared with CON animals
(P<0.03). Progesterone was higher in HFHC rats at term (P<0.014) but fell
significantly with labour to similar concentrations as CON. Contractility studies
identified synchronous contractions of stable amplitude in lean animals, but
unstable asynchronous contractions with obesity. Uterine dose response to
oxytocin was blunted during labour in HFHC rats with a log EC50 of -8.84 compared
with -10.25 M in CON for integral activity (P<0.05). In conclusion, our adiposity
model exhibits adverse effects on contractile activity during labour that can be
investigated further to unravel the mechanisms causing uterine dystocia in obese
women.
PMID- 26543052
TI - Radiologic evaluation of portal steal phenomenon in recipients of liver
transplantation.
AB - It is important to maintain adequate portal flow and to prevent the detrimental
effect of portosystemic shunt in recipients following liver transplantation. The
purpose of this article is to present a comprehensive review of portosystemic
shunts and to illustrate such phenomenon demonstrated on radiologic studies such
as Doppler ultrasound, computed tomography, and portogram. It is important for
radiologists to be aware of such phenomenon not only in preoperative evaluation
of the recipients but also in postoperative screening to detect recurrence of the
phenomenon.
PMID- 26543051
TI - Diffusion-weighted magnetic resonance imaging in the differentiation of
endometriomas from hemorrhagic cysts in the ovary.
AB - BACKGROUND: The classic magnetic resonance imaging (MRI) feature of endometriomas
is the shading sign, which is characterized by T2-shortening in ovarian cystic
lesions that are hyperintense on T1-weighted images. The shading sign is
infrequently observed in hemorrhagic ovarian cysts. PURPOSE: To investigate the
value of MRI with diffusion-weighted imaging (DWI) for distinguishing
endometriomas from hemorrhagic cysts in the ovary. MATERIAL AND METHODS: This
retrospective study included 91 patients with 98 ovarian endometriomas and 21
hemorrhagic ovarian cysts that were confirmed pathologically, who had undergone
MRI with DWI. Two radiologists compared MRI features, including size,
bilaterality, multilocularity, the shading sign, the ovarian lesion/muscle signal
intensity ratio at T2-weighted images, and T2 dark spots, between endometriomas
and hemorrhagic cysts. We also compared the mean ADC value between endometriomas
and hemorrhagic cysts, and determined the optimal cutoff ADC value for
differentiating endometriomas from hemorrhagic cysts. RESULTS: The size and mean
ADC values were significantly different between endometriomas and hemorrhagic
cysts. The mean ADC values of endometriomas and hemorrhagic cysts were 1.06 +/-
0.38 * 10 (-3) mm(2)/s and 0.73 +/- 0.29 * 10(-3) mm(2)/s, respectively (P <
0.002). The optimal cutoff ADC value for differentiating endometriomas from
hemorrhagic cysts was 0.849 * 10(-3) mm(2)/s (sensitivity, 77.6%; specificity,
76.2%). CONCLUSION: The addition of DWI could help in differentiating
endometriomas from hemorrhagic cysts in the ovary, when conventional MRI is
challenging.
PMID- 26543053
TI - Radiologic evaluation of lumps in the male breast.
AB - BACKGROUND: Gynecomastia has a typical appearance on mammography, and occurs
frequently in men. However, imaging is often performed on men with breast lumps
to exclude breast cancer, which only comprises 1% of male breast masses. PURPOSE:
To assess whether ultrasound and fine needle aspiration cytology (FNAC) are
necessary investigations when mammograms show classical gynecomastia. MATERIAL
AND METHODS: We have retrospectively collected data on male patients referred for
mammography during the period 2011-2013 (a total of 539 patients). All
radiological images were re-read, and descriptions of ultrasound images were
reviewed. Clinical information supplied with the original referrals was assessed,
along with pathology and cytology reports. RESULTS: Among the 539 male patients
who underwent mammography, 483 were also examined with ultrasound, and 335 were
further evaluated with FNAC. Mammograms showed gynecomastia in 350 patients, and
among these subjects ultrasound was performed in 340 (97%), FNAC in 261 (75%),
and core biopsies in four (1%) patients. The diagnosis gynecomastia was unchanged
in all patients who underwent FNAC or biopsy. Malignant tumors were found in
eight patients, six of which were invasive ductal carcinomas. CONCLUSION: In
patients with a classical appearance of gynecomastia on mammography, supplemental
ultrasound, FNAC, or biopsy is superfluous and contributes to unnecessary costs.
PMID- 26543055
TI - Honesty is needed on Scottish health service funding, says BMA.
PMID- 26543054
TI - Hereditary hypophosphatemia in Norway: a retrospective population-based study of
genotypes, phenotypes, and treatment complications.
AB - OBJECTIVE: Hereditary hypophosphatemias (HH) are rare monogenic conditions
characterized by decreased renal tubular phosphate reabsorption. The aim of this
study was to explore the prevalence, genotypes, phenotypic spectrum, treatment
response, and complications of treatment in the Norwegian population of children
with HH. DESIGN: Retrospective national cohort study. METHODS: Sanger sequencing
and multiplex ligand-dependent probe amplification analysis of PHEX and Sanger
sequencing of FGF23, DMP1, ENPP1KL, and FAM20C were performed to assess genotype
in patients with HH with or without rickets in all pediatric hospital departments
across Norway. Patients with hypercalcuria were screened for SLC34A3 mutations.
In one family, exome sequencing was performed. Information from the patients'
medical records was collected for the evaluation of phenotype. RESULTS: Twety
eight patients with HH (18 females and ten males) from 19 different families were
identified. X-linked dominant hypophosphatemic rickets (XLHR) was confirmed in 21
children from 13 families. The total number of inhabitants in Norway aged 18 or
below by 1st January 2010 was 1,109,156, giving an XLHR prevalence of ~1 in
60,000 Norwegian children. FAM20C mutations were found in two brothers and
SLC34A3 mutations in one patient. In XLHR, growth was compromised in spite of
treatment with oral phosphate and active vitamin D compounds, with males tending
to be more affected than females. Nephrocalcinosis tended to be slightly more
common in patients starting treatment before 1 year of age, and was associated
with higher average treatment doses of phosphate. However, none of these
differences reached statistical significance. CONCLUSIONS: We present the first
national cohort of HH in children. The prevalence of XLHR seems to be lower in
Norwegian children than reported earlier.
PMID- 26543056
TI - A body-sized phantom for evaluation of diffusion-weighted MRI data using
conventional, readout-segmented, and zoomed echo-planar sequences.
AB - BACKGROUND: Abdominal diffusion-weighted imaging (DWI) has been rapidly
increasing during the last few years. For the evaluation of new DWI techniques,
the development of suitable phantoms and quality assurance methods is important.
PURPOSE: To construct a body-diameter phantom for abdominal DWI and study the
impact of different acquisition options on image quality. MATERIAL AND METHODS: A
phantom with a diameter of 31 cm and a volume of 26 L was constructed, containing
four samples representing a clinically relevant range of apparent diffusion
coefficient (ADC) values. Measurements were carried out on 1.5T and 3.0T MRI
systems using conventional echo-planar imaging (EPI), readout-segmented EPI, and
zoomed EPI (3.0T) sequences. The effects of parallel imaging, coil intensity
normalization, and patient-specific B1 shim (3.0T) were also examined. ADC values
and signal-to-noise ratios of the samples were measured, and the level of
artifacts was visually evaluated. RESULTS: The agreement of ADC values between
different acquisition options was generally good, but higher values (by 0.07 *
10(-3) mm(2)/s on the average) with readout-segmented EPI as well as ADC
variations of approximately 0.1 * 10(-3) mm(2)/s in slice direction were
observed. The image artifacts were reduced by using patient-specific B1 shim,
readout-segmented EPI, or zoomed EPI. CONCLUSION: The body-sized phantom
demonstrated well the expected image artifacts in DWI with large field of view.
The use of patient-specific B1 shim, readout-segmented EPI, or zoomed EPI
improved image quality of DWI in this study.
PMID- 26543058
TI - Hunt's 11% pay rise offer to junior doctors fails to avert ballot on action.
PMID- 26543057
TI - Intra-arterial transplantation of HLA-matched donor mesoangioblasts in Duchenne
muscular dystrophy.
AB - Intra-arterial transplantation of mesoangioblasts proved safe and partially
efficacious in preclinical models of muscular dystrophy. We now report the first
in-human, exploratory, non-randomized open-label phase I-IIa clinical trial of
intra-arterial HLA-matched donor cell transplantation in 5 Duchenne patients. We
administered escalating doses of donor-derived mesoangioblasts in limb arteries
under immunosuppressive therapy (tacrolimus). Four consecutive infusions were
performed at 2-month intervals, preceded and followed by clinical, laboratory,
and muscular MRI analyses. Two months after the last infusion, a muscle biopsy
was performed. Safety was the primary endpoint. The study was relatively safe:
One patient developed a thalamic stroke with no clinical consequences and whose
correlation with mesoangioblast infusion remained unclear. MRI documented the
progression of the disease in 4/5 patients. Functional measures were transiently
stabilized in 2/3 ambulant patients, but no functional improvements were
observed. Low level of donor DNA was detected in muscle biopsies of 4/5 patients
and donor-derived dystrophin in 1. Intra-arterial transplantation of donor
mesoangioblasts in human proved to be feasible and relatively safe. Future
implementation of the protocol, together with a younger age of patients, will be
needed to approach efficacy.
PMID- 26543059
TI - Painful knee but not hand osteoarthritis is an independent predictor of mortality
over 23 years follow-up of a population-based cohort of middle-aged women.
AB - To assess whether joint pain or radiographic osteoarthritis (ROA) of the knee and
hand is associated with all-cause and disease-specific mortality in middle-aged
women. METHODS: Four subgroups from the prospective community-based Chingford
Cohort Study were identified based on presence/absence of pain and ROA at
baseline: (Pain-/ROA-; Pain+/ROA-; Pain-/ROA+; Pain+/ROA+). Pain was defined as
side-specific pain in the preceding month, while side-specific ROA was defined as
Kellgren-Lawrence grade >=2. All-cause, cardiovascular disease (CVD) and cancer
related mortality over the 23-year follow-up was based on information collected
by the Office for National Statistics. Associations between subgroups and all
cause/cause-specific mortality were assessed using Cox regression, adjusting for
age, body mass index, typical cardiovascular risk factors, occupation, past
physical activity, existing CVD disease, glucose levels and medication use.
RESULTS: 821 and 808 women were included for knee and hand analyses,
respectively. Compared with the knee Pain-/ROA- group, the Pain+/ROA- group had
an increased risk of CVD-specific mortality (HR 2.93 (95% CI 1.47 to 5.85)),
while the knee Pain+/ROA+ group had an increased HR of 1.97 (95% CI 1.23 to 3.17)
for all-cause and 3.57 (95% CI 1.53 to 8.34) for CVD-specific mortality. We found
no association between hand OA and mortality. CONCLUSION: We found a
significantly increased risk of all-cause and CVD-specific mortality in women
experiencing knee pain with or without ROA but not ROA alone. No relationship was
found between hand OA and mortality risk. This suggests that knee pain, more than
structural changes of OA is the main driver of excess mortality in patients with
OA.
PMID- 26543060
TI - Functional Limitations and Gender Differences: Neighborhood Effects.
AB - Rates of functional limitations are consistently higher for women than for men,
but it is not clear why. While some studies have examined individual risk
factors, others have turned to broader social characteristics. We examined the
effects of both individual and neighborhood characteristics associated with the
functional limitations of older men and women. Multilevel structural equation
models were developed using data from a random digit dial sample of 5,688 adults
aged 50 to 74 years living in New Jersey. We found that greater numbers of fast
food restaurants, storefronts, and supermarkets was associated with more
functional limitations of women, while greater numbers of fast-food restaurants
was the only neighborhood characteristic associated with more functional
limitations of men. Functional limitations of women, but not men, are affected by
multiple neighborhood characteristics. This research reveals that specific
neighborhood contextual characteristics, not just poverty, are associated with
the health of community-dwelling adults.
PMID- 26543061
TI - Treatment of large overjet in Angle Class II: division 1 malocclusion with
Andresen activators versus prefabricated functional appliances-a multicenter,
randomized, controlled trial.
AB - OBJECTIVES: The purpose of this study was to compare the clinical effectiveness
in reducing large overjet between a prefabricated functional appliance (PFA) and
a slightly modified Andresen activator (AA). SETTING AND SAMPLE POPULATION:
Public Dental Service, Gothenburg, Sweden. PARTICIPANTS, STUDY DESIGN, AND
METHODS: A multicentre, prospective randomized clinical trial was conducted with
patients from 12 general dental practices. One hundred and five patients with an
Angle Class II, division 1 malocclusion and an overjet of >=6mm were eligible for
the study. Eight patients were excluded due to various reasons and the sample
consisted thus of 97 subjects (44 girls, 53 boys) with a mean age of 10.3 years.
The study was designed as intention to treat and the patients were randomly
allocated by lottery to treatment with either a PFA or an AA. The PFA and AA
group consisted of 57 subjects (28 girls, 29 boys) and 40 subjects (16 girls, 24
boys), respectively. Overjet, overbite, lip seal, and sagittal molar relationship
were recorded before, at the end of treatment and 1-year post-treatment. Blinding
was not performed. The endpoint of treatment was set to overjet <=3mm and after
this a 6 months retention period followed. RESULTS: No significant difference was
found in overjet, overbite, sagittal relation, and lip seal between the two
groups for the total observation period. The treatment of 40 (70 per cent)
patients with PFA and 21 (53 per cent) with AA were considered unsuccessful
mainly due to poor compliance. LIMITATIONS: No cephalometric records were taken
as only patient-centred clinical outcome were used as an indicator for treatment
success. The criteria of reduction of overjet to as low as 3mm could have
affected the success rate. CONCLUSION: No difference in effectiveness could be
shown between PFAs and AAs in correcting overjet, overbite, sagittal molar
relation, and lip seal. The success rate in treatment with both appliances is,
however, low. REGISTRATION: This trial was registered in "FoU i Sverige"
(http://www.fou.nu/is/sverige), registration number: 97131. PROTOCOL: The
protocol was not published before trial commencement.
PMID- 26543062
TI - "What is Palliative Care?"
AB - BACKGROUND AND AIM: Americans rely on the Internet for health information, and
people are likely to turn to online resources to learn about palliative care as
well. The purpose of this study was to analyze online palliative care information
pages to evaluate the breadth of their content. We also compared how frequently
basic facts about palliative care appeared on the Web pages to expert rankings of
the importance of those facts to understanding palliative care. DESIGN: Twenty
six pages were identified. Two researchers independently coded each page for
content. Palliative care professionals (n = 20) rated the importance of content
domains for comparison with content frequency in the Web pages. RESULTS: We
identified 22 recurring broad concepts about palliative care. Each information
page included, on average, 9.2 of these broad concepts (standard deviation [SD] =
3.36, range = 5-15). Similarly, each broad concept was present in an average of
45% of the Web pages (SD = 30.4%, range = 8%-96%). Significant discrepancies
emerged between expert ratings of the importance of the broad concepts and the
frequency of their appearance in the Web pages ( rtau = .25, P > .05). CONCLUSION
AND IMPLICATIONS: This study demonstrates that palliative care information pages
available online vary considerably in their content coverage. Furthermore,
information that palliative care professionals rate as important for consumers to
know is not always included in Web pages. We developed guidelines for information
pages for the purpose of educating consumers in a consistent way about palliative
care.
PMID- 26543063
TI - A Survey of Hospice and Palliative Care Physicians Regarding Palliative Sedation
Practices.
AB - CONTEXT: Patients nearing the end of life may experience symptoms that are
refractory to standard therapeutic options. Physicians may consider palliative
sedation to relieve intolerable suffering. There is limited clinical literature
regarding preferred medications for palliative sedation. OBJECTIVES: To determine
the preferred medications physicians use when implementing palliative sedation.
METHODS: An Internet-based, cross-sectional survey of hospice and palliative care
physicians in the United States. RESULTS: A link to the survey was e-mailed to
3130 physician members of the American Academy of Hospice and Palliative
Medicine, of which 381 physicians completed the survey. Physicians were not
required to answer all questions. Nearly all (n = 335, 99%) respondents indicated
that palliative sedation may be used (acceptable by 73% [n = 248] for refractory
symptoms and acceptable by 26% [n = 87] only for imminently dying patients).
Seventy-nine percent (n = 252) believed that opioids should not be used to induce
palliative sedation but should be continued to provide pain control. Midazolam
was the most commonly selected first-line choice for palliative sedation (n =
155, 42%). The most commonly reported second-line agents for the induction of
palliative sedation were lorazepam, midazolam (for those who did not select
midazolam as first-line agent), and phenobarbital with a reported preference of
20% (n = 49), 19% (n = 46), and 17% (n = 40), respectively. CONCLUSION: Of the
physicians surveyed, 99% (n = 335) felt that palliative sedation is a reasonable
treatment modality. Midazolam was considered a drug of choice for inducing and
maintaining sedation, and opioids were continued for pain control.
PMID- 26543064
TI - The importance of family.
PMID- 26543065
TI - Call for papers: service delivery models for people with intellectual
disabilities in developing countries.
PMID- 26543066
TI - Making comparative performance information more comprehensible: an experimental
evaluation of the impact of formats on consumer understanding.
AB - STUDY OBJECTIVES: We aimed to investigate how different presentation formats
influence comprehension and use of comparative performance information (CPI)
among consumers. METHODS: An experimental between-subjects and within-subjects
design with manipulations of CPI presentation formats. We enrolled both consumers
with lower socioeconomic status (SES)/cognitive skills and consumers with higher
SES/cognitive skills, recruited through an online access panel. Respondents
received fictitious CPI and completed questions about interpretation and
information use. Between subjects, we tested (1) displaying an overall
performance score (yes/no); (2) displaying a small number of quality indicators
(5 vs 9); and (3) displaying different types of evaluative symbols (star ratings,
coloured dots and word icons vs numbers and bar graphs). Within subjects, we
tested the effect of a reduced number of healthcare providers (5 vs 20). Data
were analysed using descriptive analysis, analyses of variance and paired-sampled
t tests. RESULTS: A total of 902 (43%) respondents participated. Displaying an
overall performance score and the use of coloured dots and word icons
particularly enhanced consumer understanding. Importantly, respondents provided
with coloured dots most often correctly selected the top three healthcare
providers (84.3%), compared with word icons (76.6% correct), star ratings (70.6%
correct), numbers (62.0%) and bars (54.2%) when viewing performance scores of 20
providers. Furthermore, a reduced number of healthcare providers appeared to
support consumers, for example, when provided with 20 providers, 69.5% correctly
selected the top three, compared with 80.2% with five providers. DISCUSSION:
Particular presentation formats enhanced consumer understanding of CPI, most
importantly the use of overall performance scores, word icons and coloured dots,
and a reduced number of providers displayed. Public report efforts should use
these formats to maximise impact on consumers.
PMID- 26543067
TI - Procedural instruction in invasive bedside procedures: a systematic review and
meta-analysis of effective teaching approaches.
AB - IMPORTANCE: Optimal approaches to teaching bedside procedures are unknown.
OBJECTIVE: To identify effective instructional approaches in procedural training.
DATA SOURCES: We searched PubMed, EMBASE, Web of Science and Cochrane Library
through December 2014. STUDY SELECTION: We included research articles that
addressed procedural training among physicians or physician trainees for 12
bedside procedures. Two independent reviewers screened 9312 citations and
identified 344 articles for full-text review. DATA EXTRACTION AND SYNTHESIS: Two
independent reviewers extracted data from full-text articles. MAIN OUTCOMES AND
MEASURES: We included measurements as classified by translational science
outcomes T1 (testing settings), T2 (patient care practices) and T3
(patient/public health outcomes). Due to incomplete reporting, we post hoc
classified study outcomes as 'negative' or 'positive' based on statistical
significance. We performed meta-analyses of outcomes on the subset of studies
sharing similar outcomes. RESULTS: We found 161 eligible studies (44 randomised
controlled trials (RCTs), 34 non-RCTs and 83 uncontrolled trials). Simulation was
the most frequently published educational mode (78%). Our post hoc classification
showed that studies involving simulation, competency-based approaches and RCTs
had higher frequencies of T2/T3 outcomes. Meta-analyses showed that simulation
(risk ratio (RR) 1.54 vs 0.55 for studies with vs without simulation, p=0.013)
and competency-based approaches (RR 3.17 vs 0.89, p<0.001) were effective forms
of training. CONCLUSIONS AND RELEVANCE: This systematic review of bedside
procedural skills demonstrates that the current literature is heterogeneous and
of varying quality and rigour. Evidence is strongest for the use of simulation
and competency-based paradigms in teaching procedures, and these approaches
should be the mainstay of programmes that train physicians to perform procedures.
Further research should clarify differences among instructional methods (eg,
forms of hands-on training) rather than among educational modes (eg, lecture vs
simulation).
PMID- 26543068
TI - Identifying patient safety problems associated with information technology in
general practice: an analysis of incident reports.
AB - OBJECTIVE: To identify the categories of problems with information technology
(IT), which affect patient safety in general practice. DESIGN: General
practitioners (GPs) reported incidents online or by telephone between May 2012
and November 2013. Incidents were reviewed against an existing classification for
problems associated with IT and the clinical process impacted. PARTICIPANTS AND
SETTING: 87 GPs across Australia. MAIN OUTCOME MEASURE: Types of problems,
consequences and clinical processes. RESULTS: GPs reported 90 incidents involving
IT which had an observable impact on the delivery of care, including actual
patient harm as well as near miss events. Practice systems and medications were
the most affected clinical processes. Problems with IT disrupted clinical
workflow, wasted time and caused frustration. Issues with user interfaces,
routine updates to software packages and drug databases, and the migration of
records from one package to another generated clinical errors that were unique to
IT; some could affect many patients at once. Human factors issues gave rise to
some errors that have always existed with paper records but are more likely to
occur and cause harm with IT. Such errors were linked to slips in concentration,
multitasking, distractions and interruptions. Problems with patient
identification and hybrid records generated errors that were in principle no
different to paper records. CONCLUSIONS: Problems associated with IT include
perennial risks with paper records, but additional disruptions in workflow and
hazards for patients unique to IT, occasionally affecting multiple patients.
Surveillance for such hazards may have general utility, but particularly in the
context of migrating historical records to new systems and software updates to
existing systems.
PMID- 26543069
TI - The Evolution of an Inpatient Palliative Care Consultation Service in an Urban
Teaching Hospital.
AB - BACKGROUND: Research on inpatient palliative medicine reports quality-of-life
outcomes and selected "hard" outcomes including pain scores, survival, and
readmissions. OBJECTIVE: This case study reports the evolution of an inpatient
palliative consultation (IPC) team to show how IPC induces culture change in a
hospital that previously had no palliative care. DESIGN: Retrospective chart
review. SETTING: A Catholic university-affiliated, inner-city hospital.
POPULATION: A total of 1700 consecutive adult inpatients from May 2009 to October
2013. MEASURES: Consultation records enumerated demographics, code status, powers
of attorney, referring physician, reason for consultation, and discharge
destination. Deidentified data were uploaded to a spreadsheet. Simple descriptive
statistics were calculated. RESULTS: Requests originated from internal medicine
(24%), geriatrics (21%), neurology (including stroke and neurosurgery, 14.3%),
medical intensive care unit (MICU, 12.2%), and hematology-oncology (10.3%). The
MICU consults increased 17.6% over time. The numbers of consults nearly doubled
after trainees began rounding with the service. Hospice discharges increased by
9.2%. Palliative management of in-hospital expirations increased 2- to 3-fold.
The most common consultation requests were for pain and nonpain symptoms,
establishing goals of care for patients experiencing clinical decline and
convening family meetings in cases of divided judgment. CONCLUSION: We describe
the evolution of palliative care in a safety-net hospital. Medicine services
which are largely resident run adopted early. Specialty services that are
attending driven adopted later. We believe house staff and nurses were the
initial change agents. The number of consultations increased when house staff and
students began rotating on the service suggesting unmet demand due to the limited
supply of providers.
PMID- 26543070
TI - Question 1: What is the best strategy to promote water consumption in children?
PMID- 26543071
TI - Usage of unpublished paediatric data.
AB - The European Paediatric Regulation (EC No 1901/2006) has three main objectives:
increasing the number of appropriate medicines for children, increasing
information on these medicines and stimulating high-quality ethical research with
children. To contribute to the information, pharmaceutical companies were
required under article 45 of the Regulation to submit existing paediatric studies
to regulatory authorities for review and update of the product information.
Nearly, 19 000 study reports have been identified for a thousand active
substances. The data are being assessed by member states' competent authorities
in collaboration with European Medicines Agency (EMA). After 7 years, 262 active
substances have been assessed, all of the 62 centrally approved and nearly 200
nationally approved medicines. The review so far has led to 16 new paediatric
indications, of importance in addressing previously unmet needs, in particular,
in younger age groups. The information is being made publicly available in an EMA
database accessible directly or through the public face of the European Clinical
Trials Register. This will increase awareness of existing data that are useful to
researchers and other healthcare professionals, and contribute to avoiding
unnecessary duplication of paediatric trials.
PMID- 26543072
TI - Case report: bullous Henoch-Schonlein purpura.
PMID- 26543074
TI - Prognosis for Women With Multivessel Coronary Artery Disease.
PMID- 26543073
TI - Watson-Crick-like pairs in CCUG repeats: evidence for tautomeric shifts or
protonation.
AB - RNA transcripts that include expanded CCUG repeats are associated with myotonic
dystrophy type 2. Crystal structures of two CCUG-containing oligomers show that
the RNA strands associate into slipped duplexes that contain noncanonical C-U
pairs that have apparently undergone tautomeric transition or protonation
resulting in an unusual Watson-Crick-like pairing. The overhanging ends of the
duplexes interact forming U-U pairs, which also show tautomerism. Duplexes
consisting of CCUG repeats are thermodynamically less stable than the
trinucleotide repeats involved in the TRED genetic disorders, but introducing LNA
residues increases their stability and raises the melting temperature of the
studied oligomers by ~10 degrees C, allowing detailed crystallographic studies.
Quantum mechanical calculations were performed to test the possibility of the
tautomeric transitions or protonation within the noncanonical pairs. The results
indicate that tautomeric or ionic shifts of nucleobases can manifest themselves
in biological systems, supplementing the canonical "rules of engagement."
PMID- 26543075
TI - Elongated Uvula Causing Chronic Cough: Role of the Modified Uvulopalatoplasty
Procedure.
AB - OBJECTIVE: A subset of patients previously diagnosed with idiopathic chronic
cough were found to have an elongated uvula contacting the laryngeal surface of
the epiglottis and inducing a cough reflex. These patients were successfully
treated with an in-office modified uvulopalatoplasty procedure (mUPP) at our
institution. We aim to further categorize this subset of patients and describe
the mUPP that can potentially offer this group of patients cure for their chronic
cough. STUDY DESIGN: Institutional Review Board-approved retrospective chart
review. METHODS: Patient demographics, medical history, associated symptoms,
prior treatment remedies, and response to mUPP were recorded and analyzed for 30
patients who underwent an in-office mUPP. RESULTS: The majority of patients were
middle-aged, nonsmoking females with symptoms of globus sensation and a gag
reflex when lying supine. 96.7% of patients reported complete resolution or
noticeable improvement of their cough following mUPP. There were no complications
from this in-office procedure in our study. CONCLUSIONS: The authors' main goals
are to make other physicians aware of this under-recognized subset of patients
with chronic cough who have an identifiable and treatable cause for their
symptoms and to provide the steps of a simple and effective surgical solution to
chronic cough in this group of patients.
PMID- 26543076
TI - Identification of Novel Biomarker and Therapeutic Target Candidates for Diagnosis
and Treatment of Follicular Adenoma.
AB - Follicular adenoma is a type of benign and encapsulated nodule in the thyroid
gland, but some adenomas have the potential to progress to follicular carcinoma.
Therefore, it is important to monitor the state and progress of follicular
adenoma in the clinic and discover drug development targets for the treatment of
follicular adenoma to prevent its worsening to follicular carcinoma. Currently,
the study of biomarkers and therapeutic targets lacks applications of up-to-date
technologies, including proteomics and bioinformatics. To discover novel protein
biomarker and therapeutic target candidates, a liquid chromatography-tandem mass
spectrometry approach was applied to directly compare follicular adenoma with
normal thyroid tissue samples. The proteomics analysis revealed 114 protein
biomarker candidates out of 1,780 identified and quantified proteins. A
comprehensive approach to prioritize the biomarker candidates by category and
rank revealed CD63, DDB1, TYMP, VDAC2, and DCXR as the top five biomarker
candidates. Upstream regulator analysis using the Ingenuity Pathway Analysis
(IPA) software discovered four therapeutic target candidates for follicular
adenoma, including TGFB1, MYC, ANGPT2, and NFE2L2. This study provided biomarker
and therapeutic target candidates for a follow-up study, which will facilitate
monitoring and treatment of follicular adenoma.
PMID- 26543077
TI - A Novel Approach to Evaluating Cancer Driver Gene Mutation Densities:
Cytoskeleton-related Gene Candidates.
AB - BACKGROUND: Oncoprotein genes are over-represented in statically defined, low
mutation-frequency fractions of cancer genome atlas (TCGA) datasets, consistent
with a higher driver mutation density. MATERIALS AND METHODS: We developed a
"continuously variable fraction" (CVF) approach to defining high and low mutation
frequency groups. RESULTS AND CONCLUSION: Using the CVF approach, an oncoprotein
set was shown to be associated with a TCGA, low mutation-frequency group in nine
distinct cancer types, versus six, for statically defined sets; and a tumor
suppressor set was over-represented in the low mutation-frequency group in seven
cancer types, notably including BRCA. The CVF approach identified single-mutation
driver candidates, such as BRAF V600E in the thyroid cancer dataset. The CVF
approach allowed investigation of cytoskeletal protein-related coding regions
(CPCRs), leading to the conclusion that mutation of CPCRs occurs at a
statistically significant, higher density in low mutation-frequency groups.
Supporting online material for this article can be found at
www.universityseminarassociates.com/Supporting_online_material_for_scholarly_pubs
php.
PMID- 26543078
TI - Ensuring Sample Quality for Biomarker Discovery Studies - Use of ICT Tools to
Trace Biosample Life-cycle.
AB - The growing demand of personalized medicine marked the transition from an
empirical medicine to a molecular one, aimed at predicting safer and more
effective medical treatment for every patient, while minimizing adverse effects.
This passage has emphasized the importance of biomarker discovery studies, and
has led sample availability to assume a crucial role in biomedical research.
Accordingly, a great interest in Biological Bank science has grown concomitantly.
In biobanks, biological material and its accompanying data are collected, handled
and stored in accordance with standard operating procedures (SOPs) and existing
legislation. Sample quality is ensured by adherence to SOPs and sample whole life
cycle can be recorded by innovative tracking systems employing information
technology (IT) tools for monitoring storage conditions and characterization of
vast amount of data. All the above will ensure proper sample exchangeability
among research facilities and will represent the starting point of all future
personalized medicine-based clinical trials.
PMID- 26543079
TI - Oligo-based High-resolution aCGH Analysis Enhances Routine Cytogenetic
Diagnostics in Haematological Malignancies.
AB - BACKGROUND: The purpose of the present study was to evaluate the detection rate
of genomic aberrations in haematological malignancies using oligobased array-CGH
(oaCGH) analysis in combination with karyotyping and fluorescence in situ
hybridization (FISH) analyses, and its feasibility in a clinical pragmatic
approach. MATERIALS AND METHODS: The 4x180K Cancer Cytochip array was applied in
96 patients with various haematological malignancies in a prospective setting and
in 41 acute myeloid leukemia (AML) patients retrospectively. RESULTS: Combined
use of oaCGH analysis and karyotyping improved the overall detection rate in
comparison to karyotyping-alone and vice versa. In cases with normal karyotypes
oaCGH analysis detected genomic aberrations in 66% (39/60) of cases. In the group
of simple karyotypes oaCGH analysis extended karyotypic findings in 39% (12/31)
while oaCGH analysis extended the karyotypic findings in 89% (39/44) of cases
with complex karyotypes. In 7% (5/75) of cases oaCGH analysis failed in detecting
the observed abnormalities by karyotyping. CONCLUSION: oaCGH analysis is a
valuable asset in routine cytogenetics of haematological malignancies.
PMID- 26543080
TI - MTA1 Is Up-regulated in Colorectal Cancer and Is Inversely Correlated with
Lymphatic Metastasis.
AB - BACKGROUND: Metastasis-associated protein 1 (MTA1) plays an important role in
tumourigenesis and progression of certain cancer types. In the current study, we
analyzed the relationship between MTA1 expression and disease progression of
colorectal cancer (CRC). MATERIALS AND METHODS: CRC tissues (n=93) and adjacent
normal colorectal tissues (n=70) were analyzed by quantitative real-time
polymerase chain reaction. MTA1 knockdown was established in RKO and HT115 cells
using MTA1 siRNA. RESULTS: The expression of MTA1 was significantly increased in
CRC tissues compared to paired normal colorectal tissues, but decreased
expression of MTA1 was correlated with poor prognosis (higher lymph node
involvement stage, TNM stage, local invasion and recurrence) that was associated
with increased expression of VEGFC and -D and the receptor VEGFR3. CONCLUSION:
MTA1 is up-regulated in CRC. MTA1 expression is inversely associated with
lymphatic metastases and the expression of VEGFC, VEGFD and VEGFR3.
PMID- 26543081
TI - Doxorubicin Affects Expression of Proteins of Neuronal Pathways in MCF-7 Breast
Cancer Cells.
AB - In the present article, we report on the semi-quantitative proteome analysis and
related changes in protein expression of the MCF-7 breast cancer cell line
following treatment with doxorubicin, using the precursor acquisition independent
from ion count (PAcIFIC) mass spectrometry method. PAcIFIC represents a cost
effective and easy-to-use proteomics approach, enabling for deep proteome
sequencing with minimal sample handling. The acquired proteomic data sets were
searched for regulated Reactome pathways and Gene Ontology annotation terms using
a new algorithm (SetRank). Using this approach, we identified pathways with
significant changes (<=0.05), such as chromatin organization, DNA binding, embryo
development, condensed chromosome, sequence-specific DNA binding, response to
oxidative stress and response to toxin, as well as others. These sets of pathways
are already well-described as being susceptible to chemotherapeutic drugs.
Additionally, we found pathways related to neuron development, such as central
nervous system neuron differentiation, neuron projection membrane and SNAP
receptor activity. These later pathways might indicate biological mechanisms on
the molecular level causing the known side-effect of doxorubicin chemotherapy,
characterized as cognitive impairment, also called 'chemo brain'. Mass
spectrometry data are available via ProteomeXchange with identifier PXD002998.
PMID- 26543082
TI - Contribution of DNA Double-strand Break Repair Gene XRCC3 Genotypes to Triple
negative Breast Cancer Risk.
AB - AIM: The DNA-repair gene X-ray repair cross-complementing group 3 (XRCC3) is
important in DNA double-strand break repair and plays a critical part in
initiation of carcinogenesis. Triple-negative breast cancer (TNBC) is the most
difficult breast cancer subtype with no existing gene-targeting drugs and little
knowledge on its genetic etiology. This study aimed to investigate the
contribution of the XRCC3 genotype to individual TNBC susceptibility. MATERIALS
AND METHODS: A total of 2,464 Taiwan citizens consisting of 1,232 breast cancer
cases and 1,232 controls were enrolled in this case-control study, and genotyping
of XRCC3 rs1799794, rs45603942, rs861530, rs3212057, rs1799796, rs861539 and
rs28903081 were performed with polymerase chain reaction-restriction fragment
length polymorphism (PCR-RFLP). We also conducted risk-stratified sub-group
analyses to determine the association between the genotype and age- and hormone
related characteristics of breast cancer sub-groups. RESULTS: There was no
significant difference between breast cancer and control groups in the
distributions of the genotypic or allelic frequencies as for the XRCC3 rs1799794
(p=0.5195 and 0.9545), rs45603942 (p=0.3478 and 0.1449), rs861530 (p=0.4567 and
0.5081), rs3212057 (p=1.0000 and 1.0000), rs1799796 (p=0.8487 and 0.7315) and
rs28903081 (p=1.0000 and 1.0000), respectively. However, the XRCC3 rs861539 TT
genotype was more prevalent in patients with breast cancer [odds ratio (OR)=2.99,
95% confidence interval (CI)=1.62-5.55; p=0.0002], and especially among those who
were younger than 55 years (OR=2.61, 95% CI=1.82-3.73; p=0.0001), with first
menarche earlier than 12.2 years (OR=2.47, 95% CI=1.74-3.52; p=0.0001), with
menopause at 49.0 years old or later (OR=2.53, 95% CI=1.76-3.62; p=0.0001), or
with TNBC (OR=2.05, 95% CI=1.46-4.28; p=4.63*10(-4)). CONCLUSION: XRCC3 rs861539
TT is a potential predictive marker for TNBC in Taiwanese women and
investigations in other populations are warranted for further universal
application in cancer detection and prediction.
PMID- 26543083
TI - Global Proteomic Profiling of Drosophila Ovary: A High-resolution, Unbiased,
Accurate and Multifaceted Analysis.
AB - BACKGROUND: Drosophila melanogaster ovary serves as an attractive model system
for the investigation of the cell cycle, death, signaling, migration,
differentiation, development and stemness. By employing the 3750/+ heterozygote
fly strain that carries specific functions in the follicle cell compartment, and
a reliable control in GAL4/UAS-based transgenic technology, we herein
characterized the protein-expression profiling of D. melanogaster ovary by
applying high-resolution proteomic tools and bioinformatics programs. MATERIALS
AND METHODS: Whole-cell total protein extracts derived from 3750/+ fly ovaries
were prepared under highly denaturing conditions and after tryptic digestion,
their cognate peptides were processed to liquid chromatography-mass
spectrometry/mass spectrometry (LC-MS/MS) analysis in a high-resolution LTQ
Orbitrap Elite instrument. Obtained protein data were analyzed through use of
UniProt, DAVID, KEGG and PANTHER bioinformatics platforms. RESULTS: The 7,583
unique peptides identified show that fly ovary contains at least 2,103 single
proteins, which are distributed to all egg chamber compartments, in cytoplasm,
membrane and nucleus, compartmentalized into major cellular organelles, and
categorized into critical macromolecular assemblies. Among the recognized
specific functions, nucleic acid binding, hydrolase, oxidoreductase, transporter
and vesicle-mediated trafficking activities were the most prevalent. Determinants
implicated in cellular metabolism and gene expression are represented by ~41% and
~17% of the ovarian proteome, respectively. Surprisingly, several proteins were
found engaged in aging, immune response and neurogenesis. All major signaling
pathways were detected, while apoptotic and non-apoptotic cell death programs
were also identified. Remarkably, proteins involved in tumor formation,
neurodegenerative and inflammatory diseases were also recognized. The successful
remodeling of the proteasome and nearly complete molecular reconstruction of the
citrate cycle and fatty acid degradation pathways demonstrate the efficacy,
accuracy and fidelity of our combined proteomics/bioinformatics approach.
CONCLUSION: Global proteomic characterization of D. melanogaster ovary allows the
discovery of novel regulators and pathways, and provides a systemic view of
networks that govern ovarian pathophysiology and embryonic development in fly
species as well in humans.
PMID- 26543084
TI - Proteomics as a Guide for Personalized Adjuvant Chemotherapy in Patients with
Early Breast Cancer.
AB - Proteomics allows for better understanding of the function and regulation of
cancer cells mediated by intra- and extracellular signaling networks. Integrating
such information with clinicopathological characteristics of the tumor may lead
to either detection of disease biomarkers useful to differentiate high-from low
risk patients, or to identification of new drug targets. Adjuvant chemotherapy is
currently a personalized treatment strategy, especially for breast cancer (BC)
patients, and the risk assessment of each patient influences its use because the
benefit strictly correlates with the level of risk. Luminal A BCs are endocrine
therapy (ET)-sensitive but exhibit low sensitivity to chemotherapy, while luminal
B cancers, according to the Ki-67 proliferation rate may require for chemotherapy
in addition to ET, and HER2-positive tumors derive benefit from adjuvant
chemotherapy containing an anthracycline, a taxane and trastuzumab for one year.
Triple-negative BCs have a high degree of genomic instability exhibiting a more
aggressive clinical course with respect to other types of BC, and the
anthracycline-taxane regimen constitutes the standard approach. Studies
considering the use of targeted approaches (drugs), including poly (ADP-ribose)
polymerase (PARP-1), vascular endothelial growth factor (VEGF), epidermal growth
factor receptor (EGFR) inhibitors, or EFGR and HER2 blockers, are still under
evaluation. In the genomic era, promising new targeted-therapies are worthy of
further investigation, and mTOR inhibitors have been used for patients with high
risk ER-positive and HER2-negative tumors. In the near future, genetic and
molecular profiling of BC will help to better-categorize patients, determine the
choice of chemotherapy in low-risk, or intensify the treatment in high-risk
cancer patients, eventually revealing new targeted agents.
PMID- 26543085
TI - Significant Association of Caveolin-1 and Caveolin-2 with Prostate Cancer
Progression.
AB - BACKGROUND/AIM: Up-regulation of caveolin (CAV)-1 is associated with aggressive
prostate cancer. Recently, it has been inferred that CAV2, a co-factor sub-type
of CAV1, cross-talks with CAV1 and promotes tumor growth. We previously reported
that plasma CAV1 levels are elevated in patients with castration-resistant
prostate cancer (CRPC), but not in hormone-sensitive prostate cancer (non-CRPC),
implying that CAV1 may be a therapeutic target for CRPC. However, a correlation
of CAV1 and CAV2 expression in PC has not yet been reported. Herein, we analyzed
associations between PC progression and plasma CAV1 and -2 in Japanese men, and
expression of CAV1 and -2 in PC3 (CRPC) and LNCaP (non-CRPC) cell lines.
MATERIALS AND METHODS: We investigated plasma samples from 36 patients with CRPC
and 22 with non-CRPC. We used enzyme-linked immunosorbent assay (ELISA) to
determine plasma levels of CAV1 and -2, and examined correlations with
clinicopathological characteristics such as Gleason grade and clinical T stage.
Real-time quantitative reverse transcription-polymerase chain reaction (qRT-PCR)
was used to evaluate CAV1 and CAV2 mRNA in PC cell lines. We also introduced CAV1
and CAV2-specific small interfering (siRNA) into PC3 cells to knock-down (KD)
both molecules, and examined its influence on the expression of these genes
between PC3 CAV1 and -2 KD cells and control cells. RESULTS: Plasma CAV1 and -2
levels in patients with CRPC were significantly higher than in those with non
CRPC (CAV1, p=0.003; CAV2, p<0.001). Plasma levels of CAV1 and -2 were
significantly correlated (p<0.001). However, we did not find any significant
relationship between CAV1 or CAV2 expression and clinicopathological factors.
ELISA and real-time qRT-PCR showed that both proteins and mRNAs in PC3 cells were
significantly over-expressed compared to LNCaP cells (p<0.001). In PC3 CAV1 KD
cells, expression of CAV2 was suppressed and confirmed the linkage of CAV2 KD and
suppression of CAV1 expression. CONCLUSION: There was a significant correlation
between plasma CAV-1 and -2 levels and progression of PC. CAV1 and -2 were highly
expressed in the PC3 compared to the LNCaP cell line. Our findings support the
potential of these molecules as therapeutic targets for CRPC.
PMID- 26543086
TI - Cytotoxic Activities of Eosinophil Cationic Protein and Eosinophil-derived
Neurotoxin: In Silico Analysis.
AB - BACKGROUND: Eosinophil cationic protein (ECP) and eosinophil derived-neurotoxin
(EDN) are homologous ribonuclease (RNAse) A family proteins. The objective of the
present study was to in silico characterize ECP and EDN with respect to their
cytotoxic activities. MATERIALS AND METHODS: Structural, physicochemical, and
conserved domain characterizations were carried-out using open-source software,
such as InterProScan, NetOGlyc, NetPhos and Discovery Studio 3.1. RESULTS: The
proteins did not have atypical conserved domains. EDN had a greater number of
glutamine amino acid residues, whereas ECP had a predominance of arginine. ECP
had four possible N-glycosylation, three O-glycosylation and four phosphorylation
sites. EDN had five putative N-glycosylation, three phosphorylation and no O
glycosylation sites. CONCLUSION: The greater cationicity of ECP may be related to
its higher cytotoxicity and to the fact that the varying post-translational
modification profiles can generate functional differences from structural
alteration. In vivo and in vitro studies need to be performed in order to confirm
these predictions.
PMID- 26543088
TI - Simultaneous Quantification of Syringic Acid and Kaempferol in Extracts of
Bergenia Species Using Validated High-Performance Thin-Layer Chromatographic
Densitometric Method.
AB - A rapid, sensitive, selective and robust quantitative densitometric high
performance thin-layer chromatographic method was developed and validated for
separation and quantification of syringic acid (SYA) and kaempferol (KML) in the
hydrolyzed extracts of Bergenia ciliata and Bergenia stracheyi. The separation
was performed on silica gel 60F254 high-performance thin-layer chromatography
plates using toluene : ethyl acetate : formic acid (5 : 4: 1, v/v/v) as the
mobile phase. The quantification of SYA and KML was carried out using a
densitometric reflection/absorption mode at 290 nm. A dense spot of SYA and KML
appeared on the developed plate at a retention factor value of 0.61 +/- 0.02 and
0.70 +/- 0.01. A precise and accurate quantification was performed using linear
regression analysis by plotting the peak area vs concentration 100-600 ng/band
(correlation coefficient: r = 0.997, regression coefficient: R(2) = 0.996) for
SYA and 100-600 ng/band (correlation coefficient: r = 0.995, regression
coefficient: R(2) = 0.991) for KML. The developed method was validated in terms
of accuracy, recovery and inter- and intraday study as per International
Conference on Harmonisation guidelines. The limit of detection and limit of
quantification of SYA and KML were determined, respectively, as 91.63, 142.26 and
277.67, 431.09 ng. The statistical data analysis showed that the method is
reproducible and selective for the estimation of SYA and KML in extracts of B.
ciliata and B. stracheyi.
PMID- 26543089
TI - Use of microsatellite and SNP markers for biotype characterization in Hessian
fly.
AB - Exploration of the biotype structure of Hessian fly, Mayetiola destructor (Say)
(Diptera: Cecidomyiidae), would improve our knowledge regarding variation in
virulence phenotypes and difference in genetic background. Microsatellites
(simple sequence repeats) and single-nucleotide polymorphisms (SNPs) are highly
variable genetic markers that are widely used in population genetic studies. This
study developed and tested a panel of 18 microsatellite and 22 SNP markers to
investigate the genetic structure of nine Hessian fly biotypes: B, C, D, E, GP,
L, O, vH9, and vH13. The simple sequence repeats were more polymorphic than the
SNP markers, and their neighbor-joining trees differed in consequence.
Microsatellites suggested a simple geographic association of related biotypes
that did not progressively gain virulence with increasing genetic distance from a
founder type. Use of the k-means clustering algorithm in the STRUCTURE program
shows that the nine biotypes comprise six to eight populations that are related
to geography or history within laboratory cultures.
PMID- 26543090
TI - Phosphoinositide 3-kinase-delta regulates fungus-induced allergic lung
inflammation through endoplasmic reticulum stress.
AB - BACKGROUND: Sensitisation with Aspergillus fumigatus (Af) is known to be
associated with severe allergic lung inflammation, but the mechanism remains to
be clarified. Phosphoinositide 3-kinase (PI3K)-delta and endoplasmic reticulum
(ER) stress are suggested to be involved in steroid-resistant lung inflammation.
We aimed to elucidate the role of PI3K-delta and its relationship with ER stress
in fungus-induced allergic lung inflammation. METHODS: Using Af-exposed in vivo
and in vitro experimental systems, we examined whether PI3K-delta regulates ER
stress, thereby contributing to steroid resistance in fungus-induced allergic
lung inflammation. Moreover, we checked expression of an ER stress marker in lung
tissues isolated from patients with allergic bronchopulmonary aspergillosis.
RESULTS: Af-exposed mice showed that ER stress markers, unfolded protein response
(UPR)-related proteins, phosphorylated Akt, generation of mitochondrial reactive
oxygen species (mtROS), eosinophilic allergic inflammation, and airway
hyperresponsiveness (AHR) were increased in the lung. Similarly, glucose
regulated protein 78 was increased in lung tissues of patients with ABPA. A PI3K
delta inhibitor reduced Af-induced increases in ER stress markers, UPR-related
proteins, allergic inflammation and AHR in mice. However, dexamethasone failed to
reduce Af-induced allergic inflammation, AHR and elevation of ER stress.
Administration of an ER stress inhibitor or a mtROS scavenger improved Af-induced
allergic inflammation. The PI3K-delta inhibitor reduced Af-induced mtROS
generation and the mtROS scavenger ameliorated ER stress. In primary cultured
tracheal epithelial cells, Af-induced ER stress was inhibited by blockade of PI3K
delta. CONCLUSIONS: These findings suggest that PI3K-delta regulates Af-induced
steroid-resistant eosinophilic allergic lung inflammation through ER stress.
PMID- 26543091
TI - Lymph node trafficking of regulatory T cells is prerequisite for immune
suppression.
AB - Regulatory T cells have a crucial role in health and disease because of their
immune regulation function. However, the anatomic sites where regulatory T cells
exert optimal immune regulation are open to debate. In our current study with the
use of a shear-stress flow assay, we found that regulatory T cells exhibited
significantly decreased adhesion to either activated endothelial monolayer or
intercellular adhesion molecule 1 or E-selectin-coated surfaces compared with
activated effector T cells. The less transmigration capacity of the regulatory T
cells prompted our speculation of preferential lymph node localization for the
regulatory T cells that endowed these cells with immune regulation function in
the most efficient manner. To test this hypothesis, the role of lymph node
localization in regulatory T cell-mediated immune suppression was evaluated with
a footpad inflammation model. We found that adoptively transferred regulatory T
cells inhibited the development of footpad inflammation. In addition, although
blockage of CCR7 or CD62L had no effect on the immune suppressive function of the
regulatory T cells per se, pretreatment of the regulatory T cells with either
CCR7 or CD62L blocking antibodies prevented their recruitment into draining lymph
nodes and concomitantly abrogated the immune suppressive effects of adoptively
transferred regulatory T cells during footpad inflammation. Our data demonstrate
the crucial role of lymph node localization in regulatory T cell-mediated immune
suppression and suggest a probable hierarchy in the anatomic sites for optimal
immune regulation. Elucidating the relationships between the transmigration
characteristics of the regulatory T cells and their immune regulation function
will provide insightful information for regulatory T cell-based cell therapy.
PMID- 26543092
TI - Correction for Chen et al., Bindarit, an Inhibitor of Monocyte Chemotactic
Protein Synthesis, Protects against Bone Loss Induced by Chikungunya Virus
Infection.
PMID- 26543094
TI - Allergic Lung Inflammation Aggravates Angiotensin II-Induced Abdominal Aortic
Aneurysms in Mice.
AB - OBJECTIVE: Asthma and abdominal aortic aneurysms (AAA) both involve inflammation.
Patients with asthma have an increased risk of developing AAA or experiencing
aortic rupture. This study tests the development of one disease on the
progression of the other. APPROACH AND RESULTS: Ovalbumin sensitization and
challenge in mice led to the development of allergic lung inflammation (ALI).
Subcutaneous infusion of angiotensin II into mice produced AAA. Simultaneous
production of ALI in AAA mice doubled abdominal aortic diameter and increased
macrophage and mast cell content, arterial media smooth muscle cell loss, cell
proliferation, and angiogenesis in AAA lesions. ALI also increased plasma IgE,
reduced plasma interleukin-5, and increased bronchioalveolar total inflammatory
cell and eosinophil accumulation. Intraperitoneal administration of an anti-IgE
antibody suppressed AAA lesion formation and reduced lesion inflammation, plasma
IgE, and bronchioalveolar inflammation. Pre-establishment of ALI also increased
AAA lesion size, lesion accumulation of macrophages and mast cells, media smooth
muscle cell loss, and plasma IgE, reduced plasma interleukin-5, interleukin-13,
and transforming growth factor-beta, and increased bronchioalveolar inflammation.
Consequent production of ALI also doubled lesion size of pre-established AAA and
increased lesion mast cell and T-cell accumulation, media smooth muscle cell
loss, lesion cell proliferation and apoptosis, plasma IgE, and bronchioalveolar
inflammation. In periaortic CaCl2 injury-induced AAA in mice, production of ALI
also increased AAA formation, lesion inflammation, plasma IgE, and
bronchioalveolar inflammatory cell accumulation. CONCLUSIONS: This study suggests
a pathological link between airway allergic disease and AAA. Production of one
disease aggravates the progression of the other.
PMID- 26543095
TI - NG2 Proteoglycan Ablation Reduces Foam Cell Formation and Atherogenesis via
Decreased Low-Density Lipoprotein Retention by Synthetic Smooth Muscle Cells.
AB - OBJECTIVES: Obesity and hyperlipidemia are critical risk factors for
atherosclerosis. Because ablation of NG2 proteoglycan in mice leads to
hyperlipidemia and obesity, we investigated the impact of NG2 ablation on
atherosclerosis in apoE null mice. APPROACH AND RESULTS: Immunostaining indicates
that NG2 expression in plaque, primarily by synthetic smooth muscle cells,
increases during atherogenesis. NG2 ablation unexpectedly results in decreased
(30%) plaque development, despite aggravated obesity and hyperlipidemia.
Mechanistic studies reveal that NG2-positive plaque synthetic smooth muscle cells
in culture can sequester low-density lipoprotein to enhance foam-cell formation,
processes in which NG2 itself plays direct roles. In agreement with these
observations, low-density lipoprotein retention and lipid accumulation in the
NG2/ApoE knockout aorta is 30% less than that seen in the control aorta.
CONCLUSIONS: These results indicate that synthetic smooth muscle cell-dependent
low-density lipoprotein retention and foam cell formation outweigh obesity and
hyperlipidemia in promoting mouse atherogenesis. Our study sheds new light on the
role of synthetic smooth muscle cells during atherogenesis. Blocking plaque NG2
or altering synthetic smooth muscle cells function may be promising therapeutic
strategies for atherosclerosis.
PMID- 26543096
TI - Novel Pathways of Apolipoprotein A-I Metabolism in High-Density Lipoprotein of
Different Sizes in Humans.
AB - OBJECTIVE: A prevailing concept is that high-density lipoprotein (HDL) is
secreted into the systemic circulation as a small mainly discoidal particle,
which expands progressively and becomes spherical by uptake and esterification of
cellular cholesterol and then contracts by cholesterol ester delivery to the
liver, a process known as reverse cholesterol transport, thought to be impaired
in people with low HDL cholesterol (HDLc). This metabolic framework has not been
established in humans. APPROACH AND RESULTS: We studied the metabolism of
apolipoprotein A-I in 4 standard HDL sizes by endogenous isotopic labeling in 6
overweight adults with low HDLc and in 6 adults with normal body weight with high
plasma HDLc. Contrary to expectation, HDL was secreted into the circulation in
its entire size distribution from very small to very large similarly in both
groups. Very small (prebeta) HDL comprised only 8% of total apolipoprotein A-I
secretion. Each HDL subfraction circulated mostly within its secreted size range
for 1 to 4 days and then was cleared. Enlargement of very small and medium to
large and very large HDL and generation of very small from medium HDL were minor
metabolic pathways. Prebeta HDL was cleared slower, whereas medium, large, and
very large HDL were cleared faster in the low HDLc group. CONCLUSIONS: A new
model is proposed from these results in which HDL is metabolized in plasma mainly
within several discrete, stable sizes across the common range of HDLc
concentrations.
PMID- 26543097
TI - Biomarkers: A Challenging Conundrum in Cardiovascular Disease.
AB - The use of biomarkers has proven utility in cardiovascular medicine and holds
great promise for future advances, but their application requires considerable
rigor in thinking and methodology. Numerous confounding factors can cloud the
clinical and investigative uses of biomarkers. Yet, the thoughtful and critical
use of biomarkers can doubtless aid discovery of new pathogenic pathways,
identify novel therapeutic targets, and provide a bridge between the laboratory
and the clinic. Biomarkers can provide diagnostic and prognostic tools to the
practitioner. The careful application of biomarkers can also help design and
guide clinical trials required to establish the efficacy of novel interventions
to improve patient outcomes. Point of care testing, technological advances, such
as microfluidic and wearable devices, and the power of omics approaches all
promise to elevate the potential contributions of biomarkers to discovery
science, translation, clinical trials, and the practice of cardiovascular
medicine.
PMID- 26543098
TI - Genome-Edited Human Pluripotent Stem Cell-Derived Macrophages as a Model of
Reverse Cholesterol Transport--Brief Report.
AB - OBJECTIVE: To create isogenic human pluripotent stem cell-derived macrophages
with and without ABCA1 expression as a model for reverse cholesterol transport.
APPROACH AND RESULTS: The clustered regularly interspaced short palindromic
repeats (CRISPR)/CRISPR-associated 9 (Cas9) genome-editing system was used to
introduce frameshift mutations into the coding sequence of ATP-binding cassette,
subfamily A, member 1. Individual human pluripotent stem cell clones with
deleterious mutations were identified, expanded, and differentiated into mature
macrophages with a cytokine-based, feeder-free differentiation protocol. Wild
type cells demonstrated effective cholesterol efflux to apoAI acceptor, whereas
ABCA1(-/-) cells displayed significantly reduced efflux ability and increased
expression of proinflammatory cytokines. CONCLUSIONS: Human pluripotent stem cell
derived macrophages capable of reverse cholesterol transport can be rapidly
generated and genetically edited with CRISPR/Cas9. Introduction of homozygous
frameshift mutations results in loss of ABCA1 expression in differentiated
macrophages and subsequent reduction of cholesterol efflux capability. This
facile genome-editing approach and differentiation protocol pave the way for
future studies of the molecular determinants of reverse cholesterol transport and
other macrophage properties.
PMID- 26543099
TI - Disruption of Physiological Balance Between Nitric Oxide and Endothelium
Dependent Hyperpolarization Impairs Cardiovascular Homeostasis in Mice.
AB - OBJECTIVE: Endothelium-derived nitric oxide (NO) and endothelium-dependent
hyperpolarization (EDH) play important roles in modulating vascular tone in a
distinct vessel size-dependent manner; NO plays a dominant role in conduit
arteries and EDH in resistance vessels. We have recently demonstrated that
endothelial NO synthase (eNOS) is functionally suppressed in resistance vessels
through caveolin-1 (Cav-1)-dependent mechanism, switching its function from NO to
EDH/hydrogen peroxide generation in mice. Here, we examined the possible
importance of the physiological balance between NO and EDH in cardiovascular
homeostasis. APPROACH AND RESULTS: We used 2 genotypes of mice in which eNOS
activity is genetically upregulated; Cav-1-knockout (Cav-1-KO) and endothelium
specific eNOS transgenic (eNOS-Tg) mice. Isometric tension recordings and
Langendorff experiments with isolated perfused hearts showed that NO-mediated
relaxations were significantly enhanced, whereas EDH-mediated relaxations were
markedly reduced in microcirculations. Importantly, impaired EDH-mediated
relaxations of small mesenteric arteries from Cav-1-KO mice were completely
rescued by crossing the mice with those with endothelium-specific overexpression
of Cav-1. Furthermore, both genotypes showed altered cardiovascular phenotypes,
including cardiac hypertrophy in Cav-1-KO mice and hypotension in eNOS-Tg mice.
Finally, we examined cardiac responses to chronic pressure overload by transverse
aortic constriction in vivo. When compared with wild-type mice, both Cav-1-KO and
eNOS-Tg mice exhibited reduced survival after transverse aortic constriction
associated with accelerated left ventricular systolic dysfunction, reduced
coronary flow reserve, and enhanced myocardial hypoxia. CONCLUSIONS: These
results indicate that excessive endothelium-derived NO with reduced EDH impairs
cardiovascular homeostasis in mice in vivo.
PMID- 26543100
TI - Association Between Cholesterol Efflux Capacity and Atherosclerotic
Cardiovascular Disease in Patients With Familial Hypercholesterolemia.
AB - OBJECTIVE: Patients with familial hypercholesterolemia (FH) are at high risk for
premature atherosclerotic cardiovascular disease (ASCVD), especially because of
long-term exposure to high low-density lipoprotein cholesterol levels. It has
been reported that low-density lipoprotein-lowering therapy delays the onset of
ASCVD. However, it still remains difficult to prevent it. Therefore, novel
biomarkers and therapeutic targets are necessary to evaluate and prevent
atherosclerosis in FH. The aim of this study was to investigate associations of
cholesterol efflux capacity with the presence of ASCVD and clinical features in
patients with heterozygous FH. APPROACH AND RESULTS: We measured cholesterol
efflux capacity in 227 patients with heterozygous FH under pharmaceutical
treatment. Seventy-six (33.5%) of them were known to have ASCVD. In a logistic
regression analysis adjusted for risk factors, increased efflux capacity was
associated with decreased risk of ASCVD even after the addition of high-density
lipoprotein cholesterol level as a covariate (odds ratio per 1-SD increase, 0.95;
95% confidence interval, 0.90-0.99; P<0.05). Decreased cholesterol efflux
capacity was associated with the presence of corneal arcus after adjusting for
age and sex. In addition, inverse relationships between cholesterol efflux
capacity and Achilles tendon thickness, as well as carotid intima-media
thickness, were observed after adjustment for age, sex, and traditional
cardiovascular risk factors. CONCLUSIONS: Cholesterol efflux capacity was
independently and inversely associated with the presence of ASCVD in heterozygous
FH. In view of residual risks after treatment with statins, cholesterol efflux
capacity might be a novel biomarker and a therapeutic target for preventing
atherosclerosis in patients with FH.
PMID- 26543101
TI - Microsomal Prostaglandin E Synthase-1-Derived PGE2 Inhibits Vascular Smooth
Muscle Cell Calcification.
AB - OBJECTIVE: Chronic administration of selective cyclooxygenase-2 (COX-2)
inhibitors leads to an increased risk of adverse cardiovascular events, including
myocardial infarction and stroke. Vascular smooth muscle cell (VSMC)
calcification, a common complication of chronic kidney disease, is directly
related to cardiovascular morbidity and mortality. Here, we tested whether
specific COX-2 inhibition affects vascular calcification during chronic renal
failure. APPROACH AND RESULTS: The COX-2-specific inhibitors NS398 and SC236
significantly increased high-phosphate (Pi)-induced VSMC calcification.
Similarly, COX-2(-/-) VSMCs, COX-2(-/-) aortas rings treated with high Pi and
adenine diet-induced COX-2(-/-) chronic renal failure mice displayed enhanced
calcium deposition. Metabolomic analysis revealed the differential suppression of
PGE2 production by COX-1- and COX-2-specific inhibitors in high-Pi-stimulated
VSMCs, indicating the involvement of PGE2 during COX-2 inhibition-aggravated
vascular calcification. Indeed, exogenous PGE2 reduced alkaline phosphatase
activity, osteogenic transdifferentiation, apoptosis, and calcification of VSMCs.
In accordance, downregulation of microsomal prostaglandin E synthase (mPGES)-1 in
VSMCs, mPGES-1(-/-) aorta with high-Pi stimulation and mPGES-1(-/-) chronic renal
failure mice resulted in enhanced vascular mineralization. Further applications
of RNAi and specific antagonists for PGE2 receptors indicated EP4 may mediate
PGE2-inhibited vascular calcification. CONCLUSIONS: Our data revealed the pivotal
role of COX-2-mPGES-1-PGE2 axis in vascular calcification. The selective
inhibition of COX-2 or mPGES-1 may increase the risk of calcification and
subsequent adverse cardiovascular events during chronic renal failure.
PMID- 26543102
TI - Monitoring Cellular Phosphorylation Signaling Pathways into Chromatin and Down to
the Gene Level.
AB - Protein phosphorylation, one of the most common and important modifications of
acute and reversible regulation of protein function, plays a dominant role in
almost all cellular processes. These signaling events regulate cellular
responses, including proliferation, differentiation, metabolism, survival, and
apoptosis. Several studies have been successfully used to identify phosphorylated
proteins and dynamic changes in phosphorylation status after stimulation.
Nevertheless, it is still rather difficult to elucidate precise complex
phosphorylation signaling pathways. In particular, how signal transduction
pathways directly communicate from the outer cell surface through cytoplasmic
space and then directly into chromatin networks to change the transcriptional and
epigenetic landscape remains poorly understood. Here, we describe the
optimization and comparison of methods based on thiophosphorylation affinity
enrichment, which can be utilized to monitor phosphorylation signaling into
chromatin by isolation of phosphoprotein containing nucleosomes, a method we term
phosphorylation-specific chromatin affinity purification (PS-ChAP). We utilized
this PS-ChAP(1) approach in combination with quantitative proteomics to identify
changes in the phosphorylation status of chromatin-bound proteins on nucleosomes
following perturbation of transcriptional processes. We also demonstrate that
this method can be employed to map phosphoprotein signaling into chromatin
containing nucleosomes through identifying the genes those phosphorylated
proteins are found on via thiophosphate PS-ChAP-qPCR. Thus, our results showed
that PS-ChAP offers a new strategy for studying cellular signaling and chromatin
biology, allowing us to directly and comprehensively investigate phosphorylation
signaling into chromatin to investigate if these pathways are involved in
altering gene expression. The mass spectrometry proteomics data have been
deposited to the ProteomeXchange Consortium with the data set identifier
PXD002436.
PMID- 26543104
TI - Draft Genome Sequence of Bacillus Species from the Rhizosphere of the Desert
Plant Rhazya stricta.
AB - In order to better understand the ecology and diversity of microbes in the
rhizosphere of desert plants, we undertook a survey of Bacillus species isolated
from soil around Rhazya stricta plants from the area around Jeddah, in The
Kingdom, Saudi Arabia. We have sequenced the genomes of 8 Bacillus isolates
representing four different species.
PMID- 26543103
TI - Developmental PCB Exposure Increases Audiogenic Seizures and Decreases Glutamic
Acid Decarboxylase in the Inferior Colliculus.
AB - Previously, we observed that developmental polychlorinated biphenyl (PCB)
exposure resulted in an increase in audiogenic seizures (AGSs) in rats. However,
the rats were exposed to loud noise in adulthood, and were not tested for AGS
until after 1 year of age, either of which could have interacted with early PCB
exposure to increase AGS susceptibility. This study assessed susceptibility to
AGS in young adult rats following developmental PCB exposure alone (without loud
noise exposure) and investigated whether there was a decrease in GABA inhibitory
neurotransmission in the inferior colliculus (IC) that could potentially explain
this effect. Female Long-Evans rats were dosed orally with 0 or 6 mg/kg/day of an
environmentally relevant PCB mixture from 28 days prior to breeding until the
pups were weaned at postnatal day 21. One male-female pair from each litter was
retained for the AGS study whilst another was retained for Western blot analysis
of glutamic acid decarboxylase (GAD) and GABAAalpha1 receptor in the IC, the site
in the auditory midbrain where AGS are initiated. There was a significant
increase in the number and severity of AGSs in the PCB groups, with females
somewhat more affected than males. GAD65 was decreased but there was no change in
GAD67 or GABAAalpha1 in the IC indicating decreased inhibitory regulation in the
PCB group. These results confirm that developmental PCB exposure alone is
sufficient to increase susceptibility to AGS, and provide the first evidence for
a possible mechanism of action at the level of the IC.
PMID- 26543105
TI - First Complete Genome Sequence of Felis catus Gammaherpesvirus 1.
AB - We sequenced the complete genome of Felis catus gammaherpesvirus 1 (FcaGHV1) from
lymph node DNA of an infected cat. The genome includes a 121,556-nucleotide
unique region with 87 predicted open reading frames (61 gammaherpesvirus
conserved and 26 unique) flanked by multiple copies of a 966-nucleotide terminal
repeat.
PMID- 26543106
TI - Complete Genome Sequence of Bartonella ancashensis Strain 20.00, Isolated from
the Blood of a Patient with Verruga Peruana.
AB - Here we present the complete genome sequence of Bartonella ancashensis strain
20.00, isolated from the blood of a Peruvian patient with verruga peruana, known
as Carrion's disease. Bartonella ancashensis is a Gram-negative bacillus,
phylogenetically most similar to Bartonella bacilliformis, the causative agent of
Oroya fever and verruga peruana.
PMID- 26543107
TI - Genome Sequence of Complex HIV-1 Unique Recombinant Forms Sharing a Common
Recombination Breakpoint Identified in Malaysia.
AB - Three strains of HIV-1 unique recombinant forms (URFs) descended from subtypes B,
B', and CRF01_AE were identified among people who inject drugs in Kuala Lumpur,
Malaysia. These three URFs shared a common recombination breakpoint in the
reverse transcriptase region, indicating frequent linkage within the drug
injecting networks in Malaysia.
PMID- 26543108
TI - Whole-Genome Sequences of Mycobacterium bovis Strain MbURU-001, Isolated from
Fresh Bovine Infected Samples.
AB - Bovine tuberculosis in cattle has a high incidence in Uruguay, where it is
considered a disease of national importance. We present the genome sequence of
Mycobacterium bovis strain MbURU-001, isolated from pectoral lymph nodes of a
bovine host from a cattle farm.
PMID- 26543109
TI - Complete Genome Sequences of Four Escherichia coli ST95 Isolates from Bloodstream
Infections.
AB - Finished genome sequences are presented for four Escherichia coli strains
isolated from bloodstream infections at San Francisco General Hospital. These
strains provide reference sequences for four major fimH-identified sublineages
within the multilocus sequence type (MLST) ST95 group, and provide insights into
pathogenicity and differential antimicrobial susceptibility within this group.
PMID- 26543110
TI - Draft Genome Sequences of Two Propionibacterium acnes Strains Isolated from
Progressive Macular Hypomelanosis Lesions of Human Skin.
AB - Propionibacterium acnes is a Gram-positive bacterium that is prevalent on human
skin. It has been associated with skin disorders such as acne vulgaris and
progressive macular hypomelanosis (PMH). Here, we report draft genome sequences
of two type III P. acnes strains, PMH5 and PMH7, isolated from PMH skin lesions.
PMID- 26543111
TI - Genome Sequence of Type Strain Lysinibacillus macroides DSM 54T.
AB - Lysinibacillus macroides DSM 54(T) is a Gram-positive, spore-forming bacterium.
Here, we report the 4,866,035-bp genome sequence of Lysinibacillus macroides DSM
54(T), which will accelerate the application of degrading xylan and provide
useful information for genomic taxonomy and phylogenomics of Bacillus-like
bacteria.
PMID- 26543112
TI - Complete Genome Sequence of Multidrug-Resistant Clinical Isolate Mycobacterium
tuberculosis 187.0, Used To Study the Effect of Drug Susceptibility Reversion by
the New Medicinal Drug FS-1.
AB - Complete genome sequence of the multidrug-resistant clinical isolate
Mycobacterium tuberculosis SCAID 187.0 containing several drug-resistance
mutations is presented. This strain is used in experiments to study genomic and
population changes leading to reversion of susceptibility to the 1st line anti
tuberculosis (TB) drugs under the influence of a new medicinal drug FS-1.
PMID- 26543113
TI - Draft Genome Sequence of Mycobacterium peregrinum Strain CSUR P2098.
AB - Mycobacterium peregrinum is a nonpigmented rapid growing nontuberculosis species
belonging to the Mycobacterium fortuitum group. The draft genome of M. peregrinum
type I CSUR P2098 comprises 7,109,836 bp exhibiting a 66.23% G+C content, 6,894
protein-coding genes, and 100 predicted RNA genes. Its genome analysis suggests
this species differs from Mycobacterium senegalense.
PMID- 26543114
TI - Draft Genome Sequence of Pseudoalteromonas tetraodonis Strain UCD-SED8 (Phylum
Gammaproteobacteria).
AB - Here, we present the draft genome sequence of Pseudoalteromonas tetraodonis UCD
SED8, a marine bacterium normally associated with the production of tetrodotoxin
in pufferfish. This strain was isolated from sediment samples surrounding Zostera
marina roots collected from Bodega Marine, California. The assembly consists of
4,017,727 bp contained in 35 contigs.
PMID- 26543115
TI - Complete Genome Sequence of Methanosphaerula palustris E1-9CT, a Hydrogenotrophic
Methanogen Isolated from a Minerotrophic Fen Peatland.
AB - Here, we report the complete genome sequence (2.92 Mb) of Methanosphaerula
palustris E1-9C(T), a methanogen isolated from a minerotrophic fen. This is the
first genome report of the Methanosphaerula genus, within the Methanoregulaceae
family, in the Methanomicrobiales order. E1-9C(T) relatives are found in a wide
range of ecological and geographical settings.
PMID- 26543116
TI - Draft Genome Sequence of Cercospora arachidicola, Causal Agent of Early Leaf Spot
in Peanuts.
AB - Cercospora arachidicola, causal agent of early leaf spot, is an economically
important peanut pathogen. Lack of genetic information about this fungus prevents
understanding the role that potentially diverse genotypes may have in peanut
breeding programs. Here, we report for the first time a draft genome sequence of
C. arachidicola.
PMID- 26543117
TI - Whole-Genome Sequence of "Candidatus Profftella armatura" from Diaphorina citri
in Guangdong, China.
AB - The genome of "Candidatus Profftella armatura" strain YCPA from Diaphorina citri
in Guangdong, China, was sequenced. The strain has a chromosome of 457,565 bp,
24.3% G+C content, 364 predicted open reading frames (ORFs), and 38 RNAs, and a
plasmid, pYCPA54, of 5,458 bp with 23.9% G+C content and 5 ORFs.
PMID- 26543118
TI - Complete Genome Sequence of a Phenanthrene Degrader, Burkholderia sp. HB-1 (NBRC
110738).
AB - The phenanthrene-degrading Burkholderia sp. HB-1 was isolated from a phenanthrene
enrichment culture seeded with a pristine farm soil sample. We report the
complete genome sequence of HB-1, which has been deposited to the stock culture
(NBRC 110738) at Biological Resource Center, National Institute of Technology and
Evaluation (NITE), Tokyo, Japan. The genome of strain HB-1 comprises two circular
chromosomes of 4.1 Mb and 3.1 Mb. The finishing was facilitated by the
computational tools GenoFinisher, AceFileViewer, and ShortReadManager.
PMID- 26543119
TI - Complete Genome Sequence of the Human Gut Symbiont Roseburia hominis.
AB - We report here the complete genome sequence of the human gut symbiont Roseburia
hominis A2-183(T) (= DSM 16839(T) = NCIMB 14029(T)), isolated from human feces.
The genome is represented by a 3,592,125-bp chromosome with 3,405 coding
sequences. A number of potential functions contributing to host-microbe
interaction are identified.
PMID- 26543120
TI - Draft Genome Sequences for Five Strains of Trabulsiella odontotermitis, Isolated
from Heterotermes sp. Termite Gut.
AB - Trabulsiella odontotermitis represents a novel species in the genus Trabulsiella
with no complete genome reported yet. Here, we describe the draft genome
sequences of five isolates from termites present in the north of Mexico, which
have an interesting pool of genes related to cellulose degradation with
biotechnological application.
PMID- 26543121
TI - Genome Sequence of Citrobacter sp. CtB7.12, Isolated from the Gut of the Desert
Subterranean Termite Heterotermes aureus.
AB - The draft genome of Citrobacter sp. CtB7.12, isolated from termite gut, is
presented here. This organism has been reported as a cellulolytic bacterium,
which is biotechnologically important because it can be used as a gene donor for
the ethanol and biofuel industries.
PMID- 26543122
TI - Complete Genome Sequence of the Campylobacter ureolyticus Clinical Isolate RIGS
9880.
AB - The emerging pathogen Campylobacter ureolyticus has been isolated from human and
animal genital infections, human periodontal disease, domestic and food animals,
and from cases of human gastroenteritis. We report the whole-genome sequence of
the human clinical isolate RIGS 9880, which is the first closed genome for C.
ureolyticus.
PMID- 26543123
TI - Draft Genome Sequence of Porphyromonas gingivalis Strain Ando Expressing a 53
Kilodalton-Type Fimbrilin Variant of Mfa1 Fimbriae.
AB - Periodontopathic Porphyromonas gingivalis strain Ando abundantly expresses a 53
kDa-type Mfa1 fimbria. Here, we report the draft genome sequence of Ando, with a
size of 2,229,994 bp, average G+C content of 48.4%, and 1,755 predicted protein
coding sequences.
PMID- 26543124
TI - Genome Sequence of a Potential Probiotic Strain, Lactobacillus fermentum HFB3,
Isolated from a Human Gut.
AB - A draft genome sequence of 2.04 Mb is reported for Lactobacillus fermentum HFB3,
which is a lactic acid bacterium with probiotic properties. The gene-coding
clusters also predicted the presence of genes responsible for probiotic
characteristics.
PMID- 26543125
TI - Draft Genome Sequences of Nine Streptococcus suis Strains Isolated in the United
States.
AB - Streptococcus suis is a swine pathogen responsible for economic losses to the pig
industry worldwide. Additionally, it is a zoonotic agent that can cause severe
infections in those in close contact with infected pigs and/or who consume
uncooked or undercooked pork products. Here, we report nine draft genome
sequences of S. suis.
PMID- 26543126
TI - Whole-Genome Sequence of Leptospira interrogans Serovar Hardjo Subtype
Hardjoprajitno Strain Norma, Isolated from Cattle in a Leptospirosis Outbreak in
Brazil.
AB - Leptospirosis is caused by pathogenic bacteria of the genus Leptospira spp. This
neglected re-emergent disease has global distribution and relevance in veterinary
production. Here, we report the whole-genome sequence and annotation of
Leptospira interrogans serovar Hardjo subtype Hardjoprajitno strain Norma,
isolated from cattle in a livestock leptospirosis outbreak in Brazil.
PMID- 26543127
TI - Genome Sequence of Porphyromonas gingivalis Strain AJW4.
AB - Porphyromonas gingivalis is associated with oral and systemic diseases. Strain
specific P. gingivalis invasion phenotypes have been correlated with disease
presentation in infected laboratory animals. Here, we present the genome sequence
of AJW4, a minimally invasive strain, with a single contig of 2,372,492 bp and a
G+C content of 48.27%.
PMID- 26543128
TI - Genome Assembly of Chryseobacterium polytrichastri ERMR1:04, a Psychrotolerant
Bacterium with Cold Active Proteases, Isolated from East Rathong Glacier in
India.
AB - We report here the genome assembly of a psychrotolerant bacterium,
Chryseobacterium polytrichastri ERMR1:04, which secretes cold-active proteases.
The bacterium was isolated from a pristine location, the East Rathong Glacier in
the Sikkim Himalaya. The 5.53-Mb genome provides insight into the cold-active
industrial enzyme and adaptation in the cold environment.
PMID- 26543129
TI - Draft Genome Sequence of Caedibacter varicaedens, a Kappa Killer Endosymbiont
Bacterium of the Ciliate Paramecium biaurelia.
AB - Caedibacter varicaedens is a kappa killer endosymbiont bacterium of the ciliate
Paramecium biaurelia. Here, we present the draft genome sequence of C.
varicaedens.
PMID- 26543130
TI - Complete Genome Sequences of Campylobacter jejuni Strains RM3196 (233.94) and
RM3197 (308.95) Isolated from Patients with Guillain-Barre Syndrome.
AB - Infections with Campylobacter jejuni subsp. jejuni are a leading cause of
foodborne gastroenteritis and the most prevalent infection preceding Guillain
Barre syndrome (GBS). This study describes the genomes of C. jejuni subsp. jejuni
HS:41 strains RM3196 (233.94) and RM3197 (308.95) that were isolated from
patients with GBS in Cape Town, South Africa.
PMID- 26543131
TI - Draft Genome Sequence of Mycobacterium neworleansense Strain ATCC 49404T.
AB - Mycobacterium neworleansense is a rapid growing nontuberculosis species belonging
to the Mycobacterium fortuitum complex. The draft genome of M. neworleansense
ATCC 49404(T) comprises 6,287,317 bp exhibiting a 66.85% G+C content, 5,997
protein-coding genes, and 89 predicted RNA genes.
PMID- 26543132
TI - Draft Genome Sequence of "Candidatus Liberibacter asiaticus" from Diaphorina
citri in Guangdong, China.
AB - The draft genome sequence of "Candidatus Liberibacter asiaticus" strain YCPsy
from an Asian citrus psyllid (Diaphorina citri) in Guangdong, China, is reported
here. The YCPsy strain has a genome size of 1,233,647 bp, 36.5% G+C content,
1,171 open reading frames (ORFs), and 53 RNAs.
PMID- 26543133
TI - Genome Sequence of Acinetobacter baumannii Strain 10441_14 Belonging to ST451,
Isolated from India.
AB - Acinetobacter baumannii resistance to carbapenems is of global concern. Here, we
report the 3.9 Mb draft genome of a cerebrospinal fluid isolate of A. baumannii
strain 10441_14 which is carbapenem resistant and belongs to ST451. This genome
will further help in the understanding of the drug resistance mechanism,
epidemiology, and pathology of this bacterium.
PMID- 26543134
TI - Paying for sex-only for people with disabilities?
AB - Thomsen (2015) argues that people with disabilities should be granted an
exception to a general prohibition on paying for sex. In this response, we argue
that Thomsen's call for an exception does not withstand careful scrutiny. The
concerns that appear to motivate his argument point instead, we argue, to a case
for legalization of prostitution, coupled with sensible health and safety
regulations.
PMID- 26543135
TI - Fortresses against infection: time for new defences?
PMID- 26543138
TI - Swine Flu Looming at the Indo-Pak Border: Is Pakistan Ready to Tackle the
Potential Threat?
PMID- 26543139
TI - The Need to Develop a Statutory Regulatory Body for the Practice of Al-Hijama.
PMID- 26543141
TI - Community health champions ignite enthusiasm for improving wellbeing in South
East London [corrected].
PMID- 26543142
TI - Twists and turns in the war with infectious disease.
PMID- 26543143
TI - Infectious disease prevention in the home and community: closing the circle.
PMID- 26543144
TI - The journey of the germ: commentary on routes of infection and targeted hand
hygiene.
PMID- 26543145
TI - Partnering with broadcast and print media for risk communication: EVD control in
Rivers State, Nigeria.
PMID- 26543146
TI - Antibiotic resistance awareness: spreading the word, not the worry.
PMID- 26543147
TI - Lessons learned from the Chikungunya outbreak in the Caribbean.
PMID- 26543148
TI - Has India's TB programme undermined TB advocacy?
PMID- 26543149
TI - Integrated disease surveillance in India - progress and pitfalls.
PMID- 26543150
TI - Putting communities at the heart of public health.
PMID- 26543151
TI - Compost and Legionella longbeachae: an emerging infection?
AB - Human disease caused by Legionella species is dominated by Legionella
pneumophila, the main causative agent in cases of Legionnaires' disease. However,
other species are known to cause infection, for example, Legionella longbeachae
causes an equivalent number of cases of disease as L. pneumophila in Australia
and New Zealand. Infection with L. longbeachae is commonly associated with
exposure to composts and potting soils, and cases of infection with this organism
have been increasing in Europe over the past ten years. The increase in incidence
may be linked to factors such as increased awareness of clinical presentation, or
due to changing formulation of growing media, although it should be noted that
the presence of Legionella species in growing media does not correlate with the
number of cases currently seen. This is likely due to the variables associated
with infection, for example, host factors such as smoking or underlying health
conditions, or difference in growing media storage or climate, especially warm
humid conditions, which may affect survival and growth of these organisms in the
growing media environment. There are numerous unknowns in this area and
collaboration between growing media manufacturers and researchers, as well as
more awareness among diagnosing clinicians, laboratory staff and the general
public is necessary to reduce risk. More research is needed before definitive
conclusions can be drawn: L. pneumophila research currently dominates the field
and it is likely that the overreliance on diagnostic techniques such as the
urinary antigen test, which is specific for L. pneumophila Sg 1, is detrimental
to the diagnosis of L. longbeachae infection.
PMID- 26543153
TI - Light acclimation of photosynthesis in two closely related firs (Abies pinsapo
Boiss. and Abies alba Mill.): the role of leaf anatomy and mesophyll conductance
to CO2.
AB - Leaves growing in the forest understory usually present a decreased mesophyll
conductance (gm) and photosynthetic capacity. The role of leaf anatomy in
determining the variability in gm among species is known, but there is a lack of
information on how the acclimation of gm to shade conditions is driven by changes
in leaf anatomy. Within this context, we demonstrated that Abies pinsapo Boiss.
experienced profound modifications in needle anatomy to drastic changes in light
availability that ultimately led to differential photosynthetic performance
between trees grown in the open field and in the forest understory. In contrast
to A. pinsapo, its congeneric Abies alba Mill. did not show differences either in
needle anatomy or in photosynthetic parameters between trees grown in the open
field and in the forest understory. The increased gm values found in trees of A.
pinsapo grown in the open field can be explained by occurrence of stomata at both
needle sides (amphistomatous needles), increased chloroplast surface area exposed
to intercellular airspace, decreased cell wall thickness and, especially,
decreased chloroplast thickness. To the best of our knowledge, the role of such
drastic changes in ultrastructural needle anatomy in explaining the response of
gm to the light environment has not been demonstrated in field conditions.
PMID- 26543154
TI - Warming delays autumn declines in photosynthetic capacity in a boreal conifer,
Norway spruce (Picea abies).
AB - Climate change, via warmer springs and autumns, may lengthen the carbon uptake
period of boreal tree species, increasing the potential for carbon sequestration
in boreal forests, which could help slow climate change. However, if other
seasonal cues such as photoperiod dictate when photosynthetic capacity declines,
warmer autumn temperatures may have little effect on when carbon uptake capacity
decreases in these species. We investigated whether autumn warming would delay
photosynthetic decline in Norway spruce (Picea abies (L.) H. Karst.) by growing
seedlings under declining weekly photoperiods and weekly temperatures either at
ambient temperature or a warming treatment 4 degrees C above ambient.
Photosynthetic capacity was relatively constant in both treatments when weekly
temperatures were >8 degrees C, but declined rapidly at lower temperatures,
leading to a delay in the autumn decline in photosynthetic capacity in the
warming treatment. The decline in photosynthetic capacity was not related to
changes in leaf nitrogen or chlorophyll concentrations, but was correlated with a
decrease in the apparent fraction of leaf nitrogen invested in Rubisco,
implicating a shift in nitrogen allocation away from the Calvin cycle at low
autumn growing temperatures. Our data suggest that as the climate warms, the
period of net carbon uptake will be extended in the autumn for boreal forests
dominated by Norway spruce, which could increase total carbon uptake in these
forests.
PMID- 26543155
TI - 'Beckett on the Wards': medical humanities pedagogy and 'compassionate care'.
PMID- 26543156
TI - Teenage perceptions of electronic cigarettes in Scottish tobacco-education school
interventions: co-production and innovative engagement through a pop-up radio
project.
AB - AIMS: This article thematically analyses spontaneous responses of teenagers and
explores their perceptions of electronic cigarettes (e-cigarettes) with a focus
on smoking cessation from data collected for research exploring Scottish
secondary school students' recall of key messages from tobacco-education
interventions and any influence on perceptions and behaviours. METHODS: E
cigarettes were not included in the research design as they did not feature in
interventions. However, in discussions in all participating schools, e-cigarettes
were raised by students unprompted by researchers. Seven of 19 publicly funded
schools in the region opted to participate. Groups of 13- to 16-year-olds were
purposely selected to include a range of aptitudes, non-smokers, smokers, males
and females. A total of 182 pupils took part. Data were generated through three
co-produced classroom radio tasks with pupils (radio quiz, sitcom, factual
interviewing), delivered by a researcher and professional broadcast team. All
pupils were briefly interviewed by a researcher. Activities were recorded and
transcribed verbatim and the researcher discussed emerging findings with the
broadcast team. Data were analysed using NVivo and transcripts making reference
to e-cigarettes examined further using inductive thematic analysis. RESULTS: Key
themes of their impressions of e-cigarettes were easy availability and price;
advertising; the products being safer or healthier, addiction and nicotine;
acceptability and experiences of use; and variety of flavours. CONCLUSIONS: This
was a qualitative study in one region, and perception of e-cigarettes was not an
a priori topic. However, it provides insights into youth perceptions of e
cigarettes. How they discerned e-cigarettes reflects their marketing environment.
The relative harmlessness of nicotine, affordability of e-cigarettes, coolness of
vaping, absence of second-hand harms and availability of innovative products are
all key marketing features. Conflicting messages on safety, efficacy, potential
'gateway' to smoking and nicotine may be contributing to teenagers' confusion.
The allure of 'youthful cool' to vaping offers no public health gain, so children
should be protected from misleading promotion. Consistent tobacco-education
initiatives need to account for this popular trend.
PMID- 26543157
TI - Susceptibility of Candida albicans biofilms to caspofungin and anidulafungin is
not affected by metabolic activity or biomass production.
AB - Micafungin is more active against biofilms with high metabolic activity; however,
it is unknown whether this observation applies to caspofungin and anidulafungin
and whether it is also dependent on the biomass production. We compare the
antifungal activity of anidulafungin, caspofungin, and micafungin against
preformed Candida albicans biofilms with different degrees of metabolic activity
and biomass production from 301 isolates causing fungemia in patients admitted to
Gregorio Maranon Hospital (January 2007 to September 2014). Biofilms were
classified as having low, moderate, or high metabolic activity according XTT
reduction assay or having low, moderate, or high biomass according to crystal
violet assay. Echinocandin MICs for planktonic and sessile cells were measured
using the EUCAST E.Def 7.2 procedure and XTT reduction assay, respectively.
Micafungin showed the highest activity against biofilms classified according to
the metabolic activity and biomass production (P < .001). The activity of
caspofungin and anidulafungin was not dependent on the metabolic activity of the
biofilm or the biomass production. These observations were confirmed by scanning
electron microscopy. None of the echinocandins produced major changes in the
structure of biofilms with low metabolic activity and biomass production when
compared with the untreated biofilms. However, biofilm with high metabolic
activity or high biomass production was considerably more susceptible to
micafungin; this effect was not shown by caspofungin or anidulafungin.
PMID- 26543158
TI - Atomic structure of the apoptosome: mechanism of cytochrome c- and dATP-mediated
activation of Apaf-1.
AB - The apoptotic protease-activating factor 1 (Apaf-1) controls the onset of many
known forms of intrinsic apoptosis in mammals. Apaf-1 exists in normal cells as
an autoinhibited monomer. Upon binding to cytochrome c and dATP, Apaf-1
oligomerizes into a heptameric complex known as the apoptosome, which recruits
and activates cell-killing caspases. Here we present an atomic structure of an
intact mammalian apoptosome at 3.8 A resolution, determined by single-particle,
cryo-electron microscopy (cryo-EM). Structural analysis, together with structure
guided biochemical characterization, uncovered how cytochrome c releases the
autoinhibition of Apaf-1 through specific interactions with the WD40 repeats.
Structural comparison with autoinhibited Apaf-1 revealed how dATP binding
triggers a set of conformational changes that results in the formation of the
apoptosome. Together, these results constitute the molecular mechanism of
cytochrome c- and dATP-mediated activation of Apaf-1.
PMID- 26543159
TI - Molecular basis for histone N-terminal methylation by NRMT1.
AB - NRMT1 is an N-terminal methyltransferase that methylates histone CENP-A as well
as nonhistone substrates. Here, we report the crystal structure of human NRMT1
bound to CENP-A peptide at 1.3 A. NRMT1 adopts a core methyltransferase fold that
resembles DOT1L and PRMT but not SET domain family histone methyltransferases.
Key substrate recognition and catalytic residues were identified by mutagenesis
studies. Histone peptide profiling revealed that human NRMT1 is highly selective
to human CENP-A and fruit fly H2B, which share a common "Xaa-Pro-Lys/Arg" motif.
These results, along with a 1.5 A costructure of human NRMT1 bound to the fruit
fly H2B peptide, underscore the importance of the NRMT1 recognition motif.
PMID- 26543160
TI - GCN2 sustains mTORC1 suppression upon amino acid deprivation by inducing
Sestrin2.
AB - Mammalian cells possess two amino acid-sensing kinases: general control
nonderepressible 2 (GCN2) and mechanistic target of rapamycin complex 1 (mTORC1).
Their combined effects orchestrate cellular adaptation to amino acid levels, but
how their activities are coordinated remains poorly understood. Here, we
demonstrate an important link between GCN2 and mTORC1 signaling. Upon deprivation
of various amino acids, activated GCN2 up-regulates ATF4 to induce expression of
the stress response protein Sestrin2, which is required to sustain repression of
mTORC1 by blocking its lysosomal localization. Moreover, Sestrin2 induction is
necessary for cell survival during glutamine deprivation, indicating that
Sestrin2 is a critical effector of GCN2 signaling that regulates amino acid
homeostasis through mTORC1 suppression.
PMID- 26543162
TI - Mifepristone is a Vasodilator Due to the Inhibition of Smooth Muscle Cells L-Type
Ca2+ Channels.
AB - Derived from the estrane progestins, mifepristone was the first synthetic steroid
of this class employed as abortifacient in the first months of pregnancy.
Mifepristone reduces high potassium-induced contraction and prevents calcium
induced contraction. At the vascular level, mifepristone induces direct
relaxation in rat and human arteries, and this effect seems to be endothelium-
and NO independent, suggesting that the vascular smooth muscle is its target.
Moreover, mifepristone's effect could involve the modulation of different calcium
channels. The aim of the present study is to analyze the involvement of calcium
channels in the relaxation induced by mifepristone on vascular smooth muscle
cells (VSMCs). Planar cell surface area (PCSA) technique was used to analyze the
effect of mifepristone on the VSMC contractility, and the whole cell
configuration of patch-clamp technique to measure the activity of L-type Ca(2+)
channels (LTCC) in A7r5 cells. Regarding the PCSA technique, mifepristone induced
relaxation of the VSMC previously contracted by different agents. Also, a rapid
inhibitory effect on basal and BAY K8644-stimulated calcium current was observed,
which indicates that this drug has the ability to block LTCC. These results
suggest that mifepristone induces relaxation on the VSMCs due to the inhibition
of the calcium channels.
PMID- 26543161
TI - Structural basis for substrate recognition by the human N-terminal
methyltransferase 1.
AB - alpha-N-terminal methylation represents a highly conserved and prevalent post
translational modification, yet its biological function has remained largely
speculative. The recent discovery of alpha-N-terminal methyltransferase 1 (NTMT1)
and its physiological substrates propels the elucidation of a general role of
alpha-N-terminal methylation in mediating DNA-binding ability of the modified
proteins. The phenotypes, observed from both NTMT1 knockdown in breast cancer
cell lines and knockout mouse models, suggest the potential involvement of alpha
N-terminal methylation in DNA damage response and cancer development. In this
study, we report the first crystal structures of human NTMT1 in complex with
cofactor S-adenosyl-L-homocysteine (SAH) and six substrate peptides,
respectively, and reveal that NTMT1 contains two characteristic structural
elements (a beta hairpin and an N-terminal extension) that contribute to its
substrate specificity. Our complex structures, coupled with mutagenesis, binding,
and enzymatic studies, also present the key elements involved in locking the
consensus substrate motif XPK (X indicates any residue type other than D/E) into
the catalytic pocket for alpha-N-terminal methylation and explain why NTMT1
prefers an XPK sequence motif. We propose a catalytic mechanism for alpha-N
terminal methylation. Overall, this study gives us the first glimpse of the
molecular mechanism of alpha-N-terminal methylation and potentially contributes
to the advent of therapeutic agents for human diseases associated with
deregulated alpha-N-terminal methylation.
PMID- 26543163
TI - Importance of Health and Social Care Research into Gender and Sexual Minority
Populations in Nepal.
AB - Despite progressive legislative developments and increased visibility of sexual
and gender minority populations in the general population, mass media often
report that this population face a wide range of discrimination and inequalities.
LGBT (lesbian, gay, and bisexual, and transgender) populations have not been
considered as priority research populations in Nepal. Research in other
geographical settings has shown an increased risk of poor mental health,
violence, and suicide and higher rates of smoking, as well as alcohol and drugs
use among LGBT populations. They are also risk for lifestyle-related illness such
as cancer, diabetes, and heart diseases. Currently, in Nepal, there is a lack of
understanding of health and well-being, social exclusion, stigma, and
discrimination as experienced by these populations. Good-quality public health
research can help design and implement targeted interventions to the sexual and
gender minority populations of Nepal.
PMID- 26543165
TI - Is there a future for surgery as a profession?
PMID- 26543164
TI - Factors Influencing Access to Sexual Health Care Among Behaviorally Bisexual Men
in Vientiane, Laos: A Qualitative Exploration.
AB - In Laos, men who have sex with men (MSM) are disproportionately affected by HIV,
and bisexual behavior among men is common. We conducted a qualitative study to
explore access and influences on sexual health care seeking among bisexual men in
Vientiane. In 2013, behaviorally bisexual men were recruited from bars, clubs and
dormitories for 5 focus group discussions and 11 in-depth interviews.
Participants (aged 18-35 years) commonly reported high-risk sexual behaviors, yet
most had never been tested for HIV, and none reported testing for sexually
transmitted infections. Common barriers to testing were low perception of risk,
expectation of symptoms, fear of HIV, shyness, perceived stigma, confidentiality
concerns, and waiting times. Many men were unaware of available services. Most
clinics cannot provide comprehensive HIV and sexually transmitted infection
services. Strategies are needed to generate demand for testing, improve the
capacity of sexual health care providers, and promote available services among
behaviorally bisexual men in Vientiane.
PMID- 26543166
TI - Role of Sonography in Surgical Decision Making for Iatrogenic Spinal Accessory
Nerve Injuries: A Paradigm Shift.
AB - The spinal accessory nerve (SAN) is susceptible to iatrogenic injury in the
posterior cervical triangle. Early diagnosis and management of suspected SAN
transection injuries are crucial in the restoration of shoulder stability and
function. Although neurologic examination and electrodiagnostic testing can
assess SAN function, they cannot assess nerve continuity. We report the use of
sonography to prospectively evaluate the SAN in 6 patients with suspected
iatrogenic SAN injury. Sonography directly visualized SAN transection in 4 cases,
whereas sonographic findings were reported as "probable" transection in the fifth
case and was nondiagnostic in the sixth case in the setting of extensive
scarring.
PMID- 26543167
TI - Importance of Pulse Repetition Frequency Adjustment for 3- and 4-Dimensional
Power Doppler Quantification.
AB - OBJECTIVES: To determine the influence of the pulse repetition frequency (PRF)
and wall motion filter on the 3-dimensional (3D) power Doppler vascularization
flow index (VFI) and volumetric pulsatility index (PI) obtained from
spatiotemporal image correlation (STIC) data sets acquired from a common carotid
artery of a healthy participant. METHODS: We acquired 11 STIC data sets, 1 for
each PRF value ranging from 0.6 to 9.0 kHz. Vascularization-flow index and
volumetric PI values were determined from the 440 static 3D data sets contained
in these STIC data sets. Additionally, 3 sets of radio-frequency data were
acquired for offline processing of different wall motion filter values for PRF
values of 0.6, 3.3, and 10 kHz. RESULTS: We constructed VFI curves and observed 2
patterns: a flattened pattern with a low PRF and a triphasic pattern with a high
PRF, correlating with the known pulsed wave Doppler profile of this vessel.
Volumetric PI values were around 0 for low PRF settings and increased with
increasing PRF. Analysis of the radiofrequency data showed that increasing wall
motion filter values gradually filtered out the low-velocity power Doppler
signals while retaining the higher-velocity ones, allowing the distinction of
integrated power Doppler signal velocity throughout the cardiac cycle.
CONCLUSIONS: We conclude that the PRF and wall motion filter dramatically
influence 3D power Doppler indices and the volumetric PI, and the use of PRF
values in which minimum VFI values are measured during the diastolic phase in the
spectral Doppler wave may validate the use of the volumetric PI.
PMID- 26543168
TI - Use of Lung Ultrasound to Assess the Efficacy of an Alveolar Recruitment Maneuver
in Rabbits With Acute Respiratory Distress Syndrome.
AB - OBJECTIVES: To investigate the application of lung ultrasound (US) in the
evaluation and implementation of alveolar recruitment maneuvers in acute
respiratory distress syndrome (ARDS). METHODS: Twelve rabbits with saline lavage
induced lung injury were randomly divided into 2 groups: one with alveolar
recruitment guided by lung US and the other with alveolar recruitment guided by
maximal oxygenation. Recruitment maneuvers were applied according to a stepwise
incremental positive end-expiratory pressure method in both groups. In the
oxygenation group, a sum of the partial pressures of oxygen and carbon dioxide
exceeding 400 mm Hg was used to define adequate recruitment. In the lung US
group, a new protocol for reaeration in US-guided lung recruitment was used to
guide treatment. Evaluation by lung US, respiratory mechanical parameters, the
Smith pathologic score (Crit Care Med 1997; 25:1888-1897), and wet-to-dry ratio
were compared between the groups. RESULTS: Opening pressure was significantly
higher in the lung US group (mean +/- SD, 23.4 +/- 3.4 cm H2O) than the
oxygenation group (18.7 +/- 2.1 cm H2O; P < .05). The reaeration score in the
lung US group significantly increased during alveolar recruitment (6.5 +/- 1.6
points at baseline versus 13.8 +/- 3.0 points after completion; P < .05). Lung
compliance, dead space shunts, the Smith pathologic score, and tissue wet-to-dry
ratio, however, were not significantly different between the groups. CONCLUSIONS:
Lung US is an effective means of evaluating and guiding alveolar recruitment in
ARDS. Compared with the maximal oxygenation-guided method, the protocol for
reaeration in US-guided lung recruitment achieved a higher opening pressure,
resulted in greater improvements in lung aeration, and substantially reduced lung
heterogeneity in ARDS.
PMID- 26543169
TI - Using Acoustic Structure Quantification During B-Mode Sonography for Evaluation
of Hashimoto Thyroiditis.
AB - OBJECTIVES: This study aimed to evaluate the usefulness of Acoustic Structure
Quantification (ASQ; Toshiba Medical Systems Corporation, Nasushiobara, Japan)
values in the diagnosis of Hashimoto thyroiditis using B-mode sonography and to
identify a cutoff ASQ level that differentiates Hashimoto thyroiditis from normal
thyroid tissue. METHODS: A total of 186 thyroid lobes with Hashimoto thyroiditis
and normal thyroid glands underwent sonography with ASQ imaging. The quantitative
results were reported in an echo amplitude analysis (Cm(2)) histogram with
average, mode, ratio, standard deviation, blue mode, and blue average values.
Receiver operating characteristic curve analysis was performed to assess the
diagnostic ability of the ASQ values in differentiating Hashimoto thyroiditis
from normal thyroid tissue. Intraclass correlation coefficients of the ASQ values
were obtained between 2 observers. RESULTS: Of the 186 thyroid lobes, 103 (55%)
had Hashimoto thyroiditis, and 83 (45%) were normal. There was a significant
difference between the ASQ values of Hashimoto thyroiditis glands and those of
normal glands (P < .001). The ASQ values in patients with Hashimoto thyroiditis
were significantly greater than those in patients with normal thyroid glands. The
areas under the receiver operating characteristic curves for the ratio, blue
average, average, blue mode, mode, and standard deviation were: 0.936, 0.902,
0.893, 0.855, 0.846, and 0.842, respectively. The ratio cutoff value of 0.27
offered the best diagnostic performance, with sensitivity of 87.38% and
specificity of 95.18%. The intraclass correlation coefficients ranged from 0.86
to 0.94, which indicated substantial agreement between the observers.
CONCLUSIONS: Acoustic Structure Quantification is a useful and promising
sonographic method for diagnosing Hashimoto thyroiditis. Not only could it be a
helpful tool for quantifying thyroid echogenicity, but it also would be useful
for diagnosis of Hashimoto thyroiditis.
PMID- 26543170
TI - Sonographic Differentiation Between Schwannomas and Neurofibromas in the
Musculoskeletal System.
AB - OBJECTIVES: The purpose of this study was to determine key features and define a
strategy for differentiation between schwannomas and neurofibromas using
sonography. METHODS: This retrospective study was approved by the Institutional
Review Board at our hospital, and informed consent was waived. We reviewed
sonograms of pathologically proven schwannomas and neurofibromas of the
extremities and body wall. On grayscale images, tumors were evaluated on the
basis of their size, maximum-to-minimum diameter ratio, shape, contour, margin,
location, encapsulation, echogenicity, echo texture, cystic changes, presence of
intratumoral calcifications, presence of a target sign, and presence of an
entering or exiting nerve. If an entering or exiting nerve was identified, the
nerve-tumor position and nerve-tumor transition were characterized. On color
Doppler images, the presence and amount of vascularity were evaluated. Student t
tests were used for analysis of continuous variables (size, maximum-to-minimum
diameter ratio, and age); chi(2) and Fisher exact tests were used for analysis of
categorical variables. RESULTS: A total of 146 pathologically proven tumors,
including 115 schwannomas and 31 neurofibromas of the extremities and body wall,
were included. The maximum diameter, maximum-to-minimum diameter ratio, contour,
cystic portion, nerve-tumor position, nerve-tumor transition, and vascularity
were significantly different in schwannomas versus neurofibromas (P < .05), and a
lobulated contour, fusiform shape, and hypovascularity of neurofibromas could be
helpful for differentiation when a prediction model is considered. The nerve
tumor position, nerve-tumor transition, and maximum-to-minimum diameter ratio
were also significantly different between groups (P < .05) and thus could be
useful for differentiation of neurogenic tumors. CONCLUSIONS: Sonographic
findings are helpful in differentiating between schwannomas and neurofibromas.
PMID- 26543171
TI - TESS: an R package for efficiently simulating phylogenetic trees and performing
Bayesian inference of lineage diversification rates.
AB - Many fundamental questions in evolutionary biology entail estimating rates of
lineage diversification (speciation-extinction) that are modeled using birth
death branching processes. We leverage recent advances in branching-process
theory to develop a flexible Bayesian framework for specifying diversification
models-where rates are constant, vary continuously, or change episodically
through time-and implement numerical methods to estimate parameters of these
models from molecular phylogenies, even when species sampling is incomplete. We
enable both statistical inference and efficient simulation under these models. We
also provide robust methods for comparing the relative and absolute fit of
competing branching-process models to a given tree, thereby providing rigorous
tests of biological hypotheses regarding patterns and processes of lineage
diversification. AVAILABILITY AND IMPLEMENTATION: The source code for TESS is
freely available at http://cran.r-project.org/web/packages/TESS/ CONTACT:
Sebastian.Hoehna@gmail.com.
PMID- 26543172
TI - Fast Optimized Cluster Algorithm for Localizations (FOCAL): a spatial cluster
analysis for super-resolved microscopy.
AB - MOTIVATION: Single-molecule localization microscopy (SMLM) microscopy provides
images of cellular structure at a resolution an order of magnitude below what can
be achieved by conventional diffraction limited techniques. The concomitantly
larger data sets generated by SMLM require increasingly efficient image analysis
software. Density based clustering algorithms, with the most ubiquitous being
DBSCAN, are commonly used to quantitatively assess sub-cellular assemblies.
DBSCAN, however, is slow, scaling with the number of localizations like O(n log
(n)) at best, and it's performance is highly dependent upon a subjectively
selected choice of parameters. RESULTS: We have developed a grid-based clustering
algorithm FOCAL, which explicitly accounts for several dominant artifacts arising
in SMLM image reconstructions. FOCAL is fast and efficient, scaling like O(n),
and only has one set parameter. We assess DBSCAN and FOCAL on experimental dSTORM
data of clusters of eukaryotic RNAP II and PALM data of the bacterial protein H
NS, then provide a detailed comparison via simulation. FOCAL performs comparable
and often superior to DBSCAN while yielding a significantly faster analysis.
Additionally, FOCAL provides a novel method for filtering out of focus clusters
from complex SMLM images. AVAILABILITY AND IMPLEMENTATION: The data and code are
available at: http://www.utm.utoronto.ca/milsteinlab/resources/Software/FOCAL/
CONTACT: josh.milstein@utoronto.ca SUPPLEMENTARY INFORMATION: Supplementary data
are available at Bioinformatics online.
PMID- 26543173
TI - Exact quantification of cellular robustness in genome-scale metabolic networks.
AB - MOTIVATION: Robustness, the ability of biological networks to uphold their
functionality in spite of perturbations, is a key characteristic of all living
systems. Although several theoretical approaches have been developed to formalize
robustness, it still eludes an exact quantification. Here, we present a rigorous
and quantitative approach for the structural robustness of metabolic networks by
measuring their ability to tolerate random reaction (or gene) knockouts. RESULTS:
In analogy to reliability theory, based on an explicit consideration of all
possible knockout sets, we exactly quantify the probability of failure for a
given network function (e.g. growth). This measure can be computed if the
network's minimal cut sets (MSCs) are known. We show that even in genome-scale
metabolic networks the probability of (network) failure can be reliably estimated
from MSCs with lowest cardinalities. We demonstrate the applicability of our
theory by analyzing the structural robustness of multiple Enterobacteriaceae and
Blattibacteriaceae and show a dramatically low structural robustness for the
latter. We find that structural robustness develops from the ability to
proliferate in multiple growth environments consistent with experimentally found
knowledge. CONCLUSION: The probability of (network) failure provides thus a
reliable and easily computable measure of structural robustness and redundancy in
(genome-scale) metabolic networks. AVAILABILITY AND IMPLEMENTATION: Source code
is available under the GNU General Public License at
https://github.com/mpgerstl/networkRobustnessToolbox CONTACT:
juergen.zanghellini@boku.ac.at SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 26543174
TI - meRanTK: methylated RNA analysis ToolKit.
AB - The significance and function of posttranscriptional cytosine methylation in
poly(A)RNA attracts great interest but is still poorly understood. High
throughput sequencing of RNA treated with bisulfite (RNA-BSseq) or subjected to
enrichment techniques like Aza-IP or miCLIP enables transcriptome wide studies of
this particular modification at single base pair resolution. However, to date,
there are no specialized software tools available for the analysis of RNA-BSseq
or Aza-IP data. Therefore, we developed meRanTK, the first publicly available
tool kit which addresses the special demands of high-throughput RNA cytosine
methylation data analysis. It provides fast and easy to use splice-aware
bisulfite sequencing read mapping, comprehensive methylation calling and
identification of differentially methylated cytosines by statistical analysis of
single- and multi-replicate experiments. Application of meRanTK to RNA-BSseq or
Aza-IP data produces accurate results in standard compliant formats. AVAILABILITY
AND IMPLEMENTATION: meRanTK, source code and test data are released under the GNU
GPLv3+ license and are available at http://icbi.at/software/meRanTK/ CONTACT:
dietmar.rieder@i-med.ac.at.
PMID- 26543175
TI - A hidden Markov random field-based Bayesian method for the detection of long
range chromosomal interactions in Hi-C data.
AB - MOTIVATION: Advances in chromosome conformation capture and next-generation
sequencing technologies are enabling genome-wide investigation of dynamic
chromatin interactions. For example, Hi-C experiments generate genome-wide
contact frequencies between pairs of loci by sequencing DNA segments ligated from
loci in close spatial proximity. One essential task in such studies is peak
calling, that is, detecting non-random interactions between loci from the two
dimensional contact frequency matrix. Successful fulfillment of this task has
many important implications including identifying long-range interactions that
assist interpreting a sizable fraction of the results from genome-wide
association studies. The task - distinguishing biologically meaningful chromatin
interactions from massive numbers of random interactions - poses great challenges
both statistically and computationally. Model-based methods to address this
challenge are still lacking. In particular, no statistical model exists that
takes the underlying dependency structure into consideration. RESULTS: In this
paper, we propose a hidden Markov random field (HMRF) based Bayesian method to
rigorously model interaction probabilities in the two-dimensional space based on
the contact frequency matrix. By borrowing information from neighboring loci
pairs, our method demonstrates superior reproducibility and statistical power in
both simulation studies and real data analysis. AVAILABILITY AND IMPLEMENTATION:
The Source codes can be downloaded at: http://www.unc.edu/~yunmli/HMRFBayesHiC
CONTACT: ming.hu@nyumc.org or yunli@med.unc.edu SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 26543176
TI - Shape component analysis: structure-preserving dimension reduction on biological
shape spaces.
AB - MOTIVATION: Quantitative shape analysis is required by a wide range of biological
studies across diverse scales, ranging from molecules to cells and organisms. In
particular, high-throughput and systems-level studies of biological structures
and functions have started to produce large volumes of complex high-dimensional
shape data. Analysis and understanding of high-dimensional biological shape data
require dimension-reduction techniques. RESULTS: We have developed a technique
for non-linear dimension reduction of 2D and 3D biological shape representations
on their Riemannian spaces. A key feature of this technique is that it preserves
distances between different shapes in an embedded low-dimensional shape space. We
demonstrate an application of this technique by combining it with non-linear mean
shift clustering on the Riemannian spaces for unsupervised clustering of shapes
of cellular organelles and proteins. AVAILABILITY AND IMPLEMENTATION: Source code
and data for reproducing results of this article are freely available at
https://github.com/ccdlcmu/shape_component_analysis_Matlab The implementation was
made in MATLAB and supported on MS Windows, Linux and Mac OS. CONTACT:
geyang@andrew.cmu.edu.
PMID- 26543177
TI - Assessment of v-gel supraglottic airway device placement in cats performed by
inexperienced veterinary students.
AB - Endotracheal intubation has been associated with several complications in cats.
The v-gel supraglottic airway device (SGAD) has been developed to adapt to the
unique oropharynx of the cat and to overcome these complications. Thirty-three
cats were randomly assigned to receive an endotracheal tube (ETT group) or a v
gel SGAD (v-gel group) after induction of general anaesthesia. Third year
veterinary students without previous clinical experience placed these devices
under direct supervision of an anaesthesiologist. Amount of propofol, number of
attempts, time required to secure the airway, leakage around the device, signs of
upper airway discomfort and food consumption were compared between the two
groups. The v-gel group required less propofol (P=0.03), less time (P<0.01) and
fewer attempts (P<0.01) to secure the cats' airway. The incidence of leakage was
lower for the v-gel group immediately after placement of the device (P<0.01) and
60 minutes after induction of general anaesthesia (P=0.04). Cats that received
the v-gel SGAD presented a lower incidence of upper airway discomfort immediately
after the device was removed (P=0.03) and recorded a higher food consumption
score (P=0.03). The v-gel SGAD is a feasible way to secure the airway of healthy
cats when performed by inexperienced personnel.
PMID- 26543178
TI - Measuring Driving-Related Attitudes Among Older Adults: Psychometric Evidence for
the Decisional Balance Scale Across Time and Gender.
AB - PURPOSE OF THE STUDY: The Decisional Balance Scale (DBS) was developed to assess
older adults' attitudes related to driving and includes both intrapersonal and
interpersonal motivations for driving. This study examined the psychometric
properties of the DBS ratings across 3 time points in a sample of 928 older
drivers who participated in the Canadian Driving Research Initiative for
Vehicular Safety in the Elderly (Candrive). DESIGN AND METHODS: Measurement
invariance of the DBS was assessed longitudinally and across gender. RESULTS:
Confirmatory factor analyses revealed that a two-factor model (positive and
negative attitudes) for both driving beliefs related to the self and other
provided a good fit to the data at each time point. Measurement invariance was
supported across time and gender. Significant associations between the DBS factor
scores and other driving measures (e.g., perceived driving ability and self
regulatory driving practices) provided evidence of convergent validity.
IMPLICATIONS: The DBS appears to be a robust instrument for measuring attitudes
toward driving and is recommended for continued use in future research on driving
behaviors with older adults.
PMID- 26543179
TI - Delirium Screening: A Systematic Review of Delirium Screening Tools in
Hospitalized Patients.
AB - BACKGROUND: Delirium occurs commonly in hospitalized older patients but is poorly
recognized. Although there are a plethora of validated delirium screening tools,
it is unclear which tool best suits particular populations. PURPOSE: To evaluate
validation studies of delirium screening tools in non-critically ill hospital
inpatients and provide guidance on the choice of screening tool. METHODS: The
MEDLINE, CINAHL, and PsychInfo databases were searched for studies comparing
delirium bedside screening tools with either the Diagnostic and Statistical
Manual or International Classification of Diseases defined diagnosis of delirium
in hospital inpatients. Information was also drawn from conference proceedings
and discussion with delirium researchers. RESULTS: Thirty-one studies describing
21 delirium screening tools were included in the systematic review. The majority
of studies were conducted across a broad range of inpatient settings
internationally in elderly inpatients, including patients with dementia but most
excluded nonnative language speakers. IMPLICATIONS: The Confusion Assessment
Method was the most widely used instrument to identify delirium, however,
specific training is required to ensure optimum performance. The Delirium Rating
Scale and its revised version performed best in the psychogeriatric population
but requires an operator with psychiatric training. The Nurses' Delirium
Screening Checklist appears best suited to the surgical and recovery room
setting. The Single Question in Delirium shows promise in oncology patients. The
Memorial Delirium Assessment Scale, while demonstrating good measures of validity
in the surgical and palliative care setting, may be better used a measure of
delirium severity. The 4As Test performed well when delirium was superimposed on
dementia, but it requires further study.
PMID- 26543185
TI - A Quarter Century of Glycobiology.
PMID- 26543194
TI - Interdisciplinary innovations are key to effective use of quantitative biological
information.
PMID- 26543186
TI - Symbol Nomenclature for Graphical Representations of Glycans.
PMID- 26543195
TI - Biosecurity in the age of Big Data: a conversation with the FBI.
AB - New scientific frontiers and emerging technologies within the life sciences pose
many global challenges to society. Big Data is a premier example, especially with
respect to individual, national, and international security. Here a Special Agent
of the Federal Bureau of Investigation discusses the security implications of Big
Data and the need for security in the life sciences.
PMID- 26543196
TI - Analyzing the dynamics of cell cycle processes from fixed samples through ergodic
principles.
AB - Tools to analyze cyclical cellular processes, particularly the cell cycle, are of
broad value for cell biology. Cell cycle synchronization and live-cell time-lapse
observation are widely used to analyze these processes but are not available for
many systems. Simple mathematical methods built on the ergodic principle are a
well-established, widely applicable, and powerful alternative analysis approach,
although they are less widely used. These methods extract data about the dynamics
of a cyclical process from a single time-point "snapshot" of a population of
cells progressing through the cycle asynchronously. Here, I demonstrate
application of these simple mathematical methods to analysis of basic cyclical
processes--cycles including a division event, cell populations undergoing
unicellular aging, and cell cycles with multiple fission (schizogony)--as well as
recent advances that allow detailed mapping of the cell cycle from continuously
changing properties of the cell such as size and DNA content. This includes
examples using existing data from mammalian, yeast, and unicellular eukaryotic
parasite cell biology. Through the ongoing advances in high-throughput cell
analysis by light microscopy, electron microscopy, and flow cytometry, these
mathematical methods are becoming ever more important and are a powerful
complementary method to traditional synchronization and time-lapse cell cycle
analysis methods.
PMID- 26543197
TI - The role of functional data in interpreting the effects of genetic variation.
AB - Progress in DNA-sequencing technologies has provided a catalogue of millions of
DNA variants in the human population, but characterization of the functional
effects of these variants has lagged far behind. For example, sequencing of tumor
samples is driving an urgent need to classify whether or not mutations seen in
cancers affect disease progression or treatment effectiveness or instead are
benign. Furthermore, mutations can interact with genetic background and with
environmental effects. A new approach, termed deep mutational scanning, has
enabled the quantitative assessment of the effects of thousands of mutations in a
protein. However, this type of experiment is carried out in model organisms,
tissue culture, or in vitro; typically addresses only a single biochemical
function of a protein; and is generally performed under a single condition. The
current challenge lies in using these functional data to generate useful models
for the phenotypic consequences of genetic variation in humans.
PMID- 26543198
TI - Big Data in Caenorhabditis elegans: quo vadis?
AB - A clear definition of what constitutes "Big Data" is difficult to identify, but
we find it most useful to define Big Data as a data collection that is complete.
By this criterion, researchers on Caenorhabditis elegans have a long history of
collecting Big Data, since the organism was selected with the idea of obtaining a
complete biological description and understanding of development. The complete
wiring diagram of the nervous system, the complete cell lineage, and the complete
genome sequence provide a framework to phrase and test hypotheses. Given this
history, it might be surprising that the number of "complete" data sets for this
organism is actually rather small--not because of lack of effort, but because
most types of biological experiments are not currently amenable to complete large
scale data collection. Many are also not inherently limited, so that it becomes
difficult to even define completeness. At present, we only have partial data on
mutated genes and their phenotypes, gene expression, and protein-protein
interaction--important data for many biological questions. Big Data can point
toward unexpected correlations, and these unexpected correlations can lead to
novel investigations; however, Big Data cannot establish causation. As a result,
there is much excitement about Big Data, but there is also a discussion on just
what Big Data contributes to solving a biological problem. Because of its
relative simplicity, C. elegans is an ideal test bed to explore this issue and at
the same time determine what is necessary to build a multicellular organism from
a single cell.
PMID- 26543200
TI - Single-cell phenomics in budding yeast.
AB - The demand for phenomics, a high-dimensional and high-throughput phenotyping
method, has been increasing in many fields of biology. The budding yeast
Saccharomyces cerevisiae, a unicellular model organism, provides an invaluable
system for dissecting complex cellular processes using high-resolution
phenotyping. Moreover, the addition of spatial and temporal attributes to
subcellular structures based on microscopic images has rendered this cell
phenotyping system more reliable and amenable to analysis. A well-designed
experiment followed by appropriate multivariate analysis can yield a wealth of
biological knowledge. Here we review recent advances in cell imaging and
illustrate their broad applicability to eukaryotic cells by showing how these
techniques have advanced our understanding of budding yeast.
PMID- 26543199
TI - Forces, fluctuations, and self-organization in the nucleus.
AB - We address several processes and domains in the nucleus wherein holding the
perspective of physics either reveals a conundrum or is likely to enable
progress.
PMID- 26543201
TI - Reproducible quantitative proteotype data matrices for systems biology.
AB - Historically, many mass spectrometry-based proteomic studies have aimed at
compiling an inventory of protein compounds present in a biological sample, with
the long-term objective of creating a proteome map of a species. However, to
answer fundamental questions about the behavior of biological systems at the
protein level, accurate and unbiased quantitative data are required in addition
to a list of all protein components. Fueled by advances in mass spectrometry, the
proteomics field has thus recently shifted focus toward the reproducible
quantification of proteins across a large number of biological samples. This
provides the foundation to move away from pure enumeration of identified proteins
toward quantitative matrices of many proteins measured across multiple samples.
It is argued here that data matrices consisting of highly reproducible,
quantitative, and unbiased proteomic measurements across a high number of
conditions, referred to here as quantitative proteotype maps, will become the
fundamental currency in the field and provide the starting point for downstream
biological analysis. Such proteotype data matrices, for example, are generated by
the measurement of large patient cohorts, time series, or multiple experimental
perturbations. They are expected to have a large effect on systems biology and
personalized medicine approaches that investigate the dynamic behavior of
biological systems across multiple perturbations, time points, and individuals.
PMID- 26543202
TI - Quantitative nature of overexpression experiments.
AB - Overexpression experiments are sometimes considered as qualitative experiments
designed to identify novel proteins and study their function. However, in order
to draw conclusions regarding protein overexpression through association analyses
using large-scale biological data sets, we need to recognize the quantitative
nature of overexpression experiments. Here I discuss the quantitative features of
two different types of overexpression experiment: absolute and relative. I also
introduce the four primary mechanisms involved in growth defects caused by
protein overexpression: resource overload, stoichiometric imbalance, promiscuous
interactions, and pathway modulation associated with the degree of
overexpression.
PMID- 26543204
TI - The Journal of Medicine and Philosophy would like to Thank the following Guest
Reviewers for their help during this past year.
PMID- 26543205
TI - Defra seeks views on proposals to modernise the RCVS Council.
PMID- 26543206
TI - NOAH expresses concern about parliamentary antibiotics report.
PMID- 26543207
TI - Surveillance centre closure plans 'to be put on hold'.
PMID- 26543203
TI - Deletions and de novo mutations of SOX11 are associated with a neurodevelopmental
disorder with features of Coffin-Siris syndrome.
AB - BACKGROUND: SOX11 is a transcription factor proposed to play a role in brain
development. The relevance of SOX11 to human developmental disorders was
suggested by a recent report of SOX11 mutations in two patients with Coffin-Siris
syndrome. Here we further investigate the role of SOX11 variants in
neurodevelopmental disorders. METHODS: We used array based comparative genomic
hybridisation and trio exome sequencing to identify children with intellectual
disability who have deletions or de novo point mutations disrupting SOX11. The
pathogenicity of the SOX11 mutations was assessed using an in vitro gene
expression reporter system. Loss-of-function experiments were performed in
xenopus by knockdown of Sox11 expression. RESULTS: We identified seven
individuals with chromosome 2p25 deletions involving SOX11. Trio exome sequencing
identified three de novo SOX11 variants, two missense (p.K50N; p.P120H) and one
nonsense (p.C29*). The biological consequences of the missense mutations were
assessed using an in vitro gene expression system. These individuals had
microcephaly, developmental delay and shared dysmorphic features compatible with
mild Coffin-Siris syndrome. To further investigate the function of SOX11, we
knocked down the orthologous gene in xenopus. Morphants had significant reduction
in head size compared with controls. This suggests that SOX11 loss of function
can be associated with microcephaly. CONCLUSIONS: We thus propose that SOX11
deletion or mutation can present with a Coffin-Siris phenotype.
PMID- 26543208
TI - International contributions recognised.
PMID- 26543209
TI - Bluetongue in France: a role for wildlife in the latest outbreaks?
PMID- 26543210
TI - Equine vet reprimanded for prepurchase examination and certification errors.
PMID- 26543211
TI - Award for contributions to equine surgery.
PMID- 26543212
TI - Veterinary medicines update.
AB - The following information has been produced for Veterinary Record by the
Veterinary Medicines Directorate (VMD) to provide an update for veterinary
surgeons on recent changes to marketing authorisations for veterinary medicines
in the UK and on other relevant issues.
PMID- 26543213
TI - US task force launches action plan to tackle AMR in production agriculture.
PMID- 26543214
TI - The rise of the referral sector.
AB - The private referral sector in the UK has grown rapidly over the past 20 years.
Continuing Veterinary Record's series of articles discussing the state of
different sectors of the veterinary profession, Dick White considers how the
sector has evolved and how it might continue to develop.
PMID- 26543215
TI - Role of vaccination in dairy herd health and productivity.
PMID- 26543216
TI - Use of antibiotics in animals and people.
PMID- 26543217
TI - Correction.
PMID- 26543218
TI - Shorter sentences.
PMID- 26543219
TI - Diagnosis and treatment of canine neuropathic pain.
PMID- 26543226
TI - Getting to grips with science.
PMID- 26543227
TI - Second-year student diary.
PMID- 26543228
TI - Caveolin-1 regulates cancer cell metabolism via scavenging Nrf2 and suppressing
MnSOD-driven glycolysis.
AB - Aerobic glycolysis is an indispensable component of aggressive cancer cell
metabolism. It also distinguishes cancer cells from most healthy cell types in
the body. Particularly for this reason, targeting the metabolism to improve
treatment outcomes has long been perceived as a potentially valuable strategy. In
practice, however, our limited knowledge of why and how metabolic reprogramming
occurs has prevented progress towards therapeutic interventions that exploit the
metabolic peculiarities of tumors. We recently described that in breast cancer,
MnSOD upregulation is both necessary and sufficient to activate glycolysis. Here,
we focused on determining the molecular mechanisms of MnSOD upregulation. We
found that Caveolin-1 (Cav-1) is a central component of this mechanism due to its
suppressive effects of NF-E2-related factor 2 (Nrf2), a transcription factor
upstream of MnSOD. In transformed MCF10A(Er/Src) cells, Cav-1 loss preceded the
activation of Nrf2 and its induction of MnSOD expression. Consistently, with
previous observations, MnSOD expression secondary to Nrf2 activation led to an
increase in the glycolytic rate dependent on mtH2O2 production and the activation
of AMPK. Moreover, rescue of Cav-1 expression in a breast cancer cell line (MCF7)
suppressed Nrf2 and reduced MnSOD expression. Experimental data were reinforced
by epidemiologic nested case-control studies showing that Cav-1 and MnSOD are
inversely expressed in cases of invasive ductal carcinoma, with low Cav-1 and
high MnSOD expression being associated with lower 5-year survival rates and
molecular subtypes with poorest prognosis.
PMID- 26543229
TI - Colon cancer cell invasion is promoted by protein kinase CK2 through increase of
endothelin-converting enzyme-1c protein stability.
AB - Endothelin-converting enzyme-1c (ECE-1c) is a membrane metalloprotease involved
in endothelin-1 synthesis, which has been shown in vitro to have a role in
breast, ovary and prostate cancer cell invasion. N-terminal end of ECE-1c
displays three putative phosphorylation sites for the protein kinase CK2. We
studied whether CK2 phosphorylates N-terminal end of ECE-1c as well as whether
this has a role in migration and invasion of colon cancer cells. CK2
phosphorylated the N-terminal end of ECE-1c and this was precluded upon
inhibition of CK2. Inhibition also led to diminished protein levels of both
endogen ECE-1 or GFP-fused N-terminal end of ECE-1c in 293T embryonic and DLD-1
colon cancer cells, which highlighted the importance of this motif on UPS
dependent ECE-1c degradation. Full-length ECE-1c mutants designed either to mimic
or abrogate CK2-phosphorylation displayed increased or decreased
migration/invasion of colon cancer cells, respectively. Moreover, ECE-1c
overexpression or its silencing with a siRNA led to increased or diminished cell
migration/invasion, respectively. Altogether, these data show that CK2-increased
ECE-1c protein stability is related to augmented migration and invasion of colon
cancer cells, shedding light on a novel mechanism by which CK2 may promote
malignant progression of this disease.
PMID- 26543230
TI - Isothiocyanatostilbenes as novel c-Met inhibitors.
AB - The hepatocyte growth factor receptor (HGFR or c-Met) is a driver of multiple
cancer subtypes. While there are several c-Met inhibitors in development, few
have been approved for clinical use, warranting the need for continued research
and development of c-Met targeting therapeutic modalities. The research presented
here demonstrates a particular class of compounds known as
isothiocyanatostilbenes can act as c-Met inhibitors in multiple cancer cell
lines. Specifically, we found that 4,4'-Diisothiocyanatostilbene-2,2'-disulfonic
acid (DIDS) and 4,4'-Diisothiocyanatodihydrostilbene-2,2'-disulfonic acid
(H2DIDS) had c-Met inhibitory effective doses in the low micromolar range while 4
acetamido-4'-isothiocyanatostilbene-2,2'-disulfonic acid (SITS) and 4,4'
dinitrostilbene-2, 2'-disulfonic acid (DNDS) exhibited IC50s 100 to 1000 fold
higher. These compounds displayed much greater selectivity for inhibiting c-Met
activation compared to similar receptor tyrosine kinases. In addition, DIDS and
H2DIDS reduced hepatocyte growth factor (HGF)-induced, but not epidermal growth
factor (EGF)-induced, cell scattering, wound healing, and 3-dimensional (3D)
proliferation of tumor cell spheroids. In-cell and cell-free assays suggested
that DIDS and H2DIDS can inhibit and reverse c-Met phosphorylation, similar to
SU11274. Additional data demonstrated that DIDS is tolerable in vivo. These data
provide preliminary support for future studies examining DIDS, H2DIDS, and
derivatives as potential c-Met therapeutics.
PMID- 26543232
TI - miR-150 inhibits terminal erythroid proliferation and differentiation.
AB - MicroRNAs (miRNAs), a class of small non-coding linear RNAs, have been shown to
play a crucial role in erythropoiesis. To evaluate the indispensable role of
constant suppression of miR-150 during terminal erythropoiesis, we performed miR
150 gain- and loss-of-function experiments on hemin-induced K562 cells and EPO
induced human CD34+ cells. We found that forced expression of miR-150 suppresses
commitment of hemoglobinization and CD235a labeling in both cell types. Erythroid
proliferation is also inhibited via inducing apoptosis and blocking the cell
cycle when miR-150 is overexpressed. In contrast, miR-150 inhibition promotes
terminal erythropoiesis. 4.1 R gene is a new target of miR-150 during terminal
erythropoiesis, and its abundance ensures the mechanical stability and
deformability of the membrane. However, knockdown of 4.1 R did not affect
terminal erythropoiesis. Transcriptional profiling identified more molecules
involved in terminal erythroid dysregulation derived from miR-150 overexpression.
These results shed light on the role of miR-150 during human terminal
erythropoiesis. This is the first report highlighting the relationship between
miRNA and membrane protein and enhancing our understanding of how miRNA works in
the hematopoietic system.
PMID- 26543233
TI - A functional variant in miR-155 regulation region contributes to lung cancer risk
and survival.
AB - Emerging evidence suggested that upregulation of miR-155 could serve as a
promising marker for the diagnosis and prognosis of non-small cell lung cancer
(NSCLC). In the present study, we genotyped rs767649 (A > T) located in miR-155
regulation region in 1341 cases and 1982 controls, and analyzed the associations
of rs767649 with NSCLC risk and survival. Consequently, rs767649 exhibited the
significant associations with the risk (adjusted OR = 1.12, 95% CI = 1.01-1.24, P
= 0.031) and prognosis of NSCLC (adjusted HR = 1.17, 95% CI = 1.03-1.32, P =
0.014). Meanwhile, rs767649 specifically interacted with radio-chemotherapy
(P(int) = 0.013), and patients with both the rs767649-TT genotype and radio
chemotherapy had the highest hazard ratio (adjusted HR = 1.65, 95% CI = 1.26
2.16, P < 0.001). Furthermore, using functional assays and The Cancer Genome
Atlas (TCGA) Lung Adenocarcinoma (LUAD) dataset, we found that rs767649 variant
allele could increase the transcriptional activity of miR-155, which in turn
facilitated tumor growth and metastasis by inhibiting HBP1, TJP1, SMAD5 and
PRKAR1A expression. Our findings suggested that rs767649 A > T might contribute
to the increased risk and poor prognosis of NSCLC, highlighting the importance of
rs767649 in the prevention and therapy of NSCLC.
PMID- 26543234
TI - Correlation of clinical features and genetic profiles of stromal interaction
molecule 1 (STIM1) in colorectal cancers.
AB - STIM1 overexpression has been observed in a portion of colorectal cancer (CRC)
patients and associated with cancer cell invasion and migration. To characterize
the distinctive expression profiles associated with stromal interaction molecule
1 (STIM1) overexpression/low-expression between CRC subtypes, and further assess
the divergence transcription regulation impact of STIM1 between colon (COADs) and
rectum (READs) adenocarcinomas in order to depict the role of SOCE pathway in
CRCs, we have conducted a comprehensive phenome-transcriptome-interactome
analysis to clarify underlying molecular differences of COADs/READs contributed
by STIM1. Results demonstrated that a number of novel STIM1-associated signatures
have been identified in COADs but not READs. Specifically, the presence of STIM1
overexpression in COADs, which represented a disturbance of the SOCE pathway, was
associated with cell migration and cell motility properties. We identified 11
prognostic mRNA/miRNA predictors associated with the overall survival of COAD
patients, suggesting the correlation of STIM1-associated features to
clinicopathological outcomes. These findings enhance our understanding on
differences between CRC subtypes in panoramic view, and suggested STIM1 as a
promising therapeutic biomarker in COADs.
PMID- 26543235
TI - Leptomeningeal metastasis in breast cancer - a systematic review.
AB - BACKGROUND: There is limited data on the impact of specific patient
characteristics, tumor subtypes or treatment interventions on survival in breast
cancer LM. METHODS: A systematic review was conducted to assess the impact of
hormone receptor and HER-2 status on survival in breast cancer LM. A search for
clinical studies published between 1/1/2007 and 7/1/2012 and all randomized
controlled trials was performed. Survival data from all studies are reported by
study design (prospective trials, retrospective cohort studies, case studies).
RESULTS: A total of 36 studies with 851 LM breast cancer subjects were
identified. The majority (87%) were treated with intrathecal chemotherapy. Pooled
median overall survival ranged from 14.9-18.1 weeks depending on study type.
Breast cancer LM survival (15 weeks) was longer than other solid tumor LM 8.3
weeks and lung cancer LM 8.7 weeks, but shorter than LM lymphoma (15.4 versus
24.2 weeks). The impact of hormone receptor and HER-2 status on survival could
not be determined. CONCLUSIONS: A median overall survival of 15 weeks in
prospective studies of breast cancer LM provides a historical comparison for
future LM breast cancer trials. Other outcomes including the impact of molecular
status on survival could not be determined based on available studies.
PMID- 26543236
TI - Risk prediction for sporadic Alzheimer's disease using genetic risk score in the
Han Chinese population.
AB - More than 30 independent single-nucleotide polymorphisms (SNPs) have been
associated with Alzheimer's disease (AD) risk by genome-wide association studies
(GWAS) in European. We aimed to confirm these SNPs in Chinese Han and investigate
the utility of these genetic markers. We randomly divided 459 sporadic AD (SAD)
patients and 751 cognitively normal controls into two sets (discovery and
testing). Thirty-three SAD risk-associated SNPs were firstly tested in the
discovery set. Significant SNPs were used to calculate genetic risk score (GRS)
in the testing set. Predictive performance of GRS was evaluated using the area
under the receiver operating characteristic curve (AUC). In the discovery set, 6
SNPs were confirmed (P = 7.87 x 10(-11)~0.048), including rs9349407 in CD2AP,
rs11218343 in SORL1, rs17125944 in FERMT2, rs6859 in PVRL2, rs157580 and
rs2075650 in TOMM40. The first three SNPs were associated with SAD risk
independent of APOE genotypes. GRS based on these three SNPs were significantly
associated with SAD risk in the independent testing set (P = 0.002). The AUC for
discriminating cases from controls was 0.58 for GRS, 0.60 for APOE, and 0.64 for
GRS and APOE. Our data demonstrated that GRS based on AD risk-associated SNPs may
supplement APOE for better assessing individual risk for AD in Chinese.
PMID- 26543237
TI - Inhibition of the pentose phosphate pathway by dichloroacetate unravels a missing
link between aerobic glycolysis and cancer cell proliferation.
AB - Glucose fermentation through glycolysis even in the presence of oxygen (Warburg
effect) is a common feature of cancer cells increasingly considered as an
enticing target in clinical development. This study aimed to analyze the link
between metabolism, energy stores and proliferation rates in cancer cells. We
found that cell proliferation, evaluated by DNA synthesis quantification, is
correlated to glycolytic efficiency in six cancer cell lines as well as in
isogenic cancer cell lines. To further investigate the link between glycolysis
and proliferation, a pharmacological inhibitor of the pentose phosphate pathway
(PPP) was used. We demonstrated that reduction of PPP activity decreases cancer
cells proliferation, with a profound effect in Warburg-phenotype cancer cells.
The crucial role of the PPP in sustaining cancer cells proliferation was
confirmed using siRNAs against glucose-6-phosphate dehydrogenase, the first and
rate-limiting enzyme of the PPP. In addition, we found that dichloroacetate
(DCA), a new clinically tested compound, induced a switch of glycolytic cancer
cells to a more oxidative phenotype and decreased proliferation. By demonstrating
that DCA decreased the activity of the PPP, we provide a new mechanism by which
DCA controls cancer cells proliferation.
PMID- 26543238
TI - Design of a peptidic inhibitor that targets the dimer interface of a prototypic
galectin.
AB - Galectins are small soluble lectins that bind alpha-galactosides via their
carbohydrate recognition domain (CRD). Their ability to dimerize is critical for
the crosslinking of glycoprotein receptors and subsequent cellular signaling.
This is particularly important in their immunomodulatory role via the induction
of T-cell apoptosis. Because galectins play a central role in many pathologies,
including cancer, they represent valuable therapeutic targets. At present, most
inhibitors have been directed towards the CRD, a challenging task in terms of
specificity given the high structural homology of the CRD among galectins. Such
inhibitors are not effective at targeting CRD-independent functions of galectins.
Here, we report a new class of galectin inhibitors that specifically binds human
galectin-7 (hGal-7), disrupts the formation of homodimers, and inhibits the pro
apoptotic activity of hGal-7 on Jurkat T cells. In addition to representing a new
means to achieve specificity when targeting galectins, such inhibitors provide a
promising alternative to more conventional galectin inhibitors that target the
CRD with soluble glycans or other small molecular weight allosteric inhibitors.
PMID- 26543239
TI - Probing non polar interstellar molecules through their protonated form: Detection
of protonated cyanogen (NCCNH+).
AB - Cyanogen (NCCN) is the simplest member of the series of dicyanopolyynes. It has
been hypothesized that this family of molecules can be important constituents of
interstellar and circumstellar media, although the lack of a permanent electric
dipole moment prevents its detection through radioastronomical techniques. Here
we present the first solid evidence of the presence of cyanogen in interstellar
clouds through the detection of its protonated form toward the cold dark clouds
TMC-1 and L483. Protonated cyanogen (NCCNH+) has been identified through the J =
5 - 4 and J = 10 - 9 rotational transitions using the 40m radiotelescope of Yebes
and the IRAM 30m telescope. We derive beam averaged column densities for NCCNH+
of (8.6 +/- 4.4) * 1010 cm-2 in TMC-1 and (3.9 +/- 1.8) * 1010 cm-2 in L483,
which translate to fairly low fractional abundances relative to H2, in the range
(1-10) * 10-12. The chemistry of protonated molecules in dark clouds is
discussed, and it is found that, in general terms, the abundance ratio between
the protonated and non protonated forms of a molecule increases with increasing
proton affinity. Our chemical model predicts an abundance ratio NCCNH+/NCCN of ~
10-4, which implies that the abundance of cyanogen in dark clouds could be as
high as (1-10) * 10-8 relative to H2, i.e., comparable to that of other abundant
nitriles such as HCN, HNC, and HC3N.
PMID- 26543240
TI - ACCURATE SPECTROSCOPIC CHARACTERIZATION OF OXIRANE: A VALUABLE ROUTE TO ITS
IDENTIFICATION IN TITAN'S ATMOSPHERE AND THE ASSIGNMENT OF UNIDENTIFIED INFRARED
BANDS.
AB - In an effort to provide an accurate spectroscopic characterization of oxirane,
state-of-the-art computational methods and approaches have been employed to
determine highly accurate fundamental vibrational frequencies and rotational
parameters. Available experimental data were used to assess the reliability of
our computations, and an accuracy on average of 10 cm-1 for fundamental
transitions as well as overtones and combination bands has been pointed out.
Moving to rotational spectroscopy, relative discrepancies of 0.1%, 2%-3%, and 3%
4% were observed for rotational, quartic, and sextic centrifugal-distortion
constants, respectively. We are therefore confident that the highly accurate
spectroscopic data provided herein can be useful for identification of oxirane in
Titan's atmosphere and the assignment of unidentified infrared bands. Since
oxirane was already observed in the interstellar medium and some astronomical
objects are characterized by very high D/H ratios, we also considered the
accurate determination of the spectroscopic parameters for the mono-deuterated
species, oxirane-d1. For the latter, an empirical scaling procedure allowed us to
improve our computed data and to provide predictions for rotational transitions
with a relative accuracy of about 0.02% (i.e., an uncertainty of about 40 MHz for
a transition lying at 200 GHz).
PMID- 26543241
TI - ACCURATE SPECTROSCOPIC CHARACTERIZATION OF PROTONATED OXIRANE: A POTENTIAL
PREBIOTIC SPECIES IN TITAN'S ATMOSPHERE.
AB - An accurate spectroscopic characterization of protonated oxirane has been carried
out by means of state-of-the-art computational methods and approaches. The
calculated spectroscopic parameters from our recent computational investigation
of oxirane together with the corresponding experimental data available were used
to assess the accuracy of our predicted rotational and IR spectra of protonated
oxirane. We found an accuracy of about 10 cm-1 for vibrational transitions
(fundamentals as well as overtones and combination bands) and, in relative terms,
of 0.1% for rotational transitions. We are therefore confident that the
spectroscopic data provided herein are a valuable support for the detection of
protonated oxirane not only in Titan's atmosphere but also in the interstellar
medium.
PMID- 26543242
TI - Arthropod Surveillance Programs: Basic Components, Strategies, and Analysis.
AB - Effective entomological surveillance planning stresses a careful consideration of
methodology, trapping technologies, and analysis techniques. Herein, the basic
principles and technological components of arthropod surveillance plans are
described, as promoted in the symposium "Advancements in arthropod monitoring
technology, techniques, and analysis" presented at the 58th annual meeting of the
Entomological Society of America in San Diego, CA. Interdisciplinary examples of
arthropod monitoring for urban, medical, and veterinary applications are
reviewed. Arthropod surveillance consists of the three components: 1) sampling
method, 2) trap technology, and 3) analysis technique. A sampling method consists
of selecting the best device or collection technique for a specific location and
sampling at the proper spatial distribution, optimal duration, and frequency to
achieve the surveillance objective. Optimized sampling methods are discussed for
several mosquito species (Diptera: Culicidae) and ticks (Acari: Ixodidae). The
advantages and limitations of novel terrestrial and aerial insect traps,
artificial pheromones and kairomones are presented for the capture of red flour
beetle (Coleoptera: Tenebrionidae), small hive beetle (Coleoptera: Nitidulidae),
bed bugs (Hemiptera: Cimicidae), and Culicoides (Diptera: Ceratopogonidae)
respectively. After sampling, extrapolating real world population numbers from
trap capture data are possible with the appropriate analysis techniques. Examples
of this extrapolation and action thresholds are given for termites (Isoptera:
Rhinotermitidae) and red flour beetles.
PMID- 26543243
TI - An Accurate Timing Alignment Method with Time-to-Digital Converter Linearity
Calibration for High-Resolution TOF PET.
AB - Accurate PET system timing alignment minimizes the coincidence time window and
therefore reduces random events and improves image quality. It is also critical
for time-of-flight (TOF) image reconstruction. Here, we use a thin annular
cylinder (shell) phantom filled with a radioactive source and located axially and
centrally in a PET camera for the timing alignment of a TOF PET system. This
timing alignment method involves measuring the time differences between the
selected coincidence detector pairs, calibrating the differential and integral
nonlinearity of the time-to-digital converter (TDC) with the same raw data and
deriving the intrinsic time biases for each detector using an iterative
algorithm. The raw time bias for each detector is downloaded to the front-end
electronics and the residual fine time bias can be applied during the TOF list
mode reconstruction. Our results showed that a timing alignment accuracy of
better than +/-25 ps can be achieved, and a preliminary timing resolution of 473
ps (full width at half maximum) was measured in our prototype TOF PET/CT system.
PMID- 26543244
TI - Effect of Non-Alignment/Alignment of Attenuation Map Without/With Emission Motion
Correction in Cardiac SPECT/CT.
AB - PURPOSE: We investigate the differences without/with respiratory motion
correction in apparent imaging agent localization induced in reconstructed
emission images when the attenuation maps used for attenuation correction (from
CT) are misaligned with the patient anatomy during emission imaging due to
differences in respiratory state. METHODS: We investigated use of attenuation
maps acquired at different states of a 2 cm amplitude respiratory cycle (at end
expiration, at end-inspiration, the center map, the average transmission map, and
a large breath-hold beyond range of respiration during emission imaging) to
correct for attenuation in MLEM reconstruction for several anatomical variants of
the NCAT phantom which included both with and without non-rigid motion between
heart and sub-diaphragmatic regions (such as liver, kidneys etc). We tested these
cases with and without emission motion correction and attenuation map
alignment/non-alignment. RESULTS: For the NCAT default male anatomy the false
count-reduction due to breathing was largely removed upon emission motion
correction for the large majority of the cases. Exceptions (for the default male)
were for the cases when using the large-breathhold end-inspiration map (TI_EXT),
when we used the end-expiration (TE) map, and to a smaller extent, the end
inspiration map (TI). However moving the attenuation maps rigidly to align the
heart region, reduced the remaining count-reduction artifacts. For the female
patient count-reduction remained post motion correction using rigid map-alignment
due to the breast soft-tissue misalignment. Quantitatively, after the
transmission (rigid) alignment correction, the polar-map 17-segment RMS error
with respect to the reference (motion-less case) reduced by 46.5% on average for
the extreme breathhold case. The reductions were 40.8% for end-expiration map and
31.9% for end-inspiration cases on the average, comparable to the semi-ideal case
where each state uses its own attenuation map for correction. CONCLUSIONS: Two
main conclusions are that even rigid emission motion correction to rigidly align
the heart region to the attenuation map helps in average cases to reduce the
count-reduction artifacts and secondly, within the limits of the study (ex. rigid
correction) when there is lung tissue inferior to the heart as with the NCAT
phantom employed in this study endexpiration maps (TE) might best be avoided as
they may create more artifacts than the end-inspiration (TI) maps.
PMID- 26543245
TI - A Simple Low-dose X-ray CT Simulation from High-dose Scan.
AB - Low-dose X-ray computed tomography (CT) simulation from high-dose scan is
required in optimizing radiation dose to patients. In this study, we propose a
simple low-dose CT simulation strategy in sinogram domain using the raw data from
high-dose scan. Specially, a relationship between the incident fluxes of low- and
high- dose scans is first determined according to the repeated projection
measurements and analysis. Second, the incident flux level of the simulated low
dose scan is generated by properly scaling the incident flux level of high-dose
scan via the determined relationship in the first step. Third, the low-dose CT
transmission data by energy integrating detection is simulated by adding a
statistically independent Poisson noise distribution plus a statistically
independent Gaussian noise distribution. Finally, a filtered back-projection
(FBP) algorithm is implemented to reconstruct the resultant low-dose CT images.
The present low-dose simulation strategy is verified on the simulations and real
scans by comparing it with the existing low-dose CT simulation tool. Experimental
results demonstrated that the present low-dose CT simulation strategy can
generate accurate low-dose CT sinogram data from high-dose scan in terms of
qualitative and quantitative measurements.
PMID- 26543246
TI - From the Editor: The Journey to Open Access.
PMID- 26543247
TI - Temperature Management Guidelines.
PMID- 26543248
TI - The Society of Thoracic Surgeons, The Society of Cardiovascular
Anesthesiologists, and The American Society of ExtraCorporeal Technology:
Clinical Practice Guidelines for Cardiopulmonary Bypass--Temperature Management
during Cardiopulmonary Bypass.
AB - To improve our understanding of the evidence-based literature supporting
temperature management during adult cardiopulmonary bypass, The Society of
Thoracic Surgeons, the Society of Cardiovascular Anesthesiology and the American
Society of ExtraCorporeal Technology tasked the authors to conduct a review of
the peer-reviewed literature, including 1) optimal site for temperature
monitoring, 2) avoidance of hyperthermia, 3) peak cooling temperature gradient
and cooling rate, and 4) peak warming temperature gradient and rewarming rate.
Authors adopted the American College of Cardiology/American Heart Association
method for development clinical practice guidelines, and arrived at the following
recommendation.
PMID- 26543249
TI - A Single-Center Analysis of Methylprednisolone Use during Pediatric
Cardiopulmonary Bypass.
AB - Cardiac surgery with the use of cardiopulmonary bypass (CPB) is known to induce
an inflammatory response in patients. This response may be even more pronounced
in pediatric patients given their small body size compared to adults. Several
interventions have been instituted in an effort to attenuate this response,
including the use of corticosteroids in the pump prime. However, the clinical
effectiveness and potential harmful effects of steroid use have been the source
of recent debate. Therefore, our institution made the decision to evaluate the
use of methylprednisolone in our CPB prime. This evaluation was performed as a
formal quality improvement project at The Children's Hospital of Philadelphia.
Methylprednisolone was eliminated from the CPB prime for 6 months. At the end of
this time period, The Society of Thoracic Surgeons Congenital Heart Surgery
Database was used to evaluate clinical outcomes of patients (n = 222). These
outcomes were then compared to patients operated on during the 6 months prior to
elimination of methylprednisolone (n = 303). No significant clinical benefit was
identified in the group of patients who received methylprednisolone. When
compared to the group who did not receive methylprednisolone, significantly more
patients in the steroids group had a postoperative wound infection (p = .037) or
respiratory failure requiring tracheostomy ( p = .035). No other differences in
clinical outcomes were identified between the two groups. No significant
differences in clinical outcomes were identified between neonates who received
methylprednisolone (n = 55) and neonates who did not receive steroids (n = 58).
Due to the lack of clinical benefit seen with its use, as well as its potential
contribution to the incidence of wound infection, methylprednisolone continues to
be excluded from the CPB prime at our institution. Methylprednisolone is still
given intraoperatively at the request of the attending anesthesiologist and on
bypass during orthotopic transplant procedures according to institutional
protocol.
PMID- 26543250
TI - Vacuum-Assisted Venous Drainage: A 2014 Safety Survey.
AB - Despite the widespread use of vacuum-assisted venous drainage (VAVD) and case
reports describing catastrophic incidents related to VAVD, there is a lack of
data cataloging specific safety measures that individuals and institutions have
incorporated into their VAVD practices for the prevention of these incidents.
Therefore, the purpose of this study is to survey the perfusion community to
gather data on VAVD practices, and to compare these current practices with
literature recommendations and the American Society of ExtraCorporeal Technology
(AmSECT) Standards and Guidelines. In September 2014, a survey was distributed
via PerfList and PerfMail, and by direct e-mail to members of the New York State
Society of Perfusionists, targeting certified clinical perfusionists in New York
State. Survey topics pertaining to VAVD practice included 1) equipment, 2)
pressure monitoring and alarms, 3) protocols, checklists, and documentation, and
4) VAVD-related incidents. Of ~200 certified clinical perfusionists who live
and/or work in New York State (NYS), 88 responded (42%). Most respondents (90.1%)
report they use VAVD. Of these, 87.3% report that they monitor VAVD pressure,
with 51.6% having audible and visual alarms for both positive and excessive
negative pressures. At the institutional level, 61.2% of respondents reported
that there is a protocol in place at for their team limiting negative pressure in
the reservoir, 28.4% document VAVD pressure in the pump record, and AmSECT's
three recommended VAVD checklist items are met with 53.7%, 55.1%, and 33.8%
compliance. In conclusion, the results of this study reveal that the use of VAVD
has increased and has become nearly universal in 2014. There is high compliance
to some of the literature recommendations and AmSECT Standards and Guidelines,
however, there are still some gaps between current practices and these
recommendations. Continued improvement, both at the individual and institutional
levels, will help to improve patient safety by preventing untoward events from
occurring while using VAVD.
PMID- 26543251
TI - Perioperative Hemoglobin Trajectory in Adult Cardiac Surgical Patients.
AB - Preoperative anemia and nadir hemoglobin (Hb) during cardiopulmonary bypass (CPB)
have been identified as significant risk factors for blood transfusion during
cardiac surgery. The aim of this study was to confirm the association between
preoperative anemia, perioperative fluid management, and blood transfusion. In
addition, the proportion of elective cardiac surgery patients presenting for
surgery with anemia was identified to examine whether the opportunity exists for
timely diagnosis and intervention. Data from referral until hospital discharge
were comprehensively reviewed over a 12-month period for all nonemergency cardiac
surgical patients operated on in our institution. Of the 342 patients identified,
elective cases were referred a median of 35 days before preoperative clinic and
operated on a median of 14 days subsequently. Subacute cases had a median of 3
days from referral to surgery. As per the World Health Organization (WHO)
criteria for anemia, 24.2% of elective and 29.6% of subacute patients were
anemic. Blood transfusion was administered to 46.2% of patients during their
admission. Transfusion was more likely in patients who were female (odds ratio
[OR]: 2.45, 95%confidence interval [CI]: 1.28-4.70), had a low body mass index
(BMI) (OR: .89, 95% CI: .84-.94), preoperative anemia (OR: 5.15, 95% CI: 2.59
10.24), or renal impairment (OR: 5.44, 95% CI: 2.42-12.22). Hemodilution
minimization strategies reduced the Hb fall during CPB, but not transfusion
rates. This study identifies a high prevalence of preoperative anemia with
sufficient time for elective referrals to undergo appropriate diagnosis and
interventions. It also confirms that low red cell mass (anemia and low BMI) and
renal impairment are predictors of perioperative blood transfusion. Perfusion
strategies to reduce hemodilution are effective at minimizing the intraoperative
fall in Hb concentration but did not influence transfusion rate.
PMID- 26543252
TI - Should Air Bubble Detectors Be Used to Quantify Microbubble Activity during
Cardiopulmonary Bypass?
AB - Air bubble detectors (ABDs) are utilized during cardiopulmonary bypass (CPB) to
protect against massive air embolism. Stockert (Munich, Germany) ABD quantify
microbubbles >300 MUm; however, their reliability has not been reported. The aim
of this study was to assess the reliability of the microbubble data from the ABD
with the SIII and S5 heart-lung machines. Microbubble counts from the ABD with
the SIII (SIII ABD) and S5 (S5 ABD) were measured simultaneously with the emboli
detection and classification (EDAC) quantifier in 12 CPB procedures using two
EDAC detectors and two ABDs in series in the arterial line. Reliability was
assessed by the Spearman correlation co-efficient (r) between measurements for
each detector type, and between each ABD and EDAC detector for counts >300 MUm.
No correlation was found between the SIII ABD (r = .008, p = .793). A weak
negative correlation was found with the S5 ABD (r = -.16, p < .001). A strong
correlation was found between the EDAC detectors (SIII; r = .958, p < .001), (S5;
r = .908, p < .001). With counts >300 MUm, the SIII ABDs showed a correlation of
small-medium effect size between EDAC detectors and ABD1 (r = .286, p < .001
[EDAC1], r = .347, p < .001 [EDAC2]). There was no correlation found between ABD2
and either EDAC detector (r = .003, p = .925 (EDAC1), r = .003, p = .929
[EDAC2]). A correlation between EDAC and the S5 ABD, was not able to be
determined due to the low bubble count detected by the EDAC >300 MUm. Both SIII
ABD and S5 ABD were found to be unreliable for quantification of microbubble
activity during CPB in comparison with the EDAC. These results highlight the
importance of ensuring that data included in the CPB report is accurate and
clinically relevant, and suggests that microbubble counts from devices such as
the SIII ABD and S5 ABD should not be reported.
PMID- 26543253
TI - A Novel Method to Detect an Oxygenator Defect Prior to Cardiopulmonary Bypass
Initiation.
AB - Cardiopulmonary bypass (CPB) is a common practice in our era. The medical
technology used for cardiac surgery goes through rigorous testing to ensure its
safety. Unfortunately, it is not fail proof. Oxygenator failures are a rare
occurrence but may lead to catastrophic events. We present a case where the
preparation for initiating CPB was complicated by an oxygenator defect. After
thorough examination, the oxygenator was found leaking from the gas exhaust port
suggesting a disruption in continuity of the fibers. This was found by the
vigilance of the perfusionist and a creative method to quickly assess the
integrity of the oxygenation device. We describe a simple technique to help
diagnose an oxygenator leak.
PMID- 26543254
TI - Classic Pages of the Journal of Extracorporeal Technology: Does Perfusion Have a
Safety Culture?
PMID- 26543256
TI - Double Jeopardy? The Interaction of Gender and Race on Earnings in the U.S.
AB - There are sizeable earnings differentials by both gender and race in the U.S.
labor market, with women earning less than men and most racial/ethnic minority
groups earning less than whites. It has been proposed in the previous literature
that the effects of gender and race on earnings are additive, so that minority
women suffer the full disadvantage of each status. We test this proposition for a
broad range of minority groups in the U.S. We find that women of all minority
groups in the U.S. suffer a smaller gender penalty than white women. Exploring
the potential role of racial variation in gender role specialization in producing
such differentials, we find some empirical evidence suggesting that white
families specialize more than families of most other races.
PMID- 26543255
TI - Home Remedy Use Among African American and White Older Adults.
AB - Home remedy use is an often overlooked component of health self-management, with
a rich tradition, particularly among African Americans and others who have
experienced limited access to medical care or discrimination by the health care
system. Home remedies can potentially interfere with biomedical treatments. This
study documented the use of home remedies among older rural adults, and compared
use by ethnicity (African American and white) and gender. A purposeful sample of
62 community-dwelling adults ages 65+ from rural North Carolina was selected.
Each completed an in-depth interview, which probed current use of home remedies,
including food and non-food remedies, and the symptoms or conditions for use.
Systematic, computer-assisted analysis was used to identify usage patterns. Five
food and five non-food remedies were used by a large proportion of older adults.
African American elders reported greater use than white elders; women reported
more use for a greater number of symptoms than men. Non-food remedies included
long-available, over-the-counter remedies (e.g., Epsom salts) for which
"offlabel" uses were reported. Use focused on alleviating common digestive,
respiratory, skin, and musculoskeletal symptoms. Some were used for chronic
conditions in lieu of prescription medications. Home remedy use continues to be a
common feature of the health self-management of older adults, particularly among
African Americans, though at lower levels than previously reported. While some
use is likely helpful or benign, other use has the potential to interfere with
medical management of disease. Health care providers should be aware of the use
of remedies by their patients.
PMID- 26543257
TI - Synthesis of cholesteryl-alpha-D-lactoside via generation and trapping of a
stable beta-lactosyl iodide.
AB - The generation of beta-lactosyl iodide was carried out under non-in situ
anomerization, metal free conditions by reacting commercially available beta-per
O-acetylated lactose with trimethylsilyl iodide (TMSI). The beta-iodide was
surprisingly stable as evidenced by NMR spectroscopy. Introduction of octanol or
cholesterol under microwave conditions gave high yields of alpha-linked
glycoconjugates. Careful analysis of the reaction products and mechanistic
considerations suggest an acid catalyzed rearrangement that provides alpha-linked
glycosylation products with a free C2-hydroxyl. Accessibility to these compounds
may further advance glycolipidomic profiling of immune modulating bacterial
derived-glycans.
PMID- 26543258
TI - 3,4- and 3,5-Disubstituted 2-Pyridones Using an Intermolecular Cycloaddition /
Cycloreversion Strategy: Toward the Synthesis of Aristopyridinone A.
AB - The intermolecular cycloaddition of pyrazinone precursors with alkyne substrates
was evaluated. The resulting regioisomeric [2.2.2]-diketopiperazine alkene
cycloadducts were diverted into 2-pyridone products through cycloreversion of the
[2.2.2]-bicyclic intermediates. New insights into the regioselectivity of
pyrazinone azadiene Diels-Alder reactions as well as cycloreversion reactivity
were revealed in this study. Synthetic sequences using this [4+2]/r[4+2] strategy
were determined that can produce predominantly the 3,5-disubstituted 2-pyridone
alkaloid structures; pyridones featuring the 3,4-substitution pattern are
observed as the minor regioisomeric products.
PMID- 26543259
TI - Plausible Drug Targets in the Streptococcus mutans Quorum Sensing Pathways to
Combat Dental Biofilms and Associated Risks.
AB - Streptococcus mutans, a Gram positive facultative anaerobe, is one among the
approximately seven hundred bacterial species to exist in human buccal cavity and
cause dental caries. Quorum sensing (QS) is a cell-density dependent
communication process that respond to the inter/intra-species signals and elicit
responses to show behavioral changes in the bacteria to an aggressive forms. In
accordance to this phenomenon, the S. mutans also harbors a Competing Stimulating
Peptide (CSP)-mediated quorum sensing, ComCDE (Two-component regulatory system)
to regulate several virulence-associated traits that includes the formation of
the oral biofilm (dental plaque), genetic competence and acidogenicity. The QS
mediated response of S. mutans adherence on tooth surface (dental plaque) imparts
antibiotic resistance to the bacterium and further progresses to lead a chronic
state, known as periodontitis. In recent years, the oral streptococci, S. mutans
are not only recognized for its cariogenic potential but also well known to
worsen the infective endocarditis due to its inherent ability to colonize and
form biofilm on heart valves. The review significantly appreciate the increasing
complexity of the CSP-mediated quorum-sensing pathway with a special emphasis to
identify the plausible drug targets within the system for the development of anti
quorum drugs to control biofilm formation and associated risks.
PMID- 26543260
TI - Thermus parvatiensis RL(T) sp. nov., Isolated from a Hot Water Spring, Located
Atop the Himalayan Ranges at Manikaran, India.
AB - A Gram negative, yellow pigmented, rod shaped bacterium designated as RL(T) was
isolated from a hot water spring (90-98 degrees C) located at Manikaran in
Northern India. The isolate grows at 60-80 degrees C (optimum, 70 degrees C)
and at pH 7.0-9.0 (optimum pH 7.2). Phylogenetic analysis of 16S rRNA gene
sequences and levels of DNA-DNA relatedness together indicate that the new
isolate represents a novel species of the genus Thermus with closest affinity to
Thermus thermophilus HB8(T) (99.5 %) followed by Thermus arciformis (96.4 %). A
comparative analysis of partial sequences of housekeeping genes (HKG) further
revealed that strain RL(T) is a novel species belonging to the genus Thermus. The
melting G+C content of strain RL(T) was calculated as 68.7 mol%. The DNA-DNA
relatedness value of strain RL(T) with its nearest neighbours (>97 %) was found
to be less than 70 % indicating that strain RL(T) represents a novel species of
the genus Thermus. MK-8 was the predominant respiratory quinone. The presence of
characteristic phospholipid and glycolipid further confirmed that strain RL(T)
belongs to the genus Thermus. The predominant fatty acids of strain RL(T) were
iso-C17:0 (23.67 %) and iso-C15:0 (24.50 %). The results obtained after DNA-DNA
hybridization, biochemical and physiological tests clearly distinguished strain
RL(T) from its closely related species. Thus, strain RL(T) represents a novel
species of the genus Thermus for which the name Thermus parvatiensis is proposed
(=DSM 21745(T)= MTCC 8932(T)).
PMID- 26543261
TI - Genome Wide Search for Biomarkers to Diagnose Yersinia Infections.
AB - Bacterial identification on the basis of the highly conserved 16S rRNA (rrs) gene
is limited by its presence in multiple copies and a very high level of similarity
among them. The need is to look for other genes with unique characteristics to be
used as biomarkers. Fifty-one sequenced genomes belonging to 10 different
Yersinia species were used for searching genes common to all the genomes. Out of
304 common genes, 34 genes of sizes varying from 0.11 to 4.42 kb, were selected
and subjected to in silico digestion with 10 different Restriction endonucleases
(RE) (4-6 base cutters). Yersinia species have 6-7 copies of rrs per genome,
which are difficult to distinguish by multiple sequence alignments or their RE
digestion patterns. However, certain unique combinations of other common gene
sequences-carB, fadJ, gluM, gltX, ileS, malE, nusA, ribD, and rlmL and their RE
digestion patterns can be used as markers for identifying 21 strains belonging to
10 Yersinia species: Y. aldovae, Y. enterocolitica, Y. frederiksenii, Y.
intermedia, Y. kristensenii, Y. pestis, Y. pseudotuberculosis, Y. rohdei, Y.
ruckeri, and Y. similis. This approach can be applied for rapid diagnostic
applications.
PMID- 26543262
TI - Genome Wide Analysis for Rapid Identification of Vibrio Species.
AB - The highly conserved 16S rRNA (rrs) gene is generally used for bacterial
identification. In organisms possessing multiple copies of rrs, high intra
genomic heterogeneity does not allow easy distinction among different species. In
order to identify Vibrio species, a wide range of genes have been employed. There
is an urgent requirement of a consensus gene, which can be used as biomarker for
rapid identification. Eight sequenced genomes of Vibrio species were screened for
selecting genes which were common among all the genomes. Out of 108 common genes,
24 genes of sizes varying from 0.11 to 3.94 kb were subjected to in silico
digestion with 10 type II restriction endonucleases (RE). A few unique genes
dapF, fadA, hisD, ilvH, lpxC, recF, recR, rph and ruvB in combination with
certain REs provided unique digestion patterns, which can be used as biomarkers.
This protocol can be exploited for rapid diagnosis of Vibrio species.
PMID- 26543263
TI - Identification of Recombination and Positively Selected Genes in Brucella.
AB - Brucella is a facultative intracellular bacterium belongs to the class alpha
proteobacteria. It causes zoonotic disease brucellosis to wide range of animals.
Brucella species are highly conserved in nucleotide level. Here, we employed a
comparative genomics approach to examine the role of homologous recombination and
positive selection in the evolution of Brucella. For the analysis, we have
selected 19 complete genomes from 8 species of Brucella. Among the 1599 core
genome predicted, 24 genes were showing signals of recombination but no
significant breakpoint was found. The analysis revealed that recombination events
are less frequent and the impact of recombination occurred is negligible on the
evolution of Brucella. This leads to the view that Brucella is clonally evolved.
On other hand, 56 genes (3.5 % of core genome) were showing signals of positive
selection. Results suggest that natural selection plays an important role in the
evolution of Brucella. Some of the genes that are responsible for the
pathogenesis of Brucella were found positively selected, presumably due to their
role in avoidance of the host immune system.
PMID- 26543264
TI - Phylogenetic Profiling and Diversity of Bacterial Communities in the Death
Valley, an Extreme Habitat in the Atacama Desert.
AB - The Atacama Desert, one of the driest deserts in the world, represents a unique
extreme environmental ecosystem to explore the bacterial diversity as it is
considered to be at the dry limit for life. A 16S rRNA gene (spanning the hyper
variable V3 region) library was constructed from an alkaline sample of
unvegetated soil at the hyperarid margin in the Atacama Desert. A total of 244
clone sequences were used for MOTHUR analysis, which revealed 20 unique
phylotypes or operational taxonomic units (OTUs). V3 region amplicons of the 16S
rRNA were suitable for distinguishing the bacterial community to the genus and
specie level. We found that all OTUs were affiliated with taxa representative of
the Firmicutes phylum. The extremely high abundance of Firmicutes indicated that
most bacteria in the soil were spore-forming survivors. In this study we detected
a narrower diversity as compared to other ecological studies performed in other
areas of the Atacama Desert. The reported genera were Oceanobacillus
(representing the 69.5 % of the clones sequenced), Bacillus, Thalassobacillus and
Virgibacillus. The present work shows physical and chemical parameters have a
prominent impact on the microbial community structure. It constitutes an example
of the communities adapted to live in extreme conditions caused by dryness and
metal concentrations .
PMID- 26543265
TI - Molecular Detection of New Delhi Metallo-Beta-Lactamase-1 (NDM-1) Positive
Bacteria from Environmental and Drinking Water Samples by Loop Mediated
Isothermal Amplification of bla NDM-1.
AB - New Delhi metallo-beta-lactamase-1 gene (bla NDM-1 ) codes for New Delhi metallo
beta-lactamase-1 (NDM-1) enzyme that cleaves the amide bond of beta-lactam ring,
and provides resistance against major classes of beta-lactam antibiotics.
Dissemination of the plasmid borne bla NDM-1 through horizontal gene transfer is
a potential threat to the society. In this study, a rapid non-culture method for
detecting NDM-1 positive bacteria was developed by Loop Mediated Isothermal
Amplification (LAMP) of bla NDM-1 . Sensitivity of this method was found to be
one femtogram of plasmid DNA, which translates into 2.6-25.8 copies depending on
the size of the plasmid DNA. This method was applied to detect NDM-1 positive
bacteria in 81 water samples that were collected from environmental and drinking
water sources. NDM-1 positive bacteria were detected in three drinking water
samples by LAMP but not by PCR. These three samples were collected from the water
sources that were treated with chlorine for decontamination before public
distribution. NDM-1 positive bacteria were not detected in lake water samples or
in the samples that were collected from the water sources that were purified by
reverse osmosis before public distribution. Detection of NDM-1 positive bacteria
using LAMP was found to be safe, sensitive and rapid for screening large number
of samples from diverse sources. This method could be developed as on-field
detection kit by using fluorescent dyes to visualize the amplified bla NDM-1
gene.
PMID- 26543266
TI - A Greenhouse Assay on the Effect of Applied Urea Amount on the Rhizospheric Soil
Bacterial Communities.
AB - The rhizospheric bacteria play key role in plant nutrition and growth promotion.
The effects of increased nitrogen inputs on plant rhizospheric soils also have
impacted on whole soil microbial communities. In this study, we analyzed the
effects of applied nitrogen (urea) on rhizospheric bacterial composition and
diversity in a greenhouse assay using the high-throughput sequencing technique.
To explore the environmental factors driving the abundance, diversity and
composition of soil bacterial communities, the relationship between soil
variables and the bacterial communities were also analyzed using the mantel test
as well as the redundancy analysis. The results revealed significant bacterial
diversity changes at different amounts of applied urea, especially between the
control treatment and the N fertilized treatments. Mantel tests showed that the
bacterial communities were significantly correlated with the soil nitrate
nitrogen, available nitrogen, soil pH, ammonium nitrogen and total organic
carbon. The present study deepened the understanding about the rhizospheric soil
microbial communities under different amounts of applied urea in greenhouse
conditions, and our work revealed the environmental factors affecting the
abundance, diversity and composition of rhizospheric bacterial communities.
PMID- 26543267
TI - Bioconversion of Raw Glycerol Generated from the Synthesis of Biodiesel by
Different Oleaginous Yeasts: Lipid Content and Fatty Acid Profile of Biomass.
AB - In this work, 12 different yeast strains were evaluated to gauge their ability to
accumulate lipids using raw glycerol as the main carbon source. Lipomyces lipofer
NRRL Y-1155 stood out above the other strains, achieving 9.48 g/l biomass, 57.64
% lipid content and 5.46 g/l lipid production. The fatty acid profile was similar
to vegetable oils commonly used in the synthesis of biodiesel, with the
predominance of polyunsaturated acids, especially linoleic acid, reaching 68.3 %
for Rhodotorula glutinis NRRL YB-252. The occurrence of palmitic acid (39.3 % for
Lipomyces starkeyi NRRL Y-11557) was also notable. Thus, yeast biomass with high
lipid content can be a sustainable and renewable alternative as a raw material
for the biodiesel industry.
PMID- 26543268
TI - Probiotic Strains Influence on Infant Microbiota in the In Vitro Colonic
Fermentation Model GIS1.
AB - The main goal of our study was to evaluate the effect of the individual
administration of five lyophilized lactic acid bacteria strains (Lactobacillus
fermentum 428ST, Lactobacillus rhamnosus E4.2, Lactobacillus plantarum FCA3,
Lactobacillus sp. 34.1, Weissella paramesenteroides FT1a) against the in vitro
simulated microbiota of the human colon using the GIS1 system. The influence on
the metabolic activity was also assessed by quantitative determination of
proteins and polysaccharides at each segment of human colon. The obtained results
indicated that the lactic acid bacteria L. rhamnosus E4.2 and W.
paramesenteroides FTa1 had better efficiency in synthesising exopolysaccharides
and also a better probiotic potential and therefore could be recommended for use
in probiotics products or food industry.
PMID- 26543269
TI - Microbial Variants from Iron Ore Slimes: Mineral Specificity and pH Tolerance.
AB - This paper describes the isolation of the native bacterial strains from the iron
ore mines slime pond and its extremophilic characteristics. The two microbial
isolates designated as CNIOS-1 and CNIOS-2 were grown in selective silicate broth
at pH 7.0 and the organisms were tested for their selective adhesion on silicate
and alumina minerals. The silicate bacteria with their exopolymers are very
potent to grow over aluminosilicates. It was established that CNIOS-1 grew
preferentially in the presence of silicate mineral compared to CNIOS-2 which grew
in the presence of alumina. The organisms were tested for growth at various pH
and trials were carried to define their efficacy for eventual applications to
remove gangue minerals of silica and alumina from the raw material.
PMID- 26543270
TI - Strain Improvement of Streptomyces xanthochromogenes RIA 1098 for Enhanced
Pravastatin Production at High Compactin Concentrations.
AB - Pravastatin is one of the most popular cholesterol-lowering drugs. Its industrial
production represents a two-stage process including the microbial production of
compactin and its further biocatalytic conversion to pravastatin. To increase a
conversion rate, a higher compactin content in fermentation medium should be
used; however, high compactin concentrations inhibit microbial growth. Therefore,
the improvement of the compactin resistance of a producer still remains a
relevant problem. A multi-step random UV mutagenesis of a Streptomyces
xanthochromogenes strain RIA 1098 and the further selection of high-yield
compactin-resistant mutants have resulted in a highly productive compactin
resistant strain S 33-1. After the fermentation medium improvement, the maximum
bioconversion rate of this strain has reached 91 % at the daily compactin dose
equal to 1 g/L and still remained high (83 %) even at the doubled dose (2 g/L). A
1-year study of the mutant strain stability has proved a stable inheritance of
its characteristics that provides this strain to be very promising for the
pravastatin-producing industry.
PMID- 26543271
TI - Improvement in Oil Production by Increasing Malonyl-CoA and Glycerol-3-Phosphate
Pools in Scenedesmus quadricauda.
AB - In recent years, microalgae have attracted considerable interest as a biofuel
resource owing to their rapid growth, tolerance to harsh conditions, and ability
to accumulate a large amount of triacylglycerols (TAGs). However, the economic
effectiveness of algal biofuel is still low. In this study, we attempted to
increase oil production of the microalga Scenedesmus quadricauda by elevating
intracellular malonyl-CoA and glycerol-3-phosphate (G3P) pools. To increase
intracellular oil content, yeast-derived genes encoding acetyl-CoA carboxylase
(ACC1), glycerol kinase (GPD1), and glycerol-3-phosphate dehydrogenase (GUT1)
were overexpressed under the control of CaMV 35S and NOS promoters with SV40
large T antigen components. Fatty acid profiling, G3P content, and the number of
cells with high oil content were analyzed by gas chromatography-mass
spectrometry, G3P assay kit, and flow cytometry, respectively. Overexpression of
ACC1 increased the total fatty acid content by 1.6-fold. Overexpression of GPD1
and GUT1 increased intracellular G3P content by 1.6- and 1.9-fold, respectively.
Multi-gene expression of ACC1, GPD1, and GUT1 increased the number of cells with
high oil content by 1.45-fold compared with that observed with the wild-type.
This study is the first to report increased oil production by overexpression of
the key genes (ACC1, GPD1, and GUT1) for TAG biosynthesis in microalgae.
PMID- 26543272
TI - The Tussle Between Mycobacteria and Host: To Eat or Not To Eat.
AB - Autophagy is a catabolic process of cellular homeostasis evolutionarily conserved
in eukaryotes. To block infection of intracellular bacterial pathogens, metazoans
deploy autophagy for pathogen clearance through phago-lysosome formation and
specific bactericidal peptides. Although an array of research have publicized the
host regulatory factors, the function of bacterial effectors are yet to be
understood in detail. In this article, we focus on the autophagic response to one
of the most successful intracellular bacteria Mycobacterium tuberculosis.
PMID- 26543273
TI - A Method for Cell Culture and Maintenance of Ammonia-Oxidizing Archaea in Agar
Stab.
AB - Ammonia oxidizing archaea (AOA) are predominantly found and closely linked with
geochemical cycling of nitrogen in non-extreme habitats. However, these strains
have mainly been investigated using liquid cultures of enriched cells. Here, we
provide an agar stab as a simple and reliable means of cultivating and
maintaining AOA.
PMID- 26543274
TI - The Incidence of Non-tuberculous Mycobacterium Lung Disease in Patients with
Suspected Pulmonary Tuberculosis.
AB - Non-tuberculous mycobacterium (NTM) lung disease is increasing in prevalence. We
analyzed the frequency of NTM lung disease among patients who are suspected of
tuberculosis. NTM was isolated from about one-fourth of the mycobacterium culture
positive patients and about half of these had NTM lung disease. Therefore, NTM
isolates should be routinely identified at the species level for adequate
treatment.
PMID- 26543275
TI - The Fine-Gray Model Under Interval Censored Competing Risks Data.
AB - We consider semiparametric analysis of competing risks data subject to mixed case
interval censoring. The Fine-Gray model (Fine & Gray, 1999) is used to model the
cumulative incidence function and is coupled with sieve semiparametric maximum
likelihood estimation based on univariate or multivariate likelihood. The
univariate likelihood of cause-specific data enables separate estimation of
cumulative incidence function for each competing risk, in contrast with the
multivariate likelihood of full data which estimates cumulative incidence
functions for multiple competing risks jointly. Under both likelihoods and
certain regularity conditions, we show that the regression parameter estimator is
asymptotically normal and semiparametrically efficient, although the spline-based
sieve estimator of the baseline cumulative subdistribution hazard converges at a
rate slower than root-n. The proposed method is evaluated by simulation studies
regarding its finite sample performance and is illustrated by a competing risk
analysis of data from an dementia cohort study.
PMID- 26543277
TI - Radiological findings of pulmonary tuberculosis in indigenous patients in
Dourados, MS, Brazil.
AB - OBJECTIVE: To describe the radiological findings of pulmonary tuberculosis in
indigenous patients from the city of Dourados, MS, Brazil, according to age and
sex. MATERIALS AND METHODS: Chest radiographic images of 81 patients with
pulmonary tuberculosis, acquired in the period from 2007 to 2010, were
retrospectively analyzed by two radiologists in consensus for the presence or
absence of changes. The findings in abnormal radiographs were classified
according to the changes observed and they were correlated to age and sex. The
data were submitted to statistical analysis. RESULTS: The individuals' ages
ranged from 1 to 97 years (mean: 36 years). Heterogeneous consolidations,
nodules, pleural involvement and cavities were the most frequent imaging
findings. Most patients (55/81 or 67.9%) were male, and upper lung and right lung
were the most affected regions. Fibrosis, heterogeneous consolidations and
involvement of the left lung apex were significantly more frequent in males (p <
0.05). Presence of a single type of finding at radiography was most frequent in
children (p < 0.05). CONCLUSION: Based on the hypothesis that indigenous patients
represent a population without genetically determined resistance to tuberculosis,
the present study may enhance the knowledge about how the pulmonary form of this
disease manifests in susceptible individuals.
PMID- 26543276
TI - Indexical properties influence time-varying amplitude and fundamental frequency
contributions of vowels to sentence intelligibility.
AB - The present study investigated how non-linguistic, indexical information about
talker identity interacts with contributions to sentence intelligibility by the
time-varying amplitude (temporal envelope) and fundamental frequency (F0). Young
normal-hearing adults listened to sentences that preserved the original
consonants but replaced the vowels with a single vowel production. This
replacement vowel selectively preserved amplitude or F0 cues of the original
vowel, but replaced cues to phonetic identity. Original vowel duration was always
preserved. Three experiments investigated indexical contributions by replacing
vowels with productions from the same or different talker, or by acoustically
morphing the original vowel. These stimulus conditions investigated how vowel
suprasegmental and indexical properties interact and contribute to
intelligibility independently from phonetic information. Results demonstrated
that indexical properties influence the relative contribution of suprasegmental
properties to sentence intelligibility. F0 variations are particularly important
in the presence of conflicting indexical information. Temporal envelope
modulations significantly improve sentence intelligibility, but are enhanced when
either indexical or F0 cues are available. These findings suggest that F0 and
other indexical cues may facilitate perceptually grouping suprasegmental
properties of vowels with the remainder of the sentence. Temporal envelope
modulations of vowels may contribute to intelligibility once they are
successfully integrated with the preserved signal.
PMID- 26543279
TI - Sonographic diagnosis of carpal tunnel syndrome: a study in 200 hospital workers.
AB - OBJECTIVE: To describe the prevalence of carpal tunnel syndrome in a sample of
200 healthy hospital workers, establishing the respective epidemiological
associations. MATERIALS AND METHODS: Two hundred individuals were submitted to
wrist ultrasonography to measure the median nerve area. They were questioned and
examined for epidemiological data, body mass index, carpal tunnel syndrome signs
and symptoms, and submitted to the Boston carpal tunnel questionnaire (BCTQ) to
evaluate the carpal tunnel syndrome severity. A median nerve area >= 9 mm(2) was
considered to be diagnostic of carpal tunnel syndrome. RESULTS: Carpal tunnel
syndrome was diagnosed by ultrasonography in 34% of the sample. It was observed
the association of carpal tunnel syndrome with age (p < 0.0001), paresthesia (p <
0.0001), Tinel's test (p < 0.0001), Phalen's test (p < 0.0001), BCTQ score (p <
0.0001), and years of formal education (p < 0.0001). Years of formal education
was the only variable identified as an independent risk factor for carpal tunnel
syndrome (95% CI = 1.03 to 1.24). CONCLUSION: The prevalence of carpal tunnel
syndrome in a population of hospital workers was of 34%. The number of years of
formal education was the only independent risk factor for carpal tunnel syndrome.
PMID- 26543278
TI - Rotational assessment of distal femur and its relevance in total knee
arthroplasty: analysis by magnetic resonance imaging.
AB - OBJECTIVE: To define the distal femur rotation pattern in a Brazilian population,
correlating such pattern with the one suggested by the arthroplasty instruments,
and analyzing the variability of each anatomic parameter. MATERIALS AND METHODS:
A series of 101 magnetic resonance imaging studies were evaluated in the period
between April and June 2012. The epidemiological data collection was performed
with the aid of the institution's computed imaging system, and the sample
included 52 male and 49 female patients. The measurements were made in the axial
plane, with subsequent correlation and triangulation with the other plans. The
posterior condylar line was used as a reference for angle measurements.
Subsequently, the anatomical and surgical transepicondylar axes and the
anteroposterior trochlear line were specified. The angles between the reference
line and the studied lines were calculated with the aid of the institution's
software. RESULTS: The mean angle between the anatomical transepicondylar axis
and the posterior condylar line was found to be 6.89 degrees , ranging from 0.25
degrees to 12 degrees . For the surgical transepicondylar axis, the mean value
was 2.89 degrees , ranging from -2.23 degrees (internal rotation) to 7.86
degrees , and for the axis perpendicular to the anteroposterior trochlear line,
the mean value was 4.77 degrees , ranging from -2.09 degrees to 12.2 degrees .
CONCLUSION: The anatomical transepicondylar angle showed mean values
corresponding to the measurement observed in the Caucasian population. The
utilized instruments are appropriate, but no anatomical parameter proved to be
steady enough to be used in isolation.
PMID- 26543280
TI - Readjustment of abdominal computed tomography protocols in a university hospital:
impact on radiation dose.
AB - OBJECTIVE: To assess the reduction of estimated radiation dose in abdominal
computed tomography following the implementation of new scan protocols on the
basis of clinical suspicion and of adjusted images acquisition parameters.
MATERIALS AND METHODS: Retrospective and prospective review of reports on
radiation dose from abdominal CT scans performed three months before (group A -
551 studies) and three months after (group B - 788 studies) implementation of new
scan protocols proposed as a function of clinical indications. Also, the images
acquisition parameters were adjusted to reduce the radiation dose at each scan
phase. The groups were compared for mean number of acquisition phases, mean
CTDIvol per phase, mean DLP per phase, and mean DLP per scan. RESULTS: A
significant reduction was observed for group B as regards all the analyzed
aspects, as follows: 33.9%, 25.0%, 27.0% and 52.5%, respectively for number of
acquisition phases, CTDIvol per phase, DLP per phase and DLP per scan (p <
0.001). CONCLUSION: The rational use of abdominal computed tomography scan phases
based on the clinical suspicion in conjunction with the adjusted images
acquisition parameters allows for a 50% reduction in the radiation dose from
abdominal computed tomography scans.
PMID- 26543281
TI - Solutions in radiology services management: a literature review.
AB - OBJECTIVE: The present study was aimed at reviewing the literature to identify
solutions for problems observed in radiology services. MATERIALS AND METHODS:
Basic, qualitative, exploratory literature review at Scopus and SciELO databases,
utilizing the Mendeley and Illustrator CC Adobe softwares. RESULTS: In the
databases, 565 papers - 120 out of them, pdf free - were identified. Problems
observed in the radiology sector are related to procedures scheduling,
humanization, lack of training, poor knowledge and use of management techniques,
and interaction with users. The design management provides the services with
interesting solutions such as Benchmarking, CRM, Lean Approach,
ServiceBlueprinting, continued education, among others. CONCLUSION: Literature
review is an important tool to identify problems and respective solutions.
However, considering the small number of studies approaching management of
radiology services, this is a great field of research for the development of
deeper studies.
PMID- 26543282
TI - Optimization of OSEM parameters in myocardial perfusion imaging reconstruction as
a function of body mass index: a clinical approach.
AB - OBJECTIVE: The present study is aimed at contributing to identify the most
appropriate OSEM parameters to generate myocardial perfusion imaging
reconstructions with the best diagnostic quality, correlating them with patients'
body mass index. MATERIALS AND METHODS: The present study included 28 adult
patients submitted to myocardial perfusion imaging in a public hospital. The OSEM
method was utilized in the images reconstruction with six different combinations
of iterations and subsets numbers. The images were analyzed by nuclear cardiology
specialists taking their diagnostic value into consideration and indicating the
most appropriate images in terms of diagnostic quality. RESULTS: An overall
scoring analysis demonstrated that the combination of four iterations and four
subsets has generated the most appropriate images in terms of diagnostic quality
for all the classes of body mass index; however, the role played by the
combination of six iterations and four subsets is highlighted in relation to the
higher body mass index classes. CONCLUSION: The use of optimized parameters seems
to play a relevant role in the generation of images with better diagnostic
quality, ensuring the diagnosis and consequential appropriate and effective
treatment for the patient.
PMID- 26543283
TI - Inflammatory pseudotumor of the hip: a complication of arthroplasty to be
recognized by the radiologist.
AB - Soft tissue complications following hip arthroplasty may occur either in cases of
total hip arthroplasty or in hip resurfacing, a technique that has become popular
in cases involving young patients. Both orthopedic and radiological literatures
are now calling attention to these symptomatic periprosthetic soft tissue masses
called inflammatory pseudotumors or aseptic lymphocytic vasculites-associated
lesions. Pseudotumors are associated with pain, instability, neuropathy, and
premature loosening of prosthetic components, frequently requiring early and
difficult reoperation. Magnetic resonance imaging plays a relevant role in the
evaluation of soft tissue changes in the painful hip after arthroplasty, ranging
from early periprosthetic fluid collections to necrosis and more extensive tissue
damage.
PMID- 26543284
TI - Ultrasound scan as a potential source of nosocomial and crossinfection: a
literature review.
AB - The authors review the main concepts regarding the importance of
cleaning/disinfection of ultrasonography probes, aiming a better comprehension by
practitioners and thus enabling strategies to establish a safe practice without
compromising the quality of the examination and the operator productivity. In the
context of biosafety, it is imperative to assume that contact with blood or body
fluids represents a potential source of infection. Thus, in order to implement
cleaning/disinfection practice, it is necessary to understand the principles of
infection control, to consider the cost/benefit ratio of the measures to be
implemented, and most importantly, to comprehend that such measures will not only
benefit the health professional and the patient, but the society as a whole.
PMID- 26543285
TI - Study of the skin anatomy with high-frequency (22 MHz) ultrasonography and
histological correlation.
AB - The present essay is aimed at getting the radiologist familiar with the basic
histological skin structure, allowing for a better correlation with sonographic
findings. A high-frequency (22 MHz) ultrasonography apparatus was utilized in the
present study. The histological analysis was performed after the skin specimens
fixation with formalin, inclusion in paraffin blocks and subsequent staining with
hematoxylin-eosin. The authors present a literature review showing the
relationship between sonographic and histological findings in normal cutaneous
tissue, and discuss the technique for a better performance of the sonographic
scan. High-frequency ultrasonography is an excellent tool for the diagnosis of
different skin conditions. However, as this method is operator-dependent, it is
crucial to understand the normal skin structure as well as the correlation
between histological and sonographic findings.
PMID- 26543286
TI - Spontaneous rupture of ovarian cystadenocarcinoma: pre- and post-rupture computed
tomography evaluation.
AB - Epithelial ovarian tumors are the most common malignant ovarian neoplasms and, in
most cases, eventual rupture of such tumors is associated with a surgical
procedure. The authors report the case of a 54-year-old woman who presented with
spontaneous rupture of ovarian cystadenocarcinoma documented by computed
tomography, both before and after the event. In such cases, a post-rupture
staging tends to be less favorable, compromising the prognosis.
PMID- 26543287
TI - Pulmonary artery sarcoma mimicking chronic pulmonary thromboembolism.
PMID- 26543288
TI - Central nervous system involvement in sarcoidosis.
PMID- 26543289
TI - Femoral artery injury during aneurysm coiling.
PMID- 26543291
TI - Chordoid glioma of the third ventricle.
PMID- 26543290
TI - Primary intercavernous lymphoma of the central nervous system.
PMID- 26543292
TI - Enteroenteric intussusception in an adult caused by an ileal angiomyolipoma.
PMID- 26543293
TI - Diagnosis of pulmonary alveolar microlithiasis.
PMID- 26543294
TI - Pulmonary tuberculosis in Brazilian indians: a picture of this context depicted
through radiography.
PMID- 26543295
TI - Magnetic resonance imaging in the assessment of hip arthroplasty complications.
PMID- 26543296
TI - A comparison of 2D and 3D digital image correlation for a membrane under
inflation.
AB - Three-dimensional (3D) digital image correlation (DIC) is becoming widely used to
characterize the behavior of structures undergoing 3D deformations. However, the
use of 3D-DIC can be challenging under certain conditions, such as high
magnification, and therefore small depth of field, or a highly controlled
environment with limited access for two-angled cameras. The purpose of this study
is to compare 2D-DIC and 3D-DIC for the same inflation experiment and evaluate
whether 2D-DIC can be used when conditions discourage the use of a stereo-vision
system. A latex membrane was inflated vertically to 5.41 kPa (reference
pressure), then to 7.87 kPa (deformed pressure). A two-camera stereo-vision
system acquired top-down images of the membrane, while a single camera system
simultaneously recorded images of the membrane in profile. 2D-DIC and 3D-DIC were
used to calculate horizontal (in the membrane plane) and vertical (out of the
membrane plane) displacements, and meridional strain. Under static conditions,
the baseline uncertainty in horizontal displacement and strain were smaller for
3D-DIC than 2D-DIC. However, the opposite was observed for the vertical
displacement, for which 2D-DIC had a smaller baseline uncertainty. The baseline
absolute error in vertical displacement and strain were similar for both DIC
methods, but it was larger for 2D-DIC than 3D-DIC for the horizontal
displacement. Under inflation, the variability in the measurements were larger
than under static conditions for both DIC methods. 2D-DIC showed a smaller
variability in displacements than 3D-DIC, especially for the vertical
displacement, but a similar strain uncertainty. The absolute difference in the
average displacements and strain between 3D-DIC and 2D-DIC were in the range of
the 3D-DIC variability. Those findings suggest that 2D-DIC might be used as an
alternative to 3D-DIC to study the inflation response of materials under certain
conditions.
PMID- 26543297
TI - The effects of vibration-reducing gloves on finger vibration.
AB - : Vibration-reducing (VR) gloves have been used to reduce the hand-transmitted
vibration exposures from machines and powered hand tools but their effectiveness
remains unclear, especially for finger protection. The objectives of this study
are to determine whether VR gloves can attenuate the vibration transmitted to the
fingers and to enhance the understanding of the mechanisms of how these gloves
work. Seven adult male subjects participated in the experiment. The fixed factors
evaluated include hand force (four levels), glove condition (gel-filled, air
bladder, no gloves), and location of the finger vibration measurement. A 3-D
laser vibrometer was used to measure the vibrations on the fingers with and
without wearing a glove on a 3-D hand-arm vibration test system. This study finds
that the effect of VR gloves on the finger vibration depends on not only the
gloves but also their influence on the distribution of the finger contact
stiffness and the grip effort. As a result, the gloves increase the vibration in
the fingertip area but marginally reduce the vibration in the proximal area at
some frequencies below 100 Hz. On average, the gloves reduce the vibration of the
entire fingers by less than 3% at frequencies below 80 Hz but increase at
frequencies from 80 to 400 Hz. At higher frequencies, the gel-filled glove is
more effective at reducing the finger vibration than the air bladder-filled
glove. The implications of these findings are discussed. RELEVANCE TO INDUSTRY:
Prolonged, intensive exposure to hand-transmitted vibration can cause hand-arm
vibration syndrome. Vibration-reducing gloves have been used as an alternative
approach to reduce the vibration exposure. However, their effectiveness for
reducing finger-transmitted vibrations remains unclear. This study enhanced the
understanding of the glove effects on finger vibration and provided useful
information on the effectiveness of typical VR gloves at reducing the vibration
transmitted to the fingers. The new results and knowledge can be used to help
select appropriate gloves for the operations of powered hand tools, to help
perform risk assessment of the vibration exposure, and to help design better VR
gloves.
PMID- 26543298
TI - Higher-Order Mentalising and Executive Functioning.
AB - Higher-order mentalising is the ability to represent the beliefs and desires of
other people at multiple, iterated levels - a capacity that sets humans apart
from other species. However, there has not yet been a systematic attempt to
determine what cognitive processes underlie this ability. Here we present three
correlational studies assessing the extent to which performance on higher-order
mentalising tasks relates to emotion recognition, self-reported empathy and self
inhibition. In Study 1a and 1b, examining emotion recognition and empathy, a
relationship was identified between individual differences in the ability to
mentalise and an emotion recognition task (the Reading the Mind in the Eyes
task), but no correlation was found with the Empathy Quotient, a self-report
scale of empathy. Study 2 investigated whether a relationship exists between
individual mentalising abilities and four different forms of self-inhibition:
motor inhibition, executive inhibition, automatic imitation and temporal
discounting. Results demonstrate that only temporal discounting performance
relates to mentalising ability; suggesting that cognitive skills relevant to
representation of the minds of others' are not influenced by the ability to
perform more basic inhibition. Higher-order mentalising appears to rely on the
cognitive architecture that serves both low-level social cognition (emotion
recognition), and complex forms of inhibition.
PMID- 26543299
TI - CD73 Predicts Favorable Prognosis in Patients with Nonmuscle-Invasive Urothelial
Bladder Cancer.
AB - AIMS: CD73 is a membrane associated 5'-ectonucleotidase that has been proposed as
prognostic biomarker in various solid tumors. The aim of this study is to
evaluate CD73 expression in a cohort of patients with primary bladder cancer in
regard to its association with clinicopathological features and disease course.
METHODS: Tissue samples from 174 patients with a primary urothelial carcinoma
were immunohistochemically assessed on a tissue microarray. Associations between
CD73 expression and retrospectively obtained clinicopathological data were
evaluated by contingency analysis. Survival analysis was performed to investigate
the predictive value of CD73 within the subgroup of pTa and pT1 tumors in regard
to progression-free survival (PFS). RESULTS: High CD73 expression was found in 46
(26.4%) patients and was significantly associated with lower stage, lower grade,
less adjacent carcinoma in situ and with lower Ki-67 proliferation index. High
CD73 immunoreactivity in the subgroup of pTa and pT1 tumors (n = 158) was
significantly associated with longer PFS (HR: 0.228; p = 0.047) in univariable
Cox regression analysis. CONCLUSION: High CD73 immunoreactivity was associated
with favorable clinicopathological features. Furthermore, it predicts better
outcome in the subgroup of pTa and pT1 tumors and may thus serve as additional
tool for the selection of patients with favorable prognosis.
PMID- 26543301
TI - Energy Saving in Office Buildings: Are Feedback and Commitment-Making Useful
Instruments to Trigger Change?
AB - This study focuses on energy saving in an office environment. We developed and
tested an intervention that contained both the administration of feedback as well
as commitment-making: two techniques that are often described in the literature
as successful, especially when combined. Using a sample of 146 employees, we
tested the intervention's effectiveness for our sample in terms of behavior
change. Our results show some effects, but these were irrespective of
experimental category. We use this failed experiment to reflect upon critical
aspects of the design and implementation of intervention, and provide ideas on
how such interventions can be improved.
PMID- 26543300
TI - Gamma-Glutamyltransferase: A Predictive Biomarker of Cellular Antioxidant
Inadequacy and Disease Risk.
AB - Gamma-glutamyltransferase (GGT) is a well-established serum marker for alcohol
related liver disease. However, GGT's predictive utility applies well beyond
liver disease: elevated GGT is linked to increased risk to a multitude of
diseases and conditions, including cardiovascular disease, diabetes, metabolic
syndrome (MetS), and all-cause mortality. The literature from multiple population
groups worldwide consistently shows strong predictive power for GGT, even across
different gender and ethnic categories. Here, we examine the relationship of GGT
to other serum markers such as serum ferritin (SF) levels, and we suggest a link
to exposure to environmental and endogenous toxins, resulting in oxidative and
nitrosative stress. We observe a general upward trend in population levels of GGT
over time, particularly in the US and Korea. Since the late 1970s, both GGT and
incident MetS and its related disorders have risen in virtual lockstep. GGT is an
early predictive marker for atherosclerosis, heart failure, arterial stiffness
and plaque, gestational diabetes, and various liver diseases, including viral
hepatitis, other infectious diseases, and several life-threatening cancers. We
review literature both from the medical sciences and from life insurance
industries demonstrating that serum GGT is a superior marker for future disease
risk, when compared against multiple other known mortality risk factors.
PMID- 26543302
TI - Oil Extraction and Indigenous Livelihoods in the Northern Ecuadorian Amazon.
AB - Globally, the extraction of minerals and fossil fuels is increasingly penetrating
into isolated regions inhabited by indigenous peoples, potentially undermining
their livelihoods and well-being. To provide new insight to this issue, we draw
on a unique longitudinal dataset collected in the Ecuadorian Amazon over an 11
year period from 484 indigenous households with varying degrees of exposure to
oil extraction. Fixed and random effects regression models of the consequences of
oil activities for livelihood outcomes reveal mixed and multidimensional effects.
These results challenge common assumptions about these processes and are only
partly consistent with hypotheses drawn from the Dutch disease literature.
PMID- 26543303
TI - In Vitro Evaluation of Achillea Millefolium on the Production and Stimulation of
Human Skin Fibroblast Cells (HFS-PI-16).
AB - AIM: In the present study, we aimed the effects of the hydroalcoholic extract of
Achillea millefolium (HEAML) on human skin fibroblast cells (HSF-PI-16)
proliferation, stimulation and growth properties. METHODS: Initially, using HSF
PI-16 monolayer culture, we created one line scratch method as an in vitro wound
closure and after 3 days monitored via an inverted microscopy. RESULTS: HEAML
selectively inhibited proliferation of HSF-PI-16 cells at higher concentration
(>20.0 mg/mL), and stimulated at lower concentrations (<20.0 mg/mL). Following,
HSF-PI-16 media treatments up to 72 h, HEAML demonstrated significantly elevated
proliferation rates (p<0.05) and stimulation in a scratch wound assay (p<0.04).
Furthermore, the morphological analysis of HSF-PI-16 cells at culture media were
detected the figures of round to spindle, non-adherent, immature and mature
cells. CONCLUSION: These results clearly demonstrate the absence of any toxic
effect of HEAML on human skin fibroblasts. To the best of our knowledge, this is
the first report elucidating potential mechanisms of action of HEAML on
fibroblasts proliferation, and stimulation, offering a greater insight and a
better understanding of its effect in future studies.
PMID- 26543304
TI - Quality and Safety of General Anesthesia with Propofol and Sevoflurane in
Children Aged 1-14 Based on Laboratory Parameters.
AB - INTRODUCTION: Knowledge of anatomic, physiological, biochemical and physical
characteristics of children of all age groups, the existing illness and possible
pathological response of the organism to the existing situation, require a
pediatric anesthesiologist to participate in the preparation of a child for
surgical treatment, to choose the best anesthesia technique and medications, and
manipulative techniques to enable the scheduled surgical treatment with minimum
anesthesia risks. The aim of this clinical study was to prove reliability and
quality of propofol or sevoflurane general anesthesia in children in the age
group of 1-14 years from the ASA I group and in the elective surgical treatments
in duration of 60 minutes, based on preoperative and postoperative levels of
laboratory findings (transaminases, blood sugar, urea and creatinine). MATERIALS
AND METHODS: the study included 160 patients randomized in two groups based on
different approaches: total intravenous anesthesia was used for the propofol
group (n=80) (TIVA) and the inhalation technique was used for the sevoflurane
group (n=80). RESULTS: statistical evaluation of the obtained results indicates
stability of laboratory findings in the immediate postoperative course (after 24
hours) in respect to the preoperative period. Based on the Mann Whitney test (P),
preoperative and postoperative blood sugar levels in the sevoflurane vs. propofol
group were P=0.152 vs. 0.021; creatinine levels P=0.113 vs. 0.325; urea levels P=
0.016 vs. 0.900; AST levels P=0,031 vs. 0,268 and ALT levels P=0.021 vs. 0.058.
Level of significance was P<0.5. CONCLUSION: Analysis of the examined laboratory
parameters show that propofol and sevoflurane provide full security and quality
of general anesthesia in children age group 1-14 years, from the ASA I group. All
analyzed laboratory levels in the postoperative course remained in their
referential values in both groups of participants.
PMID- 26543305
TI - Analysis of Cystic Fibrosis in Federation of Bosnia and Herzegovina.
AB - AIM: The aim of this study is to present the first total number of tested
children in the Federation of Bosnia and Herzegovina and the number of children
with positive sweat test. During the study we determined the number of ill
children, the median age of children with cystic fibrosis, date of initial
diagnosis, an average amount of chloride in the sweat. MATERIAL AND METHODS: The
study was a retrospective, conducted at the Department of Pulmonology Pediatric
Clinic of University Clinical Center of Sarajevo. RESULTS: In the period from
March 2003 to December 2014, we have tested 625 children. 351 child were from
Sarajevo Canton and 272 children from other cantons. Female children were more
affected then male children, in the ratio of 1: 1,105. An average age of female
children was 4.19+/-4.26 years, and the male 2.15+/-3.11 years. The median
concentration of chloride in the sweat measured by sweat test was for male
children 103.05+/-21.29 mmol/L, and for the female children 96.05+/-28.85 mmol/L.
CONCLUSION: Most of children in Federation of Bosnia and Herzegovina have ?F508
gene mutation. In the post-war period we started to use a sweat test. Male
children tend to live longer than female children with CF.
PMID- 26543306
TI - Hypoglycemia in Non-diabetics During Development of Acute Coronary Ischemia.
AB - INTRODUCTION: The occurrence of hyperglycemia in non-diabetics during development
of acute coronary ischemia (ACI) indicates latent glucose metabolism disorder, or
is a case of newly discovered diabetes mellitus (DM) as a result of stress. Acute
coronary syndrome refers to a group of clinical syndromes caused by a sudden
circulatory disorder in coronary arteries, resulting in the corresponding
myocardial ischemia. It covers range from unstable angina and myocardial
infarction (MI) without Q wave in the electrocardiogram finding (NSTEMI) up to
myocardial infarction with Q wave in the electrocardiogram finding (STEMI). GOAL:
To determine the incidence of hyperglycemia in non-diabetics immediately after
the occurrence of acute coronary ischemia and assess its risk factors. RESULTS:
The sample included 80 respondents. Men dominated with a total prevalence of
77.5%. The respondent was at mean age of 62.8+/-13.8 years. During the first
measurement, immediately after hospital admission, 50% of respondents had
increased blood glucose value and during the second measurement 62%. Hypertension
as a risk factor has 54% and 56% smoking. The incidence of stress diabetes after
ACI does not depend on the diagnosis of hypertension, chi(2)=0.050; p=0.823. The
differences of mean values (median) BMI between examined persons with/without
stress DM are not statistically significant p=0.402. Independent t-test showed
that there was no statistically significant difference in the average values of
HDL and LDL in patients with stress diabetes than in patients without diabetes
stress after ACI p>0.05. For each year of age odds ratio for "stress diabetes"
increases by 7% and 95% CI is 2% -12%. CONCLUSION: The incidence of stress
diabetes ACI is not dependent on the working diagnosis (MI or angina pectoris).
As risk factors we set hypertension and current smoking. There were no
statistically significant associations between active smoking and hypertension as
a risk factor in relation to occurrence of stress diabetes.
PMID- 26543307
TI - The Antioxidative Effect of Chamomile, Anthocyanoside and their Combination on
Bleomycin-induced Pulmonary Fibrosis in Rat.
AB - INTRODUCTION: Bleomycin is a small peptide with 1500Daltun of molecular weight
which has two junction areas in two molecule's opposite sides, one of them to
relate to the DNA and the other to relate to the iron. Iron is a crucially
important factor in free radical production and cytotoxic activity of bleomycin.
MATERIAL AND METHODS: The study attempts to study, and compare, the effect of
using Chamomile, Anthocyanoside and their combination, as anti-inflammatory agent
to ameliorates, to prevent or control the development of fibrosis due to
Bleomycin (BLM). to prepare pulmonary fibrosis model, male Wistar rats weighting
180-220g were assigned to specific groups Rats of each group received
intratracheally 1U/100 g of BLM. 20 rats were divided to five comparable groups,
as(1) BLM group, (2) saline group, (3) Chamomile group, (4) Anthocyanoside group,
(5) combination of Anthocyanoside and Chamomile group. Antioxidative combinations
were given as pretreatment and treatment after the rats received Bleomycine.
RESULTS: After 3 week, Malondialdehyde (MDA)was measured for each rat's lung.
After three weeks, MDA was reduced, compared to BLM group, to 44.27%, 37.80% and
46.07% in Anthocyanoside, Chamomiland combination group, respectively. It was
concluded from the present study that administration of combination of Chamomile
and Anthocyanoside lead to a significant reduction in Bleomycin-induced MDA.
CONCLUSION: The mechanism of the effect of these combinations is possibly the
result of phenolic combinations as antioxidant and oxy free radical scavenger and
inhibitor of lipid peroxidation.
PMID- 26543308
TI - Correlation of Inflammation and Lipoprotein (a) with Hypercoagulability in
Hemodialysis Patients.
AB - BACKGROUND: Inflammatory and procoagulant markers are potential mediators for the
cardiovascular risk in hemodialysis patients. Lipoprotein (a) [Lp(a)], is another
important risk factor with inflammatory and procoagulant effects. MATERIALS AND
METHODS: In 78 hemodialysis patients and 40 controls, C-reactive protein (CRP),
Interleukin-6 (IL-6), lipoprotein (a) [Lp (a)], fibrinogen, D-dimer, von
Wilebrand factor (vWF) and serum albumin were determined. RESULTS: CRP, IL-6,
Lp(a), fibrinogen, D-dimer and vWF, were significantly higher, and serum albumin
was significantly lower in patients compared to controls (24.40 mg/L vs. 6.39
mg/L, p<0.001; 1.92 pg/ml vs. 0.35 pg/ml, 28.05 mg/dL vs.16.25 mg/dL, p<0.001;
3.44 g/L vs. 2.55 g/L, p<0.01; 1.81 ugFEU /ml vs. 0.50 ugFEU /ml, p<0.01; 152.9 %
vs. 85.6 %, p<0.001; 32.1 g/L vs. 40.50 g/L, p<0.001). The patients were divided
into two groups: 40 patients with CRP levels over than 10 mg/L and 38 with CRP
levels in normal range. These parameters showed significant differences between
patients with elevated CRP and patients with normal CRP levels. CRP and IL-6
correlated positively with Lp(a), (r = 0.62, p < 0.001; r=0.54, p<0.001),
fibrinogen, (r = 0.63, p < 0.001; r = 0.49, p<0.01) D dimer (r = 0.72, p<0.001; r
= 0.55, p<0.01), vWF (r = 0.76, p<0.01; r = 0.63, p<0.001) and negatively with
serum albumin (r = -0.80, p<0.01; r = -0.60, p<0.001), in patients with elevated
CRP, but not in patients with normal CRP levels and controls. CONCLUSION:
According to the results hemodialysis patients with increased inflammatory
markers, have the elevated Lp(a) and procoagulant markers and the greater risk
for atherosclerotic cardiovascular disease.
PMID- 26543309
TI - Diagnostic Significance of Reduced IgA in Children.
AB - INTRODUCTION: The finding of reduced value of immunoglobulin A (IgA) in children
is frequent in daily medical practice. It is important to correctly interpret the
findings as adequate further diagnostic evaluation of the patient in order to
make the determination on the significance of such findings. In children younger
than 4 years always consider the transient impairment of immunoglobulins,
maturation of child and his immune system can lead to an improvement in the
clinical picture. In older children decreased IgA may lead to serious illnesses
that need to be recognize and acknowledge through the appropriate diagnostic
methods. At the University Clinical Center Tuzla, children with suspected
deficient immune response due to reduced values of IgA, goes through further
diagnostic evaluation at the Polyclinic for Laboratory Medicine, Department of
Immunology and Department of Microbiology, as well as the Clinic of Radiology.
MATERIAL AND METHODS: Our study followed 91 patients, for the year 2013, through
their medical charts and made evaluation of diagnostic and screening tests.
CONCLUSION: The significance of this paper is to draw attention to the importance
of diagnostic approach to IgA deficient pediatric patient and relevance of
knowledge of individual diagnostic methods as well as to the proper
interpretation of the results thereof.
PMID- 26543310
TI - Is Admission Serum Sodium Concentration a Clinical Predictor for the Outcome of
Therapy in Critically Ill Poisoned Patients?
AB - BACKGROUND: Disorders of serum sodium concentration are some of the most
electrolyte abnormalities in the intensive care unit (ICU) patients. These
disorders adversely affect the function of vital organs and are associated with
increased hospital mortality. PURPOSE: In the present study we aimed to evaluate
the effects of serum sodium concentration abnormalities at the time of hospital
admission on the clinical outcome of therapy in a cohort of critically ill
poisoned patients. METHODS: In this cross-sectional study, 184 critically ill
poisoned patients aged >18 years and in the first 8 hours of their poisoning,
hospitalized in the ICU of a tertiary care university hospital (Isfahan, Iran)
between 2010-2012, were evaluated at the admission time and 24 hours later for
serum sodium concentration abnormalities and its relationship with age, gender,
consciousness status, ingested drugs and clinical outcome of therapy. The
clinical outcome was considered as recovery and mortality. Logistic Regression
analysis was performed for predictive variables including serum sodium
concentration abnormalities in patients' clinical outcome. FINDINGS: On
admission, 152 patients (82.6%) were eunatremic, 21 patients (11.4%) were
hyponatremic and 11 patients (6%) were hypernatremic. In the second day
eunatremia, hyponatremia and hypernatremia was observed in 84.4%, 13% and 2.2%
respectively. Age (OR=1.92; CI=1.18-3.12) and severity of toxicity (OR=1.32;
CI=1.12-2.41) were predicting factors of mortality in ICU poisoning patients.
CONCLUSIONS: Serum sodium concentration abnormalities are prevalent in critically
ill poisoned patient but do not seem to have a predictive value for the clinical
outcome of therapy.
PMID- 26543311
TI - Blood Level of Polymorphonuclear Neutrophil Leukocytes and Bronchial
Hyperreactivity in Chronic Obstructive Pulmonary Disease.
AB - INTRODUCTION: Polymorphonuclear neutrophil leukocytes (PMNL) have an important
defensive role against various microorganisms and other agents, but by liberating
various substances, first of all the superoxide anion (O 2-), they can damage the
bronchial mucosa and influence the development of bronchial inflammation which is
the fundamental of bronchial hyperreactivity (BHR). OBJECTIVE: to show the role
of the PMNL for development and level of BHR in patients with chronic obstructive
pulmonary disease (COPD). MATERIAL AND METHODS: We observed 160 patients with
COPD treated in Clinic for Pulmonary Diseases and TB "Podhrastovi" Sarajevo
during three years :from 2012 to 2014. They were divided into groups and
subgroups according to the first registration of BHR in the course of illness and
to the number of exacerbations of the disease in one year. The number of blood
PMNL was measured in a stable state of disease at the begging and at the end of
investigation. RESULTS: The number of blood PMNL was significantly greater in
patients with 3 or more exacerbations per one year (p <0.01). Patients with BHR
had significantly greater number blood PMNL than patients without BHR (p< 0.05).
Patients with 3 exacerbations per year had a statistically significant increase
of number of PMNL between first and last examination (p<0.01). CONCLUSION: There
is statistically significant correlation between the number of blood PMNL and the
level of BHR in COPD, but future examination need to be done to determine real
role and mode of action of PMNL for these processes.
PMID- 26543312
TI - Cariogenic Potential of Inhaled Antiasthmatic Drugs.
AB - INTRODUCTION: The organism of children with asthma is exposed to the effects of
the disease but also the drugs for its treatment. Antiasthmatic drugs have
different modes that promote the caries formation which varies according to their
basic pharmacological composition. Namely, these drugs have a relatively low pH
(5.5), can contain sweeteners such as lactose monohydrate in order to improve the
drug taste or both. Frequent consumption of these inhalers in combination with
reduced secretion of saliva increases the risk of caries. MATERIAL AND METHODS:
The study sample consisted of 200 patients, age from 7-14 years, divided into two
groups: control group (n1 = 100) consisted of healthy children and the
experimental group consisted of children suffering from asthma (n2 = 100). In
both groups of respondents are determined the DMFT index, plaque index value and
hygienic-dietary habits using the questionnaire. The subjects in the control
group had significantly higher DMFT index than subjects in the experimental group
(p = 0.004). It is determined that there are no significant differences in the
values of plaque index (p>0.05). CONCLUSION: The effect of different diseases or
medications from their treatment, diet and fermentable carbohydrates in the
etiology of dental caries cannot be observed outside the living conditions of
subjects, their social epidemiologic status, age, habits, oral hygiene, fluoride
use, etc.
PMID- 26543313
TI - Metabolic Syndrome and Serum Liver Enzymes Level at Patients with Type 2 Diabetes
Mellitus.
AB - OBJECTIVES: The aim of this study was to evaluate liver function in patients with
type 2 diabetes mellitus (T2DM) with and without metabolic syndrome (MS) by
determining serum levels of gamma glutamyltransferase (GGT), alanine
aminotransferase (ALT) and aspartate aminotransferase (AST). We also investigated
correlation between levels of liver enzymes and some components of MS in both
groups of patients. METHODS: This cross-sectional study included 96 patients (age
47-83 years) with T2DM. All patients were divided according to the criteria of
the National Cholesterol Education Program (NCEP) in two groups: 50 patients with
T2 DM and MS (T2DM-MS) and 46 patients with T2DM without MS (T2DM-Non MS). The
analysis included blood pressure monitoring and laboratory tests: fasting blood
glucose (FBG), total lipoprotein cholesterol (TC), high-density lipoprotein
cholesterol (HDL-C), triglyceride (TG), fibrinogen and liver enzymes: GGT, ALT
and AST. T2DM-MS group included patients which had FBG >= 6,1 mmol/L, TG >= 1,7
mmol/L and blood pressure >= 130/85 mm Hg. RESULTS: T2DM-MS patients had
significant higher values of systolic blood pressure, diastolic blood pressure
and medium arterial pressure compared to T2DM-Non MS patients. Serum levels of
TC, TG, LDL-C, VLDL-C and FBG were significantly higher in the T2DM-MS group
compared to the T2DM-Non MS group. Serum fibrinogen level and GGT level were
significantly higher in patients with T2DM-MS compared to the serum fibrinogen
level and GGT level in T2DM-Non MS patients. Mean serum AST and ALT level were
higher, but not significantly, in patients with T2DM and MS compared to the
patients with T2DM without MS. Significant negative correlations were observed
between TC and AST (r= -0,28, p<0,05), as well as between TC and ALT level (r=
0,29, p<0,05) in T2DM-MS group of patients. CONCLUSION: These results suggest
that patients with T2DM and MS have markedly elevated liver enzymes. T2DM and MS
probably play a role in increasing the risk of liver injury.
PMID- 26543314
TI - Treatment of Infertility in Men with Post-traumatic Stress Disorder (PTSD) with
the Method of Intrauterine Insemination.
AB - OBJECTIVE: Our objective was to determine the effect of PTSD on changing the
quality of sperm in veterans with PTSD, and the percentage of successful
procedures intrauterine insemination (IUI) as a first-line treatment of male
infertility patients with post-traumatic stress disorder (PTSD). PATIENTS AND
METHODS: The study is designed as a prospective observational study. The study
was started from February 2013 until May of 2014. Our study included a total of
51 patients who were treatment for infertility in private Hospital for
gynecology, endocrinology and infertility, IVF Center in Peja, and those who were
outpatients treated for chronic PTSD in the Polyclinic, Biolab-Zafi, in Klina the
Republic of Kosovo. All subjects divide into two groups; The first, consisting of
21 respondents to the participants of the war in Kosovo, which was established
diagnosis of PTSD. The second group of 30 who have not lived in Kosovo for the
time War, and without signs of PTSD. RESULTS: Subjects with PTSD were somewhat
older than the control group (p = 0.235) but it was not a significant difference
(44.5 +/- 5.6 vs 43.8 +/- 2.3). When the question of type of infertility,
secondary infertility is significantly higher in patients with PTSD (62% vs 20%)
(Table 2). The total number of sperm and semen volume no significant differences
between the two groups (p > 0.05). Sperm motility showed a significant reduction
in cases of PTSD (p <0.0001), from observation semen parameters were found more
abnormal forms of spermatozoa in the ejaculate cases with PTSD (p < 0.0001)
(Table 2). The percentage of pregnancies IUI procedure was slightly higher in
patients with PTSD than the control group without PTSD (19% vs. 16.6%).
CONCLUSION: A combination of analytical oriented psychotherapy techniques and
assisted reproductive techniques (ART) such as IUI procedures, increases the
chances for healing infertility in patients with PTSD.
PMID- 26543315
TI - Treatment of Bone Defects in War Wounds: Retrospective Study.
AB - INTRODUCTION: Results of the treatment of open fractures primarily depend on the
treatment of connected soft tissue injuries. OBJECTIVE: The aim was to present
the experience and methods gained during the treatment of diaphyseal bone defects
as a consequence of gunshot fracture soft war trauma. PATIENTS AND METHODS: The
study consisted of 116 patients with the diaphyseal bone defect who were treated
with the usage of primary and delayed autotransplantation of bones, transplants
of the fibula and Ilizarov distraction osteogenesis. RESULTS: The results of
compensation of bone defect less than 4 cm and conducted by an early cortico
spongioplastics were as follows: good in 8 respondents (45%), satisfactory in 6
(34%) and poor in 4 respondents (21%). In cases of delayed cortico
spongioplastics, the above mentioned results were: good in 36 (41%) respondents,
satisfactory in 24 (34%) and poor in 16 (25%) respondents. The results of
compensation of bone defect greater than 4 cm with the usage of fibular
transplant were as follows: good in 3 (38%) respondents, satisfactory in 3 (38%)
and poor in 2 (24%), and with the usage of using the Ilizarov method, the results
were as follows: good in 8 (57%) respondents, satisfactory in 3 (21.5%) and poor
in 3(21.5%) respondents. CONCLUSION: The results showed that, in cases of
compensation of bone defects less than 4 cm, the advantage is given to the
primary spongioplastics over the delayed one. In cases of compensation of bone
defects greater than 4 cm, the advantage is given to the Ilizarov distraction
osteogenesis when compared to the fibular transplant.
PMID- 26543316
TI - Encrustation of the Ureteral Double J Stent in Patients with a Solitary
Functional Kidney - a Case Report.
AB - INTRODUCTION: The efficacy of ureteric stents in the management of various
urological conditions causing the upper urinary tract obstruction has been
extensively proven, and their contribution to urology remains enormous. The
clinical use of ureteric stents is associated with several complications. "Stent
syndrome," encrustation, migration and urothelial hyperplasia are the most common
problems related to long-term ureteral stenting. CASE REPORT: This work presents
an interesting case from our practice: a complete encrustation of a classical
polyurethane double J stent two and a half months after its initial instillation,
in a 70 year old man, with a solitary functioning kidney, as well as successful
removal of it by using a simultaneous treatment of extracorporeal lithotripsy and
ureteroscopy with a contact disintegration of encrustations and with percutaneous
nephrostomy, as an auxiliary procedure for providing of additional urine
derivation. CONCLUSION: These problems can be overcome by the introduction of new
advanced ureteral stent designs and biomaterials.
PMID- 26543317
TI - Patient with FMF and Triple MEFV Gene Mutations.
AB - INTRODUCTION: Familial Mediterranean fever (FMF) is the most common auto
inflammatory disease with monogenic (MEditerranean FeVer -MEFV- gene) inherited
pattern. It mainly affects ethnic groups living along the eastern Mediterranean
Sea: Turks, Sephardic Jews, Armenians, and Arabs [1]. Today FMF is not rare
disease in other Mediterranean ethnicities, such as Greeks, Italians, and
Iranians. CASE REPORT: Here we report a child with complex allele mutations
E148Q/V726A/R761H, whilst, whose mother showed E148Q/V726A and his father had
R761H/wt in analysis. The severity of the disease and genotype-phenotype
correlation of patient showed no significant differences with his mother and
other patients with the same two mutations, V726A/R761H, E148Q/V726A, and
E148Q/R761H. CONCLUSION: This type of mutation is the first report of triple
mutations in FMF patients with no specific phenotype correlation.
PMID- 26543318
TI - Disorders of Accommodative Convergation and Accommodation (AC/A) Relations at
Traumatic Brain Injury.
PMID- 26543319
TI - Repetitive Behavior in Neurodevelopmental Disorders: Clinical and Translational
Findings.
AB - Repetitive behavior refers to a highly heterogeneous set of responses associated
with a wide range of conditions, including normative development. Treatment
studies for aberrant repetitive behavior are limited although one promising
approach involves conceptualizing such behavior as a generalized inflexibility or
lack of variability in responding. Relatively little is known about the
neurobiological mechanisms that mediate the development and expression of
repetitive behavior, information critical to the design of effective
pharmacotherapies, early interventions, and prevention strategies. We will review
clinical findings in repetitive behavior as well as findings from animal models
highlighting environmental factors and the role of cortical-basal ganglia
circuitry in mediating the development and expression of these behaviors.
Findings from animal models have included identification of a specific neural
pathway important in mediating repetitive behavior. Moreover, pharmacological
studies that support the importance of this pathway have led to the
identification of novel potential therapeutic targets. Expanding the evidence
base for environmental enrichment-derived interventions and focusing on
generalized variability in responding will aid in addressing the broader problem
of rigidity or inflexibility.
PMID- 26543320
TI - FOCUS for Early Childhood: A Virtual Home Visiting Program for Military Families
with Young Children.
PMID- 26543321
TI - Demonstration that a new flow sensor can operate in the clinical range for
cerebrospinal fluid flow.
AB - A flow sensor has been fabricated and tested that is capable of measuring the
slow flow characteristic of the cerebrospinal fluid in the range from less than 4
mL/h to above 100 mL/h. This sensor is suitable for long-term implantation
because it uses a wireless external spectrometer to measure passive subcutaneous
components. The sensors are pressure-sensitive capacitors, in the range of 5 pF
with an air gap at atmospheric pressure. Each capacitor is in series with an
inductor to provide a resonant frequency that varies with flow rate. At constant
flow, the system is steady with drift <0.3 mL/h over a month. At variable flow
rate, V , the resonant frequency, f0, which is in the 200-400 MHz range, follows
a second order polynomial with respect to V . For this sensor system the
uncertainty in measuring f0 is 30 kHz which corresponds to a sensitivity in
measuring flow of DeltaV = 0.6 mL/hr. Pressures up to 20 cm H2O relative to
ambient pressure were also measured. An implantable twin capacitor system is
proposed that can measure flow, which is fully compensated for all hydrostatic
pressures. For twin capacitors, other sources of systematic variation within
clinical range, such as temperature and ambient pressure, are smaller than our
sensitivity and we delineate a calibration method that should maintain clinically
useful accuracy over long times.
PMID- 26543322
TI - Music Engineering as a Novel Strategy for Enhancing Music Enjoyment in the
Cochlear Implant Recipient.
AB - OBJECTIVE: Enjoyment of music remains an elusive goal following cochlear
implantation. We test the hypothesis that reengineering music to reduce its
complexity can enhance the listening experience for the cochlear implant (CI)
listener. METHODS: Normal hearing (NH) adults (N = 16) and CI listeners (N = 9)
evaluated a piece of country music on three enjoyment modalities: pleasantness,
musicality, and naturalness. Participants listened to the original version along
with 20 modified, less complex, versions created by including subsets of the
musical instruments from the original song. NH participants listened to the
segments both with and without CI simulation processing. RESULTS: Compared to the
original song, modified versions containing only 1-3 instruments were less
enjoyable to the NH listeners but more enjoyable to the CI listeners and the NH
listeners with CI simulation. Excluding vocals and including rhythmic instruments
improved enjoyment for NH listeners with CI simulation but made no difference for
CI listeners. CONCLUSIONS: Reengineering a piece of music to reduce its
complexity has the potential to enhance music enjoyment for the cochlear
implantee. Thus, in addition to improvements in software and hardware,
engineering music specifically for the CI listener may be an alternative means to
enhance their listening experience.
PMID- 26543324
TI - Music in the Brain: From Listening to Playing.
PMID- 26543323
TI - Differences according to Sex in Sociosexuality and Infidelity after Traumatic
Brain Injury.
AB - OBJECTIVE: To explore differences according to sex in sociosexuality and
infidelity in individuals with TBI and in healthy controls. PARTICIPANTS: Forty
two individuals with mild, moderate, and severe TBI having completed a postacute
TBI rehabilitation program, at least six months after injury, and 47 healthy
controls. MAIN MEASURES: Sociosexual Orientation Inventory-Revised (SOI-R) and
Attitudes toward Infidelity Scale. RESULTS: Overall, men score significantly
higher than women in sociosexuality. However, there was a nonsignificant trend
towards a reduction of sociosexuality levels in men with TBI. Infidelity levels
were comparable in healthy controls and individuals with TBI. In individuals with
TBI, less acceptance of infidelity was significantly associated with an
unrestricted sociosexual orientation, but not in healthy controls. CONCLUSIONS:
As documented in previous cross-cultural studies, men have higher levels of
sociosexuality than women. However, men with TBI showed a tendency towards the
reduction of sociosexuality. The possibility of a latent explanatory variable is
suggested (e.g., post-TBI neuroendocrinological changes). TBI does not seem to
have an impact on infidelity, but individuals with TBI who express less
acceptance of infidelity also report a more promiscuous mating strategy regarding
their behavior, attitudes, and desire. Theoretical implications are discussed in
terms of evolutionary theories of human sexuality and neuropsychology.
PMID- 26543325
TI - The Hen or the Egg: Inflammatory Aspects of Murine MPN Models.
AB - It has been known for some time that solid tumors, especially gastrointestinal
tumors, can arise on the basis of chronic inflammation. However, the role of
inflammation in the genesis of hematological malignancies has not been
extensively studied. Recent evidence clearly shows that changes in the bone
marrow niche can suffice to induce myeloid diseases. Nonetheless, while it has
been demonstrated that myeloproliferative neoplasms (MPN) are associated with a
proinflammatory state, it is not clear whether inflammatory processes contribute
to the induction or maintenance of MPN. More provocatively stated: which comes
first, the hen or the egg, inflammation or MPN? In other words, can chronic
inflammation itself trigger an MPN? In this review, we will describe the evidence
supporting a role for inflammation in initiating and promoting MPN development.
Furthermore, we will compare and contrast the data obtained in gastrointestinal
tumors with observations in MPN patients and models, pointing out the
opportunities provided by novel murine MPN models to address fundamental
questions regarding the role of inflammatory stimuli in the molecular
pathogenesis of MPN.
PMID- 26543326
TI - The Effects of Paracoccidioides brasiliensis Infection on GM-CSF- and M-CSF
Induced Mouse Bone Marrow-Derived Macrophage from Resistant and Susceptible Mice
Strains.
AB - Considering the importance of macrophages as the first line of defense against
fungal infection and the different roles played by the two M1- and M2-like
polarized macrophages, we decided to evaluate the effects of Paracoccidioides
brasiliensis infection on GM-CSF- and M-CSF-induced bone marrow-derived
macrophages (BMM) from the A/J and B10.A mouse strains, an established model of
resistance/susceptibility to PCM, respectively. Upon differentiation, the
generated GM- or M-BMMs were characterized by morphological analyses, gene
expression profiles, and cytokines production. Our main results demonstrate that
GM-BMMs derived from A/J and B.10 produced high levels of pro- and anti
inflammatory cytokines that may contribute to generate an unbalanced early immune
response. In accordance with the literature, the B10.A susceptible mice lineage
has an innate tendency to polarize into M1-like phenotype, whereas the opposite
phenotype occurs in A/J resistance mice. In this context, our data support that
susceptibility and resistance are strongly correlated with M1 and M2
polarization, respectively.
PMID- 26543327
TI - Symmetric Dimethylarginine Is Not Associated with Cumulative Inflammatory Load or
Classical Cardiovascular Risk Factors in Rheumatoid Arthritis: A 6-Year Follow-Up
Study.
AB - Symmetric dimethylarginine (SDMA) indirectly inhibits nitric oxide (NO) synthesis
and predicts cardiovascular and all-cause mortality in high-risk patients. The
aim of our study was to investigate the associations of cumulative inflammatory
burden (assessed by serial measurements of inflammatory markers) and classical
cardiovascular (CV) disease risk factors with SDMA in RA patients. 201 RA
patients (155 females, median age 67 (59-73)) were assessed at baseline (2006).
Classical CV disease risk factors were recorded and systemic inflammation was
determined by the measurement of C-reactive protein (CRP) and erythrocyte
sedimentation rate (ESR). At follow-up (2012) SDMA levels were measured by enzyme
linked immunosorbent assay. Mean SDMA levels in RA population were 0.40 (0.40
0.53) MUmol/L. No significant association between SDMA and cumulative
inflammatory load was established in the analysis. SDMA levels were not found to
be significantly related to CV disease risk factors. We explored the potential
relationship between SDMA and cumulative inflammatory burden in patients with RA
and obtained negative results. SDMA did not relate to CV disease risk factors in
our population and its clinical significance as a surrogate marker of endothelial
dysfunction in patients with RA remains to be determined.
PMID- 26543329
TI - Evaluating the attractiveness of a new light rail extension: Testing simple
change and displacement change hypotheses.
AB - Many communities in the United States have been adding new light rail to bus
predominant public transit systems. However, there is disagreement as to whether
opening light rail lines attracts new ridership or merely draws ridership from
existing transit users. We study a new light rail line in Salt Lake City, Utah,
USA, which is part of a complete street redevelopment. We utilize a pre-test post
test control group quasi-experimental design to test two different measures of
ridership change. The first measure is calculated from stops along the light rail
route; the second assumes that nearby bus stops might be displaced by the rail
and calculates ridership change with those stops included as baseline. Both the
simple measure (transit use changes on the complete street light rail corridor)
and the "displacement" measure (transit use changes in the one-quarter mile
catchment areas around new light rail stops) showed significant (p < .01) and
substantial (677%) increases in transit passengers compared to pre-light rail bus
users. In particular, the displacement analysis discredits a common challenge
that when a new light rail line opens, most passengers are simply former bus
riders whose routes were canceled in favor of light rail. The study suggests that
light rail services can attract additional ridership to public transit systems.
In addition, although pre-post control-group designs require time and effort,
this project underscores the benefits of such quasi-experimental designs in terms
of the strength of the inferences that can be drawn about the impacts of new
transit infrastructure and services.
PMID- 26543328
TI - Cytokine Regulation of Microenvironmental Cells in Myeloproliferative Neoplasms.
AB - The term myeloproliferative neoplasms (MPN) refers to a heterogeneous group of
diseases including not only polycythemia vera (PV), essential thrombocythemia
(ET), and primary myelofibrosis (PMF), but also chronic myeloid leukemia (CML),
and systemic mastocytosis (SM). Despite the clinical and biological differences
between these diseases, common pathophysiological mechanisms have been identified
in MPN. First, aberrant tyrosine kinase signaling due to somatic mutations in
certain driver genes is common to these MPN. Second, alterations of the bone
marrow microenvironment are found in all MPN types and have been implicated in
the pathogenesis of the diseases. Finally, elevated levels of proinflammatory and
microenvironment-regulating cytokines are commonly found in all MPN-variants. In
this paper, we review the effects of MPN-related oncogenes on cytokine expression
and release and describe common as well as distinct pathogenetic mechanisms
underlying microenvironmental changes in various MPN. Furthermore, targeting of
the microenvironment in MPN is discussed. Such novel therapies may enhance the
efficacy and may overcome resistance to established tyrosine kinase inhibitor
treatment in these patients. Nevertheless, additional basic studies on the
complex interplay of neoplastic and stromal cells are required in order to
optimize targeting strategies and to translate these concepts into clinical
application.
PMID- 26543330
TI - Circulating cancer stem cells: the importance to select.
AB - It has been demonstrated that even localized tumors without clinically apparent
metastasis give rise to circulating tumor cells (CTCs). A growing number of
technically diverse platforms are being developed for detecting/isolating CTCs in
the circulating blood. Despite the technical challenges of isolating rare CTCs
from blood, recent studies have already shown the predictive value of CTCs
enumeration. Thus, it is becoming increasingly accepted that CTC numbers are
linked to patients' outcome and may also be used to monitor treatment response
and disease relapse, respectively. Further CTCs provide a non-invasive source for
tumor material, 'liquid biopsy', which is particularly important for patients,
where no biopsy material can be obtained or where serial biopsies of the tumor,
e.g., following treatment, are practically impossible. On the other hand the
molecular and biological characterization of CTCs has still remained at a rather
experimental stage. Future studies are necessary to define CTC heterogeneity to
establish the crucial role of circulating cancer stem cells for driving
metastasis, which represent a distinct subpopulation of CTCs that bear metastasis
initiating capabilities based on their stemness properties and invasiveness and
thus are critical for the patients' clinical outcome. As compared to non
tumorigenic/metastatic bulk CTCs, circulating cancer stem cells may not only be
capable of evading from the primary tumor, but also escape from immune
surveillance, survive in the circulating blood and subsequently form metastases
in distant organs. Thus, circulating cancer stem cells represent a subset of
exclusively tumorigenic cancer stem cells characterized by their invasive
characteristics and are potential therapeutic targets for preventing disease
progression. To date, only a few original reports and reviews have been published
focusing on circulating cancer stem cells. This review discusses the potential
importance of isolating and characterizing these circulating cancer stem cells,
but also highlights current technological limitations.
PMID- 26543331
TI - Interaction between circulating cancer cells and platelets: clinical implication.
AB - Metastasis is the main cause of cancer-associated mortality. During this
complicated process, some cancer cells, also called circulating tumor cells
(CTCs), detach from primary sites, enter bloodstream and extravasate at
metastatic site. Thrombocytosis is frequently observed in patients with
metastatic cancers suggesting the important role of platelets in metastasis.
Therefore this review focuses on how platelets facilitate the generation of CTCs,
protect them from various host attacks, such as immune assaults, apoptosis and
shear stress, and regulate CTCs intravasation/extravasation. Platelet-derived
cytokines and receptors are involved in this cascade. Identification the
mechanisms underlie platelet-CTCs interactions could lead to the development of
new platelet-targeted therapeutic strategy to reduce metastasis.
PMID- 26543332
TI - Circulating tumor cells isolation: the "post-EpCAM era".
AB - Circulating tumor cells (CTCs) represent a submicroscopic fraction detached from
a primary tumor and in transit to a secondary site. The prognostic significance
of CTCs in metastatic cancer patients was demonstrated for the first time more
than ten years ago. To date, it seems clear enough that CTCs are highly
heterogeneous and dynamically change their shape. Thus, the inadequacy of
epithelial cell adhesion molecule (EpCAM) as universal marker for CTCs detection
seems unquestionable and alternative methods able to recognize a broader spectrum
of phenotypes are definitely needed. In this review the pleiotropic functions of
EpCAM are discussed in detail and the role of the molecule in the biology of CTCs
is critically dissected.
PMID- 26543333
TI - Notes for developing a molecular test for the full characterization of
circulating tumor cells.
AB - The proved association between the circulating tumor cell (CTC) levels and the
patients' survival parameters has been growing interest to investigate the
molecular profile of these neoplastic cells among which hide out precursors
capable of initiating a new distant metastatic lesion. The full characterization
of the tumor cells in peripheral blood of cancer patients is expected to be of
help for understanding and (prospectively) for counteracting the metastatic
process. The major hitch that is hampering the successful gaining of this result
is the lack of a consensus onto standard operating procedures (SOPs) for
performing what we generally define as the "liquid biopsy". Here we review the
more recent acquisitions in the analysis of CTCs and tumor related nucleic acids,
looking to the main open questions that are hampering their definitive employ in
the routine clinical practice.
PMID- 26543334
TI - Circulating tumor cell isolation: the assets of filtration methods with
polycarbonate track-etched filters.
AB - Circulating tumor cells (CTCs) arise from primary or secondary tumors and enter
the bloodstream by active or passive intravasation. Given the low number of CTCs,
enrichment is necessary for detection. Filtration methods are based on selection
of CTCs by size using a filter with 6.5 to 8 um pores. After coloration,
collected CTCs are evaluated according to morphological criteria.
Immunophenotyping and fluorescence in situ hybridization techniques may be used.
Selected CTCs can also be cultivated in vitro to provide more material. Analysis
of genomic mutations is difficult because it requires adapted techniques due to
limited DNA materials. Filtration-selected CTCs have shown prognostic value in
many studies but multicentric validating trials are mandatory to strengthen this
assessment. Other clinical applications are promising such as follow-up, therapy
response prediction and diagnosis. Microfluidic emerging systems could optimize
filtration-selected CTCs by increasing selection accuracy.
PMID- 26543335
TI - The promise of liquid biopsy in cancer: a clinical perspective.
AB - The clinical utility of liquid biopsy in cancer treatment will increase as
circulating tumor cells (CTCs) analysis move from the enumeration to the real
time measurement of tumor characteristics. Intratumor heterogeneity is becoming
increasingly recognized as a major drawback to the shift to personalized
medicine. Spatial and temporal heterogeneity might be reflected by the serial
assessment of CTCs. Indeed, the developing technologies for CTCs analysis now
allow digital genomic and next-generation sequencing approaches, able to
differentiate molecular subtypes of the disease and to monitor genetic variation
over time. The liquid biopsy of cancer might offer a real-time assessment of
tumor biology, providing the opportunity to serially evaluate patients most
likely to benefit from targeted drugs based on a dynamic characterization of the
disease at the molecular level. Although hurdles remain before liquid biopsy is
seen in routine clinical practice, the information derived from CTCs may
facilitate the real-time identification of actionable mutations in cancer leading
the way toward personalized medicine.
PMID- 26543336
TI - Impact of chronic exposure to bevacizumab on EpCAM-based detection of circulating
tumor cells.
AB - BACKGROUND: Circulating tumor cells (CTCs) are often undetected through the
immunomagnetic epithelial cell adhesion molecule (EpCAM)-based CellSearch((r))
System in breast and colorectal cancer (CRC) patients treated with bevacizumab
(BEV), where low CTC numbers have been reported even in patients with evidence of
progression of disease. To date, the reasons for this discrepancy have not been
clarified. This study was carried out to investigate the molecular and phenotypic
changes in CRC cells after chronic exposure to BEV in vitro. METHODS: The human
CRC cell line WiDr was exposed to a clinically relevant dose of BEV for 3 months
in vitro. The expression of epithelial and mesenchymal markers and EpCAM isoforms
was determined by western blotting and immunofluorescence. To evaluate the impact
of EpCAM variant isoforms expression on CTC enumeration by CellSearch((r)),
untreated and treated colon cancer cells were spiked into 7.5 mL of blood from a
healthy donor and enumerated by CellSearch((r)). RESULTS: Chronic exposure of CRC
cell line to BEV induced decreased expression of EpCAM 40 kDa isoform and
increased expression EpCAM 42 kDa isoform, together with a decreased expression
of cytokeratins (CK), while no evidence of epithelial to mesenchymal transition
(EMT) in treated cells was observed. The recovery rate of cells through
CellSearch((r)) was gradually reduced in course of treatment with BEV, being 84%,
70% and 40% at 1, 2 and 3 months, respectively. CONCLUSIONS: We hypothesize that
BEV may prevent CellSearch((r)) from capturing CTCs through altering EpCAM
isoforms.
PMID- 26543337
TI - Quantitative evaluation of hepatitis B virus mutations and hepatocellular
carcinoma risk: a meta-analysis of prospective studies.
AB - BACKGROUND: The temporal relationship between hepatitis B virus (HBV) mutations
and hepatocellular carcinoma (HCC) remains unclear. METHODS: We conducted a meta
analysis including cohort and nested case-control studies to prospectively
examine the HCC risk associated with common variants of HBV in the PreS, Enhancer
II, basal core promoter (BCP) and precore regions. Pertinent studies were
identified by searching PubMed, Web of Science and the Chinese Biological
Medicine databases through to November 2014. Study-specific risk estimates were
combined using fixed or random effects models depending on whether significant
heterogeneity was detected. RESULTS: Twenty prospective studies were identified,
which included 8 cohort and 12 nested case-control studies. There was an
increased risk of HCC associated with any PreS mutations with a pooled relative
risk (RR) of 3.82 [95% confidence interval (CI): 2.59-5.61]. The pooled-RR for
PreS deletion was 3.98 (95% CI: 2.28-6.95), which was higher than that of PreS2
start codon mutation (pooled-RR=2.63, 95% CI: 1.30-5.34). C1653T in Enhancer II
was significantly associated with HCC risk (pooled-RR=1.83; 95% CI: 1.21-2.76).
For mutations in BCP, statistically significant pooled-RRs of HCC were obtained
for T1753V (pooled-RR=2.09; 95% CI: 1.49-2.94) and A1762T/G1764A double mutations
(pooled-RR=3.11; 95% CI: 2.08-4.64). No statistically significant association
with HCC risk was observed for G1896A in the precore region (pooled-RR=0.77; 95%
CI: 0.47-1.26). CONCLUSIONS: This study demonstrated that PreS mutations, C1653T,
T1753V, and A1762T/G1764A, were associated with an increased risk of HCC.
Clinical practices concerning the HCC risk prediction and diagnosis may wish to
focus on patients with these mutations.
PMID- 26543338
TI - Prognostic value of clinicopathological characteristics in patients with
pancreatic cancer.
AB - BACKGROUND: The purpose of this study was to analyze the effects of all clinical
characteristics on the overall survival time, in order to provide a basis for
determining the prognostic factor of patients with pancreatic cancer. METHODS: A
total of 103 pancreatic cancer patients were admitted to the Department of
Radiotherapy and Chemotherapy of the Ruijin Hospital, Shanghai Jiaotong
University School of Medicine, between January 2002 and December 2012. There were
68 men and 35 women; the median age was 62 years. Diagnoses of pancreatic cancer
in all patients were confirmed by histopathology, cytology, or clinical
diagnosis. The Kaplan-Meier method was performed to calculate the overall
survival rate. The log-rank method was used to examine the univariate analysis.
The Cox regression model was performed for multivariate analysis. RESULTS: The
median survival time was 293 days, the 1-, 2-, and 3-year survival rates were
27.18%, 5.83%, and 1.94%, respectively. Cox regression analysis revealed that age
(P=0.015), Karnofsky performance status (PS) (P=0.002), surgical types (P<0.001),
and platelet counts (P<0.001) were independent prognostic factors affecting the
overall survival of patients with pancreatic cancer. CONCLUSIONS: Pancreatic
cancer had a poor prognosis, the general physical condition, age, the
availability of radical surgery, and platelet counts were factors influencing the
overall survival of patients with pancreatic cancer.
PMID- 26543339
TI - Addition of rituximab is not associated with survival benefit compared with CHOP
alone for patients with stage I diffuse large B-cell lymphoma.
AB - BACKGROUND: The role of rituximab in combination with CHOP regimen in patients
with stage I diffuse large B-cell lymphoma (DLBCL) remains to be defined. We
aimed to compare CHOP plus rituximab (R-CHOP) with CHOP alone and determine the
value of radiotherapy in these patients. METHODS: Between 2003 and 2009, 140
untreated patients with stage I DLBCL were retrospectively analyzed in this
study. RESULTS: Seventy-eight patients were treated in R-CHOP group and 62 in
CHOP group. Ninety-one patients received additional radiotherapy at the end of
chemotherapy. The different treatment groups were well-balanced with respect to
baseline characteristics. Complete response (CR) rate was 77% both in R-CHOP and
CHOP groups (P=0.945). After a median follow-up period of 56 months, patients
received R-CHOP regimen had similar 5-year progression-free survival (PFS) (76%
vs. 85%; log-rank P=0.215) and 5-year overall survival (OS) (90% vs. 96%; log
rank P=0.175) compared with those with CHOP alone. Patients with radiotherapy had
significantly increased 5-year PFS compared with those who had chemotherapy alone
(86% vs. 71%; log-rank P=0.005). At multivariate analysis, patients who had CR
(P=0.008) and received radiotherapy (P=0.003) were significantly associated with
superior PFS. CONCLUSIONS: CHOP alone could be as effective as R-CHOP regimen and
additional radiotherapy would be necessary for stage I or stage I non-bulky DLBCL
patients.
PMID- 26543340
TI - A PTEN translational isoform has PTEN-like activity.
AB - BACKGROUND: To identify PTEN isoform and explore its potential role in tumor
suppression. METHODS: Western blotting, over-expression, shRNA mediated knocking
down, and bioinformatic analysis were used to identify PTEN isoform and test its
effect on PI3K-Akt signaling pathway. Cell proliferation, apoptosis, and
migration assays were used to test PTEN isoform's biological activities. RESULTS:
The PTEN isoform is about 15 kDa bigger than PTEN and its expression is dependent
on PTEN status. Immunoprecipitation for PTEN isoform followed by screening with
antibodies against ISG15, SUMO1/2/3, Ubiquitin, and Nedd8 showed the identified
PTEN isoform is not a general proteinaceous post-translational modification. In
addition, overexpression of PTEN cDNA in cells did not generate PTEN isoform
whereas knocking-down of PTEN reduced the protein levels of both PTEN and PTEN
isoform in a proportional manner. Analysis of PTEN DNA sequence disclosed an
alternative translational starting code (CTG) upstream of canonical PTEN coding
sequence. Expression of cloned PTEN isoform generated a protein with a size about
15 kDa bigger than PTEN and suppressed PI3K-Akt signaling pathway in cells.
Overexpression of PTEN isoform also led to decrease in cell growth and enhanced
serum starvation-and UV irradiation-induced apoptosis through activation of
Caspase 3. Finally, expression of PTEN isoform inhibited cell migration in
scratch assay. CONCLUSIONS: PTEN isoform has PTEN-like activity and might be a
new tumor suppressor.
PMID- 26543341
TI - New book Gastric Cancer first launched on the 10(th) CGCC.
PMID- 26543342
TI - The final contest of 2015 Chinese Young Surgeon Contest-surgery for gastric
cancer.
PMID- 26543343
TI - Demographic and Parenting Correlates of Adolescent Sleep Functioning.
AB - Despite the importance of parenting practices for adolescent adjustment,
parenting correlates of adolescent sleep functioning remain understudied. This
study delineated patterns of sleep functioning in a sample of ethnically diverse,
low-income, adolescents and examined associations among three types of parenting
practices (parental involvement, parent-child conflict, and parental control) and
adolescent sleep functioning (difficulties initiating sleep and maintaining
sleep, and sleep duration). Adolescents (N = 91, 11-19 years old) self-reported
on sleep functioning and parenting practices. Results showed that in the
preceding month, 60.5% of adolescents had difficulties initiating sleep and 73.6%
had difficulties maintaining sleep. Most adolescents slept 8 or more hours per
night, but 30.7% slept less than 8 hours. Latino adolescents slept longer and had
fewer difficulties maintaining sleep than non-Latino. High school students had
fewer difficulties maintaining sleep than their middle school counterparts;
conversely, older adolescents experienced shorter sleep duration than younger
ones. Adolescents whose parents had post-secondary education had shorter sleep
duration than those whose parents had not graduated from high school. Parental
control was correlated with fewer difficulties initiating sleep, whereas parent
child conflict was correlated with more difficulties maintaining sleep. There
were no parenting correlates of sleep duration. Latino adolescents had better
sleep profiles than non-Latino ones. Regression analyses showed that parental
control and parent-child conflict were associated with adolescent sleep
functioning across ethnicities. Results suggest that parenting practices, as well
as demographic characteristics, are associated with adolescent sleep functioning
and should be taken into account in interventions aimed at improving sleep
functioning among adolescents.
PMID- 26543344
TI - The Use of Endobronchial Ultrasound in the Diagnosis of Subacute Pulmonary
Histoplasmosis.
AB - Objective. Endobronchial ultrasound (EBUS) utility in diagnosis in malignant and
granulomatous mediastinal disease has been well demonstrated. We propose to
examine the role of EBUS transbronchial needle aspiration (EBUS-TBNA) in the
diagnosis of subacute pulmonary histoplasmosis (SPH) with mediastinal
lymphadenopathy in an area where histoplasmosis is endemic. Methods. A
retrospective review was performed in a single academic institution between 2009
and 2012 of patients referred for EBUS-TBNA who had radiographic imaging and
clinical symptomatology suspicious for SPH. Seven patients were reviewed. TBNA
results showing granulomatous disease with areas of necrosis in the appropriate
clinical setting were considered to be adequate for the diagnosis of SPH when
alternative diagnosis was excluded. Patients underwent further clinical follow-up
of 12 months to determine the final diagnosis. Results. All seven patients were
felt to have SPH diagnosis reached by a combination of clinical presentation,
EBUS-TBNA results, fungal serologies, and antigen testing. None of the patients
needed further invasive procedures. Conclusions. EBUS-TBNA is a minimally
invasive tool that can be used to support a diagnosis of SPH in patients with a
high degree of clinical suspicion. EBUS-TBNA should be considered as an
adjunctive diagnostic procedure for patients with SPH in an appropriate clinical
setting.
PMID- 26543345
TI - Microsecond-pulsed dielectric barrier discharge plasma stimulation of tissue
macrophages for treatment of peripheral vascular disease.
AB - Angiogenesis is the formation of new blood vessels from pre-existing vessels and
normally occurs during the process of inflammatory reactions, wound healing,
tissue repair, and restoration of blood flow after injury or insult. Stimulation
of angiogenesis is a promising and an important step in the treatment of
peripheral artery disease. Reactive oxygen species have been shown to be involved
in stimulation of this process. For this reason, we have developed and validated
a non-equilibrium atmospheric temperature and pressure short-pulsed dielectric
barrier discharge plasma system, which can non-destructively generate reactive
oxygen species and other active species at the surface of the tissue being
treated. We show that this plasma treatment stimulates the production of vascular
endothelial growth factor, matrix metalloproteinase-9, and CXCL 1 that in turn
induces angiogenesis in mouse aortic rings in vitro. This effect may be mediated
by the direct effect of plasma generated reactive oxygen species on tissue.
PMID- 26543346
TI - Screening of Transcription Factors Involved in Fetal Hemoglobin Regulation Using
Phylogenetic Footprinting.
AB - Fetal hemoglobin (Hb F) is an important genetic modulator of the beta
hemoglobinopathies. The regulation of Hb F levels is influenced by transcription
factors. We used phylogenetic footprinting to screen transcription factors that
have binding sites in HBG1 and HBG2 genes' noncoding regions in order to know the
genetic determinants of the Hb F expression. Our analysis showed 354 conserved
motifs in the noncoding regions of HBG1 gene and 231 motifs in the HBG2 gene
between the analyzed species. Of these motifs, 13 showed relation to Hb F
regulation: cell division cycle-5 (CDC5), myelo-blastosis viral oncogene homolog
(c-MYB), transcription factor CP2 (TFCP2), GATA binding protein 1 (GATA-1), GATA
binding protein 2 (GATA-2), nuclear factor erythroid 2 (NF-E2), nuclear
transcription factor Y (NF-Y), runt-related transcription factor 1 (RUNX-1), T
cell acute lymphocytic leukemia 1 (TAL-1), YY1 transcription factor (YY1), beta
protein 1 (BP1), chicken ovalbumin upstream promoter-transcription factor II
(COUP-TFII), and paired box 1 (PAX-1). The last three motifs were conserved only
in the noncoding regions of the HBG1 gene. The understanding of genetic elements
involved in the maintenance of high Hb F levels may provide new efficient
therapeutic strategies in the beta-hemoglobinopathies treatment, promoting
reduction in clinical complications of these genetic disorders.
PMID- 26543347
TI - Clinical performance of KeraSoft((r)) IC in irregular corneas.
AB - PURPOSE: This study evaluated the clinical performance of KeraSoft((r)) IC (KIC)
soft contact lenses in subjects with irregular corneas. PATIENTS AND METHODS:
This was a 12-month, prospective, open-label, observational study, which enrolled
43 subjects who were 18 years of age or older with irregular corneas. Subjects
were fit according to the KIC Fitting Manual (kerasoftic.com). After achieving
best fit according to the fitting manual, lenses were assessed for comfort,
vision, centration, rotation, and movement. Subjects were instructed to wear
their lenses between 8 and 16 hours each day. Assessments at the exit visit
included logMAR visual acuity with high and low contrast, spherocylindrical
overrefraction, slit-lamp findings, adverse events, and subjective outcomes.
RESULTS: The average base curve was 8.17+/-0.32 mm (n=70 eyes), and the average
diameter dispensed was 14.53+/-0.12 mm (n=70 eyes). From the baseline to 12
months, there was statistically significant improvement in logMAR visual acuity
with high contrast (P=0.038), but no significant difference in low-contrast
visual acuity was observed (P>0.05). Slit-lamp findings were <= grade 1 for the
majority of subjects (89%). Two nonserious adverse events were reported for two
of the 84 enrolled eyes (two subjects). At 12 months, subjects reported
improvements from habitual baseline for comfort and vision, both upon insertion
and just before removal of lenses. CONCLUSION: Clinical outcomes at 12 months
showed good visual, safety, and subjective outcomes for subjects with corneal
irregularities who wore KeraSoft((r)) IC soft contact lenses.
PMID- 26543348
TI - Autologous platelet concentrate in surgery for macular detachment associated with
congenital optic disc pit.
AB - PURPOSE: To evaluate the anatomical and functional results obtained with pars
plana vitrectomy (PPV) plus autologous platelet concentrate (APC) as a treatment
for macular detachment associated with optic disc pit (ODP). METHODS: We
performed a prospective interventional study of 19 eyes of 19 consecutive
patients with posterior macular detachment due to ODP. All patients underwent
PPV, posterior hyaloid peeling, fluid-air exchange, injection of 0.05 mL of APC
over the ODP and 15% perfluoropropane (C3F8) endotamponade. Postoperative
measures included face-up positioning for 2 hours and then avoidance of the face
up position during the ensuing 10 days. All patients underwent complete
ophthalmologic examination and optical coherence tomography preoperatively at 1
month, 3 months, 6 months, 9 months, and 12 months postoperatively and then
annually. Outcome measures were best corrected visual acuity (BCVA) by logMAR,
improvement of quality of vision, macular attachment, and resolution of
intraretinal schisis-like separation. RESULTS: Preoperatively, the median BCVA
was 0.70 (range: 0.30-1.70) and all patients showed improved visual acuity after
surgery; BCVA was 0.22 (range: 0.07-0.52) at 12 months follow-up. All patients
showed complete reabsorption of intraretinal fluid (median time: 3.5 months
[range: 2-8 months]) and macular attachment at the end of follow-up (median: 60
months [range: 12-144 months]), with stable or improved visual acuity. No
reoperations were needed and no major adverse events were recorded. CONCLUSION:
For macular detachment associated with ODP, the combination of PPV, posterior
hyaloid peeling, APC, and C3F8 tamponade is a highly effective alternative
technique with stable anatomical and functional results.
PMID- 26543349
TI - Evaluation of surface water characteristics of novel daily disposable contact
lens materials, using refractive index shifts after wear.
AB - PURPOSE: Contact lens wearers today spend much time using digital display
devices. Contact lens manufacturers are challenged to develop products that
account for longer periods of time where blink rate is reduced and tear-film
evaporation rate is increased, affecting both visual acuity and comfort. Two
manufacturers recently introduced novel daily disposable contact lenses with high
surface water content. The objective of the present study was to compare surface
water characteristics before and after initial wear of recently introduced
nesofilcon A and delefilcon A high surface water lenses with those of etafilcon A
lenses. PATIENTS AND METHODS: Twenty healthy subjects wore each of the three lens
types studied in a randomly determined order for 15 minutes. After each wearing,
lenses were removed and the surface refractive index (RI) of each lens was
immediately measured. RESULTS: The mean RI of the unworn delefilcon A lens was
1.34, consistent with water content in excess of 80%. After 15 minutes of wear,
the surface RI shifted to 1.43, consistent with its reported 33% bulk water
content. In contrast, the mean surface RI of the nesofilcon A lens was 1.38, both
initially and after 15 minutes of wear, and that of the etafilcon A lens was 1.41
initially and 1.42 after 15 minutes of wear. CONCLUSION: The surface of the
delefilcon A lens behaves like a high water hydrogel upon insertion but quickly
dehydrates to behave like its low-water silicone-hydrogel bulk material with
respect to surface water content during wear, while both nesofilcon A and
etafilcon A lenses maintain their water content during initial wear. The
nesofilcon A lens appears unique among high water lenses in maintaining high
surface and bulk water content during wear. This is important because changes in
surface RI due to dehydration are reported to lead to visual aberration affecting
user experience.
PMID- 26543350
TI - Short-term effects of relaxation music on patients suffering from primary open
angle glaucoma.
AB - PURPOSE: To evaluate whether additive relaxation music (RM) has an adjuvant short
term effect on physiological and psychological parameters in patients with
primary open-angle glaucoma. METHODS: Prospective, randomized clinical trial.
Patients in the therapy group (TG) received a 30-minute RM via headphones,
whereas members of the control group (CG) did not. Best corrected visual acuity,
intraocular pressure, visual field testing, short- and long-term mental states,
and blood levels of different stress hormones were analyzed and compared.
RESULTS: A total of 25 (61%)/16 (39%) patients were assigned to the TG/CG. Best
corrected visual acuity, daily intraocular pressure, and short-term mental state
(KAB) development were significantly better in the TG in comparison to controls.
Visual field testing, long-term mental well-being (profile of mood states), and
adrenalin, cortisol, and endothelin-I blood levels did not differ significantly
between both groups. CONCLUSION: Additive RM applied on a daily basis can
positively impact various physiological and psychological parameters in the short
term.
PMID- 26543351
TI - Overexpression of PI3K p110alpha contributes to acquired resistance to MET
inhibitor, in MET-amplified SNU-5 gastric xenografts.
AB - Gastric cancer is one of the most virulent malignant diseases and is the second
leading cause of cancer mortality in the world. The receptor tyrosine kinase MET
is constitutively activated in many gastric cancers and its expression is
strictly required for survival of some gastric cancer cells. Targeting gastric
cancers with amplified or abnormally activated MET may have therapeutic benefit
based on nonclinical and emerging clinical findings. However, one of the major
problems of therapies targeting tyrosine kinases is that many tumors are not
responsive to treatment or eventually develop resistance to the drugs. This study
aims to understand the mechanisms of MET resistance in gastric SNU-5 xenografts
which developed resistance to PHA665752, a MET inhibitor, through long-period
tyrosine kinase inhibitor exposure. In the current study, we found that PI3K
p110alpha is overexpressed in PHA665752-resistant SNU-5 xenografts. These
findings showed that high PI3K p110alpha expression contributes to tyrosine
kinase inhibitor resistance. In addition, we reported the development of a
carcinogen-induced gastric cancer model that recapitulates PI3K p110alpha
expression in human disease, which will serve as a useful model to study PI3K
p110alpha's biology and its effectiveness as a novel biomarker and a molecular
target for gastric cancer. Ultimately, PI3K p110alpha represents a novel target
for gastric cancer.
PMID- 26543352
TI - Evaluation of the cardiovascular effects of varenicline in rats.
AB - BACKGROUND: Cardiovascular disease is an important cause of morbidity and
mortality among tobacco users. Varenicline is widely used worldwide to help
smoking cessation, but some published studies have reported associated
cardiovascular events. OBJECTIVE: To determine the cardiovascular toxicity
induced by varenicline in rats. MATERIALS AND METHODS: We randomly separated 34
rats into two groups: 1) the control group (given only distilled water orally,
n=10) and the varenicline group (given 9 MUg/kg/day varenicline on days 1-3, 9
MUg/kg twice daily on days 4-7, and 18 MUg/kg twice daily on days 8-90 [total 83
days], n=24). Each group was then subdivided equally into acute and chronic
subgroups, and all rats in these groups were euthanized with anesthesia overdose
on days 45 and 90, respectively. Body and heart weights, hemodynamic (mean oxygen
saturation, mean blood pressure, and heart rate, electrocardiographic (PR, QRS,
and QT intervals) biochemical (oxidants and antioxidants), and histopathological
analyses (including immunostaining) were performed. RESULTS: Acute varenicline
exposure resulted in loss of body weight, while chronic varenicline exposure
caused heart weight loss and decreased mean blood pressure, induced lipid
peroxidation, and reduced antioxidant activity. Both acute and chronic
varenicline exposure caused impairment of mean oxygen saturation. QT interval was
prolonged in the chronic varenicline group, while PR interval prolongation was
statistically significant in both the control and acute varenicline groups.
Caspase-9 activity was also significantly increased by chronic exposure.
Moreover, histopathological observations revealed severe morphological heart
damage in both groups. CONCLUSION: Adverse effects of chronic varenicline
exposure on cardiovascular tissue were confirmed by our electrocardiographic,
biochemical, and histopathological analyses. This issue needs to be investigated
with new experimental and clinical studies to evaluate the exact mechanism(s) of
the detrimental effects of varenicline. Physicians should bear in mind the toxic
effects of varenicline on the cardiovascular system when prescribing it for
smoking cessation.
PMID- 26543353
TI - Brivaracetam: review of its pharmacology and potential use as adjunctive therapy
in patients with partial onset seizures.
AB - Brivaracetam (BRV), a high-affinity synaptic vesicle protein 2A ligand, reported
to be 10-30-fold more potent than levetiracetam (LEV), is highly effective in a
wide range of experimental models of focal and generalized seizures. BRV and LEV
similarly bind to synaptic vesicle protein 2A, while differentiating for other
pharmacological effects; in fact, BRV does not inhibit high voltage Ca(2+)
channels and AMPA receptors as LEV. Furthermore, BRV apparently exhibits
inhibitory activity on neuronal voltage-gated sodium channels playing a role as a
partial antagonist. BRV is currently waiting for approval both in the United
States and the European Union as adjunctive therapy for patients with partial
seizures. In patients with photosensitive epilepsy, BRV showed a dose-dependent
effect in suppressing or attenuating the photoparoxysmal response. In well
controlled trials conducted to date, adjunctive BRV demonstrated efficacy and
good tolerability in patients with focal epilepsy. BRV has a linear
pharmacokinetic profile. BRV is extensively metabolized and excreted by urine
(only 8%-11% unchanged). The metabolites of BRV are inactive, and hydrolysis of
the acetamide group is the mainly involved metabolic pathway; hepatic impairment
probably requires dose adjustment. BRV does not seem to influence other
antiepileptic drug plasma levels. Six clinical trials have so far been completed
indicating that BRV is effective in controlling seizures when used at doses
between 50 and 200 mg/d. The drug is generally well-tolerated with only mild-to
moderate side effects; this is confirmed by the low discontinuation rate observed
in these clinical studies. The most common side effects are related to central
nervous system and include fatigue, dizziness, and somnolence; these apparently
disappear during treatment. In this review, we analyzed BRV, focusing on the
current evidences from experimental animal models to clinical studies with
particular interest on potential use in clinical practice. Finally,
pharmacological properties of BRV are summarized with a description of its
pharmacokinetics, safety, and potential/known drug-drug interactions.
PMID- 26543354
TI - Mechanism and pharmacological rescue of berberine-induced hERG channel
deficiency.
AB - Berberine (BBR), an isoquinoline alkaloid mainly isolated from plants of
Berberidaceae family, is extensively used to treat gastrointestinal infections in
clinics. It has been reported that BBR can block human ether-a-go-go-related gene
(hERG) potassium channel and inhibit its membrane expression. The hERG channel
plays crucial role in cardiac repolarization and is the target of diverse
proarrhythmic drugs. Dysfunction of hERG channel can cause long QT syndrome.
However, the regulatory mechanisms of BBR effects on hERG at cell membrane level
remain unknown. This study was designed to investigate in detail how BBR
decreased hERG expression on cell surface and further explore its pharmacological
rescue strategies. In this study, BBR decreases caveolin-1 expression in a
concentration-dependent manner in human embryonic kidney 293 (HEK293) cells
stably expressing hERG channel. Knocking down the basal expression of caveolin-1
alleviates BBR-induced hERG reduction. In addition, we found that aromatic
tyrosine (Tyr652) and phenylalanine (Phe656) in S6 domain mediate the long-term
effect of BBR on hERG by using mutation techniques. Considering both our previous
and present work, we propose that BBR reduces hERG membrane stability with
multiple mechanisms. Furthermore, we found that fexofenadine and resveratrol
shorten action potential duration prolongated by BBR, thus having the potential
effects of alleviating the cardiotoxicity of BBR.
PMID- 26543355
TI - Antibacterial activity and therapeutic efficacy of Fl-P(R)P(R)P(L)-5, a cationic
amphiphilic polyproline helix, in a mouse model of staphylococcal skin infection.
AB - The antibacterial activities and therapeutic efficacy of the cationic, unnatural
proline-rich peptide Fl-P(R)P(R)P(L)-5 were evaluated against multidrug-resistant
Staphylococcus aureus in a mouse model of skin infection. Fl-P(R)P(R)P(L)-5
showed potent activity against all clinical isolates of S. aureus tested,
including methicillin- and vancomycin-resistant S. aureus (MRSA and VRSA,
respectively). Fl-P(R)P(R)P(L)-5 was also superior in clearing established in
vitro biofilms of S. aureus and Staphylococcus epidermidis, compared with the
established antimicrobials mupirocin and vancomycin. Additionally, topical
treatment of an MRSA-infected wound with Fl-P(R)P(R)P(L)-5 enhanced wound closure
and significantly reduced bacterial load. Finally, 0.5% Fl-P(R)P(R)P(L)-5
significantly reduced the levels of the inflammatory cytokines tumor necrosis
factor-alpha (TNF-alpha), interleukin-6 (IL-6), and interleukin-1 beta (IL-1beta)
in wounds induced by MRSA skin infection. In conclusion, the results of this
study suggest the potential application of Fl-P(R)P(R)P(L)-5 in the treatment of
staphylococcal skin infections.
PMID- 26543356
TI - A comparison between uni- and multidimensional frailty measures: prevalence,
functional status, and relationships with disability.
AB - BACKGROUND: Over the years, a plethora of frailty assessment tools has been
developed. These instruments can be basically grouped into two types of
conceptualizations - unidimensional, based on the physical-biological dimension -
and multidimensional, based on the connections among the physical, psychological,
and social domains. At present, studies on the comparison between uni- and
multidimensional frailty measures are limited. OBJECTIVE: The aims of this paper
were: 1) to compare the prevalence of frailty obtained using a uni- and a
multidimensional measure; 2) to analyze differences in the functional status
among individuals captured as frail or robust by the two measures; and 3) to
investigate relations between the two frailty measures and disability. METHODS:
Two hundred and sixty-seven community-dwelling older adults (73.4+/-6 years old,
59.9% of women) participated in this cross-sectional study. The Cardiovascular
Health Study (CHS) index and the Tilburg Frailty Indicator (TFI) were used to
measure frailty in a uni- and multidimensional way, respectively. The
International Physical Activity Questionnaire, the Center of Epidemiologic
Studies Depression scale, and the Loneliness Scale were administered to evaluate
the functional status. Disability was assessed using the Groningen Activity
Restriction Scale. Data were treated with descriptive statistics, one-way
analysis of variance, correlations, and receiver operating characteristic
analyses through the evaluation of the areas under the curve. RESULTS: Results
showed that frailty prevalence rate is strictly dependent on the index used (CHS
=12.7%; TFI =44.6%). Furthermore, frail individuals presented differences in
terms of functional status in all the domains. Frailty measures were
significantly correlated with each other (r=0.483), and with disability (CHS:
r=0.423; TFI: r=0.475). Finally, the area under the curve of the TFI (0.833) for
disability was higher with respect to the one of CHS (0.770). CONCLUSION: Data
reported here confirm that different instruments capture different frail
individuals. Clinicians and researchers have to consider the different abilities
of the two measures to detect frail individuals.
PMID- 26543357
TI - Additive effect of linseed oil supplementation on the lipid profiles of older
adults.
AB - BACKGROUND: Linseed oil has been investigated as a rich source of n-3 series
polyunsaturated fatty acids, which mainly produce a non-atherogenic lipid
profile. The objective of this study was to investigate the effect of linseed oil
supplementation associated with nutritional guidelines on the lipid profiles of
older adults, according to the intake of saturated fatty acids (SFA). METHODS: We
conducted a double-blind, placebo-controlled clinical trial with 110 older adults
randomized in two groups: placebo and linseed oil. The linseed oil group received
supplementation with 3 g of linseed oil. Both groups received nutritional
guidance and were supplemented for 90 days with monthly blood collection for
biochemical analysis. The dietary intake of saturated fat was subdivided into low
(<7% SFA/day of the total energy value) and high consumption groups (>7% SFA/day
of the total energy value). RESULTS: Low SFA (<7% SFA/day of total energy value)
consumption was associated with lower total cholesterol concentrations. However,
we observed that the linseed oil group, including older adults who consumed >7%
SFA/day, had a greater reduction in total cholesterol than the placebo group
(P=0.020). The same was observed for low-density lipoprotein (LDL) cholesterol
(P<0.050), suggesting an additive effect of linseed oil and diet. High-density
lipoprotein (HDL) cholesterol concentrations were increased significantly in only
the linseed group, suggesting that the nutritional intervention alone did not
improve HDL cholesterol. CONCLUSION: The results suggest that the nutritional
intervention was effective, but linseed oil showed notable effects by increasing
the HDL cholesterol concentration. In addition, consumption of <7% SFA/day of the
total energy value increased the effect of linseed oil, demonstrating the
importance of reducing the consumption of saturated fat.
PMID- 26543358
TI - Outcomes of a multimodal cognitive and physical rehabilitation program for
persons with mild dementia and their caregivers: a goal-oriented approach.
AB - BACKGROUND: Nonpharmacological interventions such as exercise and cognitive
rehabilitation programs have shown promise in reducing the impact of dementia on
the individual and the caregiver. In this study, we examine the effect of a
multimodal cognitive and physical rehabilitation program for persons with mild
dementia and their caregivers using conventional measures of cognition, behavior,
quality of life (QoL), and caregiver burden together with goal attainment scaling
(GAS), an individualized outcome measure. METHODS: Goals were set at baseline,
and GAS score was calculated at the end of the program. Participants were also
assessed with the Chinese Mini-Mental State Examination, functional and
behavioral scales (Barthel Index), Instrumental Activities of Daily Living,
Neuropsychiatric Inventory Questionnaire, QoL, and caregiver burden using EuroQol
five dimension questionnaire and Zarit Burden Interview (ZBI). Differences in
median scores postintervention were obtained. Further analysis of caregiver
burden was undertaken utilizing the multidimensional classification of burden on
the ZBI. RESULTS: Thirty-four (61.8%) patients were assessed to have met their
goals (GAS score>=50). Mean (standard deviation) GAS score was 48.6 (6.5).
Cognition goals were set in only 20.6%, followed by goals to improve engagement
and socialization; reduce caregiver stress; and improve physical function,
behavior, and mood. Median scores in the cognitive, functional, and QoL measures
did not differ significantly pre- and postintervention. The intervention had a
positive impact on role strain, a unique dimension of caregiver burden.
CONCLUSION: This study provides evidence that a multimodal approach combining
physical exercise and cognitive rehabilitation improves goal attainment and
caregiver burden in individuals and caregivers of persons with mild dementia.
PMID- 26543359
TI - Zabofloxacin versus moxifloxacin in patients with COPD exacerbation: a
multicenter, double-blind, double-dummy, randomized, controlled, Phase III, non
inferiority trial.
AB - A new quinolone, zabofloxacin, has now been developed; hence, a non-inferiority
trial is needed to compare this new compound with another widely used quinolone
to examine its efficacy and safety for the treatment of chronic obstructive
pulmonary disease (COPD) exacerbations. This was a prospective, multicenter,
double-blind, double-dummy, randomized, controlled, parallel-group, Phase III,
non-inferiority clinical trial designed to compare oral zabofloxacin (367 mg once
daily for 5 days) with moxifloxacin (400 mg once daily for 7 days) for the
treatment of patients with COPD exacerbation. In all, 345 COPD patients with a
moderate COPD exacerbation were enrolled in the study via the outpatient clinics
at 31 university hospitals. Clinical per protocol analysis revealed that the
clinical cure rate for zabofloxacin was 86.7% and that for moxifloxacin was 86.3%
(the rate difference, 0.4%; 95% confidence interval, -7.7%-8.6%). Intention-to
treat analysis revealed clinical cure rates of 77.1% and 77.3% (difference,
0.2%; 95% confidence interval, -9.0%-8.8%), respectively. These results confirm
that zabofloxacin is not inferior to moxifloxacin. The favorable microbiological
response rate for zabofloxacin was 67.4% and that for moxifloxacin was 79.5%
(P=0.22). Patients in the zabofloxacin group showed better patient-oriented
outcomes, as measured by EXAcerbations of Chronic Pulmonary Disease Tool-Patient
Reported Outcome and the COPD assessment test scores, than patients in the
moxifloxacin group. Adverse drug reactions related to zabofloxacin occurred in
9.7% of cases and those related to moxifloxacin occurred in 9.6% of cases
(P=0.97). The dropout rate due to adverse events was 0% (0/175) in the
zabofloxacin group and 1.8% (3/167) in the moxifloxacin group (P=0.12). Oral
zabofloxacin (367 mg once daily for 5 days) was not inferior to oral moxifloxacin
(400 mg once daily for 7 days) for the treatment of patients with COPD
exacerbation.
PMID- 26543360
TI - The stats are in: an update on statin use in COPD.
AB - COPD is a chronic inflammatory disease of the lungs associated with an abnormal
inflammatory response to noxious particles, the most prevalent of which is
cigarette smoke. Studies have demonstrated that cigarette smoking is associated
with activation of the bone marrow, and chronic smoking can lead to the
inflammatory changes seen in COPD. Due to the inflammatory nature of the disease,
medications affecting the inflammatory pathway may have clinical benefit and are
being evaluated. One such class of medications, HMG-CoA reductase inhibitors,
have been evaluated in the COPD population. Early studies have suggested that HMG
CoA reductase inhibitors have a variety of benefits in COPD including
improvements in inflammatory markers, exacerbation rates, and mortality rates.
However, the majority of this data comes from retrospective cohort studies,
suggesting the need for randomized controlled trials. Recently, two randomized
controlled trials, STATCOPE and RODEO, evaluated the benefit of HMG-CoA reductase
inhibitors in the COPD population and found no benefit in exacerbation rates and
vascular or pulmonary function, respectively. These results are reflected in
practice guidelines, which do not support the use of HMG-CoA reductase inhibitors
for the purpose of reducing COPD exacerbations.
PMID- 26543361
TI - Time trends in coronary revascularization procedures among people with COPD:
analysis of the Spanish national hospital discharge data (2001-2011).
AB - BACKGROUND: People with COPD suffering from coronary artery disease are
frequently treated with revascularization procedures. We aim to compare trends in
the use and outcomes of these procedures in COPD and non-COPD patients in Spain
between 2001 and 2011. METHODS: We identified all patients who had undergone
percutaneous coronary interventions (PCIs) and coronary artery bypass graft
(CABG) surgeries, using national hospital discharge data. Discharges were grouped
into: COPD and no COPD. RESULTS: From 2001 to 2011, 428,516 PCIs and 79,619 CABGs
were performed. The sex and age-adjusted use of PCI increased by 21.27% per year
from 2001 to 2004 and by 5.47% per year from 2004 to 2011 in patients with COPD.
In-hospital mortality (IHM) among patients with COPD who underwent a PCI
increased significantly from 2001 to 2011 (odds ratio 1.11; 95% confidence
interval 1.03-1.20). Among patients with COPD who underwent a CABG, the sex and
age-adjusted CABG incidence rate increased by 9.77% per year from 2001 to 2003,
and then decreased by 3.15% through 2011. The probability of dying during
hospitalization in patients who underwent a CABG did not change significantly in
patients with and without COPD (odds ratio, 1.06; 95% confidence interval 0.96
1.17). CONCLUSION: The annual percent change in PCI procedures increased in COPD
and non-COPD patients. We found a decrease in the use of CABG procedures in both
groups. IHM was higher in patients with COPD who underwent a PCI than in those
without COPD. However, COPD did not increase the probability of dying during
hospitalization in patients who underwent a CABG.
PMID- 26543362
TI - Forecasting COPD hospitalization in the clinic: optimizing the chronic
respiratory questionnaire.
AB - PURPOSE: Forecasting hospitalization in patients with COPD has gained significant
interest in the field of COPD care. There is a need to find simple tools that can
help clinicians to stratify the risk of hospitalization in these patients at the
time of care. The perception of quality of life has been reported to be
independently associated with hospitalizations, but questionnaires are
impractical for daily clinical use. Individual questions from valid
questionnaires can have robust predictive abilities, as has been suggested in
previous reports, as a way to use patient-reported outcomes to forecast important
events like hospitalizations in COPD. Our primary aim was to assess the
predictive value of individual questions from the Chronic Respiratory
Questionnaire Self-Assessment Survey (CRQ-SAS) on the risk of hospitalization and
to develop a clinically relevant and simple algorithm that clinicians can use in
routine practice to identify patients with an increased risk of hospitalization.
PATIENTS AND METHODS: A total of 493 patients with COPD prospectively recruited
from an outpatient pulmonary clinic completed the CRQ-SAS, demographic
information, pulmonary function testing, and clinical outcomes. The cohort had a
mean age of 70 years, was 54% male, with forced expiratory volume in 1 second
percentage predicted 42.8+/-16.7, and modified Medical Research Council dyspnea
scale score of 2+/-1.13. RESULTS: Our analysis validated the original CRQ-SAS
domains. Importantly, recursive partitioning analysis identified three CRQ-SAS
items regarding fear or panic of breathlessness, dyspnea with basic activities of
daily living, and depressive symptoms that were highly predictive of
hospitalization. We propose a robust (area under the curve =0.70) but short and
easy algorithm for daily clinical care to forecast hospitalizations in patients
with COPD. CONCLUSION: We identified three themes - fear of breathlessness,
dyspnea with basic activities of daily living, and depressive symptoms - as
important patient-reported outcomes to predict hospitalizations, and propose a
short and easy algorithm to forecast hospitalizations in patients with COPD.
PMID- 26543363
TI - Glutathione-degradable drug-loaded nanogel effectively and securely suppresses
hepatoma in mouse model.
AB - The reduction-responsive polymeric nanocarriers have attracted considerable
interest because of a significantly higher concentration of intracellular
glutathione in comparison with that outside cells. The smart nanovehicles can
selectively transport the antitumor drugs into cells to improve efficacies and
decrease side effects. In this work, a facilely prepared glutathione-degradable
nanogel was employed for targeting intracellular delivery of an antitumor drug
(ie, doxorubicin [DOX]). DOX was loaded into nanogel through a sequential
dispersion and dialysis approach with a drug loading efficiency of 56.8 wt%, and
the laden nanogel (noted as NG/DOX) showed an appropriate hydrodynamic radius of
56.1+/-3.5 nm. NG/DOX exhibited enhanced or improved maximum tolerated dose on
healthy Kunming mice and enhanced intratumoral accumulation and dose-dependent
antitumor efficacy toward H22 hepatoma-xenografted mouse model compared with free
drug. In addition, the upregulated antitumor efficacy of NG/DOX was further
confirmed by the histopathological and immunohistochemical analyses. Furthermore,
the excellent in vivo security of NG/DOX was confirmed by the detection of body
weight, histopathology, and biochemical indices of corresponding organs and
serum. With controllable large-scale preparation and fascinating in vitro and in
vivo properties, the reduction-responsive nanogel exhibited a good prospect for
clinical chemotherapy.
PMID- 26543365
TI - Enhanced combination therapy effect on paclitaxel-resistant carcinoma by
chloroquine co-delivery via liposomes.
AB - A novel composite liposomal system co-encapsulating paclitaxel (PTX) with
chloroquine phosphate (CQ) was designed for treating PTX-resistant carcinoma. It
was confirmed that liposomal CQ can sensitize PTX by means of autophagy
inhibition and competitively binding with multidrug-resistance transporters.
Furthermore, according to the in vitro cytotoxicity and apoptosis assay, real
time observation of cellular uptake, and in vivo tissue distribution study, co
encapsulation of PTX and CQ in liposomes was validated as superior to the mixture
of PTX liposome plus CQ liposome due to the simultaneous delivery and synergetic
effect of the two drugs. Consequently, this composite liposome achieved
significantly stronger anticancer efficacy in vivo than the PTX liposome plus CQ
liposome mixture. This study helps to guide and enlighten ongoing and future
clinical trials about the optimal administration modes for drug combination
therapy.
PMID- 26543364
TI - Methotrexate-loaded lipid-core nanocapsules are highly effective in the control
of inflammation in synovial cells and a chronic arthritis model.
AB - BACKGROUND: Rheumatoid arthritis (RA) is the most common autoimmune disease in
the word, affecting 1% of the population. Long-term prognosis in RA was greatly
improved following the introduction of highly effective medications such as
methotrexate (MTX). Despite the importance of this drug in RA, 8%-16% of patients
must discontinue the treatment because of adverse effects. Last decade, we
developed a promising new nanocarrier as a drug-delivery system, lipid-core
nanocapsules. OBJECTIVE: The aim of the investigation reported here was to
evaluate if methotrexate-loaded lipid-core nanocapsules (MTX-LNC) reduce
proinflammatory and T-cell-derived cytokines in activated mononuclear cells
derived from RA patients and even in functional MTX-resistant conditions. We also
aimed to find out if MTX-LNC would reduce inflammation in experimentally
inflammatory arthritis at lower doses than MTX solution. METHODS: Formulations
were prepared by self-assembling methodology. The adjuvant arthritis was induced
in Lewis rats (AIA) and the effect on edema formation, TNF-alpha levels, and
interleukin-1 beta levels after treatment was evaluated. Mononuclear cells
obtained from the synovial fluid of RA patients during articular infiltration
procedures were treated with MTX solution and MTX-LNC. For in vitro experiments,
the same dose of MTX was used in comparing MTX and MTX-LNC, while the dose of MTX
in the MTX-LNC was 75% lower than the drug in solution in in vivo experiments.
RESULTS: Formulations presented nanometric and unimodal size distribution
profiles, with D[4.3] of 175+/-17 nm and span of 1.6+/-0.2. Experimental results
showed that MTX-LNC had the same effect as MTX on arthritis inhibition on day 28
of the experiment (P<0.0001); however, this effect was achieved earlier, on day
21 (P<0.0001), by MTX-LNC, and this formulation had reduced both TNF-alpha
(P=0.001) and IL-1alpha (P=0.0002) serum levels by the last day of the
experiment. Further, the MTX-LNC were more effective at reducing the cytokine
production from mononuclear synovial cells than MTX. CONCLUSION: The MTX-LNC were
better than the MTX solution at reducing proinflammatory cytokines and T-cell
derived cytokines such as interferon-gamma and interleukin-17A. This result,
combined with the reduction in the dose required for therapy, shows that MTX-LNC
are a very promising system for the treatment of RA.
PMID- 26543366
TI - Enhanced oral bioavailability of silymarin using liposomes containing a bile
salt: preparation by supercritical fluid technology and evaluation in vitro and
in vivo.
AB - The aim of this investigation was to develop a procedure to improve the
dissolution and bioavailability of silymarin (SM) by using bile salt-containing
liposomes that were prepared by supercritical fluid technology (ie, solution
enhanced dispersion by supercritical fluids [SEDS]). The process for the
preparation of SM-loaded liposomes containing a bile salt (SM-Lip-SEDS) was
optimized using a central composite design of response surface methodology with
the ratio of SM to phospholipids (w/w), flow rate of solution (mL/min), and
pressure (MPa) as independent variables. Particle size, entrapment efficiency
(EE), and drug loading (DL) were dependent variables for optimization of the
process and formulation variables. The particle size, zeta potential, EE, and DL
of the optimized SM-Lip-SEDS were 160.5 nm, -62.3 mV, 91.4%, and 4.73%,
respectively. Two other methods to produce SM liposomes were compared to the SEDS
method. The liposomes obtained by the SEDS method exhibited the highest EE and
DL, smallest particle size, and best stability compared to liposomes produced by
the thin-film dispersion and reversed-phase evaporation methods. Compared to the
SM powder, SM-Lip-SEDS showed increased in vitro drug release. The in vivo AUC(0
t) of SM-Lip-SEDS was 4.8-fold higher than that of the SM powder. These results
illustrate that liposomes containing a bile salt can be used to enhance the oral
bioavailability of SM and that supercritical fluid technology is suitable for the
preparation of liposomes.
PMID- 26543367
TI - Frequency of anemia in chronic psychiatry patients.
AB - PURPOSE: Anemia could cause psychiatric symptoms such as cognitive function
disorders and depression or could deteriorate an existing psychiatric condition
when it is untreated. The objective of this study is to scrutinize the frequency
of anemia in chronic psychiatric patients and the clinical and sociodemographic
factors that could affect this frequency. METHODS: All inpatients in our clinic
who satisfied the study criteria and received treatment between April 2014 and
April 2015 were included in this cross-sectional study. Sociodemographic data for
378 patients included in the study and hemoglobin (Hb) and hematocrit values
observed during their admission to the hospital were recorded in the forms. Male
patients with an Hb level of <13 g/dL and nonpregnant female patients with an Hb
level of <12 g/dL were considered as anemic. FINDINGS: Axis 1 diagnoses
demonstrated that 172 patients had depressive disorder, 51 patients had bipolar
disorder, 54 patients had psychotic disorder, 33 patients had conversion
disorder, 19 patients had obsessive-compulsive disorder, 25 patients had
generalized anxiety disorder, and 24 patients had other psychiatric conditions.
It was also determined that 25.4% of the patients suffered from anemia. Thirty
five percent of females and 10% of males were considered as anemic. The frequency
of anemia was the highest among psychotic disorder patients (35%), followed by
generalized anxiety disorder patients (32%), and obsessive-compulsive disorder
patients (26%). Anemia was diagnosed in 22% of depressive disorder patients, 25%
of bipolar disorder patients, and 24% of conversion disorder patients. RESULTS:
The prevalence of anemia among chronic psychiatry patients is more frequent than
the general population. Thus, the study concluded that it would be beneficial to
consider the physical symptoms and to conduct the required examinations to
determine anemia among this patient group.
PMID- 26543368
TI - Epidemiological support for genetic variability at hypothalamic-pituitary-adrenal
axis and serotonergic system as risk factors for major depression.
AB - BACKGROUND: Major depressive disorder (MDD) is a serious, and common psychiatric
disorder worldwide. By the year 2020, MDD will be the second cause of disability
in the world. The GranadSigmap study is the first, to the best of our knowledge,
epidemiological study of mental disorders carried out in Andalusia (South Spain),
being one of its main objectives to identify genetic and environmental risk
factors for MDD and other major psychiatric disorders. In this study, we focused
on the possible association of 91 candidate single nucleotide polymorphisms
(SNPs) with MDD. METHODS: A total of 711 community-based individuals participated
in the GranadSigmap study. All individuals were extensively assessed for
clinical, psychological, sociodemographic, life style, and other environmental
variables. A biological sample was also collected for subsequent genetic analyses
in 91 candidate SNPs for MDD. DSM-IV diagnosis of MDD was used as the outcome
variable. Logistic regression analysis assuming an additive genetic model was
performed to test the association between MDD and the genetic data. The
experiment-wide significance threshold adjusted with the SNP spectral
decomposition method provided a maximum P-value (8*10(-3)) required to identify
an association. Haplotype analyses were also performed. RESULTS: One SNP
(rs623580) located in the tryptophan hydroxylase 1 gene (TPH1; chromosome 11),
one intergenic variant (rs9526236) upstream of the 5-hydroxytryptamine receptor
2A gene (HTR2A; chromosome 13), and five polymorphisms (rs17689966, rs173365,
rs7209436, rs110402, and rs242924) located in the corticotropin-releasing hormone
receptor 1 gene (CRHR1; chromosome 17), all showed suggestive trends for
association with MDD (P<0.05). Within CRHR1 gene, the TATGA haplotype combination
was found to increase significantly the risk for MDD with an odds ratio =1.68
(95% CI: 1.16-2.42, P=0.006). CONCLUSION: Although limited, perhaps due to
insufficient sample size power, our results seem to support the notion that the
hypothalamic-pituitary-adrenal and serotonergic systems are likely to be involved
in the genetic susceptibility for MDD. Future studies, including larger samples,
should be addressed for further validation and replication of the present
findings.
PMID- 26543369
TI - Electroencephalography signatures of attention-deficit/hyperactivity disorder:
clinical utility.
AB - The techniques and the most important results on the use of
electroencephalography (EEG) to extract different measures are reviewed in this
work, which can be clinically useful to study subjects with attention
deficit/hyperactivity disorder (ADHD). First, we discuss briefly and in simple
terms the EEG analysis and processing techniques most used in the context of
ADHD. We review techniques that both analyze individual EEG channels (univariate
measures) and study the statistical interdependence between different EEG
channels (multivariate measures), the so-called functional brain connectivity.
Among the former ones, we review the classical indices of absolute and relative
spectral power and estimations of the complexity of the channels, such as the
approximate entropy and the Lempel-Ziv complexity. Among the latter ones, we
focus on the magnitude square coherence and on different measures based on the
concept of generalized synchronization and its estimation in the state space.
Second, from a historical point of view, we present the most important results
achieved with these techniques and their clinical utility (sensitivity,
specificity, and accuracy) to diagnose ADHD. Finally, we propose future research
lines based on these results.
PMID- 26543370
TI - Decompressive craniectomy for severe traumatic brain injury patients with fixed
dilated pupils.
AB - OBJECTIVE: The outcome of decompressive craniectomy (DC) for severe traumatic
brain injury (sTBI) patients with fixed dilated pupils (FDPs) is not clear. The
objective of this study was to validate the outcome of DC in sTBI patients with
FDPs. PATIENTS: We retrospectively collected data from 207 sTBI patients with
FDPs during the time period of May 4, 2003-October 22, 2013: DC group (n=166) and
conservative care (CC) group (n=41). MEASUREMENTS: Outcomes that were used as
indicators in this study were mortality and favorable outcome. The analysis was
based on the Glasgow Outcome Scale recorded at 6 months after trauma. RESULTS: A
total of 49.28% patients died (39.76% [DC group] vs 87.80% [CC group]). The mean
increased intracranial pressure values after admission before operation were
36.20+/-7.55 mmHg in the DC group and 35.59+/-8.18 mmHg in the CC group. After
performing DC, the mean ICP value was 14.38+/-2.60 mmHg. Approximately, 34.34%
sTBI patients with FDPs in the DC group gained favorable scores and none of the
patients in the CC group gained favorable scores. CONCLUSION: We found that DC
plays a therapeutic role in sTBI patients with FDPs, and it is particularly
important to reduce intracranial pressure as soon as possible after trauma. For
the patients undergoing DC, favorable outcome and low mortality could be
achieved.
PMID- 26543371
TI - Critical appraisal of extended-release hydrocodone for chronic pain: patient
considerations.
AB - Opioid analgesics are currently the most effective pharmacologic option for the
management of both acute and chronic forms of moderate-to-severe pain. Although
the "as-needed" use of immediate-release formulations is considered optimum for
treating acute, painful episodes of limited duration, the scheduled dosing of
extended-release formulations with immediate-release supplementation for
breakthrough pain is regarded to be most effective for managing chronic
conditions requiring around-the-clock treatment. The recent introduction of
extended-release formulations of the opioid analgesic hydrocodone potentially
broadened the possibility of providing pain relief for individuals for whom
current formulations are either ineffective or not tolerated. However, reaction
to the approval of the new formulations has fueled controversy over the general
safety and need for opioid medications, in light of their potential for misuse,
abuse, diversion, and addiction. Here, we discuss how the approval of extended
release formulations of hydrocodone and the emotionally charged controversy over
their release may affect physician prescribing and the care available to patients
in need of chronic opioid therapy for the management of pain.
PMID- 26543372
TI - Assessing Nociception by fMRI of the Human Spinal Cord: A Systematic Review.
AB - OBJECTIVE: To assess the use of fMRI of the spinal cord in measuring noxious
stimulation. METHODS: The Scopus, Medline, EMBASE, and Web of Science databases
were searched, along with the reference lists of included articles. Two
independent reviewers screened abstracts, full-text articles, and extracted data.
Original research was included if fMRI of the human spinal cord was used to
measure responses to noxious stimulation. RESULTS: Of the 192 abstracts screened,
19 met the search criteria and were divided according to their focus:
investigating pain responses (n = 6), methodology (n = 6), spinal cord injury (n
= 2), or cognition-pain interactions (n = 5). All but one study appear to have
observed activity in ipsilateral and dorsal gray matter regions in response to
noxious stimuli, although contralateral or ventral activity was also widely
observed. CONCLUSIONS: Although nociception can be investigated using spinal
fMRI, establishing reliability, standardizing methodology, and reporting of
results will greatly advance this field.
PMID- 26543373
TI - MicroRNA-766 targeting regulation of SOX6 expression promoted cell proliferation
of human colorectal cancer.
AB - MicroRNAs (miRNAs) have emerged as important regulators of cancer-cell biological
processes. Previous studies have shown that miR-766 plays an important role in a
variety of biological processes in various human cancers. However, the underlying
mechanism of miR-766 in colorectal cancer (CRC) cells remains unclear. In this
study, we investigated miR-766's role in CRC cell proliferation. Polymerase chain
reaction results showed that miR-766 expression was significantly upregulated in
CRC tissues and cells. Ectopic expression of miR-766 promoted cell growth and
anchorage-independent growth in CRC cells. Bioinformatic analysis predicted SOX6,
a potential target of miR-766, acting as a tumor suppressor. Luciferase reporter
assay results demonstrated that miR-766 directly bound to the 3'-untranslated
region of SOX6. Overexpression of miR-766 suppressed SOX6 expression, resulting
in the downregulation of p21 and upregulation of cyclin D1. In a further
experiment, SOX6-silenced SW480 cells transfected with miR-766 promoted cell
growth, suggesting that downregulation of SOX6 was required for miR-766-induced
CRC cell proliferation. Taken together, these results suggested that miR-766
represents an onco-miRNA and participates in the development of CRC by modulating
SOX6 expression.
PMID- 26543374
TI - rs712 polymorphism within let-7 microRNA-binding site might be involved in the
initiation and progression of colorectal cancer in Chinese population.
AB - rs712 within 3'-untranslated region of KRAS can affect the specific binding
between the mRNA and its targeted microRNAs, leading to the activation of KRAS
oncogene. However, the possible association between the locus and susceptibility
to colorectal cancer (CRC) remains unclear. We investigated genotypes of the
locus in 586 cases and 476 controls to explore the possible association between
them. Results of our case-control study showed that genotypes TT (6.5% vs 2.5%,
P=0.002, adjusted odds ratio [OR] =2.810, 95% confidence interval [CI] =1.342
5.488) and GT/TT (36.5% vs 30.5%, P=0.038, adjusted OR =1.342, 95% CI =1.030
1.712) and allele T (21.5% vs 6.5%, P=0.004, adjusted OR =1.328, 95% CI =1.105
1.722) of rs712 were significantly associated with an increased risk of CRC, and
the significant association was also observed in the recessive model (TT vs
GG/GT, 6.5% vs 2.5%, P=0.003, adjusted OR =0.372, 95% CI =0.191-0.725). However,
there was no association between genotype GT and risk of CRC (30.0% vs 28.0%,
P=0.235, adjusted OR =1.210, 95% CI =0.903-1.548). Furthermore, genotype GT
(P=0.003) and allele T (P=0.003) were significantly associated with poor
differentiation, and genotypes GT and TT and allele T were significantly
associated with tumor-node-metastases stage III (P=0.001 for GT vs GG, P<0.001
for TT vs GG, and P<0.001 for T vs G) and node metastasis (P<0.001 for GT vs GG,
P=0.001 for TT vs GG, and P<0.001 for T vs G), respectively. These findings
indicated that allele T and genotypes TT and GT/TT of rs712 might be susceptible
factors for CRC, and mutated allele and genotypes of the locus might predict a
poor clinical outcome in Chinese population.
PMID- 26543375
TI - Combined olaparib and oxaliplatin inhibits tumor proliferation and induces G2/M
arrest and gamma-H2AX foci formation in colorectal cancer.
AB - BACKGROUND: Poly (ADP-ribose) polymerase 1 (PARP1) has an important role in
homologous recombination repair. The purpose of this study was to investigate the
effect of PARP1 inhibitor on oxaliplatin treatment for colorectal cancer (CRC).
METHODS: A cell counting kit-8 assay was used to determine the sensitivity of CRC
cells to olaparib and/or oxaliplatin. The gene and protein expressions of PARP1
and the gamma histone variant H2AX (gammaH2AX) were measured by real-time
quantitative polymerase chain reaction and western blotting, respectively. The
gammaH2AX foci formation assay was used to investigate the influence of
treatments on cells. Flow cytometry was used to examine the changes in cell cycle
distribution. Finally, we investigated the combination of olaparib and
oxaliplatin in the CRC tumor model. RESULTS: Olaparib changed the expression of
gammaH2AX and PARP1, and increased the sensitivity of CRC cells to oxaliplatin.
The gammaH2AX foci assay showed that olaparib did not induce double-strand breaks
(DSBs) alone, but it enhanced the induction of DSBs by oxaliplatin. The flow
cytometry results showed that cells exposed to combination treatment had more
G2/M-phase cells than control. Additionally, tumor xenograft studies suggested
that combined treatment inhibited the growth of CRC. CONCLUSION: CRC cells are
sensitized to combined treatment with olaparib and oxaliplatin, and this could be
a promising strategy for clinical chemotherapy in CRC.
PMID- 26543376
TI - Transarterial oily chemoembolization with lidamycin shows potent therapeutic
efficacy in VX2 rabbit liver tumor.
AB - Transarterial oily chemoembolization (TOCE) is one of the most effective
approaches for the treatment of patients with hepatocellular carcinoma (HCC), who
are not suitable for surgical therapy. Lidamycin (LDM), a potent antitumor
antibiotic, demonstrates good antitumor efficacy in various tumor types, both in
vitro and in vivo. In this study, the antitumor efficacy of LDM combined with
TOCE against the rabbit VX2 tumor was assessed. A toxicity assay with 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) demonstrated that a
combination of LDM with lipiodol did not impair the cytotoxicity of LDM against
HepG2 cells in vitro. Using TOCE in rabbit VX2 tumor models, LDM showed a more
powerful inhibitory effect against the tumor and lowered the expression levels of
proliferating cell nuclear antigen (PCNA), cluster of differentiation 31 (CD31),
and vascular endothelial growth factor (VEGF) compared to Adriamycin (ADM);
moreover, this improvement was not accompanied by an increase of hepatotoxicity
as shown by alanine aminotransferase (ALT) and aspartate aminotransferase (AST)
levels. These results suggested that LDM combined with TOCE may be a feasible
strategy in HCC therapy in the future.
PMID- 26543377
TI - Concurrent chemoradiotherapy using paclitaxel plus cisplatin in the treatment of
elderly patients with esophageal cancer.
AB - OBJECTIVE: This study aimed at assessing the efficiency and safety of concurrent
chemoradiotherapy (CCRT) using paclitaxel (PTX) plus cisplatin (CDDP) in elderly
(age >=70 years) esophageal cancer patients. PATIENTS AND METHODS: Between July
2008 and June 2011, 82 esophageal cancer patients aged >=70 years were
retrospectively analyzed. Chemotherapy consisted of CDDP for 3 days plus PTX
given for 3 hours. The preplanned total dose of concurrent irradiation with 60
Gy/30 Fx was given at the 1st day of chemotherapy. RESULTS: The average age for
the enrolled patients was 76.41 years (range: 70-87 years), and the clinical
stages were stage I (two patients), stage II (23 patients), stage III (49
patients), and stage IV (eight patients). A total of 66 patients finished CCRT on
schedule, including 55 (67.1%) patients in whom treatment regimen was not
changed, and the clinical complete response was achieved in 29 patients. With a
median follow-up time of 20.4 months, the median overall survival (OS) time and
progression-free survival (PFS) time were 26.9 months and 18.2 months,
respectively. The 2-year OS and PFS rates for stage I-II and III-IV were 76.0%,
64.0% and 38.6%, 21.2%, respectively. Grade >=3 leukopenia was observed in 25
patients, and the most common nonhematologic toxicity was esophagitis including
five and two patients with grade 3 and 4, respectively. Multivariate analysis
revealed that clinical stage was a strong factor for OS and PFS. CONCLUSION: CCRT
using PTX plus CDDP for selected elderly esophageal cancer patients resulted in
encouraging survival outcomes and tolerable toxicities. Future prospective
studies in large cohorts are highly warranted to confirm the findings in our
report.
PMID- 26543378
TI - Potential therapeutic strategy for gastric cancer peritoneal metastasis by NKG2D
ligands-specific T cells.
AB - PURPOSE: Despite advancements in its treatment, gastric cancer continues to be
one of the leading causes of cancer deaths worldwide. Adoptive transfer of
chimeric antigen receptor-modified T cells is a promising antitumor therapy for
many cancers. The purpose of this study was to construct a chimeric receptor
linking the extracellular domain of NKG2D to the CD28 and CD3zeta chain
intracellular domains to target gastric cancers that expressed NKG2D ligands.
METHODS: Expression of NKG2D ligands including MICA, MICB, and ULBP1-3 in a
gastric cancer cell line and primary gastric cancer cells from ascites samples
were analyzed using flow cytometry. Co-culture experiments were performed by
incubating chNKG2D T cells with gastric cancer cell lines and with primary human
gastric cancer cells isolated from ascites and by measuring cytokine and
chemokine release and cytotoxicity. RESULTS: Gastric cancer cell lines and
ascites-derived primary human gastric cancer cells expressed high levels of MICA,
MICB, and ULBP2. ChNKG2D T cells secreted proinflammatory cytokines and
chemokines when cultured with these cancer cells. In addition, chNKG2D T cells
lysed gastric cancer cell lines and the ascites-derived primary human gastric
cancer cells. CONCLUSION: These data indicate that treatment with chNKG2D
expressing T cells is a potential immunotherapy for gastric cancer with
peritoneal metastasis.
PMID- 26543379
TI - Prognostic value of Notch-1 expression in hepatocellular carcinoma: a meta
analysis.
AB - Association of Notch-1 expression with prognosis of patients with hepatocellular
carcinoma (HCC) remains controversial. We conducted a meta-analysis to reevaluate
the association of Notch-1 expression with clinicopathological characteristics
and prognosis of HCC. PubMed, Embase, Web of Science, and China National
Knowledge Infrastructure were searched to look for relevant studies. The
association between Notch-1 expression and clinicopathological parameters and
overall survival (OS) was then reassessed using the meta-analysis for odds ratio
(OR) or hazard ratio (HR) and 95% confidence interval (CI). A total of seven
studies, including 810 HCC patients, were eligible for the meta-analysis. Our
data showed that high Notch-1 expression was able to predict poor OS (HR 1.50,
95% CI 1.17-1.83, P=0.0001). The pooled OR showed that high Notch-1 expression
was significantly associated with tumor metastasis (OR 0.37, 95% CI 0.16-0.86,
P=0.02) and tumor size >5 cm (OR 0.48, 95% CI 0.26-0.88, P=0.02). In contrast,
there was no association between high Notch-1 expression and tumor
differentiation, late TNM stage, tumor number, and portal vein invasion of HCC.
In conclusion, Notch-1 overexpression might predict poorer survival and more
aggressive behavior in patients with HCC.
PMID- 26543380
TI - Serum dickkopf-1 as a biomarker in screening gastrointestinal cancers: a
systematic review and meta-analysis.
AB - OBJECTIVE: Despite advances in the early diagnosis of gastrointestinal (GI)
cancers, these cancers are often being detected rather late in their course.
Emerging published data on the accuracy of dickkopf-1 (DKK1) for diagnosing GI
cancers are inconsistent. The purpose of this systematic review and meta-analysis
was to evaluate the diagnostic value of DKK1 in the diagnosis of GI cancers.
METHODS: A systematic literature search of PubMed, Web of Science, Embase,
Chinese National Knowledge Infrastructure, and WANFANG databases was conducted to
identify the related studies published before May 1, 2015, which investigated the
diagnostic value of serum DKK1 for GI cancers. The methodological quality of each
study was assessed according to the Quality Assessment of Diagnostic Accuracy
Studies 2 checklist. The diagnostic performance was pooled and analyzed using a
bivariate model. Publication bias was evaluated with the Deeks' funnel test.
RESULTS: A total of 15 studies with 5,076 participants were finally identified
for the meta-analysis. The pooled results of sensitivity (SEN), specificity
(SPE), positive likelihood ratio, negative likelihood ratio, and diagnostic odds
ratio for DKK1 test were 0.72 (95% confidence interval [CI]: 0.70-0.74), 0.90
(95% CI: 0.89-0.91), 7.72 (95% CI: 4.90-12.14), 0.29 (95% CI: 0.22-0.39), and
28.95 (95% CI: 16.25-51.65) for diagnosis of GI cancers, respectively. The area
under the summary receiver-operating characteristic curve was 0.8901. The SEN of
DKK1 in diagnosis of gastric cancer and pancreatic cancer may be higher than
hepatocellular carcinoma, and the SPE in pancreatic cancer subgroup was lower
than hepatocellular carcinoma and gastric cancer subgroups. CONCLUSION: The
currently available evidence suggests that serum DKK1 is a potential biomarker
with high SEN and SPE for screening GI cancers. To better elucidate the
usefulness of serum DKK1, further studies are needed.
PMID- 26543381
TI - Management of pemphigus vulgaris: challenges and solutions.
AB - The main objective in the treatment of pemphigus vulgaris is to control the
disease, prevent relapses, and avoid adverse events associated with the prolonged
use of steroids and immunosuppressive agents. Systemic corticosteroids remain the
gold standard treatment for pemphigus vulgaris. Azathioprine and mycophenolate
mofetil are the first line of steroid-sparing treatment. Rituximab is extremely
effective in recalcitrant pemphigus, when other treatments fail to control the
disease. The European Dermatology Forum recommends tapering prednisolone by 25%
every 2 weeks after the consolidation phase, and a 5 mg reduction every 4 weeks
when the dose is reduced to <20 mg. If the patient relapses, options include
increasing steroids back to the previous dose, adding an immunosuppressant if
using steroid monotherapy, or replacing a first-line immunosuppressant by another
if already on combination therapy.
PMID- 26543383
TI - Randomized comparative trial of cervical block protocols for pain management
during hysteroscopic removal of polyps and myomas.
AB - PURPOSE: To evaluate the efficacy of two cervical block protocols for pain
management during hysteroscopic removal of intrauterine polyps and myomas using
the MyoSure((r)) device. PATIENTS AND METHODS: This was a randomized, comparative
treatment trial conducted by five private Obstetrics and Gynecology practices in
the USA. Forty premenopausal women aged 18 years and older were randomized to
receive either a combination para/intracervical block protocol of 37 cc local
anesthetic administered at six injections sites in association with the
application of topic 1% lidocaine gel, or an intracervical block protocol of 22
cc local anesthetic administered at three injections sites without topical
anesthetic, for pain management during hysteroscopic removal of intrauterine
polyps and/or a single type 0 or type 1 submucosal myoma <=3 cm. The main
outcomes were a composite measure of procedure-related pain and pain during the
postoperative recovery period, assessed by the Wong-Baker Faces Rating Scale (0=
no pain to 10= maximum pain). The lesion characteristics, procedure time, and
adverse events were summarized. RESULTS: A total of 17 polyps and eight myomas
were removed in the para/intracervical block group, with diameters of 1.3+/-0.5
cm and 1.8+/-0.8 cm, respectively. In the intracervical block group, 25 polyps
with a mean diameter of 1.2+/-0.7 cm and 7 myomas with a mean diameter of 1.9+/
0.9 cm were removed. The mean tissue resection time was 1.2+/-2.0 minutes and
1.2+/-1.4 minutes for the para/intracervical and intracervical block groups,
respectively. The mean composite procedure-related pain score was low for both
cervical block protocols, 1.3+/-1.4 in the para/intracervical block group vs
2.1+/-1.5 in the intracervical block group. During the postoperative recovery
period, the mean pain scores were 0.3+/-0.7 vs 1.2+/-1.7 for the
para/intracervical and intracervical block groups, respectively. There were no
serious adverse events. CONCLUSION: The MyoSure procedure for removal of polyps
and myomas was well tolerated, with low pain scores reported for both the
para/intracervical and intracervical block protocols.
PMID- 26543382
TI - Exercise after breast cancer treatment: current perspectives.
AB - Over the past 2 decades, great strides have been made in the field of exercise
oncology research, particularly with breast cancer. This area of research is
particularly important since there are >2.8 million breast cancer survivors who
are in need of an intervention that can offset treatment-related side effects.
Noticeable reductions in physical fitness (ie, cardiopulmonary fitness and
muscular strength), negative changes in body composition (ie, increase in body
mass, decrease in lean body mass, and increase in fat mass), increased fatigue,
depression, or anxiety are some of the common side effects of cancer treatments
that negatively impact overall quality of life and increase the risk for the
development of comorbidities. Exercise plays a vital role in improving
cardiopulmonary function, psychological events, muscular strength, and endurance
in breast cancer survivors, and thus should be considered as a key factor of
lifestyle intervention to reverse negative treatment-related side effects. The
purpose of this review is to address current perspectives on the benefits of
aerobic and resistance exercise after breast cancer treatments. This review is
focused on the well-established benefits of exercise on physical and emotional
well-being, bone health, lymphedema management, and the postulated benefits of
exercise on risk reduction for recurrence of breast cancer.
PMID- 26543384
TI - Sleep characteristics of individuals with chronic stroke: a pilot study.
AB - Changes in sleep characteristics in individuals with chronic stroke are not well
described, particularly compared with healthy individuals. Therefore, the aim of
this pilot study was to explore the sleep characteristics in individuals with
chronic stroke compared to age- and sex-matched controls. Sixteen individuals
with chronic stroke and ten age- and sex-matched controls underwent two nights of
polysomnographic recording. The sleep characteristics of interest included total
sleep time, sleep efficiency, and percent time, as well as time in minutes spent
in stages N1, N2, and N3 and stage R sleep. The individuals with chronic stroke
spent less percent time in stage N3 compared with controls (P=0.048). No
significant differences in the other sleep characteristics were found between the
stroke and control groups. Individuals with chronic stroke present with altered
stage N3 sleep compared with healthy controls. These alterations in stage N3
sleep might be a sign of neuronal dysfunction and may impact recovery following
stroke. A larger scale study is needed to confirm these findings.
PMID- 26543385
TI - Biomarkers of Angiogenesis in Colorectal Cancer.
AB - Colorectal cancer (CRC) is the third most common cancer worldwide and accounts
for 10% of all new cancer diagnoses. Angiogenesis is a tightly regulated process
that is mediated by a group of angiogenic factors such as vascular endothelial
growth factor and its receptors. Given the widespread use of antiangiogenic
agents in CRC, there has been considerable interest in the development of methods
to identify novel markers that can predict outcome in the treatment of this
disease with angiogenesis inhibitors. Multiple biomarkers are in various phases
of development and include tissue, serum, and imaging biomarkers. The complexity
of the angiogenesis pathway and the overlap between the various angiogenic
factors present a significant challenge to biomarker discovery. In our review, we
discuss the angiogenesis pathway and the most promising evolving concepts in
biomarker discovery, as well as highlight the landmark studies that identify
subgroups of patients with CRC who may preferentially benefit from angiogenesis
inhibitors.
PMID- 26543388
TI - Genetically Targeted Dipeptidyl Peptidase-4 Inhibitor Use in a Patient with a
Novel Mutation of MODY type 4.
AB - Maturity onset diabetes of the young (MODY) is a rare form of diabetes mellitus
typically seen in young adults that results from pancreatic beta-cell
dysfunction. MODY4 is a rare subtype caused by a PDX1 mutation. In this case, we
present a nonobese 26-year-old male with polyuria and polydipsia. Lab work showed
a blood glucose of 511 mg/dL, no ketones or antibodies (insulin, islet cell, and
glutamic acid decarboxylase [GAD]), C-peptide of 1.6 ng/mL, and A1c 9.3%. Genetic
analysis revealed a novel nonsense mutation in the PDX1 gene, consistent with
MODY type 4. Given this patient's particular genetic mutation affecting the
incretin pathway, sitagliptin was substituted for glyburide, which led to
significant improvement in glycemic control. Our case report identifies a unique
mutation in a rare form of MODY and outlines management of ensuing diabetes
through targeting its inherent genetic mutation.
PMID- 26543386
TI - Development of hypertension in overweight adolescents: a review.
AB - The upward trend in adolescent hypertension is widely attributed to the
adolescent obesity epidemic. Secular trends in adolescent prehypertension and
hypertension have risen in congruence with increasing trends in the prevalence of
overweight and obesity. The correlation between body mass index and blood
pressure in adolescence is moderate to strong in most studies and strongest in
those classified as overweight or obese. The mechanisms relating to the
development of hypertension in overweight adolescents are unclear; however, a
number of nonmodifiable and modifiable factors have been implicated. Importantly,
certain clinical and biochemical markers in overweight adolescents are indicative
of high risk for hypertension, including family history of hypertension and
hyperinsulinemia. These characteristics may prove useful in stratifying
overweight adolescents as high or low risk of comorbid hypertension. The
treatment of overweight and obesity related hypertension in this population
focuses on two key modalities: lifestyle change and pharmacotherapy. These
approaches focus almost exclusively on weight reduction; however, a number of
emerging strategies target hypertension more specifically. Among adolescents with
overt hypertension there are also several factors that indicate higher risk of
concurrent subclinical disease, persistent adult hypertension, and adult
cardiovascular disease. This group may benefit substantially from more aggressive
pharmacological treatments. Limitations in the literature relate to the paucity
of studies reporting specific effects for the adolescent age group of overweight
and obese individuals. Nonetheless, intervention for adiposity-related
hypertension in adolescence may partially mitigate some of the cardiovascular
risk in adulthood.
PMID- 26543387
TI - Organizing Pneumonia in Rheumatoid Arthritis Patients: A Case-Based Review.
AB - We treated 21 patients with organizing pneumonia (OP) associated with rheumatoid
arthritis (RA) or related to biological disease-modifying antirheumatic drugs
(DMARDs) at our institution between 2006 and 2014. Among these cases, 3 (14.3%)
preceded articular symptoms of RA, 4 (19.0%) developed simultaneously with RA
onset, and 14 (66.7%) occurred during follow-up periods for RA. In the case of OP
preceding RA, increased levels of anti-cyclic citrullinated peptide antibodies
and rheumatoid factor were observed at the OP onset. RA disease activity was
related to the development of OP in the simultaneous cases. In the cases of OP
developing after RA diagnosis, 10 of 14 patients had maintained low disease
activity with biological DMARD therapy at the OP onset, and among them, 6
patients developed OP within the first year of this therapy. In the remaining
four patients, RA activity was not controlled at the OP onset. All patients
responded well to systemic steroid therapy, but two patients suffered from
relapses of articular and pulmonary symptoms upon steroid tapering. In most of
the RA patients, DMARD therapy was introduced or restarted during the steroid
tapering. We successfully restarted a biological DMARD that had not been
previously used for patients whose RA would otherwise have been difficult to
control. In this study, we also perform a review of the literature on RA
associated or biological DMARD-related OP and discuss the pathogenesis and
management of OP occurring in RA patients.
PMID- 26543390
TI - Epidemiological Survey of Severe Fever with Thrombocytopenia Syndrome Virus in
Ticks in Nagasaki, Japan.
AB - Severe fever with thrombocytopenia syndrome (SFTS) is an emerging disease endemic
in East Asia. Transmitted to other organisms by infected ticks, the SFTS virus
(SFTSV) and is endemic to Nagasaki in western Japan. However, epidemiological
information regarding SFTSV in Nagasaki ticks has not been available to date. In
this study, we began by examining the sensitivities of SFTSV gene detection by
real-time RT-PCR and virus isolation in cultured cells and mice. These methods
could detect SFTSV in the samples containing more than 4 * 10(0) ffu. Next, we
attempted to isolate SFTSV and to detect viral gene in 2,222 nymph and adult
ticks collected from May to August 2013 among seven regions of Nagasaki. However,
neither virus isolation nor viral gene detection were confirmed in the tick
pools. SFTSV positivity rates are considered to be very low in ticks, and viral
loads are also very limited. Further investigations increasing the number of
ticks and including larval samples as well as improved detection methods, may be
required to find SFTSV-positive ticks in this region.
PMID- 26543389
TI - Emerging drugs of abuse: current perspectives on synthetic cannabinoids.
AB - New psychoactive drugs that have appeared over the last decade are typically
dominated by cathinones and synthetic cannabinoids (SCs). SCs have been emerging
as recreational drugs because they mimic the euphoria effect of cannabis while
still being legal. Sprayed on natural herb mixtures, SCs have been primarily sold
as "herbal smoking blends" or "herbal incense" under brand names like "Spice" or
"K2". Currently, SCs pure compounds are available from websites for the
combination with herbal materials or for the use in e-cigarettes. For the past 5
years, an ever increasing number of compounds, representative of different
chemical classes, have been promoted and now represent a large assortment of new
popular drugs of abuse, which are difficult to properly identify. Their legal
status varies by country with many government institutions currently pushing for
their control. The in vitro binding to CB1/CB2 receptors is usually well-known
and considerable differences have been found in the CB1 versus CB2 selectivity
and potency within the different SCs, with several structure-activity relations
being evident. Desired effects by CB1 agonist users are relaxation/recreative,
however, cardiovascular, gastrointestinal, or psychiatric/neurological side
effects are commonly reported. At present there is no specific antidote existing
if an overdose of designer drugs was to occur, and no curative treatment has been
approved by health authorities. Management of acute toxic effects is mainly
symptomatic and extrapolated from experience with cannabis.
PMID- 26543391
TI - Republication: Two Premature Neonates of Congenital Syphilis with Severe Clinical
Manifestations.
AB - Congenital syphilis (CS) is a public health burden in both developing and
developed countries. We report two cases of CS in premature neonates with severe
clinical manifestations; Patient 1 (gestational age 31 weeks, birth weight 1423
g) had disseminated idiopathic coagulation (DIC) while Patient 2 (gestational age
34 weeks and 6 days, birth weight 2299 g) had refractory syphilitic meningitis.
Their mothers were single and had neither received antenatal care nor undergone
syphilis screening. Both neonates were delivered via an emergency cesarean
section and had birth asphyxia and transient tachypnea of newborn. Physical
examination revealed massive hepatosplenomegaly. Laboratory testing of maternal
and neonatal blood showed increased rapid plasma reagin (RPR) titer and positive
Treponema pallidum hemagglutination assay. Diagnosis of CS was further supported
by a positive IgM fluorescent treponemal antibody absorption test and large
amounts of T. pallidum spirochetes detected in the placenta. Each neonate was
initially treated with ampicillin and cefotaxime for early bacterial
sepsis/meningitis that coexisted with CS. Patient 1 received fresh frozen plasma
and antithrombin III to treat DIC. Patient 2 experienced a relapse of CS during
initial antibiotic treatment, necessitating parenteral penicillin G. Treatment
was effective in both neonates, as shown by reductions in RPR. Monitoring of
growth and neurological development through to age 4 showed no evidence of
apparent delay or complications. Without adequate antenatal care and maternal
screening tests for infection, CS is difficult for non-specialists to diagnose at
birth, because the clinical manifestations are similar to those of neonatal
sepsis and meningitis. Ampicillin was insufficient for treating CS and penicillin
G was necessary.
PMID- 26543392
TI - Comparative Study of Paired Serum and Cerebrospinal Fluid Samples from
Neurocysticercosis Patients for the Detection of Specific Antibody to Taenia
solium Immunodiagnostic Antigen.
AB - Neurocysticercosis (NCC) is an important disease of the central nervous system
caused by infection with Taenia solium metacestodes. In addition to the clinical
findings and the imaging analysis, the results of immunological tests are
informative for the diagnosis of NCC. To compare the usefulness of serum and
cerebrospinal fluid (CSF) samples for antibody detection, paired serum and CSF
samples from patients with NCC and other neurological diseases were examined by
an enzyme-linked immunosorbent assay with low-molecular-weight antigens purified
from T. solium cyst fluid in a blinded fashion. The sensitivity of both serum and
CSF samples was 25.0% in inactive NCC cases (n = 4) and 90.9% in active NCC cases
(n = 33), and the specificity of serum and CSF was 100% and 95.8%, respectively.
When the serum and CSF samples were combined, the sensitivity in active NCC cases
became 100%. There was no difference in test performance between serum and CSF
samples. Based on these results, we recommend the detection of specific
antibodies in serum for the diagnosis of active NCC because of the ease of
collection. When the antibody test is negative, however, CSF should be used to
confirm NCC and to rule out other medical disorders of the central nervous
system. Antibody detection test using only serum or CSF has a limited diagnostic
value and cannot be recommended for the diagnosis of suspected inactive NCC
cases.
PMID- 26543393
TI - An Early Detection of Decline in Rotavirus Cases during the 2013/2014 Season in
Japan as Revealed by Time-series Analysis of National Surveillance Data.
AB - Rotavirus is a leading cause of severe acute gastroenteritis in children
worldwide, and globally licensed vaccines are available. To expedite the
introduction of rotavirus vaccines in the national immunisation programme, a
simple, ecological method to monitor changes in the burden of rotavirus disease
may be of great help. Here, we report an application of a time-series analysis on
a publicly-available dataset in Japan on the weekly number of laboratory
confirmed rotavirus-positive samples over the last 5 year period between the 36th
week of 2009 and the 35th week of 2014 during which rotavirus vaccines became
marketed in Japan and presumed to reach an uptake rate of at least 39% as a
national average. Compared with the expected number of rotavirus detection based
on the preceding four rotavirus seasons, the number of rotavirus detection during
the 2013-2014 season was 42.9% (95% CI: 38.6, 47.8). This suggests that the use
of rotavirus vaccine had a positive impact on reducing the burden of rotavirus
diarrhoea in Japan. This method, because of its simplicity and little cost,
should be applicable to early detection of the impact of rotavirus vaccine even
in resource-poor countries where the World Health Organization funded and
implemented the sentinel surveillance programmes of laboratory-confirmed
rotavirus cases.
PMID- 26543394
TI - Bacteriological Profile and Antibiotic Susceptibility Pattern of Neonatal Sepsis
at a Teaching Hospital in Bayelsa State, Nigeria.
AB - BACKGROUND: Sepsis is one of the most common causes of neonatal hospital
admissions and is estimated to cause 26% of all neonatal deaths worldwide. While
waiting for results of blood culture, it is necessary to initiate an empirical
choice of antibiotics based on the epidemiology of causative agents and
antibiotic sensitivity pattern in a locality. OBJECTIVE: To determine the major
causative organisms of neonatal sepsis at the Niger Delta University Teaching
Hospital (NDUTH), as well as their antibiotic sensitivity patterns, with the aim
of formulating treatment protocols for neonates. METHODS: Within a 27-month
period (1st of October 2011 to the 31st of December 2013), results of blood
culture for all neonates screened for sepsis at the Special Care Baby Unit of the
hospital were retrospectively studied. RESULTS: Two hundred and thirty-three
(49.6%) of the 450 neonates admitted were screened for sepsis. Ninety-seven
(43.5%) of them were blood culture positive, with 52 (53.6%) of the isolated
organisms being Gram positive and 45 (46.4%) Gram negative. The most frequently
isolated organism was Staphylococcus aureus (51.5%) followed by Escherichia coli
(16.5%) and Klebsiella pneumoniae (14.4%). All isolated organisms demonstrated
the highest sensitivity to the quinolones. CONCLUSION: Neonatal sepsis is a
significant cause of morbidity among neonates admitted at the NDUTH. There is a
need for regular periodic surveillance of the causative organisms of neonatal
sepsis as well as their antibiotic susceptibility pattern to inform the empirical
choice of antibiotic prescription while awaiting blood culture results.
PMID- 26543395
TI - Management of Severe Gastrointestinal Tuberculosis with Injectable
Antituberculous Drugs.
AB - Abdominal tuberculosis (TB) is generally responsive to medical treatment, and
early diagnosis and management can prevent unnecessary surgical intervention.
However, intravenous therapy is needed for severe forms of tuberculosis with
extensive gastrointestinal involvement. The authors report an immunocompetent
patient with gastrointestinal TB who was successfully managed with a combination
of surgical intervention and anti-TB medications, and discuss the importance of
injectable anti-TB medications in the management of severe gastrointestinal TB.
The present case report provides a model for assessment and intervention in
severe forms of gastrointestinal TB.
PMID- 26543396
TI - The Use of Ozone in High Frequency Device to Treat Hand Ulcers in Leprosy: a Case
Study.
AB - Leprosy leads to chronic granulomatous inflammation in skin and peripheral nerves
that can lead to sensory, motor and autonomic impairments. Autonomic dysfunctions
may result in dryness and cracking of the skin. In this study, we present the use
of ozone provided by a high-frequency device to treat hand ulcers (wounds) in an
80-year-old man who was diagnosed as multibacillary in 2007. In the first visit,
the patient was evaluated and received verbal and written instructions about self
care. Treatment consisted of five sessions, once per week. The ozone provided by
a high-frequency device seemed to be useful in the treatment of ulcers, thus,
contributing to the healing process. Research that investigates the use of high
frequencies in the treatment of ulcers associated or not with other interventions
(self-care strategies, protective clothing, adapted tools and footwear
adaptation) is strongly recommended.
PMID- 26543397
TI - On the concept of sloped motion for free-floating wave energy converters.
AB - A free-floating wave energy converter (WEC) concept whose power take-off (PTO)
system reacts against water inertia is investigated herein. The main focus is the
impact of inclining the PTO direction on the system performance. The study is
based on a numerical model whose formulation is first derived in detail.
Hydrodynamics coefficients are obtained using the linear boundary element method
package WAMIT. Verification of the model is provided prior to its use for a PTO
parametric study and a multi-objective optimization based on a multi-linear
regression method. It is found that inclining the direction of the PTO at around
50 degrees to the vertical is highly beneficial for the WEC performance in that
it provides a high capture width ratio over a broad region of the wave period
range.
PMID- 26543398
TI - Antiplane wave scattering from a cylindrical cavity in pre-stressed nonlinear
elastic media.
AB - The effect of a longitudinal stretch and a pressure-induced inhomogeneous radial
deformation on the scattering of antiplane elastic waves from a cylindrical
cavity is determined. Three popular nonlinear strain energy functions are
considered: the neo-Hookean, the Mooney-Rivlin and a two-term Arruda-Boyce model.
A new method is developed to analyse and solve the governing wave equations. It
exploits their properties to determine an asymptotic solution in the far-field,
which is then used to derive a boundary condition to numerically evaluate the
equations local to the cavity. This method could be applied to any linear
ordinary differential equation whose inhomogeneous coefficients tend to a
constant as its independent variable tends to infinity. The effect of the pre
stress is evaluated by considering the scattering cross section. A longitudinal
stretch is found to decrease the scattered power emanating from the cavity,
whereas a compression increases it. The effect of the pressure difference depends
on the strain energy function employed. For a Mooney-Rivlin material, a cavity
inflation increases the scattered power and a deflation decreases it; for a neo
Hookean material, the scattering cross section is unaffected by the radial
deformation; and for a two-term Arruda-Boyce material, both inflation and
deflation are found to decrease the scattered power.
PMID- 26543399
TI - Fluorescence-tagged metallothionein with CdTe quantum dots analyzed by the chip
CE technique.
AB - ABSTRACT: Quantum dots (QDs) are fluorescence nanoparticles (NPs) with unique
optic properties which allow their use as probes in chemical, biological,
immunological, and molecular imaging. QDs linked with target ligands such as
peptides or small molecules can be used as tumor biomarkers. These particles are
a promising tool for selective, fast, and sensitive tagging and imaging in
medicine. In this study, an attempt was made to use QDs as a marker for human
metallothionein (MT) isoforms 1 and 2. Four kinds of CdTe QDs of different sizes
bioconjugated with MT were analyzed using the chip-CE technique. Based on the
results, it can be concluded that MT is willing to interact with QDs, and the
chip-CE technique enables the observation of their complexes. It was also
observed that changes ranging roughly 6-7 kDa, a value corresponding to the MT
monomer, depend on the hydrodynamic diameters of QDs; also, the MT sample without
cadmium interacted stronger with QDs than MT saturated with cadmium. Results show
that MT is willing to interact with smaller QDs (blue CdTe) rather than larger
ones QDs (red CdTe). To our knowledge, chip-CE has not previously been applied in
the study of CdTe QDs interaction with MT. GRAPHICAL ABSTRACT:
PMID- 26543400
TI - Supersymmetric dark matter after LHC run 1.
AB - Different mechanisms operate in various regions of the MSSM parameter space to
bring the relic density of the lightest neutralino, [Formula: see text], assumed
here to be the lightest SUSY particle (LSP) and thus the dark matter (DM)
particle, into the range allowed by astrophysics and cosmology. These mechanisms
include coannihilation with some nearly degenerate next-to-lightest
supersymmetric particle such as the lighter stau [Formula: see text], stop
[Formula: see text] or chargino [Formula: see text], resonant annihilation via
direct-channel heavy Higgs bosons H / A, the light Higgs boson h or the Z boson,
and enhanced annihilation via a larger Higgsino component of the LSP in the focus
point region. These mechanisms typically select lower-dimensional subspaces in
MSSM scenarios such as the CMSSM, NUHM1, NUHM2, and pMSSM10. We analyze how
future LHC and direct DM searches can complement each other in the exploration of
the different DM mechanisms within these scenarios. We find that the [Formula:
see text] coannihilation regions of the CMSSM, NUHM1, NUHM2 can largely be
explored at the LHC via searches for [Formula: see text] events and long-lived
charged particles, whereas their H / A funnel, focus-point and [Formula: see
text] coannihilation regions can largely be explored by the LZ and Darwin DM
direct detection experiments. We find that the dominant DM mechanism in our
pMSSM10 analysis is [Formula: see text] coannihilation: parts of its parameter
space can be explored by the LHC, and a larger portion by future direct DM
searches.
PMID- 26543405
TI - Biotechnological Uses of Archaeal Proteins.
PMID- 26543404
TI - Lepton flavour violating top decays at the LHC.
AB - We consider lepton-flavour violating decays of the top quark, mediated by 4
fermion operators. We compile constraints on a complete set of SU(3) [Formula:
see text] U(1)-invariant operators, arising from their loop contributions to rare
decays and from HERA's single-top search. The bounds on e-[Formula: see text]
flavour change are more restrictive than on [Formula: see text]-[Formula: see
text]; nonetheless the top could decay to a jet [Formula: see text] with a
branching ratio of order [Formula: see text]. We estimate that the currently
available LHC data (20 fb[Formula: see text] at 8 TeV) could be sensitive to
[Formula: see text]+ jet) [Formula: see text], and we extrapolate that 100
fb[Formula: see text] at 13 TeV could reach a sensitivity of [Formula: see text].
PMID- 26543403
TI - Renormalization scheme dependence of the two-loop QCD corrections to the neutral
Higgs-boson masses in the MSSM.
AB - Reaching a theoretical accuracy in the prediction of the lightest MSSM Higgs
boson mass, [Formula: see text], at the level of the current experimental
precision requires the inclusion of momentum-dependent contributions at the two
loop level. Recently two groups presented the two-loop QCD momentum-dependent
corrections to [Formula: see text] (Borowka et al., Eur Phys J C 74(8):2994,
2014; Degrassi et al., Eur Phys J C 75(2):61, 2015), using a hybrid on-shell
[Formula: see text] scheme, with apparently different results. We show that the
differences can be traced back to a different renormalization of the top-quark
mass, and that the claim in Ref. Degrassi et al. (Eur Phys J C 75(2):61, 2015) of
an inconsistency in Ref. Borowka et al. (Eur Phys J C 74(8):2994, 2014) is
incorrect. We furthermore compare consistently the results for [Formula: see
text] obtained with the top-quark mass renormalized on-shell and [Formula: see
text]. The latter calculation has been added to the FeynHiggs package and can be
used to estimate missing higher-order corrections beyond the two-loop level.
PMID- 26543402
TI - The pMSSM10 after LHC run 1.
AB - We present a frequentist analysis of the parameter space of the pMSSM10, in which
the following ten soft SUSY-breaking parameters are specified independently at
the mean scalar top mass scale [Formula: see text]: the gaugino masses [Formula:
see text], the first-and second-generation squark masses [Formula: see text], the
third-generation squark mass [Formula: see text], a common slepton mass [Formula:
see text] and a common trilinear mixing parameter A, as well as the Higgs mixing
parameter [Formula: see text], the pseudoscalar Higgs mass [Formula: see text]
and [Formula: see text], the ratio of the two Higgs vacuum expectation values. We
use the MultiNest sampling algorithm with [Formula: see text]1.2 [Formula: see
text] points to sample the pMSSM10 parameter space. A dedicated study shows that
the sensitivities to strongly interacting sparticle masses of ATLAS and CMS
searches for jets, leptons [Formula: see text][Formula: see text] signals depend
only weakly on many of the other pMSSM10 parameters. With the aid of the Atom and
Scorpion codes, we also implement the LHC searches for electroweakly interacting
sparticles and light stops, so as to confront the pMSSM10 parameter space with
all relevant SUSY searches. In addition, our analysis includes Higgs mass and
rate measurements using the HiggsSignals code, SUSY Higgs exclusion bounds, the
measurements of [Formula: see text] by LHCb and CMS, other B-physics observables,
electroweak precision observables, the cold dark matter density and the XENON100
and LUX searches for spin-independent dark matter scattering, assuming that the
cold dark matter is mainly provided by the lightest neutralino [Formula: see
text]. We show that the pMSSM10 is able to provide a supersymmetric
interpretation of [Formula: see text], unlike the CMSSM, NUHM1 and NUHM2. As a
result, we find (omitting Higgs rates) that the minimum [Formula: see text] with
18 degrees of freedom (d.o.f.) in the pMSSM10, corresponding to a [Formula: see
text] probability of 30.8 %, to be compared with [Formula: see text] in the CMSSM
(NUHM1) (NUHM2). We display the one-dimensional likelihood functions for
sparticle masses, and we show that they may be significantly lighter in the
pMSSM10 than in the other models, e.g., the gluino may be as light as [Formula:
see text]1250 [Formula: see text] at the 68 % CL, and squarks, stops, electroweak
gauginos and sleptons may be much lighter than in the CMSSM, NUHM1 and NUHM2. We
discuss the discovery potential of future LHC runs, [Formula: see text] colliders
and direct detection experiments.
PMID- 26543407
TI - Institutions and national development in Latin America: a comparative study.
AB - We review the theoretical and empirical literatures on the role of institutions
on national development as a prelude to present a more rigorous and measurable
definition of the concept and a methodology to study this relationship at the
national and subnational levels. The existing research literature features
conflicting definitions of the concept of "institutions" and empirical tests
based mostly on reputational indices, with countries as units of analysis. The
present study's methodology is based on a set of five strategic organizations
studied comparatively in five Latin American countries. These include key federal
agencies, public administrative organizations, and stock exchanges. Systematic
analysis of results show a pattern of differences between economically-oriented
institutions and those entrusted with providing basic services to the general
population. Consistent differences in institutional quality also emerge across
countries, despite similar levels of economic development. Using the algebraic
methods developed by Ragin, we test six hypotheses about factors determining the
developmental character of particular institutions. Implications of results for
theory and for methodological practices of future studies in this field are
discussed.
PMID- 26543406
TI - Production and Application of a Soluble Hydrogenase from Pyrococcus furiosus.
AB - Hydrogen gas is a potential renewable alternative energy carrier that could be
used in the future to help supplement humanity's growing energy needs.
Unfortunately, current industrial methods for hydrogen production are expensive
or environmentally unfriendly. In recent years research has focused on biological
mechanisms for hydrogen production and specifically on hydrogenases, the enzyme
responsible for catalyzing the reduction of protons to generate hydrogen. In
particular, a better understanding of this enzyme might allow us to generate
hydrogen that does not use expensive metals, such as platinum, as catalysts. The
soluble hydrogenase I (SHI) from the hyperthermophile Pyrococcus furiosus, a
member of the euryarchaeota, has been studied extensively and used in various
biotechnological applications. This review summarizes the strategies used in
engineering and characterizing three different forms of SHI and the properties of
the recombinant enzymes. SHI has also been used in in vitro systems for hydrogen
production and NADPH generation and these systems are also discussed.
PMID- 26543408
TI - E-selectin and vascular cell adhesion molecule-1 as biomarkers of 3-month outcome
in cerebrovascular diseases.
AB - BACKGROUND: Inflammation is known to worsen cerebral damage at the acute phase of
stroke. In this setting, cell adhesion molecules (CAMs) play a crucial role
mediating migration of immune cells into the infarcted area. However, their value
in long-term outcome prediction for patients with cerebrovascular diseases (CVD)
is less described. METHODS: Levels of four CAMs (E-selectin, P-selectin
glycoprotein ligand-1, intercellular adhesion molecule-1, and vascular cell
adhesion molecule-1 (VCAM-1)) and six other known biomarkers (C-reactive protein
(CRP), interleukin-6 (IL-6), N-terminal pro-brain natriuretic peptide (NT
proBNP), troponin I, vasopressin-neurophysin 2-copeptin, and S100 calcium-binding
protein B) were measured in a population of patients presenting CVD. Blood
collections for analysis were performed within different time windows after
stroke onset: 0-6 h, 6-36 h, 2-3 days, 5-7 days, and 2-3 weeks. Independent
associations with poor outcome at 3 months (modified Rankin Scale score > 2) were
sought using univariate and multivariate analysis after adjustments for age and
National Institute of Health Stroke Scale score. Predictive ability of each
biomarker has also been assessed with ROC analysis. RESULTS: One hundred patients
were prospectively included whom 75 presented with ischemic strokes, nine with
hemorrhagic strokes and 16 with transient ischemic attacks. During the first 6 h
after stroke onset, E-selectin was found to be an independent predictor of 3
month outcome (odds ratio (OR) =24; 95 % confidence interval (95 % CI), 2-354; p
= 0.022) (area under the curve (AUC) =78 %), as was VCAM-1 during the third week
after onset (OR = 8; 95 % CI, 2-37; p = 0.01) (AUC = 73 %). Associations remained
after the exclusion of patients with hemorrhagic strokes and transient ischemic
attacks. Independent associations with outcome were also found for CRP (OR = 5;
95 % CI, 1-22; p = 0.023) and IL-6 (OR = 5; 95 % CI, 1-17; p = 0.021) at 2-3 days
and for NT-proBNP at 6-36 h (OR = 20; 95 % CI, 1-337; p = 0.04). CONCLUSIONS: E
selectin and VCAM-1 were independent predictors of outcome in a population of
patients with CVD. The predictive capability of other biomarkers known to be
indicators for prognosis also emerged, confirming the study's robustness. CAMs
levels could be considered as objective biological criteria for prognosis in CVD.
PMID- 26543409
TI - Patient's Satisfaction with Health Care: a Questionnaire Study of Different
Aspects of Care.
AB - AIM: To determine the influence of sociodemographic factors on patients'
satisfaction with health care system. METHODS: In a cross-sectional study, 1,995
patients from 12 municipalities of Zenica-Doboj Canton were interviewed after a
visit to the practice. Individual interviews were conducted and the questionnaire
was made on the basis of EUROPEP (European Task Force on Patient Evaluations of
General Practice Care) standardized questionnaire. RESULTS: Out of the total
number patients, 47.1% were females, 47.9% were from urban population and median
of age was 42.0 years (IQR = 30.0 to 53.0 years). The rural population was more
likely to buy drugs for medical treatment (p < 0.001) and parenteral injections
in primary care practice (p < 0.001). Patients with lower level of education were
more likely: to be ordered for physical examination (p = 0.001), to buy drugs for
medical treatment (p = 0.001), to buy parenteral injections in primary care
practice (p < 0.001); to pay unofficially to someone from medical staff (p <
0.001); to feel that they could be better treated (p = 0.032) and they had longer
waiting for health service in primary care practice (p < 0.001). Older population
had better assessment of secondary (p = 0.040) and tertiary health care practices
(p = 0.034); needed more time is needed to reach health facilities (p = 0.016),
longer waiting for health service in primary care practice (p < 0.001); more
likely to have health problems in the past 12 months but they did not request
medical treatment (p = 0.008); more likely to be ordered for physical examination
(p < 0.001); more likely to buy drugs for medical treatment (p = 0.004); more
likely to buy parenteral injections in primary care practice (p < 0.001).
CONCLUSION: The following variables: gender, age, overall perception of health
status and financial status appear to be predictors of patients' satisfaction.
PMID- 26543410
TI - General Satisfaction Among Healthcare Workers: Differences Between Employees in
Medical and Mental Health Sector.
AB - BACKGROUND: General satisfaction is a personal experience and sources of
satisfaction or dissatisfaction vary between professional groups. General
satisfaction is usually related with work settings, work performance and mental
health status. AIM: The purpose of this research study was to investigate the
level of general satisfaction of health care workers and to examine whether there
were any differences among employees of medical and mental health sector.
METHODS: The sample consisted of employees from the medical and mental health
sector, who were all randomly selected. A two-part questionnaire was used to
collect data. The first section involved demographic information and the second
part was a General Satisfaction Questionnaire (GSQ). The statistical analysis of
data was performed using the software package 19.0 for Windows. Descriptive
statistics were initially generated for sample characteristics. All data
exhibited normal distributions and thus the parametric t-test was used to compare
mean scores between the two health sectors. P values < 0.05 were defined as
reflecting the acceptable level of statistical significance. RESULTS: 457
healthcare workers completed the questionnaire. The mean age of the sample was
41.8 +/- 7.9 years. The Cronbach alpha coefficient for GSQ was 0.79. The total
mean score of general satisfaction for the employees in medical sector was 4.5
(5=very satisfied) and for the employees in mental health sector is 4.8. T-test
showed that these results are statistical different (t=4.55, p<0.01) and
therefore the two groups of healthcare workers feel different general
satisfaction. CONCLUSIONS: Mental health employees appear to experience higher
levels of general satisfaction and mainly they experience higher satisfaction
from family roles, life and sexual life, emotional state and relations with
patients.
PMID- 26543412
TI - Predictive Role of Preventive Measures in Preventing the Progression of Diabetic
Foot.
AB - INTRODUCTION: Diabetes mellitus (DM) is one of the most common endocrine disease
of modern life. Diabetic foot (DF) is the term for a foot of a patient suffering
from DM with the potential risk of a number of pathological sequels, including
infection, ulceration and/or destruction of deep tissue. GOAL: To determine the
importance of preventive measures to prevent the development of diabetic foot.
RESULTS: The gender structure of respondents categorized by the complication of
DF (yes/no) was uniform. The average age was 60.15+/-12.2 years. Respondents
without DF, 63% had 2 visits to the doctor a month, while in the group of those
with DF, 39% of them had 3 visits to a doctor and 33% four or more times. Wearing
comfortable shoes and foot hygiene in relation to the development of the DF are
interdependent: c(2)=4,409; c(2) = 12.47 (p <0.0005). Also, recurrent foot
injury, and slow healing of sores in comparison to the development of the DF are
mutually dependent; c(2)=13,195; c(2)=14 (p <0.0005). CONCLUSION: We found that
there is a significant statistical relationship between preventive measures and
development of the DF.
PMID- 26543411
TI - Impact of Educational Intervention on Patients Behavior with Smear-positive
Pulmonary Tuberculosis: A Study Using the Health Belief Model.
AB - INTRODUCTION: Tuberculosis is a single-agent infectious disease, which is the
major cause of death around the world. Approximately one third of the world's
population is infected with tuberculosis (TB) bacilli and at risk of developing
active TB. The purpose of this study was determined the impact of education based
on health belief model in promoting behavior of smear-positive pulmonary TB among
patients in Chabahar city, Iran. MATERIAL AND METHODS: Of the 80 smear-positive
pulmonary TB who referred to health centers in Chabahar voluntarily participated
in this interventional study. The data collected using questionnaire based on
health belief model. The data were analyzed by using paired t-test, independent t
test, pearson correlation and chi-square test with SPSS 16. RESULTS: The
cognitive skills were increased significantly from 6.10 to 6.88 after
intervention. All behavioral skills were increased significantly from 2.08 to
2.88 after implementing the intervention. Perceived severity was increased
from11.08to12.19 significantly. Percepted benefits were enhanced significantly
from 11.48 to 12.23. Mean percepted barrier was decreased significantly from
17.52 to 16.68. CONCLUSION: Findings demonstrated that implementing educational
intervention programs can increase the level of knowledge and behavior of
patients regarding smear- positive pulmonary TB initiatives.
PMID- 26543413
TI - Antiasthmatic Inhaled Medications as Favoring Factors for Increased Concentration
of Streptococcus Mutans.
AB - INTRODUCTION: The negative impact of inhaled antiasthmatic drugs (IAD) on oral
health is reflected primarily in the increased incidence of caries in asthmatic
children compared to healthy children. It is believed that one of the causes of
the increased incidence of caries in asthmatic children is from bronchodilator
effect in reduced secretion of saliva. Decreased salivation favors bacterial
colonization and studies have shown that the concentration of Streptococcus
mutans, a bacterium that has the primary role in the development of caries, is
higher in asthmatic than in healthy children. MATERIAL AND METHODS: The study
sample consisted of 200 patients, age from 7-14 years, divided into two groups:
control group (n1 = 100) consisted of healthy children and the experimental group
consisted of children suffering from asthma (n2 = 100). In both groups of
respondents are identified the DMFT values, the concentration of Streptococcus
mutans, the amount of stimulated saliva and plaque index value. RESULTS: It was
found that there are significant differences in the values of plaque index,
salivary index and streptococcus mutans between the control and experimental
groups (p> 0.05 for each of the examined variables). Those in the control group
had significantly higher DMFT index than subjects in the experimental group (p =
0.004). CONCLUSION: IAD does not cause reduced saliva production and thus do not
represent a factor favoring increased concentration of Streptococcus mutans.
PMID- 26543414
TI - Impact of the Tamsulosin in Alpha Adrenergic Receptor of Airways at Patients with
Increased Bronchial Reactibility.
AB - OBJECTIVE: In this work, effect of tamsulosin as antagonist of alpha1A and
alpha1B adrenergic receptor and effect of agonists of beta2 adrenergic receptor
salbutamol in patients with increased bronchial reactibility was studied.
METHODS: Parameters of the lung function are determined with Body plethysmography
six (6) hours after administration of tamsulosin. Raw and ITGV were registered
and specific resistance (SRaw) was calculated as well. Tamsulosin was
administered in per os manner as a preparation in the shape of the capsules with
a brand name of "Prolosin", produced by Niche Generics Limited, Hitchin, Herts.
RESULTS: After six (6) hours of administration of tamsulosin, results gained
indicate that blockage of alpha1A and alpha1B-adrenergic receptor (0.8 mg per os)
has not changed significantly (p > 0.1) the bronchomotor tonus of
tracheobronchial tree in comparison to the check-up that has inhaled salbutamol
agonist of adrenergic beta2 receptor (2 inh. x 0.2 mg), (p < 0.05). Blood
pressure suffered no significant decrease following administration of the 0.8 mg
dose of tamsulosin. CONCLUSION: This suggests that even after six hours of
administration of tamsulosin, and determining of lung function parameters, the
activity of alpha1A and alpha1B-adrenergic receptor in the smooth bronchial
musculature has not changed in patients with increased bronchial reactibility.
PMID- 26543415
TI - Grand Multiparity: Risk Factors and Outcome in a Tertiary Hospital: a Comparative
Study.
AB - AIM: The aim of the current study was to determine the prevalence of grand
multiparity and the associated risks factors. METHODS: Four hundred thirty
grandmutliparas (parity 5 or more) were compared with multiparous population
(parity 2-4) with regard to maternal age, gestational age, mode of delivery,
fetal and maternal outcomes and inter-current medical and obstetrical problems.
RESULTS: There were significant association between grand multiparity and adverse
pregnancy outcomes such as cesarean delivery (OR=2.699, CI=2.072-3.515, p<0.001),
fetal macrosomia (OR=1.675; 95% CI=1.004- 2.796, p=.048), Diabetes mellitus
(OR=1.634, 95%CI=1.076-2.481, p=0 .021), and pregnancy induced hypertension
(OR=1.838, 95% CI=1.054-3.204, p= .032). No significant associations were seen in
placenta abruption, placenta previa, preterm labor, postpartum hemorrhage and the
frequency of admission to neonatal intensive care unit. No prenatal or maternal
mortality was reported in this study. CONCLUSION: Grand multiparty remains a
major obstetrics problem. It is associated with many medical and obstetrical
complications. In communities where large family is desirable it is important to
address the value of family planning and conduction of meticulous antenatal care.
PMID- 26543416
TI - Doctors' Preferences for Controlled Ovarian Stimulation Protocols in Intrauterine
Insemination.
AB - INTRODUCTION: Intrauterine insemination (IUI) is an important treatment for
infertility. IUI combined with controlled ovarian stimulation (COS) is widely
used because of the higher pregnancy rates compared to IUI cycles without COS.
MATERIAL AND METHODS: We retrospectively analyzed a single center data from 458
patients underwent the first IUI cycle and had only 1 mature follicle from May
2009 to January 20144. 48 cycles were performed with Clomiphene citrate/Letrozole
(CC/LE), 244 cycles with gonadotropins (Gn), 71 cycles with CC/LE+Gn, and 95
cycles in NC group. RESULTS: Results showed that doctors preferred Gn protocol
(53.3%) (p<0.05). Older patients were more likely to be allocated to CC/LE or NC
group. 98.95% patients in NC group had regular menstruation cycle, with only
49.3% in CC/LE+Gn group (p<0.05). Estradiol (E2) level was much higher in COS
groups than in NC group (p<0.05, for one mature follicle patients), and no
significant differences were found within the COS groups. Duration of reaching
follicles maturation was the shortest in Gn group and the longest in NC group,
and NC group has the smallest follicular diameter (p<0.05). CONCLUSION: No
significances were found regarding the IUI outcomes. To sum up, doctors prefer
COS for IUI. Patients' age, menstruation cycle, infertile etiology and ovary
function were the main factors affecting doctors' selection of COS protocols.
PMID- 26543417
TI - Effect of Age, Educational Status, Parity and BMI on Development of Urinary
Incontinence - a Cross Sectional Study in Saudi Population.
AB - BACKGROUND: The research article looks at the background of women with urinary
incontinence and exposed to different demographic factors. Women who had urinary
incontinence and women without urinary incontinence were compared with regards to
their demographic features and risk of development of urinary problems. These
risk factors can either cause short term or temporary urinary incontinence or
they can cause long term or permanent urinary incontinence. This article explores
the association of age, educational status, body mass index (BMI) and parity on
the development of urinary incontinence. AIM OF STUDY: This study aimed at
conducting an analysis into the risk factors that are related to urinary
incontinence. RESULTS AND ANALYSIS: Z-tests were conducted for every demographic
factor and the results are then discussed comprehensively citing various studies
that have been conducted before. Analysis shows that age and BMI increase chances
of urinary infection and consequently urinary incontinence. Women of lower
educational levels record more cases of urinary incontinence due to lack of
general information about the condition. Women with higher parity levels also
record more cases of urinary infections and subsequently urinary incontinence.
CONCLUSION: From the analysis above, it can be seen that these factors usually
play great roles in the existence and absence of urinary incontinence especially
in women in Saudi Arabia. Most important is that, its prevention is mostly by use
of the risk factors mentioned here in the research. This will usually involve
observing a given risk factor to a state that makes it unfavorable for urinary
incontinence to occur.
PMID- 26543418
TI - Assessment of Symptoms in Cancer Patients Undergoing Chemotherapy in Northern
Greece.
AB - INTRODUCTION: Cancer patients experience a variety of symptoms that can be
physical or psychological. These symptoms may vary in terms of occurrence,
severity and distress and can be the result of the illness or the treatment.
PURPOSE: The purpose of this study is to investigate the frequency, severity and
distress of symptoms that chemotherapy induces in cancer patients. MATERIAL
METHOD: This study included 200 cancer patients undergoing chemotherapy in a
major city of Northern Greece. Data was collected using the Memorial Symptom
Assessment Scale and Questionnaire, with demographic and clinical
characteristics. RESULTS: The vast majority of those included in the sample were
male participants (n=127, 63.5%). Their mean age was 58.95 (SD=9.95, range 29
79). The most prevalent physical symptoms were numbness/tingling in the
hands/feet (54%), followed by lack of energy (46%). Feeling nervous (52%) and
having trouble sleeping (41%) were the two most common psychological symptoms.
CONCLUSIONS: The results of this study demonstrate that cancer patients
undergoing chemotherapy experience various symptoms in high prevalence, and that
they are quite severe. Therefore nurses should take into account these findings
and plan appropriate, suitable care plans and interventions in order to alleviate
them and improve patients' quality of life.
PMID- 26543419
TI - Association of Body Weight and Body Mass Index with Bone Mineral Density in Women
and Men from Kosovo.
AB - BACKGROUND AND OBJECTIVE: Body weight and body mass index (BMI) are considered
potentially modifiable determinants of bone mass. Therefore, the aim of this
study was to explore the association between body weight and body mass index
(BMI) with total hip and lumbar spine bone mineral density (BMD). METHODS: This
cross-sectional study included a population of 100 women and 32 men from Kosovo
into three BMI groups. All the study subjects underwent dual-energy X-ray
absorptiometry (DXA) measurements. RESULTS: Total hip BMD levels of obese
menopausal and premenopausal women and men were significantly higher compared to
overweight or normal weight subjects, while lumbar spine BMD levels of only
menopausal women and men were higher among obese subjects. Age-adjusted linear
regression analysis showed that BMI is a significant independent associate of
lumbar spine and total hip BMD in menopausal women and men. CONCLUSION: Despite
positive association between BMI and lumbar spine and total hip BMD in menopausal
women, presence of more obese and osteoporotic subjects among menopausal women
represent a population at risk for fractures because of poor balance and frequent
falls; therefore, both obesity and osteoporosis prevention efforts should begin
early on in life.
PMID- 26543420
TI - A Cross-sectional Study on the Prevalence of Physical Activity Among Primary
Health Care Physicians in Aljouf Region of Saudi Arabia.
AB - BACKGROUND: Sedentary life style and consequent obesity prevail in both developed
and developing nations; gender- and age-independently. Physical inactivity in a
population in a life style transition-like Saudi Arabia-causes metabolic syndrome
with its immediate and long-term complications. Healthcare workers are in a
better position for role modeling and counseling of appropriate health behaviors.
Personal physical activity and body built among physicians influences to some
degree their exercise counseling. Realizing such principle necessitates gauging
the extent of physical activity among physicians and assessing the likelihood of
counseling the patients on physical activities. METHODS: A cross-sectional study
enrolled primary health care physicians (PHCPs) from primary health care centers
and general hospitals of two cities (Sakaka and Dumat Al-Jandal) of Aljouf
region, Saudi Arabia. Both genders were included. English version of step-wise
questionnaire of World Health Organization was used for data collection. RESULTS:
The response rate was 64.2%. 65.2% of respondent PHCPs were doing moderate to
vigorous physical exercise and 34.8% of them were physically inactive. Majority
of physically inactive PHCPs had intention to increase their physical activity.
Neither gender, nationality nor city-wise significant differences were recorded.
However, physically active PHCPs significantly impart advice and role modeling on
physical activity to their patients compared to physically inactive PHCPs
(p<0.01). CONCLUSION: Most PHCPs in Sakaka and Dumat Al-Jandal cities were
physically active and were able to impart the healthy behavior counseling to
their patients. A strong intention prevailed to increase physical activity among
physically inactive Primary Health care Physicians (PHCPs).
PMID- 26543421
TI - The Opinion of Students and Faculty Members about the Effect of the Faculty
Performance Evaluation.
AB - BACKGROUND: One of the most common ways that in most countries and Iran in
determining the status of teacher training is the evaluation by students. The
most common method of evaluation is the survey questionnaire provided to the
study subjects, comprised of questions about educational activities. The
researchers plan to evaluate the opinion of students and faculty members about
the effect of the faculty performance evaluation at Mazandaran University of
Medical Sciences in 2014-15. METHODS: In this descriptive cross-sectional survey
of attitudes of students and professors base their evaluation on the impact on
their academic performance, have been studied. The populations were 3904 students
and 149 faculty members of basic sciences Mazandaran University of Medical
Sciences. Sample of 350 students and 107 students using Cochran formula faculty
members through proportional stratified random sampling was performed. The data
of the questionnaire with 28 questions on a Likert Spectrum, respectively.
Statistical Analysis Data are descriptive and inferential statistics using
Kruskal-Wallis and Mann-Whitney U test is done. RESULTS: Based on the results
obtained from total of 350 students, 309 students and from total of 107 faculty
members, 76 faculty of basic sciences, participated in this study. The most of
the students, 80 (25.9%) of the Faculty of Allied Medical Sciences and most of
the faculty of basic sciences, 33 (4.43) of the medicine science faculty.
Comments Mazandaran University of Medical Sciences in comparison to the scope of
the evaluation should test using Binominal test; we can conclude that in the
field of regulatory, scientific, educational, and communications arena, there
were no significant differences between the views of students. The greatest
supporter of the education of 193 (62%) and most challengers of exam 147 (48%),
respectively. Regarding the viewpoints of the faculty members at Mazandaran
University of Medical Sciences towards the evaluation domains, using binomial
test, it could be concluded that only on the regulation domain with the
significance level of 0.000, significant different was observed. So that, 30(23%)
and 50(53%) supported of the effect of evaluation on the effect of evaluation of
situation. Evaluation to improve the regulatory status of teachers and 70% (53
patients), the effects are positive. Students and faculty evaluations to compare
the Mann-Whitney U test was used. The results show, only within the rules, with a
significance level of 0.01 considered statistically significant relationship
between teachers and students there. CONCLUSION: considering the viewpoints of
students and faculty members about the impact of teacher performance evaluation
of the students, most of the students believed that the greatest impact
assessment has been on the improve educational performance entitled as
responsibility of the faculty member for education, interest in presenting
lessons, using audio-visual tools, having lesson plans, faculty members
participate interest and enthusiasm in presenting lessons the use of teaching
aids, lesson plans, faculty members participation in seminars, creating interest
in students to participate in class discussions and expressing the importance of
learning lessons perspective of teachers, but the faculty members viewpoints
indicate the impact of evaluation on the regular attendance and discipline, the
greatest impact assessment in the area of regulatory and compliance with the
timely and orderly and thus their activities.
PMID- 26543422
TI - Patient's Perception and Expectations of the Quality of Outpatient Services of
Imam Khomeini Hospital in Sari City.
AB - BACKGROUND AND PURPOSE: Out-patient department is the gateway to almost all of
the hospital services. Providing method of service in this place has an important
role in the general impression of the patient of hospital sanitary and treatment
services. This research was done with the purpose of studying the perception and
expectations of out-patient service receivers of Imam Khomeini Hospital in Sari.
MATERIALS AND METHODOLOGY: A cross-sectional study was conducted on those
patients in the outpatient service department of Imam Khomeini Hospital who at
least have the junior high school degree. 200 people were selected as sample size
with Morgan's table. Respondents answered the questionnaire two times. Once they
expressed their perception of the provided services and once for their
expectations. Therefore, the following expectation scores are obtained. To prove
the significance of demographic variables with perception and expectations, the T
and Tukey's tests and also to compare different groups the variance analysis test
are used. FINDINGS: The mean of age was 25.68+/- 9.086 (The youngest participant
was 16 and the oldest 67 years old). It was observed from the results of the T
test there is no significant difference between sex and residential place. It was
clear that in perception part; there was a significant difference, at the level
of 0.05 significance, in all groups except for responding and behavior, while, in
expectation level, no significance in the age of the dimensions except for
access. CONCLUSION: Results showed that the satisfaction status of patients in
Imam Hospital clinic in Sari is good. Many of the existing shortages can be
improved by presenting an accurate and organized program. The present study shows
that some service dimensions of patients require being promoted, the most
important of which include behavior, accessibility and affordability, physical
and responsiveness dimensions.
PMID- 26543423
TI - Clinical Presentation and the Outcome of Therapy in a Cohort of Patients with
Methadone Toxicity in Iran.
AB - BACKGROUND: Agonist maintenance therapy with methadone is amongst the preferred
remedies for treating opioid dependence and is increasingly supported by the
regional governments in this part of the world. In this study we have
investigated the clinical manifestations and factors affecting the outcome of
therapy in patients with methadone poisoning in a Middle-Eastern (Iranian)
referral tertiary care University hospital. METHODS: In this prospective and
descriptive-analytic study which was done in a tertiary care and referral
University hospital in Iran (2012-2013) all of the admitted patients with a clear
and reliable history of methadone poisoning (n=433) were included and demographic
data, Clinical status on admission including Glasgow Coma Scale (GCS) score, time
elapsed from ingestion to hospital admission, average dose of naloxone used, any
history of psychiatric disorder, type of toxic exposure, co ingestion of other
medication, hospitalization time and the outcome were recorded and statistically
analyzed. RESULTS: The average length of hospital stay was 33 +/- 26 hours. 80.1%
of patients had ingested methadone alone, and 90.3% survived. Complications were
pulmonary edema (7%), aspiration pneumonia (1.4%), generalized tonic colonic
seizure (0.9%), and renal failure (0.5%). GCS, systolic blood pressure and
respiratory rate were lower in fatal cases and GCS had prognostic value for the
outcome of therapy in methadone intoxicated patients. Patients with higher GCS on
admission had better outcome [OR =0.47 (95% CI: 0.38-0.580); P value< 0.0001].
CONCLUSION: Admission time GCS score maybe considered as an important predictor
for the outcome of therapy in methadone poisoning.
PMID- 26543424
TI - Social Capital Role in Managing High Risk Behavior: a Narrative Review.
AB - BACKGROUND: Social capital as a social context based concept is a new component
in addition to the previous factors including the biologic-environmental, the
genetic and the individual behavior factors that influence health and society.
Social capital refers to the information that makes people believe being
interesting & being paid attention to, & respected, valued, and belonging to a
network of bilateral relations. Health issue is greatly affected by the existence
of social capital. High risk behaviors refer to the ones enhancing the
probability of negative and devastating physical, psychological and social
consequences for an individual. Negative & overwhelming results mean keeping
one's distance from social norms as a result rejection and labeling (social
stigma) and finally, to distance oneself from the benefits of social life in the
individuals with high risk behaviors. The present study reviews social capital in
the groups having high risk behaviors. METHODS: The present study is a narrative
review in which researchers conducted their computer search in public databases
like Google Scholar, and more specifically in Pubmed, Magiran, SID, Springer,
Science Direct, and ProQuest using the keywords: social capital, social support,
risk behaviors, addicts, HIV, AIDS, and selected the articles related to the
study subject from 2004 to 2014. Overall 96 articles have been searched.
Researchers reviewed the summary of all articles searched, & ultimately, they
applied the data from 20 full articles to compile this review paper. RESULTS:
Article review results led to organizing the subjects into 6 general categories:
Social capital and its role in health; Social capital in groups with high risk
behaviors (Including: substance abusers, AIDS patients, the homeless and multi
partner women); Social capital in different social groups; measurement tools for
social capital and risk behaviors; the role of health in helping people with
risky behaviors with the focus on improving social capital and social support.
The findings of this study indicate that social capital was significantly lower
in the substance abusers than the non-addicts. Also, social participation, social
trust and networks of social relationships were significantly lower than non-drug
abusers. Social capital has interactive effects on risky behaviors and
delinquency. On the one hand, high levels of social capital can be involved in
preventing delinquency. On the other hand, creating negative social capital in
high risk groups is also considered as the damaging effects of the negative
aspects of social capital in these groups. CONCLUSIONS: From this review
extracted findings, it can be concluded that to design risky behaviors programs
and preventive interventions, social capital and social support should be
considered more than before. To accept an addict or HIV sufferer is effective in
reducing their psychological reactions. So with effective social interaction and
social support, these people can improve their risky lifestyles. As a result,
these changes are associated with higher levels of satisfaction with their lives.
Finally, it is recommended to design and implement counseling programs in order
to educate health-promoting behaviors in high risk groups focusing on social
capital and social support.
PMID- 26543425
TI - Couples Communication Skills and Anxiety of Pregnancy: A Narrative Review.
AB - BACKGROUND: physical problems during pregnancy including Anxiety disorders form a
large share of health problems. On the other hand, healthy relationship and
communication skills are vital to raise a family. For couples who enjoy
communication skills, parenthood will be the best and most pleasant experiences
in their lives. High levels of positive communication will lead to couples and
their children's mental health and couples' good relationship can have a
protective effect against stressors including anxiety of pregnancy. The current
study reviewed the studies on the relationship between communication skills and
the anxiety of pregnancy. METHODS: The current study is a review where the
researcher browsed the available databases like Google Scholar, Pubmed, Magiran,
SID, and Science Direct and using key words of Communication skills, marital
satisfaction, and the anxiety of pregnancy, & the researcher has searched the
articles of 2000-2014 & read 150 abstracts & 93 full papers and ultimately, chose
50 to write this study. RESULTS: By reviewing the findings literature in three
general categories as Communication Skills as the Significant Component to Get
Marital Satisfaction, Improving Marital Satisfaction as Pregnancy Anxiety
Reducing Factor, and Communication Skills Quality as Component Influencing
Pregnancy Anxiety. CONCLUSIONS: Having communication skills will lead to
promotion of marital satisfaction and increased mental health in life. It is,
therefore, recommended that communication skills be trained in routine programs
for pre-marriage counseling, pre-pregnancy cares and pregnancy so that the mental
health of community can be improved.
PMID- 26543426
TI - Metabolic Syndrome and Nephrolithiasis Risk: Should the Medical Management of
Nephrolithiasis Include the Treatment of Metabolic Syndrome?
AB - This article reviews the relationship between metabolic syndrome (MetS) and
nephrolithiasis, as well as the clinical implications for patients with this dual
diagnosis. MetS, estimated to affect 25% of adults in the United States, is
associated with a fivefold increase in the risk of developing diabetes, a
doubling of the risk of acquiring cardiovascular disease, and an increase in
overall mortality. Defined as a syndrome, MetS is recognized clinically by
numerous constitutive traits, including abdominal obesity, hypertension,
dyslipidemia (elevated triglycerides, low high-density lipoprotein cholesterol),
and hyperglycemia. Urologic complications of MetS include a 30% higher risk of
nephrolithiasis, with an increased percentage of uric acid nephrolithiasis in the
setting of hyperuricemia, hyperuricosuria, low urine pH, and low urinary volume.
Current American Urological Association and European Association of Urology
guidelines suggest investigating the etiology of nephrolithiasis in affected
individuals; however, there is no specific goal of treating MetS as part of the
medical management. Weight loss and exercise, the main lifestyle treatments of
MetS, counter abdominal obesity and insulin resistance and reduce the incidence
of cardiovascular events and the development of diabetes. These recommendations
may offer a beneficial adjunctive treatment option for nephrolithiasis
complicated by MetS. Although definitive therapeutic recommendations must await
further studies, it seems both reasonable and justifiable for the urologist, as
part of a multidisciplinary team, to recommend these important lifestyle changes
to patients with both conditions. These recommendations should accompany the
currently accepted management of nephrolithiasis.
PMID- 26543427
TI - Conservative Management of Urinary Incontinence in Women.
AB - Urinary incontinence in women has a high prevalence and causes significant
morbidity. Given that urinary incontinence is not generally a progressive
disease, conservative therapies play an integral part in the management of these
patients. We conducted a nonsystematic review of the literature to identify high
quality studies that evaluated the different components of conservative
management of stress urinary incontinence, including behavioral therapy, bladder
training, pelvic floor muscle training, lifestyle changes, mechanical devices,
vaginal cones, and electrical stimulation. Urinary incontinence can have a severe
impact on our healthcare system and patients' quality of life. There are
currently a wide variety of treatment options for these patients, ranging from
conservative treatment to surgical treatment. Although further research is
required in the area of conservative therapies, nonsurgical treatments are
effective and are preferred by some patients.
PMID- 26543428
TI - The Role of Minimally Invasive Surgical Techniques in the Management of Large
gland Benign Prostatic Hypertrophy.
AB - Lower urinary tract symptoms (LUTS) secondary to benign prostatic hypertrophy
(BPH) are among the most common medical issues for aging men. Population-based
studies suggest that 13.8% of men in their 40s and more than 40% of men over age
60 have BPH. When LUTS are refractory to medical therapy and bothersome enough to
warrant surgical intervention, transurethral resection of the prostate and open
simple prostatectomy have been the historical reference-standard procedures for
decades. Both procedures are highly effective and offer durable improvements in
urinary functional outcomes. However, they also have the potential for
considerable perioperative complications and morbidity. In an effort to limit
surgical morbidity, a variety of minimally invasive surgical techniques to treat
BPH have been introduced. Herein we present a comprehensive, evidence-based
review of the efficacy and safety profile of modern minimally invasive treatments
for large-gland BPH.
PMID- 26543429
TI - Current Status of Hemostatic Agents and Sealants in Urologic Surgical Practice.
AB - There has been a recent and near exponential increase in the use of hemostatic
agents and sealants to supplement the rapidly evolving methods in the surgical
management of urologic patients. This article reviews the use of hemostatic
agents and sealants in current urologic practice.
PMID- 26543430
TI - Optimizing Stone-free Rates With Ureteroscopy.
AB - Ureteroscopy is being increasingly utilized in the treatment and management of
patients with renal and ureteral stones. Improving stone-free rates with
ureteroscopy decreases the need for ancillary procedures and improves patient
outcomes and satisfaction. This article reviews contemporary literature regarding
the efficacy of a wide range of currently available techniques for improving
stone-free rates with this procedure.
PMID- 26543431
TI - The Obesity Epidemic and Its Impact on Urologic Care.
AB - Although heart disease and cancer are the number one and two causes of death in
the United States, respectively, obesity is gaining speed as a contributing cause
to both of those conditions, along with diabetes, arthritis, dyslipidemia,
coronary heart disease, gallbladder disease, and certain malignancies. Nearly one
third of the adults in the United States is overweight with a body mass index
(BMI) greater than 25 kg/m(2), and another third of the adult population is
obese, with a BMI greater than 30 kg/m(2). This article reviews the root causes
of obesity, the societal implications, and the implications of obesity on various
urologic diseases.
PMID- 26543432
TI - Impact of the US Preventive Services Task Force Grade D Recommendation:
Assessment of Evaluations for Elevated Prostate-specific Antigen and Prostate
Biopsies in a Large Urology Group Practice Following Statement Revision.
AB - On October 7, 2011, the United States Preventive Services Task Force (USPSTF)
released their evidence statement and grade D recommendation against prostate
specific antigen (PSA)-based prostate cancer screening. Using a time series
design, we assessed the effect of this recommendation upon evaluations for
elevated PSA levels and prostate biopsies in our large urology group practice. We
found that, despite a 24.1% increase in total visits, the 32 urologists in our
practice completed 16.4% fewer evaluations for elevated PSA levels (317 fewer
evaluations per month; P = .017) and 21.4% fewer prostate biopsies (42 fewer
biopsies per month; P = .001) in the 2 years following the USPSTF grade D
recommendation.
PMID- 26543433
TI - From the President's Desk: LUPGA Announces Its First CEO, Celeste Kirschner.
PMID- 26543434
TI - Best of the 2015 AUA Annual Meeting: Highlights From the 2015 American Urological
Association Annual Meeting, May 15-19, 2015, New Orleans, LA.
PMID- 26543435
TI - Retroperitoneal Ancient Schwannoma: A Case Report.
AB - Schwannomas are extremely rare tumors that are composed of Schwann cells.
Retroperitoneal localization comprises 0.7% to 2.6% of all schwannomas. Patients
usually present with nonspecific symptoms. There are no pathognomonic features on
radiologic evaluation. Preoperative biopsy is not recommended because of
complication risks; however, surgery is necessary for diagnosis and treatment.
Although most schwannomas are benign tumors, those that are associated with von
Recklinghausen disease are malignant. Schwannomas exhibit regions of high and low
cellularity, termed Antoni A and Antoni B areas, with a diffuse positivity of
S100 protein on pathologic evaluation. If there are degenerative changes, such as
cyst formation, hemorrhage, calcification, and hyalinization, these tumors are
termed ancient schwannomas. We present a case of retroperitoneal ancient
schwannoma.
PMID- 26543436
TI - Treatment of Colonic Injury During Percutaneous Nephrolithotomy.
AB - Colonic injury during percutaneous nephrolithotomy (PCNL) persists despite the
advances in technical equipment and interventional radiology techniques.
According to the Clavien-Dindo classification of surgical complications, colonic
injury is regarded as a stage IVa complication. Currently, the rate of colonic
injury ranges between 0.3% and 0.5%, with an unremarkable difference in incidence
between supine and prone PCNL procedures. Colon injury is the most significant
complication of PCNL. Colonic injury can result in more complicated open
exploration of the abdomen, involving colostomy construction. The necessity of a
second operation for the closure of the colostomy causes financial and emotional
burden on the patients, patients' relatives, and surgeons. Currently, the
majority of colonic injuries occurring during PCNL are retroperitoneal. The
primary treatment option is a conservative approach. It must be kept in mind that
the time of diagnosis is as important as the diagnosis itself in colonic injury.
Surgeons performing PCNL are advised to be conservative when considering
exploratory laparotomy and colostomy construction during treatment of colonic
injury. We present the case of a 49-year-old woman who underwent left prone PCNL
that resulted in retroperitoneal colonic injury, along with a review of the
current literature.
PMID- 26543437
TI - Development of a Model Protein Interaction Pair as a Benchmarking Tool for the
Quantitative Analysis of 2-Site Protein-Protein Interactions.
AB - A significant challenge in the molecular interaction field is to accurately
determine the stoichiometry and stepwise binding affinity constants for
macromolecules having >1 binding site. The mission of the Molecular Interactions
Research Group (MIRG) of the Association of Biomolecular Resource Facilities
(ABRF) is to show how biophysical technologies are used to quantitatively
characterize molecular interactions, and to educate the ABRF members and
scientific community on the utility and limitations of core technologies [such as
biosensor, microcalorimetry, or analytic ultracentrifugation (AUC)]. In the
present work, the MIRG has developed a robust model protein interaction pair
consisting of a bivalent variant of the Bacillus amyloliquefaciens extracellular
RNase barnase and a variant of its natural monovalent intracellular inhibitor
protein barstar. It is demonstrated that this system can serve as a benchmarking
tool for the quantitative analysis of 2-site protein-protein interactions. The
protein interaction pair enables determination of precise binding constants for
the barstar protein binding to 2 distinct sites on the bivalent barnase binding
partner (termed binase), where the 2 binding sites were engineered to possess
affinities that differed by 2 orders of magnitude. Multiple MIRG laboratories
characterized the interaction using isothermal titration calorimetry (ITC), AUC,
and surface plasmon resonance (SPR) methods to evaluate the feasibility of the
system as a benchmarking model. Although general agreement was seen for the
binding constants measured using solution-based ITC and AUC approaches, weaker
affinity was seen for surface-based method SPR, with protein immobilization
likely affecting affinity. An analysis of the results from multiple MIRG
laboratories suggests that the bivalent barnase-barstar system is a suitable
model for benchmarking new approaches for the quantitative characterization of
complex biomolecular interactions.
PMID- 26543439
TI - An Efficient Method for Electroporation of Small Interfering RNAs into ENCODE
Project Tier 1 GM12878 and K562 Cell Lines.
AB - The Encyclopedia of DNA Elements (ENCODE) Project aims to identify all functional
sequence elements in the human genome sequence by use of high-throughput DNA/cDNA
sequencing approaches. To aid the standardization, comparison, and integration of
data sets produced from different technologies and platforms, the ENCODE
Consortium selected several standard human cell lines to be used by the ENCODE
Projects. The Tier 1 ENCODE cell lines include GM12878, K562, and H1 human
embryonic stem cell lines. GM12878 is a lymphoblastoid cell line, transformed
with the Epstein-Barr virus, that was selected by the International HapMap
Project for whole genome and transcriptome sequencing by use of the Illumina
platform. K562 is an immortalized myelogenous leukemia cell line. The GM12878
cell line is attractive for the ENCODE Projects, as it offers potential synergy
with the International HapMap Project. Despite the vast amount of sequencing data
available on the GM12878 cell line through the ENCODE Project, including
transcriptome, chromatin immunoprecipitation-sequencing for histone marks, and
transcription factors, no small interfering siRNA-mediated knockdown studies have
been performed in the GM12878 cell line, as cationic lipid-mediated transfection
methods are inefficient for lymphoid cell lines. Here, we present an efficient
and reproducible method for transfection of a variety of siRNAs into the GM12878
and K562 cell lines, which subsequently results in targeted protein depletion.
PMID- 26543438
TI - Simultaneous Extraction of Viral and Bacterial Nucleic Acids for Molecular
Diagnostic Applications.
AB - Molecular detection of microbial pathogens in clinical samples requires the
application of efficient sample lysis protocols and subsequent extraction and
isolation of their nucleic acids. Here, we describe a simple and time-efficient
method for simultaneous extraction of genomic DNA from gram-positive and
negative bacteria, as well as RNA from viral agents present in a sample. This
method compared well with existing bacterial- and viral-specialized extraction
protocols, worked reliably on clinical samples, and was not pathogen specific.
This method may be used to extract DNA and RNA concurrently from viral and
bacterial pathogens present in a sample and effectively detect coinfections in
routine clinical diagnostics.
PMID- 26543441
TI - Mixed Ligand Complexes of N-Methyl-N-phenyl Dithiocarbamate: Synthesis,
Characterisation, Antifungal Activity, and Solvent Extraction Studies of the
Ligand.
AB - A series of mixed ligand dithiocarbamate complexes with a general formula
[ML2(py)2], where M = Mn(II), Co(II), Ni(II), and Cu(II), py = pyridine, and L =
N-methyl-N-phenyl dithiocarbamate have been prepared and characterised by
elemental analysis, FTIR and Uv spectroscopy, magnetic moment, and
thermogravimetric and conductance analysis. The infrared spectra showed that
symmetrical bidentate coordination occurred with the dithiocarbamate moiety
through the sulfur atoms, while neutral monodentate coordination occurred through
the nitrogen atom for the pyridine molecule in the complexes. The electronic
spectra, elemental analysis, and magnetic moment results proved that the
complexes adopted octahedral geometry. The conductance measurement showed that
the complexes are nonelectrolytes proving their nonionic nature. The compounds
were screened for three human pathogenic fungi: Aspergillus flavus, Aspergillus
niger, and Candida albicans. The cobalt complex showed the best antifungal
activity among the test compounds. Liquid-liquid extractive abilities of the
ligand towards copper and nickel ions in different solvent media were
investigated. The ligand showed a strong binding affinity towards the metals ions
with an extractive efficiency of about 99%.
PMID- 26543440
TI - Combining the Fluctuating Charge Method, Non-Periodic Boundary Conditions and
Meta-Dynamics: Aqua Ions as case studies.
AB - We present the current status of development of our code for performing Molecular
Dynamics (MD) simulations exploiting a polarizable force field based on the
Fluctuating Charge (FQ) method and non-Periodic Boundary Conditions (NPBC).
Continuing on the path set in a previous work, we increased the capabilities of
the code by implementing a number of new features, including: a non-iterative
algorithm for rigid trigonal molecule simulations; two additional temperature
coupling schemes; a meta-dynamics based approach for effective free energy
evaluations. Although these are well known algorithms, each present in one or
more widely used MD packages, they have now been tested, for the first time, in
the context of the FQ model coupled with NPBC. As case studies, we considered
three aqueous ions of increasing charge, namely Na+, Ca2+ and La3+, at infinite
dilution. In particular, by exploiting a computational approach recently proposed
by our group and based on the metadynamics technique, we focused on the important
role played by solvent polarization on ionic hydration structures, also
investigating the free energy landscapes of ion coordination and the water
exchange rates. Such an approach, previously tested with standard non-polarizable
models, was applied here to evaluate the effects of explicit polarization on
water exchange barriers between different solvent coordination structures.
Moreover, we have analyzed and discussed in some detail non-linear electrostatic
effects arising from solvent polarization while going from a mono- to a di- and
trivalent ion.
PMID- 26543442
TI - Optimizing pentacene thin-film transistor performance: Temperature and surface
condition induced layer growth modification.
AB - In this work we present in situ electrical and surface analytical, as well as ex
situ atomic force microscopy (AFM) studies on temperature and surface condition
induced pentacene layer growth modifications, leading to the selection of
optimized deposition conditions and entailing performance improvements. We
prepared p++-silicon/silicon dioxide bottom-gate, gold bottom-contact transistor
samples and evaluated the pentacene layer growth for three different surface
conditions (sputtered, sputtered + carbon and unsputtered + carbon) at sample
temperatures during deposition of 200 K, 300 K and 350 K. The AFM investigations
focused on the gold contacts, the silicon dioxide channel region and the highly
critical transition area. Evaluations of coverage dependent saturation
mobilities, threshold voltages and corresponding AFM analysis were able to
confirm that the first 3-4 full monolayers contribute to the majority of charge
transport within the channel region. At high temperatures and on sputtered
surfaces uniform layer formation in the contact-channel transition area is
limited by dewetting, leading to the formation of trenches and the partial
development of double layer islands within the channel region instead of full
wetting layers. By combining the advantages of an initial high temperature
deposition (well-ordered islands in the channel) and a subsequent low temperature
deposition (continuous film formation for low contact resistance) we were able to
prepare very thin (8 ML) pentacene transistors of comparably high mobility.
PMID- 26543443
TI - Production of hyperpolarized 13CO2 from [1-13C]pyruvate in perfused liver does
reflect total anaplerosis but is not a reliable biomarker of glucose production.
AB - In liver, 13CO2 can be generated from [1-13C] pyruvate via pyruvate dehydrogenase
or anaplerotic entry of pyruvate into the TCA cycle followed by decarboxylation
at phosphoenolpyruvate carboxykinase (PEPCK), the malic enzyme, isocitrate
dehydrogenase, or alpha-ketoglutarate dehydrogenase. The purpose of this study
was to determine the relative importance of these pathways in production of
hyperpolarized (HP) 13CO2 after administration of hyper-polarized pyruvate in
livers supplied with a fatty acid plus substrates for gluconeogenesis. Isolated
mouse livers were perfused with a mixture of thermally-polarized 13C-enriched
pyruvate, lactate and octanoate in various combinations prior to exposure to HP
pyruvate. Under all perfusion conditions, HP malate, aspartate and fumarate were
detected within ~ 3 s showing that HP [1-13C]pyruvate is rapidly converted to [1
13C]oxaloacetate which can subsequently produce HP 13CO2 via decarboxylation at
PEPCK. Measurements using HP [2-13C]pyruvate allowed the exclusion of reactions
related to TCA cycle turnover as sources of HP 13CO2. Direct measures of O2
consumption, ketone production, and glucose production by the intact liver
combined with 13C isotopomer analyses of tissue extracts yielded a comprehensive
profile of metabolic flux in perfused liver. Together, these data show that, even
though the majority of HP 13CO2 derived from HP [1-13C]pyruvate in livers exposed
to fatty acids reflects decarboxylation of [4-13C]oxaloacetate (PEPCK) or [4
13C]malate (malic enzyme), the intensity of the HP 13CO2 signal is not
proportional to glucose production because the amount of pyruvate returned to the
TCA cycle via PEPCK and pyruvate kinase is variable, depending upon available
substrates.
PMID- 26543444
TI - A Synergistic Combinatorial and Chiroptical Study of Peptide Catalysts for
Asymmetric Baeyer-Villiger Oxidation.
AB - We report an approach to the asymmetric Baeyer-Villiger oxidation utilizing
bioinformatics-inspired combinatorial screening for catalyst discovery. Scaled-up
validation of our on-bead efforts with a circular dichroism-based assay of
alcohols derived from the products of solution-phase reactions established the
absolute configuration of lactone products; this assay proved equivalent to HPLC
in its ability to evaluate catalyst performance, but was far superior in its
speed of analysis. Further solution-phase screening of a focused library
suggested a mode of asymmetric induction that draws distinct parallels with the
mechanism of Baeyer-Villiger monooxygenases.
PMID- 26543445
TI - Comments on "combination of dexmedetomidine and remifentanil for labor analgesia:
A double-blinded, randomized, controlled study".
PMID- 26543446
TI - Hemodynamic response to endotracheal intubation using C-Trach assembly and direct
laryngoscopy.
AB - PURPOSE: Our objective was to study the pressor response to endotracheal
intubation through laryngeal mask airway C-Trach and compare it to the
hemodynamic response to intubation with direct laryngoscopy (DL). MATERIALS AND
METHODS: After obtained approval from institutional ethical committee, 100
patients of American Society of Anesthesiologists physical Status I, aged 14-65
years, posted for elective surgery were enrolled in the trial. They were randomly
divided into two groups of each 50 patients. Anesthesia technique was
standardized and patients of Group I were intubated using DL, while patients of
Group II were intubated with the help of C-Trach assembly. Hemodynamic
parameters, systemic blood pressure (systolic and diastolic) and heart rate were
recorded before and after induction of anesthesia and every minute up to 5 min
after intubation. RESULTS: Patients of Group II recorded a minimal rise in peak
systolic blood pressure (SBP) (1.8%) and diastolic blood pressure (10.6%). In
comparison patients of Group I recorded a significant sustained rise in peak SBP
(20.3%) and diastolic blood pressure (21.4%). However heart rate changes recorded
in the two groups were of equal measure (peak rise of 22.9% in Group I vs. 22.4%
in Group II). CONCLUSION: We conclude that intubation through C-Trach generates a
lower pressor response to intubation in comparison to intubation using DL.
PMID- 26543447
TI - Success rates and complications of awake caudal versus spinal block in preterm
infants undergoing inguinal hernia repair: A prospective study.
AB - BACKGROUND: Inguinal hernia is a common disease in preterm infants necessitating
surgical repair. Despite the increased risk of postoperative apnea in preterm
infants, the procedure was conventionally performed under general anesthesia.
Recently, regional anesthesia approaches, including spinal and caudal blocks have
been proposed as safe and efficient alternative anesthesia methods in this group
of patients. The current study evaluates awake caudal and spinal blocks in
preterm infants undergoing inguinal hernia repair. MATERIALS AND METHODS: In a
randomized clinical trial, 66 neonates and infants (weight <5 kg) undergoing
inguinal hernia repair were recruited in Tabriz Teaching Children Hospital during
a 12-month period. They were randomly divided into two equal groups; receiving
either caudal block by 1 ml/kg of 0.25% bupivacaine plus 20 MUg adrenaline (group
C) or spinal block by 1 mg/kg of 0.5% bupivacaine plus 20 MUg adrenaline (group
S). Vital signs and pain scores were documented during operation and thereafter
up to 24 h after operation. RESULTS: Decrease in heart rate and systolic blood
pressure was significantly higher in group C throughout the study period (P <
0.05). The mean recovery time was significantly higher in group S (27.3 +/- 5.5
min vs. 21.8 +/- 9.3 min; P = 0.03). Postoperative need for analgesia was
significantly more frequent in group S (75.8% vs. 36.4%; P = 0.001). Failure in
anesthesia was significantly higher in group S (24.4% vs. 6.1%; P = 0.04).
CONCLUSION: More appropriate success rate, duration of recovery and postoperative
need of analgesics could contribute to caudal block being a superior anesthesia
technique compared to spinal anesthesia in awaked preterm infants undergoing
inguinal hernia repair.
PMID- 26543448
TI - Dexmedetomidine as an adjunct in postoperative analgesia following cardiac
surgery: A randomized, double-blind study.
AB - OBJECTIVES: The purpose of this study was to determine analgesic efficacy of
dexmedetomidine used as a continuous infusion without loading dose in postcardiac
surgery patients. SETTINGS AND DESIGN: A prospective, randomized, double-blind
clinical study in a single tertiary care hospital on patients posted for elective
cardiac surgery under cardiopulmonary bypass. INTERVENTIONS: Sixty-four patients
who underwent elective cardiac surgery under general anesthesia were shifted to
intensive care unit (ICU) and randomly divided into two groups. Group A (n = 32)
received a 12 h infusion of normal saline and group B (n = 32) received a 12 h
infusion of dexmedetomidine 0.4 MUg/kg/h. Postoperative pain was managed with
bolus intravenous fentanyl. Total fentanyl consumption, hemodynamic monitoring,
Visual Analogue Scale (VAS) pain ratings, Ramsay Sedation Scale were charted
every 6(th) hourly for 24 h postoperatively and followed-up till recovery from
ICU. Student's t-test, Chi-square/Fisher's exact test has been used to find the
significance of study parameters between the groups. RESULTS: Dexmedetomidine
treated patients had significantly less VAS score at each level (P < 0.001).
Total fentanyl consumption in dexmedetomidine group was 128.13 +/- 35.78 MUg
versus 201.56 +/- 36.99 MUg in saline group (P < 0.001). A statistically
significant but clinically unimportant sedation was noted at 6 and 12 h (P <
0.001, and P = 0.046 respectively). Incidence of delirium was less in
dexmedetomidine group (P = 0.086+). Hemodynamic parameters were statistically
insignificant. CONCLUSIONS: Dexmedetomidine infusion even without loading dose
provides safe, effective adjunct analgesia, reduces narcotic consumption, and
showed a reduced trend of delirium incidence without undesirable hemodynamic
effects in the cardiac surgery patients.
PMID- 26543449
TI - Stress response in shoulder surgery under interscalene block, randomized
controlled study comparing ultrasound guidance to nerve stimulation.
AB - BACKGROUND: Shoulder surgeries are known to cause moderate to severe pain. Many
techniques have been used successfully to minimize that stress response including
interscalene block. Ultrasound guided techniques are becoming widely spread and
commonly used for regional anesthesia. The objective of the present randomized
controlled study is to compare the ultrasound guidance with nerve stimulation for
interscalene brachial plexus block (IBPB) regarding the effect on stress
response. PATIENTS AND METHODS: 50 patients, American Society of
Anesthesiologists physical status I, II, and III, undergoing shoulder surgery
were enrolled in the current study. Group U patients (n = 25) received ultrasound
guided IBPB and Group N patients (n = 25) received IBPB using nerve locator. IBPB
was done under ultrasound guidance using the linear 13-6 MHz transducer of the
SonoSite M-Turbo ultrasonic device. In both groups, venous blood samples to
measure cortisol level and assess stress response as a primary outcome were
collected. RESULTS: The current study demonstrated that the stress response, as
indicated by the cortisol level in blood, showed no significant difference in the
preoperative blood level between Group U and Group N, as well as blood level
after block and before skin incision. However, it differed significantly between
the two groups postoperatively. CONCLUSION: The current study concluded that the
use of ultrasound guidance for IBPB in shoulder surgeries offered a significant
suppression of the stress response intraoperatively and postoperatively as
indicated by the low cortisol level with less complications and easier technique
compared to nerve location.
PMID- 26543450
TI - Effect of ondansetron on prevention of post-induction hypotension in elderly
patients undergoing general anesthesia: A randomized, double-blind placebo
controlled clinical trial.
AB - BACKGROUND: Elderly patients are susceptible to post-induction hypotension.
Volume loading and vasopressors for prevention of hypotension in elderly patients
may increase perioperative cardiovascular risks. Ondansetron by blocking Bezold
Jarisch reflex (BJR) through inhibition of serotonin receptors has been effective
in the prevention of post-spinal hypotension, and bradycardia. Bradycardia
frequently accompanies post-induction hypotension in elderly patients, which
signifies a possible preventing role for ondansetron. No previous study has
evaluated the prophylactic effects of ondansetron for the prevention of post
induction hypotension. MATERIALS AND METHODS: In this randomized placebo
controlled clinical trial, ondansetron 4 mg was given intravenously to 65 elderly
patients, 20 min before induction of general anesthesia, and the rate of post
induction hypotension defined as 25% or more reduction in mean arterial blood
pressure, compared with a placebo groups. RESULTS: A total of 114 patients
completed the study (58 in ondansetron and 56 in the placebo group). Proportions
of post-induction hypotension were 9 (16%) and 25 (45%) in ondansetron and
placebo groups, respectively, (P = 0.001). Forty-five patients (40%) developed
bradycardia. Rates of bradycardia were not significantly different between two
groups. CONCLUSIONS: The results of this study show the effectiveness of
intravenous ondansetron for prevention of post-induction hypotension in elderly
patients. The mechanism of this effect largely is unknown. Role of ondansetron
for prevention of post-induction hypotension may not fully understandable by its
interaction with BJR, as has been shown in post-spinal hypotension.
PMID- 26543451
TI - Efficacy of the methoxyflurane as bridging analgesia during epidural placement in
laboring parturient.
AB - BACKGROUND: Establishing an epidural in an agitated laboring woman can be
challenging. The ideal pain control technique in such a situation should be
effective, fast acting, and short lived. We assessed the efficacy of inhalational
methoxyflurane (PenthroxTM) analgesia as bridging analgesia for epidural
placement. MATERIALS AND METHODS: Sixty-four laboring women who requested
epidural analgesia with pain score of >=7 enrolled in an observational study, 56
of which completed the study. The parturients were instructed to use the device
prior to the onset of uterine contraction pain and to stop at the peak of uterine
contraction, repeatedly until epidural has been successfully placed. After each
(methoxyflurane inhalation-uterine contraction) cycle, pain, Richmond Agitation
Sedation Scale (RASS), nausea and vomiting were evaluated. Maternal and fetal
hemodynamics and parturient satisfaction were recorded. RESULTS: The mean
baseline pain score was 8.2 +/- 1.5 which was reduced to 6.2 +/- 2.0 after the
first inhalation with a mean difference of 2.0 +/- 1.1 (95% confidence interval
1.7-2.3, P < 0.0001), and continued to decrease significantly over the study
period (P < 0.0001). The RASS scores continuously improved after each cycle (P <
0.0001). Only 1 parturient from the cohort became lightly sedated (RASS = -1).
Two parturients vomited, and no significant changes in maternal hemodynamics or
fetal heart rate changes were identified during treatment. 67% of the parturients
reported very good or excellent satisfaction with treatment. CONCLUSION:
PenthroxTM provides rapid, robust, and satisfactory therapy to control pain and
restlessness during epidural placement in laboring parturient.
PMID- 26543453
TI - ED50 of sevoflurane for I-Gel removal in anesthetized children in cataract
surgeries using subtenon block.
AB - OBJECTIVE: The aim of this study was to determine the minimum concentration of
sevoflurane required for I-Gel removal in 50% children undergoing elective
cataract surgery. DESIGN: A prospective observational study. SETTING: A single
tertiary care surgical center. MATERIALS AND METHODS: Our study enrolled 20
American Society of Anesthesiologists I and II children aged 2-10 years,
undergoing elective cataract surgery. Anesthesia was induced with sevoflurane and
oxygen/nitrous oxide mixture and a size 2 I-Gel was inserted. A subtenon block
was administered in all children before surgical incision. Sevoflurane was used
for maintenance of anesthesia. Predetermined end-tidal concentration of
sevoflurane was maintained for 10 min at the end of surgery before I-Gel removal
was attempted. End-tidal concentrations were increased/decreased using the Dixon
up-down method (with 0.2% as a step size) in the next patient depending on the
previous patient's response. Patient responses to I-Gel removal were classified
as "movement" or no "movement". RESULTS: Minimum concentration of sevoflurane
required for successful removal of a I-Gel in 50% (ED50) and 95% (ED95) of
children was 0.44% (95% confidence interval [CI], 0.34-0.52%) and 0.77% (95% CI,
0.63-1.2%), respectively. CONCLUSION: A very low end-tidal concentration of
sevoflurane (ED50 of 0.44% ED95 of 0.77%) is required for I-Gel removal in
children in cataract surgery with the supplementation of subtenon block.
PMID- 26543452
TI - Comparative study between paracetamol and two different doses of pregabalin on
postoperative pain in laparoscopic cholecystectomy.
AB - BACKGROUND: Postoperative pain is the primary reason for prolonged hospital stay
after laparoscopic cholecystectomy. This study compared the effect of a single
oral preoperative administration of paracetamol (1 g) with 2 different doses of
pregabalin (150 or 300 mg) for attenuating postoperative pain and analgesic
consumption. MATERIALS AND METHODS: Seventy-five patients, aged 18-60 years,
American Society of Anesthesiologists' physical status I and II undergoing
elective laparoscopic cholecystectomy were included in this randomized controlled
study. Patients were divided into three groups, 25 each to receive either oral
paracetamol 1 g (group I, control group) or pregabalin 150 (group II) or 300 mg
(group III), 2 h before surgery. Postoperative pain was evaluated based on visual
analog scale over a period of 6 h and 1(st) time for rescue analgesia.
Postoperative sedation, hemodynamic changes, serum cortisol level, and side
effects were also evaluated. RESULTS: There was a significant decrease in mean
heart rate, mean systolic blood pressure, sedation score, pain score, and delayed
the first request for analgesics postoperatively in group (II) and group (III)
compared to group (I) 2 h postoperatively. There was no significant difference in
group (III) compared to group (II) postoperatively. The incidence of
postoperative side effects was more in group (III). CONCLUSION: The single oral
preoperative dose administration of pregabalin had significant opioid-sparing
effect in the first 6 h after surgery, whereas side effects were more common with
administration of pregabalin 300 mg.
PMID- 26543454
TI - The effects of dexmedetomidine on attenuation of hemodynamic changes and there
effects as adjuvant in anesthesia during laparoscopic surgeries.
AB - BACKGROUND: As an anesthetic adjuvant dexmedetomidine has been shown to provide
good perioperative hemodynamic stability with minimum alveolar concentration
sparing effect on inhalational anesthetic agents during laparoscopic surgeries
performed under general anesthesia. AIM: The study was planned to investigate the
effects of dexmedetomidine on attenuation of hemodynamic changes and requirements
of intra-operative analgesic and inhalational anesthetic during laparoscopic
surgeries and its postoperative side effects. MATERIALS AND METHODS: A total of
70 patients scheduled for elective laparoscopic surgeries were randomized to
receive bolus infusion of dexmedetomidine (group D) or saline (group S) 1
mcg/kg/h, followed by continuous infusion of the same, at the rate of 0.5
mcg/kg/h. Anesthesia was maintained with nitrous oxide in oxygen, muscle relaxant
and isoflurane. Supplementation with end-tidal isoflurane was considered when
heart rate (HR) and mean arterial blood pressure (BP) exceeded 20% of the
baseline value. Hemodynamics, end-tidal isoflurane concentration and adverse
events were recorded. RESULTS: Intra-operative mean HR and mean BP in group D
were lower than group S (P < 0.05) throughout the laparoscopy surgery.
Requirement of intra-operative fentanyl, end-tidal isoflurane and postoperative
tramadol were significantly more in group S compared to group D (P < 0.05)
Statistically significant nausea and vomiting were noted in group S. Undue
sedation and other adverse effects are comparable in both the groups. CONCLUSION:
Dexmedetomidine as an adjuvant in general anesthesia for laparoscopic surgeries
provided a stable hemodynamic profile in the perioperative period and effectively
blunted pressor response to intubation and extubation, leading to minimal
requirements for additional analgesics and potent inhalational agents. There were
less adverse events.
PMID- 26543455
TI - Midazolam as an adjuvant to intrathecal lignocaine: A prospective randomized
control study.
AB - CONTEXT: Unfortunately in the past decade, phenomenon of transient neurologic
symptoms (TNS) cast doubts on the use of lignocaine for spinal anesthesia.
Intrathecal midazolam has been proved to have its role in relieving neuropathic
pain. We attempted to study the role of midazolam as an adjuvant to intrathecal
lignocaine. AIMS: The primary objective of the study was to evaluate the effect
of intrathecal midazolam as an adjuvant to spinal lignocaine in terms of quality
and duration of spinal sensory blockade. The secondary objectives are to study
the effect on hemodynamics and the incidence of TNS. SETTINGS AND DESIGN: A
prospective randomized control double-blinded study in American Society of
Anesthesiology I and II surgical population. MATERIALS AND METHODS: Hundred
healthy adult patients scheduled for elective infraumbilical surgery were
randomly assigned to group A patients received spinal anesthesia with 1.5 ml of
5% lignocaine heavy with 0.4 ml of 0.9% saline and group B (control group)
received spinal anesthesia with 1.5 ml of 5% heavy lignocaine with 0.4 ml of
preservative-free 0.5% midazolam. STATISTICAL ANALYSIS USED: Z test for study
parameters and analysis of variance was used for hemodynamic parameters in the
same group. P < 0.05 was considered statistically significant. RESULTS: Midazolam
resulted in improved quality of sensory blockade in terms of early onset,
increased duration of effective analgesia, and delayed two segment regression
time and also decreases the incidence of TNS with intrathecal lignocaine.
CONCLUSIONS: Midazolam is an effective adjuvant to intrathecal lignocaine.
PMID- 26543456
TI - Articaine and mepivacaine buccal infiltration in securing mandibular first molar
pulp anesthesia following mepivacaine inferior alveolar nerve block: A
randomized, double-blind crossover study.
AB - AIMS: A crossover double-blind, randomized study was designed to explore the
efficacy of 2% mepivacaine with 1:100,000 adrenaline buccal infiltration and 4%
articaine with 1:100,000 adrenaline buccal infiltration following 2% mepivacaine
with 1:100,000 adrenaline inferior alveolar nerve block (IANB) for testing pulp
anesthesia of mandibular first molar teeth in adult volunteers. MATERIALS AND
METHODS: A total of 23 healthy adult volunteers received two regimens with at
least 1-week apart; one with 4% articaine buccal infiltration and 2% mepivacaine
IANB (articaine regimen) and another with 2% mepivacaine buccal infiltration
supplemented to 2% mepivacaine IANB (mepivacaine regimen). Pulp testing of first
molar tooth was electronically measured twice at baseline, then at intervals of 2
min for the first 10 min, then every 5 min until 45 min postinjection. Anesthetic
success was considered when two consecutive maximal stimulation on pulp testing
readings without sensation were obtained within 10 min and continuously sustained
for 45 min postinjection. RESULTS: In total, the number of no sensations to
maximum pulp testing for first molar teeth were significantly higher after
articaine regimen than mepivacaine during 45 min postinjection (267 vs. 250
episodes, respectively, P < 0.001), however, both articaine and mepivacaine
buccal infiltrations are equally effective in securing anesthetic success for
first molar pulp anesthesia when supplemented to mepivacaine IANB injections (P >
0.05). Interestingly, volunteers in the articaine regimen provided faster onset
and longer duration (means 2.78 min, 42.22 min, respectively) than mepivacaine
regimen (means 4.26 min, 40.74 min, respectively) for first molar pulp anesthesia
(P < 0.001). CONCLUSIONS: Supplementary mepivacaine and articaine buccal
infiltrations produced similar successful first molar pulp anesthesia following
mepivacaine IANB injections in volunteers. Articaine buccal infiltration produced
faster onset and longer duration than mepivacaine buccal infiltration following
mepivacaine IANB injections.
PMID- 26543457
TI - Comparison of dexmedetomidine and clonidine as an adjuvant to ropivacaine for
epidural anesthesia in lower abdominal and lower limb surgeries.
AB - BACKGROUND: The quality and duration of analgesia is improved when a local
anesthetic is combined with alpha 2 adrenergic agonist. Though, the effects of
clonidine on local anesthetics have been extensively studied, there are limited
studies demonstrating the effects of epidural dexmedetomidine on local
anesthetics. The aim of our study is to compare the effect of clonidine and
dexmedetomidine when used as an adjuvant to epidural ropivacaine in lower
abdominal and lower limb surgeries. MATERIALS AND METHODS: Patients were
randomized into two groups-group ropivacaine with clonidine (RC) received 15 ml
of 0.75% ropivacaine with 1 MUg/kg clonidine and group ropivacaine with
dexmedetomidine (RD) received 15 ml of 0.75% ropivacaine with 1 MUg/kg
dexmedetomidine epidurally. Onset of sensory analgesia using cold swab, onset of
motor blockade using Bromage scale, time to 2 dermatome regression of sensory
level, time to first demand for analgesia, sedation using Ramsay sedation scale,
intra operative hemodynamic parameters and complications were assessed. RESULTS:
The onset (RD-8.53 +/- 1.81, RC-11.93 +/- 1.96) and duration of sensory blockade
(RD-316 +/- 31.5, RC-281 +/- 37, sedation were found to be significantly better
in the dexmedetomidine group. No significant difference was found in terms of
onset of motor blockade and hemodynamic changes. CONCLUSION: Dexmedetomidine at
doses of 1 MUg/kg is an effective adjuvant to ropivacaine for epidural
anesthesia, which is comparable to clonidine.
PMID- 26543458
TI - Endotracheal intubation without muscle relaxants in children using remifentanil
and propofol: Comparative study.
AB - INTRODUCTION: Endotracheal intubation is essential during general anesthesia and
muscle relaxant drugs provide ideal conditions for this purpose. The objective of
this study was to evaluate the intubating condition of remifentanil combined with
propofol without muscle relaxant. MATERIALS AND METHODS: In this prospective
randomized study, 60 children aged 3-12 years, American Society of
Anesthesiologists physical status I and II were included. All the children were
premedicated with 0.05 mg/kg midazolam and 1.5 mg/kg lidocaine 5 min before the
induction of anesthesia with 3 mg/kg propofol. Then, they were allocated randomly
to receive either 2 MUg/kg remifentanil (group R) or 1.5 mg/kg succinylcholine
(group S). Tracheal intubation was attempted 90 s after the administration of
propofol. The quality of intubation was assessed by using Copenhagen score based
on jaw relaxation, ease of laryngoscopy, position of vocal cord, coughing and
limb movement. Heart rate and blood pressure were recorded before and after
induction, and 1, 3, 5 min after intubation. RESULTS: There was no significant
difference in intubating condition between the two groups (P = 0.11). Intubation
condition was excellent in 26 of 30 (86.7%) patients in the group R compared with
30 (100%) patients in the group S. We observed significant difference in heart
rate and systolic blood pressure over time between two groups (P = 0.02, P = 0.03
respectively). After intubation, we had higher heart rate and systolic blood
pressure with a significant difference in group S compared with group R (P =
0.006, P = 0.018). None of the children had a chest rigidity, laryngospasm, and
hypoxia. CONCLUSIONS: In premedicated children, propofol-remifentanil combination
provides adequate conditions for tracheal intubation that is comparable with
succinylcholine. Hemodynamic response to laryngoscopy and tracheal intubation was
controlled better in group R.
PMID- 26543459
TI - Comparative study of preoperative use of oral gabapentin, intravenous
dexamethasone and their combination in gynaecological procedure.
AB - BACKGROUND: We studied the effects of oral gabapentin and intravenous (I.V.)
dexamethasone given together or separately 1 h before the start of surgery on
intraoperative hemodynamics Postoperative analgesia and postoperative nausea
vomiting (PONV) in patients undergoing gynaecological procedure. MATERIALS AND
METHODS: Patients were randomly divided into three groups: Group 1 (gabapentin, n
= 46) received 400 mg gabapentin, Group 2 (dexamethasone, n = 46) received 8 mg
dexamethasone and Group 3 (gabapentin plus dexamethasone, n = 46) received both
400 mg gabapentin and 8 mg dexamethasone I.V. 1 h before the start of surgery.
Standard induction and maintenance of anesthesia were accomplished. Visual analog
scale for pain was recorded for 12 h. Side effects were noted. RESULTS:
Hemodynamics at various time interval (0, 5, 10, 15, 20, 25 and 30 min) of
laryngeal mask airway insertion and PONV were found significantly lower in Group
3 than in Group 1 and Group 2 (P < 0.05). The average time to first postoperative
analgesic requirement at (visual analogue score >3) was significantly longer in
Group 3 (510.00 +/- 61.64 min) than in Group 1 (352.83 +/- 80.61 min) and in
Group 2 (294.78 +/- 60.76 min), (P < 0.05). CONCLUSION: The present study
concludes that the combination of oral Gabapentin and I.V. dexamethasone has
significantly less hemodynamic changes, better postoperative analgesia and less
incidence of PONV than individual administration of each drug.
PMID- 26543460
TI - Evaluating the quality of intravenous regional anesthesia following adding
dexamethasone to lidocaine.
AB - OBJECTIVES: The quality of anesthesia in intravenous regional anesthesia (IVRA)
has been evaluated in many studies so far. This study was designed to evaluate
the effects of adding the dexamethasone to lidocaine on the quality of IVRA.
MATERIALS AND METHODS: A double-blind clinical trial was set up involving 50 hand
surgery candidates, 20 to 55 years old, and with American Society of
Anesthesiologists class of I and II. Patients were randomly allocated into two
groups of 25 cases and received either 3 mg/kg of lidocaine (control group) or 3
mg/kg of lidocaine plus 8 mg of dexamethasone (study group). The onset and
recovery times from sensory and motor blocks, the starting time of tourniquet
pain, the amount of narcotics needed during patients' recovery, and probable side
effects were all compared between the two groups. RESULTS: No significant
differences were detected concerning age, gender, length of surgery and the mean
time of starting of tourniquet pain between the two groups. The mean times of
both sensory (P = 0.002) and motor (P = 0.004) blocks onset were significantly
shorter in the study group. The mean time of recovery from sensory block was
significantly longer in the study group (P = 0.01). The average amount of
narcotics needed during the recovery was significantly lower in the study group
(P = 0.01). No side-effect was detected. CONCLUSION: We conclude that adding the
dexamethasone to lidocaine can improve the quality of anesthesia in IVRA.
PMID- 26543461
TI - Effect of preinduction low-dose ketamine bolus on intra operative and immediate
postoperative analgesia requirement in day care surgery: A randomized controlled
trial.
AB - BACKGROUND: Availability of narcotics is an issue in developing countries, and
low-dose ketamine offers an alternative to these drugs. OBJECTIVE: The objective
of this study is to evaluate the effect of a preemptive dose of low-dose ketamine
on intra operative and the immediate postoperative analgesic requirements.
DESIGN: Randomized double-blind control trial. SETTINGS: This study has been
performed in the operating rooms and postanesthesia care unit at Aga Khan
University Hospital, Karachi, Pakistan. MATERIALS AND METHODS: Totally, 60 adult
American Society of Anesthesiologists I and II patients undergoing day care
surgery were randomly allocated into two groups, Group A (ketamine group) and
Group B (saline group). INTERVENTION: All patients underwent general anesthesia.
Propofol 2 mg/kg was used as an induction agent; laryngeal mask airway (size 3
for females and 4 for males) was inserted. Following induction patients in Group
A received ketamine 0.3 mg/kg and Group B saline bolus in a blinded manner. All
patients were administered injection fentanyl 1 MUg/kg as an analgesic and
anesthesia was maintained with oxygen 40%, nitrous oxide 60% and isoflorane 1-2
minimum alveolar concentration. Patients breathed spontaneously on Lack circuit.
Postoperatively rescue analgesia was provided with intravenous morphine 0.1 mg/kg
when patient complained of pain. MAIN OUTCOME MEASURES: We observed analgesic
effects of low-dose ketamine intra operatively and narcotic requirements in
immediate postoperative period for day care surgeries. RESULTS: There was no
significant difference in demographic data in between groups. Saline group
required more rescue analgesia (morphine) postoperatively (P < 0.001). No
significant psychotomimetic symptoms were noted in either group. CONCLUSION: Low
dose ketamine 0.3 mg/kg provided adequate co-analgesia with fentanyl 1 MUg/kg and
was effective in a reduction of morphine requirement in the postoperative phase
with minimal adverse effects.
PMID- 26543462
TI - The rapid response team in outpatient settings identifies patients who need
immediate intensive care unit admission: A call for policy maker.
AB - BACKGROUND: Caregivers in the ambulatory care setting with differing clinical
background could encounter a patient at high risk of deterioration. In the
absence of a dedicated acute care team, the response to an unanticipated medical
emergencies in these settings is likely to have a poor outcome. OBJECTIVE: To
describe our experience in implementing an intensivist-led rapid response team
(RRT) in the outpatient settings that identified patients who needed immediate
Intensive Care Unit (ICU) admission. The effect on in hospital arrests,
mortality, and ICU outcome is not the scope of this study. MATERIALS AND METHODS:
This retrospective descriptive study was performed from January 1, 2009 to
December 31, 2011 in a tertiary hospital. Data from hospital records were used
(none from patients' records). Consent was not needed. MEASUREMENTS: Direct ICU
admissions from the outpatient areas. RESULTS: There were 90 patients cared for
by RRT in the outpatient's settings, 76 adult, and 14 pediatric patients. A total
of12 adult patients were transferred directly to ICU. Among the patient who were
transferred to the emergency department, additional four patients required to be
transferred to ICU (total 16 patients [17.7%], 15 adult, and one pediatric
patient). Follow-up at 24 h in the ICU showed death of one adult oncology patient
(6.25%), and discharge of two patients (12.5%). Nine patients (81%) were still
sick to require longer ICU stay. CONCLUSION: Intensivist-led RRT in outpatient
settings identifies patients who are critically ill and in need of immediate ICU
admission. Thus, an intensivist-led RRT policy in the outpatient settings needs
to be implemented hospital wide.
PMID- 26543463
TI - Combination of dexmedetomidine and remifentanil for labor analgesia: A double
blinded, randomized, controlled study.
AB - BACKGROUND: Satisfactory analgesia is of great importance in the labor. The
clinical efficacy and side effects of remifentanil in the management of labor
pain had been evaluated. Dexmedetomidine (DMET) demonstrates an antinociceptive
effect in visceral pain conditions. Aims of the study were to assess whether the
combination of DMET with remifentanil would produce a synergistic effect that
results in lower analgesic requirements. Furthermore, whether this combination
would have less maternal and neonatal adverse effects. PATIENTS AND METHODS:
Sixty American Society of Anesthesiologists physical status I-II pregnant women
had been enrolled into this study. All were full term (37-40 weeks' gestation),
singleton fetus with cephalic presentation in the first stage of spontaneous
labor. They were divided into two groups group (I) Patient-controlled IV
remifentanil analgesia (bolus dose 0.25 MUg/kg, lockout interval 2 min) increased
by 0.25 MUg/kg to a maximum bolus dose 1 MUg/kg in addition to a loading dose of
DMET 1 MUg/kg over 20 min, followed by infusion at 0.5 MUg/kg/h group (II)
Patient-controlled IV remifentanil analgesia (PCA) (bolus dose 0.25 MUg/kg,
lockout interval 2 min) increased by 0.25 MUg/kg to a maximum bolus dose 1 MUg/kg
in addition to a the same volume of normal saline as a loading dose, followed by
a continuous saline infusion. Visual analog scale score, maternal, and fetal
complications and patients' satisfaction were recorded. RESULTS: Patients
receiving a combination of PCA remifentanil and DMET had a lower pain score
compared with remifentanil alone in the second stage of labor (P = 0.001). The
Total consumption of remifentanil was reduced by 53.3% in group I. There was an
increased incidence of maternal complications and a lower patient satisfaction
score in group II. CONCLUSION: DMET has an opioid sparing effect; a combination
of DMET and remifentanil produces a synergistic effect that results in lower
analgesic requirements and less maternal and neonatal adverse events.
PMID- 26543464
TI - Femoral nerve block for acute pain relief in fracture shaft femur in an emergency
ward.
AB - BACKGROUND: Analgesia in patients with fracture shaft femur is usually
insufficient as physician usually relies on parental analgesia in such
situations. Local anesthetic blockade of femoral nerve to provide analgesia in
fracture shaft femur is an under-used technique. We conducted a study to evaluate
the efficacy of femoral nerve block (FNB) with 0.5% ropivacaine for acute pain
relief in patients with fracture shaft femur. MATERIALS AND METHODS: A total of
50 patients were studied as they present in an emergency ward. All patients
received an FNB with 15 ml of 0.5% ropivacaine. The onset of block, duration of
analgesia, patient's acceptance after 24 h together with the effect of block were
assessed. RESULTS: The onset of analgesia occurred in 5.34 +/- 1.10 min after the
block. Pain scores decreased significantly from 9.12 +/- 0.9, preblock visual
analog scale (VAS) score to 1.84 +/- 1.25, VAS score at 10 min after the block (P
< 0.001). The quality of analgesia did not change when patient underwent
radiological examination (38.9 +/- 5.22 min after block) and traction application
(69.4 +/- 8.98 min after block). The duration of analgesia observed was 227 +/-
63.99 min. Patient acceptance after 24 h of FNB was good in 86% patients. There
were no side effects. CONCLUSIONS: It was concluded that in the emergency ward,
rapid, effective, and long lasting analgesia can be achieved by safe and simple
FNB in patients with fracture shaft femur.
PMID- 26543465
TI - Perioperative analgesic requirements in severely obese adolescents and young
adults undergoing laparoscopic versus robotic-assisted gastric sleeve resection.
AB - PURPOSE: One of the major advantages for patients undergoing minimally invasive
surgery as compared to an open surgical procedure is the improved recovery
profile and decreased opioid requirements in the perioperative period. There are
no definitive studies comparing the analgesic requirements in patients undergoing
two different types of minimally invasive procedure. This study retrospectively
compares the perioperative analgesic requirements in severely obese adolescents
and young adults undergoing laparoscopic versus robotic-assisted, laparoscopic
gastric sleeve resection. MATERIALS AND METHODS: With Institutional Review Board
approval, the medication administration records of all severely obese patients
who underwent gastric sleeve resection were retrospectively reviewed. Intra
operative analgesic and adjuvant medications administered, postoperative
analgesic requirements, and visual analog pain scores were compared between those
undergoing a laparoscopic procedure versus a robotic-assisted procedure. RESULTS:
This study cohort included a total of 28 patients who underwent gastric sleeve
resection surgery with 14 patients in the laparoscopic group and 14 patients in
the robotic-assisted group. Intra-operative adjuvant administration of both
intravenous acetaminophen and ketorolac was similar in both groups. Patients in
the robotic-assisted group required significantly less opioid during the intra
operative period as compared to patients in the laparoscopic group (0.15 +/- 0.08
mg/kg vs. 0.19 +/- 0.06 mg/kg morphine, P = 0.024). Cumulative opioid
requirements for the first 72 postoperative h were similar in both the groups
(0.64 +/- 0.25 vs. 0.68 +/- 0.27 mg/kg morphine, P = NS). No difference was noted
in the postoperative pain scores. CONCLUSION: Although intraoperative opioid
administration was lower in the robotic-assisted group, the postoperative opioid
requirements, and the postoperative pain scores were similar in both groups.
PMID- 26543466
TI - A prospective study to evaluate and compare laryngeal mask airway ProSeal and i
gel airway in the prone position.
AB - BACKGROUND: Prone position is commonly used to provide surgical access to a
variety of surgeries. In view of the advantages of induction of anesthesia in the
prone position, we conducted a randomized study to evaluate and compare ProSeal
laryngeal mask airway (LMA) and i-gel in the prone position. MATERIALS AND
METHODS: Totally, 40 patients of either sex as per American Society of
Anesthesiologists physical status I or II, between 16 and 60 years of age,
scheduled to undergo surgery in prone position were included in the study. After
the patients positioned themselves prone on the operating table, anesthesia was
induced by the standard technique. LMA ProSeal was used as an airway conduit in
group 1 while i-gel was used in group 2. At the end of surgery, the airway device
was removed in the same position. RESULTS: Insertion of airway device was
successful in first attempt in 16, and 17 cases in ProSeal laryngeal mask airway
(PLMA) and i-gel groups, respectively. A second attempt was required to secure
the airway in 4 and 3 patients in PLMA and i-gel groups, respectively. The mean
insertion time was 21.8 +/- 2.70 s for group 1 and 13.1 +/- 2.24 s for group 2,
the difference being statistically significant (P < 0.05). The mean seal pressure
in group 1 was 36 +/- 6.22 cm H2 O and in group 2 was 25.4 +/- 3.21 cm H2 O. The
difference was statistically significant (P < 0.05). 13 patients in group 1 had
fiberoptic bronchoscopy (FOB) grade 1 while it was 6 for group 2. The remaining
patients in both groups had FOB grade 2. CONCLUSION: Insertion of supraglottic
airways and conduct of anesthesia with them is feasible in the prone position.
The PLMA has a better seal while insertion is easier with i-gel.
PMID- 26543469
TI - Anesthetic management of an elderly patient with kyphoscoliosis and dilated
cardiomyopathy posted for abdominal hysterectomy and salpingo-oophorectomy.
AB - A 76-year-old kyphoscoliotic female patient presented with severe pain and sudden
acute abdominal distension for 1-week and was diagnosed to have right-sided
massive twisted ovarian cyst. The patient was a known case of hypertension,
dilated cardiomyopathy with low 20% cardiac ejection fraction. Though very few
incidences of multiple co-morbid conditions existing together in a single elderly
patient have been reported in the past, it is important to titrate the dosage,
type of anesthetic agents and their routes of administration in high risk
patients.
PMID- 26543467
TI - Etomidate in pediatric anesthesiology: Where are we now?
AB - Etomidate is an intravenous anesthetic agent released for clinical use in the
United States in 1972. Its popularity in clinical practice is the result of its
beneficial effects on intracerebral dynamics with limited effects on hemodynamic
function. These properties have made it a safe and effective anesthetic induction
agent in both adult and pediatric patients with altered myocardial performance,
congenial heart disease, or hypovolemia. However, recent concern has been
expressed regarding its effects on the endogenous production of corticosteroids
and the impact of that effect on patient outcomes. The following manuscript
reviews clinical reports regarding etomidate use in the pediatric population and
discusses recent concerns regarding its effects on corticosteroid metabolism and
the implications of such effects for clinical use.
PMID- 26543468
TI - Conflicts in operating room: Focus on causes and resolution.
AB - The operation theater (OT) environment is the most complex and volatile workplace
where two coequal physicians share responsibility of one patient. Difference in
information, opinion, values, experience and interests between a surgeon and
anesthesiologist may arise while working in high-pressure environments like OT,
which may trigger conflict. Quality of patient care depends on effective teamwork
for which multidisciplinary communication is an essential part. Troubled
relationships leads to conflicts and conflicts leads to stressful work
environment which hinders the safe discharge of patient care. Unresolved
conflicts can harm the relationship but when handled in a positive way it
provides an opportunity for growth and ultimately strengthening the bond between
two people. By learning the skills to resolve conflict, we can keep our
professional relationship healthy and strong which is an important component of
good patient care.
PMID- 26543470
TI - Transient bladder and fecal incontinence following epidural blood patch.
AB - Epidural blood patch (EBP) is the currently accepted treatment of choice for
postdural puncture headache because of its high initial success rates and
infrequent complications. Many authors recommended a small volume (10-20 mL) of
blood to be delivered for an effective EBP. Here, we report an obstetric patient
who developed a transient bladder and fecal incontinence after 19 mL of blood EBP
at L1 -L2 level. Since the magnetic resonance image did not demonstrate any
definitive spinal cord lesion, the exact mechanism remains unclear. We suggest
that accumulation of blood performed at L1 to L2 level in a closed relationship
with the sacral cord, may have trigger a significant pressure elevation of the
epidural space at this level, resulting in a temporal spinal cord-related injury
in the sacral cord.
PMID- 26543471
TI - Stellate ganglion pulsed radiofrequency ablation for stretch induced complex
regional pain syndrome type II.
AB - Complex regional pain syndrome (CRPS) following injury or nerve damage, as its
name signifies, is a challenging entity, and its successful management requires a
multidisciplinary approach. It not only manifests as severe pain, but also gives
rise to functional disability, lack of sleep, lack of enjoyment of life and poor
quality of life. Various pain interventional techniques have been described in
the literature for the management of CRPS ranging from sympathetic blocks to
spinal cord stimulator. A 34-year-old liver transplant donor, who developed
position-induced right upper limb neuropathic pain suggestive of CRPS type II was
managed initially with medications and later with stellate ganglion block under
fluoroscopic guidance at cervical C7 position. Following an initial significant
improvement in pain and allodynia, which was transient, a pulsed radiofrequency
ablation of stellate ganglion was performed successfully to provide prolonged and
sustained pain relief, which persisted up to 14 months of follow-up.
PMID- 26543472
TI - Spinal anesthesia using Taylor's approach helps avoid general anesthesia in short
stature asthmatic patient.
AB - The case history of a 35-year-old female patient with short stature is presented.
She was posted for rectopexy in view of rectal prolapse. She was a known case of
bronchial asthma. She had crowding of intervertebral spaces, which made
administration of spinal anesthesia via the normal route very difficult. Taylor's
approach for administration of the same was tried and proved successful, thus
saving the patient from receiving general anesthesia in the presence of bronchial
asthma, for a perineal surgery. The possible cause for the difficulty in
administration of spinal anesthesia and the Taylor's approach are discussed, and
reports of similar cases reviewed.
PMID- 26543473
TI - "Amber in chamber" an enigmatous right atrial mass in a neonate.
AB - The incidence of fungal infection is increasing worldwide. Although fungal
infection is common in adults, few cases have been reported in the neonatal
population. We report a case of the preterm neonate of 34 weeks who developed
respiratory distress on 2(nd) day and was initiated on mechanical ventilation.
Treatment was instituted for sepsis, but the patient continued to deteriorate.
Two-dimensional echocardiography revealed a large right atrial mass, which
eventually turned out to be fungal ball. Intense surgical and medical management
led to a speedy recovery of the patient. We stress on the early use of
echocardiography in atypical presentation of neonatal septicemia along with
routine investigations to help in early recognition of source of infection. This
can be of great value in initiating definitive management and improving survival
rate in such patients.
PMID- 26543474
TI - Low tracheal tumor and airway management: An anesthetic challenge.
AB - We describe a case presenting with tracheal tumor wherein a Microlaryngeal tube
was advanced into the trachea distal to the tumor for primary airway control
followed by cannulation of both endobronchial lumen with 5.5 mm endotracheal
tubes to provide independent lung ventilation post tracheal transection using Y-
connector attached to anesthesia machine. The plan was formulated to provide
maximal surgical access to the trachea while providing adequate ventilation at
the same time. A 32 yrs non smoker male, complaining of cough, progressive
dyspnea and hemoptysis was diagnosed to have a broad based mass in the trachea on
computed tomography of chest. Bronchoscopy of the upper airway confirmed presence
of the mass at a distance of 9 cms from the vocal cords, obstructing the tracheal
lumen by three fourth of the diameter. The patient was scheduled to undergo the
resection of the mass through anterolateral thoracotomy. We recommend the use of
extralong, soft, small sized microlaryngeal surgery tube in tumors proximal to
carina, for securing the airway before the transection of trachea and bilateral
endobronchial intubation with small sized cuffed endotracheal tubes for
maintenance of ventilation after the transection of trachea in patients with mass
in the lower trachea.
PMID- 26543475
TI - Anesthetic management for bronchoscopy and debulking of obstructing intratracheal
tumor.
AB - Primary tracheal tumors comprise a rare group of benign and malignant tumors.
Bronchoscopy is required for diagnosis and staging of tracheal neoplasms as well
as debulking of the tumor. The management of anesthesia for rigid bronchoscopy in
a patient with tracheal neoplasm presents with many challenges to the
anesthetist. We present anesthetic management of an 18-year-old female who
presented with orthopnea. Computed tomography scan of the thorax revealed a
polypoidal lesion in the trachea proximal to carina and consolidation in the
right middle lobe. The patient was scheduled for rigid bronchoscopy and debulking
of the tumor. Case was successfully managed by providing positive pressure
ventilation and oxygenation during rigid bronchoscopy using manual ventilation
through the side port of the rigid bronchoscope. The procedure was uneventful,
and patient improved symptomatically in the immediate postoperative period. The
successful management of this case demonstrates the airway management in a
patient with tracheal tumor for rigid bronchoscopy.
PMID- 26543476
TI - Cardiac arrest following tourniquet release: Needs attention!
PMID- 26543477
TI - Perioperative management in a case of glucose-6-phosphate dehydrogenase
deficiency undergoing orthopaedic surgery.
PMID- 26543478
TI - Sonopathology: An onco-intensivist in active search of serendipity.
PMID- 26543479
TI - Anesthetic consideration in a patient with giant bilateral lung bullae with
severe respiratory compromise.
PMID- 26543480
TI - Erratum: Anesthetic management of craniosynostosis repair in patient with Apert
syndrome: Erratum.
AB - [This corrects the article on p. 399 in vol. 8, PMID: 25191197.].
PMID- 26543481
TI - Stability-Indicating Assay for the Determination of Pentobarbital Sodium in
Liquid Formulations.
AB - A stability-indicating assay by reversed-phase high performance liquid
chromatography (RP-HPLC) method was developed for the determination of
pentobarbital sodium in oral formulations: a drug used for infant sedation in
computed tomography (CT) or magnetic resonance imaging (MRI) scan. The
chromatographic separation was achieved on a reversed-phase C18 column, using
isocratic elution and a detector set at 214 nm. The optimized mobile phase
consisted of a 0.01 M potassium buffer pH 3 and methanol (40 : 60, v/v). The flow
rate was 1.0 mL/min and the run time of analysis was 5 min. The linearity of the
method was demonstrated in the range of 5 to 250 MUg/mL pentobarbital sodium
solution (r (2) = 0.999). The limit of detection and limit of quantification were
2.10 and 3.97 MUg/mL, respectively. The intraday and interday precisions were
less than 2.1%. Accuracy of the method ranged from 99.2 to 101.3%. Stability
studies indicate that the drug is stable to sunlight and in aqueous solution.
Accelerated pentobarbital sodium breakdown by strong alkaline, acidic, or
oxidative stress produced noninterfering peaks. This method allows accurate and
reliable determination of pentobarbital sodium for drug stability assay in
pharmaceutical studies.
PMID- 26543483
TI - Acute Cyanide Poisoning: Hydroxocobalamin and Sodium Thiosulfate Treatments with
Two Outcomes following One Exposure Event.
AB - Cyanide is rapidly reacting and causes arrest of aerobic metabolism. The symptoms
are diffuse and lethal and require high clinical suspicion. Remediation of
symptoms and mortality is highly dependent on quick treatment with a cyanide
antidote. Presently, there are two widely accepted antidotes: sodium thiosulfate
and hydroxocobalamin. These treatments act on different components of cyanide's
metabolism. Here, we present two cases resulting from the same source of cyanide
poisoning and the use of both antidotes separately used with differing outcomes.
PMID- 26543482
TI - Maxillary Sinus Augmentation Combining Bio-Oss with the Bone Marrow Aspirate
Concentrate: A Histomorphometric Study in Humans.
AB - Purpose. To investigate the regenerative results obtained with the association of
bone marrow aspirate concentrate using the Bone Marrow Aspirate Concentrate
(BMAC) method to a xenogeneic bone graft (Bio-Oss) in sinus floor elevation.
Materials and Methods. Using a randomized controlled study design in eight
consecutive patients (age of 55.4 +/- 9.2 years), 16 sinus floor lift procedures
were performed with Bio-Oss alone (control group, CG, n = 8) or combined with
bone marrow aspirate concentrate obtained via the BMAC method (test group, TG, n
= 8). Six months after the grafting procedures, bone biopsies were harvested
during implant placement and were analyzed by histomorphometry. Results.
Histomorphometric analysis revealed a significantly higher amount (p < 0.05) of
vital mineralized tissue in TG when compared to the CG (55.15 +/- 20.91% and
27.30 +/- 5.55%, resp.). For nonvital mineralized tissue, TG presented a
statistically higher level of Bio-Oss resorption (p < 0.05) when compared with
the CG (6.32 +/- 12.03% and 22.79 +/- 9.60%, resp.). Both groups (TG and CG)
showed no significantly different levels (p > 0.05) of nonmineralized tissue
(38.53 +/- 13.08% and 49.90 +/- 7.64%, resp.). Conclusion. The use of bone marrow
concentrate obtained by BMAC method increased bone formation in sinus lift
procedures.
PMID- 26543484
TI - Subdural Empyema Complicating Bacterial Meningitis: A Challenging Diagnosis in a
Patient with Polysubstance Abuse.
AB - Subdural empyema (SDE) and cerebrovascular accident (CVA) are uncommon life
threatening complications of bacterial meningitis, which require urgent
neurosurgical intervention to prevent adverse outcomes. Clinicians must be
vigilant of the onset of focal neurologic deficits or seizure activity to
establish the diagnosis of SDE. Streptococcus pneumoniae accounts for <1% of
pyogenic brain abscesses. This case describes a presentation of community
acquired pneumococcal pneumonia in which the diagnosis of SDE with vasculitis
induced CVA was confounded by concomitant substance abuse and sedation.
PMID- 26543485
TI - Timing of first antenatal care attendance and associated factors among pregnant
women in Arba Minch Town and Arba Minch District, Gamo Gofa Zone, south Ethiopia.
AB - OBJECTIVE: To assess the timing of first antenatal care attendance and associated
factors among pregnant women in Arba Minch Town and Arba Minch District, south
Ethiopia. METHOD: Facility based cross-sectional study employing both
quantitative and qualitative methods was conducted from February to March, 2014,
in Arba Minch Town and Arba Minch District. Data were collected from 409 pregnant
women attending antenatal care clinics in nine public health facilities using
systematic random sampling. Analysis was done using SPSS version 20. Descriptive
statistics and binary and multiple logistic regression analysis were done.
RESULTS: The mean (SD+/-) age of the respondents was 26 +/- 5.5 years. The mean
gestational age at first antenatal care attendance was 5 +/- 1.5 months. This
study indicated that pregnant women with low monthly income (AOR = 4.9, CI: 1.71,
14.08), women who did not receive advise on when to start ANC (AOR = 3, CI: 1.48,
6.24), women with household food insecurity (AOR = 4.66, CI: 1.007, 21.59) and
women with unplanned pregnancy (AOR = 4.49, CI: 2.16, 9.35) had higher odds of
late antenatal care attendance compared with their counterparts. CONCLUSIONS: The
study showed that majority of the pregnant women attended late for first
antenatal care. Hence, providing health education on the timing of antenatal care
is important.
PMID- 26543486
TI - Nonoperative Korean Medicine Combination Therapy for Lumbar Spinal Stenosis: A
Retrospective Case-Series Study.
AB - This is a retrospective case series exploring the therapeutic benefits and harm
of nonoperative Korean medicine combination therapy for lumbar spinal stenosis
(LSS). The medical records of a total of 33 LSS patients, who were treated as
inpatients at Mokhuri Neck and Back Hospital, Republic of Korea, from November
2010 to January 2012, were reviewed first and telephone survey on these patients
was conducted after one year. Body acupuncture, pharmacoacupuncture, Chuna, and
oral administration of herbal medicines were offered to all patients. A Visual
analogue scale (VAS) of pain and the walking duration without pain were used to
assess the patients during the approximately 1-month treatment period. The
average VAS score of pain and the walking duration improved significantly; the
VAS score decreased from 9 (SD, 1.15) to 2.75 (2.22) (p < 0.01), and the walking
duration increased from 5.5 (6.66) to 16.75 (13.00) minutes (p < 0.01). No
adverse event was reported during the treatment. In addition, the decreased pain
level and improved function continued for over one year. Although we did not find
definitive evidence, the study results suggest that KM combination therapy may be
beneficial for decreasing pain and improving function in LSS patients and may
produce comparatively few adverse events.
PMID- 26543487
TI - Neuropharmacological Potential of Gastrodia elata Blume and Its Components.
AB - Research has been conducted in various fields in an attempt to develop new
therapeutic agents for incurable neurodegenerative diseases. Gastrodia elata
Blume (GE), a traditional herbal medicine, has been used in neurological
disorders as an anticonvulsant, analgesic, and sedative medication. Several
neurodegenerative models are characterized by oxidative stress and inflammation
in the brain, which lead to cell death via multiple extracellular and
intracellular signaling pathways. The blockade of certain signaling cascades may
represent a compensatory therapy for injured brain tissue. Antioxidative and anti
inflammatory compounds isolated from natural resources have been investigated, as
have various synthetic chemicals. Specifically, GE rhizome extract and its
components have been shown to protect neuronal cells and recover brain function
in various preclinical brain injury models by inhibiting oxidative stress and
inflammatory responses. The present review discusses the neuroprotective
potential of GE and its components and the related mechanisms; we also provide
possible preventive and therapeutic strategies for neurodegenerative disorders
using herbal resources.
PMID- 26543488
TI - A Systematic and Narrative Review of Acupuncture Point Application Therapies in
the Treatment of Allergic Rhinitis and Asthma during Dog Days.
AB - Acupuncture point application therapies, including San-Fu-Tie and San-Fu-Jiu,
have been widely employed to treat diseases with attacks in winter during dog
days in China. The therapies combine Chinese herbal medicine and acupuncture
points with the nature. However, the previous studies were reported to be
unsystematic and incomplete. To develop a more comprehensive understanding of the
effects of acupuncture point application therapies on allergic rhinitis and
asthma, a systematic review of the literature up to 2015 was conducted. After
filtering, eighteen randomized controlled trials (RCTs) involving 1,785 subjects
were included. This systematic and narrative review shows that acupuncture point
application therapies have been extensively applied in the treatment of allergic
rhinitis and asthma with advantages of favorable treatment effect, convenient
operation, receiving patients' good acceptability and compliance, and few side
effects. Meanwhile, the study elaborated the operating process of San-Fu-Tie and
San-Fu-Jiu in detail. The review may provide a reference for clinical application
in future. However, the efficacy, safety, and mechanisms of San-Fu-Tie and San-Fu
Jiu in treating the above diseases need to be validated by more well-designed and
fully powered RCTs in a larger population of patients.
PMID- 26543489
TI - Effects of Tai Chi and Walking Exercises on Weight Loss, Metabolic Syndrome
Parameters, and Bone Mineral Density: A Cluster Randomized Controlled Trial.
AB - Tai Chi and walking are both moderate-intensity physical activity (PA) that can
be easily practiced in daily life. The objective of the study was to determine
the effects of these two PAs on weight loss, metabolic syndrome parameters, and
bone mineral density (BMD) in Chinese adults. We randomized 374 middle-aged
subjects (45.8 +/- 5.3 years) into 12-week training (45 minutes per day, 5 days
per week) of Tai Chi (n = 124) or self-paced walking (n = 121) or control group
(n = 129). On average, Tai Chi and walking groups lost 0.50 and 0.76 kg of body
weight and 0.47 and 0.59 kg of fat mass after intervention, respectively. The
between-group difference of waist circumference (WC) and fasting blood glucose
(FBG) was -3.7 cm and -0.18 mmol/L for Tai Chi versus control and -4.1 cm and
0.22 mmol/L for walking versus control. No significant differences were observed
regarding lean mass, blood pressure, triglycerides, total cholesterol, high
density and low-density lipoprotein cholesterol, and BMD compared to control.
Change in lean mass, not fat mass or total weight loss, was significantly
correlated to the change in BMD. Our results suggest that both of these two PAs
can produce moderate weight loss and significantly improve the WC and FBG in Hong
Kong Chinese adults, with no additional effects on BMD.
PMID- 26543492
TI - Optimal Placement of Irradiation Sources in the Planning of Radiotherapy:
Mathematical Models and Methods of Solving.
AB - This paper proposes and analyses a mathematical model for the problem of
distribution of a finite number of irradiation sources during radiotherapy in
continuous environments to maximize the minimal cumulative effects. A new
algorithm based on nondifferentiable optimization techniques has been developed
to solve this problem.
PMID- 26543491
TI - Understanding restriction factors and intrinsic immunity: insights and lessons
from the primate lentiviruses.
AB - Primate lentiviruses include the HIVs, HIV-1 and HIV-2; the SIVs, which are
endemic to more than 40 species of nonhuman primates in Africa; and SIVmac, an
AIDS-causing pathogen that emerged in US macaque colonies in the 1970s. Because
of the worldwide spread of HIV and AIDS, primate lentiviruses have been
intensively investigated for more than 30 years. Research on these viruses has
played a leading role in the discovery and characterization of intrinsic
immunity, and in particular the identification of several antiviral effectors
(also known as restriction factors) including APOBEC3G, TRIM5alpha, BST
2/tetherin and SAMHD1. Comparative studies of the primate lentiviruses and their
hosts have proven critical for understanding both the evolutionary significance
and biological relevance of intrinsic immunity, and the role intrinsic immunity
plays in governing viral host range and interspecies transmission of viruses in
nature.
PMID- 26543494
TI - ROC-Boosting: A Feature Selection Method for Health Identification Using Tongue
Image.
AB - OBJECTIVE: To select significant Haar-like features extracted from tongue images
for health identification. MATERIALS AND METHODS: 1,322 tongue cases were
included in this study. Health information and tongue images of each case were
collected. Cases were classified into the following groups: group containing 148
cases diagnosed as health; group containing 332 cases diagnosed as ill based on
health information, even though tongue image is normal; and group containing 842
cases diagnosed as ill. Haar-like features were extracted from tongue images.
Then, we proposed a new boosting method in the ROC space for selecting
significant features from the features extracted from these images. RESULTS: A
total of 27 features were obtained from groups A, B, and C. Seven features were
selected from groups A and B, while 25 features were selected from groups A and
C. CONCLUSIONS: The selected features in this study were mainly obtained from the
root, top, and side areas of the tongue. This is consistent with the tongue
partitions employed in traditional Chinese medicine. These results provide
scientific evidence to TCM tongue diagnosis for health identification.
PMID- 26543493
TI - Temporal Identification of Dysregulated Genes and Pathways in Clear Cell Renal
Cell Carcinoma Based on Systematic Tracking of Disrupted Modules.
AB - OBJECTIVE: The objective of this work is to identify dysregulated genes and
pathways of ccRCC temporally according to systematic tracking of the dysregulated
modules of reweighted Protein-Protein Interaction (PPI) networks. METHODS:
Firstly, normal and ccRCC PPI network were inferred and reweighted based on
Pearson correlation coefficient (PCC). Then, we identified altered modules using
maximum weight bipartite matching and ranked them in nonincreasing order.
Finally, gene compositions of altered modules were analyzed, and pathways
enrichment analyses of genes in altered modules were carried out based on
Expression Analysis Systematic Explored (EASE) test. RESULTS: We obtained 136,
576, 693, and 531 disrupted modules of ccRCC stages I, II, III, and IV,
respectively. Gene composition analyses of altered modules revealed that there
were 56 common genes (such as MAPK1, CCNA2, and GSTM3) existing in the four
stages. Besides pathway enrichment analysis identified 5 common pathways
(glutathione metabolism, cell cycle, alanine, aspartate, and glutamate
metabolism, arginine and proline metabolism, and metabolism of xenobiotics by
cytochrome P450) across stages I, II, III, and IV. CONCLUSIONS: We successfully
identified dysregulated genes and pathways of ccRCC in different stages, and
these might be potential biological markers and processes for treatment and
etiology mechanism in ccRCC.
PMID- 26543490
TI - Heat shock proteins and hormesis in the diagnosis and treatment of
neurodegenerative diseases.
AB - Modulation of endogenous cellular defense mechanisms via the vitagene system
represents an innovative approach to therapeutic intervention in diseases causing
chronic tissue damage, such as in neurodegeneration. The possibility of high
throughoutput screening using proteomic techniques, particularly redox
proteomics, provide more comprehensive overview of the interaction of proteins,
as well as the interplay among processes involved in neuroprotection. Here by
introducing the hormetic dose response concept, the mechanistic foundations and
applications to the field of neuroprotection, we discuss the emerging role of
heat shock protein as prominent member of vitagene network in neuroprotection and
redox proteomics as a tool for investigating redox modulation of stress
responsive vitagenes. Hormetic mechanisms are reviewed as possibility of targeted
therapeutic manipulation in a cell-, tissue- and/or pathway-specific manner at
appropriate points in the neurodegenerative disease process.
PMID- 26543495
TI - Perspectives in Intraoperative Diagnostics of Human Gliomas.
AB - Amongst large a variety of oncological diseases, malignant gliomas represent one
of the most severe types of tumors. They are also the most common type of the
brain tumors and account for over half of the astrocytic tumors. According to
different sources, the average life expectancy of patients with various
glioblastomas varies between 10 and 12 months and that of patients with
anaplastic astrocytic tumors between 20 and 24 months. Therefore, studies of the
physiology of transformed glial cells are critical for the development of
treatment methods. Modern medical approaches offer complex procedures, including
the microsurgical tumor removal, radiotherapy, and chemotherapy, supplemented
with photodynamic therapy and immunotherapy. The most radical of them is surgical
resection, which allows removing the largest part of the tumor, reduces the
intracranial hypertension, and minimizes the degree of neurological deficit.
However, complete removal of the tumor remains impossible. The main limitations
are insufficient visualization of glioma boundaries, due to its infiltrative
growth, and the necessity to preserve healthy tissue. This review is devoted to
the description of advantages and disadvantages of modern intraoperative
diagnostics of human gliomas and highlights potential perspectives for
development of their treatment.
PMID- 26543496
TI - Identifying Novel Candidate Genes Related to Apoptosis from a Protein-Protein
Interaction Network.
AB - Apoptosis is the process of programmed cell death (PCD) that occurs in
multicellular organisms. This process of normal cell death is required to
maintain the balance of homeostasis. In addition, some diseases, such as obesity,
cancer, and neurodegenerative diseases, can be cured through apoptosis, which
produces few side effects. An effective comprehension of the mechanisms
underlying apoptosis will be helpful to prevent and treat some diseases. The
identification of genes related to apoptosis is essential to uncover its
underlying mechanisms. In this study, a computational method was proposed to
identify novel candidate genes related to apoptosis. First, protein-protein
interaction information was used to construct a weighted graph. Second, a
shortest path algorithm was applied to the graph to search for new candidate
genes. Finally, the obtained genes were filtered by a permutation test. As a
result, 26 genes were obtained, and we discuss their likelihood of being novel
apoptosis-related genes by collecting evidence from published literature.
PMID- 26543497
TI - Comparative Transcriptomes and EVO-DEVO Studies Depending on Next Generation
Sequencing.
AB - High throughput technology has prompted the progressive omics studies, including
genomics and transcriptomics. We have reviewed the improvement of comparative
omic studies, which are attributed to the high throughput measurement of next
generation sequencing technology. Comparative genomics have been successfully
applied to evolution analysis while comparative transcriptomics are adopted in
comparison of expression profile from two subjects by differential expression or
differential coexpression, which enables their application in evolutionary
developmental biology (EVO-DEVO) studies. EVO-DEVO studies focus on the
evolutionary pressure affecting the morphogenesis of development and previous
works have been conducted to illustrate the most conserved stages during
embryonic development. Old measurements of these studies are based on the
morphological similarity from macro view and new technology enables the micro
detection of similarity in molecular mechanism. Evolutionary model of embryo
development, which includes the "funnel-like" model and the "hourglass" model,
has been evaluated by combination of these new comparative transcriptomic methods
with prior comparative genomic information. Although the technology has promoted
the EVO-DEVO studies into a new era, technological and material limitation still
exist and further investigations require more subtle study design and procedure.
PMID- 26543498
TI - Risk factors for rod fracture after posterior correction of adult spinal
deformity with osteotomy: a retrospective case-series.
AB - BACKGROUND: Osteotomies including pedicle subtraction (PSO) and/or Smith-Peterson
(SPO) are used to facilitate surgical correction of adult spinal deformity (ASD),
but are associated with complications including instrumentation failure and rod
fracture (RF). The purpose of this study was to determine incidence and risk
factors for RF, including a clinically significant subset (CSRF), after osteotomy
for ASD. METHODS: A retrospective review of clinical records was conducted on
consecutive ASD patients treated with posterolateral instrumented fusion and
osteotomy. Seventy-five patients (50 female; average age, 59) met strict
inclusion/exclusion criteria and follow-up of >=1 year. Data was extracted
pertaining to the following variables: patient demographics; details of surgical
intervention; instrumentation; and postoperative outcomes. Patients were divided
into two subgroups: 1) rod fracture (RF) and 2) non-RF. The RF subgroup was
further divided into CSRF and non-CSRF. Odds ratios (OR) were calculated to
evaluate the association between risk factors and RF. The chi (2)-test was used
to define P-values for categorical variables, and T-test was applied for
continuous variables, P-values <=0.05 were considered significant. RESULTS:
Incidence rates of RF were: for entire population, 9.3 % (95 % Cl: 2.7 %; 15.9
%); for PSO, 16.2 % (95 % Cl: 4.3; 28.1); and for SPO, 2.6 % (95 % Cl: 0 %; 7.7
%); the OR of PSO versus SPO was 7.2 (95 % Cl: 0.8; 62.7, P = 0.1). CSRF
incidence was 5.3 % (95 % CI: 0.2 %; 10.4 %). Significant risk of RF was revealed
for following factors: fusion construct crossing both thoracolumbar and
lumbosacral junctions (OR = 9.1, P = 0.05), sagittal rod contour >60 degrees (OR
= 10.0, P = 0.04); the presence of dominos and/or parallel connectors at date of
rod fracture (OR = 10.0, P = 0.01); and pseudarthrosis at >=1 year follow-up (OR
= 28.9, P < 0.001). Statistically significant risk of CSRF was revealed for
fusion to pelvis (P = 0.05) and pseudarthrosis at >=1 year follow-up (OR = 50.3,
CI: 4.2; 598.8, P < 0.01). CONCLUSIONS: The risk of RF after posterolateral
instrumented correction of ASD with osteotomy had statistically significant
association with the following factors: pseudarthrosis at >=1 year follow-up;
sagittal rod contour >60 degrees ; presence of dominos and/or parallel connectors
at date of fracture; and fusion construct crossing both thoracolumbar and
lumbosacral junctions. Statistically significant risk for the CSRF subset was
fusion to the pelvis and pseudarthrosis at >=1 year follow-up.
PMID- 26543499
TI - A comparison between survival from cancer before and after a physical traumatic
injury: physical trauma before cancer is associated with decreased survival.
AB - BACKGROUND: Prior traumatic experiences have been associated with poorer coping
strategies, greater distress, and more posttraumatic stress disorder (PTSD)
symptoms following a subsequent cancer diagnosis affecting their survival.
However, the impact of prior physical traumatic injury on cancer survival has not
been examined. METHODS: The present study matched patients from the same Level 1
Trauma center who appeared in both the trauma and cancer registries. A total of
498 patients met the criteria between 1998 and 2014 who have experienced both a
diagnosis of cancer and a physical traumatic injury. The survival between the
patients who had physical trauma before cancer (TBC) versus those that had
physical trauma after the cancer diagnosis (TAC) were compared. RESULTS: The TBC
group had a higher percentage of males (48 % vs 33 % p = 0.001) and motor vehicle
collisions (18 % vs 7 %, p < 0.001), than the TAC group. TBC patients were also
significantly younger than TAC patients at the time of the physical traumatic
event (68.7 +/- 14.6 vs 76.2 +/- 12.0 years, p < 0.001), and longer length of
time between the cancer diagnosis and physical traumatic injury (2.9 +/- 2.9 vs
1.7 +/- 2.6 years, p < 0.001). The overall probability of survival for the entire
sample was 68 %. Percent survival for the TBC (n = 251) and TAC (n = 247) groups
was 56 and 80 % respectively (p < 0.001). Results were consistent regardless of
stage of cancer at diagnosis (hazard ratio (HR (Standard Error)). After adjusting
for comorbidities Charlson comorbidity index (CCI) (HR = 1.2 (0.06), p = 0.009)),
cancer stage (HR = 2.8 (0.12), p < 0.001)), lung cancer (HR = 1.7 (0.25), p <
0.001) and bladder cancer (HR = 3.5 (0.55), p = 0.02), experiencing a prior
physical traumatic injury was associated with an increased HR for mortality of
4.6 (0.93), p < 0.001). CONCLUSIONS: A physical traumatic episode before cancer
diagnosis (TBC) increased the risk of death 4.6 fold compared to the TAC group
even after adjusting for CCI, stage of cancer at diagnosis, lung cancer, and
bladder cancer. These findings suggest considering a history of physical
traumatic injury in cancer patients as a possible risk factor for faster cancer
progression and mortality.
PMID- 26543500
TI - Correlates and Predictors of Psychological Distress among Afghan Refugees in San
Diego County.
AB - The psychological effects of war and resulting displacement continue to
negatively impact Afghan refugees. The purpose of this study was to investigate
factors that are associated with and predict psychological distress symptoms
among Afghan refugees. We analyzed data from a diverse sample of 130 Afghan
refugees recruited through non-random sampling in the San Diego area.
Participants completed self-report questionnaires consisting of a culturally
validated measure of psychological distress, the Afghan Symptom Checklist [ASCL]
alongside standardized measures of acculturation, social support, and perceived
stress. In bivariate analyses, older age, older age at migration, female gender,
being widowed, having lower education, being unemployed, unable to comfortably
pay monthly bills, lower acculturation and social support, and higher levels of
perceived stress were associated with psychological distress. However, only few
variables - female gender, being widowed, unable to comfortably pay monthly
bills, and perceived stress - remained significant in multivariate analysis. The
findings from this study contribute to understanding the social determinants of
distress that affect Afghans in exile even after long-term resettlement in the
US. These reported outcomes support the need for continued research with Afghans,
alongside the implementation of culturally relevant psychosocial interventions
that emphasize prevention of post-resettlement stressors immediately upon
resettlement.
PMID- 26543501
TI - Metabolic engineering of Saccharomyces cerevisiae for production of fatty acid
short- and branched-chain alkyl esters biodiesel.
AB - BACKGROUND: Biodiesel is a mixture of fatty acid short-chain alkyl esters of
different fatty acid carbon chain lengths. However, while fatty acid methyl or
ethyl esters are useful biodiesel produced commercially, fatty acid esters with
branched-chain alcohol moieties have superior fuel properties. Crucially, this
includes improved cold flow characteristics, as one of the major problems
associated with biodiesel use is poor low-temperature flow properties. Hence,
microbial production as a renewable, nontoxic and scalable method to produce
fatty acid esters with branched-chain alcohol moieties from biomass is critical.
RESULTS: We engineered Saccharomyces cerevisiae to produce fatty acid short- and
branched-chain alkyl esters, including ethyl, isobutyl, isoamyl and active amyl
esters using endogenously synthesized fatty acids and alcohols. Two wax ester
synthase genes (ws2 and Maqu_0168 from Marinobacter sp.) were cloned and
expressed. Both enzymes were found to catalyze the formation of fatty acid
esters, with different alcohol preferences. To boost the ability of S. cerevisiae
to produce the aforementioned esters, negative regulators of the INO1 gene in
phospholipid metabolism, Rpd3 and Opi1, were deleted to increase flux towards
fatty acyl-CoAs. In addition, five isobutanol pathway enzymes (Ilv2, Ilv5, Ilv3,
Aro10, and Adh7) targeted into the mitochondria were overexpressed to enhance
production of alcohol precursors. By combining these engineering strategies with
high-cell-density fermentation, over 230 mg/L fatty acid short- and branched
chain alkyl esters were produced, which is the highest titer reported in yeast to
date. CONCLUSIONS: In this work, we engineered the metabolism of S. cerevisiae to
produce biodiesels in the form of fatty acid short- and branched-chain alkyl
esters, including ethyl, isobutyl, isoamyl and active amyl esters. To our
knowledge, this is the first report of the production of fatty acid isobutyl and
active amyl esters in S. cerevisiae. Our findings will be useful for engineering
S. cerevisiae strains toward high-level and sustainable biodiesel production.
PMID- 26543502
TI - Influence of corn oil recovery on life-cycle greenhouse gas emissions of corn
ethanol and corn oil biodiesel.
AB - BACKGROUND: Corn oil recovery and conversion to biodiesel has been widely adopted
at corn ethanol plants recently. The US EPA has projected 2.6 billion liters of
biodiesel will be produced from corn oil in 2022. Corn oil biodiesel may qualify
for federal renewable identification number (RIN) credits under the Renewable
Fuel Standard, as well as for low greenhouse gas (GHG) emission intensity credits
under California's Low Carbon Fuel Standard. Because multiple products [ethanol,
biodiesel, and distiller's grain with solubles (DGS)] are produced from one
feedstock (corn), however, a careful co-product treatment approach is required to
accurately estimate GHG intensities of both ethanol and corn oil biodiesel and to
avoid double counting of benefits associated with corn oil biodiesel production.
RESULTS: This study develops four co-product treatment methods: (1) displacement,
(2) marginal, (3) hybrid allocation, and (4) process-level energy allocation.
Life-cycle GHG emissions for corn oil biodiesel were more sensitive to the choice
of co-product allocation method because significantly less corn oil biodiesel is
produced than corn ethanol at a dry mill. Corn ethanol life-cycle GHG emissions
with the displacement, marginal, and hybrid allocation approaches are similar
(61, 62, and 59 g CO2e/MJ, respectively). Although corn ethanol and DGS share
upstream farming and conversion burdens in both the hybrid and process-level
energy allocation methods, DGS bears a higher burden in the latter because it has
lower energy content per selling price as compared to corn ethanol. As a result,
with the process-level allocation approach, ethanol's life-cycle GHG emissions
are lower at 46 g CO2e/MJ. Corn oil biodiesel life-cycle GHG emissions from the
marginal, hybrid allocation, and process-level energy allocation methods were 14,
59, and 45 g CO2e/MJ, respectively. Sensitivity analyses were conducted to
investigate the influence corn oil yield, soy biodiesel, and defatted DGS
displacement credits, and energy consumption for corn oil production and corn oil
biodiesel production. CONCLUSIONS: This study's results demonstrate that co
product treatment methodology strongly influences corn oil biodiesel life-cycle
GHG emissions and can affect how this fuel is treated under the Renewable Fuel
and Low Carbon Fuel Standards.
PMID- 26543503
TI - Erratum to: Effectiveness and safety of prolotherapy injections for management of
lower limb tendinopathy and fasciopathy: a systematic review.
AB - [This corrects the article DOI: 10.1186/s13047-015-0114-5.].
PMID- 26543504
TI - Correlates of functional ankle instability in children and adolescents with
Charcot-Marie-Tooth disease.
AB - BACKGROUND: Functional ankle instability (FAI) is commonly reported by children
and adolescents with Charcot-Marie-Tooth disease (CMT), however,, the specific
variables associated with FAI remain unknown. An improved understanding of these
variables may suggest interventions to improve ankle stability and possibly
prevent the long-term complications associated with ankle instability in this
population. The aim of this study was to therefore investigate the relationship
between FAI and other functional, structural, anthropometric and demographic
characteristics in a cross sectional sample of children and adolescents with CMT.
METHODS: Thirty children and adolescents with CMT aged 7-18 years were recruited
from the Peripheral Neuropathy Clinics of a large tertiary paediatric hospital.
Measures of FAI were obtained using the Cumberland Ankle Instability Tool (CAIT).
Demographic and anthropometric data was also collected. Other variables collected
included foot structure (Foot Posture Index), ankle range of motion (weight
bearing lunge) and functional parameters (balance, timed motor function and
falls). Descriptive statistics were calculated to characterise the participants.
Pearson's correlation coefficients were calculated to investigate the correlates
of right and left FAI and demographic (age), anthropometric (height, weight,
BMI), foot/ankle (foot structure and ankle flexibility) and functional parameters
(balance task, timed motor function and falls frequency). Point biserial
correlation was employed to correlate gender with right and left FAI. RESULTS:
All but one study participant (n = 29) reported moderate to severe bilateral FAI
with females reporting significantly greater ankle instability than males. FAI
was significantly associated with cavus foot structure (r = .69, P < .001),
female gender (r = -.47, P < .001) and impaired balance (r = .50, P < .001).
CONCLUSIONS: This study confirms FAI is common in children and adolescents with
CMT. An examination of the correlates of FAI suggests interventions, which target
balance, and normalise foot structure should be explored to evaluate whether they
might help to improve ankle stability in this population.
PMID- 26543506
TI - Using virtual worlds for patient and public engagement.
AB - Patient and public involvement is fundamental in healthcare and many methods
attempt to facilitate this engagement. The present study investigated use of
computer-generated environments known as 'virtual worlds' (VW) as an involvement
method. The VW used in the present research was Second Life, which is 3
dimensional, publically accessible and internet-based. It is accessed using
digital self-representations, or 'avatars', through which users navigate the
virtual environment and communicate with one another. Participants were patients
with long-term conditions, frequently involved in shaping health research and
care. Some had mobility and communication difficulties, potentially making
involvement through traditional face-to-face modes of engagement challenging.
There were 2 stages to this study. Stage-1: Participants were introduced to VWs
and Second Life. This was followed by a face-to-face focus group discussion (FGD)
in order to gain their views on use of SL. Stage-2: An FGD attended by 8 people
(4 patients, 3 researchers, 1 healthcare professional) was conducted in Second
Life. Training and support on using Second Life had been provided for
participants. The FGD took place successfully, although some technical and
communication difficulties were experienced. Data was collected in the form of
interviews and questionnaires from the patients about their experience of using
the virtual world. Participants recognised the potential of VWs as a platform for
patient engagement, especially for those who suffer from chronic conditions that
impact severely upon their mobility and communication. Participant feedback
indicated that potential barriers include technical problems with VW programs and
potential user inexperience of using VWs, which may be counteracted by ensuring
provision of continuous training and support. In conclusion, this study
established the feasibility of using VWs for patient FGDs and indicates a
potential of use of VWs for engagement in future, particularly for peer-led
support and to engage people with particular long-term conditions.
PMID- 26543508
TI - Use of Arctium lappa Extract Against Acetaminophen-Induced Hepatotoxicity in
Rats.
AB - BACKGROUND: Severe destructive hepatic injuries can be induced by acetaminophen
overdose and may lead to acute hepatic failure. OBJECTIVE: To investigate the
ameliorative effects of Arctium lappa root extract on acetaminophen-induced
hepatotoxicity. METHODS: Rats were divided into 4 groups: normal control group,
Arctium lappa extract group, acetaminophen-injected group, and acetaminophen
treated with Arctium lappa extract group. RESULTS: The treatment with Arctium
lappa extract reduced serum alanine transaminase, aspartate aminotransferase, and
alkaline phosphatase in the acetaminophen group when compared with the control
group. DNA fragments in the acetaminophen-injected group were also significantly
increased (P < 0.05). The comet assay revealed increased detaching tail length
and DNA concentration during the hepatic toxicity in the acetaminophen group. The
malondialdehyde content was inhibited by Arctium lappa treatment (12.97+/-0.89
nmol/mg) when compared with the acetaminophen-treated-only group (12.97+/-0.89
nmol/mg). Histopathologic examination revealed that acetaminophen administration
produced hepatic cell necrosis, infiltrate of lymphocytes, and vacuolation that
were associated with the acetaminophen-treated animal group, but the degree of
acetaminophen-induced hepatotoxicity was mediated by treatment with Arctium lappa
extract. CONCLUSIONS: Arctium lappa can prevent most of the hepatic tissue damage
caused by acetaminophen overdose in rats.
PMID- 26543505
TI - Neuron-astrocyte interactions in neurodegenerative diseases: Role of
neuroinflammation.
AB - Selective neuron loss in discrete brain regions is a hallmark of various
neurodegenerative disorders, although the mechanisms responsible for this
regional vulnerability of neurons remain largely unknown. Earlier studies
attributed neuron dysfunction and eventual loss during neurodegenerative diseases
as exclusively cell autonomous. Although cell-intrinsic factors are one critical
aspect in dictating neuron death, recent evidence also supports the involvement
of other central nervous system cell types in propagating non-cell autonomous
neuronal injury during neurodegenerative diseases. One such example is
astrocytes, which support neuronal and synaptic function, but can also contribute
to neuroinflammatory processes through robust chemokine secretion. Indeed,
aberrations in astrocyte function have been shown to negatively impact neuronal
integrity in several neurological diseases. The present review focuses on
neuroinflammatory paradigms influenced by neuron-astrocyte cross-talk in the
context of select neurodegenerative diseases.
PMID- 26543509
TI - Pill Properties that Cause Dysphagia and Treatment Failure.
AB - BACKGROUND: Pills (tablets and capsules) are widely used to administer
prescription drugs or to take supplements such as vitamins. Unfortunately, little
is known about how much effort it takes Americans to swallow these various pills.
More specifically, it is not known to what extent hard-to-swallow pills might
affect treatment outcomes (eg, interfering with adherence to prescribed
medications or causing clinical complications). It is also unclear which
properties (eg, size, shape, or surface texture) Americans prefer or reject for
their pills. To learn more about these issues, we interviewed a small group of
individuals. METHODS: We invited individuals in waiting rooms of our tertiary
health care center to participate in structured interviews about their pill
taking habits and any problems they have swallowing pills. We inquired which pill
properties they believed caused swallowing problems. Participants scored capsules
and pills of representative size, shape, and texture for swallowing effort and
reported their personal preferences. RESULTS: Of 100 successive individuals, 99
participants completed the interview (65% women, mean age = 41 years, range = 23
77 years). Eighty-three percent took pills daily (mean 4 pills/d; 56% of those
pills were prescribed by providers). Fifty-four percent of participants replied
yes to the question, "Did you ever have to swallow a solid medication that was
too difficult?" Four percent recounted serious complications: 1% pill
esophagitis, 1% pill impaction, and 2% stopped treatments (antibiotic and
prenatal supplement) because they could not swallow the prescribed pills. Half of
all participants routinely resorted to special techniques (eg, plenty of liquids
or repeated or forceful swallows). Sixty-one percent of those having difficulties
cited specific pill properties: 27% blamed size (20% of problems were caused by
pills that were too large whereas 7% complained about pills that were too small
to sense); 12% faulted rough surface texture; others cited sharp edges, odd
shapes, or bad taste/smell. Extra-large pills were widely loathed, with 4 out of
5 participants preferring to take 3 or more medium-sized pills instead of a
single jumbo pill. CONCLUSIONS: Our survey results suggest that 4 out of 5 adult
Americans take several pills daily, and do so without undue effort. It also
suggests that half of today's Americans encounter pills that are hard to swallow.
Up to 4% of our participants gave up on treatments because they could not swallow
the prescribed pills. Up to 7% categorically rejected taking pills that are hard
to swallow. Specific material properties are widely blamed for making pills hard
to swallow; extra-large capsules and tablets are universally feared, whereas
medium-sized pills with a smooth coating are widely preferred. Our findings
suggest that health care providers could minimize treatment failures and
complications by prescribing and dispensing pills that are easy to swallow.
Industry and regulatory bodies may facilitate this by making swallowability an
essential criterion in the design and licensing of oral medications. Such
policies could lessen the burden of pill taking for Americans and improve the
adherence with prescribed treatments.
PMID- 26543507
TI - Histone acetylation: novel target for the treatment of acute lymphoblastic
leukemia.
AB - Acute lymphoblastic leukemia (ALL) has been generally considered a genetic
disease (disorder) with an aggressive tumor entity of highly proliferative
malignant lymphoid cells. However, in recent years, significant advances have
been made in the elucidation of the ALL-associated processes. Thus, we understand
that histone acetylation is involved in the permanent changes of gene expression
controlling ALL developmental outcomes. In this article, we will focus on histone
acetylation associated with ALL, their implications as biomarkers for prognostic,
and their preclinical and clinical applications.
PMID- 26543510
TI - Early Clinical Detection of Pharmacologic Response in Insulin Action in a
Nondiabetic Insulin-Resistant Population.
AB - BACKGROUND: Insulin resistance heightens the risk for type 2 diabetes mellitus
and cardiovascular disease. Amelioration of insulin resistance may reduce this
risk. The thiazolidinedone class of insulin sensitizers improves insulin action
in individuals with insulin-resistant diabetes and nondiabetic individuals.
However, there are few reports on the time of onset of such effects independent
of reversal of glucotoxicity. OBJECTIVE: The goal of our study was to test
whether the thiazolidinedione pioglitazone has prominent early metabolic effects
that can be detected in an obese, nondiabetic, insulin-resistant population.
METHODS: We conducted a randomized, double-blind, placebo-controlled, parallel
group trial in men with nondiabetic insulin resistance using a hyperinsulinemic
euglycemic clamp technique (at low and high doses of insulin at 10 and 40
mU/m(2)/min, respectively). The patients were given 30 mg daily oral pioglitazone
or placebo for 28 days. Patients underwent a baseline clamp before initiation of
treatment, and again at 14 and 28 days of treatment. RESULTS: Compared with
placebo, under high-dose hyperinsulinemia, pioglitazone led to significant
increases in glucose disposal rates (GDR) of 1.29 mg/kg/min (90% CI, 0.43-2.15;
39%; P=0.008) that were detectable at 2 weeks of treatment and persisted at 4
weeks of treatment. Under low-dose hyperinsulinemia, significant increases in GDR
of 0.40 mg/kg/min (90% CI, 0.17-0.62; 95%; P=0.003) were observed at 4 weeks of
treatment. These responses were accompanied by robust suppression of free fatty
acids under hyperinsulinemic conditions, and by significant increases in
circulating basal total adiponectin at 2 and 4 weeks of treatment. CONCLUSIONS:
Significant changes in insulin action across multiple insulin-sensitive tissues
can be detected within 2 weeks of initiation of insulin-sensitizing therapy with
pioglitazone in obese patients with nondiabetic insulin resistance.
ClinicalTrials.gov identifier: NCT01115712.
PMID- 26543511
TI - Spontaneous Isolated Celiac Artery and Superior Mesenteric Artery Dissections: A
Rare Case.
AB - BACKGROUND: Arterial dissection is defined as the cleavage of the arterial wall
by an intramural hematoma. Reports of dissection of the celiac and/or superior
mesenteric artery are rare; as far as we know, only 24 cases of spontaneous
isolated celiac trunk dissection, and 71 cases of spontaneous isolated superior
mesenteric artery dissection have been reported. CASE REPORT: The case presents a
48-year-old male with a sudden-onset epigastric pain. A Computed Tomography
Angiography of the thoracoabdominal aorta was applied and dissections of both the
celiac artery and SMA were determined. A conservative therapeutic approach was
preferred and the patient was discharged with anticoagulant and antihypertensive
therapy. CONCLUSIONS: Although rare, spontaneous isolated celiac artery and
superior mesenteric artery dissections must be kept in mind in the differential
diagnosis of the epigastric pain in the emergency room. Contrast-enhanced
Computed Tomography Angiography examination is the method of choice in the
diagnosis.
PMID- 26543512
TI - The Role of Ultrasound Imaging of Callus Formation in the Treatment of Long Bone
Fractures in Children.
AB - BACKGROUND: In the process of diagnosis and treatment of fractures, an X-ray
study is typically performed. In modern medicine very important is the
development of new diagnostic methods without adverse effects on the body. One of
such techniques is ultrasound imaging. It has a high value in imaging most areas
of the body, including the musculoskeletal system. Reports on the use of
ultrasound in the evaluation of the callus are rare and this could be a method
equivalent to or even better than standard radiographs. The aim of the study was
to analyze the correlation of ultrasound with radiographs in imaging of callus
formation after fractures of long bones in children and to analyze the
correlation of vascular resistance index (RI) and the degree of vascularization
of the callus with a subjective radiological assessment of the bone union
quality. MATERIAL/METHODS: The prospective study was planned to qualify 50
children treated for long bones fractures of the arm, forearm, thigh and lower
leg. Ultrasound diagnosis was carried out using a Philips iU22 camera equipped
with a linear probe with 17-5-MHz resolution and MSK Superficial program. During
ultrasound examination measurements of the callus were performed. Using the Power
Doppler callus vascularity was visualized and vascular resistance index (RI) was
measured. The same measurements were made within the corresponding area of the
healthy limb. The results obtained by ultrasound were compared with radiograph
measurements and with the subjective assessment of the callus quality. RESULTS:
Preliminary results were developed on a group of 24 patients, where 28 fractured
bones and 28 corresponding healthy bones were examined. Fifteen boys and 9 girls
participated in the study. The average age at injury was, respectively, 11 and 9
years. In both groups fractures without displacement were the most frequent. A
similar frequency was observed in fractures requiring reposition and
subperiosteal fractures. In contrast, fractures with a slight displacement of the
fragments, were 3 times more common in girls. Statistical analysis of the
measurements of length and width of the callus demonstrated that the differences
between results obtained in the ultrasound in comparison with X-rays were not
statistically significant. Moreover, preliminary results showed a significantly
higher degree of vascularization of the callus than of the healthy periosteum.
CONCLUSIONS: Preliminary results indicate the high efficacy of ultrasound in the
evaluation of callus formation after fractures of long bones in children and the
possibility of its alternative use to X-ray examinations.
PMID- 26543513
TI - An integrated microfluidic system for screening of phage-displayed peptides
specific to colon cancer cells and colon cancer stem cells.
AB - Affinity reagents recognizing biomarkers specifically are essential components of
clinical diagnostics and target therapeutics. However, conventional methods for
screening of these reagents often have drawbacks such as large reagent
consumption, the labor-intensive or time-consuming procedures, and the
involvement of bulky or expensive equipment. Alternatively, microfluidic
platforms could potentially automate the screening process within a shorter
period of time and reduce reagent and sample consumption dramatically. It has
been demonstrated recently that a subpopulation of tumor cells known as cancer
stem cells possess high drug resistance and proliferation potential and are
regarded as the main cause of metastasis. Therefore, a peptide that recognizes
cancer stem cells and differentiates them from other cancer cells will be
extremely useful in early diagnosis and target therapy. This study utilized M13
phage display technology to identify peptides that bind, respectively, to colon
cancer cells and colon cancer stem cells using an integrated microfluidic system.
In addition to positive selection, a negative selection process was integrated on
the chip to achieve the selection of peptides of high affinity and specificity.
We successfully screened three peptides specific to colon cancer cells and colon
cancer stem cells, namely, HOLC-1, HOLC-2, and COLC-1, respectively, and their
specificity was measured by the capture rate between target, control, and other
cell lines. The capture rates are 43.40 +/- 7.23%, 45.16 +/- 7.12%, and 49.79 +/-
5.34% for colon cancer cells and colon cancer stem cells, respectively, showing a
higher specificity on target cells than on control and other cell lines. The
developed technique may be promising for early diagnosis of cancer cells and
target therapeutics.
PMID- 26543514
TI - Contactless microfluidic pumping using microchannel-integrated carbon black
composite membranes.
AB - The ability to pump and manipulate fluid at the micron-scale is a basic
requirement for microfluidic platforms. Many current manipulation methods,
however, require expensive and bulky external supporting equipment, which are not
typically compatible for portable applications. We have developed a contactless
metal electro-osmotic micropump capable of pumping conductive buffers. The pump
operates using two pairs of gallium metal electrodes, which are activated using
an external voltage source and separated from a main flow channel by a thin
micron-scale polydimethylsiloxane (PDMS) membrane. The thin contactless membrane
allows for field penetration and electro-osmotic flow within the microchannel,
but eliminates electrode damage and sample contamination commonly associated with
traditional DC electro-osmotic pumps that utilize electrodes in direct contact
with the working fluid. Our previous work has demonstrated the effectiveness of
this method in pumping deionized water. However, due to the high resistivity of
PDMS, this method proved difficult to apply towards manipulating conductive
buffers. To overcome this limitation, we fabricated conductive carbon black (CB)
powder directly into the contactless PDMS membranes. The increased electrical
conductivity of the contactless PDMS membrane significantly increased micropump
performance. Using a microfluidic T-channel device and an electro-osmotic flow
model, we determined the influence that CB has on pump pressure for CB weight
percents varying between 0 and 20. The results demonstrate that the CB increases
pump pressure by two orders of magnitude and enables effective operations with
conductive buffers.
PMID- 26543515
TI - Geometrical optimization of microstripe arrays for microbead magnetophoresis.
AB - Manipulation of magnetic beads plays an increasingly important role in molecular
diagnostics. Magnetophoresis is a promising technique for selective
transportation of magnetic beads in lab-on-a-chip systems. We investigate
periodic arrays of exchange-biased permalloy microstripes fabricated using a
single lithography step. Magnetic beads can be continuously moved across such
arrays by combining the spatially periodic magnetic field from microstripes with
a rotating external magnetic field. By measuring and modeling the magnetophoresis
properties of thirteen different stripe designs, we study the effect of the
stripe geometry on the magnetophoretic transport properties of the magnetic
microbeads between the stripes. We show that a symmetric geometry with equal
width of and spacing between the microstripes facilitates faster transportation
and that the optimal period of the periodic stripe array is approximately three
times the height of the bead center over the microstripes.
PMID- 26543516
TI - Integrative Health and Healing as the New Health Care Paradigm for the Military.
AB - Background: The field of integrative health and healing (IH2) is emerging out of
the dark recesses of "voodoo" stereotypes and into the light as a new and much
needed health care paradigm. It is a philosophy of health and healing that seeks
to place patients as the preeminent players in health management, disease
prevention, and injury recovery. There is an emphasis of patient responsibility,
which includes a holistic approach that merges allopathic with complementary
medicine. Objective: The aim of this article is to explore the historical origins
of integrative medicine and investigate the future role of the IH2 paradigm.
Methods: This article reviews current available data and information regarding
complementary and alternative medicine utilized in civilian and military
populations as the basis for a new paradigm for a system of care-a system that
empowers patients. Conclusions: The current U.S. health care system is reactive
and disease-based, with a focus on reductionism. This system is not serving us
well. IH2 is a new model of cost-effective patient-centered health care.
PMID- 26543517
TI - Overview of Integrative Medicine Practices and Policies in NATO Participant
Countries.
AB - Background: CAMbrella is a European research network for complementary and
alternative medicine (CAM). Between January 2010 and December 2013 the CAMbrella
consortium reviewed the status of CAM in Europe from the perspectives of: (1)
terminology for description; (2) citizens' needs and expectations; (3) patients'
usage patterns; (4) providers' practice patterns; and (5) regulatory and legal
status in Europe. Together, this data was used to form a set of recommendations
to the European Commission, the European Parliament, and national policy makers
and civil society stakeholders. These recommendations can serve as a roadmap for
European CAM research. Objective: This article aims to inform the reader about
CAM prevalence, usage perspectives, and the future roadmap for CAM practices and
research within the European Union. Method: This overview describes CAM status in
the European Union, using the CAMbrella consortium projects as the source of
information. Conclusions: The North Atlantic Treaty Organization is positioned as
a potential foundation for inclusion of CAM modalities within the militaries as
well for as collaborative research on safe and cost-effective practices.
PMID- 26543518
TI - Integrative Medicine Experience in the U.S. Department of Defense.
AB - Background: Over the past 15 years, the use of complementary and alternative
medicine (CAM) services, currently described as integrative medicine (IM) when
used together with conventional medicine , has continued to rise in the United
States. The trends seen in the civilian population are mirrored within the U.S.
Military. Objective: A survey was conducted to show the change in the prevalence
of integrative medicine services, budgeting of those services, and ongoing
research in IM within Department of Defense (DoD) medical treatment facilities
(MTFs) from 2005 through 2009. Materials and Methods:Design: The Deputy Chief of
Clinical Services or Service equivalent was contacted at fourteen selected DoD
MTFs. Comprehensive structured telephone interviews were conducted using a
formatted 20-item questionnaire. The questionnaire design was of a mixed model
with open and closed formats as well as dichotomous yes/no questions. The
questions covered the subject areas of available services, budgeting, and
research. The initial survey was conducted in 2005 with a follow-up survey
conducted in 2009. Setting: This survey involved DoD MTFs. Main Outcome Measures:
The surveys were conducted to determine the prevalence of IM services within
selected DoD facilities. Results: There was a steady increase in the number of IM
services available in the DoD MTFs from 2005 through 2009. Acupuncture,
biofeedback, nutritional counseling, and spiritual healing were the most
prevalent IM services in 2009. Funding sources changed from central funding
(Offices of the Surgeon General) to Congressional and local funding. Conclusions:
It is essential that the DoD medical community provides safe and effective
treatments by providing oversight of IM services, collaboration for research,
credentialing of practitioners, and establishing educational programs.
PMID- 26543519
TI - Modeling Multiple Responses via Bootstrapping Margins with an Application to
Genetic Association Testing.
AB - The need for analysis of multiple responses arises from many applications. In
behavioral science, for example, comorbidity is a common phenomenon where
multiple disorders occur in the same person. The advantage of jointly analyzing
multiple correlated responses has been examined and documented. Due to the
difficulties of modeling multiple responses, nonparametric tests such as
generalized Kendall's Tau have been developed to assess the association between
multiple responses and risk factors. These procedures have been applied to
genomewide association studies of multiple complex traits. Unfortunately, those
nonparametric tests only provide the significance of the association but not the
magnitude. We propose a Gaussian copula model with discrete margins for modeling
multivariate binary responses. This model separates marginal effects from between
trait correlations. We use a bootstrapping margins approach to constructing
Wald's statistic for the association test. Although our derivation is based on
the fully parametric Gaussian copula framework for simplicity, the underlying
assumptions to apply our method can be weakened. The bootstrapping margins
approach only requires the correct specification of the model margins. Our
simulation and real data analysis demonstrate that our proposed method not only
increases power over some existing association tests, but also provides further
insight into genetic association studies of multivariate traits.
PMID- 26543521
TI - When Societal Norms and Social Identity Collide: the Race Talk Dilemma for Racial
Minority Children.
AB - Racial minorities face a unique "race talk" dilemma in contemporary American
society: their racial background is often integral to their identity and how
others perceive them, yet talk of race is taboo. This dilemma highlights the
conflict between two fundamental social processes: social identity development
and social norm adherence. To examine how, and with what costs, this dilemma is
resolved, 9-12-year-old Latino, Asian, Black, and White children (n=108)
completed a photo identification task in which acknowledging racial difference is
beneficial to performance. Results indicate minority children are just as likely
to avoid race as White children, and such avoidance exacted a cost to performance
and nonverbal comfort. Results suggest that teachers are particularly important
social referents for instilling norms regarding race. Norms that equate
colorblindness with socially appropriate behavior appear more broadly influential
than previously thought, stifling talk of race even among those for whom it may
be most meaningful.
PMID- 26543522
TI - Comparison of Methicillin Resistant Staphylococcus Aureus in Healthy Community
Hospital Visitors [CA-MRSA] and Hospital Staff [HA-MRSA].
AB - BACKGROUND: The prevalence of community-associated methicillin-resistant
Staphylococcus aureus [CA-MRSA] is unknown in Oman. METHODS: Nasal and cell
phones swabs were collected from hospital visitors and health-care workers on
sterile polyester swabs and directly inoculated onto a mannitol salt agar
containing oxacillin, allowing growth of methicillin-resistant microorganisms.
Antibiotic susceptibility tests were performed using Kirby Bauer's disc diffusion
method on the isolates. Minimum inhibitory concentration (MIC) was determined for
vancomycin and teicoplanin against the resistant isolates of MRSA by the
Epsilometer [E] test. A brief survey questionnaire was requested be filled to
ascertain the exposure to known risk factors for CA-MRSA carriage. RESULTS:
Overall, nasal colonization with CA-MRSA was seen in 34 individuals (18%, 95%
confidence interval [CI] =12.5%-23.5%), whereas, CA-MRSA was additionally
isolated from the cell phone surface in 12 participants (6.3%, 95% CI =5.6%
6.98%). Nasal colonization prevalence with hospital-acquired [HA] MRSA was seen
in 16 individuals (13.8%, 95% confidence interval [CI] =7.5%-20.06%), whereas, HA
MRSA was additionally isolated from the cell phone surface in 3 participants
(2.6%, 95% CI =1.7-4.54). Antibiotic sensitivity was 100% to linezolid and
rifampicin in the CA-MRSA isolates. Antibiotic resistance to vancomycin and
clindamycin varied between 9-11 % in the CA-MRSA isolates. Mean MIC for
vancomycin amongst CA- and HA-MRSA were 6.3 and 9.3 MUg/ml, whereas for
teicoplanin they were 13 and 14 MUg/ml respectively by the E-test. There was no
statistically significant correlation between CA-MRSA nasal carriage and the risk
factors (P>0.05, Chi-square test). CONCLUSIONS: The prevalence of CA-MRSA in the
healthy community hospital visitors was 18 % (95% CI, 12.5% to 23.5%) as compared
to 13.8% HA-MRSA in the hospital health-care staff. Despite a significant
prevalence of CA-MRSA, these strains were mostly sensitive. RECOMMENDATION: The
universal techniques of hand washing, personal hygiene and sanitation are thus
warranted.
PMID- 26543520
TI - The Role of Oxidative Stress-Induced Epigenetic Alterations in Amyloid-beta
Production in Alzheimer's Disease.
AB - An increasing number of studies have proposed a strong correlation between
reactive oxygen species (ROS)-induced oxidative stress (OS) and the pathogenesis
of Alzheimer's disease (AD). With over five million people diagnosed in the
United States alone, AD is the most common type of dementia worldwide. AD
includes progressive neurodegeneration, followed by memory loss and reduced
cognitive ability. Characterized by the formation of amyloid-beta (Abeta) plaques
as a hallmark, the connection between ROS and AD is compelling. Analyzing the ROS
response of essential proteins in the amyloidogenic pathway, such as amyloid-beta
precursor protein (APP) and beta-secretase (BACE1), along with influential
signaling programs of nuclear factor kappa-light-chain-enhancer of activated B
cells (NF-kappaB) and c-Jun N-terminal kinase (JNK), has helped visualize the
path between OS and Abeta overproduction. In this review, attention will be paid
to significant advances in the area of OS, epigenetics, and their influence on
Abeta plaque assembly. Additionally, we aim to discuss available treatment
options for AD that include antioxidant supplements, Asian traditional medicines,
metal-protein-attenuating compounds, and histone modifying inhibitors.
PMID- 26543524
TI - Management of Meningitis Caused by Multi Drug-Resistant Acinetobacter Baumannii:
Clinical, Microbiological and Pharmacokinetic Results in a Patient Treated with
Colistin Methanesulfonate.
AB - This paper reports on a 71- year-old Caucasian male who underwent neurosurgery
for an oligodendroglioma, followed by a cranial-sinus fistula and cerebrospinal
fluid rhinorrhea. The clinical course was complicated due to an extensively drug
resistant Acinetobacter baumannii meningitis. The patient was treated with
colistin methanesulfonate, intrathecal for 24 days and intravenous for 46 days.
In addition, the patient received meropenem and teicoplanin to treat a urinary
tract infection and a bacterial aspiration pneumonia. Cerebrospinal fluid trough
colistin levels resulted above the MIC of A. baumannii. Colistin cerebrospinal
fluid concentration did not increase over the treatment period. Meningitis was
cured and A. baumannii eradicated. No side effects from the antimicrobial therapy
were observed. In conclusion, this case highlights the issues in treating
infections caused by resistant Gram negative bacteria and supports previous
findings on the efficacy, pharmacokinetic and tolerability of intravenous and
intrathecal colistin treatments.
PMID- 26543523
TI - Demographical, Viro-Immunological, Clinical and Therapeutical Characteristics of
HIV-Infected Patients in an "Epidemiologically Unexplored" Region of Italy
(Calabria Region): the CalabrHIV Cohort.
AB - BACKGROUND AND OBJECTIVES: HIV epidemics may differ among epidemiological
contexts. We aimed at constructing an HIV clinical cohort whose main
epidemiological, clinical and therapeutical characteristics are described (the
CalabrHIV cohort, Calabria Region, Southern Italy). METHODS: The CalabrHIV Cohort
includes all HIV patients on active follow-up in all infectious disease centers
in the Calabria Region as at October 2014. All information was recorded in a
common electronic database. Not-infectious co-morbidities (such as cardiovascular
diseases, bone fractures, diabetes, renal failure and hypertension) were also
studied. RESULTS: 548 patients (68% males; 59% aged <50 years) were included in
the CalabrHIV cohort. Major risk factors were: sexual transmission (49%) and
intravenous drug use (34%). 39% patients had HCV and/or HBV co-infection. Amongst
404 patients who had a complete clinical history, 34% were AIDS presenters and
49.3% had CD4 count <=350/mm(3) at HIV diagnosis. 83% patients on HAART had
undetectable HIV-RNA. Hypertension was the most frequent co-morbidity (21.5%).
Multimorbidity was more frequent in >50 years old patients than in <50 years old
ones (30% vs. 6%; p<0.0001). Co-morbidity was more frequent in HCV and/or HBV co
infected than in HIV mono-infected patients (46.6% vs. 31.7%: p=0.0006).
CONCLUSION: This cohort presentation study sheds light, for the first time, on
HIV patients' characteristics in the Calabria Region. We showed that HIV-infected
patients with chronic hepatitis were affected by concomitant not-infectious co
morbidities more than the HIV mono-infected individuals. New HCV treatments are
therefore to be implemented in the co-infected population.
PMID- 26543525
TI - Chronic Lymphocytic Leukaemia: Census of Patients Treated in Italian Haematology
Units.
AB - This study was conducted by contacting the population of the Italian haematology
units and collecting from 68% of them data concerning the number of patients with
chronic lymphocytic leukaemia visited over the previous 12 months, with the aim
of obtaining an overview of the treatment of this disease and comparing the
results with the prevalence estimates found in literature. The projection
obtained (about 17,000 patients visited in the previous 12 months) is probably
overestimated because of double-counting of patients who may have been treated at
two different facilities during the year, although it is also underestimated
since the internal medicine units were not involved. The balance of these two
opposite factors is not known. It is important to bear in mind the approximation
with which the count was performed in facilities for which no official data were
available. Albeit with these limits, the results obtained are in line with some
existing prevalence data and make it possible to determine the portion of
patients at different Binet stages and in the various age ranges, identifying the
corresponding therapeutic treatments. Use of the CIRS scale to classify patients
as FIT and UNFIT was seen to be still somewhat limited.
PMID- 26543528
TI - Role of Biomarkers as Predictors of Infection and Death in Neutropenic Febrile
Patients after Hematopoietic Stem Cell Transplantation.
AB - An ideal marker in the neutropenic population after HSCT is the one which
positivetes at the onset of fever, or at most up to 24 hours after its onset, the
patients at potential risk for infection due to bacterial and fungi and
mortality. Several biomarkers have been used in HSCT patients in the last decade.
However, it seems that C-RP and Il-6 are the most useful markers to early
detected infection and risk for death.
PMID- 26543527
TI - Efficacy and Safety of Cladribine: Subcutaneous versus Intravenous Administration
in Hairy Cell Leukemia Patients.
AB - Cladribine induces durable complete remission (CR) in approximately 85% of hairy
cell leukemia (HCL) patients. In Egypt, cladribine is mainly used as IV
continuous infusion at a dose of 0.1 mg/kg/day for 7 days and as SC bolus
injection at a dose of 0.14 mg/kg/day for 5 days. We aimed to compare the outcome
and toxicity between these two regimens. We retrospectively collected data from
HCL patients treated at the National Cancer Institute and its affiliated center,
Nasser Institute, Cairo, Egypt. Forty-nine patients were identified, 18 treated
with the IV regimen (IV group) and 31 with the SC regimen (SC group). Forty-one
patients were newly diagnosed. Patient characteristics were balanced across the
two groups. The CR rates in the IV and the SC group were 94% and 97%,
respectively. The main complications in the IV group and the SC were neutropenia
G3-4 (67% vs. 87%), mucositis mainly G1-2 (67% vs 32%) and infections (mainly
viral, 78% vs 34%). In the IV group, five patients died, three of progression and
infection, one of unknown cause and one of late heart failure. In the SC group,
one patient died of disease progression and one of second cancer. After 33.5
months, median follow-up, the 3-year event free survival was 60% and 96%,
respectively (p=0.104). The 3-year overall survival was 81% and 100%,
respectively (p=0.277). In conclusion, SC cladribine is an excellent alternative
to the IV regimen for the treatment of HCL.
PMID- 26543526
TI - Bacterial Infections Following Splenectomy for Malignant and Nonmalignant
Hematologic Diseases.
AB - Splenectomy, while often necessary in otherwise healthy patients after major
trauma, finds its primary indication for patients with underlying malignant or
nonmalignant hematologic diseases. Indications of splenectomy for hematologic
diseases have been reducing in the last few years, due to improved diagnostic and
therapeutic tools. In high-income countries, there is a clear decrease over
calendar time in the incidence of all indication splenectomy except nonmalignant
hematologic diseases. However, splenectomy, even if with different modalities
including laparoscopic splenectomy and partial splenectomy, continue to be a
current surgical practice both in nonmalignant hematologic diseases, such as
Immune Thrombocytopenic Purpura (ITP), Autoimmune Hemolytic Anemia (AIHA),
Congenital Hemolytic Anemia such as Spherocytosis, Sickle Cell Anemia and
Thalassemia and Malignant Hematological Disease, such as lymphoma. Today millions
of people in the world are splenectomized. Splenectomy, independently of its
cause, induces an early and late increase in the incidence of venous
thromboembolism and infections. Infections remain the most dangerous complication
of splenectomy. After splenectomy, the levels of antibody are preserved but there
is a loss of memory B cells against pneumococcus and tetanus, and the loss of
marginal zone monocytes deputed to immunological defense from capsulated
bacteria. Commonly, the infections strictly correlated to the absence of the
spleen or a decreased or absent splenic function are due to encapsulated bacteria
that are the most virulent pathogens in this set of patients. Vaccination with
polysaccharide and conjugate vaccines again Streptococcus pneumoniae, Haemophilus
influenzae, and Neisseria meningitidis should be performed before the
splenectomy. This practice reduces but does not eliminate the occurrence of
overwhelming infections due to capsulated bacteria. At present, most of
infections found in splenectomized patients are due to Gram-negative (G-)
bacteria. The underlying disease is the most important factor in determining the
frequency and severity of infections. So, splenectomy for malignant diseases has
the major risk of infections.
PMID- 26543529
TI - Serum Total Bilirubin, not Cholelithiasis, is Influenced by UGT1A1 Polymorphism,
Alpha Thalassemia and beta(s) Haplotype: First Report on Comparison between Arab
Indian and African beta(s) Genes.
AB - BACKGROUND: We explored the potential relationship between steady state serum
bilirubin levels and the incidence of cholelithiasis in the context of UGT1A1
gene A(TA)nTAA promoter polymorphism in Omani sickle cell anemia (SCA) patients,
homozygotes for African (Benin and Bantu) and Arab-Indian beta(S) haplotypes, but
sharing the same microgeographical environment and comparable life style factors.
METHODS: 136 SCA patients were retrospectively studied in whom imaging data
including abdominal CT scan, MRI or Ultrasonography were routinely available.
Available data on the mean steady state hematological/biochemical parameters
(n=136), beta(s) haplotypes(n=136), alpha globin gene status (n=105) and UGT1A1
genotypes (n=133) were reviewed from the respective medical records. RESULTS: The
mean serum total bilirubin level was significantly higher in the homozygous
UGT1A1(AT)7 group as compared to UGT1A1(AT)6 group. Thus, not cholelithiasis but
total serum bilirubin was influenced by UGT1A1 polymorphism in this SCA cohort.
CONCLUSION: As observed in other population groups, the UGT1A1 (AT)7 homozygosity
was significantly associated with raised serum total bilirubin level, but the
prevalence of gallstones in the Omani SCA patients was not associated with alpha
thalassaemia, UGT1A1 polymorphism, or beta(s) haplotypes.
PMID- 26543530
TI - Cardiovascular effects of the incretin-based therapy: the good, the bad, or the
ugly?
PMID- 26543532
TI - Safety, efficacy, and early clinical experience of insulin degludec in Japanese
people with diabetes mellitus: A first-year report from Japan.
AB - In Japan, insulin therapy is recommended for the treatment of type 2 diabetes
mellitus either directly after diet, exercise and lifestyle improvements, or if
the target for glycemic control is not achieved with other hypoglycemic agents.
Insulin degludec is an ultra-long-acting insulin that was launched in Japan in
2013, having shown good efficacy and safety in its clinical development program.
It has now been used in clinical practice for more than 1 year. During this time,
clinicians and researchers have identified possible factors that could influence
the decision as to which patients might be appropriate for insulin degludec
treatment. In the present review, we describe how to initiate and manage insulin
degludec therapy in routine clinical practice. We also discuss several important
topics related to the use of insulin degludec, including patient selection,
dosing, handling of bolus insulin, hypoglycemia and other potential safety
considerations.
PMID- 26543531
TI - New mechanisms of metformin action: Focusing on mitochondria and the gut.
AB - The most well-known mechanism of metformin action, one of the most commonly
prescribed antidiabetic drugs, is adenosine monophosphate-activated protein
kinase activation; however, recent investigations have shown that adenosine
monophosphate-activated protein kinase-independent pathways can explain some of
metformin's beneficial metabolic effects as well as undesirable side-effects.
Such novel pathways include induction of mitochondrial stress, inhibition of
mitochondrial shuttles, alteration of intestinal microbiota, suppression of
glucagon signaling, activation of autophagy, attenuation of inflammasome
activation, induction of incretin receptors and reduction of terminal endoplasmic
reticulum stress. Together, these studies have broadened our understanding of the
mechanisms of antidiabetic agents as well as the pathogenic mechanism of diabetes
itself. The results of such investigations might help to identify new target
molecules and pathways for treatment of diabetes and metabolic syndrome, and
could also have broad implications in diseases other than diabetes. Accordingly,
new antidiabetic drugs with better efficacy and fewer adverse effects will likely
result from these studies.
PMID- 26543533
TI - Pharmacological interventions for painful diabetic neuropathy: Comparative
analysis using network meta-analysis.
PMID- 26543534
TI - Sarcopenia and diabetes: Hyperglycemia is a risk factor for age-associated muscle
mass and functional reduction.
PMID- 26543535
TI - Peptide modulators of alpha-glucosidase.
AB - AIMS/INTRODUCTION: Acute glucose fluctuations during the postprandial period pose
great risk for cardiovascular complications and thus represent an important
therapeutic approach in type 2 diabetes. In the present study, screening of
peptide libraries was used to select peptides with an affinity towards mammalian
intestinal alpha-glucosidase as potential leads in antidiabetic agent
development. MATERIALS AND METHODS: Three phage-displayed peptide libraries were
used in independent selections with different elution strategies to isolate
target-binding peptides. Selected peptides displayed on phage were tested to
compete for an enzyme-binding site with known competitive inhibitors, acarbose
and voglibose. The four best performing peptides were synthesized. Their binding
to the mammalian alpha-glucosidase and their effect on enzyme activity were
evaluated. RESULTS: Two linear and two cyclic heptapeptides with high affinity
towards intestinal alpha-glucosidase were selected. Phage-displayed as well as
synthetic peptides bind into or to the vicinity of the active site on the enzyme.
Both cyclic peptides inhibited enzyme activity, whereas both linear peptides
increased enzyme activity. CONCLUSIONS: Although natural substrates of
glycosidase are polysaccharides, in the present study we successfully isolated
novel peptide modulators of alpha-glucosidase. Modulatory activity of selected
peptides could be further optimized through peptidomimetic design. They represent
promising leads for development of efficient alpha-glucosidase inhibitors.
PMID- 26543536
TI - Detection of CAPN10 copy number variation in Thai patients with type 2 diabetes
by denaturing high performance liquid chromatography and real-time quantitative
polymerase chain reaction.
AB - AIMS/INTRODUCTION: A combination of multiple genetic and environmental factors
contribute to the pathogenesis of type 2 diabetes. Copy number variations (CNVs)
are associated with complex human diseases. However, CNVs can cause genotype
deviation from the Hardy-Weinberg equilibrium (HWE). A genetic case-control
association study in 216 Thai diabetic patients and 192 non-diabetic controls
found that, after excluding genotyping errors, genotype distribution of calpain
10 (CAPN10) SNP44 (rs2975760) deviated from HWE. Here, we aimed to detect CNV
within the CAPN10 SNP44 region. MATERIALS AND METHODS: CNV within the CAPN10
SNP44 region was detected using denaturing high-performance liquid
chromatography, and the results confirmed by real-time quantitative polymerase
chain reaction with SYBR Green I. RESULTS: Both methods successfully identified
CNV in the CAPN10 SNP44 region, obtaining concordant results. Correction of
genotype calling based on the status of identified CNVs showed that the CAPN10
SNP44 genotype is in good agreement with HWE (P > 0.05). However, no association
between CNV genotypes and risk of type 2 diabetes was observed. CONCLUSIONS:
Identified CNVs for CAPN10 SNP44 genotypes lead to deviation from HWE.
Furthermore, both denaturing high-performance liquid chromatography and real-time
quantitative polymerase chain reaction are useful for detecting CNVs.
PMID- 26543537
TI - Lack of predictive power of plasma lipids or lipoproteins for gestational
diabetes mellitus in Japanese women.
AB - AIMS/INTRODUCTION: To determine the diagnostic potential of plasma lipids and
apolipoproteins in gestational diabetes mellitus (GDM), we carried out a
retrospective cohort study of 1,161 Japanese women at 20-28 weeks of gestation
who underwent a glucose challenge test (GCT). MATERIALS AND METHODS: A total of
1,161 Japanese women at 20-28 weeks of gestation underwent a GCT. Participants
with a positive test (GCT[+]) underwent a subsequent oral glucose tolerance test.
Clinical and biochemical parameters were determined and quantification of
apolipoproteins (Apo), including ApoB, ApoB48, ApoA-I and ApoC-III, was carried
out. RESULTS: The prevalence of GCT(+; with a 130 mg/dL glucose cut-off) and GDM
was 20% and 4%, respectively. There was a trend for increased triglycerides and
ApoC-III in GDM(+) participants. However, the difference in plasma triglycerides,
ApoC-III or ApoB48 did not reach statistical significance between GDM(+) and GDM(
) women. Values of 1-h glucose (P < 0.001) and fasting glucose (P = 0.002) were
significant risk factors for GDM. CONCLUSIONS: Prediction of GDM using only the
ApoC-III value is not easy, although triglycerides and ApoC-III were higher in
the GDM(+) group. The present findings show no significant difference in plasma
lipid levels between women diagnosed with GDM and those with normal glucose
tolerance.
PMID- 26543538
TI - Predictability of 1-h postload plasma glucose concentration: A 10-year
retrospective cohort study.
AB - AIMS/INTRODUCTION: Elevated 1-h postload plasma glucose concentration (1hPG)
during oral glucose tolerance test has been linked to an increased risk of type 2
diabetes and a poorer cardiometabolic risk profile. The present study analyzed
the predictability and cut-off point of 1hPG in predicting type 2 diabetes in
normal glucose regulation (NGR) subjects, and evaluated the long-term prognosis
of NGR subjects with elevated 1hPG in glucose metabolism, kidney function,
metabolic states and atherosclerosis. MATERIALS AND METHODS: A total of 116 Han
Chinese classified as NGR in 2002 at the First Affiliated Hospital of Sun Yat-sen
University, Guangzhou, Guangdong, China, were investigated. Follow-up was carried
out in 2012 to evaluate the progression of glucose metabolism, kidney function,
metabolic syndrome and carotid atherosclerosis. RESULTS: The areas under receiver
operating characteristic curves were higher for 1hPG than FPG or 2hPG (0.858 vs
0.806 vs 0.746). The cut-off value of 1hPG with the maximal sum of sensitivity
and specificity in predicting type 2 diabetes in NGR subjects was 8.85 mmol/L.
The accumulative incidence of type 2 diabetes in subjects with 1hPG >=8.85 mmol/L
was higher than those <8.85 mmol/L (46.2% vs 3.3%, P = 0.000; relative risk
13.846, 95% confidence interval 4.223-45.400). On follow up, the prevalence of
metabolic syndrome and abnormal carotid intima-media thickness in the subjects
with 1hPG >=8.85 mmol/L tended to be higher compared with those <8.85 mmol/L.
CONCLUSIONS: 1hPG is a good predictor of type 2 diabetes in NGR subjects, and the
best cut-off point is 8.85 mmol/L. Some tendency indicates that NGR subjects with
1hPG >=8.85 mmol/L are more prone to metabolic syndrome and carotid
atherosclerosis.
PMID- 26543539
TI - Strength training and risk of type 2 diabetes in a Japanese working population: A
cohort study.
AB - AIMS/INTRODUCTION: Muscle strength training has been suggested to improve glucose
metabolism; however, epidemiological evidence regarding strength training's
effects on diabetes risk is scarce. We prospectively examined the association
between strength training and the risk of type 2 diabetes in Japanese men and
women. MATERIALS AND METHODS: The sample included health checkups on 26,630
Japanese male and female workers aged 30-64 years without diabetes at baseline.
Weekly time spent on strength training was elicited using a self-reported
questionnaire. Type 2 diabetes was diagnosed based on hemoglobin A1c, fasting
glucose, random plasma glucose and self-report in an annual health checkup.
Hazard ratio (HR) and its 95% confidence interval (CI) for incident diabetes was
estimated using a Cox proportional hazards model. RESULTS: During a mean follow
up of 5.2 years with 139,748 person-years, 1,770 individuals developed diabetes.
Age- and sex-adjusted HR for diabetes was 0.58 (95% CI 0.42-0.79) in those who
engaged in strength training compared with those who engaged in no strength
training. After further adjusting for potential confounders, the corresponding HR
was 0.66 (95% CI 0.48-0.90). Additional adjustment for body mass index did not
materially change the result; the HR was 0.70 (95% CI 0.51-0.96). The association
was more pronounced in individuals aged 50 years or older than those aged <50
years, although the difference in the association by age was not significant.
CONCLUSIONS: These results suggest that engagement in strength training could
help to reduce the risk of type 2 diabetes in a Japanese working population.
PMID- 26543540
TI - Adherence to self-care behavior and glycemic effects using structured education.
AB - AIMS/INTRODUCTION: The purpose of the present study was to examine glycemic
control in suboptimally controlled type 2 diabetes provided by a structured
education group using the Diabetes Conversation MapTM (CMTM) vs usual care in a
university-based hospital primary care clinic. MATERIALS AND METHODS: This was a
randomized, pragmatic clinical trial. Patients with type 2 diabetes were randomly
assigned to structured education or usual care groups. The primary outcome was
the difference in the mean change of glycated hemoglobin (HbA1c) from baseline to
12 months. Secondary outcomes included the percentage achieving therapeutic HbA1c
goal and self-behavioral changes. RESULTS: A total of 245 patients were randomly
assigned to two groups (CMTM group n = 121; usual care group, n = 116). The
absolute reduction of HbA1c was significantly greater in the CMTM group at 3 and
6 months (Delta = -0.59% and Delta = -1.13%, P < 0.01), but the difference was no
longer statistically significant at 9 and 12 months (Delta = -0.43% and Delta =
0.49%), based on an intention-to-treat analysis. A per-protocol analysis showed
the significant change was maintained at 12 months (Delta = -0.67%). In the
intervention group, greater percentages of patients achieved their American
Association of Diabetes Educators Self-Care BehavioursTM framework (AADE7)
behavioral goals at 3 months, in particular being active, problem-solving,
reducing risk and health coping. CONCLUSIONS: In type 2 diabetic patients with
suboptimally controlled glucose, there were greater improvements in glucose
control and self-care behavioral goals in those who underwent the CMTM education
program compared with outcomes achieved in patients receiving usual care.
PMID- 26543541
TI - Simple risk score to detect rural Asian Indian (Bangladeshi) adults at high risk
for type 2 diabetes.
AB - AIMS/INTRODUCTION: To develop and evaluate a simple, non-invasive, diabetes risk
score for detecting individuals at high risk for type 2 diabetes in rural
Bangladesh. MATERIALS AND METHODS: Data from 2,293 randomly selected individuals
aged >=20 years from a cross-sectional study in a rural community of Bangladesh
(2009 Chandra Rural Study) was used for model development. The validity of the
model was assessed in another rural cross-sectional study (2009 Thakurgaon Rural
Study). The logistic regression model used included age, sex, body mass index,
waist-to-hip ratio and hypertension status to predict individuals who were at
high risk for type 2 diabetes. RESULTS: On applying the developed model to both
cohorts, the area under the receiver operating characteristic curve was 0.70 (95%
confidence interval 0.68-0.72) for the Chandra cohort and 0.71 (95% confidence
interval 0.68-0.74) for the Thakurgaon cohort. The risk score of >9 was shown to
have the optimal cut-point to detect diabetes. This score had a sensitivity of
62.4 and 75.7%, and specificity of 67.4 and 61.6% in the two cohorts,
respectively. This risk score was shown to have improved sensitivity and
specificity to detect type 2 diabetes cases compared with the Thai, Indian,
Omani, UK, Dutch, Portuguese and Pakistani diabetes risk scores. CONCLUSIONS:
This simple, non-invasive risk score can be used to detect individuals at high
risk for type 2 diabetes in rural Bangladesh. Subjects with a score of 9 or above
(out of 15) should undergo an oral glucose tolerance test for definitive
diagnosis of diabetes.
PMID- 26543542
TI - Carbohydrate intake is associated with time spent in the euglycemic range in
patients with type 1 diabetes.
AB - AIMS/INTRODUCTION: Greater glycemic variability and lack of predictability are
important issues for patients with type 1 diabetes. Dietary factors are one of
the contributors to this variability, but how closely diet is linked to glycemic
fluctuation on a daily basis has not been investigated. We examined the
association between carbohydrate intake and glycemic excursion in outpatients.
MATERIALS AND METHODS: A total of 33 patients with type 1 diabetes were included
in the analyses (age 44.5 +/- 14.7 years, diabetes duration 15.1 +/- 8.3 years,
64% female, 30% using insulin pump, glycated hemoglobin 8.1 +/- 1.3%). Time spent
in euglycemia (70-180 mg/dL), hyperglycemia (>180 mg/dL) and hypoglycemia (<70
mg/dL) of consecutive 48-h periods of continuous glucose monitoring data were
collected together with simultaneous records of dietary intake, insulin dose and
physical activity. Correlation analyses and multiple regression analyses were
used to evaluate the contribution of carbohydrate intake to time spent in the
target glycemic range. RESULTS: In multiple regression analyses, carbohydrate
intake (beta = 0.53, P = 0.001), basal insulin dose per kg per day (beta = -0.31,
P = 0.034) and diabetes duration (beta = 0.30, P = 0.042) were independent
predictors of time spent in euglycemia. Carbohydrate intake (beta = -0.51, P =
0.001) and insulin pump use (beta = -0.34, P = 0.024) were independent predictors
of time spent in hyperglycemia. Insulin pump use (beta = 0.52, P < 0.001) and
bolus insulin dose per kg per day (beta = 0.46, P = 0.001) were independent
predictors of time spent in hypoglycemia. CONCLUSIONS: Carbohydrate intake is
associated with time spent in euglycemia in patients with type 1 diabetes.
PMID- 26543543
TI - The relationship between the frequency of self-monitoring of blood glucose and
glycemic control in patients with type 1 diabetes mellitus on continuous
subcutaneous insulin infusion or on multiple daily injections.
AB - AIMS/INTRODUCTION: We investigated the relationship between the frequency of self
monitoring of blood glucose (SMBG) and glycemic control in type 1 diabetes
mellitus patients on continuous subcutaneous insulin infusion (CSII) or on
multiple daily injections (MDI) using data management software. MATERIALS AND
METHODS: We recruited 148 adult type 1 diabetes mellitus patients (CSII n = 42,
MDI n = 106) and downloaded their SMBG records to the MEQNETTM SMBG Viewer
software (Arkray Inc., Kyoto, Japan). The association between the SMBG frequency
and the patients' hemoglobin A1c (HbA1c) levels was analyzed using the chi(2)
test and linear regression analysis was carried out to clarify their
relationship. RESULTS: The odds ratio of achieving a target HbA1c level of <8%
(63.9 mmol/mol) was significantly higher in subjects with SMBG frequencies of
>=3.5 times/day compared with those with SMBG frequencies of <3.5 times/day in
the CSII group (odds ratio 7.00, 95% confidence interval 1.72-28.54), but not in
the MDI group (odds ratio 1.35, 95% CI 0.62-2.93). A significant correlation
between SMBG frequency and the HbA1c level was detected in the CSII group (HbA1c
[%] = -0.24 * SMBG frequency [times/day] + 8.60 [HbA1c {mmol/L} = -2.61 * SMBG
frequency {times/day} + 70.5], [r = -0.384, P = 0.012]), but not in the MDI
group. CONCLUSIONS: A SMBG frequency of <3.5 times per day appeared to be a risk
factor for poor glycemic control (HbA1c >=8%) in type 1 diabetes mellitus
patients on CSII.
PMID- 26543544
TI - Efficacy and safety of linagliptin monotherapy in Asian patients with
inadequately controlled type 2 diabetes mellitus: A multinational, 24-week,
randomized, clinical trial.
AB - AIMS/INTRODUCTION: Asian patients represent a large portion of the global
population with type 2 diabetes mellitus, but are underrepresented in trials of
glucose-lowering therapies. The present randomized, phase III, placebo
controlled, double-blind, 24-week study evaluated the dipeptidyl peptidase-4
inhibitor, linagliptin, as monotherapy in Asian patients with inadequately
controlled type 2 diabetes mellitus. MATERIALS AND METHODS: Patients who were
treatment naive or had been treated with one oral antidiabetes drug were
randomized to either linagliptin 5 mg daily or a placebo after washout. The
primary end-point was a change from baseline in glycated hemoglobin after 24
weeks. RESULTS: A total of 300 Asian (87% Chinese) patients with type 2 diabetes
mellitus were randomized to linagliptin or placebo at a 2:1 ratio. After 24 weeks
of treatment, adjusted mean (standard error) glycated hemoglobin decreased by a
placebo-corrected -0.50 +/- 0.11 (P < 0.0001). In patients with baseline glycated
hemoglobin >=8.5%, the placebo-corrected decrease in glycated hemoglobin was
0.91 +/- 0.20% (P < 0.0001). Adverse events occurred in 28.0 and 28.3% of
linagliptin and placebo patients, respectively, but few were drug-related (3.0
and 2.0%, respectively). Hypoglycemia was reported by one linagliptin patient and
no placebo patients. Treatment with linagliptin was weight neutral. CONCLUSIONS:
In Asian patients with inadequately controlled type 2 diabetes mellitus,
linagliptin 5 mg as monotherapy was efficacious and well tolerated over 24 weeks.
PMID- 26543545
TI - Effects of a sodium glucose co-transporter 2 selective inhibitor, ipragliflozin,
on the diurnal profile of plasma glucose in patients with type 2 diabetes: A
study using continuous glucose monitoring.
AB - AIMS/INTRODUCTION: To assess the effects of sodium glucose co-transporter 2
inhibitor therapy on the pathophysiology of type 2 diabetes. MATERIALS AND
METHODS: We administered ipragliflozin to 21 inpatients with type 2 diabetes for
7 days, and analyzed the diurnal profiles of plasma glucose and 3
hydroxybutyrate. A total of 21 age-, sex- and body mass index-matched diabetic
patients served as controls. RESULTS: Continuous glucose monitoring showed that
the 24-h glucose curve was shifted downward without hypoglycemia by the
administration of ipragliflozin. The average glucose level was reduced from 182
+/- 54 mg/dL to 141 +/- 33 mg/dL (P < 0.0001). The magnitude of the reduction was
highly correlated with the baseline average glucose level. Homeostasis model
assessment of insulin resistance was decreased, and homeostasis model assessment
of beta-cell function was increased during the treatment. Urinary glucose
excretion was correlated with the average glucose level both on day 0 and on day
7, although the regression line was steeper and shifted leftward on day 7. The
ipragliflozin-treated patients lost more weight than the control patients (1.4 +/
0.5 vs 0.5 +/- 0.6 kg, P < 0.0001). Plasma levels of 3-hydroxybutyrate were
significantly increased with peaks before breakfast and before dinner. Patient
age and bodyweight loss were negatively and positively correlated with the peak
levels of 3-hydroxybutyrate on day 7, respectively. CONCLUSIONS: The
ipragliflozin treatment improved the 24-h glucose curve without causing
hypoglycemia. The close correlation between the magnitude of glucose reduction
and the baseline plasma glucose concentration suggests that the risk of
hypoglycemia is likely low. It might be prudent to monitor ketone body levels in
younger patients and in patients with rapid weight loss.
PMID- 26543546
TI - Insulin combined with Chinese medicine improves glycemic outcome through multiple
pathways in patients with type 2 diabetes mellitus.
AB - INTRODUCTION/AIMS: Insufficient insulin secretion or inefficient insulin response
are responsible for the clinical outcome of type 2 diabetes mellitus.
Administration of insulin alone is prone to cause secondary effects, resulting in
an unsatisfactory outcome. Shen-Qi-Formula (SQF), a well-known Chinese medicinal
formula, has been used for diabetic treatment for a long time. The present study
was designed to investigate whether SQF in combination with insulin improved the
clinical outcome of type 2 diabetes mellitus, and what mechanisms were possibly
involved in the treatment. MATERIALS AND METHODS: A total of 219 patients were
included in the study. Of these, 110 patients were treated with insulin
monotherapy, and 109 with the combination therapy of SQF and insulin. Before and
after 12-week treatment, the fasting blood glucose, postprandial blood glucose,
beta-cell function, insulin resistance and blood lipids were measured. RESULTS:
The 12 weeks of SQF treatment in combination with insulin significantly decreased
the fasting and postprandial blood glucose levels. Insulin secretion was not
increased after the treatment, but beta-cell function and insulin resistance were
obviously improved. Furthermore, 12 weeks of treatment with SQF and insulin
improved the levels of glucagon-like peptide-1, oxidative stress, blood lipids,
coagulation function and bodyweight. CONCLUSION: The results from our study
showed that the combination therapy of SQF and insulin significantly improved the
clinical outcome of type 2 diabetes mellitus compared with insulin monotherapy.
The mechanism of improvement was possibly involved in the multiple pathways.
PMID- 26543547
TI - Current status of glucose, blood pressure and lipid management in type 2 diabetes
clinic attendees in Isfahan, Iran.
AB - AIMS/INTRODUCTION: To estimate the prevalence of meeting American Diabetes
Association clinical practice recommendations for hemoglobin A1c (HbA1c), blood
pressure (BP) and low-density lipoprotein cholesterol (LDLC) among Iranian type 2
diabetes clinic attendees, and to identify the factors associated with
therapeutic target achievement. MATERIALS AND METHODS: A total of 2,640 patients
with type 2 diabetes (944 men and 1,696 women) from Isfahan Endocrine and
Metabolism Research Center outpatient clinics, Iran, were examined. The main
outcome measures were HbA1c, BP and LDLC, in accordance with the American
Diabetes Association recommendations. The mean (standard deviation) age of
participants was 49.6 years (9.3 years) with a mean (standard deviation) duration
of diabetes of 5.0 years (4.9 years) at initial registration. RESULTS: The
percentages of patients who had HbA1c <7%, BP <140/90 mmHg and LDLC <100 mg/dL
was 37.4% (95% confidence interval [CI] 35.6-39.3), 35.3% (95% CI 33.5-37.3) and
48.9% (95% CI 47.0-50.8), respectively. The proportion of patients meeting all
three goals was 7.7% (95% CI 6.7-8.8). Lower BP, cholesterol level and higher
education at registration, and higher follow up but lower number of follow-up
visits affected achievement of all three goals. CONCLUSIONS: The present study
highlights that a substantial proportion of Iranian type 2 diabetes clinic
attendees did not meet the American Diabetes Association clinical practice
recommendations, and shows the difficult challenges physicians face when treating
patients with type 2 diabetes.
PMID- 26543548
TI - Type 1 diabetes patients have lower strength in femoral bone determined by
quantitative computed tomography: A cross-sectional study.
AB - AIMS/INTRODUCTION: Previous studies have reported osteoporosis measured by dual
energy X-ray absorptiometry in younger patients with type 1 diabetes. Limitations
of 2-D imaging, however, limit the precision of dual-energy X-ray absorptiometry
for the measurement of bone mineral density and bone strength. MATERIALS AND
METHODS: Three-dimensional quantitative computed tomography was used to calculate
volumetric-bone mineral density (vBMD) and strength in femoral bone subfractions.
A total of 17 male type 1 diabetes patients and 18 sex-matched healthy controls
aged from 18 to 49 years were investigated in the present cross-sectional study.
Patients with overt nephropathy were excluded. RESULTS: Type 1 diabetes patients
had significantly lower cortical vBMD in the femoral neck, and significantly
lower total vBMD, cortical thickness and cortical cross-sectional area (cortical
CSA) in the intertrochanter. Bone strength estimated by the buckling ratio (an
index of cortical instability) of the intertrochanter was significantly higher in
type 1 diabetes patients. The following serum bone markers were comparable
between the two groups: bone-specific alkaline phosphatase, N-terminal propeptide
of type 1 procollagen, osteocalcin, pentosidine and homocysteine. Serum insulin
like growth factor-1 values were significantly lower in the type 1 diabetes
patients than in controls. Serum insulin-like growth factor-1values were
positively correlated with serum bone formation markers, and the total vBMD of
the femoral neck and lumbar spine in type 1 diabetes patients. CONCLUSIONS: The
present study is the first investigation by quantitative computed tomography
measurement to show cortical instability and lower vBMD in the intertrochanter of
young and middle-aged type 1 diabetes patients. Low insulin-like growth factor-1
might be a causative factor for osteoporosis in type 1 diabetes.
PMID- 26543549
TI - Efficacy of oral glucocorticoid and cyclosporine in a case of rituximab
refractory type B insulin resistance syndrome.
AB - We describe a case of type B insulin resistance syndrome associated with systemic
lupus erythematosus (SLE) that was refractory to rituximab and successfully
treated with a combination of oral glucocorticoids and cyclosporine. Prior to
treatment, insulin resistance was severe, and application of a hyperinsulinemic
euglycemic clamp was not possible despite the continuous intravenous infusion of
insulin at a maximum rate of 9.0 mU/kg/min. The addition of cyclosporine to oral
glucocorticoid therapy resulted in remission of insulin resistance. The
combination of oral prednisolone and cyclosporine might be effective in treating
type B insulin resistance syndrome, particularly in rituximab-resistant cases.
However, nephrotoxicity is a particular concern for patients receiving long-term
cyclosporine therapy.
PMID- 26543550
TI - Bending of a vertical cannula without alarm during insulin pump therapy as a
cause of unexpected hyperglycemia: A Japanese issue?
PMID- 26543552
TI - Magnesium sulfate has sex-specific, dose-dependent vasodilator effects on preterm
placental vessels.
AB - BACKGROUND: Women at risk of preterm delivery receive magnesium sulfate (MgSO4)
in the pre-delivery phase to reduce their child's risk of neurodevelopmental
complications associated with preterm birth. However, the mechanisms underpinning
its placental vascular role remain uncertain. METHODS: The aim of this study was
to examine MgSO4 action on vascular tone in male and female human placental
vessels from term and preterm deliveries. Vessels were obtained from placental
biopsy following birth at term (37-41 weeks) or preterm gestation (<36 weeks of
gestation). The vessels were mounted on a pressure myograph, pre-constricted with
synthetic endoperoxide prostaglandin PGH2 (U46619) (0.1-100 MUmol/l), and
percentage of relaxation was calculated following incubation with bradykinin.
Experiments were carried out in the presence of MgSO4 (0.2 mmol/l), NPsi-nitro-L
arginine methyl ester (L-NAME) (0.1 mmol/l), indomethacin (10 MUmol/l), Ca(2+)
activated K(+) channel blocker TRAM-34 (1 MUM) and apamin (3 MUM) to assess
mechanisms of vascular function. Vascular [calcium ions (Ca(2+))] was analysed
using a colorimetric calcium assay. RESULTS: Vasodilation in vessels from preterm
males was significantly blunted in the presence of MgSO4 when compared to preterm
female and term male and female vessels. Overall, MgSO4 was observed to
differentially modulate placental vascular tone and vascular calcium
concentrations in a sex-specific manner. CONCLUSIONS: As MgSO4 regulates human
placental blood flow via specific pathways, foetal sex-specific MgSO4 treatment
regimes may be necessary. In an era of increasing awareness of individualised
medicine, sex-specific effects may be of importance when developing strategies to
optimise care in high-risk patients.
PMID- 26543554
TI - 1-Octen-3-ol - the attractant that repels.
AB - Since the discovery in the early 1980s that 1-octen-3-ol, isolated from oxen
breath, attracts tsetse fly, there has been growing interest in exploring the use
of this semiochemical as a possible generic lure for trapping host-seeking
mosquitoes. Intriguingly, traps baited with 1-octen-3-ol captured significantly
more females of the malaria mosquito, Anopheles gambiae, and the yellow fever
mosquito, Aedes aegypti, than control traps, but failed to attract the southern
house mosquito, Culex quinquefasciatus. Additionally, it has been demonstrated
that this attractant is detected with enantioselective odorant receptors (ORs)
expressed only in maxillary palps. On the basis of indoor behavioral assays it
has even been suggested that 1-octen-3-ol might be a repellent to the southern
house mosquito. Our approach was two-prong, i.e., to isolate 1-octen-3-ol
sensitive ORs expressed in maxillary palps and antennae of southern house female
mosquito, and test the hypothesis that this semiochemical is a repellent. An OR
with high transcript levels in maxillary palps, CquiOR118b, showed remarkable
selectivity towards ( R)-1-octen-3-ol, whereas an OR expressed in antennae,
CquiOR114b, showed higher preference for ( S)-1-octen-3-ol than its antipode.
Repellency by a surface landing and feeding assay showed that not only racemic,
but enantiopure ( R)- and ( S)-1-octen-3-ol are repellents at 1% dose thus
suggesting the occurrence of other ( S)-1-octen-3-ol-sensitive OR(s). Female
mosquitoes with ablated maxillary palps were repelled by 1-octen-3-ol, which
implies that in addition to OR(s) in the maxillary palps, antennal OR(s) are
essential for repellency activity.
PMID- 26543555
TI - Seeing and believing: recent advances in imaging cell-cell interactions.
AB - Advances in cell and developmental biology have often been closely linked to
advances in our ability to visualize structure and function at many length and
time scales. In this review, we discuss how new imaging technologies and new
reagents have provided novel insights into the biology of cadherin-based cell
cell junctions. We focus on three developments: the application of super
resolution optical technologies to characterize the nanoscale organization of
cadherins at cell-cell contacts, new approaches to interrogate the mechanical
forces that act upon junctions, and advances in electron microscopy which have
the potential to transform our understanding of cell-cell junctions.
PMID- 26543553
TI - The accuracy of diagnostic ultrasound imaging for musculoskeletal soft tissue
pathology of the extremities: a comprehensive review of the literature.
AB - Musculoskeletal diagnostic ultrasound imaging (MSK-DUSI) has been growing outside
the traditional radiology speciality. Increased use of this technology has been
reported in several healthcare settings, however an apparent gap in the knowledge
of the accuracy of this diagnostic technology indicated a review was warranted.
We undertook a structured review of the literature to assess the accuracy of MSK
DUSI for the diagnosis of musculoskeletal soft tissue pathology of the
extremities. An electronic search of the National Library of Medicine's PubMed
database (1972 to mid-2014) was conducted. All relevant systematic reviews of
diagnostic studies, all diagnostic studies published after the date of the latest
systematic reviews and relevant diagnostic studies outside the scope the
systematic reviews that directly compared the accuracy of MSK-DUSI (the index
test) to an appropriate reference standard for the target condition were
included. A fundamental appraisal of the methodological quality of studies was
completed. The individual sensitivity, specificity and likelihood ratio data were
extracted and entered into diagnostic accuracy tables. A total of 207 individual
studies were included. The results show that MSK-DUSI has acceptable diagnostic
accuracy for a wide spectrum of musculoskeletal conditions of the extremities.
However, there is a lack of high quality prospective experimental studies in this
area and as such clinicians should interpret the results with some caution due to
the potential for overestimation of diagnostic accuracy.
PMID- 26543557
TI - The effect of increased atmospheric temperature and CO2 concentration during crop
growth on the chemical composition and in vitro rumen fermentation
characteristics of wheat straw.
AB - This experiment was conducted to investigate the effects of increased atmospheric
temperature and CO2 concentration during crop growth on the chemical composition
and in vitro rumen fermentation characteristics of wheat straw. The field
experiment was carried out from November 2012 to June 2013 at Changshu (31
degrees 32'93"N, 120 degrees 41'88"E) agro-ecological experimental station. A
total of three treatments were set. The concentration of CO2 was increased to 500
MUmol/mol in the first treatment (CO2 group). The temperature was increased by 2
degrees C in the second treatment (TEM group) and the concentration of CO2 and
temperature were both increased in the third treatment (CO2 + TEM group). The
mean temperature and concentration of CO2 in control group were 10.5 degrees C
and 413 MUmol/mol. At harvesting, the wheat straws were collected and analyzed
for chemical composition and in vitro digestibility. Results showed that dry
matter was significantly increased in all three treatments. Ether extracts and
neutral detergent fiber were significantly increased in TEM and CO2 + TEM groups.
Crude protein was significantly decreased in CO2 + TEM group. In vitro
digestibility analysis of wheat straw revealed that gas production was
significantly decreased in CO2 and CO2 + TEM groups. Methane production was
significantly decreased in TEM and CO2 + TEM groups. Ammonia nitrogen and
microbial crude protein were significantly decreased in all three treatments.
Total volatile fatty acids were significantly decreased in CO2 and CO2 + TEM
groups. In conclusion, the chemical composition of the wheat straw was affected
by temperature and CO2 and the in vitro digestibility of wheat straw was reduced,
especially in the combined treatment of temperature and CO2.
PMID- 26543556
TI - Addressing health disparities in Hispanic breast cancer: accurate and inexpensive
sequencing of BRCA1 and BRCA2.
AB - BACKGROUND: Germline mutations in the BRCA1 and BRCA2 genes account for 20-25 %
of inherited breast cancers and about 10 % of all breast cancer cases. Detection
of BRCA mutation carriers can lead to therapeutic interventions such as
mastectomy, oophorectomy, hormonal prevention therapy, improved screening, and
targeted therapies such as PARP-inhibition. We estimate that African Americans
and Hispanics are 4-5 times less likely to receive BRCA screening, despite having
similar mutation frequencies as non-Jewish Caucasians, who have higher breast
cancer mortality. To begin addressing this health disparity, we initiated a
nationwide trial of BRCA testing of Latin American women with breast cancer.
Patients were recruited through community organizations, clinics, public events,
and by mail and Internet. Subjects completed the consent process and
questionnaire, and provided a saliva sample by mail or in person. DNA from 120
subjects was used to sequence the entirety of BRCA1 and BRCA2 coding regions and
splice sites, and validate pathogenic mutations, with a total material cost of
$85/subject. Subjects ranged in age from 23 to 81 years (mean age, 51 years), 6 %
had bilateral disease, 57 % were ER/PR+, 23 % HER2+, and 17 % had triple-negative
disease. RESULTS: A total of seven different predicted deleterious mutations were
identified, one newly described and the rest rare. In addition, four variants of
unknown effect were found. CONCLUSIONS: Application of this strategy on a larger
scale could lead to improved cancer care of minority and underserved populations.
PMID- 26543558
TI - A retrospective study of the impact of DSM-5 on the diagnosis of eating disorders
in Victoria, Australia.
AB - BACKGROUND: This study compares the DSM-IV and DSM-5 diagnostic criteria for
eating disorders. DSM-IV resulted in a large number of patients being diagnosed
with Eating Disorder Not Otherwise Specified (EDNOS). In DSM-5 the residual
category is renamed Other Specified Feeding and Eating Disorders (OSFED) and
Unspecified Eating Disorders (UFED) however the diagnostic criteria for the
residual category in each of the diagnostic systems remains the same. This study
aims to evaluate the changes in percentages of patients in a residual DSM-IV
category compared to a residual DSM-5 category by retrospectively applying DSM-5
criteria to the clinical records of a patient population in a clinical setting.
It also aims to compare the psychopathology between the EDNOS and OSFED/UFED
groups. METHODS: 285 participants were recruited from a specialised eating
disorder clinic in Australia over a 5-year period from 2009 until 2014. The
clinical records of patients with diagnoses of anorexia nervosa (AN), bulimia
nervosa (BN) and EDNOS were retrospectively assessed using the DSM-5 criteria.
All patients who had attended the clinic and received an eating disorder
diagnosis during this period were included in the study. No patients were
diagnosed with binge eating disorder during the study period. This is surprising
given the prevalence of binge eating disorder in the community. It is possible
that individuals with binge eating disorder were not referred to the clinic
following the initial referral and assessment due to the lack of binge eating
specific interventions available. The referral process may also have been skewed
towards AN, BN and EDNOS due to a perception by referring parties that binge
eating disorder was a 'milder' condition that did not require specialist
intervention. Information in the clinical records included structured clinical
interviews, and self-rating scales of eating disorder and other psychiatric
symptoms and a longitudinal narrative of patient performance and attitude during
observed meals. RESULTS: We observed a 23.5% reduction in the diagnosis of
OSFED/UFED with the implementation of DSM-5 compared to EDNOS with DSM-IV. The
removal of Criterion D, amenorrhoea, was the leading cause for transition from
EDNOS to AN. CONCLUSIONS: DSM-5 has reduced the reliance on EDNOS. However this
study was unable to examine the reliability of the new diagnostic criteria or the
impact of DSM-5 on binge eating disorder.
PMID- 26543559
TI - A striking response of plasmablastic lymphoma of the oral cavity to bortezomib: a
case report.
AB - BACKGROUND: Plasmablastic lymphoma (PBL) is a rare and aggressive subtype of non
Hodgkin diffuse large B-cell lymphoma originally with a predilection to the oral
cavity of patients infected with HIV. However, PBL of extraoral sites possesses
clinicopathological characteristics distinct from oral PBL. Recently, therapeutic
approaches using a proteasome inhibitor bortezomib to PBL of extraoral sites have
been reported. We present a PBL patient with a bulky tumor of the oral cavity,
who dramatically responded to bortezomib. CASE PRESENTATION: The patient was a 58
year-old Japanese male, who presented with a rapidly progressive history of a
swelling on his left cheek and restricted mouth opening. He did not have a
history or evidence of immunosuppression including HIV infection. A computed
tomography demonstrated a bulky tumor in the oral cavity without enlarged lymph
nodes. The tumor showed the proliferation of large lymphoid cells with
centroblastic morphology, which were positive for CD138, CD38, CD56 and MUM-1,
and negative for CD20, CD79a, BCL-6 and HHV8. The Ki-67 proliferation index was
almost 100 %. Neither osteolytic lesions nor M-protein was observed. One week
after the initiation of bortezomib, a marked regression of the oral tumor was
obtained. CONCLUSIONS: Thus, our case demonstrated the effectiveness of
bortezomib on PBL of the oral cavity as well as the extraoral sites.
PMID- 26543560
TI - 17-hydroxylase/17,20-lyase deficiency due to a R96Q mutation causing hypertension
and poor breast development.
AB - Combined17alpha-hydroxylase/17,20-lyase deficiency is a rare cause of congenital
adrenal hyperplasia and hypogonadism. Hypertension and hypokalemia are essential
presenting features. We report an Arab family with four affected XX siblings. The
eldest presented with abdominal pain and was diagnosed with a retroperitoneal
malignant mixed germ cell tumour. She was hypertensive and hypogonadal. One
sibling presented with headache due to hypertension while the other two siblings
were diagnosed with hypertension on a routine school check. A homozygous R96Q
missense mutation in P450c17 was detected in the index case who had primary
amenorrhea and lack of secondary sexual characters at 17 years. The middle two
siblings were identical twins and had no secondary sexual characters at the age
of 14. All siblings had hypokalemia, very low level of adrenal androgens, high
ACTH and high levels of aldosterone substrates. Treatment was commenced with
steroid replacement and puberty induction with estradiol. The index case had
surgical tumor resection and chemotherapy. All siblings required antihypertensive
treatment and the oldest remained on two antihypertensive medications 12 years
after diagnosis. Her breast development remained poor despite adequate hormonal
replacement. Combined 17alpha-hydroxylase/17,20-lyase deficiency is a rare
condition but might be underdiagnosed. It should be considered in young patients
presenting with hypertension, particularly if there is a family history of
consanguinity and with more than one affected sibling. Antihypertensive
medication might continue to be required despite adequate steroid replacement.
Breast development may remain poor in mutations causing complete form of the
disease. LEARNING POINTS: Endocrine hypertension due to rarer forms of CAH should
be considered in children and adolescents, particularly if more than one sibling
is affected and in the presence of consanguinity.17alpha-hydroxylase/17,20-lyase
deficiency is a rare form of CAH but might be underdiagnosed.Blood pressure
measurement should be carried out in all females presenting with
hypogonadism.Anti-hypertensive medications might be required despite adequate
steroid replacement.Initial presenting features might vary within affected
members of the same family.Adverse breast development might be seen in the
complete enzyme deficiency forms of the disease.
PMID- 26543561
TI - Comparison of Binax NOW urine antigen test and pneumococcal DNA assay using qPCR
before and after nasopharyngeal swabbing in healthy Malawian children.
AB - Diagnosis of invasive pneumococcal disease is challenging. We compared Binax NOW
pneumococcal urinary antigen test with blood pneumococcal PCR in healthy Malawian
children with and without pneumococcal carriage, and we found a high false
positive rate with Binax NOW. Blood pneumococcal PCR positivity was 66/88 (75%)
compared to 5/27 (18%) when nasopharyngeal swabbing was performed first compared
to after blood sampling for pneumococcal blood PCR. We speculate that
nasopharyngeal swabbing may be causing a breach of mucosal integrity, leading to
invasion into the bloodstream. These findings need to be confirmed with autolysin
based PCR assays.
PMID- 26543562
TI - Enterococcus hirae, an unusual pathogen in humans causing urinary tract infection
in a patient with benign prostatic hyperplasia: first case report in Algeria.
AB - Enterococcus hirae is a zoonotic pathogen rarely isolated from human infections.
This case is the first description of E. hirae causing urinary tract infection in
a diabetic man with benign prostatic hyperplasia from Algeria. The clinical
isolate was identified by MALDI-TOF MS and displayed a multisensitivity
antibiotic profile.
PMID- 26543401
TI - Search for metastable heavy charged particles with large ionisation energy loss
in pp collisions at [Formula: see text] TeV using the ATLAS experiment.
AB - Many extensions of the Standard Model predict the existence of charged heavy long
lived particles, such as R-hadrons or charginos. These particles, if produced at
the Large Hadron Collider, should be moving non-relativistically and are
therefore identifiable through the measurement of an anomalously large specific
energy loss in the ATLAS pixel detector. Measuring heavy long-lived particles
through their track parameters in the vicinity of the interaction vertex provides
sensitivity to metastable particles with lifetimes from 0.6 ns to 30 ns. A search
for such particles with the ATLAS detector at the Large Hadron Collider is
presented, based on a data sample corresponding to an integrated luminosity of
[Formula: see text] fb[Formula: see text] of pp collisions at [Formula: see text]
TeV. No significant deviation from the Standard Model background expectation is
observed, and lifetime-dependent upper limits on R-hadrons and chargino
production are set. Gluino R-hadrons with 10 ns lifetime and masses up to 1185
GeV are excluded at 95 [Formula: see text] confidence level, and so are charginos
with 15 ns lifetime and masses up to 482 GeV.
PMID- 26543563
TI - Unusual staphylococcal toxic shock syndrome presenting as a scarlet-like fever.
AB - Diagnosis of nonmenstrual staphylococcal toxic shock syndrome (TSS) is often
challenging. A female medical colleague had a rare entity, a staphylococcal
pharyngitis complicated by TSS. The diagnosis was confirmed by isolation of tst
positive Staphylococcus aureus in throat culture and by identification of a
specific Vbeta2 expansion pattern of her T lymphocytes. Recent improvements in
microbiology can be of great help for the diagnosis of nonmenstrual TSS.
PMID- 26543568
TI - Kin selection and polygyny: can relatedness lower the polygyny threshold?
AB - Resource polygyny incurs costs of having to share breeding resources for female
breeders. When breeding with a relative, however, such costs may be lessened by
indirect fitness benefits through kin selection, while benefits from mutualistic
behaviour, such as communal defence, may increase. If so, females should be less
resistant to sharing a territory with a related female than with a non-related
one. We investigated whether kin selection may lower the threshold of breeding
polygynously, predicting a closer relatedness between polygynous females breeding
on the same territory than between females breeding on different territories.
Northern lapwings, Vanellus vanellus, are suitable for testing this hypothesis as
they are commonly polygynous, both sexes take part in nest defence, and the
efficiency of nest defence increases with the number of defenders. Using an index
of relatedness derived from DNA fingerprinting, we found that female lapwings
that shared polygynous dyads were on average twice as closely related as were
random females. Furthermore, relatedness did not correlate with distance between
breeders, indicating that our findings cannot be explained by natal philopatry
alone. Our results suggest that the polygyny threshold in lapwings may be lowered
by inclusive fitness advantages of kin selection.
PMID- 26543565
TI - Long-term follow-up and suboptimal treatment rates of treatment-eligible chronic
hepatitis B patients in diverse practice settings: a gap in linkage to care.
AB - BACKGROUND AND AIMS: Despite available effective therapies, only a minority of
patients with chronic hepatitis B (CHB) receive treatment. Our goal is to study
treatment rates and time to treatment initiation in patients who meet treatment
criteria on long-term follow-up. METHODS: We performed a retrospective cohort
study of 608 consecutive treatment-eligible patients with CHB (by 2008 US Panel
or 2009 American Association for the Study of Liver Disease (AASLD) criteria) at
a US community gastroenterology clinic and a university liver clinic between 2007
and 2011. Patients were observed until they started treatment or last follow-up
if untreated. RESULTS: Mean age was 44 and most were Asian (96%) with community
patients being younger and having lower alanine aminotransferase (ALT) levels. A
total of 62% started treatment, and 38% remained untreated after median follow-up
of 17 months (IQR=1-40 months). Overall, treatment rate was significantly higher
at university liver clinic than in the community (66.7% vs 59.9%, p=0.01). In
multivariate analysis, older age (HR 1.02, p=0.002), male gender (HR 1.37,
p=0.02), and baseline ALT >45 U/L for males and >29 U/L for females (HR 2.24,
p<0.0001) were significant predictors of treatment initiation, but not practice
setting. CONCLUSIONS: Approximately 40% of treatment-eligible patients still have
not started treatment on longer follow-up. Treatment rates were higher at
university clinics, but practice setting was not a predictor for treatment, but
older age, male gender, and higher ALT levels were. Further studies are needed to
determine the barriers for treatment initiation and to improve treatment rates in
treatment-eligible patients.
PMID- 26543569
TI - The development of a segment-based musculoskeletal model of the lower limb:
introducing FreeBody.
AB - Traditional approaches to the biomechanical analysis of movement are joint-based;
that is the mechanics of the body are described in terms of the forces and
moments acting at the joints, and that muscular forces are considered to create
moments about the joints. We have recently shown that segment-based approaches,
where the mechanics of the body are described by considering the effect of the
muscle, ligament and joint contact forces on the segments themselves, can also
prove insightful. We have also previously described a simultaneous, optimization
based, musculoskeletal model of the lower limb. However, this prior model
incorporates both joint- and segment-based assumptions. The purpose of this study
was therefore to develop an entirely segment-based model of the lower limb and to
compare its performance to our previous work. The segment-based model was used to
estimate the muscle forces found during vertical jumping, which were in turn
compared with the muscular activations that have been found in vertical jumping,
by using a Geers' metric to quantify the magnitude and phase errors. The segment
based model was shown to have a similar ability to estimate muscle forces as a
model based upon our previous work. In the future, we will evaluate the ability
of the segment-based model to be used to provide results with clinical relevance,
and compare its performance to joint-based approaches. The segment-based model
described in this article is publicly available as a GUI-based Matlab(r)
application and in the original source code (at www.msksoftware.org.uk).
PMID- 26543567
TI - The 20-item prosopagnosia index (PI20): a self-report instrument for identifying
developmental prosopagnosia.
AB - Self-report plays a key role in the identification of developmental prosopagnosia
(DP), providing complementary evidence to computer-based tests of face
recognition ability, aiding interpretation of scores. However, the lack of
standardized self-report instruments has contributed to heterogeneous reporting
standards for self-report evidence in DP research. The lack of standardization
prevents comparison across samples and limits investigation of the relationship
between objective tests of face processing and self-report measures. To address
these issues, this paper introduces the PI20; a 20-item self-report measure for
quantifying prosopagnosic traits. The new instrument successfully distinguishes
suspected prosopagnosics from typically developed adults. Strong correlations
were also observed between PI20 scores and performance on objective tests of
familiar and unfamiliar face recognition ability, confirming that people have the
necessary insight into their own face recognition ability required by a self
report instrument. Importantly, PI20 scores did not correlate with recognition of
non-face objects, indicating that the instrument measures face recognition, and
not a general perceptual impairment. These results suggest that the PI20 can play
a valuable role in identifying DP. A freely available self-report instrument will
permit more effective description of self-report diagnostic evidence, thereby
facilitating greater comparison of prosopagnosic samples, and more reliable
classification.
PMID- 26543566
TI - Impact behaviour of freeze-dried and fresh pomelo (Citrus maxima) peel: influence
of the hydration state.
AB - Pomelos (Citrus maxima) are known for their thick peel which-inter alia-serves as
energy dissipator when fruits impact on the ground after being shed. It protects
the fruit from splitting open and thus enables the contained seeds to stay
germinable and to potentially be dispersed by animal vectors. The main part of
the peel consists of a parenchymatous tissue that can be interpreted from a
materials point of view as open pored foam whose struts are pressurized and
filled with liquid. In order to investigate the influence of the water content on
the energy dissipation capacity, drop weight tests were conducted with fresh and
with freeze-dried peel samples. Based on the coefficient of restitution it was
found that freeze-drying markedly reduces the relative energy dissipation
capacity of the peel. Measuring the transmitted force during impact furthermore
indicated a transition from a uniform collapse of the foam-like tissue to a
progressive collapse due to water extraction. Representing the peel by a Maxwell
model illustrates that freeze-drying not only drastically reduces the damping
function of the dashpots but also stiffens the springs of the model.
PMID- 26543570
TI - Photothermal raster image correlation spectroscopy of gold nanoparticles in
solution and on live cells.
AB - Raster image correlation spectroscopy (RICS) measures the diffusion of
fluorescently labelled molecules from stacks of confocal microscopy images by
analysing correlations within the image. RICS enables the observation of a
greater and, thus, more representative area of a biological system as compared to
other single molecule approaches. Photothermal microscopy of gold nanoparticles
allows long-term imaging of the same labelled molecules without photobleaching.
Here, we implement RICS analysis on a photothermal microscope. The imaging of
single gold nanoparticles at pixel dwell times short enough for RICS (60 MUs)
with a piezo-driven photothermal heterodyne microscope is demonstrated
(photothermal raster image correlation spectroscopy, PhRICS). As a proof of
principle, PhRICS is used to measure the diffusion coefficient of gold
nanoparticles in glycerol : water solutions. The diffusion coefficients of the
nanoparticles measured by PhRICS are consistent with their size, determined by
transmission electron microscopy. PhRICS was then used to probe the diffusion
speed of gold nanoparticle-labelled fibroblast growth factor 2 (FGF2) bound to
heparan sulfate in the pericellular matrix of live fibroblast cells. The data are
consistent with previous single nanoparticle tracking studies of the diffusion of
FGF2 on these cells. Importantly, the data reveal faster FGF2 movement,
previously inaccessible by photothermal tracking, and suggest that inhomogeneity
in the distribution of bound FGF2 is dynamic.
PMID- 26543571
TI - Silver spoon effects on plumage quality in a passerine bird.
AB - A silver spoon effect means that individuals who develop under favourable
circumstances enjoy a fitness or performance advantage later in life. While there
is large empirical support for silver spoon effects acting on different life
history traits in birds, such as survival and reproduction, the evidence for the
carry-over effects of rearing conditions on the quality of future plumage
generations is lacking. Here, we examined whether abilities of individuals to
undergo extensive post-juvenile moult may depend on the quality of juvenile
plumage developed during the nestling phase in a small passerine showing large
individual variation in the extent of post-juvenile moult, the greenfinch
(Carduelis chloris). We found that high structural quality and carotenoid chroma
of juvenile feathers were positively linked to the extent of post-juvenile moult
in this species, thus allowing young birds to attain more adult-like plumage.
Silver spoon effects mediated by the juvenile plumage quality were also found to
have other fitness-related consequences, as individuals with high-quality
juvenile feathers were in better condition during their first winter. As far as
we are aware, the results provide the first correlative evidence for a silver
spoon effect acting on general plumage quality in birds.
PMID- 26543564
TI - Translational research in kidney transplantation and the role of patient
engagement.
AB - BACKGROUND: Translational research is an evolving discipline that is intended to
bridge the gaps between basic science research, clinical research, and
implementation in clinical practice. It is a fluid, multidirectional process that
requires strong interdisciplinary collaboration to produce research that is
relevant to end-users. PURPOSE OF THIS REVIEW: This review summarizes current
perspectives on translational research and outlines its relevance and importance
to kidney transplantation research. SOURCES OF INFORMATION: Sources of
information used for this review include published reports, articles, and
research funding websites. FINDINGS: Tissue typing is used as an in-depth example
of how translational research has been applied in the field of kidney transplant
medicine, and how it has resulted in successful implementation of diagnostic and
management options for sensitized individuals undergoing kidney transplantation.
The value of actively involving kidney transplant stakeholders (patients,
caregivers, and clinicians) in setting research priorities and determining
relevant outcomes for future investigation is also discussed. LIMITATIONS: This
is a narrative review of the literature which has been partly influenced by the
perspectives and experiences of its authors. IMPLICATIONS: Translational and
patient-oriented research practices should be incorporated into future research
endeavours in the field of kidney transplantation in order to create beneficial
change in clinical practice and improve patient outcomes. WHAT WAS KNOWN BEFORE:
Translational research which engages patients in the investigative process can
enhance the likelihood that medical discoveries will have a meaningful impact at
the bedside. WHAT THIS ADDS: This article applies current perspectives on
translational research and patient engagement to the field of kidney
transplantation, illustrating how these approaches have led to significant
advancements in the field. It provides further justification for deliberate,
targeted efforts to cross-collaborate and incorporate the patient voice into
kidney transplant research.
PMID- 26543573
TI - Isothermal pumping analysis for high-altitude tethered balloons.
AB - High-altitude tethered balloons have potential applications in communications,
surveillance, meteorological observations and climate engineering. To maintain
balloon buoyancy, power fuel cells and perturb atmospheric conditions, fluids
could be pumped from ground level to altitude using the tether as a hose. This
paper examines the pumping requirements of such a delivery system. Cases
considered include delivery of hydrogen, sulfur dioxide (SO2) and powders as
fluid-based slurries. Isothermal analysis is used to determine the variation of
pressures and velocities along the pipe length. Results show that transport of
small quantities of hydrogen to power fuel cells and maintain balloon buoyancy
can be achieved at pressures and temperatures that are tolerable in terms of both
the pipe strength and the current state of pumping technologies. To avoid
solidification, transport of SO2 would require elevated temperatures that cannot
be tolerated by the strength fibres in the pipe. While the use of particle-based
slurries rather than SO2 for climate engineering can reduce the pipe size
significantly, the pumping pressures are close to the maximum bursting pressure
of the pipe.
PMID- 26543572
TI - Spatial modelling of type II diabetes outcomes: a systematic review of approaches
used.
AB - With the rising incidence of type II diabetes mellitus (DM II) worldwide, methods
to identify high-risk geographical areas have become increasingly important. In
this comprehensive review following Cochrane Collaboration guidelines, we outline
spatial methods, outcomes and covariates used in all spatial studies involving
outcomes of DM II. A total of 1894 potentially relevant citations were
identified. Studies were included if spatial methods were used to explore
outcomes of DM II or type I and 2 diabetes combined. Descriptive tables were used
to summarize information from included studies. Ten spatial studies conducted in
the USA, UK and Europe met selection criteria. Three studies used Bayesian
generalized linear mixed modelling (GLMM), three used classic generalized linear
modelling, one used classic GLMM, two used geographic information systems mapping
tools and one compared case:provider ratios across regions. Spatial studies have
been effective in identifying high-risk areas and spatial factors associated with
DM II outcomes in the USA, UK and Europe, and would be useful in other parts of
the world for allocation of additional services to detect and manage DM II early.
PMID- 26543574
TI - Position-dependent hearing in three species of bushcrickets (Tettigoniidae,
Orthoptera).
AB - A primary task of auditory systems is the localization of sound sources in space.
Sound source localization in azimuth is usually based on temporal or intensity
differences of sounds between the bilaterally arranged ears. In mammals,
localization in elevation is possible by transfer functions at the ear,
especially the pinnae. Although insects are able to locate sound sources, little
attention is given to the mechanisms of acoustic orientation to elevated
positions. Here we comparatively analyse the peripheral hearing thresholds of
three species of bushcrickets in respect to sound source positions in space. The
hearing thresholds across frequencies depend on the location of a sound source in
the three-dimensional hearing space in front of the animal. Thresholds differ for
different azimuthal positions and for different positions in elevation. This
position-dependent frequency tuning is species specific. Largest differences in
thresholds between positions are found in Ancylecha fenestrata. Correspondingly,
A. fenestrata has a rather complex ear morphology including cuticular folds
covering the anterior tympanal membrane. The position-dependent tuning might
contribute to sound source localization in the habitats. Acoustic orientation
might be a selective factor for the evolution of morphological structures at the
bushcricket ear and, speculatively, even for frequency fractioning in the ear.
PMID- 26543575
TI - Consistent individual differences in haemolymph density reflect risk propensity
in a marine invertebrate.
AB - While the literature on consistent individual differences in correlated suites of
physiological and behavioural traits is steadily growing for vertebrates,
invertebrates have received less attention. The few studies that do exist have
measured temporary physiological states (or responses), rather than consistent
individual physiological traits. Here, I explore the consistency of individual
differences in physiology and behaviour of n=53 shore crabs (Carcinus maenas) by
repeatedly measuring haemolymph density (HD) and the crabs' responses to a novel
environment. In crustaceans, HD is directly proportional to protein
concentrations, and thus indicative of physiological condition. HD was highly
repeatable, and crabs showed consistent individual differences in their
behavioural responses to a novel environment, thus indicating individual
consistency in both physiology and behaviour. Furthermore, HD was significantly
correlated with the crabs' risk propensity, i.e. individuals with higher HD spent
more time near shelter. Overall, this provides the first evidence for consistency
in an endogenous physiological trait in an invertebrate. The link between
consistent physiology and behaviour, i.e. coping styles, analogous to those found
in vertebrates, suggests metabolic and/or immunological correlates of personality
which offer great potential for future studies.
PMID- 26543576
TI - First indications that northern bottlenose whales are sensitive to behavioural
disturbance from anthropogenic noise.
AB - Although northern bottlenose whales were the most heavily hunted beaked whale, we
have little information about this species in its remote habitat of the North
Atlantic Ocean. Underwater anthropogenic noise and disruption of their natural
habitat may be major threats, given the sensitivity of other beaked whales to
such noise disturbance. We attached dataloggers to 13 northern bottlenose whales
and compared their natural sounds and movements to those of one individual
exposed to escalating levels of 1-2 kHz upsweep naval sonar signals. At a
received sound pressure level (SPL) of 98 dB re 1 MUPa, the whale turned to
approach the sound source, but at a received SPL of 107 dB re 1 MUPa, the whale
began moving in an unusually straight course and then made a near 180 degrees
turn away from the source, and performed the longest and deepest dive (94 min,
2339 m) recorded for this species. Animal movement parameters differed
significantly from baseline for more than 7 h until the tag fell off 33-36 km
away. No clicks were emitted during the response period, indicating cessation of
normal echolocation-based foraging. A sharp decline in both acoustic and visual
detections of conspecifics after exposure suggests other whales in the area
responded similarly. Though more data are needed, our results indicate high
sensitivity of this species to acoustic disturbance, with consequent risk from
marine industrialization and naval activity.
PMID- 26543577
TI - Experimental evidence for convergent evolution of maternal care heuristics in
industrialized and small-scale populations.
AB - Maternal care decision rules should evolve responsiveness to factors impinging on
the fitness pay-offs of care. Because the caretaking environments common in
industrialized and small-scale societies vary in predictable ways, we hypothesize
that heuristics guiding maternal behaviour will also differ between these two
types of populations. We used a factorial vignette experiment to elicit third
party judgements about likely caretaking decisions of a hypothetical mother and
her child when various fitness-relevant factors (maternal age and access to
resources, and offspring age, sex and quality) were varied systematically in
seven populations-three industrialized and four small-scale. Despite considerable
variation in responses, we found that three of five main effects, and the two
severity effects, exhibited statistically significant industrialized/ small-scale
population differences. All differences could be explained as adaptive solutions
to industrialized versus small-scale caretaking environments. Further, we found
gradients in the relationship between the population-specific estimates and
national-level socio-economic indicators, further implicating important aspects
of the variation in industrialized and small-scale caretaking environments in
shaping heuristics. Although there is mounting evidence for a genetic component
to human maternal behaviour, there is no current evidence for interpopulation
variation in candidate genes. We nonetheless suggest that heuristics guiding
maternal behaviour in diverse societies emerge via convergent evolution in
response to similar selective pressures.
PMID- 26543578
TI - Computational model of collective nest selection by ants with heterogeneous
acceptance thresholds.
AB - Collective decision-making is a characteristic of societies ranging from ants to
humans. The ant Temnothorax albipennis is known to use quorum sensing to
collectively decide on a new home; emigration to a new nest site occurs when the
number of ants favouring the new site becomes quorate. There are several possible
mechanisms by which ant colonies can select the best nest site among alternatives
based on a quorum mechanism. In this study, we use computational models to
examine the implications of heterogeneous acceptance thresholds across individual
ants in collective nest choice behaviour. We take a minimalist approach to
develop a differential equation model and a corresponding non-spatial agent-based
model. We show, consistent with existing empirical evidence, that heterogeneity
in acceptance thresholds is a viable mechanism for efficient nest choice
behaviour. In particular, we show that the proposed models show speed-accuracy
trade-offs and speed-cohesion trade-offs when we vary the number of scouts or the
quorum threshold.
PMID- 26543579
TI - RNA-directed epigenetic silencing of Periostin inhibits cell motility.
AB - The over-expression of Periostin, a member of the fasciclin family of proteins,
has been reported in a number of cancers and, in particular, in metastatic
tumours. These include breast, ovarian, lung, colon, head and neck, pancreatic,
prostate, neuroblastoma and thyroid cancers. It is thought that Periostin plays a
major role in the development of metastases owing to its apparent involvement in
restructuring of the extracellular matrix to create a microenvironment favouring
invasion and metastases, angiogenesis, independent proliferation, avoidance of
apoptosis and the ability for cells to re-enter the cell cycle. As such we
reasoned that targeted suppression of Periostin at the promoter and epigenetic
level could result in the stable inhibition of cell motility. We find here that
promoter-directed small antisense non-coding RNAs can induce transcriptional gene
silencing of Periostin that results ultimately in a loss of cellular motility.
The observations presented here suggest that cell motility and possibly
metastasis can be controlled by transcriptional and epigenetic regulation of
Periostin, offering a potentially new and novel manner to control the spread of
cancerous cells.
PMID- 26543580
TI - An efficient interpolation technique for jump proposals in reversible-jump Markov
chain Monte Carlo calculations.
AB - Selection among alternative theoretical models given an observed dataset is an
important challenge in many areas of physics and astronomy. Reversible-jump
Markov chain Monte Carlo (RJMCMC) is an extremely powerful technique for
performing Bayesian model selection, but it suffers from a fundamental difficulty
and it requires jumps between model parameter spaces, but cannot efficiently
explore both parameter spaces at once. Thus, a naive jump between parameter
spaces is unlikely to be accepted in the Markov chain Monte Carlo (MCMC)
algorithm and convergence is correspondingly slow. Here, we demonstrate an
interpolation technique that uses samples from single-model MCMCs to propose
intermodel jumps from an approximation to the single-model posterior of the
target parameter space. The interpolation technique, based on a kD-tree data
structure, is adaptive and efficient in modest dimensionality. We show that our
technique leads to improved convergence over naive jumps in an RJMCMC, and
compare it to other proposals in the literature to improve the convergence of
RJMCMCs. We also demonstrate the use of the same interpolation technique as a way
to construct efficient 'global' proposal distributions for single-model MCMCs
without prior knowledge of the structure of the posterior distribution, and
discuss improvements that permit the method to be used in higher dimensional
spaces efficiently.
PMID- 26543581
TI - Incubator-independent cell-culture perfusion platform for continuous long-term
microelectrode array electrophysiology and time-lapse imaging.
AB - Most in vitro electrophysiology studies extract information and draw conclusions
from representative, temporally limited snapshot experiments. This approach bears
the risk of missing decisive moments that may make a difference in our
understanding of physiological events. This feasibility study presents a simple
benchtop cell-culture perfusion system adapted to commercial microelectrode
arrays (MEAs), multichannel electrophysiology equipment and common inverted
microscopy stages for simultaneous and uninterrupted extracellular
electrophysiology and time-lapse imaging at ambient CO2 levels. The concept
relies on a transparent, replica-casted polydimethylsiloxane perfusion cap,
gravity- or syringe-pump-driven perfusion and preconditioning of pH-buffered
serum-free cell-culture medium to ambient CO2 levels at physiological
temperatures. The low-cost microfluidic in vitro enabling platform, which allows
us to image cultures immediately after cell plating, is easy to reproduce and is
adaptable to the geometries of different cell-culture containers. It permits the
continuous and simultaneous multimodal long-term acquisition or manipulation of
optical and electrophysiological parameter sets, thereby considerably widening
the range of experimental possibilities. Two exemplary proof-of-concept long-term
MEA studies on hippocampal networks illustrate system performance. Continuous
extracellular recordings over a period of up to 70 days revealed details on both
sudden and gradual neural activity changes in maturing cell ensembles with large
intra-day fluctuations. Correlated time-lapse imaging unveiled rather static
macroscopic network architectures with previously unreported local morphological
oscillations on the timescale of minutes.
PMID- 26543582
TI - A cryptic Allee effect: spatial contexts mask an existing fitness-density
relationship.
AB - Current theories predict that Allee effects should be widespread in nature, but
there is little consistency in empirical findings. We hypothesized that this gap
can arise from ignoring spatial contexts (i.e. spatial scale and heterogeneity)
that potentially mask an existing fitness-density relationship: a 'cryptic' Allee
effect. To test this hypothesis, we analysed how spatial contexts interacted with
conspecific density to influence the fertilization rate of the freshwater mussel
Margaritifera laevis. This sessile organism has a simple fertilization process
whereby females filter sperm from the water column; this system enabled us to
readily assess the interaction between conspecific density and spatial
heterogeneity (e.g. flow conditions) at multiple spatial levels. Our findings
were twofold. First, positive density-dependence in fertilization was
undetectable at a population scale (approx. less than 50.5 m(2)), probably
reflecting the exponential decay of sperm density with distance from the sperm
source. Second, the Allee effect was confirmed at a local level (0.25 m(2)), but
only when certain flow conditions were met (slow current velocity and shallow
water depth). These results suggest that spatial contexts can mask existing Allee
effects.
PMID- 26543583
TI - Unnoticed in the tropics: phylogenomic resolution of the poorly known arachnid
order Ricinulei (Arachnida).
AB - Ricinulei are among the most obscure and cryptic arachnid orders, constituting a
micro-diverse group with extreme endemism. The 76 extant species described to
date are grouped in three genera: Ricinoides, from tropical Western and Central
Africa, and the two Neotropical genera Cryptocellus and Pseudocellus. Until now,
a single molecular phylogeny of Ricinulei has been published, recovering the
African Ricinoides as the sister group of the American Pseudocellus and providing
evidence for the diversification of the order pre-dating the fragmentation of
Gondwana. Here, we present, to our knowledge, the first phylogenomic study of
this neglected arachnid order based on data from five transcriptomes obtained
from the five major mitochondrial lineages of Ricinulei. Our results, based on up
to more than 2000 genes, strongly support a clade containing Pseudocellus and
Cryptocellus, constituting the American group of Ricinulei, with the African
Ricinoides nesting outside. Our dating of the diversification of the African and
American clades using a 76 gene data matrix with 90% gene occupancy indicates
that this arachnid lineage was distributed in the South American, North American
and African plates of Gondwana and that its diversification is concordant with a
biogeographic scenario (both for pattern and tempo) of Gondwanan vicariance.
PMID- 26543584
TI - Unexpected monophyletic origin of Ephoron shigae unisexual reproduction strains
and their rapid expansion across Japan.
AB - The burrowing polymitarcyid mayfly Ephoron shigae is distributed across Japan,
Korea, northeast China and far east Russia. Some populations are bisexual, and
others are unisexual, i.e. geographically parthenogenetic throughout Japan. In
general, parthenogenetic organisms are often found in harsh environments, such as
at high latitudes and altitudes, in xeric as opposed to mesic conditions, in
isolated habitats such as islands and island-like areas, and at the peripheral
regions of the taxon's range. In E. shigae, however, the distributions of
bisexual and unisexual populations overlap broadly in their respective
geographical ranges. In the analysis of mitochondrial 16S rRNA and COI, we
revealed that unisexual populations were of monophyletic origin and recently
differentiated somewhere in western Japan. In the nuclear DNA EFI-alpha analysis,
parthenogenetic strains had two genotypes, i.e. the heterozygous genotype of
E1/E3 and the homozygous genotype of E1/E1 or E3/E3, while specimens of bisexual
lineage had 20 genotypes. These results are consistent with an automixis mode of
reproduction for the parthenogenetic strains, and also support the monophyletic
origin of the parthenogenetic strains. Furthermore, there would be no gene flow
between the specimens of the bisexual lineage and those of the parthenogenetic
strain.
PMID- 26543586
TI - Image analysis of weaverbird nests reveals signature weave textures.
AB - In nature, many animals build structures that can be readily measured at the
scale of their gross morphology (e.g. length, volume and weight). Capturing
individuality as can be done with the structures designed and built by human
architects or artists, however, is more challenging. Here, we tested whether
computer-aided image texture classification approaches can be used to describe
textural variation in the nests of weaverbirds (Ploceus species) in order to
attribute nests to the individual weaverbird that built them. We found that a
computer-aided texture analysis approach does allow the assignment of a signature
to weaverbirds' nests. We suggest that this approach will be a useful tool with
which to examine individual variation across a range of animal constructions, not
just for nests.
PMID- 26543585
TI - Phenotype-limited distributions: short-billed birds move away during times that
prey bury deeply.
AB - In our seasonal world, animals face a variety of environmental conditions in the
course of the year. To cope with such seasonality, animals may be phenotypically
flexible, but some phenotypic traits are fixed. If fixed phenotypic traits are
functionally linked to resource use, then animals should redistribute in response
to seasonally changing resources, leading to a 'phenotype-limited' distribution.
Here, we examine this possibility for a shorebird, the bar-tailed godwit (Limosa
lapponica; a long-billed and sexually dimorphic shorebird), that has to reach
buried prey with a probing bill of fixed length. The main prey of female bar
tailed godwits is buried deeper in winter than in summer. Using sightings of
individually marked females, we found that in winter only longer-billed
individuals remained in the Dutch Wadden Sea, while the shorter-billed
individuals moved away to an estuary with a more benign climate such as the Wash.
Although longer-billed individuals have the widest range of options in winter and
could therefore be selected for, counterselection may occur during the breeding
season on the tundra, where surface-living prey may be captured more easily with
shorter bills. Phenotype-limited distributions could be a widespread phenomenon
and, when associated with assortative migration and mating, it may act as a
precursor of phenotypic evolution.
PMID- 26543587
TI - Rethinking competence in marine life cycles: ontogenetic changes in the
settlement response of sand dollar larvae exposed to turbulence.
AB - Complex life cycles have evolved independently numerous times in marine animals
as well as in disparate algae. Such life histories typically involve a dispersive
immature stage followed by settlement and metamorphosis to an adult stage on the
sea floor. One commonality among animals exhibiting transitions of this type is
that their larvae pass through a 'precompetent' period in which they do not
respond to localized settlement cues, before entering a 'competent' period,
during which cues can induce settlement. Despite the widespread existence of
these two phases, relatively little is known about how larvae transition between
them. Moreover, recent studies have blurred the distinction between the phases by
demonstrating that fluid turbulence can spark precocious activation of
competence. Here, we further investigate this phenomenon by exploring how larval
interactions with turbulence change across ontogeny, focusing on offspring of the
sand dollar Dendraster excentricus (Eschscholtz). Our data indicate that larvae
exhibit increased responsiveness to turbulence as they get older. We also
demonstrate a likely cost to precocious competence: the resulting juveniles are
smaller. Based upon these findings, we outline a new, testable conception of
competence that has the potential to reshape our understanding of larval
dispersal and connectivity among marine populations.
PMID- 26543588
TI - Tools to tipple: ethanol ingestion by wild chimpanzees using leaf-sponges.
AB - African apes and humans share a genetic mutation that enables them to effectively
metabolize ethanol. However, voluntary ethanol consumption in this evolutionary
radiation is documented only in modern humans. Here, we report evidence of the
long-term and recurrent ingestion of ethanol from the raffia palm (Raphia
hookeri, Arecaceae) by wild chimpanzees (Pan troglodytes verus) at Bossou in
Guinea, West Africa, from 1995 to 2012. Chimpanzees at Bossou ingest this
alcoholic beverage, often in large quantities, despite an average presence of
ethanol of 3.1% alcohol by volume (ABV) and up to 6.9% ABV. Local people tap
raffia palms and the sap collects in plastic containers, and chimpanzees use
elementary technology-a leafy tool-to obtain this fermenting sap. These data show
that ethanol does not act as a deterrent to feeding in this community of wild
apes, supporting the idea that the last common ancestor of living African apes
and modern humans was not averse to ingesting foods containing ethanol.
PMID- 26543589
TI - Eye-spots in Lepidoptera attract attention in humans.
AB - Many prey species exhibit defensive traits to decrease their chances of
predation. Conspicuous eye-spots, concentric rings of contrasting colours, are
one type of defensive trait that some species exhibit to deter predators. We
examined the function of eye-spots in Lepidoptera to determine whether they are
effective at deterring predators because they resemble eyes ('eye mimicry
hypothesis') or are highly salient ('conspicuous signal hypothesis'). We recorded
the gaze behaviour of men and women as they viewed natural images of butterflies
and moths as well as images in which the eye-spots of these insects were
modified. The eye-spots were modified by removing them, scrambling their colours,
or replacing them with elliptical or triangular shapes that had either dark or
light centres. Participants were generally more likely to look at, spend more
time looking at and be faster to first fixate the eye-spots of butterflies and
moths that were natural compared with ones that were modified, including the
elliptical eye-spots with dark centres that most resembled eyes as well as the
scrambled eye-spots that had the same contrast as the natural eye-spots.
Participants were most likely to look at eye-spots that were numerous, had a
large surface area and were located close to the insects' heads. Participants'
pupils were larger when viewing eye-spots compared with the rest of the insects'
body, suggesting a greater arousal when viewing eye-spots. Our results provide
some support for the conspicuous signal hypothesis (and minimal support for the
eye mimicry hypothesis) and suggest that eye-spots may be effective at deterring
predators because they are highly conspicuous signals that draw attention.
PMID- 26543590
TI - Erratum: Atomic scale modelling of hexagonal structured metallic fission product
alloys.
AB - [This corrects the article DOI: 10.1098/rsos.140292.].
PMID- 26543591
TI - Himalayan dock (Rumex nepalensis): the flip side of obnoxious weed.
AB - Himalayan dock (Rumex nepalensis) was evaluated for forage value and
antinutrients under three, five and seven weeks cutting intervals in the
temperate environment. Dry matter (DM) content was measured for each cutting
interval. Forage quality parameters such as Crude Protein (CP), Acid Detergent
fiber (ADF), Neutral Detergent Fiber (NDF), Calcium (Ca) and Phosphorus (P) were
analyzed. Plants with seven weeks cutting interval gave higher DM yield. CP and P
content were significantly higher for three weeks cutting intervals. Average CP
contents were 31.38 %, 30.73 % and 27.32 % and average P content 0.58 %, 0.52 %
and 0.51 % for three, five and seven weeks cutting intervals, respectively. Ca
content did not differ significantly between cutting intervals. The average Ca
content were 0.91 %, 0.90 % and 90 %, for three, five and seven weeks cutting
intervals, respectively. Tannin and mimosine contents were not significantly
different between cutting intervals. Average tannin contents were 1.32 %, 1.27 %
and 1.26 % and mimosine 0.38 %, 0.30 % and 0.28 % for three, five and seven weeks
cutting intervals, respectively. The study concluded that R. nepalensis could be
a potential source of protein for livestock. The study also suggests seven weeks
harvesting interval to provide plants with high dry matter yield, high forage
quality and very low levels of anti-nutrients.
PMID- 26543593
TI - Preface to focused issue on current challenges in transcatheter valve therapies.
PMID- 26543592
TI - Effect of topographical control by a micro-molding process on the activity of
human Mesenchymal Stem Cells on alumina ceramics.
AB - BACKGROUND: Numerous studies have reported that microgrooves on metal and polymer
materials can affect cell adhesion, proliferation, differentiation and guidance.
However, our knowledge of the cell activity associated with microgrooves on
ceramics, such as alumina, zirconia, hydroxyapatite and etc, is very incomplete,
owing to difficulties in the engraving of microgrooves on the hard surface of the
base material. In this study, microgrooves on alumina were fabricated by a
casting process using a polydimethylsiloxane micro-mold. The cell responses of
Human Mesenchymal Stem Cells on the alumina microgrooves were then evaluated.
RESULTS: Microgrooves on an alumina surface by micro-mold casting can enhance the
adhesion, differentiation of osteoblasts as well as gene expression related to
osteoblast differentiation. The ALP activity and calcium concentration of the
cells on alumina microgrooves were increased by more than twice compared to a non
microgrooved alumina surface. Moreover, regarding the osteoblast differentiation
of hMSCs, the expression of ALP, RUNX2, OSX, OC and OPN on the microgrooved
alumina were all significantly increased by 1.5 ~ 2.5 fold compared with the non
microgrooved alumina. CONCLUSION: Altering the topography on alumina by creating
microgrooves using a micro-molding process has an important impact on the
behavior of hMSCs, including the adhesion, differentiation of osteoblasts and
osteoblast-specific gene expression. The significant increase in hMSC activity is
explained by the increasing of material transportation in parallel direction and
by the extending of spreading distance in perpendicular direction.
PMID- 26543594
TI - Redo aortic valve surgery versus transcatheter valve-in-valve implantation for
failing surgical bioprosthetic valves: consecutive patients in a single-center
setting.
AB - BACKGROUND: Due to a considerable rise in bioprosthetic as opposed to mechanical
valve implantations, an increase of patients presenting with failing
bioprosthetic surgical valves in need of a reoperation is to be expected. Redo
surgery may pose a high-risk procedure. Transcatheter aortic valve-in-valve
implantation is an innovative, less-invasive treatment alternative for these
patients. However, a comprehensive evaluation of the outcome of consecutive
patients after a valve-in-valve TAVI [transcatheter aortic valve-in-surgical
aortic valve (TAV-in-SAV)] as compared to a standard reoperation [surgical aortic
valve redo-operation (SAV-in-SAV)] has not yet been performed. The goal of this
study was to compare postoperative outcomes after TAV-in-SAV and SAV-in-SAV in a
single center setting. METHODS: All SAV-in-SAV and TAV-in-SAV patients from
January 2001 to October 2014 were retrospectively reviewed. Patients with
previous mechanical or transcatheter valves, active endocarditis and concomitant
cardiac procedures were excluded. Patient characteristics, preoperative data,
post-procedural complications, and 30-day mortality were collected from a
designated database. Mean values +/- SD were calculated for all continuous
variables. Counts and percentages were calculated for categorical variables. The
Chi-square and Fisher exact tests were used to compare categorical variables.
Continuous variables were compared using the t-test for independent samples. A 2
sided P value <0.05 was considered statistically significant. RESULTS: A total of
102 patients fulfilled the inclusion criteria, 50 patients (49%) underwent a
transcatheter valve-in-valve procedure, while 52 patients (51%) underwent redo
surgery. Patients in the TAV-in-SAV group were significantly older, had a higher
mean logistic EuroSCORE and exhibited a lower mean left ventricular ejection
fraction than patients in the SAV-in-SAV group (78.1+/-6.7 vs. 66.2+/-13.1,
P<0.001; 27.4+/-18.7 vs. 14.4+/-10, P<0.001; and 49.8+/-13.1 vs. 56.7+/-15.8,
P=0.019 respectively). Postoperative pacemaker implantation and chest tube output
were higher in the SAV-in-SAV group compared to the TAV-in-SAV group [11 (21%)
vs. 3 (6%), P=0.042 and 0.9+/-1.0 vs. 0.6+/-0.9, P=0.047, respectively]. There
was no significant difference in myocardial infarction, stroke or dialysis
postoperatively. Thirty-day mortality was not significantly different between the
two groups [TAV-in-SAV2 (4%) vs. SAV-in-SAV0, P=0.238]. Kaplan-Meier (KM) 1-year
survival was significantly lower in the TAV-in-SAV group than in the SAV-in-SAV
group (83% vs. 96%, P<0.001). CONCLUSIONS: The present investigation shows that
both groups, irrespective of different baseline comorbidities, show very good
early clinical outcomes. While redo surgery is still the standard of care, a
subgroup of patients may profit from the transcatheter valve-in-valve procedure.
PMID- 26543595
TI - Challenges in valve-in-valve therapy.
AB - At present, the majority of surgical heart valves (SHVs) implanted are
bioprosthetic valves. Over time however, these are prone to structural
deterioration, which may manifest as valvular stenosis, regurgitation or a
combination of the two. Re-operation is the current standard of care for these
patients but this itself carries a significant risk of mortality and morbidity.
As a natural extension of transcatheter aortic valve implantation (TAVI), now an
evidence based solution for severe aortic stenosis in high-risk patients, valve
in-valve (VIV) therapy is evolving into an alternative option in selected
patients with structural biological valvular deterioration in all four-valve
positions. The first of these VIV procedures was performed in Germany in 2007,
for failing aortic valve prosthesis and later, reported in other positions. As
with any novel emerging therapy, there is a learning curve to the procedure and
the operator must be aware of the potential challenges. In this review we
describe some of these challenges with the aim of providing awareness as well as
guidance on attaining a successful outcome.
PMID- 26543596
TI - MitraClip-data analysis of contemporary literature.
AB - Treatment of mitral regurgitation (MR) with the MitraClip (MC), a percutaneous,
transseptal edge-to-edge reconstruction of the mitral valve, has become an
interesting treatment option in most patients not eligible for surgery. Lately a
variety of studies have been published analyzing the treatment of MR with the MC
in degenerative as well as functional MR. The results for both entities of MR
show negligible intraprocedural mortality, low periprocedural complications rates
and a beneficiary outcome in terms of reduction in MR as well as an improvement
in functional capacity and quality of life. Here we summarize the latest results
focusing on safety and efficacy of MC treatment.
PMID- 26543597
TI - Sedation or general anesthesia for transcatheter aortic valve implantation
(TAVI).
AB - Transfemoral transcatheter aortic valve implantation (TAVI) is nowadays a routine
therapy for elderly patients with severe aortic stenosis (AS) and high
perioperative risk. With growing experience, further development of the devices,
and the expansion to "intermediate-risk" patients, there is increasing interest
in performing this procedure under conscious sedation (TAVI-S) rather than the
previously favoured approach of general anesthesia (TAVI-GA). The proposed
benefits of TAVI-S include; reduced procedure time, shorter intensive care unit
(ICU) length of stay, reduced need for intraprocedural vasopressor support, and
the potential to perform the procedure without the direct presence of an
anesthetist for cost-saving reasons. To date, no randomized trial data exists. We
reviewed 13 non-randomized studies/registries reporting data from 6,718 patients
undergoing TAVI (3,227 performed under sedation). Patient selection, study
methods, and endpoints have differed considerably between published studies.
Reported rates of in-hospital and longer-term mortality are similar for both
groups. Up to 17% of patients undergoing TAVI-S require conversion to general
anesthesia during the procedure, primarily due to vascular complications, and
urgent intubation is frequently associated with hemodynamic instability.
Procedure related factors, including hypotension, may compound preexisting age
specific renal impairment and enhance the risk of acute kidney injury. Hypotonia
of the hypopharyngeal muscles in elderly patients, intraprocedural hypercarbia,
and certain anesthetic drugs, may increase the aspiration risk in sedated
patients. General anesthesia and conscious sedation have both been used
successfully to treat patients with severe AS undergoing TAVI with similar
reported short and long-term mortality outcomes. The authors believe that the
significant incidence of complications and unplanned conversion to general
anesthesia during TAVI-S mandates the start-to-finish presence of an experienced
cardiac anesthetist in order to optimize patient outcomes. Good quality
randomized data is needed to determine the optimal anesthetic regimen for
patients undergoing TAVI.
PMID- 26543598
TI - Acute kidney injury after transcatheter aortic valve implantation.
AB - Even though experience and techniques have constantly improved over the last
years, peri- and postprocedural complications in high risk TAVI-collectives
remain a major issue affecting outcome and survival. Acute kidney injury (AKI) is
a frequent complication after transcatheter aortic valve implantation (TAVI) and
effects outcome and survival. However, the definition of AKI in published studies
dealing with the phenomenon of AKI after TAVI varies widely and lacks
standardization. This Review aims to present an overview over the current
literature concerning AKI after TAVI with regard to the definition of AKI, the
impact of AKI on mortality and potential risk factors for renal impairment after
TAVI.
PMID- 26543599
TI - Current challenges in interventional mitral valve treatment.
AB - Transcatheter mitral valve therapies have emerged as an alternative option in
high surgical risk or inoperable patients with severe and symptomatic mitral
regurgitation (MR). As multiple technologies and different approaches will become
available in the field of mitral valve interventions, different challenges are
emerging, both patient- (clinical challenges) and procedure-related (technical
challenges). This review will briefly explore the current open challenges in the
evolving fields of interventional mitral valve treatment.
PMID- 26543600
TI - Preferential short cut or alternative route: the transaxillary access for
transcatheter aortic valve implantation.
AB - Transcatheter aortic valve implantation (TAVI) has gained widespread acceptance
as a treatment option for patients at high risk for conventional aortic valve
replacement. The most commonly used access site for TAVI is the common femoral
artery. Yet, in a significant number of patients the transfemoral access is not
suitable due to peripheral vascular disease of the lower extremity. In these
cases the transaxillary approach can serve as an alternative implantation route.
By considering the anatomical requirements and providing an adequate endovascular
"safety-net" during the procedure the transaxillary TAVI approach results in
excellent procedural and clinical outcome. However, whether the transaxillary
access for TAVI is superior to other non-transfemoral approaches (e.g.,
transapical or direct aortic) needs to be studied in the future in a prospective
randomized trial.
PMID- 26543601
TI - Access and closure of the left ventricular apex: state of play.
AB - Calcific aortic stenosis is the most frequent manifestation of valvular heart
disease. The preferred treatment for patients of all age groups is surgical
aortic valve replacement. Recently, transcatheter aortic valve implantation
(TAVI) has become the standard of care for patients that are deemed to be at high
risk for open heart surgery. The most common access route for TAVI is the
retrograde transfemoral (TF) approach, followed by the antegrade transapical (TA)
approach. Both access routes have distinct indications. While the TF route is
least invasive and the access of choice at most centers, the apical route is used
complementary in patients with poor femoral access. In addition, the TA approach
holds various benefits such as a short distance from the operator to the annulus
facilitating exact positioning of the valve and the possibility to accommodate
larger sheaths. Furthermore, the TA approach not only provides direct access to
the aortic valve but also the mitral valve allowing for a wide range of
interventions. Various apical closure devices are currently being developed under
the premise of increasing overall safety of the TA-TAVI approach by further
standardizing the procedure, alleviating left ventricular access and minimizing
the risk of complications, such as apical bleeding. The aim of this article is to
give an overview of current devices for apical closure. The ideal apical closure
device should be easy to put in place, leave a minimum of foreign material,
provide complete hemostasis and have a minimal risk of displacement. So far the
range of commercially available devices in Europe is very limited with only one
CE-certified device on the market and one device that is expected to receive CE
certification soon. Off-the-shelf closure devices could help flatten the initial
operator learning curve and facilitate a safe apical access, ultimately leading
to an entirely percutaneous TA-TAVI approach.
PMID- 26543602
TI - Detection of rearrangement of anaplastic lymphoma kinase (ALK) and mutation of
epidermal growth factor receptor (EGFR) in primary pulmonary lymphoepithelioma
like carcinoma.
AB - BACKGROUND: Primary pulmonary lymphoepithelioma-like carcinoma (LELC) is a
distinct rare subtype of lung cancer. The prevalence of anaplastic lymphoma
kinase (ALK) rearrangement and epidermal growth factor receptor (EGFR) mutation
in primary pulmonary LELC had not been thoroughly investigated. METHODS: We
investigated a cohort of 42 patients with primary pulmonary LELC and genotyped
for ALK rearrangement and EGFR mutation. ALK rearrangement was detected by
fluorescence in situ hybridization (FISH). EGFR mutational analysis of exons 18
through 21 was analyzed by TaqMan real-time polymerase chain reaction (PCR).
RESULTS: Epstein-Barr virus-encoded RNAs (EBERs) showed positive signals in all
42 patients. By immunohistochemistry staining, all patients demonstrated positive
expression of CK5/6 and P63, but almost all patients were negative for TTF-1
(34/34, 100%) or CK7 (34/35, 97.1%). None of the 42 patients had ALK
rearrangement. Of 42 patients tested, only one patient (2.4%) harbored L858R
mutation and gefitinib was applied to this case, however no objective response
was observed and the progression free survival (PFS) time was only 1 month.
CONCLUSIONS: Primary pulmonary LELC is a unique histological subtype of lung
cancer. ALK rearrangement and EGFR mutation are lack and they may not be the
oncogenic driver gene in pulmonary LELC. Future efforts should be made to explore
other oncogenic driver gene to guide targeted therapy in this rare disease to
determine the optimal treatment.
PMID- 26543603
TI - miR-132 inhibits lung cancer cell migration and invasion by targeting SOX4.
AB - BACKGROUND: Multiple MicroRNAs (miRNAs) have been identified in the development
and progression of lung cancer. However, the expression and roles of miR-132 in
non-small cell lung cancer (NSCLC) remain largely undefined. The aim of this
study is to investigate the biological functions and its molecular mechanisms of
miR-132 in human lung cancer cells. METHODS: miR-132 expression was measured in
human lung cancer cell lines by quantitative real-time PCR (qRT-PCR). The cells
migration and invasion ability were measured by wound healing assay and transwell
assay. The influence of miR-132 on tumor progression in vivo was monitored using
NSCLC xenografts in nude mice. The target gene of miR-132 was determined by
luciferase assay and western blot. RESULTS: The expression level of miR-132 was
dramatically decreased in examined lung cancer cell lines. Then, we found that
introduction of miR-132 significantly suppressed the migration and invasion of
lung cancer cells in vitro. Besides, miR-132 overexpression could also inhibit
tumor growth in the nude mice. Further studies indicated that the sex determining
region Y-box 4 (SOX4) is a target gene of miR-132. SOX4 re-introduction could
reverse the anti-invasion role of miR-132. CONCLUSIONS: Our finding provides new
insight into the mechanism of NSCLC progression. Therapeutically, miR-132 may
serve as a potential target in the treatment of human lung cancer.
PMID- 26543604
TI - miR-1290 is a potential prognostic biomarker in non-small cell lung cancer.
AB - BACKGROUND: miR-1290 is a newly discovered microRNA (miRNA), and its role in non
small cell lung cancer (NSCLC) remains unknown. This study aimed to evaluate the
expression levels of miR-1290 in NSCLC tissues and serum, and explore its
associations with clinicopathological characteristics and prognosis of NSCLC
patients. METHODS: A total of 33 pairs of tissues and 73 serum samples were
obtained from NSCLC patients and expression levels of miR-1290 were detected by
specific TaqMan qRT-PCR. The relationship between miR-1290 expression levels in
NSCLC tissues and serum and clinicopathological characteristics was estimated
respectively. The correlation between serum miR-1290 expression levels and
overall survival of NSCLC patients was performed by Kaplan-Meier analysis and Cox
proportional hazards model. RESULTS: We determined that miR-1290 expression
levels were increased significantly in NSCLC tissues compared with non-tumor
adjacent normal tissues, and higher miR-1290 expression levels were positively
correlated with high tumor stage (P=0.004) and positive lymph node metastasis
(P=0.013). Compared with benign lung disease and healthy controls, serum levels
of NSCLC patients exhibited higher expression of miR-1290. Furthermore, the up
regulation of serum miR-1290 more frequently occurred in NSCLC patients with high
TNM stage, positive lymph node metastasis (P=0.022 and P=0.024, respectively).
Kaplan-Meier analysis demonstrated that high serum miR-1290 expression levels
predicted poor survival (P=0.022). Cox proportional hazards risk analysis
indicated that miR-1290 was an independent prognostic factor for NSCLC.
CONCLUSIONS: Our study suggests that miR-1290 is overexpressed in NSCLC, and
serum miR-1290 may be used as a potential prognostic biomarker for NSCLC.
PMID- 26543605
TI - Computed tomography guided microcoil localization for pulmonary small nodules and
ground-glass opacity prior to thoracoscopic resection.
AB - BACKGROUND: To evaluate the feasibility, safety and efficacy of computed
tomography (CT)-guided microcoil localization for small pulmonary lesions prior
to thoracoscopic resection. METHODS: We retrospectively reviewed the medical data
of patients with pulmonary solid nodules and ground-glass opacity (GGO) who
underwent CT-guided microcoil localization prior to thoracoscopic surgery. The
microcoil was deployed with the proximal end of the microcoil coiling beyond the
parietal pleura while the distal part anchoring in the lung parenchyma. After
marking with microcoil, the pulmonary lesions were removed by thoracoscopic
surgery. RESULTS: CT-guided microcoil placements were successful in all 98
lesions, including 14 solid nodules, 11 part-solid GGO, and 73 pure GGO. The mean
distance from the lesions to the pleura surface was 11.1+/-6.6 mm. Eighty-four
microcoils (85.7%) were successfully placed with the tails coiled beyond the
parietal pleura. Seventeen patients (17.3%) had mild complications after the
procedure of localization. Thirteen patients with asymptomatic pneumothorax, only
one patient required further thoracentesis, four patients with pulmonary
hematoma. Removal of the pulmonary lesions was successful in all patients. Sixty
six lesions (67.3%) were localized through the proximal end of the microcoil
beyond the visceral pleura by visual inspection, 29 lesions were localized by
palpation of the microcoil or the nodule, and 3 lesions had dislocation of the
microcoil, resulting in a success rate of 96.9% for intraoperative localization.
CONCLUSIONS: CT-guided microcoil localization prior to thoracoscopic resection is
a feasible, safe, and effective method for localization of pulmonary small
nodules and GGO.
PMID- 26543606
TI - Correlation between epidermal growth factor receptor mutations and nuclear
expression of female hormone receptors in non-small cell lung cancer: a meta
analysis.
AB - BACKGROUND: Compared with male, female non-small cell lung cancer (NSCLC)
patients have better response when treated with epidermal growth factor receptor
(EGFR)-tyrosine kinase inhibitors (TKIs), suggesting a potential association
between female hormones and EGFR mutation. However, the results provided by
previous studies were inconclusive and controversial. We sought to examine the
link between the expression of nuclear female hormone receptors and EGFR
mutations in NSCLC. METHODS: Electronic databases were used to search the
relevant articles. The involved hormone receptors included estrogen receptor (ER)
and progesterone receptor (PR). The primary endpoint was the occurrence of ER/PR
expression and EGFR mutation in NSCLC patients. RESULTS: Five studies fulfilled
the criteria and were included in our analysis. Patients with high ER-beta
expression had higher positive EGFR mutation than low ER-beta patients (44.2% vs.
23.7%), and there was a significant difference between the two groups [odds radio
(OR) 3.44, 95% confidence interval (CI): 2.40-4.93, Z=6.72, P<0.001]. However,
there is no significant correlation between EGFR mutations and ER-alpha (when
included ER-alpha3, OR 1.20, 95% CI: 0.62-2.33, Z=0.55, P=0.58; and when included
ER-alpha4, OR 1.18, 95% CI: 0.62-2.25, Z=0.51, P=0.61) or PR (OR 1.29, 95% CI:
0.40-4.10, Z=0.43, P=0.67). No significant publication bias was observed.
CONCLUSIONS: High nuclear expression of ER-beta, but not ER-alpha or PR is
correlated with EGFR mutations in NSCLC. The underlying mechanism and potential
translational relevance warrant further investigation.
PMID- 26543607
TI - Surgical correction of 639 pectus excavatum cases via the Nuss procedure.
AB - BACKGROUND: To review the clinical experience and short- to middle-term effects
of the Nuss procedure for correction of pectus excavatum (PE). METHODS: From
September 2006 to August 2014, 639 patients with PE were treated using the Nuss
procedure. Of these, 546 were male and 93 were female. The mean age was 15.3+/
5.8 years (2.5-49 years). Preoperative chest CT scans Haller index (HI) was 4.3+/
1.7 (2.9-17.4), with 75 cases of mild PE (HI <3.2), 114 cases of moderate PE (HI
3.2-3.5), 393 cases of severe PE (HI 3.6-6.0), and 57 cases of extremely severe
PE (HI >6.0). RESULTS: A total of 638 patients successfully completed the
surgery, an 11-year-old male patient who died after the surgery had undergone
ventricular septal defect closure surgery through a sternal incision 7 years ago.
The mean operative time was 64.3+/-41.7 min (40-310 min). Excluding the patient
who died, the average blood loss was 24.5+/-17.8 mL (10-160 mL). The average
length of postoperative hospital stay was 5.2+/-2.9 days (4-36 days). A total of
484 cases (75.7%) required 1 steel bar insertion, 153 cases (24.0%) required 2
steel bars, and 2 cases (0.3%) required 3 bars. Postoperative evaluation of the
surgery outcomes revealed the following: excellent in 504 cases, good in 105,
fair in 28 and poor in 2, good quality rate was 95.3%. CONCLUSIONS: Correction of
PE via the Nuss procedure is minimally invasive and simple to perform with good
short and mid-term effects, while long-term efficacy remains to be determined.
PMID- 26543608
TI - Incremental value of contrast enhanced computed tomography on diagnostic accuracy
in evaluation of small pulmonary ground glass nodules.
AB - BACKGROUND: To evaluate the information gain by the application of both non
contrast and contrast enhanced computed tomography (CT) with extended mediastinal
display window settings in the evaluation of pure ground glass nodules (pGGNs)
and or mixed ground glass nodules (mGGNs) in the context of pre-invasive or early
stage lung adenocarcinoma. METHODS: One hundred and fifty patients with ground
glass nodules (GGNs) and mGGNs, with contrast enhanced CT scans within 2 weeks of
thoracic surgery were included in the study. Quantitative evaluation of all
nodules was performed in a conventional mediastinal window (CMW) and an extended
mediastinal window (EMW) both on non-contrast images and contrast-enhanced
images. RESULTS: Contrast-enhanced images with CMW demonstrated amplification of
solid portion in 23 (43%), 41 (77%) with EMW out of 53 minimally invasive
adenocarcinoma (MIA) nodules, and in 34 of 37 (91%) of invasive adenocarcinoma
(IAC) nodules. Using the increase in size of solid portion of the nodule measured
on the enhanced CT images with EMW, area under the receiver operating
characteristic (ROC) curve of 0.872 and 0.899 was utilized for differentiating
between the pre-invasive nodules and MIA and between MIA and IAC nodules,
respectively. Statistically significant differences existed between the pre
invasive and the MIA groups, and MIA and the IAC groups in smaller nodules
(P<0.01). CONCLUSIONS: Comparative quantitative analysis of the pre and post
contrast images can help differentiate between atypical adenomatous hyperplasia
(AAH), adenocarcinoma in situ (AIS), MIAs, and IACs. Extension of the CT
mediastinal window setting improves the evaluation of small GGNs, and can augment
the diagnostic accuracy when evaluating small pGGNs and mGGNs.
PMID- 26543609
TI - Clinical outcomes of surgery after induction treatment in patients with
pathologically proven N2-positive stage III non-small cell lung cancer.
AB - BACKGROUND: To assess the effect of preoperative neoadjuvant therapy on
resectability, downstaging, and the prognosis in patients with stage IIIA-N2 non
small cell lung cancer (NSCLC). METHODS: Eighty-four patients who underwent
resections after induction therapy [76 with neoadjuvant chemotherapy (CTx) and 8
with induction chemoradiotherapy (CRTx)] for clinically evident [larger than 1 cm
on computed tomography (CT)] and pathologically confirmed ipsilateral N2 positive
NSCLC (stage IIIA) between January 2009 and July 2013 were reviewed
retrospectively. RESULTS: Partial response (PR) was observed in 39 patients
(46.4%). Standard lobectomy was performed in 63 cases (75.0%), and extensive
resection was conducted in 21 cases (25.0%), including four pneumonectomies.
Pathologic nodal downstaging (pN2 to pN0-1) was confirmed in 38 cases (45.2%).
After induction therapy plus resection, 5-year progression-free survival (PFS)
and overall survival (OS) in cases with radical resections were 37.9% and 34.2%,
respectively. Patients who underwent lobectomy or pathologic nodal downstaging
had better prognosis than those who had extensive resection or persistent N2 in
PFS (P=0.036; P=0.025) and OS (P=0.023; P=0.024). On univariate analysis,
lobectomy and pathological nodal downstaging were favourably predictive factors
both in PFS and OS. Cox multivariate analyses identified only pathologic nodal
downstaging to predict better PFS, and lobectomy to be significantly prognostic
for OS. CONCLUSIONS: These data suggest that neoadjuvant therapy was feasible,
and helpful for tumor and pathologic nodal downstaging with promising rates of
survival in patients with stage IIIA-N2 NSCLC. After induction therapy, patients
with potentially radical lobectomy were more likely to benefit from operation.
Pathological nodal downstaging of pN2 to pN0-1, rather than clinical response was
predictive of a favorable outcome, and was correlated with a better chance of
survival.
PMID- 26543610
TI - Analysis of pulmonary function test results in a health check-up population.
AB - BACKGROUND: To explore the incidences of chronic obstructive pulmonary disease
(COPD), obstructive ventilatory dysfunction, and obstructive small airway disease
and their risk factors in a health check-up population, with an attempt to inform
the early diagnosis and treatment of COPD. METHODS: Subjects who aged 20 years
and older and received health check-up in the Health Management Center, Third
Xiangya Hospital, Central South University from June 2013 to June 2015 were
enrolled in this study. The results of detection and survey for COPD, obstructive
ventilatory dysfunction, and obstructive small airway disease were analyzed.
RESULTS: Among 6,811 subjects enrolled in this study, the detection rate of COPD,
obstructive ventilator dysfunction, and obstructive small airway disease was
0.8%, 2.6%, and 4.0%, respectively, which showed a positive correlation with male
gender, age, and smoking index. CONCLUSIONS: Health check-up is an important
approach for screening COPD, obstructive ventilator dysfunction, and obstructive
small airway disease. Smoking cessation and controlling of relevant risk factors
are helpful to lower the incidences of these conditions.
PMID- 26543611
TI - The BCL11A-XL expression predicts relapse in squamous cell carcinoma and large
cell carcinoma.
AB - BACKGROUND: The B cell leukemia 11A (BCL11A) gene was identified as a proto
oncogene in hematopoietic cell malignancies and breast cancer. Alternative RNA
splicing generates three main transcripts designated as Extra-long (XL; 5.9
kb/125 kD), Long (L; 3.8 kb/100 kD) and Short (S; 2.4 kb/35 kD). Our previous
study results demonstrated that BCL11A expression levels were specifically
upregulated in non-small cell lung cancer (NSCLC) tissues, especially in squamous
cell carcinoma (SCC) and large cell carcinoma (LCC). METHODS: In this study, we
detected the BCL11A protein isoforms with immunohistochemistry (IHC) method in
NSCLC with in a cohort (n=40) of BCL11A overexpression NSCLC patients. All 40
cases were BCL11A overexpression including 27 SCCs, 8 LCCs and 5 adenocarcinomas
(ACs). Relationship between BCL11A isoforms and the clinicopathological
parameters were also analyzed. RESULTS: Compare to the BCL11A-L and S isoforms,
the BCL11A-XL isoform was specifically expressed in SCC and LCC (P=0.006). There
were 19 (19/40, 47.5%) cases positive for BCL11A-XL expression, SCC accounted for
63.2% (12/19) and LCC accounted for 36.8% (7/19). The survival analysis indicated
that BCL11A-XL expression was an independent prognostic factor for disease-free
survival (DFS) [hazards ratio (HR) 0.246; 95% confidence interval (CI), 0.065
0.939, P=0.040] but not for overall survival (OS) in patients with SCC and LCC.
CONCLUSIONS: Our results demonstrated that the BCL11A-XL isoform might be a
potential prognostic biomarker of SCC and LCC.
PMID- 26543612
TI - Simultaneous thoracoscopic resection for coexisting pulmonary and thymic lesions.
AB - BACKGROUND: The management of synchronous thymic and pulmonary lesions remains a
challenge due to the lack of case series and surgical guidelines. This study aims
to retrospectively review our preliminary experience and results of performing
simultaneous thoracoscopic resection of coexisting diseases of the lung and
thymus. METHODS: Simultaneous thoracoscopic resection was performed to remove
coexisting thymic and pulmonary lesions in nine patients from August 2008 to
November 2013. Patient demographics, preoperative assessment, surgical procedures
and postoperative course of these patients were reviewed. RESULTS: There were
four female and five male patients between 43 and 70 years old (median age, 64
years). Each patient had thymic neoplasm and solitary pulmonary lesion on chest
computed tomography (CT) scan. Four patients underwent thoracoscopic lobectomy
and thymectomy. One patient had thoracoscopic bronchovascular sleeve lobectomy
combined with thymic cyst resection (TCR). The other four patients received
pulmonary wedge resection and thymectomy (n=3)/TCR (n=1). The operation lasted
from 35-480 min (median, 110 min). Intra-operative blood loss was 20-380 mL
(median, 120 mL). Two patients developed post-operative pneumonia without
mortality. All the patients were discharged home within 9 days after surgery. Two
patients died from metastatic lung cancer 14 months after surgery. CONCLUSIONS:
Simultaneous thoracoscopic resection of coexisting pulmonary and thymic lesions
is safe and feasible in selected patients.
PMID- 26543613
TI - Cost and effectiveness of image-guided radiotherapy for non-operated localized
lung cancer: a population-based propensity score-matched analysis.
AB - BACKGROUND: Image-guided radiotherapy (IGRT) is a novel technology to enhance RT
delivery accuracy. However, the clinical effectiveness and cost-effectiveness are
less clear. The aim of our study is to compare the cost and effectiveness of
conventional fractionated RT for non-operated localized lung cancer delivered
with vs. without IGRT via this population-based propensity score (PS) matched
analysis. METHODS: We identified eligible patients diagnosed within 2007-2010
through a comprehensive population-based database containing cancer, death
registries, and reimbursement data in Taiwan. The primary duration of interest
(DOI) was 2 years within diagnosis. Effectiveness was measured as survival
whereas direct medical cost was measured from the payers' perspective. In
supplementary analysis (SA), we estimated the cost-effectiveness in consider of
out-of-pocket (OOP) payment and 4 years as DOI. RESULTS: Our study population
constituted 124 patients. Within 2 years, both the mean cost (2014 USD) and
survival (life-year, LY) were higher for IGRT ($60,774 vs. $60,554; 1.43 vs.
1.37). The incremental cost-effectiveness ratio (ICER) when IGRT was compared to
non-IGRT was 3,667 (USD/LY). The chance for IGRT to be cost-effective was around
68% & 70% at willingness-to-pay threshold 50,000 USD/LY and 150,000 USD/LY
respectively. IGRT remained cost-effective in SA. CONCLUSIONS: We provide the
first empirical evidence that when compared to non-IGRT, IGRT was potentially
cost-effective.
PMID- 26543614
TI - Prevalence survey of nosocomial infections in the Inner Mongolia Autonomous
Region of China [2012-2014].
AB - BACKGROUND: To investigate the prevalence of nosocomial infections, the
distribution of nosocomial infection sites, the use of antibiotic and the
situation of detected nosocomial infection pathogens in the Inner Mongolia
Autonomous Region of China from 2012 to 2014, to grasp the current conditions of
regional nosocomial infections in timely, for the development of infection
prevention and control measures to provide a basis for effective hospital.
METHODS: A survey of the prevalence of nosocomial infections was conducted in
target hospitals using the combination of a bedside survey and medical record
review. RESULTS: In total, 101,907 inpatients were surveyed from 2012 to 2014.
There were 1,997 cases of nosocomial infections, accounting for an average
prevalence of 1.96%. The infection site was mainly the lower respiratory tract.
Higher prevalence of nosocomial infections occurred in the comprehensive
intensive care unit (ICU), Neurosurgery Department, and Hematology Department.
The average rate of antibiotic use was 33.72%, and the average submission rate
for bacterial cultures for patients who received therapeutic treatment with
antibiotics was 28.26%. The most common pathogens associated with nosocomial
infections were Gram-negative (G(-)) bacteria, and frequently detected bacterial
pathogens included Escherichia coli, Pseudomonas aeruginosa, Klebsiella
pneumoniae, Acinetobacter baumannii, and Staphylococcus aureus. CONCLUSIONS: The
survey of the prevalence of nosocomial infections helped to identify problems in
the control process of nosocomial infections and to develop targeted measures for
the prevention and control of these infections accordingly.
PMID- 26543615
TI - Chronic Klebsiella pneumonia: a rare manifestation of Klebsiella pneumonia.
AB - K. pneumoniae can present as two forms of community-acquired pneumonia, acute and
chronic. Although acute pneumonia may turn into necrotizing pneumonia, which
results in a prolonged clinical course, it often has a rapidly progressive
clinical course. In contrast, chronic Klebsiella pneumonia runs a protracted
indolent course that mimics other chronic pulmonary infections and malignancies.
Herein, we present two cases of chronic Klebsiella pneumonia. The diagnosis was
made by microorganism identification, as well as absence of other potential
causes. Clinical and radiographic findings improved after a prolonged course of
antibiotic therapy.
PMID- 26543616
TI - A rare long-term survival of the life-threatening trio: silent myocardial
infarction complicated by ventricular septal rupture, type 2 diabetes mellitus
and chronic bronchitis.
AB - Silent myocardial infarction followed by ventricular septal rupture (VSR) is a
rare phenomenon. In the absence of a timely diagnosis and surgical correction,
the short term mortality of such patients is greater than 90%. We present one
such unique case of a patient with an asymptomatic myocardial infarction
complicated by VSR, type 2 diabetes mellitus and chronic bronchitis.
Unfortunately, this possibly life-threatening condition had been misdiagnosed for
more than one month after initial medical contact. Lack of typical symptoms of
chest pain and chronic bronchitis is primarily responsible for this long-time
misdiagnosis. We want to emphasize the importance of systematic diagnostic work
up, high vigilance for possibility of VSR complicating myocardial infarction in
aged patients with diabetes and chronic bronchitis, which may mislead doctors'
judgments and put patients at high risk.
PMID- 26543617
TI - Minimally invasive is the future of left ventricular assist device implantation.
AB - There have been many factors that have allowed for progressive improvement in
outcomes and lower complication rates. These include the improvement in left
ventricular assist device (LVAD) technologies, combined with better understanding
of patient management, all these. Nowadays the numbers of LVAD implantations
exceed the number of annual heart transplants worldwide. Minimally invasive
procedures are shown to improve the surgical outcome in both LVAD insertion and
replacement. These minimally invasive techniques can be grouped grossly into
shifting from on-pump to off-pump implantation, alternative access for
implantation other than sternotomy, and a combination of both, which should be
the ultimate aim of minimally invasive LVAD implantation. Here we describe the
alternative techniques and configurations of minimally invasive and sites of
implantation.
PMID- 26543618
TI - Convex probe endobronchial ultrasound: applications beyond conventional
indications.
AB - Endobronchial ultrasound-guided transbronchial needle aspiration (EBUS-TBNA) is
maturing and gaining acceptance by more and more clinicians for lymph node
staging of lung cancer and diagnosis of mediastinal and hilar masses or lymph
node enlargement by convex probe endobronchial ultrasound (CP-EBUS). The
application of CP-EBUS, however, is not limited to conventional indications.
Diagnostically, elastography is a new technology for the differentiation of
benign and malignant lymph nodes before aspiration. CP-EBUS can also be used for
pulmonary vascular diseases, such as pulmonary embolism (PE) and non-thrombotic
endovascular lesions (NELs). Therapeutically, CP-EBUS can be used for cyst
drainage and drug injections. CP-EBUS is not limited to observation and
aspiration of mediastinal masses and lymph nodes, but is also suitable for
exploration of other tissues external to the central airway, which necessitates
unprecedented skills for the bronchoscopist.
PMID- 26543619
TI - Clinical manifestations of sleep apnea.
AB - Obstructive sleep apnea (OSA) may manifest in a number of ways from subtle
intrusion into daily life to profound sleepiness, snoring, witnessed apneas and
other classic symptoms. Although there is increasing evidence suggesting OSA can
adversely affect health in a variety of ways, this disorder remains
underdiagnosed. The most well-escribed health consequences of OSA relate to the
cardiovascular system. Hypertension and arrhythmias have a strong association
with OSA, and evidence suggests that treatment of OSA in patients with refractory
hypertension and in patients planning cardioversion for atrial fibrillation may
be of particularly importance. Significant associations between heart failure and
OSA as well as complex sleep apnea have also been well-described. Cerebrovascular
insult, impaired neurocognition, and poorly controlled mood disorder are also
associated with in OSA. Therapy for OSA may ameliorate atherosclerotic
progression and improve outcomes post-cerebrovascular accident (CVA). OSA should
be considered in patients complaining of poor concentration at work, actual or
near-miss motor vehicle accidents, and patients with severe sleepiness as a
component of their co-morbid mood disorders. The metabolic impact of OSA has also
been studied, particularly in relation to glucose homeostasis. Also of interest
is the potential impact OSA has on lipid metabolism. The adverse effect untreated
OSA has on glucose tolerance and lipid levels has led to the suggestion that OSA
is yet another constituent of the metabolic syndrome. Some of these metabolic
derangements may be related to the adverse effects untreated OSA has on hepatic
health. The cardiovascular, neurocognitive, and metabolic manifestations of OSA
can have a significant impact on patient health and quality of life. In many
instances, evidence exists that therapy not only improves outcomes in general,
but also modifies the severity of co-morbid disease. To mitigate the long-term
sequela of this disease, providers should be aware of the subtle manifestations
of OSA and order appropriate testing as necessary.
PMID- 26543622
TI - Editorial comment on: "Major morbidity after video-assisted thoracic surgery lung
resections: a comparison between the European Society of Thoracic Surgeons
definition and the Thoracic Morbidity and Mortality system" by Sandri A, et al.
PMID- 26543621
TI - Growth of pure ground-glass lung nodule detected at computed tomography.
AB - The natural history of pure ground-glass nodules (GGNs) of the lung has been
gradually revealed. Approximately 10-25% of pure GGNs increases in size or grow
the solid component, while others remain unchanged for years. Further
investigations including the relationship between the successive change on
computed tomography (CT) and the molecular change may be necessary to determine
the appropriate management strategy of pure GGNs.
PMID- 26543620
TI - Ultrasound techniques in the evaluation of the mediastinum, part I: endoscopic
ultrasound (EUS), endobronchial ultrasound (EBUS) and transcutaneous mediastinal
ultrasound (TMUS), introduction into ultrasound techniques.
AB - Ultrasound imaging has gained importance in pulmonary medicine over the last
decades including conventional transcutaneous ultrasound (TUS), endoscopic
ultrasound (EUS), and endobronchial ultrasound (EBUS). Mediastinal lymph node
staging affects the management of patients with both operable and inoperable lung
cancer (e.g., surgery vs. combined chemoradiation therapy). Tissue sampling is
often indicated for accurate nodal staging. Recent international lung cancer
staging guidelines clearly state that endosonography (EUS and EBUS) should be the
initial tissue sampling test over surgical staging. Mediastinal nodes can be
sampled from the airways [EBUS combined with transbronchial needle aspiration
(EBUS-TBNA)] or the esophagus [EUS fine needle aspiration (EUS-FNA)]. EBUS and
EUS have a complementary diagnostic yield and in combination virtually all
mediastinal lymph nodes can be biopsied. Additionally endosonography has an
excellent yield in assessing granulomas in patients suspected of sarcoidosis. The
aim of this review, in two integrative parts, is to discuss the current role and
future perspectives of all ultrasound techniques available for the evaluation of
mediastinal lymphadenopathy and mediastinal staging of lung cancer. A specific
emphasis will be on learning mediastinal endosonography. Part I is dealing with
an introduction into ultrasound techniques, mediastinal lymph node anatomy and
diagnostic reach of ultrasound techniques and part II with the clinical work up
of neoplastic and inflammatory mediastinal lymphadenopathy using ultrasound
techniques and how to learn mediastinal endosonography.
PMID- 26543623
TI - Wave mice: a new tool in the quest to characterize aortic valvular disease
etiologies.
PMID- 26543624
TI - Editorial on the article entitled "Surgical ablation of atrial fibrillation
during mitral-valve surgery".
PMID- 26543625
TI - Lung cancer screening using low dose CT: screening population and positive
results definition.
PMID- 26543626
TI - Where are we on (preventing) pneumothorax after (cone-beam) computed tomography
guided lung biopsy?
PMID- 26543627
TI - The enigmatic esophageal anastomosis.
PMID- 26543628
TI - Etomidate: to use or not to use for endotracheal intubation in the critically
ill?
AB - Endotracheal intubation is frequently performed in the intensive care unit (ICU).
It can be life-saving for many patients who present with acute respiratory
distress. However, it is equally associated with complications that may lead to
unwanted effects in this patient population. According to the literature, the
rate of complications associated with endotracheal intubation is much higher in
an environment such as the ICU as compared to other, more controlled environments
(i.e., operating room). Thus, the conduct of performing such a procedure needs to
be accomplished with the utmost care. To facilitate establishment of the
breathing tube, sedation is routinely administered. Given the tenuous hemodynamic
status of the critically ill, etomidate was frequently chosen to blunt further
decreases in blood pressure and/or heart rate. Recently however, reports have
demonstrated a possible association with the use of etomidate for endotracheal
intubation and mortality in the critically ill. In addition, this association
seems to be predominantly in patients diagnosed with sepsis. As a result, some
have advocated against the use of this medication in septic patients. Due to the
negative associations identified with etomidate and mortality, several
investigators have evaluated potential alternatives to this solution (e.g.,
ketamine and ketamine-propofol admixture). These studies have shown promise.
However, despite the evidence against using etomidate for endotracheal
intubation, other studies have demonstrated no such association. This leaves the
critical care clinician with uncertainty regarding the best sedative to
administer in this patient population. The following editorial discusses current
evidence regarding etomidate use for endotracheal intubation and mortality. In
particular, we highlight a recent article with the largest population to date
that found no association between etomidate and mortality in the critically ill
and illustrate important findings that the reader should be aware of regarding
this article.
PMID- 26543629
TI - Is the rapid needle-out patient-rollover approach after CT-guided lung biopsy
really effective for pneumothorax prevention?
PMID- 26543630
TI - The multidrug-resistant tuberculosis threat: old problems and new solutions.
PMID- 26543631
TI - Uniportal video assisted thoracic surgery: summary of experience, mini-review and
perspectives.
AB - The uniportal-video assisted thoracic surgery (VATS) technique comprises
operations which can be performed with skin incisions ranging from 2 to 8 cm and
the manifest result of the introduction of the uniportal lobectomy had made
possible to increase rapidly the number of published papers on this subject. Many
of the large ensuing literature report incomplete historical information on
uniportal VATS, and doubts exist about the indication of uniportal VATS for some
thoracic oncologic pathologies. Known limitations have been overcome. On the
other hand, the modern thoracic surgical team includes one surgeon, one assistant
and a scrub nurse, and it is clear that the new generation of thoracic surgeons
need to use the "less" used hand. The new technology which permitted the
introduction of the uniportal VATS could influence the future need of thoracic
surgeons worldwide.
PMID- 26543632
TI - Indicator or continuous variable?
PMID- 26543633
TI - Professor Hyun Koo Kim: a great master of single port video-assisted
thoracoscopic surgery.
PMID- 26543634
TI - The world's first radical resection for lung cancer using glasses-free 3D
thoracoscope was completed in Guangzhou.
PMID- 26543635
TI - The highlights in the 23(rd) Annual Meeting of the Asian Society for
Cardiovascular and the Thoracic Surgery.
PMID- 26543636
TI - The 6(th) Zhongshan minimal invasive thoracic surgery and endoscope symposium was
successfully held.
PMID- 26543637
TI - Erratum to video-assisted thoracoscopic surgery right upper posterior
segmentectomywith systemic mediastinal lymph node dissection.
AB - [This corrects the article on p. 1819 in vol. 6, PMID: 25589980.].
PMID- 26543638
TI - Erratum to accidental invisible intrathoracic disseminated pT4-M1a: a distinct
lung cancer with favorable prognosis.
AB - [This corrects the article on p. 1205 in vol. 7.].
PMID- 26543639
TI - The Challenge of Parenting Girls in Neighborhoods of Different Perceived Quality.
AB - It is well-known that disadvantaged neighborhoods, as officially identified
through census data, harbor higher numbers of delinquent individuals than
advantaged neighborhoods. What is much less known is whether parents' perception
of the neighborhood problems predicts low parental engagement with their girls
and, ultimately, how this is related to girls' delinquency, including violence.
This paper elucidates these issues by examining data from the Pittsburgh Girls
Study, including parent-report of neighborhood problems and level of parental
engagement and official records and girl-reported delinquency at ages 15, 16, and
17. Results showed higher stability over time for neighborhood problems and
parental engagement than girls' delinquency. Parents' perception of their
neighborhood affected the extent to which parents engaged in their girls' lives,
but low parental engagement did not predict girls being charged for offending at
age 15, 16 or 17. These results were largely replicated for girls' self-reported
delinquency with the exception that low parental engagement at age 16 was
predictive of the frequency of girls' self-reported delinquency at age 17 as
well. The results, because of their implications for screening and early
interventions, are relevant to policy makers as well as practitioners.
PMID- 26543640
TI - Correlation of Prehypertension with Left Ventricular Mass Assessed by Cardiac
Magnetic Resonance Imaging.
AB - Introduction. The purpose of this observational cross-sectional study was to
assess left ventricular mass (LVM) in prehypertensive individuals in comparison
to normotensives and to determine if central blood pressure (BP) correlates
better with LVM index (LVMI) than brachial BP. Methods and Result. Brachial and
central BP measurements were completed at first visit and at 4 weeks in 65
healthy volunteers who were at least 40 years old and not on medication. Subjects
were divided into two groups of normotensives and prehypertensives based on JNC-7
criteria and LVM was obtained using cardiac magnetic resonance imaging.
Prehypertensives had significantly higher LVMI compared to normotensives (P <
0.01). Brachial and central BP also both positively correlate with LVMI (r =
0.460, P < 0.01; r = 0.318, P = 0.012, resp.) in both groups and neither method
was superior to the other. After multivariate regression analysis and adjusting
for cardiovascular risk factors, prehypertension remained an independent
determinant of LVM. Conclusion. Prehypertension is associated with cardiovascular
target organ damage, and central BP was not superior to brachial BP or vice versa
for association with LVMI.
PMID- 26543641
TI - Comment on "Modulation of Metabolic Detoxification Pathways Using Foods and Food
Derived Components: A Scientific Review with Clinical Application".
PMID- 26543642
TI - Optimal Use of Plant Stanol Ester in the Management of Hypercholesterolemia.
AB - Plant stanol ester is a natural compound which is used as a cholesterol-lowering
ingredient in functional foods and food supplements. The safety and efficacy of
plant stanol ester have been confirmed in more than 70 published clinical studies
and the ingredient is a well-established and widely recommended dietary measure
to reduce serum cholesterol. Daily intake of 2 g plant stanols as plant stanol
ester lowers LDL-cholesterol by 10%, on average. In Europe, foods with added
plant stanol ester have been on the market for 20 years, and today such products
are also available in many Asian and American countries. Despite the well
documented efficacy, the full potential in cholesterol reduction may not be
reached if plant stanol ester is not used according to recommendations. This
review therefore concentrates on the optimal use of plant stanol ester as part of
dietary management of hypercholesterolemia. For optimal cholesterol lowering
aiming at a lower risk of cardiovascular disease, plant stanol ester should be
used daily, in sufficient amounts, with a meal and in combination with other
recommended dietary changes.
PMID- 26543643
TI - Nurses' and Nursing Students' Knowledge and Attitudes regarding Pediatric Pain.
AB - Nursing staff spend more time with patients with pain than any other health staff
member. For this reason, the nurse must possess the basic knowledge to identify
the presence of pain in patients, to measure its intensity and make the steps
necessary for treatment. Therefore, a prospective, descriptive, analytical, and
cross-sectional study was conducted to investigate the knowledge and attitudes
regarding pediatric pain in two different populations. The questionnaire,
Pediatric Nurses Knowledge and Attitudes Survey Regarding Pain (PKNAS), was
applied to 111 hospital pediatric nurses and 300 university nursing students. The
final scores for pediatric nurses and nursing students were 40.1 +/- 7.9 and 40.3
+/- 7.5, respectively. None of the sociodemographic variables predicted the
scores obtained by the participants (P > 0.05). There was a high correlation
between the PKNAS scores of pediatric nurses and nursing students (r = 0.86, P <
0.001). It was observed that the degree of knowledge about pain and its treatment
was very low in both groups. Due to this deficiency, pain in children remains
inadequately managed, which leads to suffering in this population. It is
necessary to increase the continued training in this subject in both areas.
PMID- 26543644
TI - Clinical Use of Anti-Xa Monitoring in Malignancy-Associated Thrombosis.
AB - Introduction. Low molecular weight heparin (LMWH) is preferred for malignancy
associated venous thromboembolism (VTE). Many providers monitor LMWH with anti-Xa
levels, despite little validation on correspondence with patient outcome.
Methods. This is a retrospective, single institution study of anti-Xa measurement
in malignancy-associated thrombosis. Cases were identified using the Electronic
Data Warehouse, and inclusion was confirmed by two independent reviewers.
Malignancy type, thrombotic history, measurement rationale and accuracy, clinical
context, and management changes were evaluated. Results. 167 cases met inclusion
criteria. There was no clear rationale for anti-Xa testing in 56%. Impaired renal
function (10%), documented or suspected recurrent thrombosis despite
anticoagulation (9%), and bleeding (6%) were the most common reasons for testing.
Incorrect measurement occurred in 44%. Renal impairment was not a significant
impetus for testing, as 70% had a GFR > 60. BMI > 30 was present in 40%, and 28%
had a BMI < 25. Clinical impact was low, as only 11% of patients had management
changes. Conclusions. Provider education in accuracy and rationale for anti-Xa
testing is needed. Our study illustrates uncertainty of interpretation and
clinical impact of routine anti-Xa testing, as management was affected in few
patients. It is not yet clear in which clinical context providers should send
anti-Xa levels.
PMID- 26543645
TI - Intravenous Dexmedetomidine Provides Superior Patient Comfort and Tolerance
Compared to Intravenous Midazolam in Patients Undergoing Flexible Bronchoscopy.
AB - Dexmedetomidine, an alpha2 agonist, has demonstrated its effectiveness as a
sedative during awake intubation, but its utility in fiberoptic bronchoscopy
(FOB) is not clear. We evaluated the effects of midazolam and dexmedetomidine on
patient's response to FOB. The patients received either midazolam, 0.02 mg/kg
(group M, n=27), or dexmedetomidine, 1 ug/kg (group D, n=27). A composite score
of five different parameters and a numerical rating scale (NRS) for pain
intensity and distress were used to assess patient response during FOB. Patients
rated the quality of sedation and level of discomfort 24 h after the procedure.
Ease of bronchoscopy, rescue medication requirement, and haemodynamic variables
were noted. Ideal or acceptable composite score was observed in 15 and 26
patients, respectively, in group M (14.48+/-3.65) and group D (9.41+/-3.13),
p<0.001. NRS showed that 11 patients in group M had severe pain and discomfort as
compared to one patient with severe pain and two with severe discomfort in group
D during the procedure, p<0.001. Rescue midazolam requirement was significantly
higher in group M (p=0.023). We conclude that during FOB, under topical airway
anaesthesia, IV dexmedetomidine (1 ug/kg) provides superior patient comfort and
tolerance as compared to IV midazolam (0.02 mg/kg).
PMID- 26543646
TI - The Effects of Simvastatin on Proteinuria and Renal Function in Patients with
Chronic Kidney Disease.
AB - Current data suggests that statins might have beneficial effects on renal
outcomes. Beneficial effects of statin treatment on renal progression in advanced
chronic kidney disease (CKD) are obviously controversial. In a retrospective,
controlled study, the authors have evaluated the effects of 53-week treatment
with simvastatin, versus no treatment on proteinuria and renal function among 51
patients with CKD stages III-IV. By the end of the 53-week treatment, urine
protein excretion decreased from 0.96 (IQR 0.54, 2.9) to 0.48 (IQR 0.18, 0.79)
g/g creatinine (P < 0.001) in patients treated with simvastatin in addition to
ACEI and ARBs, while no change was observed among the untreated patients.
Moreover, a significantly greater decrease in urine protein excretion was
observed in the simvastatin group as compared with the untreated group. The mean
changes of serum creatinine and eGFR did not significantly differ in both groups.
A significantly greater decrease in total cholesterol and LDL-cholesterol was
found in the simvastatin group than in the untreated group. In summary, apart
from lipid lowering among CKD patients, ingesting simvastatin was associated with
a decrease in proteinuria. These statin effects may become important for
supportive therapy in renal damage in the future.
PMID- 26543647
TI - Exploring Innovative Solutions for Quality of Life and Care of Bed-Ridden Nursing
Home Residents through Codesign Sessions.
AB - Bed-ridden nursing home residents are in need of environments which are homelike
and facilitate the provision of care. Design guidance for this group of older
people is limited. This study concerned the exploration and generation of
innovative environmental enrichment scenarios for bed-ridden residents. This
exploration was conducted through a combination of participatory action research
with user-centred design involving 56 professional stakeholders in interactive
work sessions. This study identified numerous design solutions, both concepts and
products that are available on the marketplace and that on a higher level relate
to improvements in resident autonomy and the supply of technological items and
architectural features. The methodology chosen can be used to explore the
creative potential of stakeholders from the domain of healthcare in product
innovation.
PMID- 26543649
TI - Psychosocial Predictors for Cancer Prevention Behaviors in Workplace Using
Protection Motivation Theory.
AB - Backgrounds. The aim of this study was to describe the preventive behaviors of
industrial workers and factors influencing occupational cancer prevention
behaviors using protection motivation theory. Methods. A self-administered
questionnaire was completed by 161 petrochemical workers in Iran in 2014 which
consisted of three sections: background information, protection motivation theory
measures, and occupational cancers preventive behaviors. Results. A statistically
significant positive correlation was found between PM and self-efficacy, response
efficacy, and the cancer preventive behaviors. Meanwhile, statistically
significant negative correlations were found between PM, cost, and reward.
Conclusions. Among available PMT constructs, only self-efficacy and cost were
significant predictors of preventive behaviors. Protection motivation model based
health promotion interventions with focus on self-efficacy and cost would be
desirable in the case of occupational cancers prevention.
PMID- 26543648
TI - Comparison of the Explantation Rate of Poly Implant Prothese, Allergan, and
Perouse Silicone Breast Implants within the First Four Years after Reconstructive
Surgery before the Poly Implant Prothese Alert by the French Regulatory
Authority.
AB - Background. In March 2010, ANSM (Agence Nationale de Securite du Medicament), the
French Medical Regulatory Authority, withdrew Poly Implant Prothese (PIP) breast
implants from the market due to the use of non-medical-grade silicone gel. The
aim of this study was to compare the removal rate (and reasons thereof) of breast
implants produced by different manufacturers before the ANSM alert. Materials and
Methods. From October 2006 to January 2010, 652 women received 944 implants after
breast cancer surgery at the Gustave Roussy Comprehensive Cancer Center, Paris
(France). The complications and removal rates of the different implant brands
used (PIP, Allergan, and Perouse) were evaluated and compared. Results. PIP
implants represented 50.6% of the used implants, Allergan 33.4%, and Perouse 16%.
The main reasons for implant removal were patient dissatisfaction due to
aesthetic problems (43.2%), infection (22.2%), and capsular contracture (13.6%).
Two years after implantation, 82% of Perouse implants, 79% of PIP, and 79% of
Allergan were still in situ. There was no difference in removal rate among
implant brands. Conclusion. Before the ANSM alert concerning the higher rupture
rate of PIP breast implants, our implant removal rate did not predict PIP implant
failure related to the use of nonapproved silicone gel.
PMID- 26543650
TI - A Rare Case of Complete Stent Fracture, Coronary Arterial Transection, and
Pseudoaneurysm Formation Induced by Repeated Stenting.
AB - This report describes a rare asymptomatic case of complete stent fracture,
coronary arterial transection, and pseudoaneurysm formation in response to
repeated stenting. The proximal and distal ends of transected coronary artery
were closed, and distal bypass was performed. Coronary arterial transection can
occur in patients with repeated stenting as a long-term adverse event.
PMID- 26543651
TI - Comment on "Takotsubo Cardiomyopathy: A New Perspective in Asthma".
PMID- 26543652
TI - Acetabular Liner Dissociation following Total Hip Arthroplasty: A Rare but
Serious Complication That May Be Easily Misinterpreted in the Emergency
Department.
AB - Acetabular liner dissociation is a rare complication of Total Hip Arthroplasty
(THA) which requires urgent revision surgery. A case is presented in which the
correct diagnosis was not appreciated on two separate Emergency Department
attendances. The typical symptoms, signs, and radiological features are outlined
and the importance of considering a rare complication following a commonly
performed procedure is highlighted.
PMID- 26543653
TI - Progressive Lower Extremity Weakness and Axonal Sensorimotor Polyneuropathy from
a Mutation in KIF5A (c.611G>A;p.Arg204Gln).
AB - Introduction. Hereditary Spastic Paraplegia (HSP) is a rare hereditary disorder
that primarily involves progressive spasticity of the legs (hamstrings,
quadriceps, and calves). Methods. A 27-year-old gentleman was a fast runner and
able to play soccer until age 9 when he developed slowly progressive weakness. He
was wheelchair-bound by age 25. He was evaluated by laboratory testing, imaging,
electrodiagnostics, and molecular genetics. Results. Electrodiagnostic testing
revealed an axonal sensorimotor polyneuropathy. Genetic testing for HSP in 2003
was negative; repeat testing in 2013 revealed a mutation in KIF5A
(c.611G>A;p.Arg204Gln). Conclusions. A recent advance in neurogenetics has
allowed for more genes and mutations to be identified; over 76 different genetic
loci for HSP and 59 gene products are currently known. Even though our patient
had a sensorimotor polyneuropathy on electrodiagnostic testing and a 2003 HSP
genetic panel that was negative, a repeat HSP genetic panel was performed in 2013
due to the advancement in neurogenetics. This revealed a mutation in KIF5A.
PMID- 26543654
TI - Rivaroxaban-Induced Nontraumatic Spinal Subdural Hematoma: An Uncommon Yet Life
Threatening Complication.
AB - In the last decade, the desire for safer oral anticoagulants (OACs) led to the
emergence of newer drugs. Available clinical trials demonstrated a lower risk of
OACs-associated life-threatening bleeding events, including intracranial
hemorrhage, compared to warfarin. Nontraumatic spinal hematoma is an uncommon yet
life-threatening neurosurgical emergency that can be associated with the use of
these agents. Rivaroxaban, one of the newly approved OACs, is a direct factor Xa
inhibitor. To the best of our knowledge, to date, only two published cases report
the incidence of rivaroxaban-induced nontraumatic spinal subdural hematoma
(SSDH). Our case is the third one described and the first one to involve the
cervicothoracic spine.
PMID- 26543655
TI - Peripapillary Intrachoroidal Cavitation in Myopia Evaluated with Multimodal
Imaging Comprising "En-Face" Technique.
AB - Objectives. To demonstrate the usefulness of "en-face" Spectral Domain Optical
Coherence Tomography (SD-OCT) combined with Fluorescein Angiography (FA) in the
investigation of peripapillary intrachoroidal cavitation. Materials and Methods.
A 72-year-old man followed for primary open-angle glaucoma (POAG) for 4 years was
referred for an asymptomatic "peripapillary lesion." A full ophthalmological
examination and conventional imaging of the retina were done. FA, Indocyanine
Green Angiography (ICG-A), and SD-OCT using the "en-face" technique were also
performed. Results. Best-corrected visual acuity (BCVA) was 20/25 both eyes. Slit
lamp examination revealed no abnormalities of anterior segment. Intraocular
pressure (IOP) was normal. Fundus examination showed a triangular yellow-orange
thickening at the inferior border of both optic nerves. FA showed early
hypofluorescence of the lesion and progressive staining without any dye pooling.
SD-OCT with "en-face" technique showed an intrachoroidal hyporeflective space
resembling a cavitation below the retinal pigment epithelium (RPE). Conclusions.
"En-face" SD-OCT and FA are valuable techniques for the diagnosis of
peripapillary intrachoroidal cavitation associated with myopia.
Pathophysiological insights regarding SD-OCT findings and angiography behavior
are offered.
PMID- 26543657
TI - Patellofemoral Joint Replacement and Nickel Allergy: An Unusual Presentation.
AB - Metal allergy is an unusual complication of joint replacement that may cause
aseptic loosening and necessitate joint revision surgery. We present the case of
nickel allergy causing aseptic loosening following patellofemoral joint
replacement (PFJR) in a 54-year-old male. Joint revision surgery to a nickel-free
total knee replacement was performed with good results. Our literature review
shows that there is no evidence to guide the management of metal allergy in PFJR.
The evidence from studies of total knee replacement is limited to retrospective
case series and case reports and gives contradictory recommendations. The optimal
management strategy for metal allergy in PFJR is not clear. We recommend allergy
testing in patients with history of metal allergy and use of an allergen-free
implant in those with positive tests. As there is no gold standard test to
establish metal allergy, the choice of test should be guided by availability and
recommendation from the local unit of dermatology and allergy testing. We
recommend investigation for metal allergy in patients with implant loosening
where other causes have been excluded.
PMID- 26543656
TI - Occipital Condyle Fracture with Accompanying Meningeal Spinal Cysts as a result
of Cervical Spine Injury in 15-Year-Old Girl.
AB - The occipital condyle fracture is rare injury of the craniocervical junction.
Meningeal spinal cysts are rare tumors of the spinal cord. Depending on location,
these lesions may be classified as extradural and subdural, but extradural spinal
cysts are more common. We present the case of a 15-year-old girl who suffered
from avulsion occipital condyle fracture treated with use of "halo-vest" system.
We established that clinical effect after completed treatment is very good.
Control MRI evaluation was performed 12 months after removal of "halo-vest"
traction, and clinically silent extradural meningeal spinal cysts were detected
at the ventral side of the spinal cord in the cervical segment of the spine. Due
to clinically silent course of the disease, we decided to use the conservative
treatment. The patient remains under control of our department.
PMID- 26543658
TI - A Bizarre, Unexplained, and Progressive External Rotation of the Shoulder as a
Presentation of a Metastatic Deposit in the Rotator Cuff.
AB - We describe the first reported case of a tumour deposit within the rotator cuff
presenting as a bizarre, progressive, and fixed external rotation deformity of
the shoulder. It is also the first reported case to our knowledge of an
oesophageal primary metastasising to the rotator cuff.
PMID- 26543659
TI - Gastrojejunal Anastomosis Perforation after Gastric Bypass on a Patient with
Underlying Pancreatic Cancer: A Case Report and Review of the Literature.
AB - Introduction. We describe a case of gastrojejunal anastomosis perforation after
gastric bypass on a patient with underlying pancreatic cancer. Case Description.
A 54-year-old female with past surgical history of gastric bypass for morbid
obesity and recent diagnosis of unresectable pancreatic cancer presents with
abdominal pain, peritonitis, and sepsis. Computerized axial tomography scan shows
large amount of intraperitoneal free air. The gastric remnant is markedly
distended and a large pancreatic head mass is seen. Intraoperative findings were
consistent with a perforated ulcer located at the gastrojejunal anastomosis and a
distended gastric remnant caused by a pancreatic mass invading and obstructing
the second portion of the duodenum. The gastrojejunal perforation was repaired
using an omental patch. A gastrostomy for decompression of the remnant was also
performed. The patient had a satisfactory postoperative period and was discharged
on day 7. Discussion. Perforation of the gastrojejunal anastomosis after Roux-en
Y gastric bypass is an unusual complication. There is no correlation between the
perforation and the presence of pancreatic cancer. They represent two different
conditions that coexisted. The presence of a gastrojejunal perforation made the
surgeon aware of the advanced stage of the pancreatic cancer.
PMID- 26543660
TI - Splenosis: A Rare Etiology for Bowel Obstruction-A Case Report and Review of the
Literature.
AB - Splenosis is a historically uncommon etiology for bowel obstruction.
Autotransplanted splenic tissues following surgery or trauma of the spleen are
known to occur in multiple locations of the abdominal cavity and pelvis. The
small bowel mesentery is a blood vessel-rich environment for growth of splenic
fragments. We present a case of a 36-year-old male patient who sustained a
gunshot wound to his left abdomen requiring a splenectomy and bowel resection
fifteen years prior to his presentation with small bowel obstruction requiring
exploration, adhesiolysis, and resection of the mesenteric splenic deposit. Our
aim in this report is to provide awareness of splenosis as an etiology for bowel
obstruction, especially with increased incidence and survival following abdominal
traumas requiring splenectomies. We also stress on the importance of history and
physical examination to include splenosis on the list of differential diagnoses
for bowel obstruction.
PMID- 26543661
TI - The Localization and Characterization of Ischemic Scars in relation to the
Infarct Related Coronary Artery Assessed by Cardiac Magnetic Resonance and a
Novel Automatic Postprocessing Method.
AB - Aims. The correspondence between the localization and morphology of ischemic
scars and the infarct related artery (IRA) by use of cardiac magnetic resonance
imaging and a novel automatic postprocessing method. Methods and Results. Thirty
four patients with one-year-old single IRA myocardial infarction were examined.
Endocardium, epicardium, and the point where right and left ventricles are
coinciding were manually marked. All measurements were automatically assessed by
the method. The following are results with manual assessments of scar properties
in parenthesis: mean scar size (FWHM criterion): 7.8 +/- 5.5 as %LV (17.4 +/-
8.6%); mean endocardial extent of infarction: 44 +/- 26 degrees (124 +/- 47
degrees ); mean endocardial extent of infarction as %LV circumference: 9.7 +/-
7.0% (34.6 +/- 13.0%); and mean transmurality: 52 +/- 20% of LV wall thickness
(77 +/- 23%). Scars located in segments 1, 2, 7, 8, 13, and 14 by use of the
automatic method were 96-100% specific for LAD occlusion. The highest
specificities of RCA and LCX occlusions were segment 4 with 93% and segment 6
with 64%, respectively. The scar localization assessed automatically or manually
was without major differences. Conclusion. The automatic method is applicable and
able to assess localization, size, transmurality, and endocardial extent of
ischemic scars.
PMID- 26543662
TI - Population-Based Seroprevalence of Malaria in Hormozgan Province, Southeastern
Iran: A Low Transmission Area.
AB - The seroepidemiological condition of malaria in three main districts of Hormozgan
Province, a low transmission area in southeast of Iran, was investigated.
Methods. Sera samples (803) were collected from healthy volunteers from the three
main districts (Bandar Lengeh in the west, Bandar Abbas in the center, and Bandar
Jask in the east) of Hormozgan Province. A questionnaire was used to record the
sociodemographic features of the participants during sample collecting. An in
house ELISA test, using crude antigens obtained from cell culture of Plasmodium
falciparum, was adapted and used to detect anti-malaria antibodies in the sera.
Results. The overall seroprevalence of malaria was 8.7% (70 out of 803 samples).
A significant correlation was found between seropositivity and place of
residence, where the highest rate of seropositivity was seen in Bandar Lengeh
(west of the province). The highest seroprevalence of malaria (13.2%) was seen in
the age group of 11-20 years and also in low educated individuals. Correlation
between seropositivity and gender, age, and educational levels of the
participants was statistically significant (P < 0.05). Conclusion. Findings of
this study indicate that the rate of seropositivity to malaria in this area is
not high and this might be linked to the success of malaria control programs
during the last decades in the region.
PMID- 26543663
TI - Assessment of Nonverbal and Verbal Apraxia in Patients with Parkinson's Disease.
AB - Objective. To assess the presence of nonverbal and verbal apraxia in patients
with Parkinson's disease (PD) and analyze the correlation between these
conditions and patient age, education, duration of disease, and PD stage, as well
as evaluate the correlation between the two types of apraxia and the frequency
and types of verbal apraxic errors made by patients in the sample. Method. This
was an observational prevalence study. The sample comprised 45 patients with PD
seen at the Movement Disorders Clinic of the Clinical Hospital of Porto Alegre,
Brazil. Patients were evaluated using the Speech Apraxia Assessment Protocol and
PD stages were classified according to the Hoehn and Yahr scale. Results. The
rate of nonverbal apraxia and verbal apraxia in the present sample was 24.4%.
Verbal apraxia was significantly correlated with education (p <= 0.05). The most
frequent types of verbal apraxic errors were omissions (70.8%). The analysis of
manner and place of articulation showed that most errors occurred during the
production of trill (57.7%) and dentoalveolar (92%) phonemes, consecutively.
Conclusion. Patients with PD presented nonverbal and verbal apraxia and made
several verbal apraxic errors. Verbal apraxia was correlated with education
levels.
PMID- 26543664
TI - The Effect of Telemedicine on Access to Acute Stroke Care in Texas: The Story of
Age Inequalities.
AB - Background. Ischemic stroke is a time sensitive disease with the effectiveness of
treatment decreasing over time. Treatment is more likely to occur at Primary
Stroke Centers (PSC); thus rapid access to acute stroke care through stand-alone
PSCs or telemedicine (TM) is vital for all Americans. The objective of this study
is to determine if disparities exist in access to PSCs or the extended access to
acute stroke care provided by TM. Methods. Data from the US Census Bureau and the
2010 Neilson Claritas Demographic Estimation Program, American Hospital
Association annual survey, and The Joint Commission list of PSCs and survey
response data for all hospitals in the state of Texas were used. Results. Over
64% of block groups had 60-minute ground access to acute stroke care. The odds of
a block group having 60-minute access to acute stroke care decreased with age,
despite adjustment for sex, race, ethnicity, socioeconomic status, urbanization,
and total population. Conclusion. Our survey of Texas hospitals found that as the
median age of a block group increased, the odds of having access to acute stroke
care decreased.
PMID- 26543665
TI - New Sample Preparation Method for Quantification of Phenolic Compounds of Tea
(Camellia sinensis L. Kuntze): A Polyphenol Rich Plant.
AB - Chemical analysis of the Sri Lankan tea (Camellia sinensis, L.) germplasm would
immensely contribute to the success of the tea breeding programme. However, the
polyphenols, particularly catechins (flavan-3-ols), are readily prone to
oxidation in the conventional method of sample preparation. Therefore,
optimization of the present sample preparation methodology for the profiling of
metabolites is much important. Two sample preparation methodologies were
compared, fresh leaves (as in the conventional procedures) and freeze-dried
leaves (a new procedure), for quantification of major metabolites by employing
two cultivars, one is known to be high quality black tea and the other low
quality black tea. The amounts of major metabolites such as catechins, caffeine,
gallic acid, and theobromine, recorded in the new sampling procedure via freeze
dried leaves, were significantly higher than those recorded in the conventional
sample preparation procedure. Additionally new method required less amount of
leaf sample for analysis of major metabolites and facilitates storage of samples
until analysis. The freeze-dried method would be useful for high throughput
analysis of large number of samples in shorter period without chemical
deterioration starting from the point of harvest until usage. Hence, this method
is more suitable for metabolite profiling of tea as well as other phenol rich
plants.
PMID- 26543667
TI - CD8+ cytotoxic T lymphocytes in human influenza virus infection.
PMID- 26543666
TI - Novel applications of statins for bone regeneration.
AB - The use of statins for bone regeneration is a promising and growing area of
research. Statins, originally developed to treat high cholesterol, are inhibitors
of the enzyme 3-hydroxy-3-methylglutaryl, the rate-limiting enzyme of the
mevalonate pathway. Because the mevalonate pathway is responsible for the
synthesis of a wide variety of important biochemical molecules, including
cholesterol and other isoprenoids, the effects of statins are pleiotropic. In
particular, statins can greatly affect the process of bone turnover and
regeneration via effects on important cell types, including mesenchymal stem
cells, osteoblasts, endothelial cells, and osteoclasts. Statins have also been
shown to have anti-inflammatory and antimicrobial properties that may be useful
since infection can derail normal bone healing. This review will explore the
pleiotropic effects of statins, discuss the current use of statins for bone
regeneration, particularly with regard to biomaterials-based controlled delivery,
and offer perspectives on the challenges and future directions of this emerging
area of bone tissue engineering.
PMID- 26543669
TI - Study of factors determining caregiver burden among primary caregivers of
patients with intracranial tumors.
AB - BACKGROUND: Caregivers of patients with intracranial tumors handle physical,
cognitive, and behavioral impairments of patients. The purpose of this study was
to assess the magnitude of burden experienced by primary caregivers of patients
operated for intracranial tumors and evaluate factors influencing it. METHODS:
Descriptive cross-sectional design was used to assess home-care burden
experienced by primary caregivers of patients operated for intracranial tumors.
Using purposive sampling, 70 patient-caregiver pairs were enrolled. Modified
caregiver strain index (MCSI) was used to assess the caregiver burden. Mini
mental status examination (MMSE), Katz index of independence in activities of
daily living (ADL), and neuropsychiatric inventory questionnaire (NPI-Q) were
used to assess the status of patients. RESULTS: Of 70 caregivers, 45 had mild,
and 22 had moderate MCSI burden. A number of behavioral changes in NPI-Q had a
significant correlation with MCSI burden (P < 0.001), whereas MMSE and Katz-ADL
of patients did not show significant relation with caregiver burden. In NPI-Q,
irritability, agitation, anxiety, depression, and sleep disturbances had a
significant impact on MCSI. Among caregiver factors, unemployment, low per capita
income, time spent, inability to meet household needs, quitting the job, and
health problems had a significant impact on MCSI. In separate multivariate
analyses, irritability component (P = 0.004) among behavioral changes of patients
and caregivers' inability to meet household needs (P < 0.001) had a significant
association with caregiver burden independent of other factors. CONCLUSIONS:
Behavioral changes in patients (especially irritability) and financial
constraints had a significant independent impact on the burden experienced by
primary caregivers of patients operated for intracranial tumors. Identifying and
managing, these are essential for reducing caregiver burden.
PMID- 26543670
TI - A case of a brain stem abscess with a favorable outcome.
AB - BACKGROUND: A brain stem abscess is a rare and severe medical condition. Here, we
present a rare case of a brain stem abscess in a young pregnant woman, requiring
acute stereotactic intervention. CASE DESCRIPTION: A 36-year-old woman presented
with a headache, nausea, and vomiting, and computed tomography showed a space
occupying lesion in the brain stem. She became shortly after comatose, and we
decided to perform an acute stereotactic aspiration of the abscess. Soon after
surgery, her neurological condition improved dramatically. CONCLUSION: A
brainstem abscess is a life-threatening condition with a potentially good outcome
if treated adequately.
PMID- 26543668
TI - Transposable elements at the center of the crossroads between embryogenesis,
embryonic stem cells, reprogramming, and long non-coding RNAs.
AB - Transposable elements (TEs) are mobile genomic sequences of DNA capable of
autonomous and non-autonomous duplication. TEs have been highly successful, and
nearly half of the human genome now consists of various families of TEs.
Originally thought to be non-functional, these elements have been co-opted by
animal genomes to perform a variety of physiological functions ranging from TE
derived proteins acting directly in normal biological functions, to innovations
in transcription factor logic and influence on epigenetic control of gene
expression. During embryonic development, when the genome is epigenetically
reprogrammed and DNA-demethylated, TEs are released from repression and show
embryonic stage-specific expression, and in human and mouse embryos, intact TE
derived endogenous viral particles can even be detected. A similar process occurs
during the reprogramming of somatic cells to pluripotent cells: When the somatic
DNA is demethylated, TEs are released from repression. In embryonic stem cells
(ESCs), where DNA is hypomethylated, an elaborate system of epigenetic control is
employed to suppress TEs, a system that often overlaps with normal epigenetic
control of ESC gene expression. Finally, many long non-coding RNAs (lncRNAs)
involved in normal ESC function and those assisting or impairing reprogramming
contain multiple TEs in their RNA. These TEs may act as regulatory units to
recruit RNA-binding proteins and epigenetic modifiers. This review covers how TEs
are interlinked with the epigenetic machinery and lncRNAs, and how these links
influence each other to modulate aspects of ESCs, embryogenesis, and somatic cell
reprogramming.
PMID- 26543671
TI - Long-term clinical and radiological follow-up after laminectomy for cervical
spondylotic myelopathy.
AB - BACKGROUND: The role of laminectomy in the surgical treatment of cervical
spondylotic myelopathy (CSM) is established even though postoperative cervical
sagittal balance changes and a risk for long-term instability have been
described. The aim of the present study is to investigate its clinical efficacy
and the radiological outcome in the long-term. METHODS: The authors
retrospectively reviewed consecutive cases of patients with CSM, who underwent
cervical laminectomy between 1995 and 2010 at the Hospital Sao Joao (n = 106).
Clinical files were consulted, and the patients reassessed in order to collect
information on complaints, previous neurological deficits, surgery and its
complications. Subjective and objective clinical evaluation (by three myelopathy
scores) and imaging studies were undertaken in order to assess the long-term
cervical sagittal curvature and presence of instability. RESULTS: After applying
exclusion criteria, 57 patients were able to complete the follow-up. A favorable
statistically significant difference was obtained when comparing clinical scores.
Ninety-one percent of patients were satisfied with the outcome of the surgery.
Only 1 patient developed kyphosis according to Ishihara index and none according
to the method of Matsumoto. Four patients developed subclinical cervical
instability. No clinical-imaging correlation was found. CONCLUSIONS: If patients
are properly selected cervical laminectomy without additional instrumentation is
effective in offering a clinical improvement to patients with CSM with a low
incidence of clinically significant radiological deterioration.
PMID- 26543672
TI - Civility in scientific publishing: The glyphosate paper.
AB - In recent years, we have witnessed a decline in civility in the public arena when
various socially sensitive issues are being presented. Those of us engaged in the
publishing of scientific papers and in our comments on these papers, need to be
cognizant of the social graces, courteous demeanor, and chivalry. Debates are
essential to our learning and in being able to ferret out the essentials of
various scientific issues that are of value. Because of the amount of time and
effort connected with analyzing the complex problems and the years invested in
such endeavors, we often resort to the behavior, that is, contentious and at
times even quite insulting to our opponents during our defense. This is the part
of human nature but as civilized human beings, we must strive to maintain the
courtesy and a calm demeanor during such discussions and debates. I have yielded
to such temptations myself but am striving to repent of my sins. The medical and
scientific history should have taught us that in defending our ideas we learn and
sometimes come to the realization that our paradigm or hypothesis is wrong,
either in part or whole. Such debates allow us to fine tune our ideas and correct
our errors in thinking, which are easily, consciously, or subconsciously
sublimated by our enthusiasm. The glyphosate papers presented ideas that, while
well supported by the scientific studies and logical conclusions, also contained
some possible errors in its suppositions. Dr. Miguel Faria challenged some of
these concepts and was met with some degree of derision by one of the authors.
This editorial comment is in response to these issues.
PMID- 26543673
TI - Lumbopelvic parameters and the extent of lumbar fusion.
AB - BACKGROUND: Following lumbar fusion, sacroiliac (SI) joint pain has been regarded
as a form of adjacent segment disease. Prior studies suggest increased stress to
the SI joint and pelvis with lumbar fusion. Limited studies have evaluated the
relationship between the extent of lumbar fusion and its potential influence on
lumbopelvic parameters, which may provide the insights to persistent back pain.
METHODS: Three hundred fifty-five patients underwent lumbar fusions at our
institution between fall 2010 and winter 2012; 80 patients met criteria for the
study. Inclusion criteria included appropriate imaging available (preoperative
and postoperative lateral films), follow-up >1-year, fusion where the rostral
extent was up to L1 and the caudal extent was at most S1. Exclusion criteria
included prior lumbar fusion, history of SI joint syndrome, follow-up <1-year,
fusion involving thoracic levels, and inadequate films (inability to visualize
appropriate anatomy). The patients were divided into groups based on the extent
of fusion. The patients were evaluated based on age, sex, diagnosis, lumbar
lordosis, pelvic incidence, pelvic tilt, and sacral slope. The preoperative
values were compared among the groups, the postoperative values were compared
among the groups, and the pre- and post-operative values were compared within
each group. RESULTS: There were no statistically significant differences between
pre- and post-operative lumbopelvic parameters within each fusion group.
CONCLUSION: The results imply that the extent of instrumentation, including the
involvement of the sacrum, may not alter lumbopelvic parameters. This appears to
argue against the idea that longer fusion constructs induce more stress on the
pelvis and SI joint.
PMID- 26543674
TI - Validating the Use of Google Trends to Enhance Pertussis Surveillance in
California.
AB - INTRODUCTION AND METHODS: Pertussis has recently re-emerged in the United States.
Timely surveillance is vital to estimate the burden of this disease accurately
and to guide public health response. However, the surveillance of pertussis is
limited by delays in reporting, consolidation and dissemination of data to
relevant stakeholders. We fit and assessed a real-time predictive Google model
for pertussis in California using weekly incidence data from 2009-2014. RESULTS
AND DISCUSSION: The linear model was moderately accurate (r = 0.88). Our findings
cautiously offer a complementary, real-time signal to enhance pertussis
surveillance in California and help to further define the limitations and
potential of Google-based epidemic prediction in the rapidly evolving field of
digital disease detection.
PMID- 26543675
TI - Conformations of a Long Polymer in a Melt of Shorter Chains: Generalizations of
the Flory Theorem.
AB - Large-scale simulations of the swelling of a long N-mer in a melt of chemically
identical P-mers are used to investigate a discrepancy between theory and
experiments. Classical theory predicts an increase of probe chain size R ~ P-0.18
with decreasing degree of polymerization P of melt chains in the range of 1 < P <
N1/2. However, both experiment and simulation data are more consistent with an
apparently slower swelling R ~ P-0.1 over a wider range of melt degrees of
polymerization. This anomaly is explained by taking into account the recently
discovered long-range bond correlations in polymer melts and corrections to
excluded volume. We generalize the Flory theorem and demonstrate that it is in
excellent agreement with experiments and simulations.
PMID- 26543676
TI - The Role of Chemokines in Mesenchymal Stem Cell Homing to Wounds.
AB - Significance: Mesenchymal stem cells (MSCs) are being administered to cutaneous
wounds with the goal of accelerating wound closure and promoting regeneration
instead of scar formation. An ongoing challenge for cell-based therapies is
achieving effective and optimal targeted delivery and engraftment at the site of
injury. Contributing to this challenge is our incomplete understanding of
endogenous MSC homing to sites of injury. Recent Advances: Chemokines and their
receptors are now recognized as important mediators of stem cell homing. To date,
the most studied chemokine-chemokine receptor axis in MSC homing to wounds is
CXCL12-CXCR4 but recent work suggests that CCL27-CCR10 and CCL21-CCR7 may also be
involved. Critical Issues: Strategies to enhance chemokine-mediated MSC homing to
wounds are using a variety of approaches to amplify the chemokine signal at the
wound site and/or overexpress specific chemokine receptors on the surface of the
MSC. Future Directions: Harnessing chemokine signaling may enhance the
therapeutic effects of stem cell therapy by increasing the number of both
exogenous and endogenous stem cells recruited to the site of injury.
Alternatively, chemokine-based therapies directly targeting endogenous stem cells
may circumvent the need for the time-consuming and costly isolation and expansion
of autologous stem cells prior to therapeutic administration.
PMID- 26543677
TI - Chemokine Regulation of Neutrophil Infiltration of Skin Wounds.
AB - Significance: Efficient recruitment of neutrophils to an injured skin lesion is
an important innate immune response for wound repair. Defects in neutrophil
recruitment lead to impaired wound healing. Recent Advances: Chemokines and
chemokine receptors are known to regulate neutrophil recruitment. Recent research
advances reveal more mechanistic details about the regulation of chemokines and
chemokine receptors on neutrophil egress from bone marrow, transmigration into
the wound site, spatial navigation toward the necrotic skin tissue, and apoptosis
induced clearance by efferocytosis. Critical Issues: Skin injury triggers local
and systemic alterations in the expression of multiple chemotactic molecules and
the magnitude of chemokine receptor-mediated signaling. The responses of a number
of CXC and CX3C chemokines and their receptors closely associate with the
temporal and spatial recruitment of neutrophils to wound sites during the
inflammatory phase and promote the clearance of necrotic neutrophils during the
transition into the proliferative phase. Functional aberrancy in these chemokines
and chemokine receptor systems is recognized as one of the important mechanisms
underlying the pathology of impaired wound healing. Future Directions: Future
research should aim to investigate the therapeutic modulation of neutrophil
activity through the targeting of specific chemokines or chemokine receptors in
the early inflammatory phase to improve clinical management of wound healing.
PMID- 26543678
TI - Chemokine Regulation of Angiogenesis During Wound Healing.
AB - Significance: Angiogenesis plays a critical role in wound healing. A defect in
the formation of a neovasculature induces ulcer formation. One of the challenges
faced by the clinician when devising strategies to promote healing of chronic
wounds is the initiation of angiogenesis and the formation of a stable
vasculature to support tissue regeneration. Understanding the molecular factors
regulating angiogenesis during wound healing will lead to better therapies for
healing chronic wounds. Recent Advances: Classically, chronic wounds are treated
with debridement to remove inhibitory molecules to reestablish angiogenesis and
normal wound healing. The addition of platelet-derived growth factor (PDGF,
becaplermin) has shown some promise as an adjunctive therapy, but better
therapies are still needed. Current treatment strategies include investigating
the outcome of augmenting cytokines locally to reduce the inflammatory response
and promote angiogenesis. Critical Issues: The failure of wounds to form a new
vasculature results in the inability of the wound to fully heal, and thus may
develop into a chronic ulcer if left untreated. Inhibition of neovascularization
commonly results from an overactive inflammatory response that includes an
excessive chemokine response. Therefore, understanding how the chemokine response
regulates neoangiogenesis will enhance our ability to develop new treatment
strategies to improve neovascularization and wound healing. Future Directions:
The ability to regulate the chemokine environment in chronic wounds may enhance
the development of the neovasculature to reduce invasive treatments and enhance
wound healing. Either inhibiting chemokines that promote a chronic inflammatory
response or increasing the levels of proangiogenic chemokines may enhance
angiogenesis in chronic wounds.
PMID- 26543679
TI - Chemokines as Therapeutic Targets to Improve Healing Efficiency of Chronic
Wounds.
AB - Significance: Impaired wound healing leading to chronic wounds is an important
clinical problem that needs immediate attention to develop new effective
therapies. Members of the chemokine family seem to be attractive and amenable to
stimulate the healing process in chronic wounds. Targeting specific chemokines
and/or their receptors has the potential to modify chronic inflammation to acute
inflammation, which will hasten the healing process. Recent Advances: Over the
years, expression levels of various chemokines and their receptors have been
identified as key players in the inflammatory phase of wound healing. In
addition, they contribute to regulating other phases of wound healing making them
key targets for novel therapies. Understanding the signaling pathways of these
chemokines will provide valuable clues for modulating their function to enhance
the wound healing process. Critical Issues: Inflammation, an important first
stage process in wound healing, is dysregulated in chronic wounds; emerging
studies show that chemokines play a crucial role in regulating inflammation. The
knowledge gained so far is still limited in understanding the enormous complexity
of the chemokine network during inflammation not just in chronic wounds but also
in acute (normal) wounds. A much better understanding of the individual
chemokines will pave the way for better targets and therapies to improve the
healing efficiency of chronic wounds. Future Directions: Effective understanding
of the interaction of chemokines and their receptors during chronic wound healing
would facilitate the design of novel therapeutic drugs. Development of chemokine
based drugs targeting specific inflammatory cells will be invaluable in the
treatment of chronic wounds, in which inflammation plays a major role.
PMID- 26543680
TI - Chemokine Involvement in Fetal and Adult Wound Healing.
AB - Significance: Fetal wounds heal with a regenerative phenotype that is
indistinguishable from surrounding skin with restored skin integrity. Compared to
this benchmark, all postnatal wound healing is impaired and characterized by scar
formation. The biologic basis of the fetal regenerative phenotype can serve as a
roadmap to recapitulating regenerative repair in adult wounds. Reduced leukocyte
infiltration, likely mediated, in part, through changes in the chemokine milieu,
is a fundamental feature of fetal wound healing. Recent Advances: The
contributions of chemokines to wound healing are a topic of active investigation.
Recent discoveries have opened the possibility of targeting chemokines
therapeutically to treat disease processes and improve healing capability,
including the possibility of achieving a scarless phenotype in postnatal wounds.
Critical Issues: Successful wound healing is a complex process, in which there is
a significant interplay between multiple cell types, signaling molecules, growth
factors, and extracellular matrix. Chemokines play a crucial role in this
interplay and have been shown to have different effects in various stages of the
healing process. Understanding how these chemokines are locally produced and
regulated during wound healing and how the chemokine milieu differs in fetal
versus postnatal wounds may help us identify ways in which we can target
chemokine pathways. Future Directions: Further studies on the role of chemokines
and their role in the healing process will greatly advance the potential for
using these molecules as therapeutic targets.
PMID- 26543681
TI - The Role of Chemokines in Fibrotic Wound Healing.
AB - Significance: Main dermal forms of fibroproliferative disorders are hypertrophic
scars (HTS) and keloids. They often occur after cutaneous wound healing after
skin injury, or keloids even form spontaneously in the absence of any known
injury. HTS and keloids are different in clinical performance, morphology, and
histology, but they all lead to physical and psychological problems for
survivors. Recent Advances: Although the mechanism of wound healing at cellular
and tissue levels has been well described, the molecular pathways involved in
wound healing, especially fibrotic healing, is incompletely understood. Critical
Issues: Abnormal scars not only lead to increased health-care costs but also
cause significant psychological problems for survivors. A plethora of therapeutic
strategies have been used to prevent or attenuate excessive scar formation;
however, most therapeutic approaches remain clinically unsatisfactory. Future
Directions: Effective care depends on an improved understanding of the mechanisms
that cause abnormal scars in patients. A thorough understanding of the roles of
chemokines in cutaneous wound healing and abnormal scar formation will help
provide more effective preventive and therapeutic strategies for dermal fibrosis
as well as for other proliferative disorders.
PMID- 26543684
TI - Fabrication of nanoporous membranes for tuning microbial interactions and
biochemical reactions.
AB - New strategies for combining conventional photo- and soft-lithographic techniques
with high-resolution patterning and etching strategies are needed in order to
produce multiscale fluidic platforms that address the full range of functional
scales seen in complex biological and chemical systems. The smallest resolution
required for an application often dictates the fabrication method used.
Micromachining and micropowder blasting yield higher throughput, but lack the
resolution needed to fully address biological and chemical systems at the
cellular and molecular scales. In contrast, techniques such as electron beam
lithography or nanoimprinting allow nanoscale resolution, but are traditionally
considered costly and slow. Other techniques such as photolithography or soft
lithography have characteristics between these extremes. Combining these
techniques to fabricate multiscale or hybrid fluidics allows fundamental
biological and chemical questions to be answered. In this study, a combination of
photolithography and electron beam lithography are used to produce two multiscale
fluidic devices that incorporate porous membranes into complex fluidic networks
in order to control the flow of energy, information, and materials in chemical
form. In the first device, materials and energy were used to support chemical
reactions. A nanoporous membrane fabricated with e-beam lithography separates two
parallel, serpentine channels. Photolithography was used to pattern microfluidic
channels around the membrane. The pores were written at 150 nm and reduced in
size with silicon dioxide deposition from plasma enhanced chemical vapor
deposition and atomic layer deposition. Using this method, the molecular weight
cutoff of the membrane can be adapted to the system of interest. In the second
approach, photolithography was used to fabricate 200 nm thin pores. The pores
confined microbes and allowed energy replenishment from a media perfusion
channel. The same device can be used for study of intercellular communication via
the secretion and uptake of signal molecules. Pore size was tested with 750 nm
fluorescent polystyrene beads and fluorescein dye. The 200 nm
polydimethylsiloxane pores were shown to be robust enough to hold 750 nm beads
while under pressure, but allow fluorescein to diffuse across the barrier.
Further testing showed that extended culture of bacteria within the chambers was
possible. These two examples show how lithographically defined porous membranes
can be adapted to two unique situations and used to tune the flow of chemical
energy, materials, and information within a microfluidic network.
PMID- 26543683
TI - BMP-2 and BMP-2/7 Heterodimers Conjugated to a Fibrin/Hyaluronic Acid Hydrogel in
a Large Animal Model of Mild Intervertebral Disc Degeneration.
AB - Intervertebral disc (IVD) degeneration is etiologically associated with low back
pain and is currently only treated in severe cases with spinal fusion.
Regenerative medicine attempts to restore degenerated tissue by means of cells,
hydrogels, and/or growth factors and can therefore be used to slow, halt, or
reverse the degeneration of the IVD in a minimally invasive manner. Previously,
the growth factors bone morphogenetic proteins 2 and 7 (BMP-2, -7) were shown to
enhance disc regeneration, in vitro and in vivo. Since BMPs have only a short in
vivo half-life, and to prevent heterotopic ossification, we evaluated the use of
a slow release system for BMP-2 homodimers and BMP-2/7 heterodimers for IVD
regeneration. BMP growth factors were conjugated to a fibrin/hyaluronic acid
(FB/HA) hydrogel and intradiscally injected in a goat model of mild IVD
degeneration to study safety and efficacy. Mild degeneration was induced in five
lumbar discs of seven adult Dutch milk goats, by injections with the enzyme
chondroitinase ABC. After 12 weeks, discs were treated with either FB/HA-hydrogel
only or supplemented with 1 or 5 MUg/mL of BMP-2 or BMP-2/7. BMPs were linked to
the FB/HA hydrogels using a transglutaminase moiety, to be released through an
incorporated plasmin cleavage site. After another 12 weeks, goats were sacrificed
and discs were assessed using radiography, MRI T2* mapping, and biochemical and
histological analyses. All animals maintained weight throughout the study and no
heterotopic bone formation or other adverse effects were noted during follow-up.
Radiographs showed significant disc height loss upon induction of mild
degeneration. MRI T2* mapping showed strong and significant correlations with
biochemistry and histology as shown before. Surprisingly, no differences could be
demonstrated in any parameter between intervention groups. To our knowledge, this
is the first large animal study evaluating BMPs conjugated to an FB/HA-hydrogel
for the treatment of mild IVD degeneration. The conjugated BMP-2 and BMP-2/7
appeared safe, but no disc regeneration was observed. Possible explanations
include too low dosages, short follow-up time, and/or insufficient release of the
conjugated BMPs. These aspects should be addressed in future studies.
PMID- 26543685
TI - Evaluation of MR Spectroscopy and Diffusion-Weighted MRI in Postmenopausal Bone
Strength.
AB - AIM: To prospectively investigate the role of MR spectroscopy (MRS) and diffusion
weighted magnetic resonance imaging (DWI) in assessing vertebral marrow changes
in postmenopausal women. MATERIALS AND METHODS: Fifty postmenopausal women, who
underwent dual-energy x-ray absorptiometry of the spine, were divided into three
bone density groups (normal, osteopenia, and osteoporosis) based on T-score. Both
MRS and DWI of the L3 vertebral body were performed to calculate the marrow fat
content and apparent diffusion coefficient (ADC). The results were compared
between three groups and correlated with BMD. RESULTS: Vertebral marrow fat
content was significantly increased in the osteoporotic group when compared with
that of the osteopenic group and the normal bone density group. ADC values in the
osteoporotic, osteopenic, and normal bone density groups were 338, 408 and 464,
respectively, with statistically significant differences (P < 0.001). A
statistically significant positive correlation between T-scores and ADC existed
(r=0.694, p value <0.001). The vertebral marrow fat content was negatively
correlated to the bone density (r=-0.455, p< 0.001) and to marrow ADC (r= -0.302,
p < 0.05). CONCLUSION: The postmenopausal women with osteoporosis exhibited a
corresponding increase in vertebral marrow fat content as the bone density
decreased. Marrow fat content and ADC were related to the bone density. MRS and
DWI are helpful in evaluating the bone marrow changes in postmenopausal women.
PMID- 26543686
TI - Inflammatory Myofibroblastic Tumor of the Left Sphenoid and Cavernous Sinus
Successfully Treated with Partial Resection and High Dose Radiotherapy: Case
Report and Review of the Literature.
AB - Inflammatory myofibroblastic tumors, also known as plasma cell granulomas or
inflammatory pseudotumors, are uncommon lesions that are known to arise in many
areas of the body. They are uncommonly found in the skull base region where
effective treatment can be difficult. Steroids and radiation therapy with gross
total excision when possible remain the treatments of choice. However, the dosing
of radiation remains controversial and many patients develop relapse despite
medical management. We present the case of a patient who had an inflammatory
myofibroblastic tumor of the sphenoid bone and cavernous sinus. He underwent
partial surgical resection and transient steroid therapy. This was followed by
high-dose fractionated radiotherapy. The patient demonstrated significant
resolution in symptomatology and evidence of disease-free progression on repeat
imaging.
PMID- 26543682
TI - Chemokines in Wound Healing and as Potential Therapeutic Targets for Reducing
Cutaneous Scarring.
AB - Significance: Cutaneous scarring is an almost inevitable end point of adult human
wound healing. It is associated with significant morbidity, both physical and
psychological. Pathological scarring, including hypertrophic and keloid scars,
can be particularly debilitating. Manipulation of the chemokine system may lead
to effective therapies for problematic lesions. Recent Advances: Rapid
advancement in the understanding of chemokines and their receptors has led to
exciting developments in the world of therapeutics. Modulation of their function
has led to clinically effective treatments for conditions as diverse as human
immunodeficiency virus and inflammatory bowel disease. Potential methods of
targeting chemokines include monoclonal antibodies, small-molecule antagonists,
interference with glycosaminoglycan binding and the use of synthetic truncated
chemokines. Early work has shown promising results on scar development and
appearance when the chemokine system is manipulated. Critical Issues: Chemokines
are implicated in all stages of wound healing leading to the development of a
cutaneous scar. An understanding of entirely regenerative wound healing in the
developing fetus and how the expression of chemokines and their receptors change
during the transition to the adult phenotype is central to addressing
pathological scarring in adults. Future Directions: As our understanding of
chemokine/receptor interactions and scar formation evolves it has become apparent
that effective therapies will need to mirror the complexities in these diverse
biological processes. It is likely that sophisticated treatments that
sequentially influence multiple ligand/receptor interactions throughout all
stages of wound healing will be required to deliver viable treatment options.
PMID- 26543687
TI - Relationship Between Regional Atherosclerosis and Adjacent Spinal Cord Histology.
AB - INTRODUCTION: Scant data are available regarding ischemic insult to the spinal
cord and the responsible blood supply. Therefore, we aimed to investigate a
correlation between atherosclerosis of adjacent vessels and spinal cord ischemia.
MATERIALS AND METHODS: In 20 unembalmed adult cadavers, samples of the vertebral
arteries and aorta were removed and the degree of atherosclerosis with subsequent
luminal occlusion was histologically analyzed. Next, adjacent segments of the
spinal cord were harvested and submitted for immunohistological analysis of both
neural and glial elements and blood supply. RESULTS: We identified proximal
atherosclerosis in the majority of cadavers but with varying degrees of luminal
occlusion. The greatest degree of luminal occlusion was found in the descending
abdominal aorta. No specimen was found to have atherosclerosis of the anterior or
posterior spinal or radicular arteries. No spinal cord histology showed signs of
ischemia, even in specimens with a significant large parent vessel (vertebral
artery and aorta) occlusion due to atherosclerosis. Neuropathology of these
adjacent cord segments revealed no signs of ischemia or demyelination.
CONCLUSIONS: Spinal cord ischemia is often misdiagnosed and can cause significant
neurological compromise. However, based on our study, the degree of
atherosclerosis of the adjacent parent vessel supply does not appear to be a
predictor of neuronal and glial tissue damage of the adjacent spinal cord.
PMID- 26543688
TI - Wait Times Experienced by Lung Cancer Patients in the BC Southern Interior to
Obtain Oncologic Care: Exploration of the Intervals from First Abnormal Imaging
to Oncologic Treatment.
AB - BACKGROUND: Lung cancer is associated with rapid disease progression, which can
significantly progress over a duration of four to eight weeks. This study
examines the time interval lung cancer patients from the interior of British
Columbia (BC) experience while undergoing diagnostic evaluation, biopsy, staging,
and preparation for treatment. METHODS: A chart review of lung cancer patients
(n=231) referred to the BC Cancer Agency Centre for the Southern Interior between
January 1, 2010 and December 31, 2011 was performed. Time zero was defined as the
date of the first abnormal chest imaging. Time intervals, expressed as median
averages, to specialist consult, biopsy, oncologic referral, initial oncology
consultation, and commencement of oncologic treatment were obtained. RESULTS: The
median time interval from first abnormal chest imaging to a specialist
consultation was 18 days (interquartile range, IQR, 7-36). An additional nine
days elapsed prior to biopsy in the form of bronchoscopy, CT-guided biopsy, or
sputum cytology (median; IQR, 3-21); if lobectomy was required, 18 days elapsed
(median; IQR, 9-28). Eight days were required for pathologic diagnosis and
subsequent referral to the cancer centre (median; IQR, 3-16.5). Once referral was
received, 10 days elapsed prior to consultation with either a medical or
radiation oncologist (median, IQR 5-18). Finally, eight days was required for
initiation of radiation and/or chemotherapy (median; IQR, 1-15). The median wait
time from detection of lung cancer on imaging to oncologic treatment in the form
of radiation and/or chemotherapy was 65.5 days (IQR, 41.5-104.3).
INTERPRETATION: Patients in the BC Southern Interior experience considerable
delays in accessing lung cancer care. During this time, the disease has the
potential to significantly progress and it is possible that a subset of patients
may lose their opportunity for curative intent treatment.
PMID- 26543689
TI - Simulation to Assist in the Selection Process of New Airway Equipment in a
Children's Hospital.
AB - INTRODUCTION: To provide an informed choice of equipment purchase, we sought to
use simulation to allow medical providers an opportunity to evaluate two
potential laryngoscopes. METHODS: The study followed a prospective, blinded
comparison design. Participants were blinded to the laryngoscope brands by using
alphabetic labels on the handles ("A" and "B"). Participants included a
convenience sample of healthcare providers who perform intubation. Participants
were allowed to perform intubation with the two laryngoscope brands on neonatal,
child, and adolescent/adult airway simulators. After practicing with each of the
two different laryngoscopes, participants completed an evaluation indicating
their preference for one laryngoscope versus the other for each patient age
group. RESULTS: Thirty-four healthcare providers participated in the study,
including attendings, fellows, nurse practitioners, and transport team members
from Neonatology, Pediatric Intensive Care, Anesthesiology, Emergency Medicine,
Cardiac Intensive Care, and Otolaryngology. Participants overwhelmingly preferred
brand 'A' (89%) over brand 'B' (11%). DISCUSSION: Providers overwhelmingly chose
one laryngoscope over the other. Data from this evaluation were used to determine
which of the two laryngoscope brands was purchased. Based on our experience, we
feel other hospitals should consider the use of simulation to allow providers to
examine, compare, and rate medical equipment prior to making purchasing
decisions.
PMID- 26543690
TI - Is There Any Role of Inhalational Corticosteroids in the Prophylaxis of Post
Traumatic Fat Embolism Syndrome?
AB - Fat embolism syndrome (FES) is primarily a lung parenchymal disorder resulting
from interstitial and alveolar inflammation triggered by the lipid metabolites in
blood circulation. The 'low-dose' corticosteroid is supposed to have a
prophylactic effect on the incidence of the FES and arterial hypoxemia by
reducing this inflammatory response. It is expected that inhaled corticosteroids
(ciclesonide aerosol) may prevent the development of hypoxemia or fat embolism
syndrome in high-risk patients by reducing this inflammatory response. Metered
dose inhaler (MDI) steroid preparations can reach the lung parenchyma with
minimal systemic effect. Sixty cases of polytrauma patients presenting within
eight hours of injury were randomly allocated into one of the two groups. In
Group 1 (n1=30) ciclesonide, 640 mcg, was given with a metered dose inhaler and
repeated once again after 24 hours, whereas Group 2 (n2=30) was taken as control
and observed for 72 hours for any episode of hypoxia. The outcome was assessed
using Schonfeld's criteria for the eventual outcome of subclinical or clinical
FES. Out of 30 patients in each group, six patients developed subclinical FES,
whereas three from ciclesonide prophylaxis group and eight from controls
developed clinical FES. There is no statistical significance found between the
eventual outcomes of subclinical or clinical FES between the ciclesonide
prophylaxis and control group. Although there was a trend seen in the possible
preventive efficacy of inhalational steroid in the present study, it did not
reach the statistically significant level. The prophylactic role of inhalational
steroid in post-traumatic subclinical and clinical FES is statistically
insignificant in the present study.
PMID- 26543691
TI - Attitude of Basic Science Medical Students Toward Interprofessional
Collaboration.
AB - PURPOSE: Interprofessional collaboration (IPC) and interprofessional education
(IPE) are increasingly emphasized in the education of health professions. Xavier
University School of Medicine, a Caribbean medical school admits students from
the United States, Canada, and other countries to the undergraduate medical
course. The present study was carried out to obtain information about the
attitude toward IPC among basic science medical students and note differences, if
any, among different subgroups. METHODS: The study was conducted among first to
fifth semester students during July 2015 using the previously validated Jefferson
Scale of Attitudes Toward Interprofessional Collaboration (JeffSATIC). Gender,
age, semester, and nationality were noted. Participants' agreement with a set of
20 statements was studied. Mean total scores, working relationship, and
accountability scores were calculated and compared among different subgroups of
respondents (p<0.05). RESULTS: Sixty-seven of the 71 students (94.4%)
participated. Cronbach's alpha value of the questionnaire was 0.827, indicating
good internal consistency. The mean total score was 104.48 (maximum score 140)
while the working relationship and accountability scores were 63.51 (maximum
score 84) and 40.97 (maximum score 56), respectively. Total scores were
significantly higher among third-semester students and students of Canadian
nationality. Working relationship and accountability scores were higher among
first and third-semester students. CONCLUSION: The total working relationship and
accountability scores were lower compared to those obtained in a previous study.
Opportunities for IPE and IPC during the basic science years should be
strengthened. Longitudinal studies in the institution may be helpful. Similar
studies in other Caribbean medical schools are required.
PMID- 26543692
TI - Spontaneous Thrombosis and Subsequent Recanalization of a Developmental Venous
Anomaly.
AB - Developmental venous anomalies (DVA) are among the most common congenital
malformations of the cerebral angioarchitecture. Spontaneous thrombosis of this
entity is rare, and our review of the literature found only 31 reported cases of
symptomatic spontaneous thrombosis of developmental venous anomalies. Here, we
report a unique case describing the spontaneous thrombosis of a DVA leading to
venous infarction and subsequent recanalization. The patient was a previously
healthy 21-year-old male who presented with an acute onset of partial seizures.
Following negative hypercoagulability studies and along with CT (computed
tomography) and MR (magnetic resonance) imaging, the patient was treated with
anticoagulant therapy and demonstrated complete functional recovery. Knowledge
from our literature review of similar cases combined with the experience gained
from this patient's treatment leads us to suggest that spontaneous DVA thrombosis
and venous infarction generally has a good outcome despite initially devastating
neurologic deficits. Additionally, the rarity of spontaneous DVA thromboses lends
itself to the need to identify possible predisposing risk factors, chief amongst
these being hypercoagulopathies.
PMID- 26543693
TI - Cognitive Impairment After Stroke.
AB - BACKGROUND: Vascular dementia is extremely common and contributes to stroke
associated morbidity and mortality. The study of vascular dementia may help to
plan preventive interventions. AIMS: To study the frequency of cognitive
impairment after stroke in a series of consecutive patients with acute stroke,
along with factors which influence it. METHODS: Fifty adults with acute infarct
or hemorrhage (as seen on computed tomography of the brain) were included in the
study. The National Institute of Health Stroke Scale (NIHSS) and Barthel's Index
scores were done. Cognitive testing was done by PGI Battery of Brain Dysfunction
(PGI-BBD) and Short Form of the Informant Questionnaire on Cognitive Decline in
the Elderly (SIQCODE). Statistical analysis was by Student's t-test, Chi-square
test, Fisher's exact test, and Mann-Whitney U test. RESULTS: Mean age of patients
was 61.82 years; males and ischemic strokes predominated. Dementia was seen in
30%, cognitive impairment no dementia (CIND) in 42%, and normal cognition in 28%
patients. Factors associated with vascular cognitive impairment included old age,
male sex, low education, hemorrhages, recurrent or severe stroke, silent
infarcts, severe cortical atrophy, and left hemispheric or subcortical
involvement. CONCLUSIONS: Up to 72% of patients have some form of cognitive
impairment after a stroke. Secondary stroke prevention could reduce the incidence
of vascular dementia.
PMID- 26543694
TI - Transvaginal Mini-Laparoscopic Splenectomy.
AB - We aimed to perform a more and more minimal invasive splenectomy by only through
two 5 mm umbilical trocars and one vaginal trocar. A 43-year-old female (BMI 31
kg/m(2), ASA II) with immune thrombocytopenic purpura was planned for
splenectomy. She had a history of a previous cesarean section for three times.
Two 5 mm trocars were inserted separately through the umbilicus. We did not use
any single port device or similar modifications. A 15 mm trocar was inserted
through the posterior fornix of the vagina under umbilical laparoscopic vision.
The 5 mm umbilical ports were used for camera and retraction of the spleen. The
transvaginal port was used for dissection and division of the spleen by a 10-mm
LigaSure Atlas vessel sealing system. No clips or staples were used. As the
spleen became completely free in the abdomen, it was removed through the vagina
in a bag without fragmentation. The operating time was 200 minutes and the blood
loss was minimal (< 20 ml). No drain or abdominal fascia suturing was used but
closing the posterior fornix of the vagina. Her postoperative course was
uneventful and she was discharged on day two without complication. She did not
require any analgesics postoperatively. Platelet values increased to 408.000
mm(3) in the follow-up. To the best of our knowledge, this report described the
most minimal invasive splenectomy even. Additionally, it provided an unfragmented
spleen extraction. The transvaginal approach seems to be a feasible way to
perform natural orifice splenectomy.
PMID- 26543695
TI - Association of Ego Defense Mechanisms with Academic Performance, Anxiety and
Depression in Medical Students: A Mixed Methods Study.
AB - BACKGROUND: Ego defense mechanisms are unconscious psychological processes that
help an individual to prevent anxiety when exposed to a stressful situation.
These mechanisms are important in psychiatric practice to assess an individual's
personality dynamics, psychopathologies, and modes of coping with stressful
situations, and hence, to design appropriate individualized treatment. Our study
delineates the relationship of ego defense mechanisms with anxiety, depression,
and academic performance of Pakistani medical students. METHODS: This cross
sectional study was done at CMH Lahore Medical College and Fatima Memorial
Hospital Medical and Dental College, both in Lahore, Pakistan, from December 1,
2014 to January 15, 2015. Convenience sampling was used and only students who
agreed to take part in this study were included. The questionnaire consisted of
three sections: 1) Demographics, documenting demographic data and academic scores
on participants' most recent exams; 2) Hospital Anxiety and Depression Scale
(HADS); and 3) Defense Style Questionnaire-40 (DSQ-40). The data were analyzed
with SPSS v. 20. Mean scores and frequencies were calculated for demographic
variables and ego defense mechanisms. Bivariate correlations, one-way ANOVA, and
multiple linear regression were used to identify associations between academic
scores, demographics, ego defense mechanisms, anxiety, and depression. RESULTS:
A total of 409 medical students participated, of whom 286 (70%) were females and
123 (30%) were males. Mean percentage score on the most recent exams was 75.6% in
medical students. Bivariate correlation revealed a direct association between
mature and neurotic ego defense mechanisms and academic performance, and an
indirect association between immature mechanisms and academic performance. One
way ANOVA showed that moderate levels of anxiety (P < .05) and low levels of
depression (P < .05) were associated with higher academic performance.
CONCLUSION: There was a significant association between academic performance and
ego defense mechanisms, anxiety, and depression levels in our sample of Pakistani
medical students.
PMID- 26543696
TI - Comparison of SpO2 values from different fingers of the hands.
AB - Pulse oximetry is a frequently used tool in anesthesia practice. Gives valuable
information about arterial oxygen content, tissue perfusion and heart beat rate.
In this study we aimed to provide the comparison of peripheral capillary
hemoglobin oxygen saturation (SpO2) values among every finger of the two hands.
Thirty-seven healthy volunteers from operative room stuffs between the ages of 18
30 years were enrolled in the study. They were monitored after 5 min of rest.
After their non invasive blood pressure, heart rate, fasting time and body
temperature were measured, SpO2 values were obtained from every finger and each
of two hands fingers with the same pulse oximetry. All the SpO2 values were
obtained after at least 1 min of measurement period. A total of 370 SpO2
measurements from 37 volunteers were obtained. The highest average SpO2 value was
measured from right middle finger (98.2 % +/- 1.2) and it was statistically
significant when compared with right little finger and left middle finger. The
second highest average SpO2 value was measured from right thumb and it was
statistically significant only when compared with left middle finger (the finger
with the lowest average SpO2 value) (p < 0.05). SpO2 measurement from the fingers
of the both hands with the pulse oximetry, the right middle finger and right
thumb have statistically significant higher value when compared with left middle
finger in right-hand dominant volunteers. We assume that right middle finger and
right thumb have the most accurate value that reflects the arterial oxygen
saturation.
PMID- 26543697
TI - Seasonal population density and winter survival strategies of endangered Kashmir
gray langur (Semnopithecus ajax) in Dachigam National Park, Kashmir, India.
AB - The population density of Kashmir gray langurs (Semnopithecus ajax) was studied
in Dachigam National Park (DNP), Kashmir using distance sampling method. A total
of 13 transects (1.5-2.5 km in length) were surveyed in the intensive study area
(~90 km(2)) yielding 170 encounters in different seasons of the study period
(2011-2013). Some aspects of behavior and feeding were also studied during the
winter months (Dec-Feb) of 2012 and 2013 inside DNP. We used instantaneous scan
sampling to collect behavioral data determining the time budget and diet of
langurs in winter conditions. Results suggested that the density of Kashmir gray
langurs varied marginally across seasons, with the highest density recorded
during winter and lowest during summer season. Langurs spent most of their time
in carrying out various social activities (34.32 %) and least in resting (18.41
%). Langurs fed upon 13 plant species (belonging to 12 families) and consumed a
substantial proportion of bark (37.4 %) in their diet. We conclude that langur
density is low in DNP as compared to other plain areas of the Indian subcontinent
and langurs in DNP have balanced their time budget and diet so as to increase
their chances of survival in the unfavorably cold and food scarce winter
conditions.
PMID- 26543698
TI - Malaysian and Singaporean students' affective characteristics and mathematics
performance: evidence from PISA 2012.
AB - This paper attempts to identify the extent to which the affective characteristics
of Malaysian and Singaporean students' attainment compared to the OECD average in
Programme for International Student Assessment (PISA) 2012, and examine the
influence of students' affective characteristics, gender, and their socioeconomic
status on mathematics performance at both student and school levels. Sample
consisted of 5197 and 5546 15-year-old Malaysian and Singaporean students. Data
were analysed using hierarchical linear modelling approach with HLM 7.0 software.
Results showed that the Index of economic, social, and cultural status (ESCS),
mathematics self-efficacy, and mathematics anxiety have significant effects on
mathematics performance in Malaysia and Singapore at the student level.
Proportion of boys at the school level has no significant effects on mathematics
performance for both Malaysian and Singaporean students. ESCS mean at the school
level has positive and significant effects on mathematics performance in
Malaysia, but not in Singapore. Limitations, implications, and future studies
were discussed.
PMID- 26543699
TI - Photoelectrochemical properties of mesoporous NiO x deposited on technical FTO
via nanopowder sintering in conventional and plasma atmospheres.
AB - Nanoporous nickel oxide (NiO x ) has been deposited with two different procedures
of sintering (CS and RDS). Both samples display solid state oxidation at about
3.1 V vs Li+/Li. Upon sensitization of CS/RDS NiO x with erythrosine b (ERY),
nickel oxide oxidation occurs at the same potential. Impedance spectroscopy
revealed a higher charge transfer resistance for ERY-sensitized RDS NiO x with
respect to sensitized CS NiO x . This was due to the chemisorption of a larger
amount of ERY on RDS with respect to CS NiO x . Upon illumination the
photoinduced charge transfer between ERY layer and NiO x could be observed only
with oxidized CS. Photoelectrochemical effects of sensitized RDS NiO x were
evidenced upon oxide reduction. With the addition of iodine RDS NiOx electrodes
could give the reduction iodine -> iodide in addition to the reduction of RDS NiO
x . p-type dye sensitized solar cells were assembled with RDS NiO x photocathodes
sensitized either by ERY or Fast Green. Resulting overall efficiencies ranged
between 0.02 and 0.04 % upon irradiation with solar spectrum simulator (I in: 0.1
W cm(-2)).
PMID- 26543700
TI - Idiopathic fourth ventricle outlet obstruction successfully treated by endoscopic
third ventriculostomy: a case report.
AB - INTRODUCTION: Fourth ventricle outlet obstruction (FVOO) is a rare cause of
obstructive hydrocephalus. We describe a case of idiopathic FVOO that was
successfully treated with endoscopic third ventriculostomy (ETV). CASE REPORT: A
3-year old boy without any remarkable medical history presented with a headache
and vomiting. Computed tomography (CT) images, which had incidentally been taken
2 years previously due to a minor head injury, showed no abnormality. Magnetic
resonance imaging on admission showed tetra-ventricular hydrocephalus associated
with the dilatation of the fourth ventricle outlets, without any obstructive
lesions. However, CT ventriculography, involving contrast medium injection
through a ventricular catheter, suggested mechanical obstruction of the
cerebrospinal fluid (CSF) at the fourth ventricle outlets. Thus, the patient was
diagnosed with FVOO and ETV was performed; the hydrocephalus was subsequently
resolved. Although hydrocephalus recurred 1 year postoperatively, re-ETV for the
highly stenosed fenestration successfully resolved this condition. CONCLUSIONS:
ETV should be considered for FVOO treatment, particularly in idiopathic cases
without CSF malabsorption.
PMID- 26543701
TI - Genetic polymorphism study at 15 autosomal locus in central Indian population.
AB - The analysis of 15 autosomal STR locus (TH01, D3S1358, vWA, D21S11, TPOX, D7S820,
D19S433, D5S818, D2S1338, D16S539, CSF1PO, D13S317, FGA, D18S51, D8S1179) was
done in 582 healthy unrelated individuals (Male-366, Female-216) originating from
the various geographical regions of Madhya Pradesh, India. All locus fall under
Hardy-Weinberg equilibrium except TPOX. These STR loci were highly informative
and discriminating with combined power of discrimination (CPD) >0.99999. Locus
wise allele frequencies of the studied population were compared with the other
published populations. Also the Clustering pattern and genetic distance of
studied populations is compared and presented with various populations. The
studied population showed the genetic proximity with geographically close
populations of India and significant genetic variation with distant populations
which is also evident by clustering pattern of the NJ tree and the PCA plot.
PMID- 26543702
TI - Antibacterial activities of Fagara macrophylla, Canarium schweinfurthii,
Myrianthus arboreus, Dischistocalyx grandifolius and Tragia benthamii against
multi-drug resistant Gram-negative bacteria.
AB - Bacterial infections caused by multidrug resistant phenotypes constitute a
worldwide health concern. The present study was designed to evaluate the in vitro
antibacterial activities of the methanol extracts of five medicinal plants:
Fagara macrophylla, Canarium schweinfurthii, Myrianthus arboreus, Dischistocalyx
grandifolius and Tragia benthamii against a panel of 28 multidrug resistant Gram
negative bacterial strains. The liquid broth microdilution was used to determine
the minimal inhibitory concentration (MIC) and minimal bactericidal concentration
(MBC) of the extracts. The best activity was recorded with Canarium
schweinfurthii bark extract, MIC values ranging from 32 to 1024 ug/mL being
recorded against 85.7 % tested bacteria. Broad spectra of antibacterial
activities were also obtained with both bark and leaf extracts from Myrianthus
arboreus (78.6 %) as well as the bark extract from Fagara macrophylla (75.0 %).
The lowest MIC value of 32 ug/mL was obtained with Canarium schweinfurthii bark
extract against Klebsiella pneumoniae KP63 strain. The results of this work
provide baseline information for the use of the studied plants, and mostly Fagara
macrophylla, Canarium schweinfurthii and Myrianthus arboreus in the treatment of
bacterial infections including multidrug resistant phenotypes.
PMID- 26543703
TI - Evaluation of non-genetic factors affecting calf growth, reproductive performance
and milk yield of traditionally managed Sheko cattle in southwest Ethiopia.
AB - The study was conducted to estimate calf growth, reproductive performance and
milk yield of Ethiopia Sheko cattle and to assess non-genetic factors affecting
their performance in their home tract as a step towards designing sustainable
cattle conservation and improvement strategy. All the growth traits considered in
the study were significantly affected by all non-genetic factors considered
except for the fixed effects of Agro ecological zones (AEZs) and season of birth
which were not significant for post weaning daily gain. Calving interval (CI) and
days open (DO) were significantly influenced by AEZs, season and dam parity. Cows
that calved in lowland had shorter CI and DO than cows which calved in midland.
Cows that calved in short rainy season had Short CI and DO than those calved
during dry season or long rainy season. Cows which calved for the first time had
the longest CI and DO from the other parities whereas cows on their fifth parity
had the shortest CI and DO. AEZ significantly affected lactation milk yield (LMY)
and lactation length (LL), but not significant on daily milk yield (DMY) and 305
days yield (305DY). Season was significant on all milk traits considered except
DMY. Parity effect was significant on LMY and 305DY, whereas DMY and LL were not
affected. The non-genetic factors had significant effects for all of the
reproductive; and many of the growth and milk performance traits considered and
hence will need to be considered in cattle breed improvement program.
PMID- 26543704
TI - Cell secretion from the adult lamprey supraneural body tissues possesses
cytocidal activity against tumor cells.
AB - The supraneural body was identified in the adult lamprey, and its secretions
induced the death of a variety of tumor cells but had no effect on normal cells.
The cell secretions from different lamprey tissues were separated, and these
secretions killed human tumor cells to varying degrees. The cell secretions
induced remarkable cell morphological alterations such as cell blebbing, and the
plasma membrane was destroyed by the secretions. In addition, the secretions
induced morphological alterations of the mitochondria, cytoskeletal structure,
and endoplasmic reticulum, eventually leading to cell death. These observations
suggest the presence of a novel protein in the lamprey and the possibility of new
applications for the protein in the medical field.
PMID- 26543705
TI - Safety and effectiveness of minimally invasive sacroiliac joint fusion in women
with persistent post-partum posterior pelvic girdle pain: 12-month outcomes from
a prospective, multi-center trial.
AB - Postpartum posterior pelvic girdle pain (PPGP) affects nearly 20 % of women who
experience back pain in the peripartum period. The sacroiliac joint is a source
of this pain in 75 % of women with persistent PPGP. A subset of women will fail
to obtain acceptable pain relief from the current array of non-surgical treatment
options. The purpose of this study is to assess the safety and effectiveness of
minimally invasive sacroiliac (SI) joint fusion in women with chronic SI joint
dysfunction whose pain began in the peri-partum period whose symptoms were
recalcitrant to non-surgical management. A sub-group analysis of subjects with
sacroiliac joint disruption and/or degenerative sacroiliitis enrolled in a
prospective, multi-center trial of SI joint fusion was performed. Subjects with
PPGP were identified and compared with women without PPGP and with men. Of 172
enrolled subjects, 52 were male, 100 were females without PPGP and 20 females had
PPGP. PPGP subjects were significantly younger (43.3 years, vs. 52.8 for females
without PPGP and 50.5 for men, p = 0.002). There were no differences in any other
demographic or baseline clinical measure. Women with PPGP experienced a
significant improvement in pain (-51 mm on VAS), function (-20.6 pts on ODI) and
quality of life (SF-36 PCS +10.4, MCS +7.2, EQ-5D +0.31) at 12 months after
surgery. These improvements were characteristic of the overall study results; no
difference was detected between sub-groups. The sacroiliac joint can be a source
of pain in women with persistent PPGP and should be investigated as a pain
generator. In this study, women with carefully diagnosed chronic SI joint pain
from PPGP recalcitrant to conservative therapies experienced clinically
beneficially improvements in pain, disability and quality of life after minimally
invasive SI joint fusion using a series of triangular porous plasma spray coated
implants.
PMID- 26543706
TI - Discovery of novel 1,2,3-triazole derivatives as anticancer agents using QSAR and
in silico structural modification.
AB - Considerable attention has been given on the search for novel anticancer drugs
with respect to the disease sequelae on human health and well-being. Triazole is
considered to be an attractive scaffold possessing diverse biological activities.
Structural modification on the privileged structures is noted as an effective
strategy towards successful design and development of novel drugs. The
quantitative structure-activity relationships (QSAR) is well-known as a powerful
computational tool to facilitate the discovery of potential compounds. In this
study, a series of thirty-two 1,2,3-triazole derivatives (1-32) together with
their experimentally measured cytotoxic activities against four cancer cell lines
i.e., HuCCA-1, HepG2, A549 and MOLT-3 were used for QSAR analysis. Four QSAR
models were successfully constructed with acceptable predictive performance
affording R CV ranging from 0.5958 to 0.8957 and RMSECV ranging from 0.2070 to
0.4526. An additional set of 64 structurally modified triazole compounds (1A-1R,
2A-2R, 7A-7R and 8A-8R) were constructed in silico and their predicted cytotoxic
activities were obtained using the constructed QSAR models. The study suggested
crucial moieties and certain properties essential for potent anticancer activity
and highlighted a series of promising compounds (21, 28, 32, 1P, 8G, 8N and 8Q)
for further development as novel triazole-based anticancer agents.
PMID- 26543707
TI - Leg ulcer in a patient with Rothmund-Thomson syndrome.
AB - BACKGROUND: Rothmund-Thomson syndrome is a rare genetic condition exhibiting some
dermatological, craniofacial, ophthalmological, and central nervous system
abnormalities. CASE DESCRIPTION: A 51-year-old male patient, diagnosed with
Rothmund-Thomson syndrome, attended to our outpatient clinic with complaint of
unhealing wound in lower part of his left leg. Over this period, he had received
various local therapies such as creams, wound dressings and hyperbaric oxygen
therapy but no progress could be achieved. The wound gradually enlarged. Negative
pressure wound therapy was applied at -125 mmHg for 20 days. Wound was finally
covered with split-thickness skin graft. DISCUSSION AND EVALUATION: There is only
one case of Rothmund-Thomson syndrome with leg ulcer reported in the literature.
However, complete closure has not been achieved with non-surgical therapies in
this case. Therefore we performed negative pressure wound therapy followed by
skin grafting. CONCLUSIONS: It is useful to treat therapy resistant wounds in
Rothmund-Thomson syndrome by negative pressure, which can preserve residual vital
tissue, and help clear away necrotizing tissue effectively and close the wound
promptly.
PMID- 26543708
TI - Long-term outcomes of colectomy surgery among patients with ulcerative colitis.
AB - The objective of this study was to evaluate long-term health-related quality of
life outcomes among patients who had a colectomy within the previous 10 years. A
cross-sectional survey was administered to consecutive patients >=18 years of age
with ulcerative colitis who had a colectomy within the last 10 years from centers
in Canada, Australia, and the United Kingdom. Data were extracted from medical
chart reviews to confirm selected self-reported patient characteristics. Of 351
survey respondents, 49 % were male and the median age was 40 years (interquartile
range 30-52). Respondents were diagnosed with UC a median of 9.2 (5.7-15.1) years
prior to the survey and first surgery occurred a median of 3.7 (2.1-5.8) years
ago. Although most respondents (84 %) reported improved quality of life compared
to the status before surgery, 81 % experienced problems in at least one of the
following areas: depression, work productivity, restrictions in diet, body image,
and sexual function. According to HADS scores, 30 and 17 % of survey respondents
experienced anxiety and depression, respectively. Among moderate to severe UC
patients pre-colectomy, 27 % of men and 28 % of women reported that their sexual
life was worse now than before surgery. The mean EQ-5D utility index score
overall was 0.79 (95 % confidence interval 0.77-0.81). Quality of life after
colectomy for UC is generally good, but there are persistent quality of life
issues that impact multiple domains, including psychological and sexual
functioning.
PMID- 26543709
TI - Formulation of a generalised switching CFAR with application to X-band maritime
surveillance radar.
AB - A generalisation of a switching based detector is examined, allowing the
construction of such detectors for target detection in any clutter model of
interest. Such detectors are important in radar signal processing because they
are robust solutions to the management of interference. Although formulated in
general terms, the theory is applied to the design of a switching constant false
alarm rate detector for X-band maritime surveillance radar. It is shown that such
a detector manages the problem of interference better than standard detection
processes.
PMID- 26543711
TI - A first digit theorem for powerful integer powers.
AB - For any fixed power exponent, it is shown that the first digits of powerful
integer powers follow a generalized Benford law (GBL) with size-dependent
exponent that converges asymptotically to a GBL with the inverse double power
exponent. In particular, asymptotically as the power goes to infinity these
sequences obey Benford's law. Moreover, the existence of a one-parametric size
dependent exponent function that converges to these GBL's is established, and an
optimal value that minimizes its deviation to two minimum estimators of the size
dependent exponent is determined. The latter is undertaken over the finite range
of powerful integer powers less than [Formula: see text], where [Formula: see
text] is a fixed power exponent.
PMID- 26543712
TI - Correlation of the clinical parameters with sonographic findings of hemorrhagic
cystitis in pediatric hematooncology patients.
AB - To find a relationship between clinical and sonographic appearance of hemorrhagic
cystitis (HC) in pediatric hematooncology patients. Clinical and sonographic
findings of 31 children (M:F = 18:13; mean age, 12.7 years) with HC in pediatric
hematooncology patients were reviewed. For each patient, the onset of HC after
transplantation, use of bladder-toxic agent, presence of BK viruria, and duration
of disease were reviewed. Sonographic findings including bladder wall thickness
(BWT), the type of bladder wall thickening (nodular vs. diffuse), occurrence of
hydronephrosis or pyelonephritis were reviewed. We analyzed sonographic
appearance and clinical manifestations of HC. HC occurred within 4 months after
HSCT/BMT. 27 patients (87.0 %) were positive for BK viruria and 24 patients (77.4
%) took bladder-toxic agents. On sonography, nodular type bladder wall thickening
was more frequent (54.8 %), and BWT was thicker in this group (p = 0.003). There
was a positive correlation between the BWT on initial sonography and duration of
cystitis (r (2) = 0.340). Hydronephrosis developed in 25.8 % of patients with HC,
and as HC persisted longer, hydronephrosis occurred more (p = 0.004). In patients
with HC after HSCT/BMT, the BWT on initial sonography correlates well with the
duration of cystitis. And, longer time of HC develops the risk of hydronephrosis.
PMID- 26543710
TI - Non-O1, non-O139 Vibrio cholerae bacteraemia: case report and literature review.
AB - Non-O1, non-O139 Vibrio cholerae (NOVC) are increasingly frequently observed
ubiquitous microorganisms occasionally responsible for intestinal and extra
intestinal infections. Most cases involve self-limiting gastroenteritis or ear
and wound infections in immunocompetent patients. Bacteraemia, which have been
described in patients with predisposing factors, are rare and poorly known, both
on the clinical and therapeutic aspects. We describe a case of NOVC bacteraemia
and a systematic literature review in PubMed conducted up to November 2014 using
a combination of the following search terms: "Vibrio cholerae non-O1" and
"bacter(a)emia". The case was a 70 year-old healthy male subject returning from
Senegal and suffering from NOVC bacteraemia associated with liver abscesses.
Disease evolution was favourable after 2 months' therapy (ceftriaxone then
ciprofloxacin). Three hundred and fifty cases of NOVC bacteraemia have been
identified in the literature. The majority of patients were male (77 %), with a
median age of 56 years and presenting with predisposing conditions (96 %), such
as cirrhosis (55 %) or malignant disease (20 %). Diarrhoea was inconstant (42 %).
Mortality was 33 %. The source of infection, identified in only 25 % of cases,
was seafood consumption (54 %) or contaminated water (30 %). Practitioners should
be aware of these infections, in order to warn patients with predisposing
conditions, on the risk of ingesting raw or undercooked seafood or bathing in
potentially infected waters.
PMID- 26543713
TI - Whole body vibration therapy: a novel potential treatment for type 2 diabetes
mellitus.
AB - There is a worsening epidemic of obesity and diabetes in the world. Life style
interventions including dietary changes and increase in exercise can improve
glucose metabolism and health in general. However, standard exercise programs are
strenuous, time-consuming, and thus have low long-term compliance issues. We
tested the feasibility of using high frequency, low amplitude whole body
vibration (WBV) therapy to improve glucose metabolism in young type 2 diabetic
(T2DM) mice. We also aimed to investigate the postulated anti-inflammatory and
cytoprotective properties of WBV. Male db/db and db/m mice were exposed to high
frequency, low-amplitude WBV. Outcome parameters comprised of body weight,
hemoglobin A1c (HbA1c) level, as well as interleukin (IL)-17 (a marker of helper
T cells), forkhead box P3 (Foxp3; a marker of regulatory T cells), and gammaH2AX
(an index of DNA injury) expression. Furthermore, a 24 h metabolic cage study was
carried out immediately after the WBV protocol and fluid intake, urine excretion
and urine osmolality were determined. WBV did not affect body weight but improved
HbA1c levels in db/db mice. Vibrated db/db mice demonstrated less fluid intake
and urine excretion but better urinary concentrating ability than their non
vibrated controls. Pro-inflammatory changes were significantly reduced, as
indicated by reduced IL-17 but increased Foxp3 expression. WBV reduced gammaH2AX
in db/db mice suggestive of cytoprotective effect. However, WBV was largely
without significant effects on assessed parameters in db/m mice. Collectively,
our findings suggest that daily, short duration WBV may improve glycemic control,
polydipsia, polyuria, and urine osmolality in T2DM in association with reduced
inflammation. Thus, WBV may be a viable adjunctive treatment strategy in T2DM.
PMID- 26543714
TI - Correlation between patients' anatomical characteristics and interfractional
internal prostate motion during intensity modulated radiation therapy for
prostate cancer.
AB - Intensity modulated radiation therapy (IMRT) is one of a standard treatment for
localized prostate cancer. Although lower complication is expected for smaller
target margin, determination of optimal margin is important. For bony-structure
based registration, internal prostate motion is the main factor determining the
margin from clinical target volume to planning target volume. The purpose of this
study was to measure interfractional internal motion of the prostate and to
identity the factors which enlarge or reduce the margin, with special focus on
patients' anatomical characteristics. The 586 image sets of 16 patients acquired
with megavoltage cone beam computed tomography were analyzed. For each patient,
prostate shift in three directions was recorded for each fraction to calculate
the required margin. Correlations between these values and patients' anatomical
characteristics were evaluated. The posteriorly required margin correlated
positively with rectal volume and rectal mean area (p = 0.015 and p = 0.008),
while random error in lateral, craniocaudal and anteroposterior direction
correlated negatively (p = 0.014, 0.04 and 0.0026, respectively) with body mass
index (BMI). In addition to the previously identified factor of distended rectum,
BMI was newly identified as another significant factor influencing
interfractional internal prostate motion.
PMID- 26543715
TI - The growth rate of "clinically significant" renal cancer.
AB - Surveillance studies of enhancing renal masses report on a mean tumor growth rate
of about 0.3 cm/year. In most of these studies however, only small tumors in
elderly patients were followed. In the current report, we attempt to evaluate the
growth rate of "clinically significant" renal carcinomas defined as tumors that
were treated immediately upon diagnosis. 46 patients (mean age 64 years SD 11
years) were treated for renal carcinoma. All had a cross-sectional imaging
studies performed 6-60 months prior to diagnosis of kidney cancer demonstrating
no tumor. Tumor growth rate was calculated by dividing tumor's largest diameter
by the time interval between the normal kidney imaging and diagnosis of renal
cancer. Mean tumor diameter was 4.5 cm (SD 2.4 cm). Mean time period from the
normal imaging to diagnosis of renal cancer was 33.6 months (SD 18 months).
According to the proposed model, the average growth rate of "clinically
significant" renal carcinomas was 2.13 cm/year (SD 1.45, range 0.2-6.5 cm/year).
Tumor growth rate correlated inversely with patient's age (p = 0.007). Patient
gender or Fuhrman's grade did not correlate however. The growth rate of
"clinically significant" renal cancer appears to be higher than the rate reported
in surveillance trials. Renal tumors tend to grow faster in young patients. As
such, variable growth rate should be taken into account when considering active
surveillance in young patients and when designing trials for evaluation of anti
cancer agents.
PMID- 26543716
TI - Spark plasma sintering of alumina nanopowders produced by electrical explosion of
wires.
AB - Alumina nanopowders produced by electrical explosion of wires were sintered using
the spark plasma sintering technique. The results of XRD analysis show that the
main phase in the compacted nanopowders is alpha-Al2O3. According to the SEM
observations, the sintered alumina nanopowder consists of micron-sized faceted
grains and nano-sized necked grains. The increase in sintering temperature
resulted in a higher density of the sintered powders: from 78.44 to 98.21 % of
theoretical density.
PMID- 26543717
TI - Psychrophilic pseudomonas in antarctic freshwater lake at stornes peninsula,
larsemann hills over east Antarctica.
AB - The Larsemann Hills is an ice-free area of approximately 50 km(2), located
halfway between the Vestfold Hills and the Amery Ice Shelf on the south-eastern
coast of Prydz Bay, Princess Elizabeth Land, East Antarctica (69o30'S,
76o19'58"E). The ice-free area consists of two major peninsulas (Stornes and
Broknes), four minor peninsulas, and approximately 130 islands. The Larsemann
Hills area contains more than 150 lakes at different Islands and Peninsulas. Nine
lake water samples were collected in a gamma sterilized bottles and were kept in
an ice pack to prevent any changes in the microbial flora of the samples during
the transportation. The water samples were transported to the lab in vertical
position maintaining the temperature 1-4 degrees C with ice pack enveloped
conditions. Samples were studied for Psychrophilic bacterial count, Pseudomonas
spp., Staphylococcus aureus, Salmonella and Total MPN Coliform per 100 ml.
Psychrophillic counts were found in the range of 12 cfu to 1.6 * 10(2) cfu in all
the samples. MPN Coliform per 100 ml was found to be absent in all the samples.
No growth and characteristics colonies observed when tested for Salmonella and
S.aureus. Pseudomonas sp. was found in ST-2 lake water sample as characteristics
colonies (Optimum Growth) were observed on selective media at 22 and 25 degrees
C. Further several biochemical tests were also performed to confirm the presence
of this Potential Psychrophilic Pseudomonas sp. for its further application in
Science and Technology.
PMID- 26543718
TI - Accurate mobile malware detection and classification in the cloud.
AB - As the dominator of the Smartphone operating system market, consequently android
has attracted the attention of s malware authors and researcher alike. The number
of types of android malware is increasing rapidly regardless of the considerable
number of proposed malware analysis systems. In this paper, by taking advantages
of low false-positive rate of misuse detection and the ability of anomaly
detection to detect zero-day malware, we propose a novel hybrid detection system
based on a new open-source framework CuckooDroid, which enables the use of Cuckoo
Sandbox's features to analyze Android malware through dynamic and static
analysis. Our proposed system mainly consists of two parts: anomaly detection
engine performing abnormal apps detection through dynamic analysis; signature
detection engine performing known malware detection and classification with the
combination of static and dynamic analysis. We evaluate our system using 5560
malware samples and 6000 benign samples. Experiments show that our anomaly
detection engine with dynamic analysis is capable of detecting zero-day malware
with a low false negative rate (1.16 %) and acceptable false positive rate (1.30
%); it is worth noting that our signature detection engine with hybrid analysis
can accurately classify malware samples with an average positive rate 98.94 %.
Considering the intensive computing resources required by the static and dynamic
analysis, our proposed detection system should be deployed off-device, such as in
the Cloud. The app store markets and the ordinary users can access our detection
system for malware detection through cloud service.
PMID- 26543719
TI - Vitamin D deficiency and fatigue: an unusual presentation.
AB - Fatigue is a vague but common complaint that is poorly characterized by
physicians as well as patients. While fatigue may result from a number of
different etiologies, at the present time, a comprehensive approach to each
patient with fatigue does not include routine measurement of serum vitamin D
levels. A 61-year-old man was evaluated for excessive daytime fatigue. No
features characteristic for depression, sleep apnea, or narcolepsy were present.
A comprehensive work-up, including thyroid function tests and testosterone
levels, did not reveal any abnormalities. However, serum 25-hydroxyvitamin D
level was low, at 18.4 ng/mL. Vitamin D supplementation was initiated. At follow
up in 3 and 12 months, the patient reported complete resolution of daytime
fatigue, corresponding to an increase in his vitamin D levels. Possible
mechanisms for clinical improvement include effects of vitamin D on components of
inflammatory cascades, including tumor necrosis factor-alpha and prostaglandin
D2, which result in decrease in central nervous system homeostatic sleep
pressure. While more research is needed to determine if patients presenting with
fatigue should be routinely screened for vitamin D deficiency, clinicians should
consider obtaining vitamin D levels in patients with unexplained fatigue,
nonspecific musculoskeletal pain, and risk factors for vitamin D deficiency.
PMID- 26543720
TI - The challenge of durable brain control in patients with brain-only metastases
from breast cancer.
AB - The vast majority of patients with brain metastases from breast cancer have
extracranial metastases, e.g., in the liver, lungs or bones, with serious impact
on prognosis. Limited research has been performed on patients with brain-only
disease. We analyzed patterns of treatment, brain control and survival in uni-
and multivariate analyses. All 25 patients with brain-only disease were treated
with radiotherapy (whole-brain radiotherapy (WBRT) with or without stereotactic
radiotherapy/radiosurgery (SRS) or surgical resection) and most patients with
systemic treatment later during the disease trajectory. Only a minority of
patients remained free from brain progression at 1 year after their initial
therapy, regardless of initial treatment approach (median brain progression-free
survival 6.2 months). However, overall survival was significantly better after
initial surgical resection/SRS as compared to upfront WBRT (median 24.1 and 5.2
months, respectively). For all patients combined, median survival was 11.7 months
(2-year survival rate 28 %). Several prognostic factors for shorter survival were
identified in multivariate regression analysis: lower KPS, triple-negative tumor,
coordination deficit, older age, lack of upfront surgical resection or SRS, and
lack of endocrine or HER2-directed therapy after brain metastases treatment.
Although durable brain control and long-term survival beyond 5 years could be
achieved in a subset of patients (largely after successful salvage), progression
of brain metastases during the first year after diagnosis was common. Prognosis
was influenced by patient-, disease- and treatment-related factors.
PMID- 26543721
TI - Tautomerization, molecular structure, transition state structure, and vibrational
spectra of 2-aminopyridines: a combined computational and experimental study.
AB - BACKGROUND: 2-amino pyridine derivatives have attracted considerable interest
because they are useful precursors for the synthesis of a variety of heterocyclic
compounds possessing a medicinal value. In this work we aim to study both
structural and electronic as well as high quality vibrational spectra for 2-amino
3-methylpyridine (2A3MP) and 2-amino-4-methylpyridine (2A4MP). RESULTS: Moller
Plesset perturbation theory (MP2/6-31G(d) and MP2/6-31++G(d,p) methods were used
to investigate the structure and vibrational analysis of (2A3MP) and (2A4MP).
Tautomerization of 2A4MP was investigated by Density Functional Theory
(DFT/B3LYP) method in the gas phase. For the first time, all tautomers including
NH -> NH conversions as well as those usually omitted, NH -> CH and CH -> CH,
were considered. The canonical structure (2A4MP1) is the most stable tautomer. It
is 13.60 kcal/mole more stable than the next (2A4MP2). Transition state
structures of pyramidal N inversion and proton transfer were computed at B3LYP/6
311++G(d,p). Barrier to transition state of hydrogen proton transfer is
calculated as 44.81 kcal/mol. Transition state activation energy of pyramidal
inversion at amino N is found to be 0.41 kcal/mol using the above method. Bond
order and natural atomic charges were also calculated at the same level. The
raman and FT-IR spectra of (2A3MP) and (2A4MP) were measured (4000-400 cm(-1)).
The optimized molecular geometries, frequencies and vibrational bands intensity
were calculated at ab initio (MP2) and DFT(B3LYP) levels of theory with 6-31G(d),
6-31++G(d,p) and 6-311++G(d,p) basis sets. The vibrational frequencies were
compared with experimentally measured FT-IR and FT-Raman spectra. CONCLUSION:
Reconsidering the vibrational analysis of (2A3MP) and (2A4MP) with more accurate
FT-IR machine and highly accurate animation programs result in new improved
vibrational assignments. Sophisticated quantum mechanics methods enable studying
the transition state structure for different chemical systems.
PMID- 26543722
TI - Rational diagnoses of diabetes: the comparison of 1,5-anhydroglucitol with other
glycemic markers.
AB - Diabetes mellitus (DM) is a frequently encountered disease with important
morbidity and mortality. The aim of this study is to document the importance of
1,5-anhydroglucitol (1,5-AG) for the diagnosis of prediabetes and DM, as well as
to compare the 1,5-AG with other glycemic markers in order to understand which
one is the better diagnostic tool. Between April 2012 and December 2012, 128
participants enrolled in the study. Participants were split into five groups that
are IFG, IGT, IFG+IGT, diabetic and control groups by their OGTT results. The
diagnostic value of markers was compared by ROC (receiver operating
characteristic) method. The mean serum 1,5-AG levels in the diabetic group (33.38
nmol/ml) were lower than, IFG (59.83 nmol/ml), IGT (54.44 nmol/ml), IFG+IGT
(51.98 nmol/ml) and control groups (73.24 nmol/ml). When analyzed in the total
study population serum 1,5-AG levels did not differ by gender significantly. When
analyzed in the total study population, 1,5-AG correlates inversely with age
significantly (p = 0.036). In subgroup analysis, in the control group, serum 1,5
AG level was also inversely correlated with age (p = 0.087). The best marker for
the diagnosis of prediabetes and DM was fasting plasma glucose (FPG). 1,5-AG was
not found to be effective for the diagnosis of DM. This study, contributes to our
knowledge of the efficiency and cut-off values of 1,5-AG for the diagnosis of
prediabetes and DM. In future, there is a need for larger studies with more
standardized and commonly used measurement methods for 1,5-AG, in order to
evaluate the efficiency of 1,5-AG for the diagnosis of prediabetes and DM.
PMID- 26543723
TI - A boundary value approach for solving three-dimensional elliptic and hyperbolic
partial differential equations.
AB - In this article, the boundary value method is applied to solve three dimensional
elliptic and hyperbolic partial differential equations. The partial derivatives
with respect to two of the spatial variables (y, z) are discretized using finite
difference approximations to obtain a large system of ordinary differential
equations (ODEs) in the third spatial variable (x). Using interpolation and
collocation techniques, a continuous scheme is developed and used to obtain
discrete methods which are applied via the Block unification approach to obtain
approximations to the resulting large system of ODEs. Several test problems are
investigated to elucidate the solution process.
PMID- 26543724
TI - Does analgesic overuse matter? Response to OnabotulinumtoxinA in patients with
chronic migraine with or without medication overuse.
AB - Chronic migraine affects 2 % of the population and has substantial impact on
quality of life and considerable burden on healthcare resources. 50-80 % patients
with chronic migraine have excessive consumption of analgesic medications.
Withdrawal of analgesics is often advised before commencing preventive
treatments. However, some headache experts recommend preventive treatments
alongside analgesic withdrawal. 434 patients with chronic migraine attending the
Hull Headache Clinic who received OnabotulinumtoxinA as preventive treatment were
stratified to those with or without analgesic overuse. Data was collected through
a dedicated headache diary and analysed for headache and migraine days reduction
and for an increment in headache-free days in the month post treatment. The data
shows no difference in the therapeutic outcome in patients with or without
analgesic overuse with substantial reduction in headache and migraine days and an
increment in headache-free days in both groups in a real-life clinical setting.
OnabotulinumtoxinA is equally effective in patients with chronic migraine with or
without analgesic overuse.
PMID- 26543725
TI - Is agritourism eco-friendly? A comparison between agritourisms and other farms in
Italy using farm accountancy data network dataset.
AB - This paper presents the results of research regarding the environmental
performances of Italian farms with agritourism compared with farms without
agritourism. In Italy, agritourism is considered an agricultural activity and can
only be performed by a farmer. Moreover, Italian national legislation forces the
farmer to dedicate himself mainly to traditional farming, rather than to tourism
activities. For this reason, environmental performances have been highlighted by
analyzing only features and production systems of the farms. By utilizing the
most frequent indicators used in studies regarding sustainability, the authors
show how Italian agritourisms tend to develop more environmentally friendly
agricultural methods, which have a positive impact on biodiversity, landscape and
natural resources. The empirical analysis is based on the Italian FADN (Farm
Accountancy Data Network) dataset. The European FADN was created to represent
farms' technical and economic operation in the European Union and on which it
drafts the agricultural and rural policies. The dichotomous structure of the
dependent variable (presence or absence of agritourism at the farm) has a
propensity for an assessment method based on Binary Response Model Regression.
PMID- 26543726
TI - Dynamic graph cut based segmentation of mammogram.
AB - This work presents the dynamic graph cut based Otsu's method to segment the
masses in mammogram images. Major concern that threatens human life is cancer.
Breast cancer is the most common type of disease among women in India and abroad.
Breast cancer increases the mortality rate in India especially in women since it
is considered to be the second largest form of disease which leads to death.
Mammography is the best method for diagnosing early stage of cancer. The computer
aided diagnosis lacks accuracy and it is time consuming. The main approach which
makes the detection of cancerous masses accurate is segmentation process. This
paper is a presentation of the dynamic graph cut based approach for effective
segmentation of region of interest (ROI). The sensitivity, the specificity, the
positive prediction value and the negative prediction value of the proposed
algorithm are determined and compared with the existing algorithms. Both
qualitative and quantitative methods are used to detect the accuracy of the
proposed system. The sensitivity, the specificity, the positive prediction value
and the negative prediction value of the proposed algorithm accounts to 98.88,
98.89, 93 and 97.5% which rates very high when compared to the existing
algorithms.
PMID- 26543727
TI - Sildenafil treatment attenuates ventricular remodeling in an experimental model
of aortic regurgitation.
AB - BACKGROUND: Currently there is no reliable medical treatment for aortic
regurgitation (AR). METHODS: Thirty-nine Sprague-Dawley rats underwent creation
of AR or sham operation. Treated rats were assigned to early or late institution
of sildenafil therapy (100 mg/kg/day) for a total of 10 weeks. Treatment-effects
were measured by serial echocardiography, invasive hemodynamic measurements, and
tissue analysis. RESULTS: Rats assigned to early treatment developed less
remodeling than untreated rats. Thus, left ventricular (LV) dilation was blunted
by sildenafil with end-systolic diameter being significantly smaller (6.6 +/- 0.4
vs. 7.7 +/- 0.4 mm, respectively, p < 0.05). Also, LV wall thickness was
significantly decreased in treated rats compared to controls (2.23 +/- 0.08 vs.
2.16 +/- 0.05 mm, p < 0.01). Fractional shortening was improved by treatment (p <
0.05). Myocardial fibrosis was borderline decreased by treatment (p = 0.09). Akt
was increased in treated compared to controls (p < 0.05). CONCLUSION: Sildenafil
slightly inhibits LV remodeling and improves fractional shortening in rats with
AR when treatment is initiated early.
PMID- 26543728
TI - Differences between adolescents exhibiting moderate binging and non-binging
eating behaviors.
AB - Much research has been conducted to study the association between personality and
eating disorders using clinical samples. However, less research has been done on
personality variables in non-clinical cases of adolescents prone to binge eating.
The purpose of this study is to compare a group of 53 adolescents without binge
eating with a group of 28 adolescents with moderate binging behaviors and to
investigate the relationship between personality traits and eating behaviors. All
participants completed BES, STAY, EPQ-R, IVE and EDI-2. The results demonstrated
that the group with moderate binging presented higher scores in state and trait
anxiety, psychoticism, neuroticism, and impulsivity than the adolescents without
binge eating. The second hypothesis of this research was to analyze the
relationship between personality characteristics and eating behaviors. In the
group of adolescents without binge eating both neuroticism and psychoticism
correlated with ED symptomatology. Similarly extraversion, impulsivity and
venturesomeness correlated with ED symptomatology. In the group of adolescents
with moderate binge eating, there was an association of trait anxiety,
extraversion, venturesomeness and empathy with ED symptomatology in university
samples. The results of this study represent a new stimulus to thoroughly
investigate those aspects of personality that may be predictive of ED
symptomatology and to develop preventative strategies. It is our opinion that it
is necessary to focus attention not only on clinical or non-clinical samples, but
also on adolescents who could be considered at risk.
PMID- 26543729
TI - Calcium supplementation improves clinical outcome in intensive care unit
patients: a propensity score matched analysis of a large clinical database MIMIC
II.
AB - Observational studies have linked hypocalcemia with adverse clinical outcome in
critically ill patients. However, calcium supplementation has never been formally
investigated for its beneficial effect in critically ill patients. To investigate
whether calcium supplementation can improve 28-day survival in adult critically
ill patients. Secondary analysis of a large clinical database consisting over
30,000 critical ill patients was performed. Multivariable analysis was performed
to examine the independent association of calcium supplementation and 28-day
morality. Furthermore, propensity score matching technique was employed to
investigate the role of calcium supplementation in improving survival.
INTERVENTION: none. Primary outcome was the 28-day mortality. 90-day mortality
was used as secondary outcome. A total of 32,551 adult patients, including 28,062
survivors and 4489 non-survivors (28-day mortality rate: 13.8 %) were included.
Calcium supplementation was independently associated with improved 28-day
mortality after adjusting for confounding variables (hazard ratio: 0.51; 95 % CI
0.47-0.56). Propensity score matching was performed and the after-matching cohort
showed well balanced covariates. The results showed that calcium supplementation
was associated with improved 28- and 90-day mortality (p < 0.05 for both Log-rank
test). In adult critically ill patients, calcium supplementation during their ICU
stay improved 28-day survival. This finding supports the use of calcium
supplementation in critically ill patients.
PMID- 26543730
TI - Enclosing the commons: reasons for the adoption and adaptation of enclosures in
the arid and semi-arid rangelands of Chepareria, Kenya.
AB - The adoption and adaptation of enclosures in the arid and semi-arid rangelands of
sub-Saharan Africa is driven and sustained by a combination of factors. However,
reviews indicate that these factors cannot be generalized, as they tend to be
case specific. A study was therefore conducted to explore the history and reasons
for enclosure establishment in Chepareria, a formerly degraded communal rangeland
in north-western Kenya. While Vi-Agroforestry Organization accounting for 52.5 %
was the main source of knowledge on enclosure establishment; it has now emerged
that rangeland enclosures among the Pokot pastoral community existed prior to
land management interventions by Vi- Agroforestry. Results indicated that there
are three categories of enclosures which were established for boundary
demarcation, provide grazing reserves, enable proper land management, facilitate
crop cultivation in a pastoral setup and to curb land degradation. The role of
self-trigger [accounting for most of the spontaneous enclosures (73.5 %)]
indicates the continued establishment and expansion of areas under enclosure
management as private land ownership accounting for 51.7 % of enclosure tenure
continues to gain momentum in Chepareria. While rangeland enclosures in
Chepareria were mainly established for boundary demarcation, to alleviate pasture
scarcity and enable proper management of formerly degraded areas; they have
facilitated land restoration and rehabilitation by increasing flexibility in
land, fodder and livestock management amongst agro-pastoralists in Chepareria
over the last three decades. To ensure that rehabilitated areas do not revert to
their previously degraded state; technical interventions are needed to allow for
a more intensive use of rangeland resources within enclosed areas.
PMID- 26543731
TI - Stomach intestinal pylorus sparing surgery (SIPS) with laparoscopic
fundoplication (LF): a new approach to gastroesophageal reflux disease (GERD) in
the setting of morbid obesity.
AB - The increase in the prevalence of obesity and gastroesophageal reflux disease
(GERD) has paralleled one another. Laparoscopic fundoplication (LF) (Nissen or
Toupet) is a minimally invasive form of anti-reflux surgery. The duodenal switch
is a highly effective weight loss surgery with a proven record of long term
weight loss success. However, fundoplication alone does not give satisfactory
results when used for GERD in morbidly obese patients. Here we present a novel
approach combining stomach intestinal pylorus sparing surgery (SIPS) with LF for
morbidly obese patients with GERD. The data from patients who underwent the SIPS
procedure along with LF in past year was retrospectively analyzed. The variables
collected were age, sex, height, weight, intra-operative and post-operative
complications, length of stay, operative time, and estimated blood loss. All
revisions were excluded. Descriptive statistics such as mean and standard
deviation were used to analyze the data. The total sample size of the study was 5
patients, with a mean age of 59.6 +/- 16.4 years, a mean weight of 292.1 +/- 73.6
lbs., and a mean body mass index (BMI) of 43.4 +/- 6.3. Weight loss patterns were
the same as those without LF. All the 5 patients had resolution or improvement in
their GERD symptoms within 6 months. SIPS with LF provides substantial and
sustained weight loss and GERD resolution. Long term follow ups and further study
on this novel surgical technique is recommended.
PMID- 26543733
TI - 3D FEA of cemented glass fiber and cast posts with various dental cements in a
maxillary central incisor.
AB - This study aimed to analyse and compare the stability of two dental posts
cemented with four different luting agents by examining their shear stress
transfer through the FEM. Eight three-dimensional finite element models of a
maxillary central incisor restored with glass fiber and Ni-Cr alloy cast dental
posts. Each dental post was luted with zinc phosphate, Panavia resin, super bond
C&B resin and glass ionomer materials. Finite element models were constructed and
oblique loading of 100 N was applied. The distribution of shear stress was
investigated at posts and cement/dentine interfaces using ABAQUS/CAE software.
The peak shear stress for glass fiber post models minimized approximately three
to four times of those for Ni-Cr alloy cast post models. There was negligible
difference in peak of shear stress when various cements were compared,
irrespective of post materials. The shear stress had same trend for all cement
materials. This study found that the glass fiber dental post reduced the shear
stress concentration at interfacial of post and cement/dentine compared to Ni-Cr
alloy cast dental post.
PMID- 26543732
TI - Stem cell transplantation therapy in Parkinson's disease.
AB - Ineffective therapeutic treatments and inadequate repair ability in the central
nervous system are disturbing problems for several neurological diseases.
Fortunately, the development of clinically applicable populations of stem cells
has provided an avenue to overcome the failure of endogenous repair systems and
substitute new cells into the damaged brain. However, there are still several
existing obstacles to translating into clinical application. Here we review the
stem-cell based therapies for Parkinson's disease and discuss the potential
advantages and drawbacks. We hope this review may provide suggestions for viable
strategies to overcome the current technical and biological issues associated
with the application of stem cells in Parkinson's disease.
PMID- 26543735
TI - Ensifer-mediated transformation: an efficient non-Agrobacterium protocol for the
genetic modification of rice.
AB - While Agrobacterium-mediated transformation (AMT) remains the most widely used
technique for gene transfer in plants, interest exists for the use of non
Agrobacterium gene delivery systems due to freedom-to-operate issues that remain
with AMT across several jurisdictions. In addition, the plant pathogenic mode of
action of Agrobacterium tumefaciens significantly increases the costs to passage
engineered cultivars through the regulatory process. Ensifer adhaerens (OV14) is
a soil-related bacterium with the proven ability to genetically modify the model
plant A. thaliana and the staple crop S. tuberosum (Wendt et al., Trans Res
21:567-578, 2012). While previous work was relevant for dicotyledonous species,
in this study, the efficacy of Ensifer adhaerens (OV14)-mediated transformation
(EMT) was determined on two japonica rice varieties, Curinga and Nipponbare, and
the recalcitrant indica variety, IR64. The results indicated that E. adhaerens
(OV14) exhibits infection efficiencies ranging between 50-70 %, 90-100 % and 90
95 % for Curinga, Nipponbare and IR64 respectively. Curinga and Nipponbare plants
transformed with E. adhaerens (OV14) and A. tumefaciens (LBA4404 and EHA105) were
regenerated achieving transformation efficiencies of 16 % and 26-32 % for Curinga
and 7 and 4 % for Nipponbare respectively. Separately, the transformation of IR64
was only recorded via EMT (transformation efficiency ~1 %). Integration analyses
conducted on 24 transgenic rice lines illustrated that T-DNA insertion occurred
randomly throughout the rice genome for EMT (and AMT), with similar integration
patterns in the rice genomic DNA observed for both bacterial species.
PMID- 26543734
TI - Mechanism to control the cell lysis and the cell survival strategy in stationary
phase under heat stress.
AB - An array of stress signals triggering the bacterial cellular stress response is
well known in Escherichia coli and other bacteria. Heat stress is usually sensed
through the misfolded outer membrane porin (OMP) precursors in the periplasm,
resulting in the activation of sigma(E) (encoded by rpoE), which binds to RNA
polymerase to start the transcription of genes required for responding against
the heat stress signal. At the elevated temperatures, sigma(E) also serves as the
transcription factor for sigma(H) (the main heat shock sigma factor, encoded by
rpoH), which is involved in the expression of several genes whose products deal
with the cytoplasmic unfolded proteins. Besides, oxidative stress in form of the
reactive oxygen species (ROS) that accumulate due to heat stress, has been found
to give rise to viable but non-culturable (VBNC) cells at the early stationary
phase, which is in turn lysed by the sigma(E)-dependent process. Such lysis of
the defective cells may generate nutrients for the remaining population to
survive with the capacity of formation of colony forming units (CFUs). sigma(H)
is also known to regulate the transcription of the major heat shock proteins
(HSPs) required for heat shock response (HSR) resulting in cellular survival.
Present review concentrated on the cellular survival against heat stress
employing the harmonized impact of sigma(E) and sigma(H) regulons and the HSPs as
well as their inter connectivity towards the maintenance of cellular survival.
PMID- 26543736
TI - Opsonic function of sialic acid specific lectin in freshwater crab Paratelphusa
jacquemontii.
AB - The sialic acid specific humoral lectin, Pjlec of the freshwater crab
Paratelphusa jacquemontii was investigated for its opsonin function with rabbit
erythrocyte as target cell for phagocytosis by the crab's hemocyte. The untreated
or trypsin treated erythrocyte induced lectin response after challenge however
failed when treated with neuraminidase evidently indicating glycan dependency for
elicited immune response. Our observation of in vitro phagocytosis of the
erythrocyte untreated or coated with serum, clarified serum appeared to be
recognized and engulfed by hemocytes but when coated with isolated lectin Pjlec,
the response was elicited. Moreover, with trypsin treated erythrocyte the
response remained unchanged but neuraminidase or O-glycosidase treatment
eliminated the response reaction. This suggested the sialic acid specific
reaction of lectin with the erythrocyte and was essential for recognition to
allow the lectin Pjlec to act as an opsonin. The flowcytometry observation
affirmed the enhancement of phagocytosis by Pjlec coated hemocyte. The efficiency
of in vitro hemolysis of Pjlec coated erythrocyte with hemocyte when compared to
untreated erythrocyte with or without hemocyte also established the opsonic
function of the lectin. The mechanism of phagocytosis and induction were
dependent on specific recognition of the erythrocyte by the multivalent binding
site of the lectin protein, and the elicitation of the immune response was a
function of the sialoglycan surface. The pathway of the challenge suggested that
after entry of nonself recognition by lectin was followed by induction and
activation of phagocytosis by opsonic binding of the lectin.
PMID- 26543738
TI - A rare complication following laparoscopic Roux & Y gastric bypass:
intussusception-case report.
AB - Obesity is a growing health problem in most parts of the world. Currently only
proven long term effective treatment of obesity is bariatric surgery. Roux & Y
gastric bypass together with sleeve gastrectomy are the most employed surgical
techniques with acceptable metabolic and surgical complication rates. In this
paper we would like to present an unexpected complication of Roux & Y gastric
bypass: a retrograde intussusception located in the common limb 17 months after
the surgery. As intussusception in adults usually originates from a leading
point, there is no such an explanation following Roux & Y gastric bypass.
PMID- 26543737
TI - No association between Helicobacter pylori infection and diabetes mellitus among
a general Japanese population: a cross-sectional study.
AB - Several case-control studies have reported that patients with diabetes mellitus
(DM) had a higher prevalence of Helicobacter pylori infection than those without
DM, but these findings remain equivocal. Additionally, there are few studies
examining associations between East Asian CagA-positive H. pylori and DM. This
cross-sectional study aimed to investigate whether H. pylori infection was a
possible risk factor for DM in a general Japanese population. The study included
5165 subjects (1467 men, 3698 women) aged 35-69 years from the Daiko Study, part
of the Japan Multi-Institutional Collaborative Cohort Study. A urinary anti-H.
pylori antibody was used to detect H. pylori infection. The medical history of
physician-diagnosed DM was confirmed by self-administered questionnaire. The odds
ratios (ORs) and their 95 % confidence intervals (CIs) for DM (current and
former) were calculated using unconditional logistic regression analysis,
adjusting for age, sex, educational status, alcohol use, smoking status, body
mass index, energy intake, and physical activity. The prevalence of DM was 4.6 %
(95 % CI 3.7-5.6 %) among 1878 participants with H. pylori infection and 3.2 %
(2.6-3.8 %) among 3287 without the infection (p = 0.009). The crude, age
adjusted, and multivariate-adjusted ORs for DM in those with the infection
relative to those without were 1.47 (95 % CI 1.10-1.97), 1.02 (0.76-1.38), and
0.97 (0.71-1.32), respectively. We found a significantly higher DM prevalence
among those with H. pylori infection than among those without. However, almost
all the difference in prevalence could be explained by the older age of those
infected. Our findings did not support an association between H. pylori infection
and DM.
PMID- 26543739
TI - Small-scale capture, transport and tank adaptation of live, medium-sized
Scombrids using "Tuna Tubes".
AB - The transport of live fish is a crucial step to establish fish culture in
captivity, and is especially challenging for species that have not been commonly
cultured before, therefore transport and handling methods need to be optimized
and tailored. This study describes the use of tuna tubes for small-scale
transport of medium-sized pelagic fish from the Scombridae family. Tuna tubes are
an array of vertical tubes that hold the fish, while fresh seawater is pumped up
the tubes and through the fish mouth and gills, providing oxygen and removing
wastes. In this study, 19 fish were captured using rod and line and 42 % of the
captured fish were transported alive in the custom-designed tuna tubes to an on
shore holding tank: five mackerel tuna (Euthynnus affinis) and three leaping
bonito (Cybiosarda elegans). Out of these, just three (15.8 % of total fish)
acclimatized to the tank's condition. Based on these results, we discuss an
improved design of the tuna tubes that has the potential to increase survival
rates and enable a simple and low cost method of transporting of live pelagic
fish.
PMID- 26543740
TI - Can atlas spina bifida-occulta be a cause of cervicogenic headaches?
AB - Cervicogenic headaches are a nosologic entity recently recognized. In our common
practice, we have noticed a relative frequency of the atlas spina-bifida occulta
during the brain CT scan realized for headaches without cranio-encephalic causes
or any other anomaly of the upper cervical region. The aim of this study was to
determine a possible connection between cervicogenic headaches (CEH) and atlas
spina-bifida occulta. A 2 years prospective and descriptive study in 20 black
patients having an atlas spina-bifida occulta diagnosed with a brain CT scan. The
mean age of the patients was 43.17 +/- 18.35 years (extremes: 24 and 72 years). A
light female predominance was noticed (sex-ratio = 1.5). The frequency of
symptomatic spina-bifida was 1.72 % (17 cases). The mean age at onset was 31.84
years. The pain was sub-occipital in 14 cases, occipital in 8 cases, bilateral in
12 cases and unilateral in 5 cases. The mean duration of the attacks was 72 +/-
24 h and the pain intensity was moderate (16 cases); mean and range were 3.6 and
3-6. The frequency of attacks varied between 1 per 7 months (n = 2) and 2 per
week (n = 1) in those with non-daily headache. One attack per 5-7 weeks was the
most commonly occurring attack frequency. The pain was reproduced by the pressure
of the occipital region or upper cervical in 15 cases. The mean number of
criteria was five and there was a strong positive correlation between criteria
and CEH (chi (2) = 45.57; V = 0.62). The associated signs were photophobia and
nausea in one case each. Indomethacin, Ergotamine and/or Sumatriptan were without
any antalgic effect in 16 cases. Pain ceased after an anesthetic blockade of C2
(16 cases). The results show that atlas spina-bifida occulta is not involved in
CEH pure form genesis. On a small sample, the atlas spina-bifida seems to be a
cause of CEH associated with headache and disorders of the neck.
PMID- 26543741
TI - Acceptability of mental health stigma-reduction training and initial effects on
awareness among military personnel.
AB - The purpose of this paper is to report on the development of a mental health
stigma reduction toolkit and training, and the acceptability and level of stigma
awareness following the stigma-reduction training for military personnel. The
overall aims of the training were to provide discussion tools highlighting the
experiences of Marines seeking help for stress concerns, improve communication
between leaders and their Marines around the issue of help seeking, and
familiarize Marines with behavioral health treatment. Senior enlisted leaders and
officers (N = 52) from a Marine Corps battalion participated in a pretest, 2-h
stigma-reduction training and immediate posttest. Acceptability of the training
was measured by querying participants about the usefulness and helpfulness of the
training among other factors, and stigma awareness was measured with 10 items
about mental health stigma. The stigma-reduction training and materials were well
accepted by participants. In addition, there was a significant improvement in
four of ten stigma-reduction awareness concepts measured before and immediately
after the training, which included an increase in agreement that mental health
treatments are usually effective in reducing stress reactions [t(51) = -3.35, p =
0.002], and an increase in disagreement that seeking counseling after a
deployment will jeopardize future deployments [t(51) = -3.05, p = 0.004]. Level
of agreement with several statements including those regarding perceptions of
invincibility, and malingering, among others, did not change significantly after
the training. The stigma-reduction training containing educational and contact
strategies was highly acceptable to the leaders and may have promise for
initially dispelling myths associated with seeking help for stress concerns among
military service members; however, results indicate that there is clearly more
work to be done in combatting stigma.
PMID- 26543743
TI - Korobov polynomials of the third kind and of the fourth kind.
AB - The first degenerate version of the Bernoulli polynomials of the second kind
appeared in the paper by Korobov (Math Notes 2:77-19, 1996; Proceedings of the IV
international conference modern problems of number theory and its applications,
pp 40-49, 2001). In this paper, we study two degenerate versions of the Bernoulli
polynomials of the second kind which will be called Korobov polynomials of third
kind and of the fourth kind. Some properties, identities, recurrence relations
and connections with other polynomials are investigated by using umbral calculus.
PMID- 26543742
TI - Biologic-free remission by orthopaedic surgery in non-responder to infliximab for
rheumatoid arthritis.
AB - The aim of this study was to investigate remission and biologic-free remission
after orthopaedic surgery and related clinical factors in non-responder to
infliximab for rheumatoid arthritis (RA). We analyzed 74 patients who were
treated with 3 mg/kg infliximab and methotrexate and underwent orthopaedic
surgery after non-responder to infliximab with disease activity score (DAS) 28
(CRP) of >=3.2. The rates of remission and biologic-free remission at 52 weeks
after orthopaedic surgery were investigated and the clinical factors related to
remission and biologic-free remission were analyzed by logistic regression and
receiver-operating characteristic analyses. The rates of total remission and
biologic-free remission were 37/74 (50 %) and 9/74 (12.2 %), respectively.
Regarding orthopaedic surgery, the rates of remission and biologic-free remission
were 25/38 (65.8 %) and 7/38 (18.4 %) for synovectomy, 7/20 (35 %) and 0/20 (0 %)
for arthroplasty, and 5/16 (31.3 %) and 2/16 12.5) for others including spine
surgery and foot surgery. DAS28(CRP) at baseline was significantly related to
both remission and biologic-free remission. Prednisolone was negatively
associated with remission, and DAS28(CRP) was related to biologic-free remission
by logistic regression analyses. DAS28(CRP) below 3.7 was cutoff point for
acquiring biologic-free remission of non-responder to infliximab after
orthopaedic surgery. Therefore orthopaedic surgery may be effective to obtain
remission or biologic-free remission in RA patients treated with biologics.
PMID- 26543744
TI - Superficial temporal artery-to-middle cerebral artery bypass surgery for middle
cerebral artery stenosis in a patient with cerebral autosomal-dominant
arteriopathy with subcortical infarcts and leukoencephalopathy.
AB - Cerebral autosomal-dominant arteriopathy with subcortical infarcts and
leukoencephalopathy is a rare hereditary small vessel disease. Ischemic events
are the main clinical manifestation of this condition. Here, we present a case in
which superficial temporal artery-to-middle cerebral artery anastomosis was
performed in a patient with cerebral autosomal-dominant arteriopathy with
subcortical infarcts and leukoencephalopathy who developed cerebral infarctions
caused by severe middle cerebral artery stenosis. Cerebral blood flow and
cerebrovascular reactivity were effectively improved using double anastomoses. To
our knowledge, surgical revascularization for patients with this condition has
not yet been described in the literature. Superficial temporal artery-to-middle
cerebral artery anastomosis is effective for patients with cerebral autosomal
dominant arteriopathy with subcortical infarcts and leukoencephalopathy who show
marked regional cerebral hypoperfusion.
PMID- 26543745
TI - CXCL1 is elevated in the urine of bladder cancer patients.
AB - Chemokines, including chemokine (C-X-C motif) ligand 1 (CXCL1), regulate tumor
epithelial-stromal interactions that facilitate tumor growth and invasion.
Recently, several studies have linked CXCL1 expression to bladder cancer (BCa).
In this study, we aimed to determine if increased levels of urinary CXCL1 were
found in BCa patients. Voided urines from 86 subjects, cancer subjects (n = 43),
non-cancer subjects (n = 43) were analyzed. The protein concentration of CXCL1
was assessed by enzyme-linked immunosorbent assay (ELISA). CXCL1 concentration
level was normalized using urinary protein and urinary creatinine concentrations.
We used the area under the curve of a receiver operating characteristic (AUROC)
to investigate the performance of CXCL1 in detecting BCa. Mean urinary
concentrations of CXCL1 were significantly higher in subjects with BCa compared
to subjects without BCa (179.8 +/- 371.7 pg/mg of creatinine vs. 28.2 +/- 71.9
pg/mg, respectively p = 0.0009). Urinary CXCL1 possessed a sensitivity of 55.81
%, specificity of 83.72 %, positive predictive value of 77.42 %, negative
predictive value of 65.46 %, and an overall accuracy of 69.77 % (AUROC: 0.7015,
95 % CI 0.5903-0.8126). These results indicate that CXCL1 is elevated in BCa when
compared to non-cancer subjects, but lacks robustness as a standalone urinary
biomarker. Additional studies into CXCL1 may shed more light on the role of CXCL1
in BCa tumorigenesis as well as ramifications of therapeutically targeting CXCL1.
PMID- 26543748
TI - Youth development in India: does poverty matter?
AB - This paper explores the differentials in youth development patterns determined by
the economic condition of the household in India. The wealth index is used to
glean youth development differentials in the different economic categories of the
household. The findings suggest that youth from the bottom 20 per cent (poorest)
of households are deprived in education, employment, labour force and are not
working currently compared to youth from the middle and rich households. The
states differ in youth development patterns (employment, appropriate education,
skill development and awareness about health). There are more working youth among
poor households than among rich households in India. Female youth are more
disadvantaged compared to male youth and it is the same with the rural-urban
distribution of youth. This paper concludes that the various economic
categories/wealth index (poorest, poorer, middle, richer and richest) directly
determine the pattern of youth development in India.
PMID- 26543747
TI - Sales of macrolides, lincosamides, streptogramins, and amoxicillin/clavulanate in
the in- and outpatient setting in 10 European countries, 2007-2010.
AB - Monitoring the use of antibiotics is relevant due to the public health impact of
microbial resistance, adverse effects, and costs. We present data on the
consumption of macrolides, lincosamides, streptogramins and
amoxicillin/clavulanate (AMC) between 2007 and 2010 in the in-and outpatient
healthcare setting in 10 European countries provided by IMS Health. Antibiotics
were classified according to the anatomical therapeutic chemical classification
and consumption was expressed in defined daily doses/1000 inhabitants/day (DIDs).
We analysed the number of prescriptions by diagnostic codes between 2008 and
2010, based on the International Classification of Diseases, 10th revision (ICD
10). These ICD-10 codes were grouped into four main categories: respiratory
infections, genitourinary infections, other infections and other diagnoses. In
2010, the consumption of macrolides and lincosamides ranged from 0.45 DIDs
(Sweden) to 5.46 DIDs (Italy), and from 0.04 DIDs (Denmark) to 1.00 DID
(Germany), respectively. Streptogramins were available in France, Germany, Italy,
Norway, Spain and United Kingdom with a consumption of <0.001 DID exclusively in
the hospital setting. The consumption of AMC ranged from <0.001 DIDs (Norway) to
11.67 DIDs (Spain). During the study period, the consumption of macrolides
decreased, the consumption of AMC increased in most of European countries, and
lincosamides varied very slightly. Macrolides and AMC were mainly prescribed for
respiratory infections in all countries but United Kingdom, where most of the
prescriptions were assigned to diagnostic codes not clearly related with an
infection. Lincosamides were prescribed for the respiratory infections and other
infections groups. There was a wide inter-country variability in the percentage
of the prescriptions assigned to each of the diagnostic categories. The inter
country differences in the consumption of these antibiotics and their
prescription by diagnostic categories point to an inappropriate use of
antibiotics.
PMID- 26543746
TI - Understanding drugs in breast cancer through drug sensitivity screening.
AB - With substantial numbers of breast tumors showing or acquiring treatment
resistance, it is of utmost importance to develop new agents for the treatment of
the disease, to know their effectiveness against breast cancer and to understand
their relationships with other drugs to best assign the right drug to the right
patient. To achieve this goal drug screenings on breast cancer cell lines are a
promising approach. In this study a large-scale drug screening of 37 compounds
was performed on a panel of 42 breast cancer cell lines representing the main
breast cancer subtypes. Clustering, correlation and pathway analyses were used
for data analysis. We found that compounds with a related mechanism of action had
correlated IC50 values and thus grouped together when the cell lines were
hierarchically clustered based on IC50 values. In total we found six clusters of
drugs of which five consisted of drugs with related mode of action and one
cluster with two drugs not previously connected. In total, 25 correlated and four
anti-correlated drug sensitivities were revealed of which only one drug,
Sirolimus, showed significantly lower IC50 values in the luminal/ERBB2 breast
cancer subtype. We found expected interactions but also discovered new
relationships between drugs which might have implications for cancer treatment
regimens.
PMID- 26543749
TI - ELISA-based detection of gentamicin and vancomycin in protein-containing samples.
AB - BACKGROUND: Orthopaedic implant infections are treated by surgical debridement,
systematic antibiotic treatment or local antibiotic treatment with antibiotic
loaded beads. Currently antibiotic concentrations in wound exudate, serum, urine
or tissue samples are determined with HPLC or fluorescent spectrometric assays.
Both methods are heavily influenced due to proteins in the samples.
QUESTIONS/PURPOSES: Is ELISA capable to detect gentamicin and vancomycin in
protein-containing samples like serum and wound exudate. METHODS: Two specific
competitive ELISA-assays were set-up to detect either gentamicin or vancomycin in
protein-rich samples. An antibiotic-BSA hapten was generated as a coatable
antigen and commercially available antibodies were applied for downstream
immunodetection. RESULTS: The developed ELISAs perform at a detection range of 2
500 ng/ml gentamycin and 20-5000 ng/ml vancomycin. Both ELISAs were capable of
detecting these antibiotics in human serum and wound exudate without being
compromised by the presence of proteins. We did not detect cross-reactivity for
gentamicin in the vancomycin ELISA or vice versa. CONCLUSIONS: The antibiotic
ELISAs detect gentamicin and vancomycin at low concentrations in protein-rich
samples and they can be used as a high throughput and cost-effective alternative
for chromatographic or fluorescent methods. CLINICAL RELEVANCE: These ELISAs can
be used to detect very low gentamicin or vancomycin concentrations in clinical
samples or assess novel orthopaedic antibiotic release systems in in vitro and in
vivo studies.
PMID- 26543750
TI - Mammography screening in less developed countries.
AB - Less developed countries (LDCs) are struggling with an increasing burden of
breast cancer. It is important to identify what interventions might be most
effective and feasible in reducing overall breast cancer mortality in a resource
constrained settings. Mammography screening (MS) utilized in developed countries
cannot be equally applied to LDCs. We provide a summary of the status of existing
and past MS program attempts in LDCs, and try to determine the prerequisites
under which any developing country is ready to benefit from a MS program. We make
the case for a "mixed" portfolio of tools to reduce breast cancer mortality with
MS reserved only for those sub-populations that meet the criteria. We hope our
review will provide a background for policy makers to apply rigorous criteria
before attempting to implement costly MS program and before judiciously
evaluating additional competed programs in their countries.
PMID- 26543751
TI - 5p13.3p13.2 duplication associated with developmental delay, congenital
malformations and chromosome instability manifested as low-level aneuploidy.
AB - Recent developments in molecular cytogenetics allow the detection of genomic
rearrangements at an unprecedented level leading to discoveries of previously
unknown chromosomal imbalances (zygotic and post-zygotic/mosaic). These can be
accompanied by a different kind of pathological genome variations, i.e.
chromosome instability (CIN) manifested as structural chromosomal rearrangements
and low-level mosaic aneuploidy. Fortunately, combining whole-genome and single
cell molecular cytogenetic techniques with bioinformatics offers an opportunity
to link genomic changes to specific molecular or cellular pathology. High
resolution chromosomal SNP microarray analysis was performed to study the genome
of a 15-month-aged boy presented with developmental delay, congenital
malformations, feeding problems, deafness, epileptiform activity, and eye
pathology. In addition, somatic chromosomal mutations (CIN) were analyzed by
fluorescence in situ hybridization (FISH). Interstitial 5p13.3p13.2 duplication
was revealed in the index patient. Moreover, CIN manifested almost exclusively as
chromosome losses and gains (aneuploidy) was detected. Using bioinformatic
analysis of SNP array data and FISH results, CIN association with the genomic
imbalance resulted from the duplication was proposed. The duplication was
demonstrated to encompass genes implicated in cell cycle, programmed cell death,
chromosome segregation and genome stability maintenance pathways as shown by an
interactomic analysis. Genotype-phenotype correlations were observed, as well. To
the best our knowledge, identical duplications have not been reported in the
available literature. Apart from genotype-phenotype correlations, it was possible
to propose a link between the duplication and CIN (aneuploidy). This case study
demonstrates that combining SNP array genomic analysis, bioinformatics and
molecular cytogenetic evaluation of somatic genome variations is able to provide
a view on cellular and molecular pathology in a personalized manner. Therefore,
one can speculate that similar approaches targeting both interindividual and
intercellular genomic variations could be useful for a better understanding of
disease mechanisms and disease-related biological processes.
PMID- 26543752
TI - Opportunities and challenges of indigenous biotic weather forecasting among the
Borena herders of southern Ethiopia.
AB - The practical utilization of available modern as well as traditional weather
forecasting systems builds herders' resiliency capacity to climatic shocks. The
precision and reliability of the forecasting system determines its creditability
and acceptance by the users to be proactive in the decisions they make based on
the forecasted information. It has been postulated that traditional weather
forecasting systems are becoming less reliable due to repeated faulty forecasts.
The study assesses the current status of the Borana traditional weather
forecasting system and how traditional experts make weather forecasts based on
biotic indicators such as intestinal readings, changes in plant and animal body
languages. Questionnaire survey, field observations, focus group discussions and
interviews with relevant key informants were employed to obtain data. Collected
field data was compared with National Metrological Service Agency instrumental
data for consistency. Results reveal that herders made short term weather
forecasts using intestinal readings, and observed changes in plant and animal
body languages. The study shows the extent how public confidence in the accuracy
of indigenous weather forecasting skills has been gradually eroded overtime due
to faulty forecasts. The precision and credibility of the traditional weather
forecast steadily declined and led to repeated faulty predictions. Poor
documentation, oral based knowledge transfer system, influence of religion and
modern education, aging and extinction of traditional experts were identified as
the major causes undermining the vitality of traditional climate forecast.
Traditional weather foresting knowledge and skill could have some utility and
also serve as a starting point to scientifically study the relationship between
various signs and implied climatic events. This article recommends before
traditional Borana weather forecasting system completely disappears, a remedial
action should be carried out to rescue this long established wisdom, knowledge
and skill and maximize the benefits from what works well. The forecast needs of
herders could be rendered by a combination of modern and traditional weather
forecasting services. Further research is required to explore possible area of
complementarity between the modern and traditional forecasting systems for
improved efficiency and effectiveness in predictability, dissemination and
advice.
PMID- 26543753
TI - Low carbon transportation in Thailand: CO2 mitigation strategy in 2050.
AB - Nationally Appropriate Mitigation Actions (NAMAs) involve the collaboration on
reduction of greenhouse gas (GHG) emissions in developing countries with suitable
countermeasures relevant to the state of technological and economic conditions
prevalent in the country. This study proposes appropriate GHG countermeasures in
Thai transport NAMAs, which are based on the implementation of transport demand
management, modal shift, fuel switching, and advanced technologies in the
timeframe between 2005 and 2050. Furthermore, this study considers the impacts of
CO2 mitigation through the proposed countermeasures on energy security and GHG
emissions. Results of analyses on low carbon transportation are also useful to
other developing countries. Finally, the concept of marginal abatement cost is
employed to investigate cost-effective mitigation countermeasures.
PMID- 26543754
TI - Modulatory effect of protocatechuic acid on cadmium induced nephrotoxicity and
hepatoxicity in rats in vivo.
AB - INTRODUCTION: This study sought to investigate the effect of protocatechuic acid
(PCA); a phenolic compound readily available in most plant foods on cadmium
induced nephrotoxicity and hepatoxicity in rats. CASE DESCRIPTION: Thirty six
adult male rats weighing about 150-160 g were acclimatized for 2 weeks and
subsequently divided into six groups: Group 1 rats received normal saline
(control group), group 2 rats were administered 5 mg Cd/kg body weight in form of
solution orally (induced group), groups 3 and 4 received cadmium solution and
different doses of PCA (10 and 20 mg/kg body weight) respectively, while groups 5
and 6 were the normal rats administered different doses of PCA (10 and 20 mg/kg)
respectively in an experiment that lasted for twenty one days. The animals were
sacrificed, the blood was collected and the serum was subsequently prepared.
Furthermore, the liver was excised, homogenized and centrifuged to obtain the
tissue homogenate used for the analyses. The serum was used for the determination
of the total protein, urea, creatinine and uric acid levels while the liver
homogenate was used for the estimation of alanine aminotransferase (ALT),
aspartate transaminase (AST), and alkaline phosphatase (ALP). DISCUSSION AND
EVALUATION: The result revealed that total protein level was reduced in cadmium
induced toxicity rat group which was elevated upon treatment with PCA.
Conversely, the elevated levels of urea, uric acid and creatinine in cadmium
induced toxicity kidney rats were significantly (p < 0.05) reduced in PCA treated
groups. Similarly, marked elevation in the ALT, AST and ALP activity were
observed in cadmium induced toxicity rat group when compared with the control
group. However, significant (p < 0.05) decrease in ALT, AST and ALP activity were
noticed in groups administered different doses of PCA. CONCLUSIONS: The results
from this study suggest that PCA may protect against cadmium-induced toxicity in
the kidney and liver.
PMID- 26543755
TI - Susceptibility variation to different entomopathogenic nematodes in Strategus
aloeus L (Coleoptera: Scarabaeidae).
AB - Strategus aloeus L (Coleoptera: Scarabaeidae), known as "Little bull" or oil palm
"chiza" is a limiting pest in palm plantation in Cesar Colombia. Its management
is based on pesticide use or old palm removal in renewal lots. Therefore, other
alternatives are being sought out. Entomopathogenic nematodes isolated from the
Colombian Andean region were evaluated. Under laboratory conditions S. aloeus
third instar larvae exposure to 160 infective juveniles (IJs) per/cm(2)
Steinernema sp3 JCL027, S. feltiae SCT125, S. websteri JCL006, S. colombiense
SNI0198, Heterorhabditis bacteriophora HNI0100, H. bacteriophora HASA702, H.
indica SL0708 (n = 20) was evaluated under a completely randomized design. The
experiment was repeated three times on different dates. Significant differences
were observed (F = 11.127, df = 7. 24, p = 0.0054), registering mortality between
3 and 14 days. Steinernema sp3 JCL027 was the strain producing the highest
mortality rate (19.3 +/- 8 %), followed by H. bacteriophora HNI0100 (5.2 +/- 9
%). Thus, we evaluated Steinernema sp3 JCL0270 using a randomized design at 0,
160, 290, 420, 550, 680, 810 IJs/cm(2) (n = 12). The experiment was repeated
three times on different dates. Significant differences were found among
treatments (44 +/- 5 %, F = 14.676; df = 6. 21, p = 0.001), with 680 IJs/cm(2)
producing the highest mortality followed by 810 IJs/cm(2) (22 +/- 5 %). In
conclusion, this alternative must be further explored in search of pesticide use
and cost reduction, in addition to young palm loss in a plantation.
PMID- 26543756
TI - Disrupted normal ingestion during glucose intake modulates glucose kinetics in
humans.
AB - This study aims to reveal the importance of chemical senses in glucose kinetics
and autonomic nervous activity by imposing interventions during glucose intake.
The glucose-loading test was applied to seven healthy individuals. Three
successive oral glucose-loadings induced a gradual downward shift in the blood
glucose curves (BGC) together with increased salivary alpha-amylase activity (s
AMY) and positively correlated with satisfaction scores. On the other hands,
adding a pleasant flavor given during the third trial increased the BGC to the
same level as that during the first loading with decreased s-AMY value. Direct
intragastric delivery of glucose or clipping the nose induced a downward shift in
both BGC and serum insulin response curves (IRC), resulting in a decrease of the
area under the BGC, positively correlated with the area under the IRC and
satisfaction scores, respectively. The present study suggests that disrupted
normal ingestion during glucose intake modulates glucose kinetics along with
increased s-AMY values, indicating enhanced sympathetic nervous activity and
favorable chemical senses are important in maintaining glucose kinetics.
PMID- 26543757
TI - Effect of oral glucocorticoid intake on autonomic cardiovascular control.
AB - This study analyzed baroreflex sensitivity, heart rate and systolic blood
pressure variabilities during an oral 1 week administration of prednisone. This
study examined the hypothesis that prednisone might change both systolic blood
pressure level and baroreflex sensitivity. Twelve physically active male subjects
participated to a double-blind, randomized cross-over study consisting of two 1
week periods of treatment separated by a 4-week drug-free washout period: placebo
(PLA) or prednisone (PRED). Trials were performed by each subject four times on
the second (D2) and seventh (D7) day of each treatment period. ECG and blood
pressure were continuously recorded to compute heart rate variability, systolic
blood pressure variability and baroreflex sensitivity components with the
smoothed pseudo Wigner Ville distribution and baroreflex analysis. Following D2
prednisone treatment, both HR (PLA: 60.8 +/- 10.5 vs. PRED: 65.8 +/- 9.1 beats
min(-1), p = 0.008) and low frequency component of systolic blood pressure
variability (D2: 3.09 +/- 0.19 vs. D7: 2.34 +/- 0.19, p < 0.041) increased
whereas other components did not change. Over 7 days of treatment, LF-SBP
amplitude increased (D2: 2.71 +/- 0.89 vs. D7: 3.87 +/- 0.6 mmHg, p = 0.037). A
slight increase in both HR and LF-SBPV were observed suggesting a potential
sympathetic cardiovascular stimulus. Although we found a significant effect of
the 1-week prednisone treatment on heart rate and low frequency power of systolic
blood pressure variability, we reported neither an increase in the systolic blood
pressure level nor a decrease in the baroreflex sensitivity. Therefore, the
fragility of our results cannot support a deleterious effect of 1-week
administration of prednisone on the autonomic cardiovascular control which might
be involved in cardiovascular diseases.
PMID- 26543758
TI - Validation of the electronic Holistic Needs Assessment.
AB - Macmillan Cancer Support UK have developed an electronic Holistic Needs
Assessment (eHNA) to: (1) help people living with cancer express all their needs,
(2) help those helping them better target support. eHNA consists of 48 items each
ranked from zero (no problem) to 10. There has been no psychometric analysis of
this tool and so its validity and reliability are untested. The aim of this study
was to evaluate the psychometric properties of the eHNA by examining its
construct validity. Objectives were to (a) test whether the eHNA measured
holistic concerns and (b) analyse the factor structure of the eHNA. Objectives
were achieved through a secondary analysis of 5421 responses to eHNA using
concurrent application of Rasch analysis and principal component analysis. All
the items bar one fit with the Rasch rating model and were equivalently important
to people. Differential item functioning was evident according to whether people
were described as curative or not. A 12-factor solution explained 46 % variance.
Of this the emotional/spiritual factor explained the most variance accounting for
15 %. The eHNA was internally consistent and conceptually coherent with the
construct of holistic needs assessment. Clinical focus is best directed to the
individual items highlighted by the patient except where patients check too many
problems for the clinician to accurately prioritise. In these cases only, the
emotional/spiritual factor may help identify appropriate clinical action.
Strengths and weaknesses of the analyses are discussed, particularly in relation
to 'at risk' subsamples such as those classified as non-curative.
PMID- 26543759
TI - Realities in cost-effectiveness analyses: a study of castration-resistant
prostate cancer patients using a medical claims database.
AB - Previous cost-effectiveness analyses (CEAs) of abiraterone for castration
resistant prostate cancer (CRPC) patients have not shown favorable results for
this new drug. These CEAs were generally conducted based on models used in
clinical trials, where comparisons were made with patients given placebos.
However, details on any other therapies provided to the comparison groups were
not analyzed. These additional therapies should be considered when conducting
CEAs to ensure better applications to clinical practice and policymaking. The
objective of this study was to elucidate the actual therapies provided to CRPC
patients using real-world claims data. We obtained anonymized computerized health
care claims data of Japanese prostate cancer patients from the Japan Medical Data
Center. This database comprises data from more than 2.5 million insured persons
aged below 75 years from over 50 companies between January 2005 and June 2013.
From among the prostate cancer patients, we identified CRPC patients as those who
had been administered docetaxel, and further investigated their treatments and
health care costs. Health care costs were estimated using a regression model
accounting for variations in inpatient care, chemotherapies, death, and age. We
identified 2138 prostate cancer patients, 36 of whom had been administered
docetaxel. We excluded patients diagnosed with other cancers, resulting in a
final sample of 18 cases. Of these, 66.7 % were administered other types of
chemotherapy, which had not been considered in the control groups in most
previous CEAs. We estimated mean health care costs for CRPC to be approximately
US$952 per patient per month, and found that these costs were significantly
affected by inpatient care and chemotherapy use. Actual therapies include a
variety of treatments for CRPC patients, including various types of chemotherapy.
Our study estimated health care costs based on real-world claims data. This study
contributes to future CEAs by not only providing an estimate of health care costs
for these patients, but also demonstrating that the actual therapies provided to
comparison groups should be considered when conducting CEAs.
PMID- 26543760
TI - Eribulin monotherapy improved survivals in patients with ER-positive HER2
negative metastatic breast cancer in the real world: a single institutional
review.
AB - Despite being routinely prescribed worldwide for several years, data regarding
the safety, efficacy, and survival benefit of eribulin in clinical settings for
the treatment of metastatic breast cancer (MBC) are limited. This retrospective
observational study investigated the survival benefit of eribulin compared with
conventional chemotherapy regimens in Japanese women with MBC. Women with
estrogen receptor (ER)-positive human epidermal growth factor receptor 2 (HER2)
negative (ER+/HER2-) MBC, including unresectable locally advanced breast cancer,
treated at a single institution were included in this study. The primary efficacy
measure assessed overall survival (OS), and safety was evaluated as the number of
grade 3 and 4 adverse events. Of the 293 patients analyzed, 66 received eribulin
(eribulin arm) and 227 received conventional chemotherapeutic agents excluding
eribulin (noneribulin arm). The median OS from MBC diagnosis in the eribulin arm
was 72.1 months (95 % CI 13.3-168.3) compared with 43.3 months (95 % CI 9.1
202.0) in the noneribulin arm [hazard ratio (HR): 0.67, 95 % CI 0.47-0.96; P =
0.025]. No significant differences were noted in OS between eribulin used as a
first-/second-line or third-/>third-line treatment for MBC. No patient
discontinued eribulin therapy due to AEs. In the eribulin arm, grade 4
neutropenia and grade 3 febrile neutropenia were observed in 8 (12.1 %) and 4
(6.1 %) patients, respectively. Eribulin therapy has a survival benefit in
Japanese women with ER+/HER2- MBC in routine clinical practice, with no
unexpected grade 3/4 AEs. Interestingly, eribulin might be beneficial as any line
therapy for ER+/HER2- MBC.
PMID- 26543761
TI - Global methaemoglobinaemia research output (1940-2013): a bibliometric analysis.
AB - Bibliometric studies, which involve the use of statistical methods, are
increasingly being used for research assessment. A bibliometric analysis was
conducted to evaluate the publication pattern of methaemoglobinaemia research
output at the global level based on the Scopus database. We analysed selected
documents with "methemoglobinemia", or "methaemoglobinaemia" as a part of the
title and reported the following parameters: trends of publication output,
country of publication, journal pattern, collaborative measures, citations
pattern, and institute productivity. A total of 1770 articles were published
worldwide. The time trend for the number of articles showed an increase after
2000. The highest number of articles related to methaemoglobinaemia was from the
USA (24.8 %), followed distantly by the UK (4.5 %), India (3.7 %), and France
(3.7 %). No data related to methaemoglobinaemia were published from 152
countries. The total number of citations at the date of data collection was
10,080, with an average of 5.7 citations per document. The USA and UK had the
highest h-index of 31 and 14, respectively, and six countries had an h-index of 9
14. It is notable that Canada was ranked eighth in the number of publications but
fourth in h-index and India was ranked third in the number of publications but
eighth in h-index. Furthermore, Canada produced the most internationally
collaborated papers out of the total number of publications for each country
(16.1 %), followed by the UK (13.9 %). This bibliometric analysis provides data
contributing to a better understanding of the methaemoglobinaemia research field.
The number of publications on methaemoglobinaemia increased significantly after
2000. The USA was the most productive country as measured by total publications.
The USA and UK achieved the highest h-index in the field of methaemoglobinaemia
research, signifying a higher quality of research than other countries.
PMID- 26543762
TI - Molecular characterization of nosocomial Clostridium difficile infection in
pediatric ward in Iran.
AB - Clostridium difficile is recognized as a major cause of nosocomial acquired
antibiotic-associated diarrhea and pseudomembranous colitis. It is a significant
financial burden on modern healthcare resources. This study aimed to assess the
molecular characterization of C. difficile strains isolated from children under 5
years old suffered from nosocomial diarrhea. One hundred diarrheic and 130 non
diarrheic fecal samples were collected from pediatrics less than 5 years old.
Samples were cultured and C. difficile isolates were subjected to the PCR
technique to study the distribution of ribotypes of C. difficile using P3 and P5
primers. Fifty-two out of 100 samples (52 %) were positive for C. difficile. The
prevalence of bacterium in healthy children was 4.61 %. Total prevalence of C.
difficile in diarrheic girls and boys were 48.9 and 54.7 %, respectively.
Thirteen to twenty-four month age children had the highest prevalence of C.
difficile. The most commonly detected ribotypes in the C. difficile isolates of
Iranian pediatrics were RT027 (11.52 %), R1 (9.61 %) and R13 (7.68 %). The
ribotypes of all of the six bacterial isolates of healthy children was not
diagnosed. According to the presence of C. difficile and R27 ribotype, a
continued genotype surveillance of this bacterium is necessary to monitor changes
in the prevalence of certain strains and to identify the emergence of new strains
that could affect future vaccine strategies.
PMID- 26543763
TI - MicroBlaze implementation of GPS/INS integrated system on Virtex-6 FPGA.
AB - The emphasis of this paper is on MicroBlaze implementation of GPS/INS integrated
system on Virtex-6 field programmable gate array (FPGA). Issues related to
accuracy of position, resource usage of FPGA in terms of slices, DSP48, block
random access memory, computation time, latency and power consumption are
presented. An improved design of a loosely coupled GPS/INS integrated system is
described in this paper. The inertial navigation solution and Kalman filter
computations are provided by the MicroBlaze on Virtex-6 FPGA. The real time
processed navigation solutions are updated with a rate of 100 Hz.
PMID- 26543764
TI - A model for solving the prescribed burn planning problem.
AB - The increasing frequency of destructive wildfires, with a consequent loss of life
and property, has led to fire and land management agencies initiating extensive
fuel management programs. This involves long-term planning of fuel reduction
activities such as prescribed burning or mechanical clearing. In this paper, we
propose a mixed integer programming (MIP) model that determines when and where
fuel reduction activities should take place. The model takes into account
multiple vegetation types in the landscape, their tolerance to frequency of fire
events, and keeps track of the age of each vegetation class in each treatment
unit. The objective is to minimise fuel load over the planning horizon. The
complexity of scheduling fuel reduction activities has led to the introduction of
sophisticated mathematical optimisation methods. While these approaches can
provide optimum solutions, they can be computationally expensive, particularly
for fuel management planning which extends across the landscape and spans long
term planning horizons. This raises the question of how much better do exact
modelling approaches compare to simpler heuristic approaches in their solutions.
To answer this question, the proposed model is run using an exact MIP (using
commercial MIP solver) and two heuristic approaches that decompose the problem
into multiple single-period sub problems. The Knapsack Problem (KP), which is the
first heuristic approach, solves the single period problems, using an exact MIP
approach. The second heuristic approach solves the single period sub problem
using a greedy heuristic approach. The three methods are compared in term of
model tractability, computational time and the objective values. The model was
tested using randomised data from 711 treatment units in the Barwon-Otway
district of Victoria, Australia. Solutions for the exact MIP could be obtained
for up to a 15-year planning only using a standard implementation of CPLEX. Both
heuristic approaches can solve significantly larger problems, involving 100-year
or even longer planning horizons. Furthermore there are no substantial
differences in the solutions produced by the three approaches. It is concluded
that for practical purposes a heuristic method is to be preferred to the exact
MIP approach.
PMID- 26543765
TI - A phase I/II trial of epirubicin and docetaxel in locally advanced breast cancer
(LABC) on 2-weekly or 3-weekly schedules: NCIC CTG MA.22.
AB - This phase I/II neoadjuvant trial (ClinicalTrials.gov identifier NCT00066443)
determined maximally-tolerated doses (MTD), dose-limiting toxicities, response-to
therapy, and explored the role of novel response biomarkers. MA.22 accrued T3N0,
any N2 or N3, and T4 breast cancer patients. Treatment was 6 cycles of 3-weekly
(Schedule A; N = 47) or 8 cycles of 2-weekly (Schedule B; N = 46)
epirubicin/docetaxel chemotherapy in sequential phase I/II studies, with growth
factor support. In phase I of each schedule, MTDs were based on DLT. In phase II,
clinical responses (CR/PR) and pathologic complete responses (pCR) were assessed.
Tumor biopsy cores were obtained pre-, mid-, and post-treatment: 3 for pathologic
assessment; 3 for microarray studies. DLT for Schedule A was febrile neutropenia
at 105 mg/m(2) epirubicin and 75 mg/m(2) docetaxel; for schedule B, it was
fatigue at 75 mg/m(2) for both agents. Phase II doses were 90 mg/m(2)
epirubicin/75 mg/m(2) docetaxel for Schedule A and 60 mg/m(2) (both agents) for
Schedule B. Schedule A CR/PR and pCR rates were 90 and 10 %, with large
reductions in tumor RNA content and integrity following treatment; Schedule B
results were 93 and 0 %, with smaller reductions in RNA quality. Pre-treatment
expression of several genes was associated with clinical response, including
those within a likely amplicon at 17q12 (ERBB2, TCAP, GSDMB, and PNMT). The
combination regimens had acceptable toxicity, good clinical response, induction
of changes in tumor RNA content and integrity. Pre-treatment expression of
particular genes was associated with clinical responses, including several near
17q12, which with ERBB2, may better identify chemoresponsiveness.
PMID- 26543766
TI - A case of co-existing paraganglioma and thymoma.
AB - BACKGROUND: Head and neck paragangliomas are rare tumours and can arise as a part
of inherited syndromes. Their association with thymic tumour is not well known.
CASE DESCRIPTION: This report describes a female patient who presented with right
sided neck paragangliomas. The histology of the tumour was consistent with
paraganlioma. Few years later her MRI scan of the chest revealed presence of an
anterior mediastinal mass that corresponded to the location of the thymus. Review
of her previous scans showed that the mass was present all along and had
gradually increased in size. Patient developed symptoms including fatigue,
dyspnoea, migratory polyarthritis, Raynaud's phenomenon and erythema nodosum. She
had sternotomy and excision of mediastinal mass. The histology was consistent
with cortical thymoma (WHO type B2) and she had radiotherapy. After treatment her
constitutional symptoms improved. Her paraganglioma susceptibility genes are
negative. DISCUSSION AND EVALUATION: To our knowledge this is only the second
case report in the literature of coexistence of carotid body tumour and thymoma.
The first case reported was bilateral carotid body tumour, thyroid gland adenoma
and thymoma. This case also highlights the importance of long term surveillance,
multidisciplinary management and being aware of associated pathologies in
patients with isolated paraganglioma.
PMID- 26543767
TI - StatXFinder: a web-based self-directed tool that provides appropriate statistical
test selection for biomedical researchers in their scientific studies.
AB - The improper use of statistical methods is common in analyzing and interpreting
research data in biological and medical sciences. The objective of this study was
to develop a decision support tool encompassing the commonly used statistical
tests in biomedical research by combining and updating the present decision trees
for appropriate statistical test selection. First, the decision trees in
textbooks, published articles, and online resources were scrutinized, and a more
comprehensive unified one was devised via the integration of 10 distinct decision
trees. The questions also in the decision steps were revised by simplifying and
enriching of the questions with examples. Then, our decision tree was implemented
into the web environment and the tool titled StatXFinder was developed. Finally,
usability and satisfaction questionnaires were applied to the users of the tool,
and StatXFinder was reorganized in line with the feedback obtained from these
questionnaires. StatXFinder provides users with decision support in the selection
of 85 distinct parametric and non-parametric statistical tests by directing 44
different yes-no questions. The accuracy rate of the statistical test
recommendations obtained by 36 participants, with the cases applied, were 83.3 %
for "difficult" tests, and 88.9 % for "easy" tests. The mean system usability
score of the tool was found 87.43 +/- 10.01 (minimum: 70-maximum: 100). A
statistically significant difference could not be seen between total system
usability score and participants' attributes (p value >0.05). The User
Satisfaction Questionnaire showed that 97.2 % of the participants appreciated the
tool, and almost all of the participants (35 of 36) thought of recommending the
tool to the others. In conclusion, StatXFinder, can be utilized as an
instructional and guiding tool for biomedical researchers with limited statistics
knowledge. StatXFinder is freely available at
http://webb.deu.edu.tr/tb/statxfinder.
PMID- 26543768
TI - Mixture models for analyzing product reliability data: a case study.
AB - In the case of manufactured products, there are situations where some components
of a product are produced over a period of time by collecting items from
different vendors, using different raw materials, machines, and manpower. The
physical characteristics and the reliabilities of such components may be
different, but sometimes it is difficult to distinguish them clearly. In such
situations, mixtures of distributions are often used in the analysis of
reliability data for these components. Here a twofold Weibull-Weibull mixture
model is applied to analyze product reliability data that consist of both failure
and censored lifetimes. The Expectation-Maximization (EM) algorithm is used to
find the maximum likelihood estimates of the model parameters. As a case study,
it analyses an Aircraft component (Windshield) failure data and various
characteristics of the mixture model, such as the reliability function, B10 life,
mean time to failure, etc., are estimated to assess the reliability of the
component. Simulation studies are performed to investigate the properties and
uses of the proposed method.
PMID- 26543769
TI - Promoter methylation of TRIM9 as a marker for detection of circulating tumor DNA
in breast cancer patients.
AB - The aim of the present study was to investigate the promoter methylation status
of TRIM9 in breast cancer and to determine the presence of TRIM9-methylated
circulating tumor DNA (ctDNA) in plasma. Bisulfite sequencing with a next
generation sequencer showed TRIM9 promoter methylation in 92 % (11/12) of breast
cancer cell lines (BCCs) and 68 % (13/19) of breast tumor tissues but not in any
normal breast tissues (0/19). Methylation ratio of TRIM9 was significantly lower
in basal type (9 %, n = 23) than luminal A (69 %, n = 29, P = 0.0003).
Quantitative RT-PCR of BCCs disclosed an inverse correlation between TRIM9 mRNA
expression and methylation ratio. TRIM9 methylated ctDNA in plasma was detected
in 18 % (10/56) of metastatic breast cancer patients but not in any of 60 healthy
controls. These results indicate that TRIM9 promoter hypermethylation, which
suppresses TRIM9 mRNA expression, occurs in a significant proportion of breast
tumors, and that TRIM9-methylated ctDNA thus may serve as a tumor marker for
breast cancer.
PMID- 26543770
TI - Opsoclonus-myoclonus syndrome after adenovirus infection.
AB - Autoimmune and paraneoplastic movement disorders are rare in childhood. Diagnosis
often relies on clinical manifestations and clinicians' recognition. A 22-month
old girl at onset of opsoclonus-myoclonus syndrome (OMS) was followed for 8
years. Adenovirus (type C subtype 3) infection coincided with manifestation. Data
on treatment, imaging and follow-up are provided. In the spinal fluid, elevated
anti-rubella antibodies and oligoclonal bands were detected. An autoimmune
process affecting mainly cerebellar neurons was revealed immunohistochemically.
Moderately intense long-term immunosuppressive therapy resulted in a favorable
clinical outcome. A video demonstrated severe OMS manifestations at onset,
followed by nearly complete recovery after treatment. We describe the association
of a parainfectious OMS and adenovirus infection; laboratory results indicate a
non-specific humoral process affecting mainly cerebellar neurons. Our video
documentation will aid to recognize this rare movement disorder and to initiate
early treatment.
PMID- 26543771
TI - CYP3A5 and ABCB1 genotype influence tacrolimus and sirolimus pharmacokinetics in
renal transplant recipients.
AB - CYP3A5 and ABCB1 polymorphisms have been shown to influence tacrolimus blood
concentrations and dose requirements, but the conclusion in the current reports
were inconformity. Sirolimus are also metabolized by CYP3A subfamily and are
substrates of the P-gp. The aim was to determine whether these polymorphisms
affect tacrolimus (TAC) and sirolimus (SRL) trough concentrations and dose
requirements after renal transplantation. 153 renal transplant recipients were
enrolled into this study, 112 were treated with TAC-based regimen, Another 43
recipients received SRL-based regimen. The recipients' mean follow-up time was 20
mo (range 15-27 mo). All renal transplant recipients were all in a stable stage.
The trough concentration and daily dose of TAC and SRL were gained from each
recipient. All recipients were genotyped for CYP3A5 (6986A>G), CYP3A4 intron 6
(CYP3A4*22), CYP3A4*18, ABCB1 exon 26 (3435C>T), exon 12 (1236C>T) and 2677G>T/A
SNPs by HRM analysis (high-resolution melting curve analysis). The TAC and SRL
concentration/dose ratio (C/D) in recipients with CYP3A5 (*)3/(*)3 were
significantly higher than that of those with (*)1 allele (P < 0.05). However,
there was no significant correlation between adjusted TAC and SRL trough
concentrations or dose requirements with CYP3A4 and ABCB1 SNPs genetic
polymorphisms. In recipients with TAC-based or SRL-based therapy, the CYP3A5
genes (6986A>G) can influence the TAC and SRL pharmacokinetics in renal
transplant recipients.
PMID- 26543774
TI - A study of heat and mass transfer in a fractional MHD flow over an infinite
oscillating plate.
AB - Exact expressions of velocity, temperature and mass concentration have been
calculated for free convective flow of fractional MHD viscous fluid over an
oscillating plate. Expressions of velocity have been obtained both for sine and
cosine oscillations of plate. Corresponding fractional differential equations
have been solved by using Laplace transform and inverse Laplace transform. The
expression of temperature and mass concentration have been presented in the form
of Fox-H function and in the form of general Wright function, respectively and
velocity is presented in the form of integral solutions using Generalized
function. Some limiting cases of fluid and fractional parameters have been
discussed to retrieve some solutions present in literature. The influence of
thermal radiation, mass diffusion and fractional parameters on fluid flow has
been analyzed through graphical illustrations.
PMID- 26543773
TI - Characterization of Lactobacillus salivarius alanine racemase: short-chain
carboxylate-activation and the role of A131.
AB - Many strains of lactic acid bacteria produce high concentrations of d-amino
acids. Among them, Lactobacillus salivarius UCC 118 produces d-alanine at a
relative concentration much greater than 50 % of the total d, l-alanine (100d/d,
l-alanine). We characterized the L. salivarius alanine racemase (ALR) likely
responsible for this d-alanine production and found that the enzyme was activated
by carboxylates, which is an unique characteristic among ALRs. In addition,
alignment of the amino acid sequences of several ALRs revealed that A131 of L.
salivarius ALR is likely involved in the activation. To confirm that finding, an
L. salivarius ALR variant with an A131K (ALR(A131K)) substitution was prepared,
and its properties were compared with those of ALR. The activity of ALR(A131K)
was about three times greater than that of ALR. In addition, whereas L.
salivarius ALR was strongly activated by low concentrations (e.g., 1 mM) of short
chain carboxylates, and was inhibited at higher concentrations (e.g., 10 mM),
ALR(A131K) was clearly inhibited at all carboxylate concentrations tested (1-40
mM). Acetate also increased the stability of ALR such that maximum activity was
observed at 35 degrees C and pH 8.0 without acetate, but at 50 degrees C in the
presence of 1 mM acetate. On the other hand, maximum ALR(A131K) activity was
observed at 45 degrees C and around pH 9.0 with or without acetate. It thus
appears that A131 mediates the activation and stabilization of L. salivarius ALR
by short chain carboxylates.
PMID- 26543772
TI - Erlotinib augmentation with dapsone for rash mitigation and increased anti-cancer
effectiveness.
AB - BACKGROUND: The epidermal growth factor receptor tyrosine kinase inhibitor
erlotinib has failed in many ways to be as potent in the anti-cancer role as pre
clinical studies would have suggested. This paper traces some aspects of this
failure to a compensatory erlotinib-mediated increase in interleukin-8. Many
other-but not all- cancer chemotherapeutic cytotoxic drugs also provoke a
compensatory increase in a malignant clone's interleukin-8 synthesis. Untreated
glioblastoma and other cancer cells themselves natively synthesize interleukin-8.
Interleukin-8 has tumor growth promoting, mobility and metastasis formation
enhancing, effects as well as pro-angiogenesis effects. FINDINGS: The old sulfone
antibiotic dapsone- one of the very first antibiotics in clinical use- has
demonstrated several interleukin-8 system inhibiting actions. Review of these
indicates dapsone has potential to augment erlotinib effectiveness. Erlotinib
typically gives a rash that has recently been proven to come about via an
erlotinib triggered up-regulated keratinocyte interleukin-8 synthesis. The
erlotinib rash shares histological features reminiscent of typical neutrophilic
dermatoses. Dapsone has an established therapeutic role in current treatment of
other neutrophilic dermatoses. CONCLUSION: Thus, dapsone has potential to both
improve the quality of life in erlotinib treated patients by amelioration of rash
as well as to short-circuit a growth-enhancing aspect of erlotinib when used in
the anti-cancer role.
PMID- 26543775
TI - Acute serum sodium concentration changes in pediatric patients undergoing
cardiopulmonary bypass and the association with postoperative outcomes.
AB - The objective of this study is to investigate the degree of serum sodium changes
and its association with patient outcomes in pediatrics undergoing heart surgery
with cardiopulmonary bypass (CPB). We reviewed the medical records of 275
pediatric patients who underwent heart surgery with CPB. Prior to CPB,
hyponatremia (<=135 mmol/L) was observed in 21 of 275 patients. After initiation
of CPB, serum sodium decreased significantly and severe hyponatermia (<=130
mmol/L) subsequently developed in 32 patients. At the end of CPB, however,
hypernatremia (>=145 mmol/L) developed in 86 patients. The degree of acute serum
sodium change during CPB was not associated with patient outcomes. However, the
patients with preoperative hyponatremia and those with hypernatremia at the
conclusion of CPB had longer hospital stays and higher postoperative complication
rates. Lower serum sodium prior to CPB and higher serum sodium at the end of CPB,
along with age and duration of the operation, were independently associated with
worse in-hospital outcomes. Acute and transient hyponatremia occurred frequently
after initiation of CPB, and then serum sodium immediately increased above
preoperative levels at the end of CPB. Caution is required to avoid serum sodium
overcorrection on the conclusion of CPB.
PMID- 26543776
TI - Bacteriological safety of packaged drinking water sold in Nigeria: public health
implications.
AB - BACKGROUND: Over the past years, there has been increase in packaged water
consumption in Nigeria. Although, there are several studies on microbial safety
of sachet packaged drinking water, there is no information on prevailing
pathogens. FINDINGS: A comprehensive literature search and meta-analysis of peer
reviewed primary studies reported from 2005 for microbiological safety of
packaged drinking water sold in Nigeria was conducted using "sachet water",
"bottled water" and "packaged water" and Nigeria as search algorithms in public
scientific literature databases. It was observed in this study that Escherichia
spp., (65.5 %), Salmonella spp., (44.8 %), Bacillus spp., (44.1 %) and
Staphylococcus spp. (37.9 %) were more prevailing in the samples. CONCLUSIONS:
The high rate of contamination observed is of public health importance. There is
need for use of molecular based methods to understand microbial ecology,
epidemiology, virulence factors and survival of isolated water borne pathogens in
packaged drinking water sold in Nigeria.
PMID- 26543777
TI - Li4Ti5O12/graphene nanoribbons composite as anodes for lithium ion batteries.
AB - In this paper, we report the synthesis of a Li4Ti5O12/Graphene Nanoribbons
(LTO/GNRs) composite using a solid-coating method. Electron microscope images of
the LTO/GNRs composite have shown that LTO particles were wrapped around graphene
nanoribbons. The introduction of GNRs was observed to have significantly improved
the rate performance of LTO/GNTs. The specific capacities determined of the
obtained composite at rates of 0.2, 0.5, 1, 2, and 5 C are 206.5, 200.9, 188,
178.1 and 142.3 mAh.g(-1), respectively. This is significantly higher than those
of pure LTO (169.1, 160, 150, 106 and 71.1 mAh.g(-1), respectively) especially at
high rate (2 and 5 C). The LTO/GNRs also shows better cycling stability at high
rates. Enhanced conductivity of LTO/GNRs contributed from the GNR frameworks
accelerated the kinetics of lithium intercalation/deintercalation in LIBs that
also leads to excellent rate capacity of LTO/GNRs. This is attributed to its
lower charge-transfer resistance (Rct = 23.38 Omega) compared with LTO (108.05
Omega), and higher exchange current density (j = 1.1 * 10(-3) mA cm(-2))-about 20
times than those of the LTO (j = 2.38 * 10(-4) mA cm(-2)).
PMID- 26543778
TI - A preliminary study on improving the recognition of esophageal speech using a
hybrid system based on statistical voice conversion.
AB - In this paper, we propose a hybrid system based on a modified statistical GMM
voice conversion algorithm for improving the recognition of esophageal speech.
This hybrid system aims to compensate for the distorted information present in
the esophageal acoustic features by using a voice conversion method. The
esophageal speech is converted into a "target" laryngeal speech using an
iterative statistical estimation of a transformation function. We did not apply a
speech synthesizer for reconstructing the converted speech signal, given that the
converted Mel cepstral vectors are used directly as input of our speech
recognition system. Furthermore the feature vectors are linearly transformed by
the HLDA (heteroscedastic linear discriminant analysis) method to reduce their
size in a smaller space having good discriminative properties. The experimental
results demonstrate that our proposed system provides an improvement of the phone
recognition accuracy with an absolute increase of 3.40 % when compared with the
phone recognition accuracy obtained with neither HLDA nor voice conversion.
PMID- 26543779
TI - Facial expression recognition and histograms of oriented gradients: a
comprehensive study.
AB - Automatic facial expression recognition (FER) is a topic of growing interest
mainly due to the rapid spread of assistive technology applications, as human
robot interaction, where a robust emotional awareness is a key point to best
accomplish the assistive task. This paper proposes a comprehensive study on the
application of histogram of oriented gradients (HOG) descriptor in the FER
problem, highlighting as this powerful technique could be effectively exploited
for this purpose. In particular, this paper highlights that a proper set of the
HOG parameters can make this descriptor one of the most suitable to characterize
facial expression peculiarities. A large experimental session, that can be
divided into three different phases, was carried out exploiting a consolidated
algorithmic pipeline. The first experimental phase was aimed at proving the
suitability of the HOG descriptor to characterize facial expression traits and,
to do this, a successful comparison with most commonly used FER frameworks was
carried out. In the second experimental phase, different publicly available
facial datasets were used to test the system on images acquired in different
conditions (e.g. image resolution, lighting conditions, etc.). As a final phase,
a test on continuous data streams was carried out on-line in order to validate
the system in real-world operating conditions that simulated a real-time human
machine interaction.
PMID- 26543781
TI - The q-G method : A q-version of the Steepest Descent method for global
optimization.
AB - In this work, the q-Gradient (q-G) method, a q-version of the Steepest Descent
method, is presented. The main idea behind the q-G method is the use of the
negative of the q-gradient vector of the objective function as the search
direction. The q-gradient vector, or simply the q-gradient, is a generalization
of the classical gradient vector based on the concept of Jackson's derivative
from the q-calculus. Its use provides the algorithm an effective mechanism for
escaping from local minima. The q-G method reduces to the Steepest Descent method
when the parameter q tends to 1. The algorithm has three free parameters and it
is implemented so that the search process gradually shifts from global
exploration in the beginning to local exploitation in the end. We evaluated the q
G method on 34 test functions, and compared its performance with 34 optimization
algorithms, including derivative-free algorithms and the Steepest Descent method.
Our results show that the q-G method is competitive and has a great potential for
solving multimodal optimization problems.
PMID- 26543780
TI - Spatial and temporal variations in environmental variables in relation to
phytoplankton composition and biomass in coral reef areas around Unguja,
Zanzibar, Tanzania.
AB - Phytoplankton can indirectly indicate health status of coral reefs due to their
sensitivity to changes in water quality parameters. This study explored the
spatial and temporal variability in water quality and nutrients in relation to
phytoplankton community composition and chlorophyll a concentration at Bawe,
Mnemba, Chumbe and Pongwe coral reef sites in Unguja Island. In situ measurements
of dissolved oxygen, temperature, salinity and pH were done every month for 1
year. Surface water samples were collected for determination of phytoplankton
composition, nutrients and chlorophyll a concentration. Dissolved oxygen,
temperature, salinity and pH did not differ significantly among the four sites (p
> 0.05) but showed significant temporal variations among months (p < 0.05). Bawe
had significantly higher phosphate concentration (1.45 +/- 0.57 ug L(-1)) than
Chumbe (0.74 +/- 0.53 ug L(-1)), Mnemba (0.42 +/- 0.30 ug L(-1)) and Pongwe (0.28
+/- 0.10 ug L(-1); p < 0.05). Similarly, Bawe had significantly higher nitrate
concentration (0.81 +/- 0.43 ug L(-1)) than Mnemba (0.33 +/- 0.14 ug L(-1)) and
Pongwe (0.24 +/- 0.13 ug L(-1); p < 0.05) but similar to Chumbe (0.90 +/- 0.35 ug
L(-1); p > 0.05). However, values obtained at all the studied sites were less
than 3 and 14 mg L(-1) for phosphate and nitrate, respectively, for eutrophic
oceans. Phytoplankton species were dominated by Bacillariophyceae (70.83 %) and
some species identified such as Ceratium sp., Dinophysis sp., Protoperidinium
sp., Prorocentrum sp., Oscillatoria sp. and Dictyocha fibula are known to produce
toxins that affect fish species. Bawe had significantly higher chlorophyll a
concentration (0.47 +/- 0.07 mg L(-1)) than Mnemba (0.33 +/- 0.04 mg L(-1)) and
Chumbe (0.33 +/- 0.04 mg L(-1); p < 0.05). Chlorophyll a concentration was
spatially inversely related to distance from Unguja town (p < 0.05) while it was
temporally significantly positively correlated with dissolved oxygen, nitrate and
phosphate (p < 0.05). The study revealed that, the coral reef sites have low
nutrient levels and are in good health. The existence of toxic phytoplankton
species suggests careful consumption of fisheries resources at the four coral
reef sites and frequent monitoring for Harmful Algal Blooms (HABs) is required.
The higher nutrients and chlorophyll a concentrations at Bawe Island compared to
other sites calls for mechanisms to limit the release of domestic sewage from
households and hotels to safeguard the coral reefs.
PMID- 26543782
TI - Solution of nonlinear higher-index Hessenberg DAEs by Adomian polynomials and
differential transform method.
AB - The solution of higher-index Hessenberg differential-algebraic equations (DAEs)
is of great importance since this type of DAEs often arises in applications.
Higher-index DAEs are known to be numerically and analytically difficult to
solve. In this paper, we present a new analytical method for the solution of two
classes of higher-index Hessenberg DAEs. The method is based on Adomian
polynomials and the differential transform method (DTM). First, the DTM is
applied to the DAE where the differential transforms of nonlinear terms are
calculated using Adomian polynomials. Then, based on the index condition, the
resulting recursion system is transformed into a nonsingular linear algebraic
system. This system is then solved to obtain the coefficients of the power series
solution. The main advantage of the proposed technique is that it does not
require an index reduction nor a linearization. Two test problems are solved to
demonstrate the effectiveness of the method. In addition, to extend the domain of
convergence of the approximate series solution, we propose a post-treatment with
Laplace-Pade resummation method.
PMID- 26543783
TI - Levels of nicotine in Ethiopian tobacco leaves.
AB - Tobacco is a valuable cash crop. It is the most widely grown non-food crop in the
world. Tobacco use is widespread due to its addictive nature of its main
constituent nicotine. Therefore, the knowledge of nicotine level in tobacco is
important to tobacco industry and in the area of toxicology to control its
harmful effect on health. There is no report in the literature on nicotine level
of Ethiopian raw (unprocessed) tobacco leaves. Hence, the objective of this study
is to determine the levels of nicotine in the Ethiopian tobacco leaves. Samples
were collected based on their leaves positions, species and place of cultivation
from different regions of Ethiopia. These were Virginia type tobacco from Shewa
Robit and Billate, Burley and Oriental types of tobacco from Awassa and native
tobacco used as pipe smoking (Gaya) from Wollayita. The level of nicotine in four
different varieties of Ethiopian tobacco leaves was determined using high
performance liquid chromatography. The level of nicotine in the four different
varieties of Ethiopian tobacco were Virginia tobacco (3.26 %), the native tobacco
'Gaya' (1.10 %), Burley tobacco (0.650 %), and Oriental tobacco leaves (<=0.0500
%). It was found that the nicotine level of Ethiopian Virginia tobacco leaves
increases from bottom to top leaf (stalk) positions of the tobacco plant. It was
also found that the nicotine level of Ethiopian tobacco leaves varies in
different species and the nicotine level of the same tobacco species differ in
different area of cultivation. In general, the level of nicotine in Ethiopian
tobacco is comparable with that in the rest of the world.
PMID- 26543785
TI - Comparison of five different popular scoring systems to predict nonsentinel lymph
node status in patients with metastatic sentinel lymph nodes: a tertiary care
center experience.
AB - Sentinel lymph node biopsy (SLNB) is the current standard of care for breast
cancers with no clinically palpable axillary lymph nodes. Almost 50 % of sentinel
lymph node positive patients have negative non-sentinel nodes and undergo non
therapeutic axillary dissection. Five different scoring systems, reported in the
literature, were compared for their predictive ability of non-SLN involvement in
patients with SLN positive breast cancer. 242 patients who underwent breast
surgery and SLNB were included in the study. Of these, 70 who were confirmed to
have SLN metastasis and received complementary ALND and constituted the final
study population. The nomograms (MSKCC, M.D. Anderson Cancer Center, Tenon model,
Stanford and Turkish) were statistically compared for their prediction of non-SLN
metastasis (95 % confidence interval). We have determined only two
clinicopathologic (multifocality and size of the primary tumor) situations which
have a statistically significant association between SLN metastasis with using a
multivariate logistic regression analysis. Multifocality (P = 0.001) and size of
the primary tumor (P = 0.001) were associated with a higher probability of-SLN
metastasis. No predictive model was constructed that showed good area under the
curve (AUC) discrimination in the validation series. Currently published
predictive models lack accuracy when applied to a different population. Multi
institutional heterogenic population studies are important to determine the exact
combination of scoring systems and/or nomograms.
PMID- 26543786
TI - Development and validation of a LC-MS/MS method for quantification of hetrombopag
for pharmacokinetics study.
AB - Hetrombopag as the derivative of ethylidene hydrazine carboxamide was recently
developed into a novel patented non-peptide thrombopoietin mimetic and
thrombopoietin receptor agonist to treat idiopathic thrombocytopenic purpura. To
study the pharmacokinetics of hetrombopag, a highly sensitive, rapid and reliable
liquid chromatography-tandem mass spectrometry (LC-MS/MS) method was developed
and validated for determination of hetrombopag in rat plasma. After protein
precipitation extraction, the chromatography separation of analyte and internal
standard named eltrombopag as an marketed analog of hetrombopag was performed on
an Synergi Polar-RP column at the flow rate of 0.5 mL/min, and the determination
was conducted on an API4000 triple quadrupole mass spectrometry in the multiple
reaction monitoring mode using the respective [M+H](+) ions m/z 459.2 -> 200.9
for hetrombopag and m/z 443.2 -> 229.0 for IS. The lower limit of quantification
was established to be 1 ng/mL, and the linear scope of standard curve was 1-1000
ng/mL. Both the precision (RSD%) and accuracy (RE%) were within the acceptable
criterion of below 15 %. The validated method was successfully applied to
quantify hetrombopag in the rat plasma and investigate the pharmacokinetics.
PMID- 26543784
TI - Pacing in a self-paced world record attempt in 24-h road cycling.
AB - BACKGROUND: Pacing strategy plays a major role in sport performance. However,
there is a dearth of knowledge concerning pacing during ultra-endurance sport
events. The present case study investigated the pacing of an ultra-cyclist in a
self-paced attempt to break the world record in 24-h road cycling and, with all
the caveats and the limitations affecting a case report, could be useful in
generating hypotheses and further studies about pacing dynamics during prolonged
sport performances. CASE DESCRIPTION: A well experienced ultra-cyclist completed
laps of 11.731 km during 24 h and the support crew recorded for each lap time and
power output in Watt. The trend in cycling speed and power output across laps was
investigated using regression analyses. A mixed-effects regression model
including lap, ambient air temperature, air pressure, air humidity and wind speed
as fixed variables was used to investigate a relationship of environmental
factors with cycling speed. DISCUSSION AND EVALUATION: The athlete achieved
896.173 km within the 24 h. He set a new world record by breaking the old record
(Jure Robic, 2004, 834.77 km) by 61.403 km. He cycled at an average speed of
37.34 km/h with an average power output of 250.2 W. The decrease in cycling speed
and power output across laps could be modelled linearly. Temperature and wind
speed were related to cycling speed during the whole event. There was a
significant interaction air temperature * relative humidity for the whole event.
CONCLUSIONS: The athlete adopted a positive pacing (i.e. speed gradually declined
throughout the event) and environmental factors (i.e. temperature and wind speed)
influenced cycling speed during the event.
PMID- 26543787
TI - Emergency management training in Korea: combining and balancing supply- and
demand-centered paradigms.
AB - This article aims to encourage NEMA (or newly named as MPSS) to combine its
supply-centered paradigm with a newly proposed "demand-centered paradigm" in the
Korean field of emergency management training (EMT). Based on qualitative content
analysis, this paper defined the current field of EMT to be a supply-centered
paradigm via three components: locations, courses, and participants. This
paradigm focuses on EMT provision as supplied and dictated by the national
government. On the other hand, a demand-centered model is about looking into
stakeholders' actual needs for EMT. In this regard, alternatives with reference
to the demand-centered paradigm via the same three components were discussed and
considered. The key tenet is that having revealed that NEMA has unequivocally
focused on the results side or effectiveness of EMT via a supply-centered
paradigm, Korea should address and consider the same three components, this time
by fusing and incorporating a fair process of EMT by enlisting active roles from
the local community, academic scholars, and civilian training attendees in a
demand-centered paradigm.
PMID- 26543788
TI - Functional characterization of a yellow laccase from Leucoagaricus gongylophorus.
AB - In this work we have identified, using mass spectrometry, two laccases produced
by Leucoagaricus gongylophorus. One of them, Lac1Lg, was isolated, purified and
characterized. Lac1Lg, a monomeric enzyme, was studied using ABTS and
syringaldazine substrates. Lac1Lg presented kcat/Km almost threefold higher for
syringaldazine than for ABTS, showing a higher catalytic efficiency of Lac1Lg for
syringaldazine. The interference of several metal ions and substances in the
laccase activity were evaluated. Lac1Lg did not absorb at 600 nm, which is a
characteristic of so-called yellow laccases. Lac1Lg also was able to oxidize non
phenolic substrate (anthracene) in the absence of an exogenous mediator, showing
that the enzyme has potential to explore in biotechnological processes. Our
Lac1Lg three-dimensional molecular model, constructed using homology modeling,
showed that the Lac1Lg catalytic site is very closed to blue laccases.
PMID- 26543790
TI - Contaminant release history identification in 2-D heterogeneous aquifers through
a minimum relative entropy approach.
AB - The minimum relative entropy (MRE) method has been applied in a wide variety of
fields since it was first introduced. Woodbury and Ulrych (Water Resour Res
29(8): 2847-2860, 1993, Water Resour Res 32(9): 2671-2681, 1996) adopted and
improved this method to solve linear inverse problems in aquifers. In this work,
the MRE method was improved to detect the source release history in 2-D aquifer
characterized by a non-uniform flow-field. The approach was tested on two cases:
a 2-D homogeneous conductivity field and a heterogeneous one (the hydraulic
conductivity presents three orders of magnitude in terms of variability). In the
latter case the transfer function cannot be described with an analytical
formulation, thus, the transfer functions were estimated by means of a numerical
procedure. In order to analyze the method performance in different conditions,
two datasets have been used: observations collected at the same time at 20
different monitoring points, and observations collected at 2 monitoring points at
several times. The observed data have been processed with and without a random
error and the Boxcar and Gaussian probability distribution functions were
considered as a priori information. The agreement between the true and the
estimated data has been evaluated through the calculation of the normalized Root
Mean Square error. The approach was able to recover the release history even in
the most difficult case.
PMID- 26543789
TI - Exercise-based interventions for cancer survivors in India: a systematic review.
AB - Existing literature suggests that cancer survivors present with high rates of
morbidity due to various treatment and disease induced factors. Research globally
has shown exercise to be beneficial in improving treatment outcomes and quality
of life. India has a high prevalence of cancer and not much is known about
exercise interventions for cancer survivors in India. This review was planned to
review the state of exercise based interventions for cancer survivors in India. A
comprehensive literature search was performed in PubMed, CINAHL, EMBASE, Scopus,
Cochrane Library, PEDro, IndMed, and Shoda Ganga. The search results were
screened and data extracted by two independent reviewers. All eligible studies
were assessed for methodological quality rating using Downs and Black checklist.
Data was extracted using a pilot tested pro forma to summarize information on
site and stage of cancer, type of exercise intervention and outcome measures. The
review identified 13 studies, published from 1991 to 2013, after screening 4060
articles. Exercise interventions fell into one of three categories: (1) yoga
based, (2) physiotherapy-based and (3) speech therapy based interventions; and
exclusively involved either breast or head and neck cancers. Studies were
generally of low to moderate quality. A broad range of outcomes were found
including symptoms, speech and swallowing, and quality of life and largely
supported the benefits of exercise-based interventions. At present, research
involving exercise-based rehabilitation interventions in India is limited in
volume, quality and scope. With the growing burden of cancer in the country,
there is an immediate need for research on exercise based interventions for
cancer survivors within the sociocultural context of India.
PMID- 26543791
TI - Soluble intercellular adhesion molecule-1 for stable and acute phases of
idiopathic pulmonary fibrosis.
AB - The levels of soluble intercellular adhesion molecule-1 (sICAM-1) have been
reported to increase in patients with idiopathic pulmonary fibrosis. However, the
utility of sICAM-1 has not been reported in detail. The aim of this study was to
investigate whether sICAM-1 was a useful biomarker for stable idiopathic
pulmonary fibrosis (IPF) and early phase of acute exacerbation of IPF. The
patients who were diagnosed with IPF between 2013 and 2015 were enrolled. The
levels of sICAM-1 and other interstitial pneumonia markers were measured. In this
study, 30 patients with stable IPF and 11 patients with acute exacerbation of IPF
were collected. Mean sICAM-1 levels were 434 +/- 139 ng/mL for the stable phase
of IPF, 645 +/- 247 ng/mL for early phase of acute exacerbation of IPF, 534 +/-
223 ng/mL for connective tissue disease-associated interstitial pneumonia, 221 +/
42 for chronic obstructive pulmonary disease, and 150 +/- 32 ng/mL in healthy
volunteers. For the stable phase of IPF, sICAM-1 levels correlated with Krebs von
den Lungen-6 (KL-6) (r value: 0.41; p value: 0.036). Mean sICAM-1 levels were
significantly higher in patients with early phase of acute exacerbation of IPF
than with stable phase of IPF (p = 0.0199). Multiple logistic analyses indicated
that the predictors for early phase of acute exacerbation of IPF were only sICAM
1 and C-reactive protein (odds ratio: 1.0093; 1.6069). In patients with stable
IPF, sICAM-1 levels correlated with KL-6; sICAM-1 might be a predictive indicator
for prognosis. In the early phase of acute exacerbation of IPF, sICAM-1 might be
more useful for diagnosis than other interstitial pneumonia markers.
PMID- 26543792
TI - Hydrolyzed fish proteins reduced activation of caspase-3 in H2O2 induced
oxidative stressed liver cells isolated from Atlantic salmon (Salmo salar).
AB - Hydrolyzed fish proteins (H-pro) contains high concentrations of free amino acids
and low molecular peptides that potentially benefit health. The following study
aimed to test whether the water soluble phase of H-pro could reduce apoptosis and
inflammation in primary liver cells isolated from Atlantic salmon following H2O2
provoked oxidative stress. Cells were grown as monocultures or co-cultured with
head kidney cells to assess possible cross talk in inflammation and metabolism
during treatments. Cells were grown in media with or without H-pro for 2 days
before being stressed with 200 uM H2O2 then harvested 24 h post exposure. Both
treatments were compared to the respective treatments without H2O2
supplementation. Oxidative stressed cells had increased activation of caspase-3,
but supplementation with H-pro in the media prior to the oxidative stress reduced
caspase-3 activation. In conclusion, free amino acids and low molecular weight
peptides from H-pro attenuated oxidative stress, and made cells able to withstand
apoptosis after H2O2 provoked oxidative stress.
PMID- 26543793
TI - Stress analysis of parallel oil and gas steel pipelines in inclined tunnels.
AB - Geological conditions along long distance pipelines are complex. In consideration
of differences in elevation and terrain obstacles, long distance pipelines are
commonly laid through tunnels. Oil and gas pipelines are often laid side by side
to reduce construction costs and minimize geological impact. The layout and
construction of parallel oil and gas pipelines are more complex than those of
single pipelines. In order to reduce safety hazards, it is necessary to carry out
stress analysis of the oil and gas pipelines that run through tunnels. In this
study, a stress analysis model of pipelines running through a tunnel was
developed. On the basis of the finite element method, CAESAR II software was used
to analyze the stress and displacement of a section of parallel oil and gas
pipelines that run through tunnels and stress and displacement distribution laws
were drawn from the analyses. A study of the factors influencing stress
recommended that: (1) The buttress interval of the parallel oil and gas pipelines
in a tunnel should be 12 m; (2) The angle of inclined pipelines should be no
greater than 25 degrees ; (3) The stress of oil pipelines enhances more obviously
than that of gas pipelines under earthquake action; (4) The average stress can be
reduced by adopting "ladder" laying; and (5) Guide bend can be set at the tunnel
entrance and exit in order to reduce the stress.
PMID- 26543794
TI - What Happens When Children with Fetal Alcohol Spectrum Disorders Become Adults?
AB - The range of structural abnormalities and functional deficits caused by prenatal
alcohol exposure (PAE) are referred to as fetal alcohol spectrum disorders
(FASDs). The disabilities associated with FASDs are said to be lifelong, but we
know relatively little regarding outcomes beyond childhood and adolescence. Many
of physical, brain, and neurobehavioral features that are present in children
with FASDs will endure to adulthood. However, some features may diminish or
change over time. Furthermore, secondary disabilities, such as school drop outs,
trouble with the law, and substance/alcohol abuse problems are common in young
adults with FASDs. The health consequences associated with PAE in the human adult
are unknown, but animal models suggest that they may be more susceptible to
chronic diseases such as hypertension, diabetes, immune dysfunction, and cancer.
More research is needed to understand the lasting effects of PAE on adults and
the developmental trajectories of FASDs.
PMID- 26543795
TI - Executive Function in SLI: Recent Advances and Future Directions.
AB - This paper provides a review of recent research on executive function abilities
in children with specific language impairment (SLI). Across several studies,
children with SLI are reported to perform worse than typically developing peers
on measures of sustained attention, working memory, inhibition, and attention
shifting. However, few studies have considered multiple executive function
components simultaneously and even fewer have examined the underlying
relationship between executive function deficits and impaired language
acquisition. We argue that in order to fully understand the nature of executive
function deficits in SLI, the field must move past simply identifying weaknesses
to instead test models of executive function development and explore the nature
of the relationship between executive function and language. Future research
directions are recommended in order to achieve these goals.
PMID- 26543796
TI - Neuroimaging in Tourette Syndrome: Research Highlights From 2014-2015.
AB - Tourette Syndrome (ts) is a developmental neuropsychiatric disorder of the
central nervous system defined by the presence of chronic tics. While
investigations of the underlying brain mechanisms have provided valuable
information, a complete understanding of the pathophysiology of ts remains
elusive. Neuroimaging methods provide remarkable tools for examining the human
brain, and have been used to study brain structure and function in ts. In this
article, we review ts neuroimaging studies published in 2014-2015. We highlight a
number of noteworthy studies due to their innovative methods and interesting
findings. Yet, we note that many of the recent studies share common concerns,
specifically susceptibility to motion artifacts and modest sample sizes. Thus, we
encourage future work to carefully address potential methodological confounds and
to study larger samples to increase the potential for replicable results.
PMID- 26543797
TI - Behavior Therapy for Tic Disorders: An Evidenced-based Review and New Directions
for Treatment Research.
AB - Behavior therapy is an evidenced-based intervention with moderate-to-large
treatment effects in reducing tic symptom severity among individuals with
Persistent Tic Disorders (PTDs) and Tourette's Disorder (TD). This review
describes the behavioral treatment model for tics, delineates components of
evidence-based behavior therapy for tics, and reviews the empirical support among
randomized controlled trials for individuals with PTDs or TD. Additionally, this
review discusses several challenges confronting the behavioral management of
tics, highlights emerging solutions for these challenges, and outlines new
directions for treatment research.
PMID- 26543798
TI - Dyslexia-Early Identification and Prevention: Highlights from the Jyvaskyla
Longitudinal Study of Dyslexia.
AB - Over two decades of Finnish research, monitoring children born with risk for
dyslexia has been carried out in the Jyvaskyla Longitudinal Study of Dyslexia
(JLD). Two hundred children, half at risk, have been assessed from birth to
puberty on hundreds of measures. The aims were to identify measures of prediction
of later reading difficulty and to instigate appropriate and earliest diagnosis
and intervention. We can identify at-risk children from newborn
electroencephalographic brain recordings (Guttorm et al., J Neural Transm
110:1059-1074, 2003). Predictors are also apparent from late-talking infants who
have familial background of dyslexia (Lyytinen and Lyytinen, Appl
Psycolinguistics 25:397-411, 2004). The earliest easy-to-use predictive measure
to identify children who need help to avoid difficulties in learning to read is
letter knowledge (Lyytinen et al., Merrill-Palmer Q 52:514-546, 2006). In
response, a purpose-engineered computer game, GraphoGameTM, provides an effective
intervention tool (Lyytinen et al., Scand J Psychol 50:668-675, 2009). In
doubling as a research instrument, GraphoGame provides bespoke
intervention/reading instruction for typical/atypically developing children. Used
extensively throughout Finland, GraphoGame is now crossing the developed and
developing world to assist children, irrespective of the cause (environmental or
genetic) of their failing to learn to read (Ojanen et al., Front Psychol 6(671):1
13, 2015).
PMID- 26543799
TI - Behavioral and Neuroimaging Research of Reading: a Case of Japanese.
AB - Behavioral studies showed that AS, an English-Japanese bilingual, was a skilled
reader in Japanese but was a phonological dyslexic in English. This behavioral
dissociation was accounted for by the Hypothesis of Transparency and Granularity
postulated by Wydell and Butterworth. However, a neuroimaging study using
magnetoencephalography (MEG) revealed that AS has the same functional deficit in
the left superior temporal gyrus (STG). This paper therefore offers an answer to
this intriguing discrepancy between the behavioral dissociation and the neural
unity in AS by reviewing existing behavioral and neuroimaging studies in
alphabetic languages such as English, Finnish, French, and Italian, and
nonalphabetic languages such as Japanese and Chinese.
PMID- 26543800
TI - Update on Disease-Modifying/Preventive Therapies in Alzheimer's Disease.
AB - Alzheimer's disease (AD) is increasingly becoming a major health problem
throughout the US and Western Europe. As the remnants of the Baby Boom generation
begin to reach their seniority at the turn of the twenty-first century, the
disease has been unwillingly brought to the attention of the public eye. A
disease that has traditionally been associated with an aging population has thus
become a heated topic of discussion as modern research attempts to prevent and
treat this major health burden and plague of the next decade.
PMID- 26543801
TI - The Crossroads of Geriatric Cardiology and Cardio-Oncology.
AB - Cancer and cardiovascular disease (CVD) are two major causes of mortality in
older adults. With improved survival and outcomes from cancer and CVD, the role
of the geriatrician is evolving. Geriatricians provide key skills to facilitate
patient-centered and value-based care in the growing older population of cancer
patients (and survivors). Cancer treatment in older adults is particularly
injurious with respect to complications stemming from cancer therapy and as well
as to CVD related to cancer therapy in the context of physiologic aging. To best
meet their natural potential as caregiving leaders, geriatricians must hone
skills and insights pertaining to oncologic and cardiovascular care, insights
that can inform and enhance key management expertise. In this paper, we will
review common chemotherapy and radiation-induced cardiovascular complications,
screening recommendations, and advance the concept of a geriatric, cardiology,
and oncology collaboration. We assert that geriatricians are well suited to a
leadership role in the care of older cardio-oncology patients and in the
education of primary care physicians and subspecialists on geriatric principles.
PMID- 26543802
TI - Cost-Effectiveness of Radiofrequency Ablation for Supraventricular Tachycardia in
Guatemala: Patient outcomes and economic analysis from a low-middle-income
country.
AB - BACKGROUND: Radiofrequency ablation (RFA) is an established but expensive
treatment alternative for many forms supraventricular tachycardia (SVT).
Currently no studies exist on the cost-effectiveness of RFA compared to medical
treatment (MT) in adult Latin American population. METHODS: Between 2007 and
2012, we identified 103 adults who underwent RFA for SVT in the National Unit of
Cardiovascular Surgery (UNICAR) in Guatemala. A decision tree was developed with
all clinical outcome parameter estimates obtained from the Adult
Electrophysiology Clinic. Costs were obtained from UNICAR's administration. A
cost-effectiveness analysis was conducted which evaluated costs and quality
adjusted life years (QALYs) to compare interventions in terms of their
incremental cost-effectiveness ratios (ICERs). RESULTS: The first RFA had 83%
success and cumulative 94% success was achieved with a second one. The cost of
the RFA procedure itself was $5,411. RFA gains 1.46 QALYs and saves $ 7,993
compared to of MT for patients with SVT. This demonstrates that in Guatemala, RFA
dominates MT in the management of SVT. Using assumptions based largely on the
outcomes in UNICAR, we found that the RFA is highly cost-effective. This is a
consistent finding, even after varying assumptions about efficacy, complication
rates and quality of life. CONCLUSIONS: RFA dominates MT by improving quality of
life and reducing expenditures when used to treat severely symptomatic patients
with SVT in Guatemala. The robustness of these finding to variations in parameter
assumptions, suggests these findings may hold in other similar settings.
PMID- 26543803
TI - Lung fluke (Paragonimus africanus) infects Nigerian red-capped mangabeys and
causes respiratory disease.
AB - Eggs of the lung fluke genus Paragonimus were detected in red-capped mangabeys
(Cercocebus torquatus) in Nigeria. We assess the role of these primates as
potential sylvatic hosts and the clinical effects of the parasite on monkeys. DNA
sequenced from eggs in feces were 100% identical in the ITS2 region to
Paragonimus africanus sequences from humans in Cameroon. Paragonimus-positive
monkeys coughed more than uninfected monkeys. Experimental de-worming led to
reduction in parasite intensity and a corresponding reduction of coughing to
baseline levels in infected monkeys. This report provides the first evidence of
Paragonimus sp. in C. torquatus, of P. africanus in Nigerian wildlife, and the
first molecular evidence of the parasite in African wildlife. Coughing, sometimes
interpreted as a communication behavior in primates, can actually indicate
infection with lung parasites. Observations of coughing in primates may, in turn,
provide a useful mechanism for surveillance of Paragonimus spp, which are re
emerging human pathogens, in wildlife reservoirs.
PMID- 26543804
TI - The African buffalo parasite Theileria. sp. (buffalo) can infect and immortalize
cattle leukocytes and encodes divergent orthologues of Theileria parva antigen
genes.
AB - African Cape buffalo (Syncerus caffer) is the wildlife reservoir of multiple
species within the apicomplexan protozoan genus Theileria, including Theileria
parva which causes East coast fever in cattle. A parasite, which has not yet been
formally named, known as Theileria sp. (buffalo) has been recognized as a
potentially distinct species based on rDNA sequence, since 1993. We demonstrate
using reverse line blot (RLB) and sequencing of 18S rDNA genes, that in an area
where buffalo and cattle co-graze and there is a heavy tick challenge, T. sp.
(buffalo) can frequently be isolated in culture from cattle leukocytes. We also
show that T. sp. (buffalo), which is genetically very closely related to T.
parva, according to 18s rDNA sequence, has a conserved orthologue of the
polymorphic immunodominant molecule (PIM) that forms the basis of the diagnostic
ELISA used for T. parva serological detection. Closely related orthologues of
several CD8 T cell target antigen genes are also shared with T. parva. By
contrast, orthologues of the T. parva p104 and the p67 sporozoite surface
antigens could not be amplified by PCR from T. sp. (buffalo), using conserved
primers designed from the corresponding T. parva sequences. Collectively the data
re-emphasise doubts regarding the value of rDNA sequence data alone for defining
apicomplexan species in the absence of additional data. 'Deep 454 pyrosequencing'
of DNA from two Theileria sporozoite stabilates prepared from Rhipicephalus
appendiculatus ticks fed on buffalo failed to detect T. sp. (buffalo). This
strongly suggests that R. appendiculatus may not be a vector for T. sp.
(buffalo). Collectively, the data provides further evidence that T. sp.
(buffalo). is a distinct species from T. parva.
PMID- 26543805
TI - Cryptosporidium rubeyi n. sp. (Apicomplexa: Cryptosporidiidae) in multiple
Spermophilus ground squirrel species.
AB - Previously we reported the unique Cryptosporidium sp. "c" genotype (e.g.,
Sbey03c, Sbey05c, Sbld05c, Sltl05c) from three species of Spermophilus ground
squirrel (Spermophilus beecheyi, Spermophilus beldingi, Spermophilus lateralis)
located throughout California, USA. This follow-up work characterizes the
morphology and animal infectivity of this novel genotype as the final step in
proposing it as a new species of Cryptosporidium. Analysis of sequences of 18S
rRNA, actin, and HSP70 genes of additional Cryptosporidium isolates from recently
sampled California ground squirrels (S. beecheyi) confirms the presence of the
unique Sbey-c genotype in S. beecheyi. Phylogenetic and BLAST analysis indicates
that the c-genotype in Spermophilus ground squirrels is distinct from
Cryptosporidium species/genotypes from other host species currently available in
GenBank. We propose to name this c-genotype found in Spermophilus ground
squirrels as Cryptosporidium rubeyi n. sp. The mean size of C. rubeyi n. sp.
oocysts is 4.67 (4.4-5.0) MUm * 4.34 (4.0-5.0) MUm, with a length/width index of
1.08 (n = 220). Oocysts of C. rubeyi n. sp. are not infectious to neonatal BALB/c
mice and Holstein calves. GenBank accession numbers for C. rubeyi n. sp. are
DQ295012, AY462233, and KM010224 for the 18S rRNA gene, KM010227 for the actin
gene, and KM010229 for the HSP70 gene.
PMID- 26543806
TI - Caryospora neofalconis and other enteroparasites in raptors from Mexico.
AB - A coprological survey of enteroparasites in raptors (60 Falconiformes) from
Central Mexico is reported. Three samples contained coccidian unsporulated
oocysts, one contained Eimeria sp., one contained trematode eggs and one
contained capillarid and trematode eggs and Eimeria sp. After sporulation at the
laboratory, oocysts from a Falco peregrinus were identified as Caryospora
neofalconis. The phylogenetic analysis of the C. neofalconis (GenBank accession
number KT037081) showed a close relationship to the Australian strain RY 2014
isolate 16710 (GenBank accession number KJ634019) of Caryospora daceloe, with
99.2% similarity. As far as we are aware, this is the first report of C.
neofalconis in raptors from Mexico and the Americas.
PMID- 26543807
TI - Use of the mTOR inhibitor everolimus in a patient with multiple manifestations of
tuberous sclerosis complex including epilepsy.
AB - Tuberous sclerosis complex (TSC) is a genetic disease in which overactivation of
mechanistic target of rapamycin (mTOR) signaling leads to the growth of benign
hamartomas in multiple organs, including the brain, and is associated with a high
rate of epilepsy and neurological deficits. The mTOR inhibitor everolimus has
been used in the treatment of subependymal giant cell astrocytomas and renal
angiomyolipomas in patients with TSC. This article describes the case of a 13
year-old girl with TSC-associated epilepsy with refractory generalized seizures
who initiated treatment with everolimus and experienced subsequent improvement in
several TSC manifestations, including a reduction in seizure frequency from
clusters of two or three daily to one every 2 to 4 weeks after 1.5 years of
treatment.
PMID- 26543808
TI - Anticonvulsant-induced downbeat nystagmus in epilepsy.
AB - We report data from two patients who developed reversible downbeat nystagmus
(DBN) while using AEDs within the therapeutic range. All previous reported cases
of epilepsy with drug-induced DBN related to toxic levels of AEDs were
summarized, and DBN was found mostly occurring in those using a sodium channel
blocking AED. We propose that in our cases, the DBN with therapeutic AED levels
may be explained by additive effects of sodium channel blockers. Adverse drug
effects should be considered as a cause of DBN in people with epilepsy treated
with multiple AEDs.
PMID- 26543809
TI - Reactivation of herpes simplex virus-1 following epilepsy surgery.
AB - PURPOSE: The present study reports a case of encephalitis due to herpes simplex
virus-1 (HSV-1), following surgical manipulation of the site of a primary
infection. METHODS: Herpes simplex virus-1 infection was confirmed by CSF PCR and
DNA sequencing. RESULTS: The patient was an 11-year-old girl who required
temporal lobe surgery for epilepsy. She had meningoencephalitis due to HSV at the
age of 20 months, and she was treated with acyclovir. Three years later, the
patient developed uncontrolled seizures that became more frequent and changed in
character at 11 years of age. On the 12th postoperative day, she developed fever
and seizures, and she was diagnosed with HSV-1 by positive CSF PCR. She was
treated with acyclovir (30 mg/kg/day for 21 days). In this report, we describe
the patient and review the relevant literature. CONCLUSION: The authors stress
the potential risk of reactivation of HSV encephalitis after intracranial
surgery. Herpes simplex virus encephalitis must be considered in neurosurgical
patients who develop postoperative seizures and fever.
PMID- 26543810
TI - Levetiracetam-induced rage and suicidality: Two case reports and review of
literature.
AB - BACKGROUND: Levetiracetam-induced rage is a rare neurobehavioral adverse effect
of levetiracetam that is characterized by seething rage, uncontrollable anger,
fits of fury, depression, violence, and suicidal tendencies. It occurs more in
patients with prior mood or psychotic disturbances. No such case has been
reported in Nigeria. METHOD: We report two cases of levetiracetam-induced rage.
The first patient was a 29-year-old male with a 14-year history of intractable
posttraumatic epilepsy. He was initially placed on sodium valproate and
phenobarbitone and later had phenobarbitone replaced with levetiracetam. Within
the first week of initiating levetiracetam, he became aggressive, bursted into
fits of fury, and attacked his siblings. Levetiracetam was stopped, and the
seething rage ceased only to reappear when it was reintroduced; hence, the
complete withdrawal of levetiracetam. Naranjo probability score for adverse drug
reaction was 8. RESULTS: The second patient was a 23-year-old lady who developed
seething rage and made several attempts to kill herself with a knife following
addition of levetiracetam to the clonazepam and carbamazepine that she was taking
for treatment-resistant epilepsy. Withdrawal and reintroduction of levetiracetam
by the relatives led to cessation and reemergence, respectively, of the rage and
suicidal tendencies. Naranjo score was 8. Levetiracetam was discontinued.
CONCLUSION: Neuropsychiatric evaluation for prior mood or psychiatric disorders
in those initiating levetiracetam therapy is suggested alongside monitoring for
early features of levetiracetam-induced rage by both caregivers and physicians.
This will help stem the morbidity and potential mortality associated with this
life-threatening adverse drug reaction.
PMID- 26543811
TI - Burst suppression electroencephalogram with mushroom poisoning, Amanita
pantherina.
AB - We report on a patient with Amanita pantherina poisoning who showed a burst
suppression pattern on electroencephalography during a comatose state. The
patient recovered without sequelae a week after ingestion. Burst suppression
pattern is defined as alternating bursts and periods of electrical silence, and
it is associated with comatose states of various causes. The major toxins
contained in A. pantherina are ibotenic acid, an excitatory amino acid at the
glutamate receptors, and muscimol, an agonist of the gamma-aminobutyric acid
receptors. Alteration of the synaptic transmission in the central nervous system
by these toxins may lead to a burst suppression pattern.
PMID- 26543812
TI - Topiramate-induced hyperammonemic encephalopathy in a patient with mental
retardation: A case report and review of the literature.
AB - Hyperammonemia is an uncommon side effect of topiramate (TPM) that has only been
reported when it is used as an adjunct to valproate. We report a patient with
mental retardation who developed reversible encephalopathy from TPM. Ammonia
level was monitored during the course of TPM treatment. This patient had
recurring, reversible elevations in serum ammonia levels that coincided with the
administration of TPM. To our knowledge, symptomatic hyperammonemia has not been
reported to occur with TPM monotherapy.
PMID- 26543813
TI - Adverse events in a newborn on valproate therapy due to loss-of-function
mutations in CYP2C9.
AB - An increased risk of valproate-induced toxicity has been reported in children,
particularly in those younger than 2 years of age. Significant variations in
valproate pharmacokinetics and shifts in the metabolic pathways towards CYP2C9
dependent metabolism seem to play some role in the age-related differences in the
incidence of adverse events. We present the case of a premature patient with
moderate hemorrhage in the subependymal region (grade II - intraventricular
hemorrhage without ventricular dilatation), several myoclonic episodes in her
right upper arm (series of jerks lasting milliseconds), and epileptiform
abnormalities on the EEG (localized spike-and-wave in the left frontal region
with preserved background activity who was treated with valproate. Serious side
effects, consisting of bone marrow depression, hyperammonemia, and serum alkaline
phosphatase elevation, were observed seventeen days after the beginning of
valproate therapy. The toxic symptoms were likely the consequence of a reduced
ability to metabolize valproate. The patient was demonstrated to carry two loss
of-function mutations in CYP2C9 (CYP2C9*3/*3) resulting in exaggerated blood
concentrations of valproate. The present case highlights the importance of
assaying inborn errors in CYP2C9 gene in pediatric patients to avoid valproate
evoked serious side effects.
PMID- 26543814
TI - A subtle case of tuberous sclerosis complex.
AB - Tuberous sclerosis complex (TSC) is known to cause severe intractable epilepsy
and mental retardation; however, diagnosis can be delayed in milder cases. We
report a 26-year-old right-handed female patient who started having convulsions
at age 7 days. She had several focal seizures per year that were intractable to
treatment with carbamazepine or phenytoin. Her two sisters had several episodes
of suspected epileptic seizures but had no symptoms related to TSC. Seizure
semiology of the patient comprised of visual hallucination, loss of
consciousness, and convulsive movements predominantly on the right. Physical
examination revealed several small scattered angiofibromas over the nose that
were histologically determined by skin biopsy. Hypomelanotic macules, shagreen
patches, or periungual fibromas were not seen. Neurological examination showed
mental retardation (MMSE: 23/30, WAIS-III: VIQ63, PIQ59, FIQ58) and decreased
vibration sensation in both legs. Interictal EEG showed slow waves and
epileptiform discharges broadly over the anterior quadrants bilaterally. Brain
imaging showed multiple cortical tubers and malformation of cortical development
but no subependymal nodules. Interictal IMP-SPECT showed hypoperfusion in the
left frontal lobe. Cardiac rhabdomyoma was not noticed by cardiac echography.
Truncal CT showed sclerosis of the bilateral lumbosacral joints. There was no
abnormality in the lung, major arteries, liver, or kidneys. No hamartomas or
retinal achromic patches were noticed by ophthalmologic evaluation.
Administration of lamotrigine was effective for her seizures. This patient
fulfilled two major features of diagnostic criteria for TSC and was diagnosed as
definite TSC. Patients with mental retardation and epilepsy should be carefully
evaluated for the possible diagnosis of TSC.
PMID- 26543816
TI - Does pyridoxine control behavioral symptoms in adult patients treated with
levetiracetam? Case series from UAE.
AB - Behavioral symptoms are known side effects of levetiracetam. Previous case series
in children and adolescents have demonstrated the potential effect of pyridoxine
in ameliorating these symptoms. We retrospectively reviewed the charts of 51
patients treated with pyridoxine to control agitation and irritability following
the introduction of levetiracetam. These symptoms were relieved in 34 patients
(66.6%). Seventeen patients did not appear to benefit from this supplementation.
This preliminary study suggests that pyridoxine might be an effective option
across all ages for patients suffering from levetiracetam-induced behavioral side
effects.
PMID- 26543815
TI - A case of autoimmune epilepsy associated with anti-leucine-rich glioma
inactivated subunit 1 antibodies manifesting electrical shock-like sensations and
transparent sadness.
AB - Autoimmune epilepsy is an isolated phenotype of autoimmune encephalitis, which
may be suspected in patients with unexplained adult-onset seizure disorders or
resistance to antiepileptic drugs (AEDs). Antibodies against leucine-rich glioma
inactivated subunit 1 of the voltage-gated potassium channel (VGKC) complex,
recently termed anti-LGI-1 antibodies, are one of the causes of autoimmune
epilepsies. Bizarre symptoms with extremely short duration and high frequency are
clues to the possible presence of autoimmune epilepsy with anti-LGI-1 antibodies.
Precise diagnosis is important because autoimmune epilepsy is treatable and the
prognosis can be predicted.
PMID- 26543817
TI - Surgical treatment of focal symptomatic refractory status epilepticus with and
without invasive EEG.
AB - PURPOSE: Neurosurgery appears to be a reasonable alternative in carefully
selected patients with refractory status epilepticus (RSE) and super-refractory
status epilepticus (SRSE). We discuss the optimal timing of the surgery and the
use of previous stereoelectroencephalography (SEEG) invasive evaluation. METHODS:
We identified 3 patients (two pediatric and one adult) who underwent epilepsy
surgery because of RSE or SRSE from our epilepsy surgery database, one of them
with previous SEEG. RESULTS: Status epilepticus resolved acutely in all of them
with no mortality and no substantial morbidity. At follow-up (median: 2 years), 1
patient was seizure-free, and 2 had significant improvement. CONCLUSION: Surgery
should be considered in all cases of RSE and SRSE early in the course of the
evolution of the disease.
PMID- 26543818
TI - Automated-immunosensor with centrifugal fluid valves for salivary cortisol
measurement.
AB - Point-of-care measurement of the stress hormone cortisol will greatly facilitate
the timely diagnosis and management of stress-related disorders. We describe an
automated salivary cortisol immunosensor, incorporating centrifugal fluid valves
and a disposable disc-chip that allows for truncated reporting of cortisol levels
(<15 min). The performance characteristics of the immunosensor are optimized
through select blocking agents to prevent the non-specific adsorption of
proteins; immunoglobulin G (IgG) polymer for the pad and milk protein for the
reservoirs and the flow channels. Incorporated centrifugal fluid valves allow for
rapid and repeat washings to remove impurities from the saliva samples. An
optical reader and laptop computer automate the immunoassay processes and provide
easily accessible digital readouts of salivary cortisol measurements. Linear
regression analysis of the calibration curve for the cortisol immunosensor showed
0.92 of coefficient of multiple determination, R2, and 38.7% of coefficient of
variation, CV, for a range of salivary cortisol concentrations between 0.4 and
11.3 ng/mL. The receiver operating characteristic (ROC) curve analysis of human
saliva samples indicate potential utility for discriminating stress disorders and
underscore potential application of the biosensor in stress disorders. The
performance of our salivary cortisol immunosensor approaches laboratory based
tests and allows noninvasive, quantitative, and automated analysis of human
salivary cortisol levels with reporting times compatible with point-of-care
applications.
PMID- 26543820
TI - Mechanisms-based therapeutic strategies in type 2 diabetes.
PMID- 26543819
TI - An accurate, precise method for general labeling of extracellular vesicles.
AB - Extracellular, membrane vesicles (microvesicles, exosomes) are secreted by cells
and may serve as mediators of intercellular communication. Methods for detecting
them by flow cytometry have included the use of agents that fluorescently stain
vesicle membrane, or fluorescent antibodies that target specific cell-of-origin
antigens. However, these methods may falsely detect cell debris or require prior
cell-of-origin knowledge. Here, we demonstrate the suitability of calcein AM for
detection of intact extracellular vesicles (EVs) by flow cytometry.*Calcein AM is
non-fluorescent until it passively enters EVs, after which it is activated and
becomes fluorescent and EV-impermeant.*Permeabilized/lysed EVs label positive
with antibodies and lipophilic membrane stain, whereas no labeling was observed
with calcein. In contrast to methods that use antibodies or membrane stains,
calcein AM allows for the differentiation between intact EVs and debris.*Calcein
AM can be used for detection of intact EVs from numerous cell types.
PMID- 26543821
TI - Mechanisms of ectopic calcification: implications for diabetic vasculopathy.
AB - Vascular calcification (VC) is the deposition of calcium/phosphate in the
vasculature, which portends a worse clinical outcome and predicts major adverse
cardiovascular events. VC is an active process initiated and regulated via a
variety of molecular signalling pathways. There are mainly two types of
calcifications: the media VC and the intima VC. All major risk factors for
cardiovascular disease (CVD) have been linked to the presence/development of VC.
Besides the risk factors, a genetic component is also operative to determine
arterial calcification. Several events take place before VC is established,
including inflammation, trans-differentiation of vascular cells and homing of
circulating pro-calcific cells. Diabetes is an important predisposing factor for
VC. Compared with non-diabetic subjects, patients with diabetes show increased VC
and higher expression of bone-related proteins in the medial layer of the
vessels. In this review we will highlight the mechanisms underlying vascular
calcification in diabetic patients.
PMID- 26543822
TI - Understanding and treating hypertension in diabetic populations.
AB - Hypertension and diabetes frequently occurs in the same individuals in clinical
practice. Moreover, the presence of hypertension does increase the risk of new
onset diabetes, as well as diabetes does promote development of hypertension.
Whatever the case, the concomitant presence of these conditions confers a high
risk of major cardiovascular complications and promotes the use integrated
pharmacological interventions, aimed at achieving the recommended therapeutic
targets. While the benefits of lowering abnormal fasting glucose levels in
patients with hypertension and diabetes have been consistently demonstrated, the
blood pressure (BP) targets to be achieved to get a benefit in patients with
diabetes have been recently reconsidered. In the past, randomized clinical trials
have, indeed, demonstrated that lowering BP levels to less than 140/90 mmHg was
associated to a substantial reduction of the risk of developing macrovascular and
microvascular complications in hypertensive patients with diabetes. In addition,
epidemiological and clinical reports suggested that "the lower, the better" for
BP in diabetes, so that levels of BP even lower than 130/80 mmHg have been
recommended. Recent randomized clinical trials, however, designed to evaluate the
potential benefits obtained with an intensive antihypertensive therapy, aimed at
achieving a target systolic BP level below 120 mmHg as compared to those obtained
with less stringent therapy, have challenged the previous recommendations from
international guidelines. In fact, detailed analyses of these trials showed a
paradoxically increased risk of coronary events, mostly myocardial infarction, in
those patients who achieved the lowest BP levels, particularly in the high-risk
subsets of hypertensive populations with diabetes. In the light of these
considerations, the present article will briefly review the common
pathophysiological mechanisms, the potential sites of therapeutic interactions
and the currently recommended BP targets to be achieved under pharmacological
treatment in hypertension and diabetes.
PMID- 26543823
TI - Atrial fibrillation in patients with diabetes: molecular mechanisms and
therapeutic perspectives.
AB - Atrial fibrillation (AF) remains the most frequent sustained cardiac arrhythmia
worldwide and its incidence increases with ageing, cardiovascular risk factors
and comorbidities. Prevalence of diabetes mellitus (DM) is growing fast and is
assuming pandemic proportions mostly due to overnutrition and sedentary habits.
Experimental and clinical evidences suggest that DM and AF are strongly
interconnected. The present review addresses in detail new molecular pathways
implicated in the etiology of AF and their relevance for mechanism-based
therapeutic strategies in this setting. Advances in risk stratification, drug
therapy (i.e., novel anticoagulants) and catheter ablation are also described.
PMID- 26543825
TI - Diabetic cardiomyopathy: is resistin a culprit?
AB - Cardiovascular disease, including heart failure (HF), is the major cause of death
in patients with diabetes. A contributing factor to the occurrence of HF in such
patients is the development of diabetic cardiomyopathy. Recent evidence
demonstrates that perturbations associated with adipokines secretion and
signaling result in lusitropic and inotropic defects in diabetic cardiomyopathy.
This perspective editorial will discuss the central role of resistin, a recently
discovered adipokine, in the maladaptive cardiac phenotype seen in diabetic
hearts. Given the pleiotropic effects of resistin, strategies targeting the
control of resistin levels may constitute a potentially viable therapeutic
utility in patients with diabetes and diabetes-induced cardiovascular diseases.
PMID- 26543824
TI - Vascular repair strategies in type 2 diabetes: novel insights.
AB - Impaired functions of vascular cells are responsible for the majority of
complications in patients with type 2 diabetes (T2D). Recently a better
understanding of mechanisms contributing to development of vascular dysfunction
and the role of systemic inflammatory activation and functional alterations of
several secretory organs, of which adipose tissue has more recently been
investigated, has been achieved. Notably, the progression of vascular disease
within the context of T2D appears to be driven by a multitude of incremental
signaling shifts. Hence, successful therapies need to target several mechanisms
in parallel, and over a long time period. This review will summarize the latest
molecular strategies and translational developments of cardiovascular therapy in
patients with T2D.
PMID- 26543827
TI - Hyperglycemia: a bad signature on the vascular system.
AB - Experimental work has clearly demonstrated that hyperglycemia is able to derail
molecular pathways favouring oxidative stress, inflammation and endothelial
dysfunction. Consistently, pooled analyses from prospective studies provide
strong evidence that glycemic markers, namely glycated haemoglobin (HbA1c),
predict cardiovascular risk, with an increase of about 18% in risk for each 1%
absolute increase in HbA1c concentration, regardless of classical risk factors.
Although the importance of hyperglycemic burden on cardiovascular phenotype,
normalization of blood glucose levels in patients with long-standing
hyperglycemia does not seem to reduce macrovascular complications. These data
suggest that hyperglycemia may exert long-lasting detrimental effects on the
cardiovascular system. This emerging phenomenon is defined metabolic or
hyperglycemic memory to indicate a long-term persistence of hyperglycemic stress,
even after blood glucose normalization. Here, we discuss clinical evidence and
potential molecular mechanisms implicated in metabolic memory and, hence,
diabetes-related cardiovascular complications.
PMID- 26543826
TI - Boosting autophagy in the diabetic heart: a translational perspective.
AB - Diabetes, obesity, and dyslipidemia are main risk factors that promote the
development of cardiovascular diseases. These metabolic abnormalities are
frequently found to be associated together in a highly morbid clinical condition
called metabolic syndrome. Metabolic derangements promote endothelial
dysfunction, atherosclerotic plaque formation and rupture, cardiac remodeling and
dysfunction. This evidence strongly encourages the elucidation of the mechanisms
through which obesity, diabetes, and metabolic syndrome induce cellular
abnormalities and dysfunction in order to discover new therapeutic targets and
strategies for their prevention and treatment. Numerous studies employing both
dietary and genetic animal models of obesity and diabetes have demonstrated that
autophagy, an intracellular system for protein degradation, is impaired in the
heart under these conditions. This suggests that autophagy reactivation may
represent a future potential therapeutic intervention to reduce cardiac
maladaptive alterations in patients with metabolic derangements. In fact,
autophagy is a critical mechanism to preserve cellular homeostasis and survival.
In addition, the physiological activation of autophagy protects the heart during
stress, such as acute ischemia, starvation, chronic myocardial infarction,
pressure overload, and proteotoxic stress. All these aspects will be discussed in
our review article together with the potential ways to reactivate autophagy in
the context of obesity, metabolic syndrome, and diabetes.
PMID- 26543829
TI - Professor Lawrence H. Cohn: minimally invasive cardiac surgery.
PMID- 26543828
TI - Diabetes and cardiovascular disease: let's push forward with translational
research.
AB - Albeit advances in therapy have reduced morbidity and mortality in patients with
diabetes, cardiovascular (CV) risk is far to be eradicated. This is partially due
to the fact that breakthrough therapies have yet to be approved to counteract the
atherosclerotic burden in this setting. Therefore, it is very important to
understand the molecular mechanisms underpinning diabetes-related CV
complications. Growing evidence is supporting the concept that translational
research is perhaps the best approach to unveil novel insights into disease
etiology and its link with CV phenotypes. The recent employment of high
throughput "omics" (i.e., metabolomics, transcriptomics, proteomics) is a
clinically relevant approach which may provide insightful interpretations of
diabetes-related biological signals. The possibility to analyse thousands or more
molecules simultaneously has given "omics" the ability to generate enormous
quantities of data which may somehow offer a precious "window on the disease". In
the present article, we critically discuss the importance of translational
research in diabetes, including potential difficulties which may arise in the
implementation and development of promising technologies from the laboratory to
the marketplace.
PMID- 26543830
TI - Clomiphene Effects on Idiopathic Premature Ejaculation.
AB - BACKGROUND: Premature ejaculation (PE) is the inability to delay ejaculation,
occurring sooner than they or their partner would like during sexual activities.
PE is a challenging problem that can affect sexual enjoyment and may harm
relationships of couples and affect their quality of life. In idiopathic PE,
several helpful techniques and medicines are recommended, but none of them has
yielded satisfactory results. OBJECTIVES: Our objective in this study was to
evaluate the efficacy and safety of clomiphene as a selective estrogen receptor
modulator on the treatment of idiopathic PE. PATIENTS AND METHODS: In a
randomized clinical trial, 178 married men with idiopathic PE defined according
to the Diagnostic and Statistical Manual of Mental Disorders Third Revised
Version (DSM-III-R) who referred to urology clinics over a 10-month period in
2012 were randomized into two groups, namely the study (clomiphene) and control
(placebo) groups. They completed self-administered questionnaires that included
intravaginal ejaculatory latency time (IELT), erectile dysfunction indexes,
quality of life (QOL), sociodemographic characteristics, lifestyle, and medical
illness. After 6 months of intervention, all data were compared with the baseline
data and between the groups. RESULTS: Within the 10-month study course, 126
patients (70.8%) completed this study. After intervention and comparison of the
results between the two groups, IELT, sexual indexes, and QOL improved in the
study group, but significant differences were observed only in the IELT and QOL
findings. CONCLUSIONS: Clomiphene seems to be useful in the pharmacological
treatment of PE compared to the placebo.
PMID- 26543831
TI - Use of Silodosin to Visualize the Posterior Urethra in Pelvic Floor Urethral
Distraction Defect Patients.
AB - BACKGROUND: Retrograde urethrogram and voiding cystourethrogram are used to
define length and location of urethral stricture prior to surgery. We used a
single dose of silodosin prior to VCUG to relax the bladder neck and achieve
visualization of posterior urethra. OBJECTIVES: To evaluate the efficacy of
silodosin in visualization of posterior urethra during VCUG, and to compare the
findings with a control group. PATIENTS AND METHODS: Patients were divided into
two groups A and B containing 20 and 15 patients, respectively. Patients in group
A were given a single dose of silodosin prior to radiological studies. RESULTS:
In group A 19 out of 20 patients were able to achieve satisfactory bladder neck
opening while in group B 10 out of 15 patients were able to achieve bladder neck
opening. CONCLUSIONS: Silodosin use prior to VCUG confers a statistically
significant increase in bladder neck opening and visualization of posterior
urethra.
PMID- 26543832
TI - Therapeutic Efficacy of Hydrochlorothiazide in Primary Monosymptomatic Nocturnal
Enuresis in Boys With Idiopathic Hypercalciuria.
AB - BACKGROUND: Idiopathic hypercalciuria (IHC) can be one of the causes of nocturnal
enuresis (NE) and hydrochlorothiazide (HCT) ameliorates hypercalciuria.
OBJECTIVES: The aim of this study was to assess the therapeutic efficacy of HCT
in boys with primary monosymptomatic NE (PMNE). PATIENTS AND METHODS: This study
was a randomized double-blind placebo-controlled clinical trial. A hundred boys
with PMNE and IHC were randomly assigned into two groups of experimental (treated
with HCT 1 mg/kg/day) and control and all patients were followed for 4 months for
the number of wet-night episodes. RESULTS: The mean numbers of wet-night episodes
in the first (intervention: 8.34 +/- 8.54, control: 9.1 +/- 9.3, P = 0.3), second
(7.1 +/- 7.3, 7.9 +/- 8.1, P = 0.4), third (7.8 +/- 8, 7.9 +/- 8.1, P = 0.1) and
fourth (4.9 +/- 5.1, 5.9 +/- 6, P = 0.3) months were not significantly different
between the two groups. However, the decrease in the average wet-night episodes
during the 4 months of treatment in the intervention group (P = 0.019) unlike the
control group. Not more significant compared to control group (P = 0.191). All
patients who were treated by HCT became normocalciuric. However, in 21 patients
the dose was increased to 2 mg/kg/day. CONCLUSIONS: Single daily dose of HCT is a
safe and effective therapeutic option in the treatment of PMNE in children with
IHC.
PMID- 26543833
TI - Iranian Nephrology and Urology Research Output in the Past Two Decades: A
Bibliographic Analysis of Medline Database.
AB - CONTEXT: We performed a bibliometric search to evaluate the number of papers
published in the field of nephrology and urology by Iranian researchers in the
past two decades. EVIDENCE ACQUISITION: We did an online search in abstract/title
part of articles with 129 keywords such as kidney, renal, hemodialysis,
transplant, nephrology, glomerulonephritis, ureteral, nephrolithiasis, and etc.
Endnote software version 7 was used to search articles published in PubMed
database from November 1993 to November 2013. Those articles in which Iran was
the affiliation of at least one of the authors were selected. These articles in
the field of nephrology and urology were analyzed regarding the name of
originated institution, field of study, total number of publications, type of
study, collaboration rate of Iranian nephrologist and urologists for every year,
annual sharing of Iranian articles in five journals with highest impact factor
(IF) and journal IF. RESULTS: The total number of publications in the field of
nephrology and urology was 3,771 (average of 189 papers per year). Most of the
Iranian nephrology and urology papers were from the capital city, Tehran
(50.03%). There was an increasing trend in the number of publications over the
years. Most papers were about transplantation (44.6%), nephrology (20.9%) and
hemodialysis (16.4%). Of all, 53.7% were retrospective articles, whereas the
proportion of clinical trials was relatively small (10.8%). CONCLUSIONS: Although
Iranian publications in the field of nephrology and urology have had a
considerable and significant increase in the recent years amongst the Middle
Eastern countries, there is a wide distance to be a science exporter country.
PMID- 26543834
TI - Comparison Between the Transobturator Tape Procedure and Anterior Colporrhaphy
With the Kelly's Plication in the Treatment of Stress Urinary Incontinence: a
Randomized Clinical Trial.
AB - BACKGROUND: Stress urinary incontinence in women is a common problem that impairs
the quality of life in patients. The extraordinary number of procedures to treat
stress urinary incontinence reflects a lack of consensus on an appropriate
intervention for this problem. OBJECTIVES: The current study aimed to compare the
results of transobturator tape (TOT) procedure and anterior colporrhaphy with the
Kelly's Plication to treat women with stress urinary incontinence. PATIENTS AND
METHODS: This randomized clinical trial was conducted on 60 patients with stress
urinary incontinence referred to Afzalipour Hospital in Kerman, Iran. The
patients were randomly divided into two surgery groups and were subsequently
assessed regarding the outcomes of the procedures, incontinence symptoms and
complications during the follow-up period. RESULTS: The cure rates at follow-up
period of one month, six months and one year after surgery were 86.7%, 80% and
80% in the TOT group versus 80%, 70% and 66.7% in the anterior colporrhaphy with
the Kelly's Plication group, respectively. There were no significant differences
between the two groups in the aforementioned follow-up periods (P = 0.68, P =
0.54 and P = 0.22, respectively). CONCLUSIONS: The current results showed no
significant differences between the outcomes of the two procedures at short-term
follow-up. However, the results might have changed in the long term.
PMID- 26543835
TI - Occult Laryngeal Foreign Body Mimicking Normal Thyroid Cartilage.
AB - INTRODUCTION: Foreign body aspiration is common especially in children. The
absence of history of choking does not rule out the diagnosis. Diagnosis required
high index of suspicion. CASE PRESENTATION: Undiagnosed foreign body aspiration
mostly occurs in bronchial airway rather than larynx and can cause severe
complications. In this article, we report a silent laryngeal foreign body
aspiration to show that careful history taking and accurate evaluation of
radiography are important factors for diagnosis. CONCLUSIONS: The single most
significant factor leading to detect of tracheobronchial foreign body aspiration
is a high index of suspicion; this case highlights the possibility of a foreign
body in the airway in patients who presents with a recent onset of chronic
respiratory complaints.
PMID- 26543836
TI - A Triage Model for Chemical Warfare Casualties.
AB - CONTEXT: The main objectives of triage are securing patient safety during the
process of emergency diagnosis and treatment, and reduction of waiting time for
medical services and transport. To date, there is no triage system for nerve
agent victims. EVIDENCE ACQUISITION: This systematic review proposes a new triage
system for patients exposed to nerve agents. Information regarding clinical signs
and symptoms of intoxication with nerve agents, primary treatments, and
classification of patients were extracted from the literature. All related
articles were reviewed. Subsequently, specialists from different disciplines were
invited to discuss and draft protocols. RESULTS: Finalized triage tables
summarizing the classification methods and required protocols in the field were
designed after several meetings. CONCLUSIONS: The proposed triage protocol
encompasses aspects from most of the existing triage systems to create a single
overarching guide for unifying the triage process. The proposed protocol can
serve as a base for the designing future guidelines.
PMID- 26543837
TI - Displaced Intra-Articular Fractures of the Distal Radius: Open Reduction With
Internal Fixation Versus Bridging External Fixation.
AB - BACKGROUND: Distal radius fracture is common in all ages. Mobility and wrist
function is important. The choice of treatment should aim for optimal function
with minimal complications. OBJECTIVES: In this study we compared two surgical
approaches, open reduction and internal fixation (ORIF) and closed reduction with
external fixation (CR + EF), for treatment of intra-articular distal radius
fractures. PATIENTS AND METHODS: Ninety-four patients with distal radius fracture
(type 3, 4 and 5 Fernandez classification) were treated with two surgical methods
(ORIF and CR + EF); 55 were treated with CR + EF and 39 were treated with ORIF by
different surgeons. All patients were assessed at the end of the first, third and
sixth week; and then after the third, sixth and 12(th) month. At the end of the
follow-up, all patients completed the Michigan hand outcome questionnaire (MHOQ).
We compared radiological parameters of distal radius, range of motion (ROM) of
the wrist, duration of rehabilitation, complication and patient satisfaction of
the methods. RESULTS: In our study, radiological findings for the ORIF group were
radial inclination (RI): 19.35, radial length (RL): 10.35, radial tilt (RT):
8.92, and ulnar variance (UV): 1.64, while for the CR + EF group these were RI:
15.13, RL: 8, RT: 4.78, and UV: 0.27. The ROM for ORIF were flexion/extension
(F/E): 137, Radial/Ulnar deviation (R/U): 52, and Supination/Pronation (S/P):
141, while for the CR + EF group these were F/E: 117, R/U: 40 and S/P: 116.
Michigan hand outcome score for ORIF was 75% and for Ext. fix was 60%. The rate
of complication with the ORIF method was 58% and in Ext. fix this was 69%. The
patients in CR + EF had more than the ORIF course of physiotherapy and
rehabilitation. CONCLUSIONS: In comparison of ORIF and CR + EF, all results
including functional score, clinical and radiologic criteria were in favor of the
ORIF method while there were less complications with this method. We believe that
ORIF is a better method for treatment of these types of fractures.
PMID- 26543838
TI - Management of Neglected Traumatic Bilateral Cervical Facet Dislocations Without
Neurological Deficit.
AB - INTRODUCTION: Sub axial cervical spine dislocations are common and managing these
cases by closed reduction is successful in the majority of cases. However,
treatment of old and neglected cases is difficult and the results may vary in
terms of neurological and functional outcomes. CASE PRESENTATION: We present two
cases of traumatic bilateral cervical facet dislocation with no neurological
deficit (ND) who referred four months after the injury. They were managed via
single stage anterior discectomy, posterior facet reduction, instrumentation, and
then anterior reconstruction with bone graft and cervical plate. The patients had
no ND in the postoperative period and returned to work. DISCUSSION: Patients
presenting with neck pain after a history of trauma should be evaluated
thoroughly with radiographs and computed tomography. The management of old
neglected facet dislocations is difficult, lengthy, and fraught with potential
neurological complications; operative intervention can substantially improve the
quality of life in these patients.
PMID- 26543839
TI - A Study to Analyses Pattern and Treatment of Upper Cervical Spine Injuries
Experience From Developing World.
AB - BACKGROUND: The literature regarding the different patterns of upper cervical
spine injuries, their appropriate management, and management development of such
injuries is scarce in the world. OBJECTIVES: The current study aimed to present
the experience regarding the high velocity trauma of upper cervical spine
injuries. PATIENTS AND METHODS: Thirty patients (22 males, 8 females) with upper
cervical spine injuries were treated and followed-up for an average of 24 months.
The corresponding data were analyzed with respect to various types of injuries
and different treatment modalities used to treat such patients keeping the basic
healthcare facilities in view. RESULTS: The clinical as well as radiological
outcomes of the treatment of such injuries were mostly achievable with minimum
facilities in India, with only few complications. CONCLUSIONS: Managing such
patients needs a proper transport facility, proper care during transport,
appropriate evaluation in the hospital and prompt conservative or operative
treatment. Treatment is usually safe and effective by well trained professionals
with good clinical and radiological outcomes.
PMID- 26543840
TI - Presentation of a Humeral Shaft Fracture Treated by Locked Intramedullary Nailing
With Unlocked Technique.
AB - INTRODUCTION: Although intramedullary nailing (IMN) is used in a reamed or
unreamed fashion for treatment of long bone fractures, the locked nails may also
be used in the unlocked form if so decided by the orthopedic surgeon. CASE
PRESENTATION: We describe a 50-year-old man who had a shaft fracture of his right
humerus. The fracture was treated with a reamed, locked IMN using unlocked
technique. CONCLUSIONS: The functional outcome 22 months post injury showed that
although primary treatment method uses locked IMN in humeral shaft fractures,
unlocked IMN can be used in appropriate cases. Less injury risk to the axillary
and radial nerve, short period of surgery, and less radiation can be considered
as advantages of this technique.
PMID- 26543841
TI - Perception From Barrier and Facilitator for Providing Early Rehabilitation Care
for RTI Victims.
AB - BACKGROUND: Road traffic injuries (RTIs) are a major public health problem and
the most important cause of disability, morbidity and mortality worldwide. Early
rehabilitation can play a significant role in minimizing complications, morbidity
and mortality. OBJECTIVES: The aim of this study was to describe perceptions of
barriers precluding provision of early rehabilitation care for RTI victims.
PATIENTS AND METHODS: A qualitative content analysis was carried out on 15 nurses
with at least one year experience caring for RTI victims. The nurses were
selected from various wards (emergency, orthopedic, neurosurgery, and clinic) of
Sina and Imam Khomeini Hospitals via targeted sampling. Data were collected
through semi-structured interviews and targeted sampling until data saturation.
Data were analyzed and assessed. RESULTS: After continuous analysis and
comparison of data, major causes precluding nurses from early rehabilitation of
RTI patients were retrieved. These barriers included: (a) lack of insight, (b)
lack of comprehensive care (c) excessive costs; facilitating factors included (d)
training for cooperation and (e) support for coping. CONCLUSIONS: The findings of
this study show that the need for early rehabilitation in the hospital phase of
care for RTI victims is needed. Knowledge about the barriers precluding nurses
from early rehabiltiation of RTI patients and facilitators that can help health
care workers and policy makers eliminate the barriers precluding early
rehabilitation can help health care workers, especially nurses enable patients
get over their disability and gain social and family support.
PMID- 26543842
TI - Mechanism of Injury, Glasgow Coma Scale, Age, and Systolic Blood Pressure: A New
Trauma Scoring System to Predict Mortality in Trauma Patients.
AB - BACKGROUND: Trauma is the most common cause of death in people aged 1 - 44 years
and the third leading cause of death regardless of age. Early diagnosis can
expedite emergency care and thus patients can be transferred more quickly to a
treatment center. OBJECTIVES: The purpose of this study was to evaluate the
mechanism of injury, Glasgow coma scale, age, and arterial pressure (MGAP)
scoring system in predicting mortality in trauma patients. PATIENTS AND METHODS:
In this cross-sectional study, 5,484 victims over 12 years of age referred to a
trauma referral hospital and were evaluated. The MGAP score was assessed based on
type of injury, Glasgow coma scale (GCS), systolic blood pressure (BP) and
patient's age. The area under the receiver operating characteristic (AUROC) curve
was used as a measure of predictive performance. Data were analyzed using SPSS
software version 16. RESULTS: Patients were divided into three groups : scores of
less than 18, 18 - 22 and greater than 22; in which the mortality rates were
75.2%, 9.5% and 0.1%, respectively (P < 0.0001). The best cut-off point was 22 in
our study, and the MGAP scoring system had 93.7% sensitivity and 91.3%
specificity. CONCLUSIONS: The MGAP scoring system can be used as an appropriate
scoring system to predict mortality in triage trauma patients.
PMID- 26543843
TI - A Case of Slipped Capital Femoral Epiphysis in Association With
Craniopharyngioma.
AB - INTRODUCTION: Slipped capital femoral epiphysis is characterized by the slippage
of the proximal femoral epiphysis on the metaphysis, which is sometimes
associated with an underlying endocrine disorder. Panhypopituitarism due to
craniopharyngioma has been reported several times. We report a case of
craniopharyngioma recurrence leading to slipped capital femoral epiphysis. CASE
PRESENTATION: A 28-year-old man diagnosed with recurrent craniopharyngioma
presented with slipped capital femoral epiphysis. He was treated with gentle
manipulation, capsulotomy, and placement of one screw as fixation per side. No
complications showed up in a follow-up duration of 4 months. CONCLUSIONS: We
underscored the importance of endocrinologic disorders in craniopharyngioma
cases. Such disorders should be taken into consideration and be followed up.
PMID- 26543845
TI - National Getaways for the Weary Trauma Surgeon; Part 4: The Silk Road Trip.
PMID- 26543844
TI - Treatment of Humeral Shaft Fractures: Minimally Invasive Plate Osteosynthesis
Versus Open Reduction and Internal Fixation.
AB - BACKGROUND: The optimal technique for operative fixation of humeral shaft
fractures remains controversial and warrants research. OBJECTIVES: The purpose of
the current study was to compare the functional and clinical outcomes of
conventional open reduction and internal fixation (ORIF) with minimally invasive
plate osteosynthesis (MIPO) in patients with fractures in two-third distal
humeral shaft. PATIENTS AND METHODS: In the current prospective case-control
study, 65 patients with humeral shaft fractures were treated using ORIF (33
patients) or MIPO (32 patients). Time of surgery, time of union, incidence of
varus deformity and complications were compared between the two groups. Also, the
university of California-Los Angeles (UCLA) shoulder rating scale and Mayo Elbow
performance score (MEPS) were used to compare the functional outcomes between the
two groups. RESULTS: The median of union time was shorter in the MIPO group (4
months versus 5 months). The time of surgery and functional outcomes based on the
UCLA and MEPS scores were the same. The incidence of varus deformity was more
than 5 degrees and was higher and the incidence of nonunion, infection and
iatrogenic radial nerve injury were lower in the MIPO group; however, the
differences were not significant. CONCLUSIONS: Due to the shorter union time, to
some extent less complication rate and comparable functional and clinical
results, the authors recommend to use the MIPO technique in treating the mid
distal humeral shaft fracture.
PMID- 26543846
TI - Genome-Wide Identification of Genes Probably Relevant to the Uniqueness of Tea
Plant (Camellia sinensis) and Its Cultivars.
AB - Tea (Camellia sinensis) is a popular beverage all over the world and a number of
studies have focused on the genetic uniqueness of tea and its cultivars. However,
molecular mechanisms underlying these phenomena are largely undefined. In this
report, based on expression data available from public databases, we performed a
series of analyses to identify genes probably relevant to the uniqueness of C.
sinensis and two of its cultivars (LJ43 and ZH2). Evolutionary analyses showed
that the evolutionary rates of genes involved in the pathways were not
significantly different among C. sinensis, C. oleifera, and C. azalea.
Interestingly, a number of gene families, including genes involved in the
pathways synthesizing iconic secondary metabolites of tea plant, were
significantly upregulated, expressed in C. sinensis (LJ43) when compared to C.
azalea, and this may partially explain its higher content of flavonoid, theanine,
and caffeine. Further investigation showed that nonsynonymous mutations may
partially contribute to the differences between the two cultivars of C. sinensis,
such as the chlorina and higher contents of amino acids in ZH2. Genes identified
as candidates are probably relevant to the uniqueness of C. sinensis and its
cultivars should be good candidates for subsequent functional analyses and marker
assisted breeding.
PMID- 26543847
TI - Analysis of Polygala tenuifolia Transcriptome and Description of Secondary
Metabolite Biosynthetic Pathways by Illumina Sequencing.
AB - Radix polygalae, the dried roots of Polygala tenuifolia and P. sibirica, is one
of the most well-known traditional Chinese medicinal plants. Radix polygalae
contains various saponins, xanthones, and oligosaccharide esters and these
compounds are responsible for several pharmacological properties. To provide
basic breeding information, enhance molecular biological analysis, and determine
secondary metabolite biosynthetic pathways of P. tenuifolia, we applied Illumina
sequencing technology and de novo assembly. We also applied this technique to
gain an overview of P. tenuifolia transcriptome from samples with different
years. Using Illumina sequencing, approximately 67.2% of unique sequences were
annotated by basic local alignment search tool similarity searches against public
sequence databases. We classified the annotated unigenes by using Nr, Nt, GO,
COG, and KEGG databases compared with NCBI. We also obtained many candidates
CYP450s and UGTs by the analysis of genes in the secondary metabolite
biosynthetic pathways, including putative terpenoid backbone and phenylpropanoid
biosynthesis pathway. With this transcriptome sequencing, future genetic and
genomics studies related to the molecular mechanisms associated with the chemical
composition of P. tenuifolia may be improved. Genes involved in the enrichment of
secondary metabolite biosynthesis-related pathways could enhance the potential
applications of P. tenuifolia in pharmaceutical industries.
PMID- 26543848
TI - Goal-Directed Resuscitation Aiming Cardiac Index Masks Residual Hypovolemia: An
Animal Experiment.
AB - The aim of this study was to compare stroke volume (SVI) to cardiac index (CI)
guided resuscitation in a bleeding-resuscitation experiment. Twenty six pigs were
randomized and bled in both groups till baseline SVI (T bsl) dropped by 50% (T
0), followed by resuscitation with crystalloid solution until initial SVI or CI
was reached (T 4). Similar amount of blood was shed but animals received
significantly less fluid in the CI-group as in the SVI-group: median = 900
(interquartile range: 850-1780) versus 1965 (1584-2165) mL, p = 0.02,
respectively. In the SVI-group all variables returned to their baseline values,
but in the CI-group animals remained underresuscitated as indicated by SVI, heart
rate (HR) and stroke volume variation (SVV), and central venous oxygen saturation
(ScvO2) at T 4 as compared to T bsl: SVI = 23.8 +/- 5.9 versus 31.4 +/- 4.7 mL,
HR: 117 +/- 35 versus 89 +/- 11/min SVV: 17.4 +/- 7.6 versus 11.5 +/- 5.3%, and
ScvO2: 64.1 +/- 11.6 versus 79.2 +/- 8.1%, p < 0.05, respectively. Our results
indicate that CI-based goal-directed resuscitation may result in residual
hypovolaemia, as bleeding caused stress induced tachycardia "normalizes" CI,
without restoring adequate SVI. As the SVI-guided approach normalized most
hemodynamic variables, we recommend using SVI instead of CI as the primary goal
of resuscitation during acute bleeding.
PMID- 26543849
TI - Early Fluid Resuscitation and High Volume Hemofiltration Decrease Septic Shock
Progression in Swine.
AB - This study aimed to assess the effects of early fluid resuscitation (EFR)
combined with high volume hemofiltration (HVHF) on the cardiopulmonary function
and removal of inflammatory mediators in a septic shock swine model. Eighteen
swine were randomized into three groups: control (n = 6) (extracorporeal
circulating blood only), continuous renal replacement therapy (CRRT) (n = 6;
ultrafiltration volume = 25 mL/Kg/h), and HVHF (n = 6; ultrafiltration volume =
85 mL/Kg/h). The septic shock model was established by intravenous infusion of
lipopolysaccharides (50 ug/kg/h). Hemodynamic parameters (arterial pressure,
heart rate, cardiac output, stroke volume variability, left ventricular
contractility, systemic vascular resistance, and central venous pressure),
vasoactive drug parameters (dose and time of norepinephrine and hourly fluid
intake), pulmonary function (partial oxygen pressure and vascular permeability),
and cytokines (interleukin-6 and interleukin-10) were observed. Treatment
resulted in significant changes at 4-6 h. HVHF was beneficial, as shown by the
dose of vasoactive drugs, fluid intake volume, left ventricular contractility
index, and partial oxygen pressure. Both CRRT and HVHF groups showed improved
removal of inflammatory mediators compared with controls. In conclusion, EFR
combined with HVHF improved septic shock in this swine model. The combination
decreased shock progression, reduced the need for vasoactive drugs, and
alleviated the damage to cardiopulmonary functions.
PMID- 26543851
TI - Cancer Diagnostic and Predictive Biomarkers 2015.
PMID- 26543850
TI - Physiological and Neural Adaptations to Eccentric Exercise: Mechanisms and
Considerations for Training.
AB - Eccentric exercise is characterized by initial unfavorable effects such as
subcellular muscle damage, pain, reduced fiber excitability, and initial muscle
weakness. However, stretch combined with overload, as in eccentric contractions,
is an effective stimulus for inducing physiological and neural adaptations to
training. Eccentric exercise-induced adaptations include muscle hypertrophy,
increased cortical activity, and changes in motor unit behavior, all of which
contribute to improved muscle function. In this brief review, neuromuscular
adaptations to different forms of exercise are reviewed, the positive training
effects of eccentric exercise are presented, and the implications for training
are considered.
PMID- 26543852
TI - Wheelchair Propulsion Biomechanics in Junior Basketball Players: A Method for the
Evaluation of the Efficacy of a Specific Training Program.
AB - As participation in wheelchair sports increases, the need of quantitative
assessment of biomechanical performance indicators and of sports- and population
specific training protocols has become central. The present study focuses on
junior wheelchair basketball and aims at (i) proposing a method to identify
biomechanical performance indicators of wheelchair propulsion using an
instrumented in-field test and (ii) developing a training program specific for
the considered population and assessing its efficacy using the proposed method.
Twelve athletes (10 M, 2 F, age = 17.1 +/- 2.7 years, years of practice = 4.5 +/-
1.8) equipped with wheelchair- and wrist-mounted inertial sensors performed a 20
metre sprint test. Biomechanical parameters related to propulsion timing,
progression force, and coordination were estimated from the measured
accelerations and used in a regression model where the time to complete the test
was set as dependent variable. Force- and coordination-related parameters
accounted for 80% of the dependent variable variance. Based on these results, a
training program was designed and administered for three months to six of the
athletes (the others acting as control group). The biomechanical indicators
proved to be effective in providing additional information about the wheelchair
propulsion technique with respect to the final test outcome and demonstrated the
efficacy of the developed program.
PMID- 26543853
TI - Decreased Splenic CD4(+) T-Lymphocytes in Apolipoprotein M Gene Deficient Mice.
AB - Spleen T-lymphocytes, especially CD4(+) T-cells, have been demonstrated to be
involved in broad immunomodulation and host-defense activity in vivo.
Apolipoprotein M gene (apoM) may have an important role in the regulation of
immunoprocess and inflammation, which could be hypothesized to the apoM
containing sphingosine-1-phosphate (S1P). In the present study we demonstrate
that the splenic CD4(+) T-lymphocytes were obviously decreased in the apoM gene
deficient (apoM(-/-)) mice compared to the wild type (apoM(+/+)). Moreover, these
mice were treated with lipopolysaccharide (LPS) and it was found that even more
pronounced decreasing CD4(+) T-lymphocytes occurred in the spleen compared to the
apoM(+/+) mice. The similar phenomena were found in the ratio of CD4(+)/CD8(+) T
lymphocytes. After administration of LPS, the hepatic mRNA levels of tumor
necrosis factor-alpha (TNF-alpha) and monocyte chemotactic protein-1 (MCP-1) were
markedly increased; however, there were no statistical differences observed
between apoM(+/+) mice and apoM(-/-) mice. The present study demonstrated that
apoM might facilitate the maintenance of CD4(+) T-lymphocytes or could modify the
T-lymphocytes subgroups in murine spleen, which may further explore the
importance of apoM in the regulation of the host immunomodulation, although the
detailed mechanism needs continuing investigation.
PMID- 26543854
TI - OperomeDB: A Database of Condition-Specific Transcription Units in Prokaryotic
Genomes.
AB - Background. In prokaryotic organisms, a substantial fraction of adjacent genes
are organized into operons-codirectionally organized genes in prokaryotic genomes
with the presence of a common promoter and terminator. Although several available
operon databases provide information with varying levels of reliability, very few
resources provide experimentally supported results. Therefore, we believe that
the biological community could benefit from having a new operon prediction
database with operons predicted using next-generation RNA-seq datasets.
Description. We present operomeDB, a database which provides an ensemble of all
the predicted operons for bacterial genomes using available RNA-sequencing
datasets across a wide range of experimental conditions. Although several studies
have recently confirmed that prokaryotic operon structure is dynamic with
significant alterations across environmental and experimental conditions, there
are no comprehensive databases for studying such variations across prokaryotic
transcriptomes. Currently our database contains nine bacterial organisms and 168
transcriptomes for which we predicted operons. User interface is simple and easy
to use, in terms of visualization, downloading, and querying of data. In
addition, because of its ability to load custom datasets, users can also compare
their datasets with publicly available transcriptomic data of an organism.
Conclusion. OperomeDB as a database should not only aid experimental groups
working on transcriptome analysis of specific organisms but also enable studies
related to computational and comparative operomics.
PMID- 26543855
TI - Intraoperative Hemorrhage and Postoperative Sequelae after Intraoral Vertical
Ramus Osteotomy to Treat Mandibular Prognathism.
AB - Objective. To investigate the factors affecting intraoperative hemorrhage and
postoperative sequelae after orthognathic surgery. Materials and Methods. Eighty
patients with mandibular prognathism underwent surgical mandibular setback with
intraoral vertical ramus osteotomy (IVRO). The correlation between the blood loss
volume and postoperative VAS with the gender, age, and operating time was
assessed using the t-test and Spearman rank correlation coefficient. The
correlation between the magnitude of mandibular setback with the presence of TMJ
clicking symptoms and lip sensation was also assessed. Results. The mean
operating time and blood loss volume for men and women were 249.52 min and 229.39
min, and 104.03 mL and 86.12 mL, respectively. The mean VAS in men and women was
3.21 and 2.93, and 1.79 and 1.32 on the first and second postoperative days.
There is no gender difference in the operating time, blood loss, VAS, TMJ
symptoms, and lip numbness. The magnitude of mandibular setback was not
correlated with immediate and long-term postoperative lip numbness. Conclusion.
There are no gender differences in the intraoperative hemorrhage and
postoperative sequelae (pain, lip numbness, and TMJ symptoms). In addition,
neither symptom was significantly correlated with the amount of mandibular
setback.
PMID- 26543856
TI - Occurrence, Persistence, and Virulence Potential of Listeria ivanovii in Foods
and Food Processing Environments in the Republic of Ireland.
AB - The aim of this study was to assess the occurrence of L. ivanovii in foods and
food processing environments in Ireland, to track persistence, and to
characterize the disease causing potential of the isolated strains. A total of
2,006 samples (432 food samples and 1,574 environmental swabs) were collected
between March 2013 and March 2014 from 48 food business operators (FBOs)
belonging to different production sectors (dairy, fish, meat, and fresh-cut
vegetable). Six of the forty-eight FBOs had samples positive for L. ivanovii on
at least one sampling occasion. L. ivanovii was present in fifteen samples
(fourteen environmental samples and one food sample). All but one of those
positive samples derived from the dairy sector, where L. ivanovii prevalence was
1.7%. Six distinguishable pulsotypes were obtained by PFGE analysis, with one
pulsotype being persistent in the environment of a dairy food business. Sequence
analysis of the sigB gene showed that fourteen isolates belonged to L. ivanovii
subsp. londoniensis, while only one isolate was L. ivanovii subsp. ivanovii. Cell
invasion assays demonstrated that the majority of L. ivanovii strains were
comparable to L. monocytogenes EGDe in their ability to invade CACO-2 epithelial
cells whilst four isolates had significantly higher invasion efficiencies.
PMID- 26543857
TI - Predicting Drug-Target Interactions via Within-Score and Between-Score.
AB - Network inference and local classification models have been shown to be useful in
predicting newly potential drug-target interactions (DTIs) for assisting in drug
discovery or drug repositioning. The idea is to represent drugs, targets, and
their interactions as a bipartite network or an adjacent matrix. However,
existing methods have not yet addressed appropriately several issues, such as the
powerless inference in the case of isolated subnetworks, the biased classifiers
derived from insufficient positive samples, the need of training a number of
local classifiers, and the unavailable relationship between known DTIs and
unapproved drug-target pairs (DTPs). Designing more effective approaches to
address those issues is always desirable. In this paper, after presenting better
drug similarities and target similarities, we characterize each DTP as a feature
vector of within-scores and between-scores so as to hold the following
superiorities: (1) a uniform vector of all types of DTPs, (2) only one global
classifier with less bias benefiting from adequate positive samples, and (3) more
importantly, the visualized relationship between known DTIs and unapproved DTPs.
The effectiveness of our approach is finally demonstrated via comparing with
other popular methods under cross validation and predicting potential
interactions for DTPs under the validation in existing databases.
PMID- 26543858
TI - Contribution of Avian Salmonella enterica Isolates to Human Salmonellosis Cases
in Constantine (Algeria).
AB - An epidemiological investigation was carried out on one hundred Salmonella
isolates from broiler farms, slaughterhouses, and human patients in the
Constantine region of Algeria, in order to explore the contribution of avian
strains to human salmonellosis cases in this region over the same period of time.
The isolates were characterized by phenotypic as well as genotypic methods. A
large variety of antimicrobial resistance profiles was found among human
isolates, while only seven profiles were found among avian isolates.
Enterobacterial Repetitive Intergenic Consensus-PCR (ERIC-PCR), Insertion
Sequence 200-PCR (IS200-PCR), and Pulsed Field Gel Electrophoresis (PFGE)
resulted in the allocation of the isolates to 16, 20, and 34 different profiles,
respectively. The 3 genotyping methods led to complementary results by
underlining the clonality of some serovars with the diffusion and persistence of
a single clone in the Constantine area as well as stressing the polymorphism
present in isolates belonging to other serovars, indicating the diversity of
potential reservoirs of nontyphoidal Salmonella. Altogether, our results seem to
indicate that nontyphoidal avian Salmonella may play an important role in human
salmonellosis in the Constantine region.
PMID- 26543859
TI - Efficient and Specific Detection of Salmonella in Food Samples Using a stn-Based
Loop-Mediated Isothermal Amplification Method.
AB - The Salmonella enterotoxin (stn) gene exhibits high homology among S. enterica
serovars and S. bongori. A set of 6 specific primers targeting the stn gene were
designed for detection of Salmonella spp. using the loop-mediated isothermal
amplification (LAMP) method. The primers amplified target sequences in all 102
strains of 87 serovars of Salmonella tested and no products were detected in 57
non-Salmonella strains. The detection limit in pure cultures was 5 fg
DNA/reaction when amplified at 65 degrees C for 25 min. The LAMP assay could
detect Salmonella in artificially contaminated food samples as low as 220 cells/g
of food without a preenrichment step. However, the sensitivity was increased 100
fold (~2 cells/g) following 5 hr preenrichment at 35 degrees C. The LAMP
technique, with a preenrichment step for 5 and 16 hr, was shown to give 100%
specificity with food samples compared to the reference culture method in which
67 out of 90 food samples gave positive results. Different food matrixes did not
interfere with LAMP detection which employed a simple boiling method for DNA
template preparation. The results indicate that the LAMP method, targeting the
stn gene, has great potential for detection of Salmonella in food samples with
both high specificity and high sensitivity.
PMID- 26543860
TI - Sequence-Based Prediction of RNA-Binding Proteins Using Random Forest with
Minimum Redundancy Maximum Relevance Feature Selection.
AB - The prediction of RNA-binding proteins is one of the most challenging problems in
computation biology. Although some studies have investigated this problem, the
accuracy of prediction is still not sufficient. In this study, a highly accurate
method was developed to predict RNA-binding proteins from amino acid sequences
using random forests with the minimum redundancy maximum relevance (mRMR) method,
followed by incremental feature selection (IFS). We incorporated features of
conjoint triad features and three novel features: binding propensity (BP),
nonbinding propensity (NBP), and evolutionary information combined with
physicochemical properties (EIPP). The results showed that these novel features
have important roles in improving the performance of the predictor. Using the
mRMR-IFS method, our predictor achieved the best performance (86.62% accuracy and
0.737 Matthews correlation coefficient). High prediction accuracy and successful
prediction performance suggested that our method can be a useful approach to
identify RNA-binding proteins from sequence information.
PMID- 26543861
TI - Effects of Exercise Training on Autonomic Function in Chronic Heart Failure:
Systematic Review.
AB - Objectives. Cardiac autonomic imbalance accompanies the progression of chronic
heart failure (CHF). It is unclear whether exercise training could modulate
autonomic control in CHF. This study aimed to review systematically the effects
of exercise training on heart rate recovery (HRR) and heart rate variability
(HRV) in patients with CHF. Methods. Literatures were systematically searched in
electronic databases and relevant references. Only published randomized
controlled trials (RCTs) focusing on exercise training for CHF were eligible for
inclusion. Outcome measurements included HRR and HRV parameters. Results. Eight
RCTs were eligible for inclusion and provided data on 280 participants (186 men).
The participants were 52-70 years of age with New York Heart Association
functional class II-III of CHF. Each study examined either aerobic or resistance
exercise. Two trials addressed outcome of HRR and six HRV among these studies.
Two RCTs showed that moderate aerobic exercise could improve HRR at 2 minutes
after exercise training in CHF. Five of six RCTs demonstrated positive effects of
exercise training on HRV which revealed the increments in high frequency (HF) and
decrements in LF (low frequency)/HF ratio after training. Conclusion.
Participation in an exercise training program has positive effects on cardiac
autonomic balance in patients with CHF.
PMID- 26543862
TI - Effects of Light Intensity Activity on CVD Risk Factors: A Systematic Review of
Intervention Studies.
AB - The effects of light intensity physical activity (LIPA) on cardiovascular disease
(CVD) risk factors remain to be established. This review summarizes the effects
of LIPA on CVD risk factors and CVD-related markers in adults. A systematic
search of four electronic databases (PubMed, Academic Search Complete,
SPORTDiscus, and CINAHL) examining LIPA and CVD risk factors (body composition,
blood pressure, glucose, insulin, glycosylated hemoglobin, and lipid profile) and
CVD-related markers (maximal oxygen uptake, heart rate, C-reactive protein,
interleukin-6, tumor necrosis factor-alpha, and tumor necrosis factor receptors 1
and 2) published between 1970 and 2015 was performed on 15 March 2015. A total of
33 intervention studies examining the effect of LIPA on CVD risk factors and
markers were included in this review. Results indicated that LIPA did not improve
CVD risk factors and CVD-related markers in healthy individuals. LIPA was found
to improve systolic and diastolic blood pressure in physically inactive
populations with a medical condition. Reviewed studies show little support for
the role of LIPA to reduce CVD risk factors. Many of the included studies were of
low to fair study quality and used low doses of LIPA. Further studies are needed
to establish the value of LIPA in reducing CVD risk.
PMID- 26543864
TI - Assessment of the Knowledge and Attitudes of Saudi Mothers towards Newborn
Screening.
AB - OBJECTIVE: To assess the attitude and knowledge of the Saudi mothers toward
newborn screening (NBS) program. METHODS: A total of 425 Saudi women (only
mothers who have at least one pregnancy) participated in the study from different
regions in Saudi Arabia and completed the structured questionnaire which sought
their views on the NBS services. RESULTS: A majority of the participating women
(91.1%) supported the NBS program and felt it was very important and useful.
However, knowledge of NBS was found to be very limited and only 34.6% knew that
NBS was a test to detect genetic disorders. A lack of communication and
counseling to NBS clients by health authorities offering screening is implied.
CONCLUSION: In general, there is a positive attitude towards the NBS program
among Saudi women. However, they have several concerns to improve the
availability of medication and formulas, genetic counseling, medical
interventions, communication, education materials, and awareness.
PMID- 26543863
TI - Acute Effects of the Novel Psychoactive Drug 2C-B on Emotions.
AB - BACKGROUND: 2C-B (Nexus) is one of the most widespread novel psychoactive
substances. There is limited information about its pharmacological properties,
and few studies in humans concern its acute and chronic effects. 2C-B has been
classified as a stimulant, hallucinogen, entactogen, and/or empathogen.
OBJECTIVES: To evaluate the emotional, subjective, and cardiovascular effects of
2C-B. METHODS: Twenty healthy recreational 2C-B users (12 women) self
administered a 20 mg dose of 2C-B. Evaluations included emotional (IAPS, FERT,
and speech), subjective (visual analog scales, ARCI, VESSPA, HRS, and POMS
questionnaires), and cardiovascular effects (blood pressure and heart rate).
Results. Positive subjective effects predominated with a reduction of anger under
the influence of 2C-B. It did, however, increase reactivity to negative emotional
stimuli and decrease the ability to recognize expressions of happiness. Augmented
emotionality in speech could be appreciated by others. 2C-B induced euphoria and
well-being, changes in perceptions, and slight hallucinogenic states. Mild
sympathetic actions were observed. CONCLUSIONS: The specific profile that 2C-B
exerts on emotions suggests its classification as an entactogen with psychedelic
properties.
PMID- 26543865
TI - Anagrus breviphragma Soyka Short Distance Search Stimuli.
AB - Anagrus breviphragma Soyka (Hymenoptera: Mymaridae) successfully parasitises eggs
of Cicadella viridis (L.) (Homoptera: Cicadellidae), embedded in vegetal tissues,
suggesting the idea of possible chemical and physical cues, revealing the eggs
presence. In this research, three treatments were considered in order to
establish which types of cue are involved: eggs extracted from leaf, used as a
control, eggs extracted from leaf and cleaned in water and ethanol, used to
evaluate the presence of chemicals soluble in polar solvents, and eggs extracted
from leaf and covered with Parafilm (M), used to avoid physical stimuli due to
the bump on the leaf surface. The results show that eggs covered with Parafilm
present a higher number of parasitised eggs and a lower probing starting time
with respect to eggs washed with polar solvents or eggs extracted and untreated,
both when the treatments were singly tested or when offered in sequence,
independently of the treatment position. These results suggest that the exploited
stimuli are not physical due to the bump but chemicals that can spread in the
Parafilm, circulating the signal on the whole surface, and that the stimuli that
elicit probing and oviposition are not subjected to learning.
PMID- 26543866
TI - The Evolving Genotypic Profile of HIV-1 Mutations Related to Antiretroviral
Treatment in the North Region of Brazil.
AB - HIV related mutations can be associated with decreased susceptibility to
antiretrovirals and treatment failures. There is scarce information about HIV
mutations in persons failing HIV treatment in North of Brazil. Our aim was to
evaluate evolution of HIV subtypes and mutations patterns related to
antiretroviral therapy in this region. We investigated HIV resistance profile in
adults failing antiretroviral regimen in Northern Brazil from January, 2004,
through December, 2013. Genotype data was evaluated through Stanford University
algorithm. There were 377 genotypes from different individuals to evaluate.
Resistance mutations were similar to worldwide reports and related to
antiretroviral exposure. Most prevalent mutations in the reverse transcriptase
gene were M184V (80.1%) and K130N (40.6%). Thymidine associated mutations were
more frequent in multiexperienced patients. Most common protease mutations were
M46I, V82A, I54V, L90M, I84V, M46L, and L76V. Subtype B was the most prevalent
(90.7%). There were differences between subtypes B and non-B mutations. We
documented for the first time subtypes and patterns of HIV associated mutations
in Northern Brazil. A1 subtype was identified for the first time in this area.
Depending on drug regimen and how experienced the patient is, an empirical switch
of a failing antiretroviral treatment could be a reasonable option.
PMID- 26543867
TI - Construction of Pancreatic Cancer Classifier Based on SVM Optimized by Improved
FOA.
AB - A novel method is proposed to establish the pancreatic cancer classifier.
Firstly, the concept of quantum and fruit fly optimal algorithm (FOA) are
introduced, respectively. Then FOA is improved by quantum coding and quantum
operation, and a new smell concentration determination function is defined.
Finally, the improved FOA is used to optimize the parameters of support vector
machine (SVM) and the classifier is established by optimized SVM. In order to
verify the effectiveness of the proposed method, SVM and other classification
methods have been chosen as the comparing methods. The experimental results show
that the proposed method can improve the classifier performance and cost less
time.
PMID- 26543868
TI - Protective Effect of Intravenous High Molecular Weight Polyethylene Glycol on
Fatty Liver Preservation.
AB - Ischemia reperfusion injury (IRI) leads to significant tissue damage in liver
surgery. Polyethylene glycols (PEGs) are water soluble nontoxic polymers that
have proved their effectiveness against IRI. The objective of our study was to
investigate the potential protective effects of intravenous administration of a
high molecular weight PEG of 35 kDa (PEG 35) in steatotic livers subjected to
cold ischemia reperfusion. In this study, we used isolated perfused rat liver
model to assess the effects of PEG 35 intravenous administration after prolonged
cold ischemia (24 h, 4 degrees C) and after reperfusion (2 h, 37 degrees C).
Liver injury was measured by transaminases levels and mitochondrial damage was
determined by confocal microscopy assessing mitochondrial polarization (after
cold storage) and by measuring glutamate dehydrogenase activity (after
reperfusion). Also, cell signaling pathways involved in the physiopathology of
IRI were assessed by western blot technique. Our results show that intravenous
administration of PEG 35 at 10 mg/kg ameliorated liver injury and protected the
mitochondria. Moreover, PEG 35 administration induced a significant
phosphorylation of prosurvival protein kinase B (Akt) and activation of
cytoprotective factors e-NOS and AMPK. In conclusion, intravenous PEG 35
efficiently protects steatotic livers exposed to cold IRI.
PMID- 26543869
TI - Acute Cardioembolic and Thrombotic Middle Cerebral Artery Occlusions Have
Different Morphological Susceptibility Signs on T2 (*) -Weighted Magnetic
Resonance Images.
AB - Presence of susceptibility sign on middle cerebral artery (MCA) in T2 (*)
weighted magnetic resonance (MR) images has been reported to detect acute MCA
thromboembolic occlusion. However, the pathophysiologic course of thrombotic MCA
occlusion differs from embolic occlusion, which might induce different imaging
characters. Our study found that the occurrence rate of the MCA susceptibility
sign in cardioembolism (CE) patients was significantly higher than in large
artery atherosclerosis (LAA) patients, and the diameter of the MCA susceptibility
sign for CE was greater than for LAA. Moreover, the patients with hemorrhagic
transformation had MCA susceptibility signs with a significant larger mean
diameter than patients without hemorrhagic transformation. Therefore, we
hypothesized that the morphology of susceptibility signs could be used to
differentiate acute cardioembolic and thrombotic MCA occlusions, which helped to
select appropriate treatment strategies for different patients.
PMID- 26543870
TI - Population Diversity of Campylobacter jejuni in Poultry and Its Dynamic of
Contamination in Chicken Meat.
AB - This study aimed to analyse the diversity of the Campylobacter jejuni population
in broilers and to evaluate the major source of contamination in poultry meat.
Eight rearing cycles over one year provided samples from three different broiler
farms processed at the same slaughterhouse. A total of 707 C. jejuni were
isolated from cloacal swabs before slaughter and from the breast skin of
carcasses after slaughter and after chilling. All suspected Campylobacter
colonies were identified with PCR assays and C. jejuni was genotyped by sequence
analysis of the flaA short variable region (SVR) and by pulsed-field gel
electrophoresis (PFGE) using SmaI enzyme. Phenotypic antibiotic resistance
profiles were also assayed using minimal inhibitory concentration (MIC). The
flocks carried many major C. jejuni clones possibly carrying over the rearing
cycles, but cross contamination between farms may happen. Many isolates were
resistant to fluoroquinolones, raising an issue of high public concern. Specific
Campylobacter populations could be harboured within each poultry farm, with the
ability to contaminate chickens during each new cycle. Thus, although biosecurity
measures are applied, with a persistent source of contamination, they cannot be
efficient. The role of the environment needs further investigation to better
address strategies to control Campylobacter.
PMID- 26543872
TI - Improving Performance of Clinical Research: Development and Interest of
Electronic Health Records.
PMID- 26543871
TI - RNAseq by Total RNA Library Identifies Additional RNAs Compared to Poly(A) RNA
Library.
AB - The most popular RNA library used for RNA sequencing is the poly(A) captured RNA
library. This library captures RNA based on the presence of poly(A) tails at the
3' end. Another type of RNA library for RNA sequencing is the total RNA library
which differs from the poly(A) library by capture method and price. The total RNA
library costs more and its capture of RNA is not dependent on the presence of
poly(A) tails. In practice, only ribosomal RNAs and small RNAs are washed out in
the total RNA library preparation. To evaluate the ability of detecting RNA for
both RNA libraries we designed a study using RNA sequencing data of the same two
breast cancer cell lines from both RNA libraries. We found that the RNA
expression values captured by both RNA libraries were highly correlated. However,
the number of RNAs captured was significantly higher for the total RNA library.
Furthermore, we identify several subsets of protein coding RNAs that were not
captured efficiently by the poly(A) library. One of the most noticeable is the
histone-encode genes, which lack the poly(A) tail.
PMID- 26543873
TI - Postmarketing Safety Study Tool: A Web Based, Dynamic, and Interoperable System
for Postmarketing Drug Surveillance Studies.
AB - Postmarketing drug surveillance is a crucial aspect of the clinical research
activities in pharmacovigilance and pharmacoepidemiology. Successful utilization
of available Electronic Health Record (EHR) data can complement and strengthen
postmarketing safety studies. In terms of the secondary use of EHRs, access and
analysis of patient data across different domains are a critical factor; we
address this data interoperability problem between EHR systems and clinical
research systems in this paper. We demonstrate that this problem can be solved in
an upper level with the use of common data elements in a standardized fashion so
that clinical researchers can work with different EHR systems independently of
the underlying information model. Postmarketing Safety Study Tool lets the
clinical researchers extract data from different EHR systems by designing data
collection set schemas through common data elements. The tool interacts with a
semantic metadata registry through IHE data element exchange profile.
Postmarketing Safety Study Tool and its supporting components have been
implemented and deployed on the central data warehouse of the Lombardy region,
Italy, which contains anonymized records of about 16 million patients with over
10-year longitudinal data on average. Clinical researchers in Roche validate the
tool with real life use cases.
PMID- 26543874
TI - Antitumor Responses of Invariant Natural Killer T Cells.
AB - Natural killer T (NKT) cells are innate-like lymphocytes that were first
described in the late 1980s. Since their initial description, numerous studies
have collectively shed light on their development and effector function. These
studies have highlighted the unique requirements for the activation of these
lymphocytes and the functional responses that distinguish these cells from other
effector lymphocyte populations such as conventional T cells and NK cells. This
body of literature suggests that NKT cells play diverse nonredundant roles in a
number of disease processes, including the initiation and propagation of airway
hyperreactivity, protection against a variety of pathogens, development of
autoimmunity, and mediation of allograft responses. In this review, however, we
focus on the role of a specific lineage of NKT cells in antitumor immunity.
Specifically, we describe the development of invariant NKT (iNKT) cells and the
factors that are critical for their acquisition of effector function. Next, we
delineate the mechanisms by which iNKT cells influence and modulate the activity
of other immune cells to directly or indirectly affect tumor growth. Finally, we
review the successes and failures of clinical trials employing iNKT cell-based
immunotherapies and explore the future prospects for the use of such strategies.
PMID- 26543875
TI - Chemokine Receptor Expression on Normal Blood CD56(+) NK-Cells Elucidates Cell
Partners That Comigrate during the Innate and Adaptive Immune Responses and
Identifies a Transitional NK-Cell Population.
AB - Studies of chemokine receptors (CKR) in natural killer- (NK-) cells have already
been published, but only a few gave detailed information on its differential
expression on blood NK-cell subsets. We report on the expression of the
inflammatory and homeostatic CKR on normal blood CD56(+low) CD16(+) and
CD56(+high) CD16(-/+low) NK-cells. Conventional CD56(+low) and CD56(+high) NK
cells present in the normal PB do express CKR for inflammatory cytokines,
although with different patterns CD56(+low) NK-cells are mainly CXCR1/CXCR2(+)
and CXCR3/CCR5(-/+), whereas mostly CD56(+high) NK-cells are CXCR1/CXCR2(-) and
CXCR3/CCR5(+). Both NK-cell subsets have variable CXCR4 expression and are CCR4(
) and CCR6(-). The CKR repertoire of the CD56(+low) NK-cells approaches to that
of neutrophils, whereas the CKR repertoire of the CD56(+high) NK-cells mimics
that of Th1(+) T cells, suggesting that these cells are prepared to migrate into
inflamed tissues at different phases of the immune response. In addition, we
describe a subpopulation of NK-cells with intermediate levels of CD56 expression,
which we named CD56(+int) NK-cells. These NK-cells are CXCR3/CCR5(+), they have
intermediate levels of expression of CD16, CD62L, CD94, and CD122, and they are
CD57(-) and CD158a(-). In view of their phenotypic features, we hypothesize that
they correspond to a transitional stage, between the well-known CD56(+high) and
CD56(+low) NK-cells populations.
PMID- 26543877
TI - Psychometric Properties of the Modified Posttraumatic Stress Disorder Symptom
Scale among Women with Posttraumatic Stress Disorder and Substance Use Disorders
Receiving Outpatient Group Treatments.
AB - OBJECTIVE: The use of psychometrically sound measures to assess and monitor PTSD
treatment response over time is critical for better understanding the
relationship between PTSD symptoms and Substance Use Disorder (SUD) symptoms
throughout treatment. We examined the psychometric properties of the Modified
Posttraumatic Stress Disorder (PTSD) Symptom Scale, Self-Report (MPSS-SR).
METHODS: Three hundred fifty three women diagnosed with co-occurring PTSD (full
or sub-threshold) and SUD who participated in a multisite treatment trial
completed the MPSS-SR at pre-treatment, weekly during treatment, and
posttreatment. Reliability and validity analyses were applied to the data.
RESULTS: Internal consistency was excellent throughout the course of the trial
demonstrating the MPSS-SR's high reliability. Strong correlations between MPSS-SR
scores and the Brief Symptom Inventory and the Clinician Administered PTSD Scale
(CAPS) severity scores demonstrated the MPSS-SR's convergent and concurrent
validity. We conducted a classification analysis at posttreatment and compared
the MPSS-SR at various cutoff scores with the CAPS diagnosis. A cutoff score of
29 on the MPSS-SR yielded a sensitivity rate of 89%, a specificity rate of 77%,
and an overall classification rate of 80%, indicating the measure's robust
ability to accurately identify individuals with PTSD in our sample at
posttreatment. CONCLUSIONS: Findings support the use of the MPSS-SR as a reliable
and valid tool to assess and monitor changes in PTSD symptoms over the course of
treatment and as an alternative to structured clinical interviews to assess PTSD
symptoms among populations with SUDs.
PMID- 26543878
TI - The Intersecting Epidemics of Human Immunodeficiency Virus, Community-Associated
Methicillin-Resistant Staphylococcus aureus, and Incarceration.
AB - Community-associated methicillin-resistant Staphylococcus aureus (MRSA) has had a
significant impact on human immunodeficiency virus (HIV)-infected and
incarcerated individuals. We examined electronic medical surveillance data from
2006 to 2011 and observed that even in a population of currently or recently
incarcerated individuals, HIV status was a significant risk factor for MRSA
infections and Hispanic ethnicity was protective.
PMID- 26543879
TI - Data for rapid ethanol production at elevated temperatures by engineered
thermotolerant Kluyveromyces marxianus via the NADP(H)-preferring xylose
reductase-xylitol dehydrogenase pathway.
AB - A thermo-tolerant NADP(H)-preferring xylose pathway was constructed in
Kluyveromyces marxianus for ethanol production with xylose at elevated
temperatures (Zhang et al., 2015 [25]). Ethanol production yield and efficiency
was enhanced by pathway engineering in the engineered strains. The constructed
strain, YZJ088, has the ability to co-ferment glucose and xylose for ethanol and
xylitol production, which is a critical step toward enabling economic biofuel
production from lignocellulosic biomass. This study contains the fermentation
results of strains using the metabolic pathway engineering procedure. The ethanol
producing abilities of various yeast strains under various conditions were
compared, and strain YZJ088 showed the highest production and fastest
productivity at elevated temperatures. The YZJ088 xylose fermentation results
indicate that it fermented well with xylose at either low or high inoculum size.
When fermented with an initial cell concentration of OD600=15 at 37 degrees C,
YZJ088 consumed 200 g/L xylose and produced 60.07 g/L ethanol; when the initial
cell concentration was OD600=1 at 37 degrees C, YZJ088 consumed 98.96 g/L xylose
and produced 33.55 g/L ethanol with a productivity of 0.47 g/L/h. When fermented
with 100 g/L xylose at 42 degrees C, YZJ088 produced 30.99 g/L ethanol with a
productivity of 0.65 g/L/h, which was higher than that produced at 37 degrees C.
PMID- 26543880
TI - Transcriptome analysis and characterisation of gill-expressed carbonic anhydrase
and other key osmoregulatory genes in freshwater crayfish Cherax quadricarinatus.
AB - The pH and salinity balance mechanisms of crayfish are controlled by a set of
transport-related genes. We identified a set of the genes from the gill
transcriptome from a freshwater crayfish Cherax quadricarinatus using the
Illumina NGS-sequencing technology. We identified and characterized carbonic
anhydrase (CA) genes and some other key genes involved in systematic acid-base
balance and osmotic/ionic regulation. We also examined expression patterns of
some of these genes across different sublethal pH levels [1]. A total of
72,382,710 paired-end Illumina reads were assembled into 36,128 contigs with an
average length of 800 bp. About 37% of the contigs received significant BLAST
hits and 22% were assigned gene ontology terms. These data will assist in further
physiological-genomic studies in crayfish.
PMID- 26543876
TI - Tolerogenic Dendritic Cells on Transplantation: Immunotherapy Based on Second
Signal Blockage.
AB - Dendritic cells (DCs), the most important professional antigen-presenting cells
(APC), play crucial role in both immunity and tolerance. It is well known that
DCs are able to mount immune responses against foreign antigens and
simultaneously tolerate self-antigens. Since DCs can be modulated depending on
the surrounding microenvironment, they can act as a bridge between innate and
adaptive immunity. However, the mechanisms that support this dual role are not
entirely clear. Recent studies have shown that DCs can be manipulated ex vivo in
order to trigger their tolerogenic profile, what can be a tool to be used in
clinical trials aiming the treatment of various diseases and the prevention of
transplant rejection. In this sense, the blockage of costimulatory molecules on
DC, in the attempt of inhibiting the second signal in the immunological synapse,
can be considered as one of the main strategies under development. This review
brings an update on current therapies using tolerogenic dendritic cells modulated
with costimulatory blockers with the aim of reducing transplant rejection.
However, although there are current clinical trials using tolerogenic DC to treat
allograft rejection, the actual challenge is to modulate these cells in order to
maintain a permanent tolerogenic profile.
PMID- 26543881
TI - Hydrophilic compounds in liquids of enzymatic hydrolyzed spruce and pine biomass.
AB - Organic acids are used for starting compounds in material sciences and in
biorefinery, food, fuel, pharmaceutical, and medical industry. Here, we provide
the data from a biochemical approach made to investigate production of organic
acids and isolation of metals from wood, which is the most abundant biomass.
Spruce and bark, phloem, and heartwood from pine were fermented with either
microbes of oyster mushroom (Pleurotus ostreatus), baker's yeast, or lactic acid
bacteria to improve selective fermentation. Using capillary electrophoresis and
liquid chromatography techniques, we identified 14 different organic acids and
phenolic acids with good yields. With inductively coupled plasma atomic emission
spectroscopy 11 metals were quantified and further detailed analysis/results from
these data are available in Siren et al. (2015) [1].
PMID- 26543882
TI - Guided extracellular matrix formation from fibroblast cells cultured on bio
inspired configurable multiscale substrata.
AB - Engineering complex extracellular matrix (ECM) is an important challenge for cell
and tissue engineering applications as well as for understanding fundamental cell
biology. We developed the methodology for fabrication of precisely controllable
multiscale hierarchical structures using capillary force lithography in
combination with original wrinkling technique for the generation of well-defined
native ECM-like platforms by culturing fibroblast cells on the multiscale
substrata [1]. This paper provides information on detailed characteristics of
polyethylene glycol-diacrylate multiscale substrata. In addition, a possible
model for guided extracellular matrix formation from fibroblast cells cultured on
bio-inspired configurable multiscale substrata is proposed.
PMID- 26543883
TI - Mortality due to Japanese oak wilt disease and surrounding forest compositions.
AB - Japanese oak wilt (Raffaelea quercivora) is a vector-borne disease transmitted by
the flying ambrosia beetle, Platypus quercivorus, and causes mass mortality in
the fagaceous species of Japan. The data described in this article are available
in Mendeley Data, DOI: 10.17632/xwj98nb39r.1 [1] and include the mortality status
of 1089 Quercus crispula and 846 Quercus serrata trees and surrounding forest
conditions. The findings using this dataset were published in M. Oguro, S.
Imahiro, S. Saito, T. Nakashizuka, Relative importance of multiple scale factors
to oak tree mortality due to Japanese oak wilt disease, For. Ecol. Manag. (2015)
doi:10.1016/j.foreco.2015.07.016 [2].
PMID- 26543884
TI - Air speed and velocity measurements in a room with a sidewall jet.
AB - In mixing ventilation systems, diffusers are often located on side walls and
supply quasi-free air jets above the occupied zone. The data presented in this
paper shows a new CFD 3D benchmark with two well-defined characteristic zones in
the room, i.e., the quasi-free jet zone and the occupied zone. Measurement
methods adequate for air velocity and speed measurement were applied: laser
Doppler anemometry for the axial velocity component in the jet and low velocity
thermal anemometry for the air speed in the occupied zone. Measurements were
performed in a physical scale model (1:5) of the room. The kinematic similarity
criterion was fulfilled by the equality of the Reynolds numbers in the model and
in the prototype. To identify boundary conditions, additional measurements were
carried out in the inlet region (as close as possible to the supply opening). The
CFD results validation and reporting methods applicable for the benchmark data
are proposed in Hurnik et al. (2015) [1].
PMID- 26543885
TI - Gene regulation by long purine tracks in brain related diseases.
AB - Purine repeats are randomly distributed in the human genome, however, they show
potential role in the transcriptional deregulation of genes. Presence of long
tracks of purine repeats in the genome can disturb its integrity and interfere
with the cellular behavior by introducing mutations and/or triple stranded
structure formation in DNA. Our data revealed interesting finding that a majority
of genes carrying purine repeats, of length n>=200, were down regulated and found
to be linked with several brain related diseases [1]. The unique feature of the
purine repeats found in the present study clearly manifests their significant
application in developing therapeutics for neurological diseases.
PMID- 26543886
TI - Dataset for the quantitative proteomics analysis of the primary hepatocellular
carcinoma with single and multiple lesions.
AB - Hepatocellular Carcinoma (HCC) is one of the most common malignant tumor, which
is causing the second leading cancer-related death worldwide. The tumor tissues
and the adjacent noncancerous tissues obtained from HCC patients with single and
multiple lesions were quantified using iTRAQ. A total of 5513 proteins (FDR of
1%) were identified which correspond to roughly 27% of the total liver proteome.
And 107 and 330 proteins were dysregulated in HCC tissue with multiple lesions
(MC group) and HCC tissue with a single lesion (SC group), compared with their
noncancerous tissue (MN and SN group) respectively. Bioinformatics analysis (GO,
KEGG and IPA) allowed these data to be organized into distinct categories. The
data accompanying the manuscript on this approach (Xing et al., J. Proteomics
(2015), http://dx.doi.org/10.1016/j.jprot.2015.08.007[1]) have been deposited to
the iProX with identifier IPX00037601.
PMID- 26543887
TI - Characterization of the porcine synovial fluid proteome and a comparison to the
plasma proteome.
AB - Synovial fluid is present in all joint cavities, and protects the articular
cartilage surfaces in large by lubricating the joint, thus reducing friction.
Several studies have described changes in the protein composition of synovial
fluid in patients with joint disease. However, the protein concentration,
content, and synovial fluid volume change dramatically during active joint
diseases and inflammation, and the proteome composition of healthy synovial fluid
is incompletely characterized. We performed a normative proteomics analysis of
porcine synovial fluid, and report data from optimizing proteomic methods to
investigate the proteome of healthy porcine synovial fluid (Bennike et al., 2014
[1]). We included an evaluation of different proteolytic sample preparation
techniques, and an analysis of posttranslational modifications with a focus on
glycosylation. We used pig (Sus Scrofa) as a model organism, as the porcine
immune system is highly similar to human and the pig genome is sequenced.
Furthermore, porcine model systems are commonly used large animal models to study
several human diseases. In addition, we analyzed the proteome of human plasma,
and compared the proteomes to the obtained porcine synovial fluid proteome. The
proteome of the two body fluids were found highly similar, underlining the
detected plasma derived nature of many synovial fluid components. The healthy
porcine synovial fluid proteomics data, human rheumatoid arthritis synovial fluid
proteomics data used in the method optimization, human plasma proteomics data,
and search results, have been deposited to the ProteomeXchange Consortium via the
PRIDE partner repository with the dataset identifier PXD000935.
PMID- 26543888
TI - ChIP-Seq analysis of the adult male mouse brain after developmental exposure to
arsenic.
AB - Exposure to the common environmental contaminant arsenic impacts the epigenetic
landscape, including DNA methylation and histone modifications, of several cell
types. Developmental arsenic exposure (DAE) increases acetylation and methylation
of histone proteins and the protein expression of several chromatin-modifying
enzymes in the dentate gyrus (DG) subregion of the adult male mouse brain [26].
To complement and support these data, ChIP-Seq analysis of DNA associated with
trimethylation of histone 3 lysine 4 (H3K4me3) derived from the adult male DG
after DAE was performed. DAE induced differential H3K4me3 enrichment on genes in
pathways associated with cellular development and growth, cell death and
survival, and neurological disorders, particularly as they relate to cancer, in
the adult male brain. Comparison of H3K4me3 enrichment in controls revealed
mechanisms that are potentially lacking in arsenic-exposed animals, including
neurotransmission, neuronal growth and development, hormonal regulation, protein
synthesis, and cellular homeostasis. New pathways impacted by arsenic include
cytoskeleton organization, cell signaling, and potential disruption of immune
function and warrant further investigation using this DAE paradigm in the mouse
brain.
PMID- 26543889
TI - Combining two-dimensional gel electrophoresis and metabolomic data in support of
dry-season survival in the two main species of the malarial mosquito Anopheles
gambiae.
AB - In dry savannahs of West-Africa, the malarial mosquitoes of the Anopheles gambiae
sensu stricto complex annually survive the harsh desiccating conditions of the
dry season. However, the physiological and biochemical mechanisms underlying how
these mosquitoes survive such desiccating conditions are still undefined, and
controversial. In this context, we provide the first work examining both
proteomic and metabolomic changes in the two molecular forms of A. gambiae s.s (M
and S forms) experimentally exposed to the rainy and dry season conditions as
they experience in the field. Protein abundances of the mosquitoes were measured
using a two-dimensional fluorescence difference gel electrophoresis (2D DIGE)
coupled with a matrix-assisted laser desorption/ionisation-time of flight (MALDI
TOF) and tandem mass spectrometry (MS) for protein identification. These assays
were conducted by Applied Biomics (http://www.appliedbiomics.com, Applied
Biomics, Inc. Hayward, CA, USA), and the mass spectrometry proteomics data have
been deposited to the ProteomeXchange Consortium
(http://proteomecentral.proteomexchange.org) via the PRIDE partner repository
with the dataset identifier PXD000294. The metabolomic analysis was conducted
using both Acquity UPLC((r)) system (for amino acid identification), and a gas
chromatography-mass spectrometry platform (for sugars identification).
Metabolomic fingerprintings were assessed in the University of Rennes 1, UMR CNRS
6553 EcoBio (France). A detailed interpretation of the obtained data can be found
in Hidalgo et al. (2014) [1] (Journal of Insect Physiology (2014)).
PMID- 26543890
TI - Data supporting the activation of autophagy genes in the diabetic heart.
AB - This data article contains full list of autophagy related genes that are altered
in diabetic heart. This article also shows data from in vitro cultured
cardiomyocytes that are exposed the high glucose treatment to simulate
hyperglycemic state in vitro. The interpretation of these data and further
extensive insights into the regulation of SG biogenesis by AMPK can be found in
"Type-2 diabetes increases autophagy in the human heart through promotion of
Beclin-1 mediated pathway" (Munasinghe et al., in press) [1].
PMID- 26543891
TI - RIME proteomics of estrogen and progesterone receptors in breast cancer.
AB - Nuclear receptors play an important role in transcriptional regulation of diverse
cellular processes and is also relevant in diseases such as cancer. In breast
cancer, the nuclear receptors - estrogen receptor (ER) and progesterone receptor
(PR) are classical markers of the disease and are used to classify breast cancer
subtypes. Using a recently developed affinity purification MS technique (RIME)
[1], we investigate the protein interactors of ER and PR in breast cancer cell
lines upon stimulation by the ligands - estrogen and progesterone. The data is
deposited at proteomeXchange (PXD002104) and is part of a publication [2] that
explains the link between the two nuclear receptors and potential consequences of
this in breast cancer. In this manuscript, we describe the methodology used and
provide details on experimental procedures, analysis methods and analysis of raw
data. The purpose of this article is to enable reproducibility of the data and
provide technical recommendations on performing RIME in hormonal contexts.
PMID- 26543892
TI - Mitochondrial and nuclear phylogenetic trees and divergence time estimations of
Sulawesi endemic Adrianichthyidae.
AB - This data article is related to the research article entitled "Origin and intra
island diversification of Sulawesi endemic Adrianichthyidae" by Mokodongan and
Yamahira [1]. In this data article, we present phylogenetic trees of Sulawesi
adrianichthyids separately reconstructed using mitochondrial (cytochrome b: cyt b
and NADH dehydrogenase subunit 2: ND2) and nuclear (tyrosinase) sequences. We
also present Bayesian chronograms of Sulawesi adrianichthyids separately
estimated using a substitution rate for cyt b and for ND2.
PMID- 26543893
TI - A structural group-connectome in standard stereotactic (MNI) space.
AB - A group connectome of 20 subjects has been normalized into standard stereotactic
(MNI) space. Data has been processed using the Gibbs' Tracking approach (Reisert
et al., 2011) [11] and normalized into standard space using DARTEL (Ashburner,
2007) [1]. All data has been acquired within the scope of the study A. Horn, D.
Ostwald, M. Reisert, F. Blankenburg, The structural-functional connectome and the
default mode network of the human brain, NeuroImage 102 (2013) 142-151.
http://doi.org/10.1016/j.neuroimage.2013.09.069. The utility of this dataset can
be described by the following points: In medical studies in which subject
specific dMRI is not available, a standardized connectome may help to gain some
canonical insight into white-matter connectivity. The dataset enables scientists
who use different modalities (like EEG, MEG etc.) without access to MRI, to
combine studies obtained using other methodology with insights from the brain's
inner structural formation. The dataset could also extend possible claims made by
meta-analyzes/literature-based studies.
PMID- 26543895
TI - Convalescing Cluster Configuration Using a Superlative Framework.
AB - Competent data mining methods are vital to discover knowledge from databases
which are built as a result of enormous growth of data. Various techniques of
data mining are applied to obtain knowledge from these databases. Data clustering
is one such descriptive data mining technique which guides in partitioning data
objects into disjoint segments. K-means algorithm is a versatile algorithm among
the various approaches used in data clustering. The algorithm and its diverse
adaptation methods suffer certain problems in their performance. To overcome
these issues a superlative algorithm has been proposed in this paper to perform
data clustering. The specific feature of the proposed algorithm is discretizing
the dataset, thereby improving the accuracy of clustering, and also adopting the
binary search initialization method to generate cluster centroids. The generated
centroids are fed as input to K-means approach which iteratively segments the
data objects into respective clusters. The clustered results are measured for
accuracy and validity. Experiments conducted by testing the approach on datasets
from the UC Irvine Machine Learning Repository evidently show that the accuracy
and validity measure is higher than the other two approaches, namely, simple K
means and Binary Search method. Thus, the proposed approach proves that
discretization process will improve the efficacy of descriptive data mining
tasks.
PMID- 26543894
TI - Substance P mediates pro-inflammatory cytokine release form mesenteric adipocytes
in Inflammatory Bowel Disease patients.
AB - BACKGROUND & AIMS: Substance P (SP), neurokinin-1 receptors (NK-1Rs) are
expressed in mesenteric preadipocytes and SP binding activates proinflammatory
signalling in these cells. We evaluated the expression levels of SP (Tac-1), NK
1R (Tacr-1), and NK-2R (Tacr-2) mRNA in preadipocytes isolated from patients with
Inflammatory Bowel Disease (IBD) and examined their responsiveness to SP compared
to control human mesenteric preadipocytes. The Aim of our study is to investigate
the effects of the neuropeptide SP on cytokine expression in preadipocytes of IBD
vs control patients and evaluate the potential effects of these cells on IBD
pathophysiology via SP-NK-R interactions. METHODS: Mesenteric fat was collected
from control, Ulcerative colitis (UC) and Crohn's disease (CD) patients (n=10-11
per group). Preadipocytes were isolated, expanded in culture and exposed to
substance P. Colon biopsies were obtained from control and IBD patients. RESULTS:
Tacr-1 and -2 mRNA were increased in IBD preadipocytes compared to controls,
while Tac-1 mRNA was increased only in UC preadipocytes. SP differentially
regulated the expression of inflammatory mediators in IBD preadipocytes compared
to controls. Disease-dependent responses to SP were also observed between UC and
CD preadipocytes. IL-17A mRNA expression and release increased after SP treatment
in both CD and UC preadipocytes, while IL-17RA mRNA increased in colon biopsies
from IBD patients. CONCLUSIONS: Preadipocyte SP-NK-1R interactions during IBD may
participate in IBD pathophysiology. The ability of human preadipocytes to release
IL-17A in response to SP together with increased IL-17A receptor in IBD colon
opens the possibility of a fat-colonic mucosa inflammatory loop that may be
active during IBD.
PMID- 26543897
TI - Hybrid Scheduling Model for Independent Grid Tasks.
AB - Grid computing facilitates the resource sharing through the administrative
domains which are geographically distributed. Scheduling in a distributed
heterogeneous environment is intrinsically very hard because of the heterogeneous
nature of resource collection. Makespan and tardiness are two different measures
of scheduling, and many of the previous researches concentrated much on reduction
of makespan, which measures the machine utilization. In this paper, we propose a
hybrid scheduling algorithm for scheduling independent grid tasks with the
objective of reducing total weighted tardiness of grid tasks. Tardiness is to
measure the due date performance, which has a direct impact on cost for executing
the jobs. In this paper we propose BG_ATC algorithm which is a combination of
best gap (BG) search and Apparent Tardiness Cost (ATC) indexing algorithm.
Furthermore, we implemented these two algorithms in two different phases of the
scheduling process. In addition to that, the comparison was made on results with
various benchmark algorithms and the experimental results show that our algorithm
outperforms the benchmark algorithms.
PMID- 26543898
TI - Torque Analysis of a Triple Acid-Etched Titanium Implant Surface.
AB - The present study aimed to evaluate the removal torque of titanium implants
treated with triple acid etching. Twenty-one rats were used in this study. For
all animals, the tibia was prepared with a 2 mm drill, and a titanium implant (2
* 4 mm) was inserted after treatment using the subtraction method of triple acid
etching. The flaps were sutured. Seven animals were killed 14, 28, and 63 days
after implant installation, and the load necessary for removing the implant from
the bone was evaluated by using a torque meter. The torque values were as
follows: 3.3 +/- 1.7 Ncm (14 days), 2.2 +/- 1.3 Ncm (28 days), and 6.7 +/- 1.4
Ncm (63 days). The torque value at the final healing period (63 days) was
statistically significantly different from that at other time points tested
(ANOVA, p = 0.0002). This preliminary study revealed that treatment with triple
acid etching can create a promising and efficient surface for the process of
osseointegration.
PMID- 26543896
TI - Apical Extrusion of Debris Produced during Continuous Rotating and Reciprocating
Motion.
AB - This study aimed to analyse and compare apical extrusion of debris in canals
instrumented with systems used in reciprocating and continuous motion. Sixty
mandibular premolars were randomly divided into 3 groups (n = 20): the Reciproc
(REC), WaveOne (WO), and HyFlex CM (HYF) groups. One Eppendorf tube per tooth was
weighed in advance on an analytical balance. The root canals were instrumented
according to the manufacturer's instructions, and standardised irrigation with
2.5% sodium hypochlorite was performed to a total volume of 9 mL. After
instrumentation, the teeth were removed from the Eppendorf tubes and incubated at
37 degrees C for 15 days to evaporate the liquid. The tubes were weighed again,
and the difference between the initial and final weight was calculated to
determine the weight of the debris. The data were statistically analysed using
the Shapiro-Wilk, Wilcoxon, and Mann-Whitney tests (alpha = 5%). All systems
resulted in the apical extrusion of debris. Reciproc produced significantly more
debris than WaveOne (p < 0.05), and both systems produced a greater apical
extrusion of debris than HyFlex CM (p < 0.001). Cross section and motion
influenced the results, despite tip standardization.
PMID- 26543899
TI - Optimizing Negotiation Conflict in the Cloud Service Negotiation Framework Using
Probabilistic Decision Making Model.
AB - Optimization of negotiation conflict in the cloud service negotiation framework
is identified as one of the major challenging issues. This negotiation conflict
occurs during the bilateral negotiation process between the participants due to
the misperception, aggressive behavior, and uncertain preferences and goals about
their opponents. Existing research work focuses on the prerequest context of
negotiation conflict optimization by grouping similar negotiation pairs using
distance, binary, context-dependent, and fuzzy similarity approaches. For some
extent, these approaches can maximize the success rate and minimize the
communication overhead among the participants. To further optimize the success
rate and communication overhead, the proposed research work introduces a novel
probabilistic decision making model for optimizing the negotiation conflict in
the long-term negotiation context. This decision model formulates the problem of
managing different types of negotiation conflict that occurs during negotiation
process as a multistage Markov decision problem. At each stage of negotiation
process, the proposed decision model generates the heuristic decision based on
the past negotiation state information without causing any break-off among the
participants. In addition, this heuristic decision using the stochastic decision
tree scenario can maximize the revenue among the participants available in the
cloud service negotiation framework.
PMID- 26543900
TI - Corrigendum to "Validity and Reliability of Farsi Version of Youth Sport
Environment Questionnaire".
AB - [This corrects the article DOI: 10.1155/2015/985283.].
PMID- 26543901
TI - A Web-Based Intervention for Users of Amphetamine-Type Stimulants: 3-Month
Outcomes of a Randomized Controlled Trial.
AB - BACKGROUND: Among illicit drugs, the prevalence of amphetamine-type stimulant
(ATS) use is second only to cannabis. Currently, there are no approved
pharmacotherapies for ATS problems, but some face-to-face psychotherapies are
effective. Web-based interventions have proven to be effective for some substance
use problems, but none has specifically targeted ATS users. OBJECTIVE: The
objective of the study was to evaluate the effectiveness of a Web-based
intervention for ATS problems on a free-to-access site compared with a waitlist
control group. METHODS: We used a randomized controlled trial design. The primary
outcome measure was self-reported ATS use in the past three months assessed using
the Alcohol, Smoking, Substance Involvement Screening Test (ASSIST). Other
measures included quality of life (EUROHIS score), psychological distress (K-10
score), days out of role, poly-drug use, general help-seeking intentions, actual
help-seeking, and "readiness to change". The intervention consisted of three
fully automated, self-guided modules based on cognitive behavioral therapy and
motivation enhancement. The analysis was an intention-to-treat analysis using
generalized estimating equation models, with a group by time interaction as the
critical assessment. RESULTS: We randomized 160 people (intervention n=81,
control n=79). At three months, 35/81 (43%) intervention and 45/79 (57%) control
participants provided follow-up data. In the intervention group, 51/81 (63%)
completed at least one module. The only significant group by time interaction was
for days out of role. The pre/post change effect sizes showed small changes
(range d=0.14 to 0.40) favoring the intervention group for poly-drug use,
distress, actual help-seeking, and days out of role. In contrast, the control
group was favored by reductions in ATS use, improvements in quality of life, and
increases in help-seeking intentions (range d=0.09 to 0.16). CONCLUSIONS: This
Web-based intervention for ATS use produced few significant changes in outcome
measures. There were moderate, but nonsignificant reductions in poly-drug use,
distress, days partially out of role, and increases in help-seeking. However,
high levels of participant attrition, plus low levels of engagement with the
modules, preclude firm conclusions being drawn on the efficacy of the
intervention and emphasize the problems of engaging this group of clients in a
fully automated program. TRIAL REGISTRATION: Australian and New Zealand Clinical
Trials Registry: ACTRN 12611000947909;
https://www.anzctr.org.au/Trial/Registration/TrialReview.aspx?ACTRN=1261100094790
(Archived by WebCite at http://www.webcitation.org/6SHTxEnzP).
PMID- 26543902
TI - Implementation and Outcomes of a Collaborative Multi-Center Network Aimed at Web
Based Cognitive Training - COGWEB Network.
AB - BACKGROUND: Cognitive care for the most prevalent neurologic and psychiatric
conditions will only improve through the implementation of new sustainable
approaches. Innovative cognitive training methodologies and collaborative
professional networks are necessary evolutions in the mental health sector.
OBJECTIVE: The objective of the study was to describe the implementation process
and early outcomes of a nationwide multi-organizational network supported on a
Web-based cognitive training system (COGWEB). METHODS: The setting for network
implementation was the Portuguese mental health system and the hospital-,
academic-, community-based institutions and professionals providing cognitive
training. The network started in August 2012, with 16 centers, and was monitored
until September 2013 (inclusions were open). After onsite training, all were
allowed to use COGWEB in their clinical or research activities. For supervision
and maintenance were implemented newsletters, questionnaires, visits and
webinars. The following outcomes were prospectively measured: (1) number, (2)
type, (3) time to start, and (4) activity state of centers; age, gender, level of
education, and medical diagnosis of patients enrolled. RESULTS: The network
included 68 professionals from 41 centers, (33/41) 80% clinical, (8/41) 19%
nonclinical. A total of 298 patients received cognitive training; 45.3% (n=135)
female, mean age 54.4 years (SD 18.7), mean educational level 9.8 years (SD 4.8).
The number enrolled each month increased significantly (r=0.6; P=.031). At 12
months, 205 remained on treatment. The major causes of cognitive impairment were:
(1) neurodegenerative (115/298, 38.6%), (2) structural brain lesions (63/298,
21.1%), (3) autoimmune (40/298, 13.4%), (4) schizophrenia (30/298, 10.1%), and
(5) others (50/298, 16.8%). The comparison of the patient profiles, promoter
versus all other clinical centers, showed significant increases in the diversity
of causes and spectrums of ages and education. CONCLUSIONS: Over its first year,
there was a major increase in the number of new centers and professionals, as
well as of the clinical diversity of patients treated. The consolidation of such
a national collaborative network represents an innovative step in mental health
care evolution. Furthermore, it may contribute to translational processes in the
field of cognitive training and reduce disease burden.
PMID- 26543903
TI - Assessing the Evidence for e-Resources for Mental Health Self-Management: A
Systematic Literature Review.
AB - BACKGROUND: In a climate which recognizes mental health as a key health
improvement target, but where mental health services are increasingly over
stretched, self-management e-resources can play a potentially important role in
helping to ensure people get the care and support they need. They have the
potential to enable individuals to learn more about, and to exercise active
involvement in, their care, and thus we see a growing interest in this area for
both research and practice. However, for e-resources to become important adjuncts
to clinical care, it is necessary to understand if and how they impact on
patients and care outcomes. OBJECTIVE: The objective of this study was to review
systematically the research evidence for theory-driven and evidence-based mental
health self-management e-resources; and make recommendations about strengthening
the future evidence base. METHODS: A comprehensive literature search in MEDLINE,
EMBASE, AMED, PsycINFO, Scopus, and Cochrane Library was conducted. No limits to
study design were applied. We did not restrict the types of Web-based
technologies included, such as websites and mobile applications, so long as they
met the study inclusion criteria. A narrative synthesis of data was performed to
elaborate both the development and effectiveness of online resources. RESULTS: In
total, 2969 abstracts were identified. Of those, 8 papers met the inclusion
criteria. Only one randomized controlled trial was identified. The e-resources
were aimed at self-management of bipolar disorder, depression, or general mental
health problems. Some of the e-resources were intended to be used as prevention
aids, whereas others were recovery orientated. CONCLUSIONS: Mental health self
management e-resources have the potential to be widely effective, but our review
shows it is early days in terms of development of the evidence base for them. To
build robust evidence, clear guidelines are needed on the development and
reporting of e-resources, so that both developers and researchers maximize the
potential of a new, but rapidly evolving area.
PMID- 26543905
TI - Patient Smartphone Ownership and Interest in Mobile Apps to Monitor Symptoms of
Mental Health Conditions: A Survey in Four Geographically Distinct Psychiatric
Clinics.
AB - BACKGROUND: Despite growing interest in mobile mental health and utilization of
smartphone technology to monitor psychiatric symptoms, there remains a lack of
knowledge both regarding patient ownership of smartphones and their interest in
using such to monitor their mental health. OBJECTIVE: To provide data on
psychiatric outpatients' prevalence of smartphone ownership and interest in using
their smartphones to run applications to monitor their mental health. METHODS: We
surveyed 320 psychiatric outpatients from four clinics around the United States
in order to capture a geographically and socioeconomically diverse patient
population. These comprised a state clinic in Massachusetts (n=108), a county
clinic in California (n=56), a hybrid public and private clinic in Louisiana
(n=50), and a private/university clinic in Wisconsin (n=106). RESULTS: Smartphone
ownership and interest in utilizing such to monitor mental health varied by both
clinic type and age with overall ownership of 62.5% (200/320), which is slightly
higher than the average United States' rate of ownership of 58% in January 2014.
Overall patient interest in utilizing smartphones to monitor symptoms was 70.6%
(226/320). CONCLUSIONS: These results suggest that psychiatric outpatients are
interested in using their smartphones to monitor their mental health and own the
smartphones capable of running mental healthcare related mobile applications.
PMID- 26543904
TI - Randomized Comparison of Mobile and Web-Tools to Provide Dementia Risk Reduction
Education: Use, Engagement and Participant Satisfaction.
AB - BACKGROUND: Encouraging middle-aged adults to maintain their physical and
cognitive health may have a significant impact on reducing the prevalence of
dementia in the future. Mobile phone apps and interactive websites may be one
effective way to target this age group. However, to date there has been little
research investigating the user experience of dementia risk reduction tools
delivered in this way. OBJECTIVE: The aim of this study was to explore
participant engagement and evaluations of three different targeted smartphone and
Web-based dementia risk reduction tools following a four-week intervention.
METHODS: Participants completed a Web-based screening questionnaire to collect
eligibility information. Eligible participants were asked to complete a Web-based
baseline questionnaire and were then randomly assigned to use one of the three
dementia risk reduction tools for a period of four weeks: (1) a mobile phone
application; (2) an information-based website; and (3) an interactive website.
User evaluations were obtained via a Web-based follow-up questionnaire after
completion of the intervention. RESULTS: Of 415 eligible participants, 370
(89.16%) completed the baseline questionnaire and were assigned to an
intervention group; 200 (54.05%) completed the post-intervention questionnaire.
The average age of participants was 52 years, and 149 (75%) were female. Findings
indicated that participants from all three intervention groups reported a
generally positive impression of the tools across a range of domains.
Participants using the information-based website reported higher ratings of their
overall impression of the tool, F2,191=4.12, P=.02; how interesting the
information was, F2,189=3.53, P=.03; how helpful the information was,
F2,192=4.15, P=.02; and how much they learned, F2,188=3.86, P=.02. Group
differences were significant between the mobile phone app and information-based
website users, but not between the interactive website users and the other two
groups. Additionally, participants using the information-based website reported
significantly higher scores on their ratings of the ease of navigation,
F2,190=4.20, P=.02, than those using the mobile phone app and the interactive
website. There were no significant differences between groups on ratings of ease
of understanding the information, F2,188=0.27, P=.76. Most participants from each
of the three intervention groups indicated that they intended to keep using the
dementia risk reduction eHealth tool. CONCLUSIONS: Overall, results indicated
that while participants across all three intervention groups reported a generally
positive experience with the targeted dementia risk reduction tools, participants
using the information-based website provided a more favorable evaluation across a
range of areas than participants using the mobile phone app. Further research is
required to investigate whether targeted dementia risk reduction tools, in the
form of interactive websites and mobile apps, can be improved to provide benefits
above those gained by providing static information alone.
PMID- 26543906
TI - Client Perceptions of the Mental Health Engagement Network: A Secondary Analysis
of an Intervention Using Smartphones and Desktop Devices for Individuals
Experiencing Mood or Psychotic Disorders in Canada.
AB - BACKGROUND: The use of innovative technologies in mental health care has the
potential to improve system efficiency, enhance quality of care, and increase
patient engagement. The Mental Health Engagement Network (MHEN) project
developed, delivered, and evaluated an interactive Web-based personal health
record, the Lawson SMART Record (LSR), to assist mental health clients in
managing their care and connecting with their care providers. This paper presents
a secondary analysis of data collected in the MHEN project regarding clients'
perceptions of technology and the use of these technologies in their care.
OBJECTIVE: We aimed to answer six questions: (1) What is the level of comfort
with technology within a sample of individuals experiencing mood or psychotic
disorders? (2) How easy to use and helpful are the MHEN technologies from the
perspective of individuals experiencing a mental illness? (3) Are there
differences in how helpful or useful individuals find the smartphone compared to
the LSR? (4) Are there specific functions of MHEN technologies (eg, reminders for
medications or appointments) that are more valued than others? (5) What are the
other ways that individuals are using MHEN technologies in their daily lives? (6)
How likely are individuals to be able to retain and maintain their smartphone?
METHODS: Mental health clients aged 18-80 (N=400) and diagnosed with a mood or
psychotic disorder were provided with a smartphone (iPhone 4S) and participating
care providers (n=52) were provided with a tablet (iPad) in order to access and
engage with the LSR. A delayed implementation design with mixed methods was used.
Survey and interview data were collected over the course of 18 months through
semistructured interviews conducted by experienced research assistants every 6
months post-implementation of the intervention. Paired t tests were used to
determine differences between 6 and 12-month data for perceptions of the MHEN
technologies. A paired t test was used to examine whether differences existed
between perceptions of the smartphone and the LSR at 12 months post
implementation. RESULTS: Due to dropout or loss of contact, 394 out of 400
individuals completed the study. At the end of the study, 52 devices were lost or
unusable. Prior to the intervention, participants reported being comfortable
using technology. Perceptions of the MHEN technologies and their functions were
generally positive. Positive perceptions of the smartphone increased over time
(P=.002), while positive perceptions of the LSR decreased over time (P<.001).
CONCLUSIONS: Quantitative and qualitative findings from this analysis
demonstrated that these technologies positively impacted the lives of individuals
experiencing severe mental illnesses and dispeled some of the myths regarding
retention of technology among marginalized populations. This secondary analysis
supported the acceptability of using mental health technologies within this
population and provided considerations for future development. TRIAL
REGISTRATION: ClinicalTrials.gov NCT01473550;
http://clinicaltrials.gov/show/NCT01473550 (Archived by WebCite at
http://www.webcitation.org/6SLNcoKb8).
PMID- 26543907
TI - Mental Health Mobile Apps: From Infusion to Diffusion in the Mental Health Social
System.
AB - The roles of mental health educators and professionals in the diffusion of mental
health mobile apps are addressed in this viewpoint article. Mental health mobile
apps are emerging technologies that fit under the broad heading of mobile health
(mHealth). mHealth, encompassed within electronic health (eHealth), reflects the
use of mobile devices for the practice of public health. Well-designed mental
health mobile apps that present content in interactive, engaging, and stimulating
ways can promote cognitive learning, personal growth, and mental health
enhancement. As key influencers in the mental health social system, counselor
educators and professional associations may either help or hinder diffusion of
beneficial mHealth technologies. As mental health mobile apps move towards
ubiquity, research will continue to be conducted. The studies published thus far,
combined with the potential of mental health mobile apps for learning and
personal growth, offer enough evidence to compel mental health professionals to
infuse these technologies into education and practice. Counselor educators and
professional associations must use their influential leadership roles to train
students and practitioners in how to research, evaluate, and integrate mental
health mobile apps into practice. The objectives of this article are to (1)
increase awareness of mHealth and mental health mobile apps, (2) demonstrate the
potential for continued growth in mental health mobile apps based on technology
use and acceptance theory, mHealth organizational initiatives, and evidence about
how humans learn, (3) discuss evidence-based benefits of mental health mobile
apps, (4) examine the current state of mHealth diffusion in the mental health
profession, and (5) offer solutions for impelling innovation diffusion by
infusing mental health mobile apps into education, training, and clinical
settings. This discussion has implications for counselor educators, mental health
practitioners, associations, continuing education providers, and app developers.
PMID- 26543908
TI - A Virtual Mental Health Clinic for University Students: A Qualitative Study of
End-User Service Needs and Priorities.
AB - BACKGROUND: Help seeking for mental health problems among university students is
low, and Internet-based interventions such as virtual clinics have the potential
to provide private, streamlined, and high quality care to this vulnerable group.
OBJECTIVE: The objective of this study was to conduct focus groups with
university students to obtain input on potential functions and features of a
university-specific virtual clinic for mental health. METHODS: Participants were
19 undergraduate students from an Australian university between 19 and 24 years
of age. Focus group discussion was structured by questions that addressed the
following topics: (1) the utility and acceptability of a virtual mental health
clinic for students, and (2) potential features of a virtual mental health
clinic. RESULTS: Participants viewed the concept of a virtual clinic for
university students favorably, despite expressing concerns about privacy of
personal information. Participants expressed a desire to connect with
professionals through the virtual clinic, for the clinic to provide information
tailored to issues faced by students, and for the clinic to enable peer-to-peer
interaction. CONCLUSIONS: Overall, results of the study suggest the potential for
virtual clinics to play a positive role in providing students with access to
mental health support.
PMID- 26543909
TI - Breaking Open the Black Box: Isolating the Most Potent Features of a Web and
Mobile Phone-Based Intervention for Depression, Anxiety, and Stress.
AB - BACKGROUND: Internet-delivered mental health (eMental Health) interventions
produce treatment effects similar to those observed in face-to-face treatment.
However, there is a large degree of variation in treatment effects observed from
program to program, and eMental Health interventions remain somewhat of a black
box in terms of the mechanisms by which they exert their therapeutic benefit.
Trials of eMental Health interventions typically use large sample sizes and
therefore provide an ideal context within which to systematically investigate the
therapeutic benefit of specific program features. Furthermore, the growth and
impact of mobile phone technology within eMental Health interventions provides an
opportunity to examine associations between symptom improvement and the use of
program features delivered across computer and mobile phone platforms. OBJECTIVE:
The objective of this study was to identify the patterns of program usage
associated with treatment outcome in a randomized controlled trial (RCT) of a
fully automated, mobile phone- and Web-based self-help program, "myCompass", for
individuals with mild-to-moderate symptoms of depression, anxiety, and/or stress.
The core features of the program include interactive psychotherapy modules, a
symptom tracking feature, short motivational messages, symptom tracking
reminders, and a diary, with many of these features accessible via both computer
and mobile phone. METHODS: Patterns of program usage were recorded for 231
participants with mild-to-moderate depression, anxiety, and/or stress, and who
were randomly allocated to receive access to myCompass for seven weeks during the
RCT. Depression, anxiety, stress, and functional impairment were examined at
baseline and at eight weeks. RESULTS: Log data indicated that the most commonly
used components were the short motivational messages (used by 68.4%, 158/231 of
participants) and the symptom tracking feature (used by 61.5%, 142/231 of
participants). Further, after controlling for baseline symptom severity,
increased use of these alert features was associated with significant
improvements in anxiety and functional impairment. Associations between use of
symptom tracking reminders and improved treatment outcome remained significant
after controlling for frequency of symptom tracking. Although correlations were
not statistically significant, reminders received via SMS (ie, text message) were
more strongly associated with symptom reduction than were reminders received via
email. CONCLUSIONS: These findings indicate that alerts may be an especially
potent component of eMental Health interventions, both via their association with
enhanced program usage, as well as independently. Although there was evidence of
a stronger association between symptom improvement and use of alerts via the
mobile phone platform, the degree of overlap between use of email and SMS alerts
may have precluded identification of alert delivery modalities that were most
strongly associated with symptom reduction. Future research using random
assignment to computer and mobile delivery is needed to fully determine the most
ideal platform for delivery of this and other features of online interventions.
TRIAL REGISTRATION: Australian New Zealand Clinical Trials Registry (ACTRN):
12610000625077; http://www.anzctr.org.au/TrialSearch.aspx? (Archived by WebCite
http://www.webcitation.org/6WPqHK0mQ).
PMID- 26543910
TI - Feasibility and Perception of Using Text Messages as an Adjunct Therapy for Low
Income, Minority Mothers With Postpartum Depression.
AB - BACKGROUND: Postpartum depression (PPD) is the most common medical problem among
new mothers that can have a negative impact on infant health. Traditional
treatments are often difficult for low-income mothers to complete, particularly
given the numerous barriers families face. OBJECTIVE: Among low-income, primarily
racial, and ethnic minority mothers with postpartum depression, our aim was to
evaluate (1) the feasibility of sending supportive text messages, and (2) the
perception of receiving private, supportive text messages for postpartum
depression. METHODS: Mothers found to be at risk for postpartum depression
received supportive text messages four times weekly for 6 months in addition to
receiving access to traditional counseling services based within an academic
pediatric office. Feasibility was evaluated along with cellular and text
messaging use, access, and perception of the message protocol. Perception of the
message protocol was evaluated at study completion via a Likert scale
questionnaire and open-ended qualitative survey. RESULTS: In total, 4158/4790
(86.81%) text messages were successfully delivered to 54 mothers over a 6-month
period at a low cost (US $777.60). Among the 96 scripted messages, 37 unique
messages (38.54%) allowed for a response. Of all sent messages that allowed for
responses, 7.30% (118/1616) were responded to, and 66.1% of those responses
requested a call back; 46% (25/54) of mothers responded at least once to a text
message. Mothers felt that messages were easily received and read (25/28, 89%)
and relevant to them personally (23/28, 82%). Most shared texts with others
(21/28, 75%). CONCLUSIONS: Text messaging is feasible, well-accepted, and may
serve as a simple, inexpensive adjunct therapy well-suited to cross socioeconomic
boundaries and provide private support for at-risk mothers suffering from
postpartum depression.
PMID- 26543911
TI - Evaluation of an Online Campaign for Promoting Help-Seeking Attitudes for
Depression Using a Facebook Advertisement: An Online Randomized Controlled
Experiment.
AB - BACKGROUND: A depression-awareness campaign delivered through the Internet has
been recommended as a public health approach that would enhance mental health
literacy and encourage help-seeking attitudes. However, the outcomes of such a
campaign remain understudied. OBJECTIVE: The main aim of this study was to
evaluate the effectiveness of an online depression awareness campaign, which was
informed by the theory of planned behavior, to encourage help-seeking attitudes
for depression and to enhance mental health literacy in Hong Kong. The second aim
was to examine click-through behaviors by varying the affective facial
expressions of people in the Facebook advertisements. METHODS: Potential
participants were recruited through Facebook advertisements, using either a happy
or sad face illustration. Volunteer participants registered for the study by
clicking on the advertisement and were invited to leave their personal email
addresses to receive educational content about depression. The participants were
randomly assigned into two groups (campaign or control), and over a four
consecutive week period, received either the campaign material or official
information developed by the Hospital Authority in Hong Kong. Pretests and
posttests were conducted before and after the campaign to measure the differences
in help-seeking attitudes and mental health literacy among the campaign and
control groups. RESULTS: Of the 199 participants that registered and completed
the pretest, 116 (55 campaign and 62 control) completed the campaign and the
posttest. At the posttest, we found no significant changes in help-seeking
attitudes between the campaign and control groups, but the campaign group
participants demonstrated a statistically significant improvement in mental
health literacy (P=.031) and a higher willingness to access additional
information (P<.001) than the control group. Moreover, the happy face Facebook
advertisement attracted more click-throughs by users into the website than did
the sad face advertisement (P=.03). CONCLUSIONS: The present study provides
evidence that an online campaign can enhance people's mental health literacy. It
also demonstrates the practicality and effectiveness of an online depression
awareness campaign using a Facebook-based recruitment strategy and distribution
of educational materials through emails. It is important for future studies to
take advantage of the popularity of online social media and conduct evaluative
research on mental health promotion campaigns.
PMID- 26543912
TI - Clinical Practice Models for the Use of E-Mental Health Resources in Primary
Health Care by Health Professionals and Peer Workers: A Conceptual Framework.
AB - BACKGROUND: Research into e-mental health technologies has developed rapidly in
the last 15 years. Applications such as Internet-delivered cognitive behavioral
therapy interventions have accumulated considerable evidence of efficacy and some
evidence of effectiveness. These programs have achieved similar outcomes to face
to-face therapy, while requiring much less clinician time. There is now
burgeoning interest in integrating e-mental health resources with the broader
mental health delivery system, particularly in primary care. The Australian
government has supported the development and deployment of e-mental health
resources, including websites that provide information, peer-to-peer support,
automated self-help, and guided interventions. An ambitious national project has
been commissioned to promote key resources to clinicians, to provide training in
their use, and to evaluate the impact of promotion and training upon clinical
practice. Previous initiatives have trained clinicians to use a single e-mental
health program or a suite of related programs. In contrast, the current
initiative will support community-based service providers to access a diverse
array of resources developed and provided by many different groups. OBJECTIVE:
The objective of this paper was to develop a conceptual framework to support the
use of e-mental health resources in routine primary health care. In particular,
models of clinical practice are required to guide the use of the resources by
diverse service providers and to inform professional training, promotional, and
evaluation activities. METHODS: Information about service providers' use of e
mental health resources was synthesized from a nonsystematic overview of
published literature and the authors' experience of training primary care service
providers. RESULTS: Five emerging clinical practice models are proposed: (1)
promotion; (2) case management; (3) coaching; (4) symptom-focused treatment; and
(5) comprehensive therapy. We also consider the service provider skills required
for each model and the ways that e-mental health resources might be used by
general practice doctors and nurses, pharmacists, psychologists, social workers,
occupational therapists, counselors, and peer workers. CONCLUSIONS: The models
proposed in the current paper provide a conceptual framework for policy-makers,
researchers and clinicians interested in integrating e-mental health resources
into primary care. Research is needed to establish the safety and effectiveness
of the models in routine care and the best ways to support their implementation.
PMID- 26543913
TI - mHealth in the Wild: Using Novel Data to Examine the Reach, Use, and Impact of
PTSD Coach.
AB - BACKGROUND: A majority of Americans (58%) now use smartphones, making it possible
for mobile mental health apps to reach large numbers of those who are living with
untreated, or under-treated, mental health symptoms. Although early trials
suggest positive effects for mobile health (mHealth) interventions, little is
known about the potential public health impact of mobile mental health apps.
OBJECTIVE: The purpose of this study was to characterize reach, use, and impact
of "PTSD Coach", a free, broadly disseminated mental health app for managing
posttraumatic stress disorder (PTSD) symptoms. METHODS: Using a mixed-methods
approach, aggregate mobile analytics data from 153,834 downloads of PTSD Coach
were analyzed in conjunction with 156 user reviews. RESULTS: Over 60% of users
engaged with PTSD Coach on multiple occasions (mean=6.3 sessions). User reviews
reflected gratitude for the availability of the app and being able to use the app
specifically during moments of need. PTSD Coach users reported relatively high
levels of trauma symptoms (mean PTSD Checklist Score=57.2, SD=15.7). For users
who chose to use a symptom management tool, distress declined significantly for
both first-time users (mean=1.6 points, SD=2.6 on the 10-point distress
thermometer) and return-visit users (mean=2.0, SD=2.3). Analysis of app session
data identified common points of attrition, with only 80% of first-time users
reaching the app's home screen and 37% accessing one of the app's primary content
areas. CONCLUSIONS: These findings suggest that PTSD Coach has achieved
substantial and sustained reach in the population, is being used as intended, and
has been favorably received. PTSD Coach is a unique platform for the delivery of
mobile mental health education and treatment, and continuing evaluation and
improvement of the app could further strengthen its public health impact.
PMID- 26543914
TI - Utilizing a Personal Smartphone Custom App to Assess the Patient Health
Questionnaire-9 (PHQ-9) Depressive Symptoms in Patients With Major Depressive
Disorder.
AB - BACKGROUND: Accurate reporting of patient symptoms is critical for diagnosis and
therapeutic monitoring in psychiatry. Smartphones offer an accessible, low-cost
means to collect patient symptoms in real time and aid in care. OBJECTIVE: To
investigate adherence among psychiatric outpatients diagnosed with major
depressive disorder in utilizing their personal smartphones to run a custom app
to monitor Patient Health Questionnaire-9 (PHQ-9) depression symptoms, as well as
to examine the correlation of these scores to traditionally administered (paper
and-pencil) PHQ-9 scores. METHODS: A total of 13 patients with major depressive
disorder, referred by their clinicians, received standard outpatient treatment
and, in addition, utilized their personal smartphones to run the study app to
monitor their symptoms. Subjects downloaded and used the Mindful Moods app on
their personal smartphone to complete up to three survey sessions per day, during
which a randomized subset of PHQ-9 symptoms of major depressive disorder were
assessed on a Likert scale. The study lasted 29 or 30 days without additional
follow-up. Outcome measures included adherence, measured by the percentage of
completed survey sessions, and estimates of daily PHQ-9 scores collected from the
smartphone app, as well as from the traditionally administered PHQ-9. RESULTS:
Overall adherence was 77.78% (903/1161) and varied with time of day. PHQ-9
estimates collected from the app strongly correlated (r=.84) with traditionally
administered PHQ-9 scores, but app-collected scores were 3.02 (SD 2.25) points
higher on average. More subjects reported suicidal ideation using the app than
they did on the traditionally administered PHQ-9. CONCLUSIONS: Patients with
major depressive disorder are able to utilize an app on their personal
smartphones to self-assess their symptoms of major depressive disorder with high
levels of adherence. These app-collected results correlate with the traditionally
administered PHQ-9. Scores recorded from the app may potentially be more
sensitive and better able to capture suicidality than the traditional PHQ-9.
PMID- 26543915
TI - Privacy Issues in the Development of a Virtual Mental Health Clinic for
University Students: A Qualitative Study.
AB - BACKGROUND: There is a growing need to develop online services for university
students with the capacity to complement existing services and efficiently
address student mental health problems. Previous research examining the
development and acceptability of online interventions has revealed that issues
such as privacy critically impact user willingness to engage with these services.
OBJECTIVE: To explore university student perspectives on privacy issues related
to using an online mental health service within the context of the development of
an online, university-based virtual mental health clinic. METHODS: There were two
stages of data collection. The first stage consisted of four 1.5-hour focus
groups conducted with university students (n=19; 10 female, 9 male, mean age =
21.6 years) to determine their ideas about the virtual clinic including privacy
issues. The second stage comprised three 1-hour prototype testing sessions
conducted with university students (n=6; 3 male, 3 female, mean age = 21.2 years)
using participatory design methods to develop and refine a service model for the
virtual clinic and determine student views on privacy within this context.
RESULTS: The students raised a number of issues related to privacy in relation to
the development of the university virtual clinic. Major topics included the types
of personal information they would be willing to provide (minimal information and
optional mental health data), concern about potential access to their personal
data by the university, the perceived stigma associated with registering for the
service, and privacy and anonymity concerns related to online forums contained
within the virtual clinic. CONCLUSIONS: Students would be more comfortable
providing personal information and engaging with the virtual clinic if they trust
the privacy and security of the service. Implications of this study include
building the clinic in a flexible way to accommodate user preferences.
PMID- 26543916
TI - Integrating Health Behavior Theory and Design Elements in Serious Games.
AB - BACKGROUND: Internet interventions for improving health and well-being have the
potential to reach many people and fill gaps in service provision. Serious gaming
interfaces provide opportunities to optimize user adherence and impact. Health
interventions based in theory and evidence and tailored to psychological
constructs have been found to be more effective to promote behavior change.
Defining the design elements which engage users and help them to meet their goals
can contribute to better informed serious games. OBJECTIVE: To elucidate design
elements important in SPARX, a serious game for adolescents with depression, from
a user-centered perspective. METHODS: We proposed a model based on an established
theory of health behavior change and practical features of serious game design to
organize ideas and rationale. We analyzed data from 5 studies comprising a total
of 22 focus groups and 66 semistructured interviews conducted with youth and
families in New Zealand and Australia who had viewed or used SPARX. User
perceptions of the game were applied to this framework. RESULTS: A coherent
framework was established using the three constructs of self-determination theory
(SDT), autonomy, competence, and relatedness, to organize user perceptions and
design elements within four areas important in design: computer game,
accessibility, working alliance, and learning in immersion. User perceptions
mapped well to the framework, which may assist developers in understanding the
context of user needs. By mapping these elements against the constructs of SDT,
we were able to propose a sound theoretical base for the model. CONCLUSIONS: This
study's method allowed for the articulation of design elements in a serious game
from a user-centered perspective within a coherent overarching framework. The
framework can be used to deliberately incorporate serious game design elements
that support a user's sense of autonomy, competence, and relatedness, key
constructs which have been found to mediate motivation at all stages of the
change process. The resulting model introduces promising avenues for future
exploration. Involving users in program design remains an imperative if serious
games are to be fit for purpose.
PMID- 26543917
TI - Factor Structure of the Internet Addiction Test in Online Gamers and Poker
Players.
AB - BACKGROUND: The Internet Addiction Test (IAT) is the most widely used
questionnaire to screen for problematic Internet use. Nevertheless, its factorial
structure is still debated, which complicates comparisons among existing studies.
Most previous studies were performed with students or community samples despite
the probability of there being more problematic Internet use among users of
specific applications, such as online gaming or gambling. OBJECTIVE: To assess
the factorial structure of a modified version of the IAT that addresses specific
applications, such as video games and online poker. METHODS: Two adult samples
one sample of Internet gamers (n=920) and one sample of online poker players
(n=214)-were recruited and completed an online version of the modified IAT. Both
samples were split into two subsamples. Two principal component analyses (PCAs)
followed by two confirmatory factor analyses (CFAs) were run separately. RESULTS:
The results of principal component analysis indicated that a one-factor model fit
the data well across both samples. In consideration of the weakness of some IAT
items, a 17-item modified version of the IAT was proposed. CONCLUSIONS: This
study assessed, for the first time, the factorial structure of a modified version
of an Internet-administered IAT on a sample of Internet gamers and a sample of
online poker players. The scale seems appropriate for the assessment of such
online behaviors. Further studies on the modified 17-item IAT version are needed.
PMID- 26543918
TI - Effectiveness of Computer-Assisted Therapy for Substance Dependence Using
Breaking Free Online: Subgroup Analyses of a Heterogeneous Sample of Service
Users.
AB - BACKGROUND: Substance misuse services within the United Kingdom have
traditionally been oriented to opiate and crack users, and attended predominantly
by male service users. Groups who do not fit this demographic, such as women or
those whose primary drug of choice is neither heroin nor crack, have tended to be
underrepresented in services. In addition, there can be stigma associated with
traditional opiate and crack-centric services. Therefore, the computerized
treatment and recovery program, Breaking Free Online (BFO), was developed to
enable service users to access confidential support for dependence on a wide
range of substances. BFO is delivered as computer-assisted therapy (CAT), or,
where appropriate, used as self-help. OBJECTIVE: The aim of this study was to
report psychometric outcomes data from 393 service users accessing online support
for substance misuse via BFO. METHODS: Following initial referral to substance
misuse services, all participants were supported in setting up a BFO login by a
practitioner or peer mentor, and, where required, assisted as they completed an
online baseline assessment battery contained within the BFO program. Following a
period of engagement with BFO, all participants completed the same battery of
assessments, and changes in the scores on these assessments were examined.
RESULTS: Significant improvements were found across the 393 service users in
several areas of psychosocial functioning, including quality of life, severity of
alcohol and drug dependence, depression, and anxiety (P=<.001 across all aspects
of functioning). Additionally, significant improvements were found within
specific subgroups of participants, including females (P=.001-<.001), males
(P=.004-<.001), service users reporting alcohol dependence (P=.002-<.001), opiate
and crack dependence (P=.014-<.001), and those seeking support for other
substances that may be less well represented in the substance misuse sector
(P=.001-<.001). CONCLUSIONS: Data from this study indicates that BFO is an
effective clinical treatment for a wide range of individuals requiring support
for substance misuse. Further work is currently underway to examine more closely
the clinical effectiveness of the program.
PMID- 26543919
TI - An Online, Moderated Peer-to-Peer Support Bulletin Board for Depression: User
Perceived Advantages and Disadvantages.
AB - BACKGROUND: Online, peer-to-peer support groups for depression are common on the
World Wide Web and there is some evidence of their effectiveness. However, little
is known about the mechanisms by which Internet support groups (ISGs) might work.
OBJECTIVE: This study aimed to investigate consumer perceptions of the benefits
and disadvantages of online peer-to-peer support by undertaking a content
analysis of the spontaneous posts on BlueBoard, a well-established, moderated,
online depression bulletin board. METHODS: The research set comprised all posts
on the board (n=3645) for each of 3 months selected at 4 monthly intervals over
2011. The data were analyzed using content analysis and multiple coders. RESULTS:
A total of 586 relevant posts were identified, 453 (77.3%) reporting advantages
and 133 (22.7%) reporting disadvantages. Positive personal change (335/453,
74.0%) and valued social interactions and support (296/453, 65.3%) emerged as
perceived advantages. Other identified benefits were valued opportunities to
disclose/express feelings or views (29/453, 6.4%) and advantages of the BlueBoard
environment (45/453, 9.9%). Disadvantages were negative personal change (50/133,
37.6%), perceived disadvantages of board rules/moderation (42/133, 31.6%),
unhelpful social interactions/contact with other members (40/133, 30.1%), and
technical obstacles to using the board (14/133, 10.5%). CONCLUSIONS: Consumers
value the opportunity to participate in an online mutual support group for mental
health concerns. Further research is required to better understand how and if
these perceived advantages translate into positive outcomes for consumers, and
whether the perceived disadvantages of such boards can be addressed without
compromising the safety and positive outcomes of the board.
PMID- 26543920
TI - Adjustment Disorders Are Uniquely Suited for eHealth Interventions: Concept and
Case Study.
AB - BACKGROUND: Adjustment disorders (also known as mental distress in response to a
stressor) are among the most frequently diagnosed mental disorders in psychiatry
and clinical psychology worldwide. They are also commonly diagnosed in clients
engaging in deliberate self-harm and in those consulting general practitioners.
However, their reputation in research-oriented mental health remains weak since
they are largely underresearched. This may change when the International
Statistical Classification of Diseases-11 (ICD-11) by the World Health
Organization is introduced, including a new conceptualization of adjustment
disorders as a stress-response disorder with positively defined core symptoms.
OBJECTIVE: This paper provides an overview of evidence-based interventions for
adjustment disorders. METHODS: We reviewed the new ICD-11 concept of adjustment
disorder and discuss the the rationale and case study of an unguided self-help
protocol for burglary victims with adjustment disorder, and its possible
implementation as an eHealth intervention. RESULTS: Overall, the treatment with
the self-help manual reduced symptoms of adjustment disorder, namely
preoccupation and failure to adapt, as well as symptoms of depression, anxiety,
and stress. CONCLUSIONS: E-mental health options are considered uniquely suited
for offering early intervention after the experiences of stressful life events
that potentially trigger adjustment disorders.
PMID- 26543921
TI - Identifying Chinese Microblog Users With High Suicide Probability Using Internet
Based Profile and Linguistic Features: Classification Model.
AB - BACKGROUND: Traditional offline assessment of suicide probability is time
consuming and difficult in convincing at-risk individuals to participate.
Identifying individuals with high suicide probability through online social media
has an advantage in its efficiency and potential to reach out to hidden
individuals, yet little research has been focused on this specific field.
OBJECTIVE: The objective of this study was to apply two classification models,
Simple Logistic Regression (SLR) and Random Forest (RF), to examine the
feasibility and effectiveness of identifying high suicide possibility microblog
users in China through profile and linguistic features extracted from Internet
based data. METHODS: There were nine hundred and nine Chinese microblog users
that completed an Internet survey, and those scoring one SD above the mean of the
total Suicide Probability Scale (SPS) score, as well as one SD above the mean in
each of the four subscale scores in the participant sample were labeled as high
risk individuals, respectively. Profile and linguistic features were fed into two
machine learning algorithms (SLR and RF) to train the model that aims to identify
high-risk individuals in general suicide probability and in its four dimensions.
Models were trained and then tested by 5-fold cross validation; in which both
training set and test set were generated under the stratified random sampling
rule from the whole sample. There were three classic performance metrics
(Precision, Recall, F1 measure) and a specifically defined metric "Screening
Efficiency" that were adopted to evaluate model effectiveness. RESULTS:
Classification performance was generally matched between SLR and RF. Given the
best performance of the classification models, we were able to retrieve over 70%
of the labeled high-risk individuals in overall suicide probability as well as in
the four dimensions. Screening Efficiency of most models varied from 1/4 to 1/2.
Precision of the models was generally below 30%. CONCLUSIONS: Individuals in
China with high suicide probability are recognizable by profile and text-based
information from microblogs. Although there is still much space to improve the
performance of classification models in the future, this study may shed light on
preliminary screening of risky individuals via machine learning algorithms, which
can work side-by-side with expert scrutiny to increase efficiency in large-scale
surveillance of suicide probability from online social media.
PMID- 26543922
TI - Preferences of Young Adults With First-Episode Psychosis for Receiving
Specialized Mental Health Services Using Technology: A Survey Study.
AB - BACKGROUND: Despite the potential and interest of using technology for delivering
specialized psychiatric services to young adults, surprisingly limited attention
has been paid to systematically assess their perspectives in this regard. For
example, limited knowledge exists on the extent to which young people receiving
specialized services for a first-episode psychosis (FEP) are receptive to using
new technologies as part of mental health care, and to which types of technology
enabled mental health interventions they are amenable to. OBJECTIVE: The purpose
of this study is to assess the interest of young adults with FEP in using
technology to receive mental health information, services, and supports. METHODS:
This study uses a cross-sectional, descriptive survey design. A convenience
sample of 67 participants between the ages of 18 and 35 were recruited from two
specialized early intervention programs for psychosis. Interviewer-administered
surveys were conducted between December 2013 and October 2014. Descriptive
statistics are reported. RESULTS: Among the 67 respondents who completed the
survey, the majority (85%, 57/67) agreed or strongly agreed with YouTube as a
platform for mental health-related services and supports. The top five technology
enabled services that participants were amenable to were (1) information on
medication (96%, 64/67); (2) information on education, career, and employment
(93%, 62/67); (3) decision-making tools pertaining to treatment and recovery
(93%, 62/67); (4) reminders for appointments via text messaging (93%, 62/67); and
(5) information about mental health, psychosis, and recovery in general (91%,
61/67). The top self-reported barriers to seeking mental health information
online were lack of knowledge on how to perform an Internet search (31%, 21/67)
and the way information is presented online (27%, 18/67). Two thirds (67%; 45/67)
reported being comfortable in online settings, and almost half (48%; 32/67)
reported a preference for mixed formats when viewing mental health information
online (eg, text, video, visual graphics). CONCLUSIONS: Young people diagnosed
with FEP express interest in using the Internet, social media, and mobile
technologies for receiving mental health-related services. Increasing the
awareness of young people in relation to various forms of technology-enabled
mental health care warrants further attention. A consideration for future
research is to obtain more in-depth knowledge on young people's perspectives,
which can help improve the design, development, and implementation of integrated
technological health innovations within the delivery of specialized mental health
care.
PMID- 26543923
TI - Online Peer-to-Peer Support for Young People With Mental Health Problems: A
Systematic Review.
AB - BACKGROUND: Adolescence and early adulthood are critical periods for the
development of mental disorders. Online peer-to-peer communication is popular
among young people and may improve mental health by providing social support.
Previous systematic reviews have targeted Internet support groups for adults with
mental health problems, including depression. However, there have been no
systematic reviews examining the effectiveness of online peer-to-peer support in
improving the mental health of adolescents and young adults. OBJECTIVE: The aim
of this review was to systematically identify available evidence for the
effectiveness of online peer-to peer support for young people with mental health
problems. METHODS: The PubMed, PsycInfo, and Cochrane databases were searched
using keywords and Medical Subject Headings (MeSH) terms. Retrieved abstracts
(n=3934) were double screened and coded. Studies were included if they (1)
investigated an online peer-to-peer interaction, (2) the interaction discussed
topics related to mental health, (3) the age range of the sample was between 12
to 25 years, and (4) the study evaluated the effectiveness of the peer-to-peer
interaction. RESULTS: Six studies satisfied the inclusion criteria for the
current review. The studies targeted a range of mental health problems including
depression and anxiety (n=2), general psychological problems (n=1), eating
disorders (n=1), and substance use (tobacco) (n=2). The majority of studies
investigated Internet support groups (n=4), and the remaining studies focused on
virtual reality chat sessions (n=2). In almost all studies (n=5), the peer
support intervention was moderated by health professionals, researchers or
consumers. Studies employed a range of study designs including randomized
controlled trials (n=3), pre-post studies (n=2) and one randomized trial.
Overall, two of the randomized controlled trials were associated with a
significant positive outcome in comparison to the control group at post
intervention. In the remaining four studies, peer-to-peer support was not found
to be effective. CONCLUSIONS: This systematic review identified an overall lack
of high-quality studies examining online peer-to-peer support for young people.
Given that peer support is frequently used as an adjunct to Internet
interventions for a variety of mental health conditions, there is an urgent need
to determine the effectiveness of peer support alone as an active intervention.
PMID- 26543924
TI - Word Recall: Cognitive Performance Within Internet Surveys.
AB - BACKGROUND: The use of online surveys for data collection has increased
exponentially, yet it is often unclear whether interview-based cognitive
assessments (such as face-to-face or telephonic word recall tasks) can be adapted
for use in application-based research settings. OBJECTIVE: The objective of the
current study was to compare and characterize the results of online word recall
tasks to those of the Health and Retirement Study (HRS) and determine the
feasibility and reliability of incorporating word recall tasks into application
based cognitive assessments. METHODS: The results of the online immediate and
delayed word recall assessment, included within the Women's Health and Valuation
(WHV) study, were compared to the results of the immediate and delayed recall
tasks of Waves 5-11 (2000-2012) of the HRS. RESULTS: Performance on the WHV
immediate and delayed tasks demonstrated strong concordance with performance on
the HRS tasks (rhoc=.79, 95% CI 0.67-0.91), despite significant differences
between study populations (P<.001) and study design. Sociodemographic
characteristics and self-reported memory demonstrated similar relationships with
performance on both the HRS and WHV tasks. CONCLUSIONS: The key finding of this
study is that the HRS word recall tasks performed similarly when used as an
online cognitive assessment in the WHV. Online administration of cognitive tests,
which has the potential to significantly reduce participant and administrative
burden, should be considered in future research studies and health assessments.
PMID- 26543925
TI - How Patients Contribute to an Online Psychoeducation Forum for Bipolar Disorder:
A Virtual Participant Observation Study.
AB - BACKGROUND: In a recent exploratory randomized controlled trial, an online
psychoeducation intervention for bipolar disorder has been found to be feasible
and acceptable to patients and may positively impact on their self-management
behaviors and quality of life. OBJECTIVE: The objective of the study was to
investigate how these patients contribute to an online forum for bipolar disorder
and the issues relevant for them. METHODS: Participants in the intervention arm
of the Bipolar Interactive PsychoEDucation ("BIPED") trial were invited to
contribute to the Beating Bipolar forum alongside receiving interactive online
psychoeducation modules. Within this virtual participant observation study, forum
posts were analyzed using thematic analysis, incorporating aspects of discourse
analysis. RESULTS: The key themes which arose from the forum posts included:
medication, employment, stigma, social support, coping strategies, insight and
acceptance, the life chart, and negative experiences of health care. Participants
frequently provided personal narratives relating to their history of bipolar
disorder, life experiences, and backgrounds, which often contained emotive
language and humor. They regularly sought and offered advice, and expressed
encouragement and empathy. The forum would have benefitted from more users to
offer a greater support network with more diverse views and experiences.
CONCLUSIONS: Online forums are inexpensive to provide and may offer peer support
and the opportunity for patients to share their experiences and explore issues
related to their illness anonymously. Future research should focus on how to
enhance patient engagement with online health care forums. TRIAL REGISTRATION:
ISRCTN81375447; http://www.isrctn.com/ISRCTN81375447 (Archived by WebCite at
http://www.webcitation.org/6YzWtHUqu).
PMID- 26543926
TI - cStress: Towards a Gold Standard for Continuous Stress Assessment in the Mobile
Environment.
AB - Recent advances in mobile health have produced several new models for inferring
stress from wearable sensors. But, the lack of a gold standard is a major hurdle
in making clinical use of continuous stress measurements derived from wearable
sensors. In this paper, we present a stress model (called cStress) that has been
carefully developed with attention to every step of computational modeling
including data collection, screening, cleaning, filtering, feature computation,
normalization, and model training. More importantly, cStress was trained using
data collected from a rigorous lab study with 21 participants and validated on
two independently collected data sets - in a lab study on 26 participants and in
a week-long field study with 20 participants. In testing, the model obtains a
recall of 89% and a false positive rate of 5% on lab data. On field data, the
model is able to predict each instantaneous self-report with an accuracy of 72%.
PMID- 26543927
TI - puffMarker: A Multi-Sensor Approach for Pinpointing the Timing of First Lapse in
Smoking Cessation.
AB - Recent researches have demonstrated the feasibility of detecting smoking from
wearable sensors, but their performance on real-life smoking lapse detection is
unknown. In this paper, we propose a new model and evaluate its performance on 61
newly abstinent smokers for detecting a first lapse. We use two wearable sensors
breathing pattern from respiration and arm movements from 6-axis inertial
sensors worn on wrists. In 10-fold cross-validation on 40 hours of training data
from 6 daily smokers, our model achieves a recall rate of 96.9%, for a false
positive rate of 1.1%. When our model is applied to 3 days of post-quit data from
32 lapsers, it correctly pinpoints the timing of first lapse in 28 participants.
Only 2 false episodes are detected on 20 abstinent days of these participants.
When tested on 84 abstinent days from 28 abstainers, the false episode per day is
limited to 1/6.
PMID- 26543928
TI - Meeting report: BBRC Symposium on Trends in Biochemistry and Biophysics.
PMID- 26543929
TI - Response.
PMID- 26543931
TI - Regional Nodal Irradiation in Early-Stage Breast Cancer.
PMID- 26543930
TI - [Not Available].
PMID- 26543933
TI - SA's happiness-and misery-index.
PMID- 26543932
TI - Supervision: Clear direction.
PMID- 26543934
TI - Cost awareness on the part of health professionals.
PMID- 26543935
TI - Myasthenia gravis is a rare but treatable disease.
PMID- 26543936
TI - 'Changing sides'-SAMA unionist now Limpopo's Health MEC.
PMID- 26543937
TI - Pain management-the global sound of silence.
PMID- 26543938
TI - Snipping away at the HIV pandemic, one foreskin at a time.
PMID- 26543939
TI - Fits, faints and funny turns.
PMID- 26543940
TI - An approach to the clinical assessment and management of syncope in adults.
AB - Syncope, defined as a brief loss of consciousness due to an abrupt fall in
cerebral perfusion, remains a frequent reason for medical presentation. The goals
of the clinical assessment of a patient with syncope are twofold: (i) to identify
the precise cause in order to implement a mechanism-specific and effective
therapeutic strategy; and (ii) to quantify the risk to the patient, which depends
on the underlying disease,rather than the mechanism of the syncope. Hence, a
structured approach to the patient with syncope is required. History-taking
remains the most important aspect of the clinical assessment. The classification
of syncope is based on the underlying pathophysiological mechanism causing the
event, and includes cardiac, orthostatic and reflex (neurally mediated)
mechanisms. Reflex syncope can be categorised into vasovagal syncope (from
emotional or orthostatic stress), situational syncope (due to specific
situational stressors), carotid sinus syncope(from pressure on the carotid sinus,
e.g. shaving or a tight collar), and atypical reflex syncope (episodes of syncope
or reflex syncope that cannot be attributed to a specific trigger or syncope with
an atypical presentation). Cardiovascular causes of syncope may be
structural(mechanical) or electrical. Orthostatic hypotension is caused by an
abnormal drop in systolic blood pressure upon standing, and is defined asa
decrease of >20 mmHg in systolic blood pressure or a reflex tachycardia of >20
beats/minute within 3 minutes of standing. The main causes of orthostatic
hypotension are autonomic nervous system failure and hypovolaemia. Patients with
life-threatening causes of syncope should be managed urgently and appropriately.
In patients with reflex or orthostatic syncope it is important to address any
exacerbating medication and provide general measures to increase blood pressure,
such as physical counter-pressure manoeuvres. Where heart disease is found to bet
he cause of the syncope, a specialist opinion is warranted and where possible the
problem should be corrected. It is important to remember that in any patient
presenting with syncope the main objectives of management are to prolong
survival, limit physical injuries and prevent recurrences. This can only be done
if a patient is appropriately assessed at presentation, investigated as
clinically indicated, and subsequently referred to a cardiologist for appropriate
management.
PMID- 26543941
TI - Reply: To PMID 25541666.
PMID- 26543942
TI - Reply: To PMID 25541660.
PMID- 26543943
TI - Reply: To PMID 25541654.
PMID- 26543944
TI - Albrecht Von Graefe (1828-1870).
PMID- 26543946
TI - Moritz Kaposi--Resurgent Dermatologist.
PMID- 26543945
TI - Carlos Juan Finlay (1833-1915).
PMID- 26543947
TI - Theodor Kocher and Thyroid.
PMID- 26543948
TI - Dr. Robert Koch (1843-1910).
PMID- 26543949
TI - Elie Metchnikoff (1845-1916).
PMID- 26543950
TI - Wilhelm Konrad Roentgen (1845-1923).
PMID- 26543951
TI - Alphonse Laveran (1845-1922).
PMID- 26543952
TI - Ivan Pavlov (1849-1936).
PMID- 26543953
TI - William Osler (1849-1919).
PMID- 26543954
TI - William S Halstead Pioneer of Modern Surgery.
PMID- 26543955
TI - William Gorgas & Panama Canal.
PMID- 26543956
TI - Emil Adolf Von Behring (1854-1917).
PMID- 26543957
TI - Sir David Bruce (1855-1931).
PMID- 26543958
TI - Sir Ronald Ross (1857-1932).
PMID- 26543959
TI - Oroya Fever and Daniel Carrion--A Fatal Quest.
PMID- 26543960
TI - Oskar Minkowski & Pancreas.
PMID- 26543961
TI - Sir Arthur Conan Doyle (1859 -1930).
PMID- 26543962
TI - WM Haffkine (1860-1930).
PMID- 26543963
TI - Enteric Fever, Eberth & Widal.
PMID- 26543964
TI - Alzheimer's Disease.
PMID- 26543965
TI - Charles Nicolle (1866-1936).
PMID- 26543966
TI - Karl Landsteiner (1868-1943).
PMID- 26543967
TI - Otto Loewi & Neurochemical Phenomena.
PMID- 26543968
TI - Alexis Carrel (1873-1944).
PMID- 26543969
TI - Sir Henry Dale, The Great Investigator.
PMID- 26543970
TI - Albert Schweitzer (1875-1965).
PMID- 26543971
TI - Ferdinand Sauerbruch: Pioneer Thoracic Surgeon.
PMID- 26543972
TI - Hideyo Noguchi Syphilis, Oraya Fever and Leptospira.
PMID- 26543973
TI - Jokichi Takamine-Forgotten Samurai Chemist.
PMID- 26543974
TI - Sir Thomas Lewis (1881-1945).
PMID- 26543975
TI - Sir Alexander Fleming (1881-1955).
PMID- 26543976
TI - Kala-Azar (Leishmaniasis).
PMID- 26543977
TI - Battista Grassi (1854-1925) & Malaria Controversy.
PMID- 26543978
TI - Bernardo Houssay and Pituitary Gland.
PMID- 26543979
TI - Selman Waksman and Streptomycin.
PMID- 26543980
TI - Frederick Banting (1891-1941).
PMID- 26543981
TI - James Black & Birth of Beta Blockers.
PMID- 26543982
TI - Werner Forssmann--The Risk Taker.
PMID- 26543983
TI - Daniel Bovet--Inventive Pharmacologist.
PMID- 26543984
TI - Hans Selye (1907-1982).
PMID- 26543985
TI - Heimlich Manoeuvre.
PMID- 26543986
TI - Brazilian Viper and BP Control.
PMID- 26543988
TI - Dengue An Escalating Problem.
PMID- 26543987
TI - HIV/TB--An Unholy Alliance.
PMID- 26543989
TI - Trypanosomiasis in India.
PMID- 26543990
TI - Amazing Aspirin.
PMID- 26543991
TI - Telemedicine.
PMID- 26543992
TI - Electricity and The Heart--Philatelic Sequelae.
PMID- 26543994
TI - Rabies--"Milwaukie Protocol".
PMID- 26543993
TI - Diabetic Foot and Heberprot-P.
PMID- 26543995
TI - [Adolescents and multiple sclerosis: pediatric care to adult care].
PMID- 26543996
TI - [Joint statement: working together].
PMID- 26543997
TI - [Health care system: the status quo is not an option].
PMID- 26543999
TI - [Laurentides/Lanaudiere: The Blue Bracelet].
PMID- 26543998
TI - [Campaign to act: "AVC VITE"].
PMID- 26544000
TI - [Modernize the management and governance: a challenge].
PMID- 26544001
TI - [The patient, his/her family and the community: for a doctor-patient
partnership].
PMID- 26544002
TI - [Clinical leadership and management of chronic diseases: five projects].
PMID- 26544003
TI - [WeObservatory: in the service of women's health].
PMID- 26544005
TI - [Environmental health: a response].
PMID- 26544004
TI - [Africa: controlling HIV/AIDS, an objective to be achieved].
PMID- 26544006
TI - [The role of the community to act on the determinants of health].
PMID- 26544007
TI - [Benin healthy children. Louise Leduc saving Jean-Baptiste].
PMID- 26544008
TI - [Yoga: the heart's friend].
PMID- 26544009
TI - [Acute myocardial infarction? A one hour test].
PMID- 26544010
TI - [Frontotemporal dementia].
PMID- 26544011
TI - [Pregnancies in Quebec].
PMID- 26544012
TI - [Medications and esophageal injuries].
PMID- 26544013
TI - [High dose ibuprofen: risk of heart and vascular problems].
PMID- 26544014
TI - Doping-Induced Universal Conductance Fluctuations in GaN Nanowires.
AB - The transport properties of Ge-doped single GaN nanowires are investigated, which
exhibit a weak localization effect as well as universal conductance fluctuations
at low temperatures. By analyzing these quantum interference effects, the
electron phase coherence length was determined. Its temperature dependence
indicates that in the case of highly doped nanowires electron-electron scattering
is the dominant dephasing mechanism, while for the slightly doped nanowires
dephasing originates from Nyquist-scattering. The change of the dominant
scattering mechanism is attributed to a modification of the carrier confinement
caused by the Ge-doping. The results demonstrate that the phase coherence length
can be tuned by the donor concentration making Ge-doped GaN nanowires an ideal
model system for studying the influence of impurities on quantum-interference
effects in mesoscopic and nanoscale systems.
PMID- 26544015
TI - Migration of Single Iridium Atoms and Tri-iridium Clusters on MgO Surfaces:
Aberration-Corrected STEM Imaging and Ab Initio Calculations.
AB - To address the challenge of fast, direct atomic-scale visualization of the
migration of atoms and clusters on surfaces, we used aberration-corrected
scanning transmission electron microscopy (STEM) with high scan speeds (as little
as ~0.1 s per frame) to visualize the migration of (1) a heavy atom (Ir) on the
surface of a support consisting of light atoms, MgO(100), and (2) an Ir3 cluster
on MgO(110). Sequential Z-contrast images elucidate the surface transport
mechanisms. Density functional theory (DFT) calculations provided estimates of
the migration energy barriers and binding energies of the iridium species to the
surfaces. The results show how the combination of fast-scan STEM and DFT
calculations allow visualization and fundamental understanding of surface
migration phenomena pertaining to supported catalysts and other materials.
PMID- 26544016
TI - Systematic Reviews and Meta-Analyses - Literature-based Recommendations for
Evaluating Strengths, Weaknesses, and Clinical Value.
AB - Good quality systematic reviews (SRs) summarizing best available evidence can
help inform clinical decisions, improv- ing patient and wound outcomes. Weak SRs
can misinform readers, undermining care decisions and evidence-based practice. To
examine the strengths and weaknesses of SRs and meta-analyses and the role of SRs
in contemporary evidence-based wound care practice, and using the search terms
systematic review, meta-analysis, and evidence-based practice, the authors
searched Medline and the Cumulative Index to Nursing and Allied Health Literature
(CINAHL) for important terminology and recommendations to help clinicians
evaluate SRs with meta-analysis. Reputable websites, recent textbooks, and
synthesized available literature also were reviewed to describe and summarize SR
strengths and weaknesses. After developing a checklist for critically evaluating
SR objectives, inclusion/exclusion criteria, study quality, data extraction and
synthesis methods, meta-analysis homogeneity, accuracy of results,
interpretation, and consistency between significant findings and abstract or
conclusions, the checklist was applied to topical wound care SRs identified in
Cochrane and MEDLINE searches. Best available evidence included in the SRs from
169 randomized controlled trials on 11,571 patients supporting topical
intervention healing effects on burns, surgical sites, and diabetic, venous, or
pressure ulcers was summarized and showed SRs and clinical trials can demonstrate
different outcomes because the information/data are compiled differently. The
results illustrate how evidence insufficient to support firm conclusions may
still meet immediate needs to guide carefully considered clinical wound and
patient care decisions while encouraging better future science.
PMID- 26544017
TI - A Retrospective Quality Improvement Study Comparing Use Versus Nonuse of a Padded
Heel Dressing to Offload Heel Ulcers of Different Etiologies.
AB - Offloading heel ulcers is a challenging task because strategies deemed to be most
optimal from a medical perspective may be unacceptable to patients. Observed
adverse dressing events and problems with offloading devices led to a pilot study
and subsequent change in practice at the authors' Foot and Leg Ulcer Clinic.
Starting in 2004, patients requiring offloading received a nonremovable padded
heel dressing (PHD) that was changed twice a week by the visiting nurse. A
retrospective quality improvement review was conducted to compare outcomes,
nursing visits, and nursing visit costs for 40 consecutive patients with heel
ulcers treated at this clinic with a nonremovable PHD (n = 20) or without a PHD
(n = 20) between January 20, 2001 and December 31, 2006. Patient demographic
data, relevant comorbidities, wound depth, weeks of care, adverse events, and
treatment-related narrative comments were abstracted from patient records.
Relevant comorbidities were similar in both groups. The PHD group was younger
(average age of 74.6 [range 35-91] years) compared to PHD nonuse group (average
age 79.5 [range 25-95] years; P less than 0.04). The PHD group required fewer
total weeks of care compared to the nonuse group (368 versus 527 weeks,
respectively; P less than 0.001), and average duration of clinic treatment in the
PHD group was 18.40 (range 5-51) weeks versus 40.54 (range 6-88) weeks in the
nonuse group. The PHD group had fewer total nursing visits (736 versus 1,581, P
less than 0.001); the average number of nursing visits for the PHD was 36.80
(range 10-102) compared to 121.61 (range 18-264) for the nonuse group. Nursing
visit costs were lower for PHD users ($114,080 versus $245,055, P less than
0.001), and the cost-efficiency ratio was less than one third (1:3.3) of PHD
nonuse for the average heel ulcer. All 20 patients in the PHD use group had wound
closure compared with the PHD nonuse group, in which 13 out of 20 wounds closed,
3 amputations were performed, and 4 patients were lost to review (P less than
0.000). No adverse events were reported in the records of the PHD use group; the
PHD nonuse group reported periwound maceration, skin stripping, pressure injury,
and sensitivity. Patient and nurse feedback identified pain relief, improved
mobility, easy technique, low cost, and reduced workload as benefits of PHD. The
results of this quality improvement review warrant a prospective clinical study
to examine the efficacy, effectiveness, and cost-effectiveness of PHD for the
care of patients with heel ulcers.
PMID- 26544018
TI - Total Synthesis and Biological Evaluation of Irciniastatin A (a.k.a. Psymberin)
and Irciniastatin B.
AB - Irciniastatin A (a.k.a. psymberin) and irciniastatin B are members of the pederin
natural product family, which have potent antitumor activity and structural
complexity. Herein, we describe a full account of our total synthesis of (+)
irciniastatin A and (-)-irciniastatin B. Our synthesis features the highly
regioselective Eu(OTf)3-catalyzed, DTBMP-assisted epoxide ring opening reaction
with MeOH, which enabled a concise synthesis of the C1-C6 fragment, extensive use
of AZADO (2-azaadamantane N-oxyl) and its related nitroxyl radical/oxoammonium
salt-catalyzed alcohol oxidation throughout the synthesis, and a late-stage
assembly of C1-C6, C8-C16, and C17-C25 fragments. In addition, for the synthesis
of (-)-irciniastatin B, we achieved the C11-selective control of the oxidation
stage via regioselective deprotection and AZADO-catalyzed alcohol oxidation. The
synthetic irciniastatins showed high levels of cytotoxic activity against
mammalian cells. Furthermore, chemical footprinting experiments using synthetic
compounds revealed that the binding site of irciniastatins is the E-site of the
ribosome.
PMID- 26544019
TI - Facile Synthesis of Biocompatible Fluorescent Nanoparticles for Cellular Imaging
and Targeted Detection of Cancer Cells.
AB - In this work, we report the facile synthesis of functional core-shell structured
nanoparticles with fluorescence enhancement, which show specific targeting of
cancer cells. Biopolymer poly-l-lysine was used to coat the silver core with
various shell thicknesses. Then, the nanoparticles were functionalized with folic
acid as a targeting agent for folic acid receptor. The metal-enhanced
fluorescence effect was observed when the fluorophore (5-(and-6)
carboxyfluorescein-succinimidyl ester) was conjugated to the modified
nanoparticle surface. Cellular imaging assay of the nanoparticles in folic acid
receptor-positive cancer cells showed their excellent biocompatibility and
selectivity. The as-prepared functional nanoparticles demonstrate the efficiency
of the metal-enhanced fluorescence effect and provide an alternative approach for
the cellular imaging and targeting of cancer cells.
PMID- 26544020
TI - Enhanced Radiation Resistance of Methanosarcina soligelidi SMA-21, a New
Methanogenic Archaeon Isolated from a Siberian Permafrost-Affected Soil in Direct
Comparison to Methanosarcina barkeri.
AB - Permafrost-affected soils are characterized by a high abundance and diversity of
methanogenic communities, which are considered suitable model organisms for
potential life on Mars. Methanogens from Siberian permafrost have been proven to
be highly resistant against divers stress conditions such as subzero
temperatures, desiccation, and simulated thermophysical martian conditions. Here,
we studied the radiation resistance of the currently described new species
Methanosarcina soligelidi SMA-21, which was isolated from a Siberian permafrost
affected soil, in comparison to Methanosarcina barkeri, which is used as a
reference organism from a nonpermafrost soil environment. Both strains were
exposed to solar UV and ionizing radiation to assess their limits of survival.
Methanosarcina soligelidi exhibit an increase in radiation resistance to UV (2.5-
to 13.8-fold) and ionizing radiation (46.6-fold) compared to M. barkeri. The F10
(UVC) and D10 (X-rays) values of M. soligelidi are comparable to values for the
well-known, highly radioresistant species Deinococcus radiodurans. In contrast,
the radiation response of M. barkeri was highly sensitive to UV and ionizing
radiation comparably to Escherichia coli and other radiosensitive microorganisms.
This study showed that species of the same genus respond differently to UV and
ionizing radiation, which might reflect the adaptation of Methanosarcina
soligelidi SMA-21 to the harsh environmental conditions of the permafrost
habitat. KEY WORDS: Methanogenic archaea-Environmental UV-Ionizing radiation
Permafrost-Radiation resistance-Mars.
PMID- 26544021
TI - Modeling the Current and Future Roles of Particulate Organic Nitrates in the
Southeastern United States.
AB - Organic nitrates are an important aerosol constituent in locations where biogenic
hydrocarbon emissions mix with anthropogenic NOx sources. While regional and
global chemical transport models may include a representation of organic aerosol
from monoterpene reactions with nitrate radicals (the primary source of particle
phase organic nitrates in the Southeast United States), secondary organic aerosol
(SOA) models can underestimate yields. Furthermore, SOA parametrizations do not
explicitly take into account organic nitrate compounds produced in the gas phase.
In this work, we developed a coupled gas and aerosol system to describe the
formation and subsequent aerosol-phase partitioning of organic nitrates from
isoprene and monoterpenes with a focus on the Southeast United States. The
concentrations of organic aerosol and gas-phase organic nitrates were improved
when particulate organic nitrates were assumed to undergo rapid (tau = 3 h)
pseudohydrolysis resulting in nitric acid and nonvolatile secondary organic
aerosol. In addition, up to 60% of less oxidized-oxygenated organic aerosol (LO
OOA) could be accounted for via organic nitrate mediated chemistry during the
Southern Oxidants and Aerosol Study (SOAS). A 25% reduction in nitrogen oxide (NO
+ NO2) emissions was predicted to cause a 9% reduction in organic aerosol for
June 2013 SOAS conditions at Centreville, Alabama.
PMID- 26544022
TI - Controlling Central Carbon Metabolism for Improved Pathway Yields in
Saccharomyces cerevisiae.
AB - Engineering control of metabolic pathways is important to improving product
titers and yields. Traditional methods such as overexpressing pathway enzymes and
deleting competing ones are restricted by the interdependence of metabolic
reactions and the finite nature of cellular resources. Here, we developed a
metabolite valve that controls glycolytic flux through central carbon metabolism
in Saccharomyces cerevisiae. In a Hexokinase 2 and Glucokinase 1 deleted strain
(hxk2Deltaglk1Delta), glucose flux was diverted away from glycolysis and into a
model pathway, gluconate, by controlling the transcription of Hexokinase 1 with
the tetracycline transactivator protein (tTA). A maximum 10-fold decrease in
hexokinase activity resulted in a 50-fold increase in gluconate yields, from 0.7%
to 36% mol/mol of glucose. The reduction in glucose flux resulted in a
significant decrease in ethanol byproduction that extended to semianaerobic
conditions, as shown in the production of isobutanol. This proof-of-concept is
one of the first demonstrations in S. cerevisiae of dynamic redirection of
glucose from glycolysis and into a heterologous pathway.
PMID- 26544023
TI - The complete nucleotide sequence of the mitochondrial genome of Drosophila
formosana (Diptera: Drosophilidae).
AB - Drosophila formosana (Diptera: Drosophilidae) belongs to the Drosophilidae group
of Drosophila. The mitochondrial genome sequence of Drosophila formosana is
determined in this study. Mitochondrion of D. formosana is a circular DNA
molecule of the 16 100 nucleotide pairs (bp) that contains one encoding region
including 37 genes and 1 non-coding A + T-rich region. The similarity and
typicality have been showed by the structure and organization analysis. All genes
are arranged in the circular DNA molecule. In addition to DN5 that use GTG start
codon, all other protein-coding genes (PCGs) start with an ATN start codon. Ten
protein-coding genes stop with the termination codon TAN, while other protein
coding genes (PCGs) used incomplete termination codon TA- (cox2, nad5, nad1). The
A + T-rich region with a length of 1088 bp is located between rrnS and trnI. The
mitochondrial genome of D. formosana has been completely sequenced for the first
time in this study.
PMID- 26544024
TI - Modulating the Stability of 2-Pyridinyl Thermolabile Hydroxyl Protecting Groups
via the "Chemical Switch" Approach.
AB - A novel and effective method is presented for modulating the stability of 2
Pyridinyl Thermolabile Protecting Groups (2-Py TPGs) in the "chemical switch"
approach. The main advantage of the discussed approach is the possibility of
changing the nucleophilic character of pyridine nitrogen using different
switchable factors, which results in an increase or decrease in the thermal
deprotection rate. One of the factors is transformation of a nitro into an amine
group via reduction with a low-valent titanium in mild conditions. The usefulness
of our approach is corroborated using 3'-O-acetyl nucleosides as model compounds.
Their stability in various solvents and temperatures before and after reduction
is also examined. Pyridine N-oxide and pH are other factors responsible for the
nucleophilicity and stability of 2-Pyridinyl Thermolabile Protecting Groups in
thermal deprotection. Protonation of 4-amino 2-Pyridinyl Thermolabile Protecting
Groups is demonstrated by (1)H-(15)N HMBC and HSQC NMR analysis.
PMID- 26544025
TI - Risk factors for intra-operative haemorrhage and bleeding risk scoring system for
caesarean scar pregnancy: a case-control study.
AB - OBJECTIVES: To investigate risk factors associated with excessive intra-operative
haemorrhage during evacuation operation, and to develop a bleeding risk scoring
system in patients with caesarean scar pregnancy (CSP) to guide treatment. STUDY
DESIGN: A case-control study was conducted. Excessive intra-operative haemorrhage
was defined as active bleeding during dilation and suction evacuation (blood loss
>=200ml). The bleeding group consisted of patients who experienced excessive
intra-operative blood loss. Patients with less intra-operative blood loss were
included in the control group. RESULTS: In total, 458 admissions from 2009 to
2014 were included in this study. Compared with the control group, the bleeding
group had higher serum beta-human chorionic gonadotrophin (hCG), higher
gestational age, larger CSP mass, richer peritrophoblastic perfusion and thinner
myometrial layer before evacuation (all p<0.05). Risk factors with p<0.05 on
multivariable logistic regression analysis included serum beta-hCG >20,000mIU/ml
[odds ratio (OR) 1.4, 95% confidence interval (CI) 1.0-3.2], gestational age >8
weeks (OR 2.1, 95% CI 1.1-4.0), maximum diameter of gestational sac or CSP mass
>=5cm (OR 7.4, 95% CI 3.4-16.1), myometrial thickness <=0.15cm (OR 3.6, 95% CI
1.9-6.9) and significant peritrophoblastic perfusion (OR 9.8, 95% CI 4.1-23.2).
These risk factors formed the final bleeding risk scoring system by conversion of
their OR values into corresponding points. A total of 10 points was identified as
the optimal cut-off on the receiver operating characteristic curve. Thus,
patients with scores >=10 points were identified as being at high risk of
bleeding. The final bleeding risk scoring system had an area under the curve of
0.86, sensitivity of 86.8% and specificity of 73.2%. CONCLUSIONS: Gestational
age, serum beta-hCG, size of gestational sac, thickness of myometrial layer and
peritrophoblastic perfusion were found to be associated with excessive intra
operative haemorrhage during suction evacuation of CSP. A bleeding risk scoring
system was constructed to help guide the management of patients with CSP.
Patients with total scores >=10 points were identified as being at high risk of
bleeding, whereas patients with total scores <=5 points were identified as being
at low risk of bleeding.
PMID- 26544026
TI - Uterine rupture without previous caesarean delivery: a population-based cohort
study.
AB - OBJECTIVE: To determine incidence and patient characteristics of women with
uterine rupture during singleton births at term without a previous caesarean
delivery. STUDY DESIGN: Population based cohort study. Women with term singleton
birth, no record of previous caesarean delivery and planned vaginal delivery
(n=611,803) were identified in the Danish Medical Birth Registry (1997-2008).
Medical records from women recorded with uterine rupture during labour were
reviewed to ascertain events of complete uterine rupture. Relative Risk (RR) and
adjusted Relative Risk Ratio (aRR) of complete uterine rupture with 95%
confidence intervals (95% CI) were ascertained according to characteristics of
the women and of the delivery. RESULTS: We identified 20 cases with complete
uterine rupture. The incidence of complete uterine rupture among women without
previous caesarean delivery was about 3.3/100,000 deliveries. Multiparity (RR
8.99 (95% CI 1.86-43.29)), induction of labour (RR 3.26 (95% CI 1.24-8.57)),
epidural analgesia (RR 10.78 (95% CI 4.25-27.39)), and augmentation by oxytocin
(RR 9.50 (95% CI 3.15-28.63)) were associated with uterine rupture. Induction of
labour was not significantly related to uterine rupture when adjusted for parity,
epidural analgesia and augmentation by oxytocin. CONCLUSION: Although uterine
rupture is rare, its association with epidural analgesia and augmentation of
labour with oxytocin in multipara should be considered. Thus, vigilance should be
exercised when labour is obstructed and there is need for epidural analgesia
and/or augmentation by oxytocin in multiparous women. Due to the rare occurrence
of uterine rupture caution should be exerted when interpreting the findings of
this study.
PMID- 26544027
TI - From disorders of consciousness to early neurorehabilitation using assistive
technologies in patients with severe brain damage.
AB - PURPOSE OF REVIEW: The purpose of this review is to provide an update on the
latest challenges addressed by neurorehabilitation initiated very early after the
brain damage, such as dealing with disorders of consciousness in terms of
diagnosis, prognosis and rehabilitative treatment, or determining best timing for
first rehabilitative intervention, best therapeutic approaches and best
modalities. RECENT FINDINGS: Early management of patients with severe brain
damage requires a multidisciplinary rehabilitative approach that encompasses
clinical skills in various fields, standard therapies, and assistive
technologies.Despite a high rate of misdiagnosis and poor outcome prediction in
disorders of consciousness, the observation of subtle motor signs may be a
promising way to reach accurate diagnosis and better outcome prediction.
Neurosensory stimulation remains the current treatment to promote emergence from
disorders of consciousness.Early timing of neurological rehabilitation is
definitively efficient, but a safety period should be respected. Some standard
therapies and assistive technologies have demonstrated explicit evidence in
neurological recovery and high treatment dose is needed to emphasize the
therapeutic effect, but several controversies persist in treatment evidence.
SUMMARY: Current advancements have provided growing evidence for early
neurorehabilitation, which should be definitively applied, but further studies
are explicitly needed to diminish persistent controversies in the field.
PMID- 26544028
TI - Bilingualism, dementia, cognitive and neural reserve.
AB - PURPOSE OF REVIEW: We discuss the role of bilingualism as a source of cognitive
reserve and we propose the putative neural mechanisms through which lifelong
bilingualism leads to a neural reserve that delays the onset of dementia. RECENT
FINDINGS: Recent findings highlight that the use of more than one language
affects the human brain in terms of anatomo-structural changes. It is noteworthy
that recent evidence from different places and cultures throughout the world
points to a significant delay of dementia onset in bilingual/multilingual
individuals. This delay has been reported not only for Alzheimer's dementia and
its prodromal mild cognitive impairment phase, but also for other dementias such
as vascular and fronto-temporal dementia, and was found to be independent of
literacy, education and immigrant status. SUMMARY: Lifelong bilingualism
represents a powerful cognitive reserve delaying the onset of dementia by
approximately 4 years. As to the causal mechanism, because speaking more than one
language heavily relies upon executive control and attention, brain systems
handling these functions are more developed in bilinguals resulting in increases
of gray and white matter densities that may help protect from dementia onset.
These neurocognitive benefits are even more prominent when second language
proficiency and exposure are kept high throughout life.
PMID- 26544030
TI - Traumatic brain injury: recent advances in plasticity and regeneration.
AB - PURPOSE OF REVIEW: There is an urgent need for effective therapies to restore
neurologic function and decrease disability following traumatic brain injury
(TBI). Here, emerging findings on the mechanisms of post-TBI neural repair and
regeneration, as well as therapeutic implications, are selectively reviewed.
RECENT FINDINGS: Recent discoveries include the characterization of the
inhibitory signaling systems within the injury site, postinjury stem cell niche
activation, the role of serotonin signaling in repair, and environment
enrichment. A potentially transformative finding has been the identification of
exosomes, nano-sized extracellular vesicles which have key roles in cell
signaling, and might serve as novel biomarkers and as vehicles for targeted
delivery of repair-inducing molecules. SUMMARY: In the experimental setting, post
TBI repair can be promoted by modulation of inhibitory signaling, neurotrophic
factor administration, and amplified serotonin signaling; additional strategies
include mobilization of endogenous stem cell populations, exogenous cell-based
therapies, and environmental enhancement. Feasibility, safety, and efficacy of
these approaches need further investigation in humans. Studies are also needed to
evaluate biomarkers based on molecular traces of neural repair and regeneration,
which could transform prognostic and predictive modeling of post-TBI recovery
trajectories.
PMID- 26544031
TI - Editorial.
PMID- 26544029
TI - Neural interfaces for somatosensory feedback: bringing life to a prosthesis.
AB - PURPOSE OF REVIEW: When an individual loses a limb, he/she loses touch with the
world and with the people around him/her. Somatosensation is critical to the
feeling of connection and control of one's own body. Decades of attempts to
replace lost somatosensation by sensory substitutions have been ineffective
outside of the laboratory. This review discusses important recent results
demonstrating chronic somatosensory restoration through direct peripheral nerve
stimulation. RECENT FINDINGS: Stimulation of peripheral nerves results in
somatosensory perception on the phantom limb. Sensations are localized to several
independent and functionally relevant locations, such as the fingertips, thenar
eminence, ulnar border and dorsal surface. Patterns in stimulation intensity
change the perception experience by the user, opening new dimensions on
neuromodulation. SUMMARY: Neural interfaces with sophisticated stimulation
paradigms create a user's perception of his/her hand to touch and manipulate
objects. The pattern of intensity and frequency of stimulation is critical to the
quality and intensity of perceived sensation. Restoring feeling has allowed the
individuals to, 'feel [my] hand for the first time since the accident,' and 'feel
[my] wife touch my hand'. Individuals using a prosthetic hand with sensation can
pull cherries and grapes from the stem, open water bottles and move objects
without destroying these objects - all while audio and visually deprived. After
regaining sensation, phantom pain is eliminated in individuals that had frequent,
sometimes debilitating, pain following limb loss. With over 5 subject-years of
experience, this work is leading the evolution of a new era in prostheses.
Somatosensory prosthetics as a standard procedure to augment and restore
somatosensation are now within our reach.
PMID- 26544032
TI - Reducing Loss to Follow-Up with Tele-audiology Diagnostic Evaluations.
AB - BACKGROUND: Infants who do not pass their newborn hearing screen require
diagnostic follow-up visits but often face access barriers such as travel
distance and shortage of pediatric audiologists. Telemedicine (tele-audiology) is
a potential solution to provide diagnostic hearing evaluations for families of
infants facing access barriers. We determined the feasibility and impact of a
tele-audiology program that provided comprehensive diagnostic evaluations to a
region with a high lost to follow-up rate among newborns who did not pass their
newborn hearing screen. MATERIALS AND METHODS: We evaluated the tele-audiology
program using parent and provider surveys to determine the perception of quality
and satisfaction of care. We also compared the lost to follow-up rate of the tele
audiology program with the loss to follow-up in the region before the
implementation of the program. RESULTS: Twenty-two infants who did not pass their
newborn hearing screen were referred to the tele-audiology program for diagnostic
evaluation. Among these infants, 59.1% were diagnosed with some form of hearing
loss. The mean quality score rated by both parents and providers on the
telemedicine interaction was over 6.5 on a 7-point Likert scale. All parents
rated the importance of tele-audiology as 7 (extremely important) for their
family, whereas the provider rated the mean importance as 6.4 (95% confidence
interval, 5.9, 6.9) on a 7-point Likert scale. Almost all parents actively
participated or were engaged during history taking and counseling and were
comfortable in discussing their child's hearing status remotely over
telemedicine. All infants completed their diagnostic evaluation with no loss to
follow-up compared with 22% loss to follow-up in the region before the
implementation of the program. CONCLUSIONS: Tele-audiology is a feasible solution
that reduces the loss to follow-up among infants who do not pass their newborn
hearing screen and have access barriers to qualified audiologists for diagnostic
evaluations.
PMID- 26544033
TI - Chewing the fat: lipid metabolism and homeostasis during M. tuberculosis
infection.
AB - The interplay between Mycobacterium tuberculosis lipid metabolism, the immune
response and lipid homeostasis in the host creates a complex and dynamic pathogen
host interaction. Advances in imaging and metabolic analysis techniques indicate
that M. tuberculosis preferentially associates with foamy cells and employs
multiple physiological systems to utilize exogenously derived fatty-acids and
cholesterol. Moreover, novel insights into specific host pathways that control
lipid accumulation during infection, such as the PPARgamma and LXR
transcriptional regulators, have begun to reveal mechanisms by which host
immunity alters the bacterial micro-environment. As bacterial lipid metabolism
and host lipid regulatory pathways are both important, yet inherently complex,
components of active tuberculosis, delineating the heterogeneity in lipid
trafficking within disease states remains a major challenge for therapeutic
design.
PMID- 26544034
TI - Effect of Er,Cr:YSGG Laser at Different Output Powers on the Micromorphology and
the Bond Property of Non-Carious Sclerotic Dentin to Resin Composites.
AB - BACKGROUND: The objective of this study was to investigate the influence of
Er,Cr:YSGG laser irradiated at different powers on the micromorphology and the
bonding property of non-carious sclerotic dentin to resin composites. METHODS:
Two hundred bovine incisors characterized by non-carious sclerotic dentin were
selected, and the seventy-two teeth of which for surface morphological analysis
were divided into nine groups according to various treatments (A: the control
group, B: only treated with the adhesive Adper Easy One, C: diamond bur polishing
followed by Adper Easy One, D-I: Er,Cr:YSGG laser irradiating at 1W, 2W, 3W, 4W,
5W, 6W output power, respectively, followed by Adper Easy One). The surface
roughness values were measured by the non-contact three-dimensional morphology
scanner, then the surface micromorphologies of surfaces in all groups were
assessed by scanning electron microscopy (SEM); meanwhile, Image Pro-Plus 6.0
software was used to measure the relative percentage of open tubules on SEM
images. The rest, one hundred twenty-eight teeth for bond strength test, were
divided into eight groups according to the different treatments (A: only treated
with the adhesive Adper Easy One, B: diamond bur polishing followed by the above
adhesive, C-H: Er,Cr:YSGG laser irradiating at 1 W, 2 W, 3 W, 4 W, 5 W, 6 W
output power, respectively, followed by the above adhesive), and each group was
subsequently divided into two subgroups according to whether aging is performed
(immediately tested and after thermocycling). Micro-shear bond strength test was
used to evaluate the bond strength. RESULTS: The 4W laser group showed the
highest roughness value (30.84+/-1.93MUm), which was statistically higher than
the control group and the diamond bur groups (p<0.05). The mean percentages
((27.8+/-1.8)%, (28.0+/-2.2)%, (30.0+/-1.9)%) of open tubules area in the 4W, 5W,
6W group were higher than other groups (p<0.05). The 4W laser group showed the
highest micro-shear bond strength not only in immediately tested (17.60+/-2.55
PMa) but after thermocycling (14.35+/-2.08MPa). CONCLUSION: The Er,Cr:YSGG laser
at 4W power can effectively improve the bonding property between non-carious
sclerotic dentin and resin composites by increasing the roughness and mean
percentage area of open tubules.
PMID- 26544035
TI - The Effect of Calcium Sodium Phosphosilicate on Dentin Hypersensitivity: A
Systematic Review and Meta-Analysis.
AB - OBJECTIVE: To investigate the effect of calcium sodium phosphosilicate (CSPS) in
treating dentin hypersensitivity (DH) and to compare this effect to that of a
negative (placebo) control. MATERIALS AND METHODS: Several databases, including
Medline, EMBASE, Web of Science, The Cochrane Library, and the Chinese Biomedical
Literature Database, were searched to identify relevant articles published
through January 2015; grey literature (i.e., academic literature that is not
formally published) was also searched. Two authors performed data extraction
independently and jointly using data collection forms. The primary outcome was
the DH pain response to routine activities or to thermal, tactile, evaporative,
or electrical stimuli, and the secondary outcome was the side effects of CSPS
use. Each study was evaluated using the Cochrane Collaboration tool for assessing
risk bias. Meta-analysis of studies with the same participant demographics,
interventions, controls, assessment methods and follow-up periods was performed.
The Grading of Recommendations Assessment Development and Evaluation System was
used to assess the quality of the evidence and the risk of bias across studies.
RESULTS: Meta-analysis demonstrated that toothpaste containing 5% CSPS was more
effective than the negative control at relieving dentin sensitivity, with the
level of evidence classified as "moderate". In addition, prophylaxis paste
containing 15% calcium sodium phosphosilicate was favored over the negative
control at reducing post-periodontal therapy hypersensitivity, with the level of
evidence categorized as "low". Only two studies reported side effects of CSPS
use. CONCLUSIONS: The majority of studies found that calcium sodium
phosphosilicate was more effective than the negative control at alleviating DH.
Because strong evidence is scarce, high-quality, well-designed clinical trials
are required in the future before definitive recommendations can be made.
PMID- 26544036
TI - The Paternal Landscape along the Bight of Benin - Testing Regional
Representativeness of West-African Population Samples Using Y-Chromosomal
Markers.
AB - Patterns of genetic variation in human populations across the African continent
are still not well studied in comparison with Eurasia and America, despite the
high genetic and cultural diversity among African populations. In population and
forensic genetic studies a single sample is often used to represent a complete
African region. In such a scenario, inappropriate sampling strategies and/or the
use of local, isolated populations may bias interpretations and pose questions of
representativeness at a macrogeographic-scale. The non-recombining region of the
Y-chromosome (NRY) has great potential to reveal the regional representation of a
sample due to its powerful phylogeographic information content. An area poorly
characterized for Y-chromosomal data is the West-African region along the Bight
of Benin, despite its important history in the trans-Atlantic slave trade and its
large number of ethnic groups, languages and lifestyles. In this study, Y
chromosomal haplotypes from four Beninese populations were determined and a
global meta-analysis with available Y-SNP and Y-STR data from populations along
the Bight of Benin and surrounding areas was performed. A thorough methodology
was developed allowing comparison of population samples using Y-chromosomal
lineage data based on different Y-SNP panels and phylogenies. Geographic
proximity turned out to be the best predictor of genetic affinity between
populations along the Bight of Benin. Nevertheless, based on Y-chromosomal data
from the literature two population samples differed strongly from others from the
same or neighbouring areas and are not regionally representative within large
scale studies. Furthermore, the analysis of the HapMap sample YRI of a Yoruban
population from South-western Nigeria based on Y-SNPs and Y-STR data showed for
the first time its regional representativeness, a result which is important for
standard population and forensic genetic applications using the YRI sample.
Therefore, the uniquely and powerful geographical information carried by the Y
chromosome makes it an important locus to test the representativeness of a
certain sample even in the genomic era, especially in poorly investigated areas
like Africa.
PMID- 26544037
TI - A Tandem Oligonucleotide Approach for SNP-Selective RNA Degradation Using
Modified Antisense Oligonucleotides.
AB - Antisense oligonucleotides have been studied for many years as a tool for gene
silencing. One of the most difficult cases of selective RNA silencing involves
the alleles of single nucleotide polymorphisms, in which the allele sequence is
differentiated by a single nucleotide. A new approach to improve the performance
of allele selectivity for antisense oligonucleotides is proposed. It is based on
the simultaneous application of two oligonucleotides. One is complementary to the
mutated form of the targeted RNA and is able to activate RNase H to cleave the
RNA. The other oligonucleotide, which is complementary to the wild type allele of
the targeted RNA, is able to inhibit RNase H cleavage. Five types of SNPs, C/G,
G/C, G/A, A/G, and C/U, were analyzed within the sequence context of genes
associated with neurodegenerative disorders such as Alzheimer's disease,
Parkinson's disease, ALS (Amyotrophic Lateral Sclerosis), and Machado-Joseph
disease. For most analyzed cases, the application of the tandem approach
increased allele-selective RNA degradation 1.5-15 fold relative to the use of a
single antisense oligonucleotide. The presented study proves that differentiation
between single substitution is highly dependent on the nature of the SNP and
surrounding nucleotides. These variables are crucial for determining the proper
length of the inhibitor antisense oligonucleotide. In the tandem approach, the
comparison of thermodynamic stability of the favorable duplexes WT RNA-inhibitor
and Mut RNA-gapmer with the other possible duplexes allows for the evaluation of
chances for the allele-selective degradation of RNA. A larger difference in
thermodynamic stability between favorable duplexes and those that could possibly
form, usually results in the better allele selectivity of RNA degradation.
PMID- 26544038
TI - A Voltage-Based STDP Rule Combined with Fast BCM-Like Metaplasticity Accounts for
LTP and Concurrent "Heterosynaptic" LTD in the Dentate Gyrus In Vivo.
AB - Long-term potentiation (LTP) and long-term depression (LTD) are widely accepted
to be synaptic mechanisms involved in learning and memory. It remains uncertain,
however, which particular activity rules are utilized by hippocampal neurons to
induce LTP and LTD in behaving animals. Recent experiments in the dentate gyrus
of freely moving rats revealed an unexpected pattern of LTP and LTD from high
frequency perforant path stimulation. While 400 Hz theta-burst stimulation (400
TBS) and 400 Hz delta-burst stimulation (400-DBS) elicited substantial LTP of the
tetanized medial path input and, concurrently, LTD of the non-tetanized lateral
path input, 100 Hz theta-burst stimulation (100-TBS, a normally efficient LTP
protocol for in vitro preparations) produced only weak LTP and concurrent LTD.
Here we show in a biophysically realistic compartmental granule cell model that
this pattern of results can be accounted for by a voltage-based spike-timing
dependent plasticity (STDP) rule combined with a relatively fast Bienenstock
Cooper-Munro (BCM)-like homeostatic metaplasticity rule, all on a background of
ongoing spontaneous activity in the input fibers. Our results suggest that, at
least for dentate granule cells, the interplay of STDP-BCM plasticity rules and
ongoing pre- and postsynaptic background activity determines not only the degree
of input-specific LTP elicited by various plasticity-inducing protocols, but also
the degree of associated LTD in neighboring non-tetanized inputs, as generated by
the ongoing constitutive activity at these synapses.
PMID- 26544039
TI - Differences between Drug-Induced and Contrast Media-Induced Adverse Reactions
Based on Spontaneously Reported Adverse Drug Reactions.
AB - OBJECTIVE: We analyzed differences between spontaneously reported drug-induced
(not including contrast media) and contrast media-induced adverse reactions.
METHODS: Adverse drug reactions reported by an in-hospital pharmacovigilance
center (St. Mary's teaching hospital, Daejeon, Korea) from 2010-2012 were
classified as drug-induced or contrast media-induced. Clinical patterns,
frequency, causality, severity, Schumock and Thornton's preventability, and type
A/B reactions were recorded. The trends among causality tools measuring drug and
contrast-induced adverse reactions were analyzed. RESULTS: Of 1,335 reports, 636
drug-induced and contrast media-induced adverse reactions were identified. The
prevalence of spontaneously reported adverse drug reaction-related admissions
revealed a suspected adverse drug reaction-reporting rate of 20.9/100,000
(inpatient, 0.021%) and 3.9/100,000 (outpatients, 0.004%). The most common
adverse drug reaction-associated drug classes included nervous system agents and
anti-infectives. Dermatological and gastrointestinal adverse drug reactions were
most frequently and similarly reported between drug and contrast media-induced
adverse reactions. Compared to contrast media-induced adverse reactions, drug
induced adverse reactions were milder, more likely to be preventable (9.8% vs.
1.1%, p < 0.001), and more likely to be type A reactions (73.5% vs. 18.8%, p <
0.001). Females were over-represented among drug-induced adverse reactions
(68.1%, p < 0.001) but not among contrast media-induced adverse reactions (56.6%,
p = 0.066). Causality patterns differed between the two adverse reaction classes.
The World Health Organization-Uppsala Monitoring Centre causality evaluation and
Naranjo algorithm results significantly differed from those of the Korean
algorithm version II (p < 0.001). CONCLUSIONS: We found differences in sex,
preventability, severity, and type A/B reactions between spontaneously reported
drug and contrast media-induced adverse reactions. The World Health Organization
Uppsala Monitoring Centre and Naranjo algorithm causality evaluation afforded
similar results.
PMID- 26544040
TI - Genetic Analysis of Norovirus GII.4 Variant Strains Detected in Outbreaks of
Gastroenteritis in Yokohama, Japan, from the 2006-2007 to the 2013-2014 Seasons.
AB - Noroviruses (NoVs) are the leading cause of acute gastroenteritis, both in
sporadic cases and outbreaks. Since the 1990s, the emergence of several GII.4
variants has been reported worldwide. To investigate the epidemic status of NoV,
6,724 stool samples collected from outbreaks in Yokohama, Japan, from the 2006
2007 to 2013-2014 seasons were assessed for NoVs. We genotyped one specimen from
each GII outbreak and conducted a sequence analysis of the VP1 gene for several
GII.4 strains. Of the 947 NoV outbreaks during our study, GII was detected in
835, and GII.4 was the predominant genotype of GII. Five different GII.4
variants, Yerseke 2006a, Den Haag 2006b (2006b), Apeldoorn 2007, New Orleans
2009, and Sydney 2012, were detected. During this study period, the most
prevalent variant of GII.4 was 2006b, and in each individual season, either 2006b
or Sydney 2012 was the predominant variant. Out of the 16 detected 2006b strains,
12 had some amino acid substitutions in their blockade epitope, and these
substitutions were concentrated in three residues. Two of the 2006b strains
detected in the 2012-2013 season had a S368E substitution, which is consistent
with the amino acid residues at same site of NSW0514 (Sydney 2012 prototype).
Among the 16 detected strains of Sydney 2012, a phylogenetic analysis showed that
all five strains detected in Yokohama during the 2011-2012 season clustered away
from the other Sydney 2012 strains that were detected in the 2012-2013 and 2013
2014 seasons. These five strains and other Sydney 2012 strains in Yokohama had a
few amino acid differences in the blockade epitopes compared with NSW0514. The
amino acid substitutions observed in this study provide informative data about
the evolution of a novel GII.4 variant.
PMID- 26544041
TI - Gene Mutation Analysis in 253 Chinese Children with Unexplained Epilepsy and
Intellectual/Developmental Disabilities.
AB - OBJECTIVE: Epilepsy and intellectual/developmental disabilities (ID/DD) have a
high rate of co-occurrence. Here, we investigated gene mutations in Chinese
children with unexplained epilepsy and ID/DD. METHODS: We used targeted next
generation sequencing to detect mutations within 300 genes related to epilepsy
and ID/DD in 253 Chinese children with unexplained epilepsy and ID/DD. A series
of filtering criteria was used to find the possible pathogenic variations.
Validation and parental origin analyses were performed by Sanger sequencing. We
reviewed the phenotypes of patients with each mutated gene. RESULTS: We
identified 32 novel and 16 reported mutations within 24 genes in 46 patients. The
detection rate was 18% (46/253) in the whole group and 26% (17/65) in the early
onset (before three months after birth) epilepsy group. To our knowledge, we are
the first to report KCNAB1 is a disease-causing gene of epilepsy by identifying a
novel de novo mutation (c.1062dupCA p.Leu355HisfsTer5) within this gene in one
patient with early infantile epileptic encephalopathy (EIEE). Patients with an
SCN1A mutation accounted for the largest proportion, 17% (8/46). A total of 38%
(9/24) of the mutated genes re-occurred at least 2 times and 63% (15/24) occurred
only one time. Ion channel genes are the most common (8/24) and genes related to
synapse are the next most common to occur (5/24). SIGNIFICANCE: We have
established genetic diagnosis for 46 patients of our cohort. Early-onset epilepsy
had the highest detection rate. KCNAB1 mutation was first identified in EIEE
patient. We expanded the phenotype and mutation spectrum of the genes we
identified. The mutated genes in this cohort are mostly isolated. This suggests
that epilepsy and ID/DD phenotypes occur as a consequence of brain dysfunction
caused by a highly diverse population of mutated genes. Ion channel genes and
genes related to synapse were more common mutated in this patient cohort.
PMID- 26544043
TI - Enteral Nutrition: Whom, Why, When, What and Where to Feed?
AB - Oral and enteral nutrition affects both the anatomical and physiological
integrity of the gastrointestinal tract. It downregulates systemic immune
response, reduces overall oxidative stress and limits systemic inflammatory
responses. It reduces bacterial translocation, limits pathogenic bacteria in the
intestines and enables the production of short-chain fatty acids in the colon.
Therefore, it is the most physiologic way of providing nutritional support in all
patients. The enteral formulas are available as polymeric, semi-elemental and
elemental diets. The beneficial effects on the gastrointestinal tract and
systemic organs of 'early' enteral nutrition depend on the timing, dose, location
and different modalities of enteral delivery. Being familiar with the basic
tenets of providing enteral nutrition - the 'Who, Why, When, Where and What' -
will result in safe nutritional interventions and achieve a positive clinical
outcome.
PMID- 26544042
TI - Cross-Reactivity of Filariais ICT Cards in Areas of Contrasting Endemicity of Loa
loa and Mansonella perstans in Cameroon: Implications for Shrinking of the
Lymphatic Filariasis Map in the Central African Region.
AB - BACKGROUND: Immunochromatographic card test (ICT) is a tool to map the
distribution of Wuchereria bancrofti. In areas highly endemic for loaisis in DRC
and Cameroon, a relationship has been envisaged between high L. loa microfilaria
(Mf) loads and ICT positivity. However, similar associations have not been
demonstrated from other areas with contrasting levels of L. loa endemicity. This
study investigated the cross-reactivity of ICT when mapping lymphatic filariasis
(LF) in areas with contrasting endemicity levels of loiasis and mansonellosis in
Cameroon. METHODOLOGY/PRINCIPAL FINDINGS: A cross-sectional study to assess the
prevalence and intensity of W. bancrofti, L. loa and M. perstans was carried out
in 42 villages across three regions (East, North-west and South-west) of the
Cameroon rainforest domain. Diurnal blood was collected from participants for the
detection of circulating filarial antigen (CFA) by ICT and assessment of Mf using
a thick blood smear. Clinical manifestations of LF were also assessed. ICT
positives and patients clinically diagnosed with lymphoedema were further
subjected to night blood collection for the detection of W. bancrofti Mf.
Overall, 2190 individuals took part in the study. Overall, 24 individuals
residing in 14 communities were tested positive by ICT, with prevalence rates
ranging from 0% in the South-west to 2.1% in the North-west. Lymphoedema were
diagnosed in 20 individuals with the majority of cases found in the North-west
(11/20), and none of them were tested positive by ICT. No Mf of W. bancrofti were
found in the night blood of any individual with a positive ICT result or clinical
lymphoedema. Positive ICT results were strongly associated with high L. loa Mf
intensity with 21 subjects having more than 8,000 L. loa Mf ml/blood (Odds ratio
= 15.4; 95%CI: 6.1-39.0; p < 0.001). Similarly, a strong positive association
(Spearman's rho = 0.900; p = 0.037) was observed between the prevalence of L. loa
and ICT positivity by area: a rate of 1% or more of positive ICT results was
found only in areas with an L. loa Mf prevalence above 15%. In contrast, there
was no association between ICT positivity and M. perstans prevalence (Spearman's
rho = - 0.200; p = 0.747) and Mf density (Odds ratio = 1.8; 95%CI: 0.8-4.2; p =
0.192). CONCLUSIONS/SIGNIFICANCE: This study has confirmed the strong association
between the ICT positivity and L. loa intensity (Mf/ml of blood) at the
individual level. Furthermore, the study has demonstrated that ICT positivity is
strongly associated with high L. loa prevalence. These results suggest that the
main confounding factor for positive ICT test card results are high levels of L.
loa. The findings may indicate that W. bancrofti is much less prevalent in the
Central African region where L. loa is highly endemic than previously assumed and
accurate re-mapping of the region would be very useful for shrinking of the map
of LF distribution.
PMID- 26544044
TI - Circulating Stromal Cell-Derived Factor 1alpha Levels in Heart Failure: A Matter
of Proper Sampling.
AB - BACKGROUND: The chemokine Stromal cell-derived factor 1alpha (SDF1alpha, CXCL12)
is currently under investigation as a biomarker for various cardiac diseases. The
correct interpretation of SDF1alpha levels is complicated by the occurrence of
truncated forms that possess an altered biological activity. METHODOLOGY: We
studied the immunoreactivities of SDF1alpha forms and evaluated the effect of
adding a DPP4 inhibitor in sampling tubes on measured SDF1alpha levels. Using
optimized sampling, we measured DPP4 activity and SDF1alpha levels in patients
with varying degrees of heart failure. RESULTS: The immunoreactivities of
SDF1alpha and its degradation products were determined with three immunoassays. A
one hour incubation of SDF1alpha with DPP4 at 37 degrees C resulted in 2/3 loss
of immunoreactivity in each of the assays. Incubation with serum gave a similar
result. Using appropriate sampling, SDF1alpha levels were found to be
significantly higher in those heart failure patients with a severe loss of left
ventricular function. DPP4 activity in serum was not altered in the heart failure
population. However, the DPP4 activity was found to be significantly decreased in
patients with high SDF1alpha levels. CONCLUSIONS: We propose that all samples for
SDF1alpha analysis should be collected in the presence of at least a DPP4
inhibitor. In doing so, we found higher SDF1alpha levels in subgroups of patients
with heart failure. Our work supports the need for further research on the
clinical relevance of SDF1alpha levels in cardiac disease.
PMID- 26544045
TI - What Do Experienced Water Managers Think of Water Resources of Our Nation and Its
Management Infrastructure?
AB - This article represents the second report by an ASCE Task Committee
"Infrastructure Impacts of Landscape-driven Weather Change" under the ASCE
Watershed Management Technical Committee and the ASCE Hydroclimate Technical
Committee. Herein, the 'infrastructure impacts" are referred to as infrastructure
sensitive changes in weather and climate patterns (extremes and non-extremes)
that are modulated, among other factors, by changes in landscape, land use and
land cover change. In this first report, the article argued for explicitly
considering the well-established feedbacks triggered by infrastructure systems to
the land-atmosphere system via landscape change. In this report by the ASCE Task
Committee (TC), we present the results of this ASCE TC's survey of a cross
section of experienced water managers using a set of carefully crafted questions.
These questions covered water resources management, infrastructure resiliency and
recommendations for inclusion in education and curriculum. We describe here the
specifics of the survey and the results obtained in the form of statistical
averages on the 'perception' of these managers. Finally, we discuss what these
'perception' averages may indicate to the ASCE TC and community as a whole for
stewardship of the civil engineering profession. The survey and the responses
gathered are not exhaustive nor do they represent the ASCE-endorsed viewpoint.
However, the survey provides a critical first step to developing the framework of
a research and education plan for ASCE. Given the Water Resources Reform and
Development Act passed in 2014, we must now take into account the perceived
concerns of the water management community.
PMID- 26544046
TI - Correction: Acute Fluoxetine Treatment Induces Slow Rolling of Leukocytes on
Endothelium in Mice.
PMID- 26544047
TI - Preparation of an Antibacterial Poly(ionic liquid) Graft Copolymer of
Hydroxyethyl Cellulose.
AB - Poly(ionic liquid)s (P(IL)s) of different degrees of polymerization (10, 50, and
100) were prepared via RAFT polymerization using an alkyne-terminated xanthate as
transfer agent, with a monomer conversion of up to ~80% and a DM of 1.5 for
P(IL)100. Subsequently, P(IL) chains were coupled to (15)N-labeled azido
functionalized hydroxyethyl cellulose (HEC), forming graft copolymers of HEC with
different chain length and graft densities, which were characterized using ((13)C
and (15)N) CP-MAS NMR and FT-IR spectroscopies. The antibacterial activities of
HEC-g-P(IL)s were tested against Escherichia coli and Staphylococcus aureus and
were comparable to ampicillin, a well-known antibiotic, demonstrating efficient
activity of the graft copolymers against bacteria. Moreover, HEC-g-P(IL)s were
slightly more effective against E. coli than S. aureus. A decrease in graft
density of P(IL)10 on the HEC backbone decreased the activity of the graft
copolymers against both bacteria. These findings suggest that HEC-g-P(IL) could
find applications as an antiseptic compound, for example, in paint formulation.
PMID- 26544048
TI - Rasch Analysis of the Adult Strabismus Quality of Life Questionnaire (AS-20)
among Chinese Adult Patients with Strabismus.
AB - BACKGROUND: The impact of strabismus on visual function, self-image, self-esteem,
and social interactions decrease health-related quality of life (HRQoL).The
purpose of this study was to evaluate and refine the adult strabismus quality of
life questionnaire (AS-20) by using Rasch analysis among Chinese adult patients
with strabismus. METHODS: We evaluated the fitness of the AS-20 with Rasch model
in Chinese population by assessing unidimensionality, infit and outfit, person
and item separation index and reliability, response ordering, targeting and
differential item functioning (DIF). RESULTS: The overall AS-20 did not
demonstrate unidimensional; however, it was achieved separately in the two Rasch
revised subscales: the psychosocial subscale (11 items) and the function subscale
(9 items). The features of good targeting, optimal item infit and outfit, and no
notable local dependence were found for each of the subscales. The rating scale
was appropriate for the psychosocial subscale but a reduction to four response
categories was required for the function subscale. No significant DIF were
revealed for any demographic and clinical factors (e.g., age, gender, and
strabismus types). CONCLUSION: The AS-20 was demonstrated by Rasch analysis to be
a rigorous instrument for measuring health-related quality of life in Chinese
strabismus patents if some revisions were made regarding the subscale construct
and response options.
PMID- 26544049
TI - Phosphorylation of a Myosin Motor by TgCDPK3 Facilitates Rapid Initiation of
Motility during Toxoplasma gondii egress.
AB - Members of the family of calcium dependent protein kinases (CDPK's) are abundant
in certain pathogenic parasites and absent in mammalian cells making them strong
drug target candidates. In the obligate intracellular parasite Toxoplasma gondii
TgCDPK3 is important for calcium dependent egress from the host cell.
Nonetheless, the specific substrate through which TgCDPK3 exerts its function
during egress remains unknown. To close this knowledge gap we applied the
proximity-based protein interaction trap BioID and identified 13 proteins that
are either near neighbors or direct interactors of TgCDPK3. Among these was
Myosin A (TgMyoA), the unconventional motor protein greatly responsible for
driving the gliding motility of this parasite, and whose phosphorylation at
serine 21 by an unknown kinase was previously shown to be important for motility
and egress. Through a non-biased peptide array approach we determined that
TgCDPK3 can specifically phosphorylate serines 21 and 743 of TgMyoA in vitro.
Complementation of the TgmyoA null mutant, which exhibits a delay in egress, with
TgMyoA in which either S21 or S743 is mutated to alanine failed to rescue the
egress defect. Similarly, phosphomimetic mutations in the motor protein overcome
the need for TgCDPK3. Moreover, extracellular Tgcdpk3 mutant parasites have
motility defects that are complemented by expression of S21+S743 phosphomimetic
of TgMyoA. Thus, our studies establish that phosphorylation of TgMyoA by TgCDPK3
is responsible for initiation of motility and parasite egress from the host-cell
and provides mechanistic insight into how this unique kinase regulates the lytic
cycle of Toxoplasma gondii.
PMID- 26544050
TI - Effects of Increased Summer Precipitation and Nitrogen Addition on Root
Decomposition in a Temperate Desert.
AB - BACKGROUND: Climate change scenarios that include precipitation shifts and
nitrogen (N) deposition are impacting carbon (C) budgets in arid ecosystems.
Roots constitute an important part of the C cycle, but it is still unclear which
factors control root mass loss and nutrient release in arid lands.
METHODOLOGY/PRINCIPAL FINDINGS: Litterbags were used to investigate the
decomposition rate and nutrient dynamics in root litter with water and N-addition
treatments in the Gurbantunggut Desert in China. Water and N addition had no
significant effect on root mass loss and the N and phosphorus content of litter
residue. The loss of root litter and nutrient releases were strongly controlled
by the initial lignin content and the lignin:N ratio, as evidenced by the
negative correlations between decomposition rate and litter lignin content and
the lignin:N ratio. Fine roots of Seriphidium santolinum (with higher initial
lignin content) had a slower decomposition rate in comparison to coarse roots.
CONCLUSION/SIGNIFICANCE: Results from this study indicate that small and
temporary changes in rainfall and N deposition do not affect root decomposition
patterns in the Gurbantunggut Desert. Root decomposition rates were significantly
different between species, and also between fine and coarse roots, and were
determined by carbon components, especially lignin content, suggesting that root
litter quality may be the primary driver of belowground carbon turnover.
PMID- 26544052
TI - [Generating evidence for a better patients care].
PMID- 26544051
TI - Discovery of Genome-Wide Microsatellite Markers in Scombridae: A Pilot Study on
Albacore Tuna.
AB - Recent developments in sequencing technologies and bioinformatics analysis
provide a greater amount of DNA sequencing reads at a low cost. Microsatellites
are the markers of choice for a variety of population genetic studies, and high
quality markers can be discovered in non-model organisms, such as tuna, with
these recent developments. Here, we use a high-throughput method to isolate
microsatellite markers in albacore tuna, Thunnus alalunga, based on coupling
multiplex enrichment and next-generation sequencing on 454 GS-FLX Titanium
pyrosequencing. The crucial minimum number of polymorphic markers to infer
evolutionary and ecological processes for this species has been described for the
first time. We provide 1670 microsatellite design primer pairs, and technical and
molecular genetics selection resulting in 43 polymorphic microsatellite markers.
On this panel, we characterized 34 random and selectively neutral markers
("neutral") and 9 "non-neutral" markers. The variability of "neutral" markers was
screened with 136 individuals of albacore tuna from southwest Indian Ocean (42),
northwest Indian Ocean (31), South Africa (31), and southeast Atlantic Ocean
(32). Power analysis demonstrated that the panel of genetic markers can be
applied in diversity and population genetics studies. Global genetic diversity
for albacore was high with a mean number of alleles at 16.94; observed
heterozygosity 66% and expected heterozygosity 77%. The number of individuals was
insufficient to provide accurate results on differentiation. Of the 9 "non
neutral" markers, 3 were linked to a sequence of known function. The one is
located to a sequence having an immunity function (ThuAla-Tcell-01) and the other
to a sequence having energy allocation function (ThuAla-Hki-01). These two
markers were genotyped on the 136 individuals and presented different diversity
levels. ThuAla-Tcell-01 has a high number of alleles (20), heterozygosity (87
90%), and assignment index. ThuAla-Hki-01 has a lower number of alleles (9), low
heterozygosity (24-27%), low assignment index and significant inbreeding.
Finally, the 34 "neutral" and 3 "non-neutral" microsatellites markers were tested
on four economically important Scombridae species-Thunnus albacares, Thunnus
thynnus, Thunnus obesus, and Acanthocybium solandri.
PMID- 26544053
TI - [Validity and reproducibility of a food frequency questionnaire to assess lipid
and phytochemical intake].
AB - BACKGROUND: epidemiological studies have been related food intake with the
incidence of non-transmissible chronic diseases. OBJECTIVE: the purpose of the
present study was to analyze the validity and reproducibility of a food frequency
questionnaire (FFQ) aimed at assessing lipid and phytochemical intake. MATERIAL
AND METHODS: FFQ was administered to 45 people of both sexes, aged between 20 and
72 years old and resident in Cordoba, Argentina. The FFQ included 257 questions
referring to foods, their consumption frequency and portion size. Regarding
consumption of fruit and vegetables, the season was also taken into account. The
questionnaire was applied at two different periods (FFQ1 and FFQ2) with a break
of six months in between. As a reference, the 24-hour dietary recall was used
(24HDR) three times. The mid intake of FFQ1-FFQ2, the 24HDR-FFQ2 median intake,
median difference, Mean Absolute Deviation from the median differences (MAD),
Wilcoxon signed rank sum test and Spearman rank order correlation coefficients
were calculated to analyze the accuracy of the FFQ data. RESULTS: the correlation
coefficients for FFQ1-FFQ2 varied from 0.52 for 20:5 n3 eicosapentanoic (EPA)
fatty acid to 0.89 for 4:0 butyric fatty acid (p<0.05). For 24HDR-FFQ2, the
values ranged from 0.19 for lycopene to 0.93 for EPA fatty acid (p<0.05).
CONCLUSION: the analysis carried out showed an acceptable validity and
reproducibility of the FFQ, thus enabling it to be used in research relating the
intake of lipids and phytochemicals and the risk of non-transmissible diseases.
PMID- 26544054
TI - [Body profile and physical and cognitive function by age in ambulatory elderly
women from the city of Cordoba].
AB - Aging produces body changes such as redistribution of fat and loss of muscle mass
and strength, predisposing to fragility, functional impairment and disability.
OBJECTIVE: To analyze the relationship between body profile and physical and
cognitive function by age in in ambulatory elderly women from the city of
Cordoba. METHODS: 178 healthy older women (OW) >=60 years free living were
evaluated attending centers of retirees and day homes in the city of Cordoba. We
evaluated body profile from: skeletal muscle mass index (SMMI), relative body
adiposity (RBA) -dual X-ray absorptiometry- and muscle strength (MS) -dynamometry
. Categories: normal/(N) sarcopenia/(SP), obesity/(OB), sarcopenic obesity/(SO);
Physical function: with/without physical limitation (PL); cognitive function:
with/without cognitive impairment (CI). INSTRUMENTS: Lunar Prodigy Densitometer
and Smedley dynamometer, Lawton and Brody and Minimental Examination of Folstein
scales. RESULTS: SO prevailed and increased with age, contrary to OB. Most of the
OW did not PL or CI. Only 2.25% had low SMMI and 48.3% dynapenia. 76.97% had
elevated RBA. The SP - obese or not - had greater PL and CI. CI frequency doubled
to PL (15.17% versus 6.74%). We found negative correlations and significant
associations between age and MS (r= -0.279; p=0.0001), physical function (r=
0.164; p=0.0283) and cognitive function (r=-0.028; p=0.0002). CONCLUSIONS: In
this group of healthy OW the dynapenia was responsible for the observed SP, not
low SMMI. The OW with SP had more PL and CI, and increased with age.
PMID- 26544055
TI - [A comparison of two systems for hydration of children with diabetic
ketoacidosis. a randomized controlled trial].
AB - BACKGROUND: Treatment of diabetic ketoacidosis (DKA) requires hourly controls of
blood glucose, which define changes in the intravenous glucose and insulin
administration. Every change requires preparing a new solution, wasting time and
allowing errors. The two bag system (same electrolytes composition, but one with
and the other without glucose) allows immediate changes in glucose administration
rate, just by changing the solutions drip. OBJECTIVE: To compare the time needed
to reach stabilization of patients with DKA using two different hydration
systems: the traditional one (1 glucose/electrolyte solution) vs. the alternative
one (2 glucose/electrolyte solutions -"two bag system"-). METHODS: Randomized
controlled trial, including children aged 1 to 18 years, hospitalized for DKA
(glycemia >200 mg/dl, pH <7.3, bicarbonate <15 mmol/L, glycosuria and ketonuria).
After initial emergency re-hydration, patients were randomized to one of the 2
hydration systems (traditional or alternative), using it until patient
stabilization (glycemia <=250 mg/dl, pH >= 7.3, bicarbonate >= 15 mmol/L); the
time required to reach stabilization was the outcome variable. RESULTS: After
enrolling 12 of the 32 planned subjects (6 in each group) Data Monitoring
Committee performed a scheduled interim analysis, finding that the time required
to reach stabilization was significantly shorter using the alternative system
(9.8+/-1.16 hs vs. 13.3+/-2.8 hs; p=0.018). Because of the magnitude of this
finding, the Ethics Committee decided to terminate the study.
PMID- 26544056
TI - [Placental weight percentiles and its relationship with fetal weight according to
gestational age in an urban area of Buenos Aires].
AB - BACKGROUND: The placental weight (PW) and the rates of their relationship with
birth weight (BW) (BW / PW, PW / BW) predict perinatal morbidity and mortality
and future health outcomes. OBJECTIVES: Estimate percentiles of PW and indices by
sex and gestational age (GA) corresponding to 867 live born from Sarda Maternity
of Buenos Aires and compare with international references. METHODS: Stillbirth,
multiple pregnancy, gestational age <22 and > 42 weeks and PW <100g and > 2500g
were excluded. Maternal and fetal characteristics were: age, education, smoking,
parity, diabetes, preeclampsia, chorioamnionitis, growth restriction, prematurity
and congenital anomalies. Summary statistics and percentiles with the LMS method
were calculated. The comparisons were performed using Student t-test, ANOVA and
international references. RESULTS: Average maternal age 24 years , education 10.1
years, 24.5% primiparous, 12.6% smokers, 4.9% had diabetes, 8.7% preeclampsia,
7.9% chorioamnionitis and 13.0% fetal growth restriction; 55.3% of newborns were
male, 51.6% preterm, 18.9% small for gestational age and 7.1% malformed. On
average BW and GA were 2581g and 35.6 weeks, respectively. High positive
correlation between GA with PW and BW/PW, and negative with PW/BW was observed (p
<0.001); placental weight and indices were higher in males. Percentiles of PW, BW
/ PW and PW / BW are depicted. Differences with references ranged from 0.46%
13%, 4.91% -12.1% and 5.81% -14% for PW, BW / PW and PW / BW, respectively.
CONCLUSIONS: Percentiles generated are applicable in research on the relationship
of the placenta with perinatal outcomes and health throughout the life cycle.
PMID- 26544057
TI - [Informed concent for emergency medicine research].
AB - Discussions of consent for research in Emergency Medicine and for procedures
during medical emergencies must take into account the nature of both the
specialty and the patients that present to emergency departments. With this
knowledge, it becomes clear that, popular misconceptions to the contrary,
Emergency Medicine research plays a vital role in care, and informed consent (or
waiver for minimal-risk research) remains the standard for most emergency care
research. Indeed, to publish research in peer-reviewed journals requires evidence
of a research ethics committee's approval, which usually means obtaining informed
consent but can also include (in the United States) a waiver or intense review
and ongoing oversight. Such review and oversight, termed Retrospective/Deferred
Consent, is a way of permitting research without prospective informed consent in
the very limited circumstances of life- or limb-threatening diseases or injuries.
Research Ethics Committees only approve Retrospective/Deferred Consent when no
other option exists, when clinical equipoise exists, and when they can carefully
monitor the study. Research performed in such time-sensitive clinical situations,
once banned as unethical, has led to vital lifesaving alterations in medical
practice affecting millions of patients.
PMID- 26544058
TI - [Paraneoplastic dermatomyositis related to breast cancer: rare clinical
association in two patients].
AB - Paraneoplastic dermatomyositis is an inflammatory disease of the connective
tissue that is caused by inmmunologic events in the presence of malignant tumors.
It is more likely to happen in middle aged women and is related to ovarian,
pancreatic, stomach and colon cancer and non Hogdkin lymphoma. We present two
cases of dermatomyositis, with amyopathic origin associated to breast cancer. The
first case occurs as the neoplasia evolves and the second one as an initial
manifestation that leads to the search and subsequent diagnosis of cancer.
PMID- 26544059
TI - [Chronic Duodenitis and Celiac Disease: a path between the nonspecific and the
early stages of Marsh].
AB - Given the advances in diagnosis for CD, some patients are detected with symptoms
and signs of food intolerance, which have positive antibodies and autoantibodies
for coeliac disease, whom present proximal bowel biopsies with chronic
nonspecific duodenitis and are not associated with stages 0 and 1 Marsh. On the
other hand, patients with bloating, abdominal pain, pondostatural delay, negative
antibodies for CD, and chronic nonspecific duodenitis in whom removing cow's milk
or gluten, the symptoms remit. There are also celiac patients with biopsies
before diagnosis, with chronic nonspecific duodenitis. In this paper, we
summarize three brothers with different degrees of chronic duodenitis, one with
chronic nonspecific duodenitis, and two with histopathological sings of coeliac
disease. It is an invitation to think that chronic nonspecific duodenitis in some
patients may be an earlier manifestation of celiac disease.
PMID- 26544060
TI - Correction: Drosophila americana Diapausing Females Show Features Typical of
Young Flies.
PMID- 26544061
TI - Design of Multifunctional Liposomal Nanocarriers for Folate Receptor-Specific
Intracellular Drug Delivery.
AB - As a novel carrier for folate receptor (FR)-targeted intracellular delivery, we
designed two types of targetable liposomal systems using Pep-1 peptide (Pep1) and
folic acid as a cell-penetrating peptide (CPP) and target molecule, respectively.
Folate-linked Pep1 (Fol-Pep1) was synthesized by solid phase peptide synthesis
(SPPS) and verified using (1)H NMR and far-ultraviolet (UV) circular dichroism
(CD). The chimeric ligand (Fol-Pep1)-modified liposome (cF-P-L) was prepared by
coupling Fol-Pep1 to maleimide-derivatized liposomes at various ratios. The dual
ligand (folate and Pep1)-modified liposome (dF/P-L) was prepared by separately
attaching both ligands to the liposomal surface via a short (PEG2000) or long
(PEG3400) linker. The physical and conformational characteristics including
vesicle size, zeta potential, and the number of conjugated ligands were
determined. Intracellular uptake specificities of various fluorescent probe
containing cF-P-L and dF/P-L systems were assessed using FR-positive HeLa and FR
negative HaCaT cells. Cellular uptake behavior was visualized by confocal laser
scanning microscopy (CLSM). Internalization was time-dependent. Fol-Pep1 and Pep
1 cytotoxicities were negligible up to 25 MUM in FR-positive and FR-negative
cells. Empty cF-P-L and dF/P-L were nontoxic at the concentration used. The
optimized dF3/P2(450/90) system carrying 450 PEG3400-linked folate and 90 PEG2000
linked Pep1 molecules could be a good candidate for FR-specific intracellular
drug delivery.
PMID- 26544062
TI - Procarbazine, lomustine and vincristine or temozolomide: which is the better
regimen?
AB - Anaplastic oligodendrogliomas (AOs) are rare brain tumors responsive to
chemotherapy with procarbazine, lomustine (CCNU) and vincristine (PCV),
especially when harboring 1p19q codeletion. However, with the emergence of
temozolomide as an easier to administer and less toxic alternative regimen, PCV
fell out of favor. Now, long-term results of two Phase III studies conceived in
the 1990s, Radiation Therapy Oncology Group (RTOG) 9402 and European Organisation
for Research and Treatment of Cancer (EORTC) 26951, resurrected debate about the
potential role of PCV. No adequately powered prospective trial has compared
chemotherapy alone with PCV versus temozolomide for newly diagnosed 1p19q
codeleted AOs. Available data suggest responses may be both more frequent and
more durable with PCV, and survival may be longer. Which regimen is 'better',
therefore, depends on the importance of different metrics (i.e., toxicity,
complexity, efficacy), and await definitive results from the important ongoing
and recently redesigned CODEL international Phase III trial.
PMID- 26544063
TI - Is there a heart rate paradox in acute heart failure?
AB - BACKGROUND: Higher heart rate predicts higher mortality in chronic heart failure
(HF). We studied the prognostic impact of admission heart rate in acute HF and
analysed the importance of its change during hospitalization. METHODS: Acute HF
patients were studied. Endpoint was all-cause death. Patients were followed-up
for 12 months from hospital admission. Cox-regression analysis was used to study
the association of heart rate (both as a continuous and as a categorical
variable) with mortality. Analysis was stratified according to admission rhythm
and to systolic dysfunction. Multivariate models were built. Patients surviving
hospitalization were additionally cross-classified attending to admission and
discharge heart rates - cut-offs: 100 and 80 beats per minute (bpm),
respectively. RESULTS: We analysed 564 patients. Median age was 78 years and
median admission heart rate 87 bpm. In a 12-month period 205 patients died, 23 in
hospital. Mortality increased steadily with heart rate decrease. Patients with
heart rate >= 100 bpm had a multivariate-adjusted HR of 12-month death of 0.57
(95%CI: 0.39-0.81), and the HR was 0.92 (0.85-0.98) per 10 bpm increase in heart
rate. Association of heart rate with mortality was stronger in patients in sinus
rhythm (SR) and in those with systolic dysfunction. Eighty-seven patients had
admission heart rate >= 100 and discharge heart rate < 80 bpm. In them, death
rate was 14.9%; in the remaining patients it was 37.7%. CONCLUSIONS: Higher
admission heart rate predicted survival advantage in acute HF. Patients
presenting with tachycardia and discharged with a controlled heart rate had
better outcome than those admitted non-tachycardic or discharged with a non
controlled heart rate.
PMID- 26544064
TI - Mobilization of stem and progenitor cells in patients with atrial fibrillation
undergoing circumferential pulmonary vein isolation.
PMID- 26544065
TI - Acute ischemia stroke: A rare and severe complication of ovarian hyperstimulation
syndrome.
PMID- 26544066
TI - Identification and Characterization of the Cyclin-Dependent Kinases Gene Family
in Silkworm, Bombyx mori.
AB - Cyclin-dependent protein kinases (CDKs) play key roles at different checkpoint
regulations of the eukaryotic cell cycle. However, only few studies of
lepidoptera CDK family proteins have been reported so far. In this study, we
performed the cDNA sequencing of 10 members of the CDK family in Bombyx mori.
Gene structure analysis suggested that CDK12 and CDC2L1 owned two and three
isoforms, respectively. Phylogenetic analysis showed that CDK genes in different
species were highly conserved, implying that they evolved independently even
before the split between vertebrates and invertebrates. We found that the
expression levels of BmCDKs in 13 tissues of fifth-instar day 3 larvae were
different: CDK1, CDK7, and CDK9 had a high level of expression, whereas CDK4 was
low-level expressed and was detected only in the testes and fat body cells.
Similar expression profiles of BmCDKs during embryo development were obtained.
Among the variants of CDK12, CDK12 transcript variant A had the highest
expression, and the expression of CDC2L1 transcript variant A was the highest
among the variants of CDC2L1. It was shown from the RNAi experiments that the
silencing of CDK1, CDK10, CDK12, and CDC2L1 could influence the cells from G0/G1
to S phase transition.
PMID- 26544067
TI - UFBP1, a Key Component of the Ufm1 Conjugation System, Is Essential for
Ufmylation-Mediated Regulation of Erythroid Development.
AB - The Ufm1 conjugation system is an ubiquitin-like modification system that
consists of Ufm1, Uba5 (E1), Ufc1 (E2), and less defined E3 ligase(s) and
targets. The biological importance of this system is highlighted by its essential
role in embryogenesis and erythroid development, but the underlying mechanism is
poorly understood. UFBP1 (Ufm1 binding protein 1, also known as DDRGK1, Dashurin
and C20orf116) is a putative Ufm1 target, yet its exact physiological function
and impact of its ufmylation remain largely undefined. In this study, we report
that UFBP1 is indispensable for embryonic development and hematopoiesis. While
germ-line deletion of UFBP1 caused defective erythroid development and embryonic
lethality, somatic ablation of UFBP1 impaired adult hematopoiesis, resulting in
pancytopenia and animal death. At the cellular level, UFBP1 deficiency led to
elevated ER (endoplasmic reticulum) stress and activation of unfolded protein
response (UPR), and consequently cell death of hematopoietic stem/progenitor
cells. In addition, loss of UFBP1 suppressed expression of erythroid
transcription factors GATA-1 and KLF1 and blocked erythroid differentiation from
CFU-Es (colony forming unit-erythroid) to proerythroblasts. Interestingly,
depletion of Uba5, a Ufm1 E1 enzyme, also caused elevation of ER stress and under
expression of erythroid transcription factors in erythroleukemia K562 cells. By
contrast, knockdown of ASC1, a newly identified Ufm1 target that functions as a
transcriptional co-activator of hormone receptors, led to down-regulation of
erythroid transcription factors, but did not elevate basal ER stress.
Furthermore, we found that ASC1 was associated with the promoters of GATA-1 and
Klf1 in a UFBP1-dependent manner. Taken together, our findings suggest that
UFBP1, along with ASC1 and other ufmylation components, play pleiotropic roles in
regulation of hematopoietic cell survival and differentiation via modulating ER
homeostasis and erythroid lineage-specific gene expression. Modulating the
activity of this novel ubiquitin-like system may represent a novel approach to
treat blood-related diseases such as anemia.
PMID- 26544069
TI - Effect of Simvastatin on 5-HT and 5-HTT in a Rat Model of Pulmonary Artery
Hypertension.
AB - BACKGROUND/AIMS: To investigaterole of serotonin (5-HT) and serotonin transporter
(5-HTT) in a rat model of cigarette smoke-induced pulmonary artery hypertension
(PAH) and the effect of statins on regulating 5HT and 5-HTT. METHODS: A rat model
of COPD comorbid with PAH was established by cigarette smoke exposure with or
without simvastatin administration. The smoking and the simvastatin plus smoking
groups were exposed to cigarette smoke daily, and the latter received simvastatin
at 5mg/kg, once a day. After 16 weeks of cigarette smoke exposure, body weight
and mean pulmonary arterial pressure (mPAP) were measured, bronchoalveolar lavage
(BAL) was performed, and lung tissues and blood samples were collected to
determine cardiopulmonary pathology, physiological indices, blood levelof 5-HT
and expression of 5-HTT in the lung. RESULTS: In addition to alveolar structural
damage (COPD-like injury), chronic cigarette smoke exposure lead to pulmonary
artery remodeling and PAH as evidenced by significant elevation of mPAP, RVHI,
WT%and WA%. Cigarette smoke exposure resulted in significant reduction in animal
body weight, and simvastatin significantly prevented smoke-induced weight loss.
The number of inflammatory cells in BALF was dramatically increased in smoke
exposed rats, and simvastatin dampened the number of leukocytes, neutrophils,
lymphocytes, and macrophages. In addition, circulating 5-HTand expression of 5
HTT in the lung were significantly increased in the smoked rats compared to
control rats, and it was significantly reduced by simvastatin. Alteration of BALF
inflammatory cells, 5-HT and 5-HTT was significantly correlated with changes of
mPAP, RVHI, WT% and WA%. CONCLUSIONS: Cigarette smoke exposure could result in
not only COPD, but also PAH, which may attribute to the alteration of blood 5-HT
and lung tissue 5-HTT. Simvastatin could significantly inhibited 5-HT and 5-HTT
expression, and by which mechanism, it may protect animals from development of
PAH.
PMID- 26544070
TI - Changes and Relationships of Climatic and Hydrological Droughts in the Jialing
River Basin, China.
AB - The comprehensive assessment of climatic and hydrological droughts in terms of
their temporal and spatial evolutions is very important for water resources
management and social development in the basin scale. To study the spatial and
temporal changes of climatic and hydrological droughts and the relationships
between them, the SPEI and SDI are adopted to assess the changes and the
correlations of climatic and hydrological droughts by selecting the Jialing River
basin, China as the research area. The SPEI and SDI at different time scales are
assessed both at the entire Jialing River basin and at the regional levels of the
three sub basins. The results show that the SPEI and SDI are very suitable for
assessing the changes and relationships of climatic and hydrological droughts in
large basins. Based on the assessment, for the Jialing River basin, climatic and
hydrological droughts have the increasing tendency during recent several decades,
and the increasing trend of climatic droughts is significant or extremely
significant in the western and northern basin, while hydrological drought has a
less significant increasing trend. Additionally, climatic and hydrological
droughts tend to increase in the next few years. The results also show that on
short time scales, climatic droughts have one or two months lag impact on
hydrological droughts in the north-west area of the basin, and have one month lag
impact in south-east area of the basin. The assessment of climatic and
hydrological droughts based on the SPEI and SDI could be very useful for water
resources management and climate change adaptation at large basin scale.
PMID- 26544068
TI - Microstructural Analysis of Peripheral Lung Tissue through CPMG Inter-Echo Time
R2 Dispersion.
AB - Since changes in lung microstructure are important indicators for (early stage)
lung pathology, there is a need for quantifiable information of diagnostically
challenging cases in a clinical setting, e.g. to evaluate early emphysematous
changes in peripheral lung tissue. Considering alveoli as spherical air-spaces
surrounded by a thin film of lung tissue allows deriving an expression for Carr
Purcell-Meiboom-Gill transverse relaxation rates R2 with a dependence on inter
echo time, local air-tissue volume fraction, diffusion coefficient and alveolar
diameter, within a weak field approximation. The model relaxation rate exhibits
the same hyperbolic tangent dependency as seen in the Luz-Meiboom model and
limiting cases agree with Brooks et al. and Jensen et al. In addition, the model
is tested against experimental data for passively deflated rat lungs: the
resulting mean alveolar radius of RA = 31.46 +/- 13.15 MUm is very close to the
literature value (~34 MUm). Also, modeled radii obtained from relaxometer
measurements of ageing hydrogel foam (that mimics peripheral lung tissue) are in
good agreement with those obtained from MUCT images of the same foam (mean
relative error: 0.06 +/- 0.01). The model's ability to determine the alveolar
radius and/or air volume fraction will be useful in quantifying peripheral lung
microstructure.
PMID- 26544071
TI - Effects of 24 CYP2D6 Variants Found in the Chinese Population on the Metabolism
of Risperidone.
AB - AIMS: Cytochrome P450 (CYP450) 2D6 is an important member of the P450 enzyme
superfamily and responsible for clearing 25% of clinically important drugs. The
aim of this study was to assess the catalytic characteristics of 24 CYP2D6
allelic isoforms found in the Chinese population and their effects on the
metabolism of risperidone in vitro. METHODS: Insect microsomes expressing wild
type CYP2D6 and 24 CYP2D6 allelic variants were incubated with 20-1,000 MUmol/l
risperidone for 40 min at 37 degrees C. After termination, risperidone and 9-OH
risperidone, the metabolite of risperidone, were precipitated and used for signal
collection by ultra-performance liquid-chromatography tandem mass spectrometry.
RESULTS: Among 24 CYP2D6 variants tested, 2 variants (CYP2D6*92 and CYP2D6*96)
were found to be with no detectable activity. Two variants (E215K and R440C)
exhibited higher intrinsic clearance values than the wild-type protein, while the
remaining 20 CYP2D6 allelic variants exhibited significantly decreased clearance
values (2.01-87.56%) compared to CYP2D6*1. CONCLUSION: These findings suggest
that more attention should be directed to subjects carrying these infrequent
CYP2D6 alleles when administering risperidone in the clinic. This is the first
report of all these novel alleles for risperidone metabolism, providing
fundamental data for further clinical studies on CYP2D6 alleles.
PMID- 26544072
TI - Immune Dysfunction in Children with CHARGE Syndrome: A Cross-Sectional Study.
AB - CHARGE syndrome is a variable, multiple congenital malformation syndrome.
Patients with CHARGE syndrome have frequent infections that are presumed to be
due to anatomical anomalies of the craniofacial region and upper airway, and
cranial nerve problems resulting in swallowing difficulties and aspiration. The
possible contribution of immunological abnormalities to these infections has not
been systematically studied even though immune deficiencies have been described
in patients with 22q11.2 deletion syndrome, a condition which shares remarkable
clinical overlap with CHARGE syndrome. We assessed the frequency and nature of
immune dysfunction in 24 children with genetically proven CHARGE syndrome. All
patients, or their parents, completed a questionnaire on infectious history.
Their immune system was extensively assessed through full blood counts,
immunoglobulin levels, lymphocyte subpopulations, peripheral B- and T-cell
differentiation, T-receptor excision circle (TREC) analysis, T-cell function, and
vaccination responses. All CHARGE patients had a history of infections (often
frequent), mainly otitis media and pneumonia, leading to frequent use of
antibiotics and to hospital admissions. Decreased T-cell numbers were found in 12
(50%) patients, presumably caused by insufficient thymic output since TREC
amounts were also diminished in CHARGE patients. Despite normal peripheral B-cell
differentiation and immunoglobulin production in all patients, 83% of patients
had insufficient antibody titers to one or more early childhood vaccinations.
Based on our results, we recommend immunological evaluation of CHARGE patients
with recurrent infections.
PMID- 26544074
TI - Trough Concentrations of Vancomycin in Patients Undergoing Extracorporeal
Membrane Oxygenation.
AB - To investigate the appropriateness of the current vancomycin dosing strategy in
adult patients with extracorporeal membrane oxygenation (ECMO), between March
2013 and November 2013, patients who were treated with vancomycin while on ECMO
were enrolled. Control group consisted of 60 patients on vancomycin without ECMO,
stayed in medical intensive care unit during the same study period and with the
same exclusion criteria. Early trough levels were obtained within the fourth
dosing, and maintenance levels were measured at steady state. A total of 20
patients were included in the analysis in ECMO group. Sixteen patients received
an initial intravenous dose of 1.0 g vancomycin followed by 1.0 g every 12 hours.
The non-steady state trough level of vancomycin after starting administration was
subtherapeutic in 19 patients (95.00%) in ECMO group as compared with 40 patients
(66.67%) in the control group (p = 0.013). Vancomycin clearance was 1.27+/-0.51
mL/min/kg, vancomycin clearance/creatinine clearance ratio was 0.90 +/- 0.37, and
elimination rate constant was 0.12 +/- 0.04 h-1. Vancomycin dosingfrequency and
total daily dose were significantly increased after clinical pharmacokinetic
services of the pharmacist based on calculated pharmacokinetic parameters (from
2.10 +/- 0.72 to 2.90 +/- 0.97 times/day, p = 0.002 and from 32.54 +/- 8.43 to
42.24 +/- 14.62mg/kg, p = 0.014) in ECMO group in contrast with those (from 2.11
+/- 0.69 to 2.37 +/- 0.86 times/day, p = 0.071 and from 33.91 +/- 11.85 to 31.61
+/- 17.50 mg/kg, p = 0.350) in the control group.Although the elimination rate
for vancomycin was similar with population parameter of non ECMO patients, the
current dosing strategy of our institution for vancomycinin our ICU was not
sufficient to achieve the target trough in the initial period in most patients
receiving ECMO.
PMID- 26544073
TI - In Vitro Identification of Histatin 5 Salivary Complexes.
AB - With recent progress in the analysis of the salivary proteome, the number of
salivary proteins identified has increased dramatically. However, the
physiological functions of many of the newly discovered proteins remain unclear.
Closely related to the study of a protein's function is the identification of its
interaction partners. Although in saliva some proteins may act primarily as
single monomeric units, a significant percentage of all salivary proteins, if not
the majority, appear to act in complexes with partners to execute their diverse
functions. Coimmunoprecipitation (Co-IP) and pull-down assays were used to
identify the heterotypic complexes between histatin 5, a potent natural
antifungal protein, and other salivary proteins in saliva. Classical protein
protein interaction methods in combination with high-throughput mass
spectrometric techniques were carried out. Co-IP using protein G magnetic
Sepharose TM beads suspension was able to capture salivary complexes formed
between histatin 5 and its salivary protein partners. Pull-down assay was used to
confirm histatin 5 protein partners. A total of 52 different proteins were
identified to interact with histatin 5. The present study used proteomic
approaches in conjunction with classical biochemical methods to investigate
protein-protein interaction in human saliva. Our study demonstrated that when
histatin 5 is complexed with salivary amylase, one of the 52 proteins identified
as a histatin 5 partner, the antifungal activity of histatin 5 is reduced. We
expected that our proteomic approach could serve as a basis for future studies on
the mechanism and structural-characterization of those salivary protein
interactions to understand their clinical significance.
PMID- 26544075
TI - Evaluation of Multi-tRNA Synthetase Complex by Multiple Reaction Monitoring Mass
Spectrometry Coupled with Size Exclusion Chromatography.
AB - Eight aminoacyl-tRNA synthetases (M, K, Q, D, R, I, EP and LARS) and three
auxiliary proteins (AIMP1, 2 and 3) are known to form a multi-tRNA synthetase
complex (MSC) in mammalian cells. We combined size exclusion chromatography (SEC)
with reversed-phase liquid chromatography multiple reaction monitoring mass
spectrometry (RPLC-MRM-MS) to characterize MSC components and free ARS proteins
in human embryonic kidney (HEK 293T) cells. Crude cell extract and affinity
purified proteins were fractionated by SEC in non-denaturing state and ARSs were
monitored in each fraction by MRM-MS. The eleven MSC components appeared mostly
in earlier SEC fractions demonstrating their participation in complex formation.
TARSL2 and AIMP2-DX2, despite their low abundance, were co-purified with KARS and
detected in the SEC fractions, where MSC appeared. Moreover, other large complex
forming ARS proteins, such as VARS and FARS, were detected in earlier fractions.
The MRM-MS results were further confirmed by western blot analysis. Our study
demonstrates usefulness of combined SEC-MRM analysis for the characterization of
protein complexes and in understanding the behavior of minor isoforms or variant
proteins.
PMID- 26544077
TI - Novel Multidisciplinary Management of a Retropharyngeal Hematoma With Pulmonary
Stenting.
AB - Retropharyngeal hematomas (RHs) represents a rare airway obstruction that
requires timely intervention to avoid a fatal outcome. Further complicating this
malady, RHs of massive proportions can complicate the decision of management
selection. After comprehensive literature search, there has been no mention of
pulmonary stenting as an intervention for RH. The following case presentation
will demonstrate the importance of multidisciplinary management of a 60-year-old
presenting with a RH causing airway obstruction, with the use of a novel
approach. Airway stenting is a novel, conservative approach for successfully
managing patients presenting with massive RH.
PMID- 26544076
TI - Cecropins from Plutella xylostella and Their Interaction with Metarhizium
anisopliae.
AB - Cecropins are the most potent induced peptides to resist invading microorganisms.
In the present study, two full length cDNA encoding cecropin2 (Px-cec2) and
cecropin3 (Px-cec3) were obtained from P. xylostella by integrated analysis of
genome and transcriptome data. qRT-PCR analysis revealed the high levels of
transcripts of Px-cecs (Px-cec1, Px-cec2 and Px-cec3) in epidermis, fat body and
hemocytes after 24, 30 and 36 h induction of Metarhizium anisopliae,
respectively. Silencing of Spatzle and Dorsal separately caused the low
expression of cecropins in the fat body, epidermis and hemocytes, and made the
P.xylostella larvae more susceptible to M. anisopliae. Antimicrobial assays
demonstrated that the purified recombinant cecropins, i.e., Px-cec1, Px-cec2 and
Px-cec3, exerted a broad spectrum of antimicrobial activity against fungi, as
well as Gram-positive and Gram-negative bacteria. Especially, Px-cecs showed
higher activity against M. anisopliae than another selected fungi isolates.
Scanning electron microscopy (SEM) and transmission electron microscopy (TEM)
revealed that cecropins exerted the vital morphological alterations to the spores
of M. anisopliae. Based on our results, cecropins played an imperative role in
resisting infection of M. anisopliae, which will provide the foundation of
biological control of insect pests by using cecorpins as a target in the future.
PMID- 26544078
TI - Self-Powered, High-Speed and Visible-Near Infrared Response of MoO(3-x)/n-Si
Heterojunction Photodetector with Enhanced Performance by Interfacial
Engineering.
AB - Photodetectors with a wide spectrum response are important components for
sensing, imaging, and other optoelectronic applications. A molybdenum oxide
(MoO(3-x))/Si heterojunction has been applied as solar cells with great success,
but its potential in photodetectors has not been explored yet. Herein, a self
powered, high-speed heterojunction photodetector fabricated by coating an n-type
Si hierarchical structure with an ultrathin hole-selective layer of molybdenum
oxide (MoO(3-x)) is first investigated. Excellent and stable photoresponse
performance is obtained by using a methyl group passivated interface. The
heterojunction photodetector demonstrated high sensitivity to a wide spectrum
from 300 to 1100 nm. The self-powered photodetector shows a high detectivity of
(~6.29 * 10(12) cmHz(1/2) W(-1)) and fast response time (1.0 MUs). The excellent
photodetecting performance is attributed to the enhanced interfacial barrier
height and three-dimensional geometry of Si nanostructures, which is beneficial
for efficient photocarrier collection and transportation. Finally, our devices
show excellent long-term stability in air for 6 months with negligible
performance degradation. The thermal evaporation method for large-scale
fabrication of MoO(3-x)/n-Si photodetectors makes it suitable for self-powered,
multispectral, and high-speed response photodetecting applications.
PMID- 26544079
TI - Reduction of the number of fetuses for women with a multiple pregnancy.
AB - BACKGROUND: When couples are faced with the dilemma of a higher-order multiple
pregnancy there are three options. Termination of the entire pregnancy has
generally not been acceptable to women, especially for those with a past history
of infertility. Attempting to continue with all the fetuses is associated with
inherent problems of preterm birth, survival and long-term morbidity. The other
alternative relates to reduction in the number of fetuses by selective
termination. The acceptability of these options for the couple will depend on
their social background and underlying beliefs. This review focused on reduction
in the number of fetuses. OBJECTIVES: To assess a policy of multifetal reduction
with a policy of expectant management of women with a multiple pregnancy. SEARCH
METHODS: We searched the Cochrane Pregnancy and Childbirth Group's Trials
Register (31 July 2015). SELECTION CRITERIA: Randomised controlled trials with
reported data that compared outcomes in mothers and babies who were managed
expectantly with outcomes in women who underwent selective fetal reduction of a
multiple pregnancy. DATA COLLECTION AND ANALYSIS: We planned that two review
authors would independently assess trials for inclusion and risk of bias, extract
data and check them for accuracy. However, no randomised trials were identified.
MAIN RESULTS: There were no randomised controlled trials identified. AUTHORS'
CONCLUSIONS: We found no available data from randomised trials to inform the
risks and benefits of pregnancy reduction procedures for women with a multiple
pregnancy. While randomised controlled trials will provide the most reliable
evidence about the risks and benefits of fetal reduction procedures, reduction in
the number of fetuses by selective termination may not be acceptable to women,
particularly couples with a past history of infertility. The acceptability of
this option, and willingness to undergo randomisation will depend on the couple's
social background and beliefs, and consequently, recruitment to such a trial may
prove exceptionally difficult.
PMID- 26544080
TI - Reevaluating the weekend effect on patients with hydrocephalus undergoing
operative shunt intervention.
AB - OBJECT Recently published data have suggested an increase in adverse outcomes in
pediatric patients after insertion or revision of a ventricular CSF diversion
shunt after a same-day weekend procedure. The authors undertook an evaluation of
the impact of weekend admission and time to shunting on surgery-related quality
outcomes in pediatric patients who underwent ventricular shunt insertion or
revision. METHODS Pediatric patients with hydrocephalus who underwent
ventriculoperitoneal, ventriculoatrial, or ventriculopleural shunt placement were
selected from the 2000-2010 Nationwide Inpatient Sample and Kids' Inpatient
Database. Multivariate regression analyses (adjusted for patient, hospital, case
severity, and time to shunting) were used to determine the differences in
inpatient mortality and routine discharge rates among patients admitted on a
weekday versus those among patients admitted on a weekend. RESULTS There were
99,472 pediatric patients with shunted hydrocephalus, 16% of whom were admitted
on a weekend. After adjustment for disease severity, time to procedure, and
admission acuity, weekend admission was not associated with an increase in the
inpatient mortality rate (p = 0.46) or a change in the percentage of routine
discharges (p = 0.98) after ventricular shunt procedures. In addition,
associations were unchanged after an evaluation of patients who underwent shunt
revision surgery. High-volume centers were incidentally noted in multivariate
analysis to have increased rates of routine discharge (OR 1.04 [95% CI 1.01
1.07]; p = 0.02). CONCLUSIONS Contrary to those of previous studies, the authors'
data suggest that weekend admission is not associated with poorer outcomes for
ventricular shunt insertion or revision. Increased rates of routine discharge
were noted at high-volume centers.
PMID- 26544081
TI - Pediatric skull base reconstruction: case report of a tunneled temporoparietal
fascia flap.
AB - The authors of this report present a pediatric case involving the use of a
tunneled temporoparietal fascia flap to reconstruct a skull base defect for a
multiply recurrent clival chordoma and cerebrospinal fluid leak, demonstrate the
surgical technique through illustrations and intraoperative photos, and review
the pertinent literature. A 9-year-old female patient underwent extensive clival
chordoma resection via both the endoscopic and open approaches, which ultimately
exhausted the bilateral nasoseptal flaps and other intranasal reconstructive
options. Following proton beam radiation and initiation of chemotherapy, tumor
recurrence was managed with further endoscopic resection, which was complicated
by a recalcitrant cerebrospinal fluid leak. A tunneled temporoparietal fascia
flap was used to provide vascular tissue to augment an endoscopic repair of the
leak and reconstruction of the skull base. While the nasoseptal flap remains the
workhorse for many pediatric and adult endoscopic skull base reconstructions, the
tunneled temporoparietal fascia flap has a demonstrated efficacy in adults when
the nasoseptal flap and other intranasal flaps are unavailable. This report
documents a pediatric case, serving as a step toward establishing this technique
in the pediatric population.
PMID- 26544082
TI - Editorial: Predicting shunt failure in children.
PMID- 26544083
TI - Predicting shunt failure in children: should the global shunt revision rate be a
quality measure?
AB - OBJECT: Ventricular shunts for pediatric hydrocephalus continue to be plagued
with high failure rates. Reported risk factors for shunt failure are inconsistent
and controversial. The raw or global shunt revision rate has been the foundation
of several proposed quality metrics. The authors undertook this study to
determine risk factors for shunt revision within their own patient population.
METHODS: In this single-center retrospective cohort study, a database was created
of all ventricular shunt operations performed at the authors' institution from
January 1, 2010, through December 2013. For each index shunt surgery,
demographic, clinical, and procedural variables were assembled. An "index
surgery" was defined as implantation of a new shunt or the revision or
augmentation of an existing shunt system. Bivariate analyses were first performed
to evaluate individual effects of each independent variable on shunt failure at
90 days and at 180 days. A final multivariate model was chosen for each outcome
by using a backward model selection approach. RESULTS: There were 466 patients in
the study accounting for 739 unique ("index") operations, for an average of 1.59
procedures per patient. The median age for the cohort at the time of the first
shunt surgery was 5 years (range 0-35.7 years), with 53.9% males. The 90- and 180
day shunt failure rates were 24.1% and 29.9%, respectively. The authors found no
variable-demographic, clinical, or procedural-that predicted shunt failure within
90 or 180 days. CONCLUSIONS: In this study, none of the risk factors that were
examined were statistically significant in determining shunt failure within 90 or
180 days. Given the negative findings and the fact that all other risk factors
for shunt failure that have been proposed in the literature thus far are beyond
the control of the surgeon (i.e., nonmodifiable), the use of an institution's or
individual's global shunt revision rate remains questionable and needs further
evaluation before being accepted as a quality metric.
PMID- 26544084
TI - Trends in hospitalization of preterm infants with intraventricular hemorrhage and
hydrocephalus in the United States, 2000-2010.
AB - OBJECT Even with improved prenatal and neonatal care, intraventricular hemorrhage
(IVH) occurs in approximately 25%-30% of preterm infants, with a subset of these
patients developing hydrocephalus. This study was undertaken to describe current
trends in hospitalization of preterm infants with posthemorrhagic hydrocephalus
(PHH) using the Nationwide Inpatient Sample (NIS) and the Kids' Inpatient
Database (KID). METHODS The KID and NIS were combined to generate data for the
years 2000-2010. All neonatal discharges with ICD-9-CM codes for preterm birth
with IVH alone or with IVH and hydrocephalus were included. RESULTS There were
147,823 preterm neonates with IVH, and 9% of this group developed hydrocephalus
during the same admission. Of patients with Grade 3 and 4 IVH, 25% and 28%,
respectively, developed hydrocephalus in comparison with 1% and 4% of patients
with Grade 1 and 2 IVH, respectively. Thirty-eight percent of patients with PHH
had permanent ventricular shunts inserted. Mortality rates were 4%, 10%, 18%, and
40%, respectively, for Grade 1, 2, 3, and 4 IVH during initial hospitalization.
Length of stay has been trending upward for both groups of IVH (49 days in 2000,
56 days in 2010) and PHH (59 days in 2000, 70 days in 2010). The average hospital
cost per patient (adjusted for inflation) has also increased, from $201,578 to
$353,554 (for IVH) and $260,077 to $495,697 (for PHH) over 11 years. CONCLUSIONS
The number of neonates admitted with IVH has increased despite a decrease in the
number of preterm births. Rates of hydrocephalus and mortality correlated closely
with IVH grade. The incidence of hydrocephalus in preterm infants with IVH
remained stable between 8% and 10%. Over an 11-year period, there was a
progressive increase in hospital cost and length of stay for preterm neonates
with IVH and PHH that may be explained by a concurrent increase in the proportion
of patients with congenital cardiac anomalies.
PMID- 26544085
TI - WITHDRAWN: Intravesical Bacillus Calmette-Guerin versus mitomycin C for Ta and T
I bladder cancer.
PMID- 26544086
TI - Notice of Retraction: Ramipril Markedly Improves Walking Ability in Patients With
Peripheral Arterial Disease.
PMID- 26544087
TI - Two Types of Water at the Water-Surfactant Interface Revealed by Time-Resolved
Vibrational Spectroscopy.
AB - The surfactant sodium dodecyl sulfate (SDS) is widely used as a detergent for
both domestic and industrial applications. It forms a self-assembled monolayer on
the surface of water. We report a microscopic model for the interaction between
the surfactant and water and between water molecules at the interface, revealed
using static and time-resolved two-dimensional sum frequency generation
spectroscopy. Two distinct subensembles of water in the presence of this
negatively charged SDS surfactant have been identified: those close to the SDS
headgroup having fairly isolated O-H groups, i.e., localized O-H stretch
vibrations, and those whose O-H stretch vibrations are delocalized, i.e., shared
between multiple O-H bonds. The two subensembles are coupled, with subpicosecond
energy transfer occurring between them. This is markedly different from O-H bonds
at the air-water interface, which are less heterogeneous, and indicates that the
water molecules that interact with the surfactant headgroups have hydrogen
bonding properties different from those of water molecules interacting with the
other water molecules.
PMID- 26544088
TI - Homology Model-Based Virtual Screening for the Identification of Human Helicase
DDX3 Inhibitors.
AB - Targeting cellular cofactors instead of viral enzymes represents a new strategy
to combat infectious diseases, which should help to overcome the problem of viral
resistance. Recently, it has been revealed that the cellular ATPase/RNA helicase
X-linked DEAD-box polypeptide 3 (DDX3) is an essential host factor for the
replication of several viruses such as HIV, HCV, JEV, Dengue, and West Nile.
Accordingly, a drug targeting DDX3 could theoretically inhibit all viruses that
are dependent on this host factor. Herein, for the first time, a model of hDDX3
in its closed conformation, which binds the viral RNA was developed by using the
homology module of Prime through the Maestro interface of Schrodinger. Next, a
structure-based virtual screening protocol was applied to identify DDX3 small
molecule inhibitors targeting the RNA binding pocket. As a result, an impressive
hit rate of 40% was obtained with the identification of 10 active compounds out
of the 25 tested small molecules. The best poses of the active ligands
highlighted the crucial residues to be targeted for the inhibition of the
helicase activity of DDX3. The obtained results confirm the reliability of the
constructed DDX3/RNA model and the proposed computational strategy for
investigating novel DDX3 inhibitors.
PMID- 26544089
TI - Potentiation Effects of Half-Squats Performed in a Ballistic or Nonballistic
Manner.
AB - This study examined and compared the acute effects of ballistic and nonballistic
concentric-only half-squats (COHSs) on squat jump performance. Fifteen resistance
trained men performed a squat jump 2 minutes after a control protocol or 2 COHSs
at 90% of their 1 repetition maximum (1RM) COHS performed in a ballistic or
nonballistic manner. Jump height (JH), peak power (PP), and allometrically scaled
peak power (PPa) were compared using three 3 * 2 repeated-measures analyses of
variance. Statistically significant condition * time interaction effects existed
for JH (p = 0.037), PP (p = 0.041), and PPa (p = 0.031). Post hoc analysis
revealed that the ballistic condition produced statistically greater JH (p =
0.017 and p = 0.036), PP (p = 0.031 and p = 0.026), and PPa (p = 0.024 and p =
0.023) than the control and nonballistic conditions, respectively. Small effect
sizes for JH, PP, and PPa existed during the ballistic condition (d = 0.28-0.44),
whereas trivial effect sizes existed during the control (d = 0.0-0.18) and
nonballistic (d = 0.0-0.17) conditions. Large statistically significant
relationships existed between the JH potentiation response and the subject's
relative back squat 1RM (r = 0.520; p = 0.047) and relative COHS 1RM (r = 0.569;
p = 0.027) during the ballistic condition. In addition, large statistically
significant relationship existed between JH potentiation response and the
subject's relative back squat strength (r = 0.633; p = 0.011), whereas the
moderate relationship with the subject's relative COHS strength trended toward
significance (r = 0.483; p = 0.068). Ballistic COHS produced superior
potentiation effects compared with COHS performed in a nonballistic manner.
Relative strength may contribute to the elicited potentiation response after
ballistic and nonballistic COHS.
PMID- 26544090
TI - Postpartum Teenagers' Views on Providing Contraception in School-Based Health
Clinics.
AB - BACKGROUND: To determine characteristics of teen pregnancies in southeast Texas
and the opinions of postpartum teenagers with regard to having contraceptive
services available in high school clinics. METHODS: A cross-sectional study of
postpartum teenagers interviewed during their hospital stay. RESULTS: Of 404
postpartum teenagers interviewed, 86% had unplanned pregnancies. Approximately
53% of respondents first had intercourse at less than 16 years of age. Of the 130
teenagers who had used contraception prior to pregnancy, 85% became pregnant
because they were unable to visit the clinic to obtain a contraceptive refill or
replacement. In multivariate modeling, factors associated with using
contraceptives prior to pregnancy included black race (p < .001) and more than 1
previous pregnancy (p < .001). Variables associated with having an unplanned
pregnancy included having discussed contraceptives at home or school (p = 0.049).
Of the 404 postpartum teenagers surveyed, 223 (82%) were in favor of having
contraceptive services offered in high school clinics. CONCLUSIONS: Contraceptive
education is not sufficient to prevent teenage pregnancy. Increase in access is
critical as teenagers with previous pregnancies were more likely to use
contraception, likely due to their interaction with the medical community during
the antecedent pregnancy. One possible solution is to bring contraceptive
services to the teenagers, by offering them at school based health systems. A
majority of teenagers surveyed in this study supported this proposal.
PMID- 26544091
TI - Parental and Child Characteristics Related to Early-Onset Disordered Eating: A
Systematic Review.
AB - LEARNING OBJECTIVES: After participating in this activity, learners should be
better able to: Evaluate the evidence regarding parental and child
characteristics related to early-onset disordered eating. ABSTRACT: Eating
disorders are rare in children, but disordered eating is common. Understanding
the phenomenology of disordered eating in childhood can aid prevention of full
blown eating disorders. The purpose of this review is to systematically extract
and synthesize the evidence on parental and child characteristics related to
early-onset disordered eating. Systematic searches were conducted in
PubMED/MEDLINE, EMBASE, and PsycInfo using the following search terms: eating
disorder, disordered eating, problem eating, anorexia nervosa, bulimia nervosa,
binge eating, child, preadolescent, and early onset. Studies published from 1990
to 2013 addressing parental and child characteristics of disordered eating in
children aged 6 to 12 years were eligible for inclusion. The search was
restricted to studies with cross-sectional, case-control, or longitudinal
designs, studies in English, and with abstracts available. Forty-four studies fit
these criteria. Most studies were based on community samples with a cross
sectional design. The included studies varied considerably in size, instruments
used to assess early-onset disordered eating, and parental and child
characteristics investigated. Important determinants included the following:
higher body weight, previously reported disordered eating, body dissatisfaction,
depression, parental disordered eating, and parental comments/concerns about
child's weight and eating. The findings were inconsistent for sex, age,
socioeconomic status, ethnicity, self-esteem/worth, and parental body weight. In
conclusion, characteristics related to early-onset disordered eating have mainly
been explored with a cross-sectional design. Full understanding of causal
pathways will require good-quality longitudinal studies designed to address the
influence of parental eating behaviors, mental and physical health, family
interactions, and child growth patterns.
PMID- 26544092
TI - Virginia Tech as a Sentinel Event: The Role of Psychiatry in Managing Emotionally
Troubled Students on College and University Campuses.
AB - This article reviews the role of psychiatry in colleges and universities, and
argues that psychiatrists are significantly underutilized as consultants and
educators in managing emotionally troubled students. Focusing on the 2007
Virginia Tech mass shooting case as a sentinel event, the article outlines mental
health issues facing post-secondary institutions and legal issues related to
psychiatric services, including the following: the increased need for psychiatric
services on campus; communication challenges among campus groups involved in
managing high-risk students; efforts to balance patient confidentiality with
public safety; confusion over privacy laws; and the changing role of the campus
psychiatrist. An important conclusion is that psychiatrists working in campus
settings have distinctive, vital skill sets that enable them to go far beyond
their traditional roles of psychiatric evaluation and treatment and to serve in
critical leadership, educational, and consultative capacities to benefit both
emotionally troubled students and the wider campus community.
PMID- 26544093
TI - Consumer Information and Treatment Resources for Posttraumatic Stress Disorder:
Within Reach but Not Grasp.
AB - In the context of multiple treatment options for posttraumatic stress disorder
(PTSD) and a large, growing need for consumer information regarding accessible
and effective treatments, this article identifies and reviews available
information and treatment resources. Multiple search strategies identified a
suite of information sources, including meta-analyses and systematic reviews of
PTSD treatments, the program evaluation and implementation literature, the
economics literature, Internet sites, and other resources for veteran and
civilian consumers. Resources were evaluated with regard to their target
audiences, depth and breadth of treatment options covered, nature of the
information provided, and accessibility to consumers. A large body of research
covers the various treatments and sets of treatment guidelines for PTSD. Despite
the extensive scientific information targeted at providers and researchers, the
quality, accessibility, and usability of the published research varies widely.
The Veterans Health Administration provides the most extensive information on
various treatment options and where to obtain treatment within that system.
Publicly available websites provide information on multiple treatment options,
but information to help nonveterans navigate treatment choices is limited.
Published reports of PTSD program-evaluation and implementation studies are
sparse. Information on PTSD treatment options available to consumers can be
overwhelming and confusing, which places an unnecessary burden on an already
vulnerable group of patients and their families. Exacerbating the situation is
the shortage of program-evaluation and implementation research. The dearth of
centralized and accessible information related to nonveteran PTSD patient groups
needs to be addressed.
PMID- 26544094
TI - Analysis of cytotoxicity and genotoxicity on E. coli, human blood cells and
Allium cepa suggests a greater toxic potential of hair dye.
AB - Pharmaceuticals and personal care products (PPCPs) are among the most important
emerging environmental contaminants in recent time. PPCPs include wide range of
cosmetics, among which hair dyes, are immensely popular in modern society.
However, impact of hair dye and its residual discharged to the environment in
relation to human health and ecological imbalance have not been widely studied.
Based on the result of initial survey among the group of populations of eastern
India, three most popular and commonly used permanent hair dyes are selected.
Working sample of dye is prepared as recommended on the instructions booklet of
the hair dye. The effect of three dyes is studied on Escherichia coli, human red
blood cells (RBC), white blood cells (WBC) and Allium cepa bulbs by growth
inhibition, hemolysis, 3-(4, 5-dimethylthiazolyl-2)-2, 5-diphenyltetrazolium
bromide (3-(4,5-dimethylthiazolyl-2)-2,5-diphenyltetrazolium bromide (MTT) assay
and A. cepa micronuclei assays respectively. The Lethal dose (LD) demonstrated
significant differences among three dyes and the model systems. In vitro
hemolytic assays performed on RBC, and MTT assays on WBC show the cytotoxic
effects of hair dye. Significant growth inhibition of E. coli has also been
noted. In addition, the root tips of A. cepa treated with the dye have shown
major chromosomal abnormalities coupled with cell division retardation. Here low
mitotic index confirm cell division retardation. Finally, results of in vitro
studies of dye-DNA interactions demonstrate electrostatic interaction. Combing
all these results it confirms that hair dyes are cytotoxic and may cause
mutagenic effect on living cells irrespective of microbes, plant and animal
system.
PMID- 26544095
TI - Correlation of spleen metabolism assessed by 18F-FDG PET with serum interleukin-2
receptor levels and other biomarkers in patients with untreated sarcoidosis.
AB - BACKGROUND: The objective of our study was to assess the possible relationship
between splenic F-18-fluorodeoxyglucose (18F-FDG) uptake and other established
biochemical markers of sarcoidosis activity. PATIENTS AND METHODS: Thirty
treatment-naive sarcoidosis patients were prospectively enrolled in this study.
They underwent biochemical laboratory tests, including serum interleukin-2
receptor (sIL-2R), serum C-reactive protein, serum angiotensin-I converting
enzyme, and 24-h urine calcium levels, and a whole-body combined 18F-FDG
PET/computed tomography (PET/CT) scan as a part of an ongoing study at our
institute. These biomarkers were statistically compared in these patients.
RESULTS: A statistically significant linear dependence was detected between sIL
2R and log-transformed spleen-average standard uptake value (SUV avg) (R2=0.488,
P<0.0001) and log-transformed spleen-maximum standard uptake value (SUV max)
(R2=0.490, P<0.0001). sIL-2R levels and splenic size correlated linearly
(Pearson's r=0.373, P=0.042). Multivariate linear regression analysis revealed
that this correlation remained significant after age and sex adjustment
(beta=0.001, SE=0.001, P=0.024). No statistically significant associations were
detected between (a) any two serum biomarkers or (b) between spleen-SUV
measurements and any serum biomarker other than sIL-2R. CONCLUSION: Our analysis
revealed an association between sIL-2R levels and spleen 18F-FDG uptake and size,
whereas all other serum biomarkers were not significantly associated with each
other or with PET 18F-FDG uptake. Our results suggest that splenic inflammation
may be related to the systemic inflammatory response in sarcoidosis that may be
associated with elevated sIL-2R levels.
PMID- 26544096
TI - Is there a correlation between planar scintigraphy after 99mTc-MAA and 90Y
administration?
PMID- 26544097
TI - Efficacy of 18F-fluorodeoxyglucose positron emission tomography/computed
tomography as a predictor of response in locally advanced non-small-cell
carcinoma of the lung.
AB - OBJECTIVE: The study assessed the role of (18)F-fluorodeoxyglucose ((18)F-FDG)
Positron emission tomography (PET)/computed tomography (CT) in evaluating the
prognostic value of metabolic response for progression-free survival (PFS) and
overall survival (OS) in patients with locally advanced non-small-cell lung
cancer (NSCLC). PATIENTS AND METHODS: Thirty patients with locally advanced NSCLC
were enrolled in this prospective study and randomly allocated to one of two
treatment arms. Arm A (n=15) received two cycles of neoadjuvant chemotherapy
[paclitaxel (200 mg/m(2)) and carboplatin (AUC5)] and external beam radiotherapy
(60 Gy/30 fractions/6 weeks). Arm B (n=15) received the same neoadjuvant
chemotherapy followed by external beam radiotherapy (48 Gy/20 fractions/4 weeks)
with concomitant cisplatin 30 mg/m(2) weekly. Patients underwent (18)F-FDG PET/CT
at baseline and after 6 weeks of completion of intended treatment. Pretreatment
and post-treatment maximum standardized uptake values (SUVmax) were noted.
Patients with a reduction of SUVmax more than 50% were considered to be metabolic
responders and those with a reduction 50% or less as nonresponders. Median follow
up was 18.98 months. RESULTS: Twenty-one patients completed the intended
treatment. The median pretreatment and post-treatment SUVmax values were 14 and
6.4 for arm A and 15.3 and 3.5 for arm B, respectively. Significant decrease in
SUVmax was observed in both arms. Metabolic response in arm A and arm B was 50
and 64%, respectively. The median PFS and OS of the responders were 22.31 and
24.73 months and those for nonresponders were 7.83 and 8.26 months, respectively.
No significant difference in OS and PFS was observed between responders and
nonresponders in the two arms. CONCLUSION: PET/CT distinguishes responders from
nonresponders early after completion of chemoradiation in patients with locally
advanced NSCLC, but did not provide any prognostic significance.
PMID- 26544098
TI - Mild-to-moderate hyperglycemia will not decrease the sensitivity of 18F-FDG PET
imaging in the detection of pedal osteomyelitis in diabetic patients.
AB - PURPOSE: Pedal osteomyelitis is a worrisome complication of diabetic foot
disease. Controlling serum glucose levels is difficult for many diabetic
patients. High serum glucose levels could potentially affect the results of
fluorine-18 fluorodeoxyglucose (18F-FDG) PET imaging in the detection of
osteomyelitis. The aim of this investigation was to determine whether high serum
glucose levels diminish the diagnostic accuracy of 18F-FDG PET imaging in
detecting pedal osteomyelitis in diabetic patients. MATERIALS AND METHODS: Forty
eight consecutive diabetic patients with a suspicion of pedal osteomyelitis were
included in this investigation. At the time of 18F-FDG administration, 21
patients had serum glucose levels less than 150 mg/dl (group A), and 27 had serum
glucose levels greater than 150 mg/dl (group B). Results of PET imaging were
compared with final diagnostic outcome based on histopathology and/or 12 months'
clinical follow-up. RESULTS: Osteomyelitis was confirmed in 17 patients. 18F-FDG
PET correctly detected osteomyelitis in 15 of 17 patients for a sensitivity of
88.3% (15/17). This technique successfully excluded osteomyelitis in 30 of 31
cases for a specificity of 96.8% (30/31) and an overall accuracy of 93.8%
(45/48). The sensitivity of 18F-FDG PET imaging was 87.5% (7/8) in patients with
serum glucose levels less than 150 mg/dl (group A) and 88.9% (8/9) in patients
with serum glucose levels greater than 150 mg/dl (group B). These results do not
significantly differ from the overall sensitivity of 88.3% (15/17). CONCLUSION:
Mildly to moderately elevated serum glucose levels do not adversely affect the
accuracy of 18F-FDG PET imaging in the detection of pedal osteomyelitis in
diabetic patients.
PMID- 26544099
TI - A Wearable All-Solid Photovoltaic Textile.
AB - A solution is developed to power portable electronics in a wearable manner by
fabricating an all-solid photovoltaic textile. In a similar way to plants
absorbing solar energy for photosynthesis, humans can wear the as-fabricated
photovoltaic textile to harness solar energy for powering small electronic
devices.
PMID- 26544100
TI - Increased mercury release from dental amalgam restorations after exposure to
electromagnetic fields as a potential hazard for hypersensitive people and
pregnant women.
AB - Over the past decades, the use of common sources of electromagnetic fields such
as Wi-Fi routers and mobile phones has been increased enormously all over the
world. There is ongoing concern that exposure to electromagnetic fields can lead
to adverse health effects. It has recently been shown that even low doses of
mercury are capable of causing toxicity. Therefore, efforts are initiated to
phase down or eliminate the use of mercury amalgam in dental restorations.
Increased release of mercury from dental amalgam restorations after exposure to
electromagnetic fields such as those generated by MRI and mobile phones has been
reported by our team and other researchers. We have recently shown that some of
the papers which reported no increased release of mercury after MRI, may have
some methodological errors. Although it was previously believed that the amount
of mercury released from dental amalgam cannot be hazardous, new findings
indicate that mercury, even at low doses, may cause toxicity. Based on recent
epidemiological findings, it can be claimed that the safety of mercury released
from dental amalgam fillings is questionable. Therefore, as some individuals tend
to be hypersensitive to the toxic effects of mercury, regulatory authorities
should re-assess the safety of exposure to electromagnetic fields in individuals
with amalgam restorations. On the other hand, we have reported that increased
mercury release after exposure to electromagnetic fields may be risky for the
pregnant women. It is worth mentioning that as a strong positive correlation
between maternal and cord blood mercury levels has been found in some studies,
our findings regarding the effect of exposure to electromagnetic fields on the
release of mercury from dental amalgam fillings lead us to this conclusion that
pregnant women with dental amalgam fillings should limit their exposure to
electromagnetic fields to prevent toxic effects of mercury in their fetuses.
Based on these findings, as infants and children are more vulnerable to mercury
exposures, and as some individuals are routinely exposed to different sources of
electromagnetic fields, we possibly need a paradigm shift in evaluating the
health effects of amalgam fillings.
PMID- 26544101
TI - Phosphene perception is due to the ultra-weak photon emission produced in various
parts of the visual system: glutamate in the focus.
AB - Phosphenes are experienced sensations of light, when there is no light causing
them. The physiological processes underlying this phenomenon are still not well
understood. Previously, we proposed a novel biopsychophysical approach concerning
the cause of phosphenes based on the assumption that cellular endogenous ultra
weak photon emission (UPE) is the biophysical cause leading to the sensation of
phosphenes. Briefly summarized, the visual sensation of light (phosphenes) is
likely to be due to the inherent perception of UPE of cells in the visual system.
If the intensity of spontaneous or induced photon emission of cells in the visual
system exceeds a distinct threshold, it is hypothesized that it can become a
conscious light sensation. Discussing several new and previous experiments, we
point out that the UPE theory of phosphenes should be really considered as a
scientifically appropriate and provable mechanism to explain the physiological
basis of phosphenes. In the present paper, we also present our idea that some
experiments may support that the cortical phosphene lights are due to the
glutamate-related excess UPE in the occipital cortex.
PMID- 26544102
TI - Semi-purification procedures of prions from a prion-infected brain using sucrose
has no influence on the nonenzymatic glycation of the disease-associated prion
isoform.
AB - Previous studies have shown that the Nepsilon-carboxymethyl group is linked to
not only one or more N-terminal Lys residues but also to one or more Lys residues
of the protease-resistant core region of the pathogenic prion isoform (PrPSc) in
prion-infected brains. Using an anti-advanced glycation end product (AGE)
antibody, we detected nonenzymatically glycated PrPSc (AGE-PrPSc) in prion
infected brains following concentration by a series of ultracentrifugation steps
with a sucrose cushion. In the present study, the levels of in vitro nonenzymatic
glycation of PrPSc using sucrose were investigated to determine whether sucrose
cushion can artificially and nonenzymatically induce in vitro glycation during
ultracentrifugation. The first insoluble pellet fraction following the first
ultracentrifugation (PU1st) collected from 263K scrapie-infected brains was
incubated with sucrose, glucose or colloidal silica coated with
polyvinylpyrrolidone (percoll). None of the compounds in vitro resulted in AGE
PrPSc. Nonetheless, glucose and percoll produced AGEs in vitro from other
proteins within PU1st of the infected brains. This reaction could lead to the AGE
modified polymer(s) of nonenzymatic glycation-prone protein(s). This study showed
that PrPSc is not nonenzymatically glycated in vitro with sucrose, glucose or
percoll and that AGE-modified PrPSc can be isolated and enriched from prion
infected brains.
PMID- 26544103
TI - Oxidative damage and the pathogenesis of menopause related disturbances and
diseases.
AB - The postmenopausal phase of life is frequently associated in women with
subjective symptoms (e.g. vasomotor) and real diseases (atherosclerosis with
coronary ischemia, osteoporosis, Alzheimer-type neurodegeneration, urogenital
dystrophy), which together determine the post-menopausal syndrome. Observations
that oxidative damage by reactive oxygen/nitrogen species in experimental models
can contribute to the pathogenesis of these disturbances stimulated research on
the relationships between menopause, its endocrine deficiency, oxidative balance
and the "wellness" in postmenopausal life. The connection among these events is
probably due to the loss of protective actions exerted by estrogens during the
fertile life. Most recent studies have revealed that estrogens exert an
antioxidant action not by direct chemical neutralization of reactants as it was
expected until recently but by modulating the expression of antioxidant enzymes
that control levels of biological reducing agents. Also nutritional antioxidants
apparently act by a similar mechanism. From this perspective it is conceivable
that a cumulative control of body oxidant challenges and biological defenses
could help in monitoring between "normal" and "pathological" menopause. However,
as clinical studies failed to confirm this scenario in vivo, we have decided to
review the existing literature to understand the causes of this discrepancy and
whether this was due to methodologic reasons or to real failure of the basic
hypothesis.
PMID- 26544104
TI - Clinical relevance of sST2 in cardiac diseases.
AB - ST2 has two main isoforms, ST2L and soluble isoform of ST2 (sST2), by alternative
splicing. The interaction between interleukin (IL)-33 and the transmembrane
isoform ST2L is up-regulated in response to myocardial stress and exerts cardio
protective actions in the myocardium by reducing fibrosis, hypertrophy and
enhancing survival. The circulating isoform sST2, by sequestering IL-33,
abrogates these favorable actions and will be elevated as a maladaptive response
to cardiac diseases. Indeed, circulating sST2 concentrations correlate with a
worse phenotype of disease including adverse remodeling and fibrosis, cardiac
dysfunction, impaired hemodynamics and higher risk of progression. In patients
with acute and chronic heart failure, sST2 concentrations are strongly predictive
of death, regardless of the cause and left ventricle (LV) ejection fraction, and
contribute relevant information in addition to other prognosticators and
biomarkers, as natriuretic peptides or troponins. sST2 also retains prognostic
information in the setting of acute myocardial infarction (AMI) and predicts
cardiovascular death and risk of heart failure (HF) development in these
patients. sST2 could also be a promising tool to stratify the risk of sudden
cardiac death (SCD) in patients with depressed LV ejection fraction. Therefore,
sST2 represents a clinically relevant biomarker reflecting pathophysiological
processes and contributing predictive information in the setting of several
cardiovascular diseases, and especially in patients with HF.
PMID- 26544105
TI - First trimester PAPP-A2, PAPP-A and hCGbeta in small-for-gestational-age
pregnancies.
AB - BACKGROUND: Pregnancy-associated plasma protein-A2 (PAPP-A2) is a recently
discovered protease that cleaves a subset of insulin-like growth factor binding
proteins (IGFBP). The molecular function suggests its involvement in the IGF
system that is vital for fetal growth and development. Our objectives were to
establish first trimester median curves of PAPP-A2, PAPP-A and hCGbeta for
singleton normal pregnancies and to investigate whether an altered level of one
or more of the biomarkers is associated with small-for-gestational-age (SGA)
neonates before and after stratification according to maternal hypertension
and/or proteinuria. METHODS: This was a case-control study based on 985 pregnant
women delivering normal-weighted neonates and 170 pregnant women delivering SGA
neonates. PAPP-A2 was measured by ELISA. PAPP-A and hCGbeta were measured by an
automatic analyzer. Median curves from 8+1 to 14+0 were established and all
concentration values were converted to multiples of the median (MoM) values.
RESULTS: Before stratification the SGA cases had unaffected PAPP-A2 MoM and
hCGbeta MoM levels but lower PAPP-A MoM compared with normal controls. After
stratification the SGA normotensive subgroup had lower PAPP-A2 MoM and PAPP-A MoM
levels than the normal normotensive subgroup. Severe preeclamptic women
delivering SGA neonates had higher PAPP-A2 MoM compared to the normotensive women
delivering SGA neonates. CONCLUSIONS: Pregnant women delivering SGA neonates did
not have altered levels of PAPP-A2 or hCGbeta but had lower PAPP-A level in the
first trimester compared with pregnant women delivering normal-weighted neonates.
Pregnancies complicated with severe preeclampsia and SGA may be associated with
high PAPP-A2 level.
PMID- 26544106
TI - Student Perceptions of Quality and Safety Competencies.
AB - AIM/PURPOSE: The purpose of the study was to evaluate senior students' level of
preparedness to perform and perceived importance of 22 QSEN-related skills over a
three year project period. BACKGROUND: The national Quality and Safety Education
in Nursing (QSEN) project promotes student learning in the provision of safe,
quality health care. One Midwestern nursing program attempted to address health
care challenges by purposefully utilizing the QSEN competencies for curricular
changes. METHODS: This study collected data from students in their final semester
of a baccalaureate program using the QSEN Student Evaluation Survey.
RESULTS/FINDINGS: Students reported they were somewhat prepared to perform skills
related to all six QSEN competencies. Students perceived all QSEN related skills
as being as least somewhat important. CONCLUSIONS: As a result of this study, the
nursing program identified areas to be developed for further growth and utilized
findings to aid in curriculum revision.
PMID- 26544107
TI - On the validity of within-nuclear-family genetic association analysis in samples
of extended families.
AB - Splitting extended families into their component nuclear families to apply a
genetic association method designed for nuclear families is a widespread practice
in familial genetic studies. Dependence among genotypes and phenotypes of nuclear
families from the same extended family arises because of genetic linkage of the
tested marker with a risk variant or because of familial specificity of genetic
effects due to gene-environment interaction. This raises concerns about the
validity of inference conducted under the assumption of independence of the
nuclear families. We indeed prove theoretically that, in a conditional logistic
regression analysis applicable to disease cases and their genotyped parents, the
naive model-based estimator of the variance of the coefficient estimates
underestimates the true variance. However, simulations with realistic effect
sizes of risk variants and variation of this effect from family to family reveal
that the underestimation is negligible. The simulations also show the greater
efficiency of the model-based variance estimator compared to a robust empirical
estimator. Our recommendation is therefore, to use the model-based estimator of
variance for inference on effects of genetic variants.
PMID- 26544108
TI - Three, two, one... TROPHO-BLAST OFF!
AB - Trophoblast stem cells (TSCs) are derived from the early mouse embryo and can
substantially contribute to placental development. Two studies by Kubaczka et al.
(2015) and Benchetrit et al. (2015) in this issue of Cell Stem Cell now report
reprogramming mouse fibroblasts into TSCs, surmounting the first lineage barrier
established in development and providing new tools for researching placental
specification and diseases.
PMID- 26544109
TI - Imported Stem Cells Strike against Stroke.
AB - Cells with neural stem cell (NSC)-like properties can be isolated from the cortex
of adult brains following injury, but their origins and function are unclear. Now
in Cell Stem Cell, Faiz et al. (2015) show that subventricular-zone-derived NSCs
home to injured cortical area following stroke, where they generate reactive
astrocytes.
PMID- 26544110
TI - AMPK Keeps Tumor Cells from Starving to Death.
AB - In this issue of Cell Stem Cell, Saito et al. (2015) examine how leukemia
initiating cells react to metabolic stress imposed by different tissue
environments. They find that inhibition of the metabolic stress sensor AMP
activated protein kinase (AMPK) led to deranged glucose metabolism and redox
homeostasis, resulting in reduced leukemic progression that was further
attenuated by dietary restriction.
PMID- 26544111
TI - The Hedgehog Hold on Homeostasis.
AB - The adult lung is largely quiescent, with airway epithelia turning over slowly.
Peng et al. (2015) describe a key role for the Hedgehog pathway in actively
maintaining this quiescence, a surprising turn of events given the pathway's
established mitogenic role, and they show that Hedgehog pathway attenuation is
required for proliferative regeneration.
PMID- 26544112
TI - Recent Court Ruling in Japan Exemplifies Another Layer of Regulation for
Regenerative Therapy.
PMID- 26544113
TI - Dynamic Pluripotent Stem Cell States and Their Applications.
AB - Embryonic pluripotency can be recapitulated in vitro by a spectrum of pluripotent
stem cell states stabilized with different culture conditions. Their distinct
spatiotemporal characteristics provide an unprecedented tool for the study of
early human development. The newly unveiled ability of some stem cell types for
crossing xeno-barriers will facilitate the generation of interspecies chimeric
embryos from distant species, including humans. When combined with efficient
zygote genome editing technologies, xenogeneic human pluripotent stem cells may
also open new frontiers for regenerative medicine applications, including the
possibility of generating human organs in animals via interspecies chimeric
complementation.
PMID- 26544114
TI - Interleukin-2 as maintenance therapy for children and adults with acute myeloid
leukaemia in first complete remission.
AB - BACKGROUND: Acute myeloid leukaemia (AML) is a malignant cancer of hematopoietic
stem cells. The treatment of AML consists of two treatment phases: the remission
induction phase to achieve a rapid, complete remission (CR) and the consolidation
phase to achieve a durable molecular remission. People in CR are at risk of AML
relapse, and people with relapsed AML have poor survival prospects. Thus, there
is a continuous need for treatments to further improve prognosis. Interleukin-2
(IL-2), an immune-stimulatory cytokine, is an alternative to standard treatment
for people with AML to maintain the efficacy after consolidation therapy.
Maintenance therapy is not an integral part of the standard treatment for AML.
Studies have been conducted to evaluate the efficacy of IL-2 as maintenance
therapy for people with AML in first CR, but the effect of IL-2 is not yet fully
established. OBJECTIVES: To evaluate the efficacy and safety of IL-2 as
maintenance therapy for children and adults with AML who have achieved first CR
and have not relapsed. SEARCH METHODS: We systematically searched the Cochrane
Central Register of Controlled Trials (CENTRAL) (Cochrane Library 2015, Issue 8),
MEDLINE (1950 to August 2015), EMBASE (1950 to August 2015), LILACS (1982 to
August 2015), CBM (1978 to August 2015), relevant conference proceedings (2000 to
2015), and metaRegister of Controlled Trials (since inception to August 2015) of
ongoing and unpublished trials. In addition, we screened the reference lists of
relevant trials and reviews. SELECTION CRITERIA: Eligible studies were randomised
controlled trials (RCTs) comparing IL-2 with no treatment in people with AML who
had achieved first CR and had not relapsed. We did not identify studies comparing
IL-2 versus best supportive care or maintenance chemotherapy or studies comparing
IL-2 plus maintenance chemotherapy versus maintenance chemotherapy alone. DATA
COLLECTION AND ANALYSIS: Two review authors independently screened studies,
extracted data with a predefined extraction form, and assessed risk of bias of
included studies. We extracted data on the following outcomes: disease-free
survival, overall survival, event-free survival, treatment-related mortality,
adverse events, and quality of life. We measured the treatment effect on time-to
event outcomes and dichotomous outcomes with hazard ratio (HR) and risk ratio,
respectively. We used inverse-variance method to combine HRs with fixed-effect
model unless there was significant between-study heterogeneity. MAIN RESULTS: We
included nine RCTs with a total of 1665 participants, comparing IL-2 with no
treatment. Six studies included adult participants, and three studies included
both adults and children. However, the latter three studies did not report data
for children, thus we were unable to conduct subgroup analysis of children. One
Chinese study did not report any outcomes of interest for this review. We
included six trials involving 1426 participants in the meta-analysis on disease
free survival, and included five trials involving 1355 participants in the meta
analysis on overall survival. There is no evidence for difference between IL-2
group and no-treatment group regarding disease-free survival (HR 0.95; 95% CI
0.86 to 1.06, P = 0.37; quality of evidence: low) or overall survival (HR 1.05;
95% CI 0.95 to 1.16, P = 0.35; quality of evidence: moderate). Based on one trial
of 161 participants, IL-2 exerted no effect on event-free survival (HR 1.02; 95%
CI 0.79 to 1.32, P = 0.88; quality of evidence: low). Adverse events (including
thrombocytopenia, neutropenia, malaise/fatigue, and infection/fever) were more
frequent in participants receiving IL-2, according to one trial of 308
participants. No mortality due to adverse events was reported. None of the
included studies reported treatment-related mortality or quality of life.
AUTHORS' CONCLUSIONS: There is no evidence for a difference between IL-2
maintenance therapy and no treatment with respect to disease-free survival or
overall survival of people with AML in first CR; however, the quality of the
evidence is moderate or low, and further research is likely or very likely to
have an important impact on the estimate or our confidence in the estimate.
Adverse events seem to be more frequent in participants treated with IL-2, but
the quality of the evidence is very low and our confidence in the estimates is
very uncertain. Thus, further prospective randomised trials are needed before
definitive conclusions can be drawn on these issues.
PMID- 26544115
TI - Patient-reported outcomes as assessment tools and predictors of long-term
prognosis: a 7-year follow-up study of patients with rheumatoid arthritis.
AB - OBJECTIVE: Whether the Boolean-based American College of Rheumatology/European
League Against Rheumatism (EULAR) criteria for rheumatoid arthritis (RA)
including patient-reported outcome measures (PROMs) for remission are strict for
use in daily clinical practice is controversial. This study aimed to clarify the
differences in the remission status defined by the criteria, including and
excluding PROMs, and to identify the baseline predictors of long-term prognosis
using 7-year follow-up data. METHOD: A total of 103 RA outpatients completed the
baseline and 7-year follow-up questionnaire surveys. Pain visual analogue scale
(VAS) of <= 1/10 was used as a PROM criterion for remission. RESULTS: Only 10
patients achieved full-remission, whereas 18 met the partial-remission criteria
excluding PROM at baseline. Although 70.0% of those who achieved full remission
at baseline had full or partial remission status, 77.8% of those with partial
remission were categorized as having no remission at 7 years. Significant
baseline differences in the remission status at 7 years were observed with regard
to disease duration, pain VAS, and physical function (Short Form 36 [SF-36]).
Stepwise logistic regression analysis adjusted for age and sex identified disease
duration and general health perception (SF-36) as independent predictors of full
remission. CONCLUSION: Remission criteria including PROMs are stringent but
important to achieve sustained remission. Early intensive treatment and efforts
to improve patients' health perceptions may result in better prognosis for RA.
PMID- 26544116
TI - A Rapid, Selective and Sensitive UPLC-MS/MS Method for Quantification of Nomilin
in Rat Plasma and Its Application in a Pharmacokinetic Study.
AB - Nomilin is a potential anticancer agent. In this study, a rapid, sensitive, and
simple ultra-performance liquid chromatography with tandem mass spectrometry
methodology was established and validated to quantify nomilin in rat plasma.
Plasma samples were prepared through liquid-liquid extraction using ethyl
acetate. Chromatographic separation was performed using an Acquity HSS T3 column.
Acetonitrile and water containing 0.1% (v/v) formic acid were used as mobile
phases at a flow rate of 0.3 mL/min. Nomilin and quercetin (internal standard)
were detected and quantified via a triple quadrupole tandem mass spectrometer in
the positive ion mode with multiple reaction monitoring. Tandem mass spectrometry
detection was performed by monitoring the fragmentations of m/z 515.3 -> m/z
161.0 and m/z 303.2 -> m/z 153.1 of nomilin and quercetin, respectively. Good
linearity (R(2) > 0.996) was observed in the concentration range of 1 ng/mL to
500 ng/mL with a lower limit of quantification of 1 ng/mL for nomilin. The
average extraction recoveries of nomilin and quercetin were > 82.3% and 82.0%,
respectively. Intra- and interday precisions were less than 15% and accuracy
ranged from 85.0% to 90.1%. Indeed, the proposed method was successfully applied
to analyze the pharmacokinetics of nomilin after 3 and 50 mg/kg nomilin were
administered to rats via intravenous and oral routes, respectively.
PMID- 26544117
TI - Antiproliferative Constituents of Geopropolis from the Bee Melipona scutellaris.
AB - Fractionation of geopropolis from Melipona scutellaris, guided by
antiproliferative activity against two colon cancer cell lines (COLO205 and
KM12), led to the isolation of two new cinnamic acid esters, mammea-type
coumarins 5,7-dihydroxy-6-(3-methyl-2-butenyl)-8-(4-cinnamoyl-3-methyl-1
oxobutyl)-4-propyl-coumarin (1) and 5,7-dihydroxy-6-(4-cinnamoyl-3-methyl-1
oxobutyl)-4-phenylcoumarin (2), along with five known coumarins, mammeigin (3),
hydroxymammeigin (4), mammeisin (5), cinnamoyloxy-mammeisin (6), and mammein (7),
and the prenylated benzophenone ent-nemorosone (8). Among the isolated compounds,
5 and 7 showed the highest cell growth inhibition against COLO205 (GI50 9.7 and
10.7 uM, respectively) and KM12 (GI50 12.0 and 10.9 uM, respectively). The
presence of these compounds suggests that plants of Clusiaceae family, especially
the genera Kielmeyera and Clusia, are likely to be major sources of geopropolis
produced by M. scutellaris.
PMID- 26544118
TI - Unsaponifiable Fraction of Unripe Fruits of Olea europaea: An Interesting Source
of Anti-inflammatory Constituents.
AB - The unsaponifiable fraction of olive oil from unripe fruits of Olea europaea at
different stages of maturation (from 20 to 32 weeks after flowering) was analyzed
by gas chromatography-mass spectrometry in order to select the time associated to
the unsaponifiable fraction with the maximal yield in bioactive constituents.
According to quantitative gas chromatography-mass spectrometry analysis, the
unsaponifiable fraction (2.46% of the total oil) from olive fruits at the 22nd
week was found to contain the maximal yield in anti-inflammatory constituents.
Its composition was lanosterol (2.60 mg/g oil), stigmasterol (2.15), cycloartanol
acetate (2.04), stigmastan-3,5-diene (2.01), obtusifoliol (1.93), cholesta-4,6
dien-3-one (1.42), alpha-amyrin (1.42), alpha-tocopherol (1.32), squalene (1.02),
beta-amyrin (0.57), and beta-sitosterol (0.22). At later times, there was a
decrease in the quantitative unsaponifiable fraction yield and a qualitative
shift in the bioactive constituents. The 22nd week unsaponifiable fraction was
subsequently incorporated into a topical preparation to be utilized for a small
pilot clinical study in five patients affected by osteoarthrosis. According to
clinical observation, the application of the ointment (three times daily for
three weeks) attenuated hand and knee joint inflammatory features in all patients
and was not associated to any adverse reactions.
PMID- 26544119
TI - Sulfation of 6-Gingerol by the Human Cytosolic Sulfotransferases: A Systematic
Analysis.
AB - Previous studies have demonstrated the presence of the sulfated form of 6
gingerol, a major pharmacologically active component of ginger, in plasma samples
of normal human subjects who were administered 6-gingerol. The current study was
designed to systematically identify the major human cytosolic sulfotransferase
enzyme(s) capable of mediating the sulfation of 6-gingerol. Of the 13 known human
cytosolic sulfotransferases examined, six (SULT1A1, SULT1A2, SULT1A3, SULT1B1,
SULT1C4, SULT1E1) displayed significant sulfating activity toward 6-gingerol.
Kinetic parameters of SULT1A1, SULT1A3, SULT1C4, and SULT1E1 that showed stronger
6-gingerol-sulfating activity were determined. Of the four human organ samples
tested, small intestine and liver cytosols displayed considerably higher 6
gingerol-sulfating activity than those of the lung and kidney. Moreover,
sulfation of 6-gingerol was shown to occur in HepG2 human hepatoma cells and Caco
2 human colon adenocarcinoma cells under the metabolic setting. Collectively,
these results provided useful information relevant to the metabolism of 6
gingerol through sulfation both in vitro and in vivo.
PMID- 26544120
TI - Protective Effects of Bacopa Monnieri on Hydrogen Peroxide and Staurosporine:
Induced Damage of Human Neuroblastoma SH-SY5Y Cells.
AB - Many herbs, and recently their biomass from in vitro cultures, are essential for
the treatment of diseases. The aim of this study was to determine the optimal
growth of Bacopa monnieri (water hyssop) in an in vitro culture and to examine if
extracts of the B. monnieri biomass from the in vitro culture would affect
hydrogen peroxide- and staurosporine-induced injury of the human neuroblastoma SH
SY5Y cell line. It has been found that B. monnieri at concentrations of 25, 50,
and 100 ug/mL inhibited both hydrogen peroxide-induced efflux of lactate
dehydrogenase from damaged cells to culture medium and increased cell viability
determined by an MTT assay. Moreover, B. monnieri at concentrations of 10, 25,
and 50 ug/mL decreased staurosporine-induced activity of an executive apoptotic
enzyme-caspase-3 and protected mitochondrial membrane potential. The obtained
data indicate that the biomass from the in vitro culture of B. monnieri prevented
SH-SY5Y cell damage related to oxidative stress and had the ability to inhibit
the apoptotic process. Thus, this study supports the traditional use of B.
monnieri as a neuroprotective therapy, and further in vivo studies on the effects
of this preparation on morphology and function of nerve cells could lead to its
wider application.
PMID- 26544121
TI - An unusual business.
PMID- 26544122
TI - Drug makers target ubiquitin proteasome pathway anew.
PMID- 26544123
TI - FDA raps liquid biopsy firm.
PMID- 26544124
TI - Amgen bulks out cardio package.
PMID- 26544125
TI - UK funding agencies weigh in on human germline editing.
PMID- 26544126
TI - MD Anderson catches immune-oncology wave.
PMID- 26544127
TI - AbbVie buys last available priority voucher for $350 million.
PMID- 26544128
TI - Hatteras rounds up $90 million to lead biotech rebound in North Carolina.
PMID- 26544129
TI - First moss-made drug.
PMID- 26544130
TI - Seek 1 million US citizens.
PMID- 26544131
TI - Industrial biotechs turn greenhouse gas into feedstock opportunity.
PMID- 26544133
TI - Sexed-up beer.
PMID- 26544135
TI - Drug pipeline: 3Q15.
PMID- 26544136
TI - 3Q15--biotech in the balance.
PMID- 26544137
TI - Breathing easier with combinations.
PMID- 26544138
TI - Top US universities, institutes for life sciences in 2014.
PMID- 26544139
TI - Recasting Asilomar's lessons for human germline editing.
PMID- 26544140
TI - Using GlycoDelete to produce proteins lacking plant-specific N-glycan
modification in seeds.
PMID- 26544141
TI - The ownership question of plant gene and genome intellectual properties.
PMID- 26544143
TI - Putting induced pluripotent stem cells to the test.
PMID- 26544144
TI - Big thinking for adjuvants.
PMID- 26544145
TI - Biological synthesis unbounded?
PMID- 26544146
TI - Scaling up phenotyping studies.
PMID- 26544148
TI - Third-quarter biotech job picture.
PMID- 26544150
TI - S-R compatibility effects on motor potentials associated with hand and foot
movements.
AB - Two four-choice reaction time (RT) experiments used the lateralized readiness
potential (LRP) and the limb selection potential (LSP) to assess the effects of
spatial S-R compatibility on motor processes. Individual stimuli were presented
at one corner of a square centered at fixation, and each response was made with
the left or right hand or foot. In Experiment 1, the correct response was
determined by stimulus location, whereas in Experiment 2 it was determined by
stimulus identity. Horizontal and vertical compatibility affected both RT and
response accuracy, but the LRP and LSP results suggested that compatibility had
little or no direct effect on the duration of motor processes. In addition, the
results suggest that the relatively new LSP measure is a useful index of motor
activation processes. Its insensitivity to horizontal stimulus artifacts makes it
especially useful for studying the effects of horizontal spatial compatibility.
PMID- 26544151
TI - Factors influencing uptake of familial long QT syndrome genetic testing.
AB - Ongoing challenges of clinical assessment of long QT syndrome (LQTS) highlight
the importance of genetic testing in the diagnosis of asymptomatic at-risk family
members. Effective access, uptake, and communication of genetic testing are
critical for comprehensive cascade family screening and prevention of disease
complications such as sudden cardiac death. The aim of this study was to describe
factors influencing uptake of LQTS genetic testing, including those relating to
access and family communication. We show those who access genetic testing are
overrepresented by the socioeconomically advantaged, and that although overall
family communication is good, there are some important barriers to be addressed.
There were 75 participants (aged 18 years or more, with a clinical and/or genetic
diagnosis of LQTS; response rate 71%) who completed a survey including a number
of validated scales; demographics; and questions about access, uptake, and
communication. Mean age of participants was 46 +/- 16 years, 20 (27%) were males
and 60 (80%) had genetic testing with a causative gene mutation in 42 (70%).
Overall uptake of cascade testing within families was 60% after 4 years from
proband genetic diagnosis. All participants reported at least one first-degree
relative had been informed of their risk, whereas six (10%) reported at least one
first-degree relative had not been informed. Those who were anxious or depressed
were more likely to perceive barriers to communicating. Genetic testing is a key
aspect of care in LQTS families and intervention strategies that aim to improve
equity in access and facilitate effective family communication are needed.
PMID- 26544152
TI - Platelet-to-Lymphocyte Ratio May Predict the Severity of Calcific Aortic
Stenosis.
AB - BACKGROUND: Platelet-to-lymphocyte ratio (PLR) is an emerging inflammatory
indicator which is closely associated with adverse cardiovascular events.
Therefore, we aimed to investigate the relationship between PLR and the severity
of calcific aortic stenosis (AS). MATERIAL AND METHODS: The study was designed as
a retrospective study. A total of 86 consecutive patients with calcific AS were
divided into two groups as mild-to-moderate AS and severe AS according to the
transaortic mean pressure gradient. PLR levels were calculated from the complete
blood count (CBC). RESULTS: Platelet to lymphocyte ratio was significantly higher
in severe and mild-to-moderate AS groups when compared to the control subjects
(151+/-31.2, p<0.001, 138+/-28.8 vs. 126+/-26.5, p=0.008, respectively). In the
subgroup analysis of AS patients, PLR was found to be higher in the severe AS
group compared to mild-to-moderate group (p<0.001). A significant correlation was
found between PLR and transaortic mean pressure gradient in patients with AS
(r=0.421, p<0.001). CONCLUSIONS: Our study results demonstrated that increased
PLR correlates with the severity of calcific AS.
PMID- 26544154
TI - Arsenic Demethylation by a C.As Lyase in Cyanobacterium Nostoc sp. PCC 7120.
AB - Arsenic, a ubiquitous toxic substance, exists mainly as inorganic forms in the
environment. It is perceived that organoarsenicals can be demethylated and
degraded into inorganic arsenic by microorganisms. Few studies have focused on
the mechanism of arsenic demethylation in bacteria. Here, we investigated arsenic
demethylation in a typical freshwater cyanobacterium Nostoc sp. PCC 7120. This
bacterium was able to demethylate monomethylarsenite [MAs(III)] rapidly to
arsenite [As(III)] and also had the ability to demethylate monomethylarsenate
[MAs(V)] to As(III). The NsarsI encoding a C.As lyase responsible for MAs(III)
demethylation was cloned from Nostoc sp. PCC 7120 and heterologously expressed in
an As-hypersensitive strain Escherichia coli AW3110 (DeltaarsRBC). Expression of
NsarsI was shown to confer MAs(III) resistance through arsenic demethylation. The
purified NsArsI was further identified and functionally characterized in vitro.
NsArsI existed mainly as the trimeric state, and the kinetic data were well-fit
to the Hill equation with K0.5 = 7.55 +/- 0.33 MUM for MAs(III), Vmax = 0.79 +/-
0.02 MUM min(-1), and h = 2.7. Both of the NsArsI truncated derivatives lacking
the C-terminal 10 residues (ArsI10) or 23 residues (ArsI23) had a reduced ability
of MAs(III) demethylation. These results provide new insights for understanding
the important role of cyanobacteria in arsenic biogeochemical cycling in the
environment.
PMID- 26544153
TI - Efficient Reassignment of a Frequent Serine Codon in Wild-Type Escherichia coli.
AB - Expansion of the genetic code through engineering the translation machinery has
greatly increased the chemical repertoire of the proteome. This has been
accomplished mainly by read-through of UAG or UGA stop codons by the noncanonical
aminoacyl-tRNA of choice. While stop codon read-through involves competition with
the translation release factors, sense codon reassignment entails competition
with a large pool of endogenous tRNAs. We used an engineered pyrrolysyl-tRNA
synthetase to incorporate 3-iodo-l-phenylalanine (3-I-Phe) at a number of
different serine and leucine codons in wild-type Escherichia coli. Quantitative
LC-MS/MS measurements of amino acid incorporation yields carried out in a
selected reaction monitoring experiment revealed that the 3-I-Phe abundance at
the Ser208AGU codon in superfolder GFP was 65 +/- 17%. This method also allowed
quantification of other amino acids (serine, 33 +/- 17%; phenylalanine, 1 +/- 1%;
threonine, 1 +/- 1%) that compete with 3-I-Phe at both the aminoacylation and
decoding steps of translation for incorporation at the same codon position.
Reassignments of different serine (AGU, AGC, UCG) and leucine (CUG) codons with
the matching tRNA(Pyl) anticodon variants were met with varying success, and our
findings provide a guideline for the choice of sense codons to be reassigned. Our
results indicate that the 3-iodo-l-phenylalanyl-tRNA synthetase (IFRS)/tRNA(Pyl)
pair can efficiently outcompete the cellular machinery to reassign select sense
codons in wild-type E. coli.
PMID- 26544156
TI - Vertically Integrated Multiple Nanowire Field Effect Transistor.
AB - A vertically integrated multiple channel-based field-effect transistor (FET) with
the highest number of nanowires reported ever is demonstrated on a bulk silicon
substrate without use of wet etching. The driving current is increased by 5-fold
due to the inherent vertically stacked five-level nanowires, thus showing good
feasibility of three-dimensional integration-based high performance transistor.
The developed fabrication process, which is simple and reproducible, is used to
create multiple stiction-free and uniformly sized nanowires with the aid of the
one-route all-dry etching process (ORADEP). Furthermore, the proposed FET is
revamped to create nonvolatile memory with the adoption of a charge trapping
layer for enhanced practicality. Thus, this research suggests an ultimate design
for the end-of-the-roadmap devices to overcome the limits of scaling.
PMID- 26544155
TI - Synthesis of (+/-)-Tetrabenazine by Visible Light Photoredox Catalysis.
AB - (+/-)-Tetrabenazine was synthesized in six steps from commercially available
compounds. The key cyclization substrate was assembled rapidly via Baylis-Hillman
and aza-Michael reactions. Annulation of the final ring was achieved through
visible light photocatalysis, wherein carbon-carbon bond formation was driven by
the oxidation of a tertiary amine. Solvent played a critical role in the
photoredox cyclization outcome, whereas methanol led to a mixed ketal,
acetonitrile/water (10:1) gave direct cyclization to (+/-)-tetrabenazine and
occurred more rapidly.
PMID- 26544157
TI - Paclitaxel Enhances Carboplatin-DNA Adduct Formation and Cytotoxicity.
AB - This rapid report focuses on the pharmacodynamic mechanism of the
carboplatin/paclitaxel combination and correlates it with its cytotoxicity.
Consistent with the synergistic to additive antitumor activity (the combination
index ranging from 0.53 to 0.94), cells exposed to this combination had
significantly increased carboplatin-DNA adduct formation when compared to that of
carboplatin alone (450 +/- 30 versus 320 +/- 120 adducts per 10(8) nucleotides at
2 h, p = 0.004). Removal of paclitaxel increased the repair of carboplatin-DNA
adducts: 39.4 versus 33.1 adducts per 10(8) nucleotides per hour in carboplatin
alone (p = 0.021). This rapid report provides the first pharmacodynamics data to
support the use of carboplatin/paclitaxel combination in the clinic.
PMID- 26544158
TI - pH-Triggered SrTiO3:Er Nanofibers with Optically Monitored and Controlled Drug
Delivery Functionality.
AB - The design of multifunctional localized drug delivery systems (LDDSs) has been
endeavored in the past decades worldwide. The matrix material of LDDSs is known
as a crucial factor for the success of its transformation from the laboratory to
clinical practices. Herein, a biocompatible ceramic, strontium titanate (SrTiO3,
STO), was utilized as the matrix. A variety of fine Er doped SrTiO3 (STO:Er)
nanofibers were fabricated via electrospinning. After the surface
functionalization with amino groups, the drug loading capacity of STO:Er
nanofibers is dramatically increased. The nanofibers present a rather sustained
drug releasing behavior in the media with pH of 7.4, and the release kinetics is
significantly accelerated with the decreased pH value from 7.4 to 4.7.
Furthermore, the intensity of the spectrum emitted from the STO:Er nanofibers
corresponds well with the drug releasing progress under the excitation of near
infrared spectrum (~980 nm). Fast drug release behavior (in an acid environment)
induces a rapid intensity enhancing effect of photoluminescence emission and vice
versa. The main mechanism is attributed to the quenching effect induced by the C
Hx groups of IBU molecules with vibration frequencies from 2850 to 3000 cm(-1).
Such new STO:Er nanofibers with pH-triggered and optically monitored drug
delivery functionalities have therefore been considered as another new localized
drug delivery platform for modern tumor diagnosis and therapy.
PMID- 26544159
TI - The complete mitochondrial genome of Hemigrammus bleberi.
AB - The complete mitochondrial genome of Hemigrammus bleberi was obtained by the
traditional polymerase chain reaction (PCR)-based sequencing approach. The
mitogenome of H. bleberi was determined as 17 021bp in length, including 13
protein-coding genes, 22 transfer RNA genes and 2 ribosomal RNA genes.
Phylogenetic tree was constructed based on the complete mitogenomes of the
species and closely related 21 teleost species to assess their phylogenetic
relationship and evolution.
PMID- 26544160
TI - Updated estimates of typical effective doses for common CT examinations in the UK
following the 2011 national review.
AB - OBJECTIVE: To investigate the impact of evolving International Commission on
Radiological Protection (ICRP) recommendations concerning calculation of
effective dose (E) and compare updated typical UK values for common CT
examinations with previous data. METHODS: Monte Carlo simulations have provided
normalized organ doses relating to 15 CT scanner models and 5 virtual reference
adults. Series of representative E/dose-length product (DLP) coefficients were
derived for common examinations on the separate bases of not only older stylized
mathematical phantoms and voxel phantoms presently recommended by ICRP, but also
the 1977, 1990 and 2007 formulations for E. Updated E/DLP coefficients were
applied to typical values of DLP from the 2011 UK survey. RESULTS: Changes in
ICRP recommendations that have arisen from improving evidence on stochastic risk,
influence values of E by up to a factor two for CT examinations of the head and
neck, although differences for the trunk typically amount to +/-10%. Adoption of
the voxel rather than the mathematical phantoms used previously can lead to
further changes in E by a few tens of percent. Updated typical values of E for UK
CT examinations range from 2 to 20 mSv. Increases by 20-400% since 2003 arise not
only from increases by 30-160% in typical values of DLP, but also increases by 30
90% in relation to E/DLP coefficients for examinations of the trunk. CONCLUSION:
Values of E, including updated typical data for UK CT, should be compared with
caution in relation to their purpose and underlying factors concerning their
calculation. ADVANCES IN KNOWLEDGE: Updated E/DLP coefficients and typical values
of E for UK CT, and an appreciation of factors influencing these data.
PMID- 26544161
TI - FDG-PET/CT in abdominal post-transplant lymphoproliferative disease.
AB - Post-transplant lymphoproliferative disease (PTLD) is a major cause of morbidity
and mortality following both solid organ and haematopoietic stem cell
transplantation. PTLD has a broad range of manifestations with extranodal
involvement more common in the abdomen than nodal involvement. Fludeoxyglucose
positron emission tomography/CT (FDG-PET/CT) is sensitive and specific to detect
PTLD and can upstage or detect occult PTLD compared with conventional CT imaging.
As functional imaging, FDG-PET/CT also has a role in monitoring treatment
response. In this pictorial essay, we will discuss the role of FDG-PET/CT in the
diagnosis and staging of abdominal PTLD and describe the advantages of functional
imaging in assessing response to therapy.
PMID- 26544162
TI - Predictors of Parental Mediation Regarding Children's Smartphone Use.
AB - Children's addiction to smartphones has become a serious issue, and parental
mediation could help prevent children's problematic use of smartphones. This
research examined the factors that predict and explain parents' intention to
mediate children's behavior over smartphone use. Based on a survey of 460 parents
of elementary school students, we found that parental mediation was predicted by
(a) parent's own addiction to smartphones, (b) perceived severity of smartphone
addiction, and (c) personality traits such as neuroticism, openness, and
agreeableness. To the best of our knowledge, this study is the first to examine
the predictors of parental mediation regarding children's smartphone addiction,
and the findings suggest some strategies to increase parental mediation.
PMID- 26544163
TI - Clinical Telemedicine Utilization in Ontario over the Ontario Telemedicine
Network.
AB - INTRODUCTION: Northern Ontario is a region in Canada with approximately 775,000
people in communities scattered across 803,000 km(2). The Ontario Telemedicine
Network (OTN) facilitates access to medical care in areas that are often
underserved. We assessed how OTN utilization differed throughout the province.
MATERIALS AND METHODS: We used OTN medical service utilization data collected
through the Ontario Health Insurance Plan and provided by the Ministry of Health
and Long Term Care. Using census subdivisions grouped by Northern and Southern
Ontario as well as urban and rural areas, we calculated utilization rates per
fiscal year and total from 2008/2009 to 2013/2014. We also used billing codes to
calculate utilization by therapeutic area of care. RESULTS: There were 652,337
OTN patient visits in Ontario from 2008/2009 to 2013/2014. Median annual
utilization rates per 1,000 people were higher in northern areas (rural, 52.0;
urban, 32.1) than in southern areas (rural, 6.1; urban, 3.1). The majority of
usage in Ontario was in mental health and addictions (61.8%). Utilization in
other areas of care such as surgery, oncology, and internal medicine was highest
in the rural north, whereas primary care use was highest in the urban south.
CONCLUSIONS: Utilization was higher and therapeutic areas of care were more
diverse in rural Northern Ontario than in other parts of the province.
Utilization was also higher in urban Northern Ontario than in Southern Ontario.
This suggests that telemedicine is being used to improve access to medical care
services, especially in sparsely populated regions of the province.
PMID- 26544164
TI - Study on the homology of the genomes of tetraploid Asiatic lilies (Lilium) using
FISH.
AB - Asiatic lily cultivars, bred by hybridization and (or) chromosome doubling of
species of section Sinomartagon of Lilium, are diploid, triploid, or tetraploid,
but the homology of the genomes among species of section Sinomartagon and Asiatic
lilies remains unclear. In the present research, two tetraploid Asiatic cultivars
were analyzed, using 45S rDNA as probe, for their FISH karyotypes and their
chromosomal association, anaphase I, telophase II, and pollen viability were
surveyed to assess the multivalent segregation. Chromosomal assortment of six
progenies of the two tetraploid cultivars were also investigated. The results
showed that the tetraploid cultivars had similar FISH karyotypes, they
predominantly formed multivalents, and these were equally separated because their
anaphase I, telophase II, and pollen viability were similar to those of diploid
species. Apart from minor variations, FISH karyotypes of progenies were similar
to each other and to their parents. Based on these results and considering the
high crossability among species of section Sinomartagon and (or) Asiatic lilies,
we concluded that species of section Sinomartagon and their resulting cultivars
share a common genome; thus, polyploidy Asiatic lilies are autopolyploid.
PMID- 26544165
TI - [Interaction between Amoxicillin Clavulanic Acid and Fluindione: Two Case
Reports].
AB - Several drug classes, such as antibiotics, may interact with antivitamin K and
increase anticoagulant effect. To date, interaction between fluindione and
amoxicillin/clavulanic acid is neither described in the literature, nor specified
in the summary of product characteristics. We report the cases of two patients
who overdose fluindione after administration of amoxicillin/clavulanic acid.
PMID- 26544167
TI - Ultrathin PtPdCu Nanowires Fused Porous Architecture with 3D Molecular
Accessibility: An Active and Durable Platform for Methanol Oxidation.
AB - It is desirable but challenging to develop active and durable low-Pt catalysts
for next-generation fuel cells. Herein, a three-dimensional porous PtPdCu
architecture with ultrathin nanowires was obtained through a simple, rapid and
aqueous method. This PtPdCu catalyst showed the remarkable performance for
methanol oxidation reaction with a 6.5 times enhancement in precious-metal-based
mass activity, a 7.2 times enhancement in specific activity and a better
durability in comparison with a standard Pt/C catalyst. According to the
structure-activity analysis, these enhancements were due to the beneficial
structural feature and the multicomponent synergy effect.
PMID- 26544166
TI - Update on the treatment of hypothyroidism.
AB - PURPOSE OF REVIEW: Differentiated thyroid cancer is a malignancy that is rapidly
increasing in frequency. As thyroidectomy plays a central role in the treatment
of thyroid cancer, it is incumbent on physicians treating this patient group to
be well versed in the intricacies of treating hypothyroidism. RECENT FINDINGS:
Treatment of hypothyroidism may be refined by careful attention to dose
selection, monitoring of therapy and achievement of thyrotropin goals that are
specific to the individual patient's overall clinical situation. These goals are
common not only to patients with a sole diagnosis of hypothyroidism, as discussed
in the recent American Thyroid Association Guidelines, but also to patients with
hypothyroidism in the setting of thyroid cancer. Several recent studies have
illuminated our understanding of the benefits and risks of thyrotropin
suppression therapy in patients with differentiated thyroid cancer. Multiple
studies of combination therapy with levothyroxine and liothyronine for treating
hypothyroidism have not led to a clear conclusion about its benefits over
levothyroxine monotherapy. Animal studies have advanced our understanding of the
altered serum and tissue milieu that characterizes levothyroxine monotherapy.
Crossing the bridge from this translational research into clinical research using
sustained release triiodothyronine preparations may ultimately enhance the health
of our patients. SUMMARY: Continued refinement of our understanding of thyroid
status and our ability to flawlessly implement thyroid hormone replacement is an
active area of research.
PMID- 26544168
TI - Microscopic Posterior Transdural Resection of Cervical Retro-Odontoid
Pseudotumors.
AB - Retro-odontoid pseudotumors are noninflammatory masses formed posterior to the
odontoid process. Because of their anatomy, the optimal surgical approach for
resecting pseudotumors is controversial. Conventionally, 3 approaches are used:
the anterior transoral approach, the lateral approach, and the posterior
extradural approach; however, each approach has its limitations. The posterior
extradural approach is the most common; however, it remains challenging due to
severe epidural veins. Although regression of pseudotumors after fusion surgery
has been reported, direct decompression and a pathologic diagnosis are ideal when
the pseudotumor is large. We therefore developed a new microscopic surgical
technique; transdural resection. After C1 laminectomy, the dorsal and ventral
dura was incised while preserving the arachnoid. Removal of the pseudotumor was
performed and both of the dura were repaired. The patient's clinical symptoms
subsequently improved and the pathologic findings showed degenerative
fibrocartilaginous tissue. In addition, no neurological deterioration, central
spinal fluid leakage, or arachnoiditis was observed. Currently, the usefulness of
the transdural approach has been reported for cervical and thoracic disk
herniation. According to our results, the transdural approach is recommended for
resection of retro-odontoid pseudotumors because it enables direct decompression
of the spinal cord and a pathologic diagnosis.
PMID- 26544169
TI - In Situ Observation of Active Oxygen Species in Fe-Containing Ni-Based Oxygen
Evolution Catalysts: The Effect of pH on Electrochemical Activity.
AB - Ni-based oxygen evolution catalysts (OECs) are cost-effective and very active
materials that can be potentially used for efficient solar-to-fuel conversion
process toward sustainable energy generation. We present a systematic
spectroelectrochemical characterization of two Fe-containing Ni-based OECs,
namely nickel borate (Ni(Fe)-B(i)) and nickel oxyhydroxide (Ni(Fe)OOH). Our Raman
and X-ray absorption spectroscopy results show that both OECs are chemically
similar, and that the borate anions do not play an apparent role in the catalytic
process at pH 13. Furthermore, we show spectroscopic evidence for the generation
of negatively charged sites in both OECs (NiOO(-)), which can be described as
adsorbed "active oxygen". Our data conclusively links the OER activity of the Ni
based OECs with the generation of those sites on the surface of the OECs. The OER
activity of both OECs is strongly pH dependent, which can be attributed to a
deprotonation process of the Ni-based OECs, leading to the formation of the
negatively charged surface sites that act as OER precursors. This work emphasizes
the relevance of the electrolyte effect to obtain catalytically active phases in
Ni-based OECs, in addition to the key role of the Fe impurities. This effect
should be carefully considered in the development of Ni-based compounds meant to
catalyze the OER at moderate pHs. Complementarily, UV-vis spectroscopy
measurements show strong darkening of those catalysts in the catalytically active
state. This coloration effect is directly related to the oxidation of nickel and
can be an important factor limiting the efficiency of solar-driven devices
utilizing Ni-based OECs.
PMID- 26544171
TI - Systematic Review and Meta-analyses Investigating Whether Risk Stratification
Explains Lower Rates of Coronary Angiography Among Women With Non-ST-Segment
Elevation Acute Coronary Syndrome.
AB - BACKGROUND: Guidelines recommend that all non-ST-segment elevation acute coronary
syndrome (NSTEACS) patients with high-risk features receive a coronary angiogram.
We hypothesised that the widely reported gender disparity in the use of
angiography might be the result of women more frequently being stratified into
the lower-risk category. OBJECTIVES: The aim of the study was to review studies
reporting risk stratification of NSTEACS patients by gender, compare risk
profiles, and assess impact on use of coronary angiography. METHODS: PubMed,
Scopus, and EMBASE databases were searched on June 17, 2014, using MeSH
terms/subheadings and/or key words with no further limits. The search revealed
1230 articles, of which 25 met our objective. RESULTS: Among the 28 risk
stratified populations described in the 25 articles, women were more likely to be
stratified as high-risk in 13 studies; men were more likely to be stratified as
high-risk in 3 studies. After meta-analyses, women had a 23% higher odds of being
stratified as high-risk than did men (P = .001). Lower-risk patients were more
likely to receive an angiogram in 15 study populations. CONCLUSIONS: Contrary to
our hypothesis, this review showed that women with NSTEACS are more likely than
men to be considered high-risk when stratified using a range of risk assessment
methods. Lower rates of angiography in women form part of a broader treatment
risk paradox, which may involve gender bias in the selection of patients for
invasive therapy.
PMID- 26544172
TI - Socioeconomic and Behavioral Characteristics Associated With Metabolic Syndrome
Among Overweight/Obese School-age Children.
AB - BACKGROUND: Obesity in children comprises a significant public health concern in
Korea. As with increased prevalence of overweight and obesity among children,
risk factors for metabolic syndrome (MetS) have also increased in this
population. OBJECTIVE: The purpose was to examine behavioral and socioeconomic
factors that were associated with biomarkers of MetS among overweight/obese
school-age children. METHODS: A cross-sectional study was conducted, and a
convenience sample of 75 overweight/obese school-age children participated.
Socioeconomic and behavioral characteristics, anthropometric measurements, and
physiologic examinations were studied. The data were analyzed using an analysis
of covariance and logistic regression. RESULTS: Metabolic syndrome was diagnosed
in 27.8% of our population. Severe stress was significantly associated with
elevated systolic blood pressure (P < .05). Among the family characteristics,
children's perception of family income (wealthy and very wealthy) and mother's
education level (high school or less) were associated with diagnoses of MetS in
children (P < .05). CONCLUSIONS: The results indicated that certain socioeconomic
and behavioral characteristics were associated with risk factors of MetS, and
therefore, interventions to modify these risk factors are needed to promote the
healthy development of overweight/obese school-age children.
PMID- 26544170
TI - Interventions Promoting Physical Activity in African American Women: An
Integrative Review.
AB - BACKGROUND: Physical inactivity significantly impacts mortality worldwide.
Physical inactivity is a modifiable risk factor for obesity, diabetes,
cardiovascular disease, and other chronic conditions. African American women in
the United States have the highest rates of physical inactivity when compared
with other gender/ethnic groups. A paucity of research promoting physical
activity (PA) in African American women has been previously identified. The
purpose of this review was to identify intervention strategies and outcomes in
studies designed to promote PA in African American women. METHODS: Interventions
that promoted PA in African American women published between 2000 and May 2015
were included. A comprehensive search of the literature was performed in Health
Source: Nursing/Academic Edition, PsycINFO, CINAHL Complete, and MEDLINE Complete
databases. Data were abstracted and synthesized to examine interventions, study
designs, theoretical frameworks, and measures of PA. RESULTS: Mixed findings
(both significant and nonsignificant) were identified. Interventions included
faith-based, group-based, and individually focused programs. All studies (n = 32)
included measures of PA; among the studies, self-report was the predominant
method for obtaining information. Half of the 32 studies focused on PA, and the
remaining studies focused on PA and nutrition. Most studies reported an increase
in PA or adherence to PA. This review reveals promising strategies for promoting
PA. CONCLUSIONS: Future studies should include long-term follow-up, larger sample
sizes, and objective measures of PA. Additional research promoting PA in African
American women is warranted, particularly in studies that focus on increasing PA
in older African American women.
PMID- 26544173
TI - Effects of a Structured Discharge Planning Program on Perceived Functional
Status, Cardiac Self-efficacy, Patient Satisfaction, and Unexpected Hospital
Revisits Among Filipino Cardiac Patients: A Randomized Controlled Study.
AB - BACKGROUND: Cardiovascular diseases remain the leading cause of morbidity and
mortality among Filipinos and are responsible for a very large number of hospital
readmissions. Comprehensive discharge planning programs have demonstrated
positive benefits among various populations of patients with cardiovascular
disease, but the clinical and psychosocial effects of such intervention among
Filipino patients with acute myocardial infarction (AMI) have not been studied.
AIMS/OBJECTIVES: In this study we aimed to determine the effectiveness of a nurse
led structured discharge planning program on perceived functional status, cardiac
self-efficacy, patient satisfaction, and unexpected hospital revisits among
Filipino patients with AMI. METHODS: A true experimental (randomized control) 2
group design with repeated measures and data collected before and after
intervention and at 1-month follow-up was used in this study. Participants were
assigned to either the control (n = 68) or the intervention group (n = 75).
Intervention participants underwent a 3-day structured discharge planning program
implemented by a cardiovascular nurse practitioner, which is comprised of a
series of individualized lecture-discussion, provision of feedback, integrative
problem solving, goal setting, and action planning. Control participants received
standard routine care. Measures of functional status, cardiac self-efficacy, and
patient satisfaction were measured at baseline; cardiac self-efficacy and patient
satisfaction scores were measured prior to discharge, and perceived functional
status and number of revisits were measured 1 month after discharge. RESULTS:
Participants in the intervention group had significant improvement in functional
status, cardiac self-efficacy, and patient satisfaction scores at baseline and at
follow-up compared with the control participants. Furthermore, participants in
the intervention group had significantly fewer hospital revisits compared with
those who received only standard care. CONCLUSION: The results demonstrate that a
nurse-led structured discharge planning program is an effective intervention in
improving perceived functional health status, cardiac self-efficacy, and patient
satisfaction, while reducing the number of unexpected hospital revisits, among
Filipino patients with AMI. It is recommended that this intervention be
incorporated in the optimal care of patients being discharged with an AMI.
PMID- 26544174
TI - Micronutrient Deficiency Independently Predicts Adverse Health Outcomes in
Patients With Heart Failure.
AB - BACKGROUND: Despite growing evidence on the important role of micronutrients in
prognosis of heart failure (HF), there has been limited research that
micronutrient deficiency predicts health outcomes in patients with HF. PURPOSE:
The aim of this study was to determine whether micronutrient deficiency
independently predicts adverse health outcomes. METHODS: A total of 113
consecutive outpatients with HF completed a 3-day food diary to measure intake of
15 micronutrients. The Computer Aided Nutrition Analysis Program for
Professionals was used to analyze the food diaries and determine dietary
micronutrient deficiencies. Patients completed the Minnesota Living With HF
Questionnaire to assess health-related quality of life (HRQoL) and were followed
up for 1 year to determine cardiac-related hospitalization or cardiac death.
Hierarchical multiple linear regressions and Cox proportional hazard regressions
were used to determine whether micronutrient deficiencies predicted health
outcomes. RESULTS: Fifty-eight patients (51%) had at least 3 micronutrient
deficiencies (range, 0-14). Calcium, magnesium, and vitamin D were the most
common micronutrient deficiencies. Micronutrient deficiency was independently
associated with worse HRQoL (beta = .187, P = .025) in hierarchical multiple
linear regression. Thirty-nine patients were hospitalized or died during 1-year
follow-up because of cardiac problems. The number of micronutrient deficiencies
independently predicted cardiac event-free survival (hazard ratio, 1.14; 95%
confidence interval, 1.02-1.28). CONCLUSIONS: These findings show that
micronutrient deficiency independently predicted poor HRQoL and earlier cardiac
event-free survival in patients with HF. Further research is needed to provide
for specific dietary guidelines for better health outcomes in HF patients.
PMID- 26544175
TI - A Systematic Review of mHealth-Based Heart Failure Interventions.
AB - BACKGROUND: The popularity of mobile phones and similar mobile devices makes it
an ideal medium for delivering interventions. This is especially true with heart
failure (HF) interventions, in which mHealth-based HF interventions are rapidly
replacing their telephone-based predecessors. PURPOSE: This systematic review
examined the impact of mHealth-based HF management interventions on HF outcomes.
The specific aims of the systematic review are to (1) describe current mHealth
based HF interventions and (2) discuss the impact of these interventions on HF
outcomes. METHODS: PubMed, CINAHL Plus, EMBASE, PsycINFO, and Scopus were
systematically searched for randomized controlled trials or quasi-experimental
studies that tested mHealth interventions in people with HF using the terms Heart
Failure, Mobile Health, mHealth, Telemedicine, Text Messaging, Texting, Short
Message Service, Mobile Applications, and Mobile Apps. CONCLUSIONS: Ten articles,
representing 9 studies, were included in this review. The majority of the studies
utilized mobile health technology as part of an HF monitoring system, which
typically included a blood pressure-measuring device, weighing scale, and an
electrocardiogram recorder. The impact of the mHealth interventions on all-cause
mortality, cardiovascular mortality, HF-related hospitalizations, length of stay,
New York Heart Association functional class, left ventricular ejection fraction,
quality of life, and self-care were inconsistent at best. IMPLICATIONS: Further
research is needed to conclusively determine the impact of mHealth interventions
on HF outcomes. The limitations of the current studies (eg, inadequate sample
size, quasi-experimental design, use of older mobile phone models, etc) should be
taken into account when designing future studies.
PMID- 26544176
TI - Exposure of Cleft Lip and Palate Patients to Toxic Elements Released during
Orthodontic Treatment in the Study of Non-Invasive Matrices.
AB - THE OBJECTIVE: The aim of the study was evaluation of metal ions (nickel and
chromium) released from orthodontic appliances in cleft lip and palate patients
and the usefulness of non-invasive matrices (saliva and hair). MATERIALS AND
METHODS: The material studied consisted of 100 individuals, including 59 females
and 41 males of 5 to 16 years of age, which were divided into 3 groups:
experimental-patients with cleft lip and palate (36 individuals, the average
treatment time 5.74 years); control group-patients without cleft lip and palate,
during orthodontic treatment (32 individuals, the average treatment time 1.78
years) and the control group patients without cleft lip and palate, without any
orthodontic appliances (32 individuals). Samples (saliva, hair) were collected
and subjects underwent a survey by questionnaire. Multi-elemental analyses of the
composition of non-invasive matrices was conducted in an accredited laboratory by
inductively coupled plasma spectrometry technique ICP-OES. The results were
reported as mean contents of particular elements (Cd, Cr, Cu, Fe, Mn, Mo, Ni, Si)
in hair and in saliva. RESULTS: The concentration of Cr, Ni, Fe and Cu ions in
saliva of cleft lip and palate patients were several times higher as compared
with not treated orthodontically control groups and higher than in the group with
orthodontic appliances. Among the assessed matrices, hair of cleft lip and palate
patients seem to be not a meaningful biomarker. CONCLUSION: It was found that
orthodontic appliances used in long-term treatment of cleft lip and palate
patients do not release toxic levels of Cr and Ni ions.
PMID- 26544177
TI - Determinants of Visceral Leishmaniasis: A Case-Control Study in Gedaref State,
Sudan.
AB - BACKGROUND: Improving knowledge on local determinants of visceral leishmaniasis
(VL) is crucial to guide the development of relevant control strategies. This
study aimed to identify individual and household level determinants of primary VL
in 24 highly endemic villages of Tabarak Allah hospital's catchment area, Gedaref
State, Sudan. METHODS: From September 2012 to July 2013, in an unmatched case
control design, 198 patients with primary VL were compared to 801 controls free
of VL symptoms and with a negative VL rapid test. Using random spatial sampling,
controls were selected with a distribution of age, sex and village of residence
proportionate to the distribution of the target population. Data were collected
using a structured questionnaire. RESULTS: Children and men were at higher risk
of VL. Reporting VL patient(s) in the household in the previous year was the
strongest VL risk factor. In a multivariate analysis, VL risk increased with
household size, sleep location (outside the yard, not in the farm), evening
outdoor activities in the rainy season (playing, watching TV, radio listening),
use of ground nut oil as animal repellent and of smoke of Acacia seyal as indoor
repellent, presence of dogs in the yard at night, Acacia nilotica in the yard's
immediate surroundings and of a forest at eye range. VL risk appeared to decrease
with the use of drinking water sources other than the village water tank, a
buffer distance from the adjacent house yard, and with the presence of animals
other than dogs in the yard at night. In contrast with previous studies, housing
factors, mosquito-net use, black cotton soil, ethnicity, socioeconomic index,
presence of Balanites aegyptica and Azadirachta indica in the yard were not
independent VL determinants. DISCUSSION AND CONCLUSION: Although these results do
not provide evidence of causality, they provide useful suggestions for guiding
further intervention studies on VL preventive measures.
PMID- 26544178
TI - Mechanism of Focal Adhesion Kinase Mechanosensing.
AB - Mechanosensing at focal adhesions regulates vital cellular processes. Here, we
present results from molecular dynamics (MD) and mechano-biochemical network
simulations that suggest a direct role of Focal Adhesion Kinase (FAK) as a
mechano-sensor. Tensile forces, propagating from the membrane through the PIP2
binding site of the FERM domain and from the cytoskeleton-anchored FAT domain,
activate FAK by unlocking its central phosphorylation site (Tyr576/577) from the
autoinhibitory FERM domain. Varying loading rates, pulling directions, and
membrane PIP2 concentrations corroborate the specific opening of the FERM-kinase
domain interface, due to its remarkably lower mechanical stability compared to
the individual alpha-helical domains and the PIP2-FERM link. Analyzing downstream
signaling networks provides further evidence for an intrinsic mechano-signaling
role of FAK in broadcasting force signals through Ras to the nucleus. This
distinguishes FAK from hitherto identified focal adhesion mechano-responsive
molecules, allowing a new interpretation of cell stretching experiments.
PMID- 26544179
TI - Quantitative Proteomics Analysis of the Hepatitis C Virus Replicon High
Permissive and Low-Permissive Cell Lines.
AB - Chronic hepatitis C virus (HCV) infection is one of the leading causes of severe
hepatitis. The molecular mechanisms underlying HCV replication and pathogenesis
remain unclear. The development of the subgenome replicon model system
significantly enhanced study of HCV. However, the permissiveness of the HCV
subgenome replicon greatly differs among different hepatoma cell lines. Proteomic
analysis of different permissive cell lines might provide new clues in
understanding HCV replication. In this study, to detect potential candidates that
might account for the differences in HCV replication. Label-free and iTRAQ
labeling were used to analyze the differentially expressed protein profiles
between Huh7.5.1 wt and HepG2 cells. A total of 4919 proteins were quantified in
which 114 proteins were commonly identified as differentially expressed by both
quantitative methods. A total of 37 differential proteins were validated by qRT
PCR. The differential expression of Glutathione S-transferase P (GSTP1),
Ubiquitin carboxyl-terminal hydrolase isozyme L1 (UCHL1), carboxylesterase 1
(CES1), vimentin, Proteasome activator complex subunit1 (PSME1), and Cathepsin B
(CTSB) were verified by western blot. And over-expression of CTSB or knock-down
of vimentin induced significant changes to HCV RNA levels. Additionally, we
demonstrated that CTSB was able to inhibit HCV replication and viral protein
translation. These results highlight the potential role of CTSB and vimentin in
virus replication.
PMID- 26544180
TI - Seasonal Variations of Complete Blood Count and Inflammatory Biomarkers in the US
Population - Analysis of NHANES Data.
AB - BACKGROUND: Recent studies reported seasonal differences in gene expression in
white blood cells, adipose tissue, and inflammatory biomarkers of the immune
system. There is no data on the seasonal variations of these biomarkers in the US
general population of both children and adults. Then aim of this study is to
explore the seasonal trends in complete blood count (CBC), and C-reactive protein
(CRP) in a large non-institutionalized US population. METHODS: Seven cross
sectional data collected in the National Health and Nutrition Examination Survey
(NHANES) during 1999-2012 were aggregated; participants reporting recent use of
prescribed steroids, chemotherapy, immunomodulators and antibiotics were
excluded. Linear regression models were used to compare levels of CBC and CRP
between winter-spring (November-April) and summer-fall (May-October), adjusting
for demographics, personal behavioral factors, and chronic disease conditions.
RESULTS: A total of 27,478 children and 36,644 adults (>=18 years) were included
in the study. Levels of neutrophils, white blood cell count (WBC), and CRP were
higher in winter-spring than summer-fall (p<=0.05). Red blood cell components
were lower in winter-spring than in summer-fall, while the opposite was seen for
platelets. CONCLUSIONS: This large population-based study found notable seasonal
variations in blood cell composition and inflammatory biomarkers, with a more pro
inflammatory immune system seen in winter-spring than summer-fall. The red blood
cell patterns could have implications for the observed cardio-vascular
seasonality.
PMID- 26544182
TI - Canopy Interception for a Tallgrass Prairie under Juniper Encroachment.
AB - Rainfall partitioning and redistribution by canopies are important
ecohydrological processes underlying ecosystem dynamics. We quantified and
contrasted spatial and temporal variations of rainfall redistribution for a
juniper (Juniperus virginiana, redcedar) woodland and a tallgrass prairie in the
south-central Great Plains, USA. Our results showed that redcedar trees had high
canopy storage capacity (S) ranging from 2.14 mm for open stands to 3.44 mm for
closed stands. The canopy funneling ratios (F) of redcedar trees varied
substantially among stand type and tree size. The open stands and smaller trees
usually had higher F values and were more efficient in partitioning rainfall into
stemflow. Larger trees were more effective in partitioning rainfall into
throughfall and no significant changes in the total interception ratios among
canopy types and tree size were found. The S values were highly variable for
tallgrass prairie, ranging from 0.27 mm at early growing season to 3.86 mm at
senescence. As a result, the rainfall interception by tallgrass prairie was
characterized by high temporal instability. On an annual basis, our results
showed no significant difference in total rainfall loss to canopy interception
between redcedar trees and tallgrass prairie. Increasing structural complexity
associated with redcedar encroachment into tallgrass prairie changes the rainfall
redistribution and partitioning pattern at both the temporal and spatial scales,
but does not change the overall canopy interception ratios compared with unburned
and ungrazed tallgrass prairie. Our findings support the idea of convergence in
interception ratio for different canopy structures under the same precipitation
regime. The temporal change in rainfall interception loss from redcedar
encroachment is important to understand how juniper encroachment will interact
with changing rainfall regime and potentially alter regional streamflow under
climate change.
PMID- 26544181
TI - Quantitative 'Omics Analyses of Medium Chain Length Polyhydroxyalkanaote
Metabolism in Pseudomonas putida LS46 Cultured with Waste Glycerol and Waste
Fatty Acids.
AB - Transcriptomes and proteomes of Pseudomonas putida LS46 cultured with biodiesel
derived waste glycerol or waste free fatty acids, as sole carbon sources, were
compared under conditions that were either permissive or non-permissive for
synthesis of medium chain length polyhydroxyalkanoates (mcl-PHA). The objectives
of this study were to elucidate mechanisms that influence activation of
biopolymer synthesis, intra-cellular accumulation, and monomer composition, and
determine if these were physiologically specific to the carbon sources used for
growth of P. putida LS46. Active mcl-PHA synthesis by P. putida LS46 was
associated with high expression levels of key mcl-PHA biosynthesis genes and/or
gene products including monomer-supplying proteins, PHA synthases, and granule
associated proteins. 'Omics data suggested that expression of these genes were
regulated by different genetic mechanisms in P. putida LS46 cells in different
physiological states, when cultured on the two waste carbon sources. Optimal
polymer production by P. putida LS46 was primarily limited by less efficient
glycerol metabolism during mcl-PHA synthesis on waste glycerol. Mapping the
'Omics data to the mcl-PHA biosynthetic pathway revealed significant variations
in gene expression, primarily involved in: 1) glycerol transportation; 2)
enzymatic reactions that recycle reducing equivalents and produce key mcl-PHA
biosynthesis pathway intermediates (e.g. NADH/NADPH, acetyl-CoA). Active
synthesis of mcl-PHAs was observed during exponential phase in cultures with
waste free fatty acids, and was associated with the fatty acid beta-oxidation
pathway. A putative Thioesterase in the beta-oxidation pathway that may regulate
the level of fatty acid beta-oxidation intermediates, and thus carbon flux to mcl
PHA biosynthesis, was highly up-regulated. Finally, the data suggested that
differences in expression of selected fatty acid metabolism and mcl-PHA monomer
supplying enzymes may play a role in determining the monomer composition of mcl
PHA polymers. Understanding the relationships between genome content, gene and
gene product expression, and how these factors influence polymer synthesis, will
aid in optimization of mcl-PHA production by P. putida LS46 using biodiesel waste
streams.
PMID- 26544183
TI - Optimizing Mycobacterial Culture in Smear-Negative, Human Immunodeficiency Virus
Infected Tuberculosis Cases.
AB - INTRODUCTION: Tuberculosis (TB) is a significant public health problem and the
diagnosis in human immunodeficiency virus (HIV)-infected individuals is
challenging. The use of mycobacterial culture remains an important complementary
tool and optimizing it has important benefits. We sought to determine the effect
of an increase in the number of specimens evaluated, addition of nutritional
supplementation to the culture medium, sputum appearance and volume on diagnostic
yield and time to detection of pulmonary TB among smear-negative, HIV-infected
adults. METHODS: In this prospective study conducted at the Tshwane District
Hospital and Academic TB Laboratory, Pretoria, South Africa we collected three
sputum specimens an hour apart from presumptive TB cases at an antiretroviral
treatment site. We analysed specimens from 236 patients. Specimen appearance and
volume were recorded. All specimens were processed for culture using both
standard and supplemented media. RESULTS: A single specimen identified 79% of PTB
cases using standard media; the second and third specimens added 12.5% and 8.3%
respectively. Media supplementation, sputum appearance and specimen volume had no
effect on culture yield or contamination rates. The mean time to detection was
reduced from 19.8 days in standard cultures to 11.8 days in nutrient supplemented
cultures (p = 0.002). For every 1 ml increase in sputum volume, time to detection
was decreased by a factor of 0.797 (p = 0.011). CONCLUSION: Use of an inexpensive
culture supplement substantially reduced time to detection and could contribute
to reducing treatment delay among HIV-infected cases.
PMID- 26544185
TI - Movements, Home Range and Site Fidelity of Snapper (Chrysophrys auratus) within a
Temperate Marine Protected Area.
AB - Understanding the movement dynamics of marine fish provides valuable information
that can assist with species management, particularly regarding protection within
marine protected areas (MPAs). We performed an acoustic tagging study implemented
within the Port Stephens-Great Lakes Marine Park on the mid-north coast of New
South Wales, Australia, to assess the movement patterns, home range and diel
activity of snapper (Chrysophrys auratus; Sparidae); a species of significant
recreational and commercial fishing importance in Australia. The study focused on
C. auratus movements around Cabbage Tree Island, which is predominantly a no-take
sanctuary zone (no fishing), with an array of acoustic stations deployed around
the island and adjacent reefs and islands. Thirty C. auratus were tagged with
internal acoustic tags in November 2010 with their movements recorded until
September 2014. Both adult and juvenile C. auratus were observed to display
strong site fidelity to Cabbage Tree Island with a mean 12-month residency index
of 0.83 (range = 0 low to 1 high). Only three fish were detected on acoustic
receivers away from Cabbage Tree Island, with one fish moving a considerable
distance of ~ 290 kms over a short time frame (46 days). The longest period of
residency recorded at the island was for three fish occurring regularly at the
site for a period of 1249 days. Chrysophrys auratus displayed strong diurnal
behaviour and detection frequency was significantly higher during the day than at
night; however, there was no significant difference in detection frequency
between different hours. This study demonstrates that even small-scale protected
areas can benefit C. auratus during multiple life-history stages as it maintains
a small home range and displays strong site fidelity over a period of 3 years.
PMID- 26544184
TI - Nanomolar Caffeic Acid Decreases Glucose Uptake and the Effects of High Glucose
in Endothelial Cells.
AB - Epidemiological studies suggest that moderate and prolonged consumption of coffee
is associated with a reduced risk of developing type 2 diabetes but the molecular
mechanisms underlying this effect are not known. In this study, we report the
effects of physiological concentrations of caffeic acid, easily achievable by
normal dietary habits, in endothelial cells cultured in 25 mM of glucose (high
glucose, HG). In HG, the presence of 10 nM caffeic acid was associated with a
decrease of glucose uptake but not to changes of GLUT-1 membrane localization or
mRNA levels. Moreover, caffeic acid countered HG-induced loss of barrier
integrity, reducing actin rearrangement and FITC-dextran passage. The decreased
flux of glucose associated to caffeic acid affected HG induced apoptosis by down
regulating the expression of initiator (caspase 8 and 9) and effector caspases
(caspase 7 and 3) and by increasing the levels of phosphorylated Bcl-2. We also
observed that caffeic acid in HG condition was associated to a reduction of p65
subunit nuclear levels with respect to HG alone. NF-kappaB activation has been
shown to lead to apoptosis in HG treated cells and the analysis of the expression
of a panel of about 90 genes related to NF-kappaB signaling pathway revealed that
caffeic acid significantly influenced gene expression changes induced by HG. In
conclusion, our results suggest that caffeic acid, decreasing the metabolic
stress induced by HG, allows the activation of survival mechanisms mediated by a
different modulation of NF-kappaB-related signaling pathways and to the
activation of anti-apoptotic proteins.
PMID- 26544186
TI - Elevated Circulating Interleukin 33 Levels in Stable Renal Transplant Recipients
at High Risk for Cardiovascular Events.
AB - BACKGROUND: The Major Adverse Cardiovascular Events calculator (CRCRTR-MACE)
estimates the burden of cardiovascular risk in renal transplant recipients (RTR).
Our recent study of 95 RTR reported the 7-year median risk of cardiovascular
events (CVE) to be 9.97%, ranging from 1.93 to 84.27%. Nearly a third (28.4%) of
the cohort was above 20% risk for a CVE. Since interleukins (ILs) as part of the
inflammatory response may play a role in the pathogenesis of cardiovascular
disease (CVD), we extended this study to identify which ILs are associated with
high cardiovascular risk in this population. METHODS: Twenty-two ILs were
measured by multiplexed fluorescent bead-based immunoassay in 95 RTR and 56
normal controls. Stepwise analysis after multivariate determination of
significant demographic and inflammatory variables was performed between the high
and low-CVD risk groups (which were arbitrarily set at scores <10% and >=20%,
respectively). Normalized data was presented as mean +/- SD and non-normalized
data as median (minimum-maximum). Significance was measured at <0.05. RESULTS:
27.5% of the low-risk and 31.3% of the high-risk groups had mean IL levels above
the 95 percentile of the normal control levels. In the non-parametric analysis IL
6, 9, 16, 17 and 33 were significantly higher in the high-risk group compared to
the control. Univariate analysis (UVA) of the high-risk group identified IL-33 as
the only IL that remained significantly higher than the control and low-risk
groups (p = 0.000). The percentage of patients with IL-33 levels above the 90
percentile of control value in the low and high-risk groups were 15.6% and 52.0%,
respectively (p<0.002). UVA of factors significant to high IL-33 levels included
estimated glomerular filtration rate (eGFR), while diabetes mellitus, serum
phosphorus, microalbuminuria and age also remained significant in the
multivariate analysis. CONCLUSION: Circulating IL-33 level is positively
associated with high CRCRTR-MACE score. Diminished eGFR, age, diabetes, serum
phosphorus and microalbuminurea demonstrate significant relationship with
elevated IL-33 levels, supporting the possible pathognomonic role of IL-33 in the
cardiovascular burden in RTR.
PMID- 26544187
TI - p31comet-Induced Cell Death Is Mediated by Binding and Inactivation of Mad2.
AB - Mad2, a key component of the spindle checkpoint, is closely associated with
chromosomal instability and poor prognosis in cancer. p31comet is a Mad2
interacting protein that serves as a spindle checkpoint silencer at mitosis. In
this study, we showed that p31comet-induced apoptosis and senescence occur via
counteraction of Mad2 activity. Upon retroviral transduction of p31comet, the
majority of human cancer cell lines tested lost the ability to form colonies in a
low-density seeding assay. Cancer cells with p31comet overexpression underwent
distinct apoptosis and/or senescence, irrespective of p53 status, confirming the
cytotoxicity of p31comet. Interestingly, both cytotoxic and Mad2 binding
activities were eliminated upon deletion of the C-terminal 30 amino acids of
p31comet. Point mutation or deletion of the region affecting Mad2 binding
additionally abolished cytotoxic activity. Consistently, wild-type Mad2
interacting with p31comet, but not its non-binding mutant, inhibited cell death,
indicating that the mechanism of p31comet-induced cell death involves Mad2
inactivation. Our results clearly suggest that the regions of p31comet affecting
interactions with Mad2, including the C-terminus, are essential for induction of
cell death. The finding that p31comet-induced cell death is mediated by
interactions with Mad2 that lead to its inactivation is potentially applicable in
anticancer therapy.
PMID- 26544188
TI - Dexrazoxane Diminishes Doxorubicin-Induced Acute Ovarian Damage and Preserves
Ovarian Function and Fecundity in Mice.
AB - Advances in cancer treatment utilizing multiple chemotherapies have dramatically
increased cancer survivorship. Female cancer survivors treated with doxorubicin
(DXR) chemotherapy often suffer from an acute impairment of ovarian function,
which can persist as long-term, permanent ovarian insufficiency. Dexrazoxane
(Dexra) pretreatment reduces DXR-induced insult in the heart, and protects in
vitro cultured murine and non-human primate ovaries, demonstrating a drug-based
shield to prevent DXR insult. The present study tested the ability of Dexra
pretreatment to mitigate acute DXR chemotherapy ovarian toxicity in mice through
the first 24 hours post-treatment, and improve subsequent long-term fertility
throughout the reproductive lifespan. Adolescent CD-1 mice were treated with
Dexra 1 hour prior to DXR treatment in a 1:1 mg or 10:1 mg Dexra:DXR ratio.
During the acute injury period (2-24 hours post-injection), Dexra pretreatment at
a 1:1 mg ratio decreased the extent of double strand DNA breaks, diminished
gammaH2FAX activation, and reduced subsequent follicular cellular demise caused
by DXR. In fertility and fecundity studies, dams pretreated with either Dexra:DXR
dose ratio exhibited litter sizes larger than DXR-treated dams, and mice treated
with a 1:1 mg Dexra:DXR ratio delivered pups with birth weights greater than DXR
treated females. While DXR significantly increased the "infertility index"
(quantifying the percentage of dams failing to achieve pregnancy) through 6
gestations following treatment, Dexra pretreatment significantly reduced the
infertility index following DXR treatment, improving fecundity. Low dose Dexra
not only protected the ovaries, but also bestowed a considerable survival
advantage following exposure to DXR chemotherapy. Mouse survivorship increased
from 25% post-DXR treatment to over 80% with Dexra pretreatment. These data
demonstrate that Dexra provides acute ovarian protection from DXR toxicity,
improving reproductive health in a mouse model, suggesting this clinically
available drug may provide ovarian protection for cancer patients.
PMID- 26544189
TI - Absence of Maternal Methylation in Biparental Hydatidiform Moles from Women with
NLRP7 Maternal-Effect Mutations Reveals Widespread Placenta-Specific Imprinting.
AB - Familial recurrent hydatidiform mole (RHM) is a maternal-effect autosomal
recessive disorder usually associated with mutations of the NLRP7 gene. It is
characterized by HM with excessive trophoblastic proliferation, which mimics the
appearance of androgenetic molar conceptuses despite their diploid biparental
constitution. It has been proposed that the phenotypes of both types of mole are
associated with aberrant genomic imprinting. However no systematic analyses for
imprinting defects have been reported. Here, we present the genome-wide
methylation profiles of both spontaneous androgenetic and biparental NLRP7
defective molar tissues. We observe total paternalization of all ubiquitous and
placenta-specific differentially methylated regions (DMRs) in four androgenetic
moles; namely gain of methylation at paternally methylated loci and absence of
methylation at maternally methylated regions. The methylation defects observed in
five RHM biopsies from NLRP7 defective patients are restricted to lack-of
methylation at maternal DMRs. Surprisingly RHMs from two sisters with the same
missense mutations, as well as consecutive RHMs from one affected female show
subtle allelic methylation differences, suggesting inter-RHM variation. These
epigenotypes are consistent with NLRP7 being a maternal-effect gene and involved
in imprint acquisition in the oocyte. In addition, bioinformatic screening of the
resulting methylation datasets identified over sixty loci with methylation
profiles consistent with imprinting in the placenta, of which we confirm 22 as
novel maternally methylated loci. These observations strongly suggest that the
molar phenotypes are due to defective placenta-specific imprinting and over
expression of paternally expressed transcripts, highlighting that maternal-effect
mutations of NLRP7 are associated with the most severe form of multi-locus
imprinting defects in humans.
PMID- 26544190
TI - Phenotypic Buffering in a Monogenean: Canalization and Developmental Stability in
Shape and Size of the Haptoral Anchors of Ligophorus cephali (Monogenea:
Dactylogyridae).
AB - Phenotypic variation results from the balance between sources of variation and
counteracting regulatory mechanisms. Canalization and developmental stability are
two such mechanisms, acting at two different levels of regulation. The issue of
whether or not they act concurrently as a common developmental buffering capacity
has been subject to debate. We used geometric morphometrics to quantify the
mechanisms that guarantee phenotypic constancy in the haptoral anchors of
Ligophorus cephali. Canalization and developmental stability were appraised by
estimating inter- and intra-individual variation, respectively, in size and shape
of dorsal and ventral anchors. The latter variation was estimated as fluctuating
asymmetry (FA) between anchor pairs. The general-buffering-capacity hypothesis
was tested by two different methods based on correlations and Principal
Components Analyses of the different components of size and shape variation.
Evidence for FA in the dorsal and ventral anchors in both shape and size was
found. Our analyses supported the hypothesis of a general developmental buffering
capacity. The evidence was more compelling for shape than for size and,
particularly, for the ventral anchors than for the dorsal ones. These results are
in line with previous studies of dactylogyrids suggesting that ventral anchors
secure a firmer, more permanent attachment, whereas dorsal anchors are more
mobile. Because fixation to the host is crucial for survival in ectoparasites, we
suggest that homeostatic development of the ventral anchors has been promoted to
ensure the morphological constancy required for efficient attachment. Geometric
morphometrics can be readily applied to other host-monogenean models, affording
not only to disentangle the effects of canalization and developmental stability,
as shown herein, but to further partition the environmental and genetic
components of the former.
PMID- 26544191
TI - Variability of the Left Atrial Appendage in Human Hearts.
AB - Atrial fibrillation increases the risk of thrombus formation. It is commonly
responsible for cerebral stroke whereas less frequently for pulmonary embolism.
The aim of the study was to describe the morphology of the left atrial appendage
in the human heart with respect to sex, age and weight. Macroscopic examination
was carried out on 100 left appendages taken from the hearts of the patients aged
18-77, both sexes. All hearts preserved in 4% water solution of formaldehyde
carried neither marks of coronary artery disease nor congenital abnormalities.
Three axes of appendage orientation were performed. After the appendage had been
cut off, morphological examination was performed in long and perpendicular axes.
Measurements of the appendages were taken from anatomical specimens and their
silicone casts. We classified the left atrial appendage into 4 morphological
groups according to the number of lobes. Most left atrial appendages in female
population were composed of 2 lobes. In the male group typically 2 or 3-lobed
appendages were observed. The mean left atrial appendage orifice ranged from 12.0
to 16.0 mm and the most significant difference in the orifices between males and
females was observed in LAA type 2 (about 3.3 mm). A smaller orifice and
narrower, tubular shape of the LAA lobes could explain a higher risk of thrombus
formation during nonvalvular atrial fibrillation in women. Knowledge of
anatomical variability of the LAA helps diagnose some undefined echoes in the
appendage during transesophageal echocardiographic examination.
PMID- 26544192
TI - Diurnal Glycemic Patterns during an 8-Week Open-Label Proof-of-Concept Trial of
Empagliflozin in Type 1 Diabetes.
AB - BACKGROUND: We recently reported improved glycemic control with reduced insulin
dose in subjects with type 1 diabetes treated with the sodium glucose co
transporter-2 inhibitor empagliflozin. To further characterize the effects, we
analyzed diurnal glycemic patterns by continuous glucose monitoring (CGM).
METHODS: In an 8-week single-arm open-label pilot study of empagliflozin, we
compared ambulatory glucose profiles produced from CGM data during 2-week
intervals in a placebo run-in baseline period, end-of-treatment, and post
treatment. Change in glycemic exposure was evaluated by area under the median
curve according to time of day (AUCTOTAL 12:00am-11:55pm; AUCDAY 7:05am-10:55pm,
AUCNIGHT 11:00pm-7:00am), as well as glycemic variability, glycemic stability and
time-in-target (>=70 to <=140mg/dL). RESULTS: The 40 patients (26 on insulin
pump) were aged 24+/-5 years and BMI 24.5+/-3.2 kg/m2. Consistent with the
observed HbA1c decrease (8.0+/-0.9% to 7.6+/-0.9%, p<0.0001), normalized AUCTOTAL
CGM decreased from 153.7+/-25.4 to 149.0+/-30.2mg/dL?h at end-of-treatment (p =
0.31), and significantly increased post-treatment (164.1+/-29.5mg/dL?h, p =
0.02). The numerical decrease in normalized AUCNIGHT (152.0+/-36.6 to 141.9+/
34.4mg/dL?h, p = 0.13) exceeded AUCDAY (154.5+/-24.5 to 152.6+/-30.4mg/dL?h, p =
0.65). Trends toward lower glycemic variability (83.1+/-18.9 to 75.6+/-28.6mg/dL,
p = 0.06) and little change in glycemic stability (10.8+/-3.6 to 10.3+/
4.5mg/dL/h, p = 0.51) were observed. When empagliflozin was discontinued, these
worsened relative to baseline (89.3+/-19.3mg/dL, p = 0.04 and 11.8+/-3.7mg/dL/hr,
p = 0.08). Time-in-target numerically increased (40.2+/-11.9 to 43.1+/-13.5%, p =
0.69) at end-of-treatment but reversed post-treatment. Findings were similar on
stratification of pump and MDI subjects. CONCLUSIONS: We observed that
empagliflozin was associated with patterns of improved nighttime glycemia more
prominent than daytime. TRIAL REGISTRATION: Clinicaltrials.gov NCT01392560.
PMID- 26544193
TI - Human Salivary Micro-RNA in Patients with Parotid Salivary Gland Neoplasms.
AB - BACKGROUND: Currently, clinical examination, ultrasound scanning (with or without
fine needle aspiration cytology), preoperative CT-scan and MRI are available for
the differential diagnosis of parotid gland swelling. A preliminary non-invasive
salivary diagnostic tool may be helpful in the clinical decision making process.
Altered salivary micro-RNA (miRNA) expression levels have been observed in saliva
from patients with various cancers. Therefore, we investigated miRNA expression
levels in saliva samples from patients with a parotid gland neoplasm using Human
miRNA cards in comparison to controls. RESULTS: In the discovery phase, eight
miRNAs were identified having different expression levels in patients compared to
controls. In the validation phase, the differences in miRNA expression levels
between patients and controls were confirmed for seven out of eight discovered
miRNAs (p < 0.001). A combination of two miRNAs yielded a receiver-operator
characteristics curve with an AUC of 0.94 (95% CI: 0.87-1.00; sensitivity 91%;
specificity 86%). Validation of discovered miRNAs in segregated collected parotid
saliva revealed that expression of these miRNAs differ between whole saliva and
parotid saliva. CONCLUSIONS: A two miRNA combination can predict the presence of
a parotid gland neoplasm. Furthermore, this study suggested that the identified,
patient-specific, salivary miRNAs were not derived from the parotid gland itself.
PMID- 26544194
TI - Management of the Metabolic Syndrome and the Obese Patient with Metabolic
Disturbances: South Asian Perspective.
AB - There is an increased prevalence of obesity and the metabolic syndrome (MS) among
South Asians. The phenotypes of obesity and body fat distribution are different
in South Asians; they have high body fat, intra-abdominal and subcutaneous fat
and fatty liver at a lower body mass index compared to white Caucasians; this has
led to the frequent occurrence of morbidities related to a higher magnitude of
adiposity [e.g. type 2 diabetes mellitus (T2DM), hypertension (HTN) and
dyslipidemia]. The increasing prevalence of obesity and related diseases in the
South Asian population requires aggressive lifestyle management including diet,
physical activity and, sometimes, drugs. For therapeutic interventions, several
drugs can be used either as mono- or combination therapy. Drugs like orlistat,
which is used for the management of obesity, also reduce the risk of T2DM.
Similarly, HMG CoA reductase inhibitors decrease low-density-lipoprotein
cholesterol levels and reduce the risk of cardiovascular diseases. However, some
drugs used for the treatment of HTN (e.g. beta-blockers) may increase the risk of
hyperglycemia and therefore need to be used with caution. Finally, to prevent
obesity, MS and T2DM among South Asians, it is particularly important to
effectively implement and strengthen population-based primary prevention
strategies.
PMID- 26544195
TI - GalR Acts as a Transcriptional Activator of galKT in the Presence of Galactose in
Streptococcus pneumoniae.
AB - We explored the regulatory mechanism of Leloir pathway genes in Streptococcus
pneumoniae D39. Here, we demonstrate that the expression of galKT is galactose
dependent. By microarray analysis and quantitative RT-PCR, we further show the
role of the transcriptional regulator GalR, present upstream of galKT, as a
transcriptional activator of galKT in the presence of galactose. Moreover, we
predict a 19-bp regulatory site (5'-GATAGTTTAGTAAAATTTT-3') for the
transcriptional regulator GalR in the promoter region of galK, which is also
highly conserved in other streptococci. Growth comparison of D39 DeltagalK with
the D39 wild type grown in the presence of galactose shows that galK is required
for the proper growth of S. pneumoniae on galactose.
PMID- 26544196
TI - A Case of Wiedemann-Steiner Syndrome Associated with a 46,XY Disorder of Sexual
Development and Gonadal Dysgenesis.
AB - We report the case of a female patient suffering from a 46,XY disorder of sexual
development (DSD) with complete gonadal dysgenesis and Wiedemann-Steiner Syndrome
(WDSTS). The coexistence of these 2 conditions has not yet been reported. Using
whole exome sequencing and comparative genome hybridization array, we identified
a de novo MLL/KMT2A gene nonsense mutation which explains the WDSTS phenotype. In
addition, we discovered novel genetic variants, which could explain the
testicular dysgenesis observed in the patient, a maternally inherited 167-kb
duplication of DAAM2 and MOCS1 genes and a de novo LRRC33/NRROS gene mutation.
These genes, some of which are expressed during mouse gonadal development, could
be considered as potentially new candidate genes for DSD.
PMID- 26544198
TI - Human mesenchymal stem cells alter the gene profile of monocytes from patients
with Type 2 diabetes and end-stage renal disease.
AB - AIM: Macrophage infiltration contributes to the pathogenesis of Type 2 diabetes.
Mesenchymal stem cells (MSCs) possess immunomodulatory properties, making them an
ideal candidate for therapeutic intervention. This study investigated whether
MSCs can modulate the phenotype of monocytes isolated from Type 2 diabetic
patients with end-stage renal disease. MATERIALS & METHODS: Monocytes from
control (n = 4) and Type 2 diabetic patients with end-stage renal disease (n = 5)
were assessed using flow cytometry and microarray profiling, following 48 h of co
culture with MSCs. RESULTS: Control subjects had a greater proportion of
CD14(++)CD16(-) monocytes while diabetic patients had a higher proportion of
CD14(++)CD16(+) and CD14(+)CD16(++) monocytes. MSCs promoted the proliferation of
monocytes isolated from diabetic patients, reduced HLA-DR expression in both
groups and promoted the expression of anti-inflammatory genes. CONCLUSION: MSC
derived factors alter the polarization of monocytes isolated from healthy and
diabetic subjects toward an M2 phenotype.
PMID- 26544197
TI - Differential Effects of C1qa Ablation on Glaucomatous Damage in Two Sexes in
DBA/2NNia Mice.
AB - PURPOSE: To determine the sex and age-related effects of C1qa ablation on retinal
ganglion cell (RGC) and optic nerve (ON) axonal loss in a mouse model of
glaucomatous neurodegeneration. METHODS: Congenic C1qa mice were generated in the
DBA/2NNia background. Female and male knockout (-/-), heterozygous (+/-), and
wild type (+/+) mice were aged up to 14 months and IOPs were recorded in a subset
of animals. Retinas of mice from all three groups at 5-6, 9-10 and 11-13 months
of age were flat-mounted after retrograde labeling with Fluorogold. Imaged
retinas were scored (RGC score) semi-quantitatively on a 10 point scale by two
independent observers. A subset of retinas and optic nerves were also used for
measurement of total number of RGCs. Semi-thin sections of ON were imaged and
graded (ON score) for the amount of axonal damage semi-quantitatively, by two
masked observers. Analysis of covariance (ANCOVA) was used for statistical
comparisons. Microglial cells in flat-mounted retinas of 5-6 month old C1qa -/-
and C1qa +/+ mice were used for assessment of microglial activation utilizing
morphological criteria. RESULTS: Female C1qa -/- mice had significantly higher
IOP (p<0.000001, ANOVA) between 8 and 13 months of age compared to C1qa +/+
animals. No differences in IOPs between animals of the three genotypes were
observed in males. At 5-6 months of age, there was no difference in RGC or ON
scores between the three genotypes in animals of either sex. At 9-10 months of
age, female mice didn't show significant differences in RGC or ON scores between
the three genotypes. However, male C1qa -/- and C1qa +/- mice of the same age had
better RGC and ON scores (p<0.003 and p<0.05, ANCOVA, for RGC and ON scores,
respectively) compared with C1qa +/+ mice. At 11-13 months of age, female C1qa -/
mice had better RGC scores (p<0.006, ANCOVA) compared to C1qa +/+ and C1qa +/-
animals. Accordingly, C1qa -/- mice had higher RGC counts (p<0.03, t-test)
compared to C1qa +/+ animals. In male mice, there was a tendency for 12 month old
C1qa -/- animals to have better RGC scores and higher RGC counts, but this didn't
reach statistical significance. ON scores in 11-13 month old animals of either
sex were not different between all three genotype. Microglial activation in male
5-6 month old C1qa -/- mice was decreased compared to C1qa +/+ animals; no such
effect was seen in females. CONCLUSIONS: Absence of C1qa ameliorates RGC and ON
loss in the DBA/2NNia strain, but this effect differs between the two sexes. C1q
mediated RGC damage seems to be more potent than IOP-mediated RGC loss. In
contrast, C1qa absence provides axonal protection early on, but this protection
cannot overcome the effects of significant IOP elevation.
PMID- 26544199
TI - Combining Computational and Social Effort for Collaborative Problem Solving.
AB - Rather than replacing human labor, there is growing evidence that networked
computers create opportunities for collaborations of people and algorithms to
solve problems beyond either of them. In this study, we demonstrate the
conditions under which such synergy can arise. We show that, for a design task,
three elements are sufficient: humans apply intuitions to the problem, algorithms
automatically determine and report back on the quality of designs, and humans
observe and innovate on others' designs to focus creative and computational
effort on good designs. This study suggests how such collaborations should be
composed for other domains, as well as how social and computational dynamics
mutually influence one another during collaborative problem solving.
PMID- 26544201
TI - Correction: Whole Grain Intakes in the Diets Of Malaysian Children and
Adolescents - Findings from the MyBreakfast Study.
PMID- 26544200
TI - MHC Class I Expression by Donor Hematopoietic Stem Cells Is Required to Prevent
NK Cell Attack in Allogeneic, but Not Syngeneic Recipient Mice.
AB - NK cells resist engraftment of syngeneic and allogeneic bone marrow (BM) cells
lacking major histocompatibility (MHC) class I molecules, suggesting a critical
role for donor MHC class I molecules in preventing NK cell attack against donor
hematopoietic stem and progenitor cells (HSPCs), and their derivatives. However,
using high-resolution in vivo imaging, we demonstrated here that syngeneic MHC
class I knockout (KO) donor HSPCs persist with the same survival frequencies as
wild-type donor HSPCs. In contrast, syngeneic MHC class I KO differentiated
hematopoietic cells and allogeneic MHC class I KO HSPCs were rejected in a manner
that was significantly inhibited by NK cell depletion. In vivo time-lapse imaging
demonstrated that mice receiving allogeneic MHC class I KO HSPCs showed a
significant increase in NK cell motility and proliferation as well as frequencies
of NK cell contact with and killing of HSPCs as compared to mice receiving wild
type HSPCs. The data indicate that donor MHC class I molecules are required to
prevent NK cell-mediated rejection of syngeneic differentiated cells and
allogeneic HSPCs, but not of syngeneic HSPCs.
PMID- 26544202
TI - Pharmacist-Led Medication Reviews to Identify and Collaboratively Resolve Drug
Related Problems in Psychiatry - A Controlled, Clinical Trial.
AB - AIM OF THE STUDY: This prospective, controlled trial aimed to assess the effect
of pharmacist-led medication reviews on the medication safety of psychiatric
inpatients by the resolution of Drug-Related Problems (DRP). Both the therapy
appropriateness measured with the Medication Appropriateness Index (MAI) and the
number of unsolved DRP per patient were chosen as primary outcome measures.
METHODS: Depending on their time of admission, 269 psychiatric patients that were
admitted to a psychiatric university hospital were allocated in control (09/2012
03/2013) or intervention group (05/2013-12/2013). In both groups, DRP were
identified by comprehensive medication reviews by clinical pharmacists at
admission, during the hospital stay, and at discharge. In the intervention group,
recommendations for identified DRP were compiled by the pharmacists and discussed
with the therapeutic team. In the control group, recommendations were not
provided except for serious or life threatening DRP. As a primary outcome
measure, the changes in therapy appropriateness from admission to discharge as
well as from admission to three months after discharge (follow-up) assessed with
the MAI were compared between both groups. The second primary outcome was the
number of unsolved DRP per patient after completing the study protocol. The DRP
type, the relevance and the potential of drugs to cause DRP were also evaluated.
RESULTS: The intervention led to a reduced MAI score by 1.4 points per patient
(95% confidence interval [CI]: 0.8-2.0) at discharge and 1.3 points (95% CI: 0.7
1.9) at follow-up compared with controls. The number of unsolved DRP in the
intervention group was 1.8 (95% CI: 1.5-2.1) less than in control. CONCLUSION:
The pharmaceutical medication reviews with interdisciplinary discussion of
identified DRP appears to be a worthy strategy to improve medication safety in
psychiatry as reflected by less unsolved DRP per patient and an enhanced
appropriateness of therapy. The promising results of this trial likely warrant
further research that evaluates direct clinical outcomes and health-related
costs. TRIAL REGISTRATION: Deutsches Register Klinischer Studien (DRKS),
DRKS00006358.
PMID- 26544204
TI - Effect of milk fermentation by kefir grains and selected single strains of lactic
acid bacteria on the survival of Mycobacterium bovis BCG.
AB - Mycobacterium bovis that causes Bovine tuberculosis (BTB) can be transmitted to
humans thought consumption of raw and raw fermented milk products from diseased
animals. Lactic acid bacteria (LAB) used in popular traditional milk products in
Africa produce anti-microbial compounds that inhibit some pathogenic and spoilage
bacteria. M. bovis BCG is an attenuated non-pathogenic vaccine strain of M. bovis
and the aim of the study was to determine the effect of the fermentation process
on the survival of M. bovis BCG in milk. M. bovis BCG at concentrations of 6 log
CFU/ml was added to products of kefir fermentation. The survival of M. bovis BCG
was monitored at 12-h intervals for 72 h by enumerating viable cells on
Middlebrook 7H10 agar plates enriched with 2% BD BACTEC PANTATM. M. bovis BCG was
increasingly reduced in sterile kefir that was fermented for a period of 24h and
longer. In the milk fermented with kefir grains, Lactobacillus paracasei subsp.
paracasei or Lactobacillus casei, the viability of M. bovis BCG was reduced by
0.4 logs after 24h and by 2 logs after 48 h of fermentation. No viable M. bovis
BCG was detected after 60 h of fermentation. Results from this study show that
long term fermentation under certain conditions may have the potential to
inactivate M. bovis BCG present in the milk. However, to ensure safety of
fermented milk in Africa, fermentation should be combined with other hurdle
technologies such as boiling and milk pasteurisation.
PMID- 26544203
TI - Cost Effectiveness of Daclatasvir/Asunaprevir Versus Peginterferon/Ribavirin and
Protease Inhibitors for the Treatment of Hepatitis c Genotype 1b Naive Patients
in Chile.
AB - INTRODUCTION: Daclatasvir and Asunaprevir (DCV/ASV) have recently been approved
for the treatment of chronic hepatitis C virus infection. In association, they
are more effective and safer than previous available treatments, but more
expensive. It is unclear if paying for the additional costs is an efficient
strategy considering limited resources. METHODS: A Markov model was built to
estimate the expected costs in Chilean pesos (CL$) and converted to US dollars
(US$) and benefits in quality adjusted life years (QALYs) in a hypothetic cohort
of naive patients receiving DCV/ASV compared to protease inhibitors (PIs) and
Peginterferon plus Ribavirin (PR). Efficacy was obtained from a mixed-treatment
comparison study and costs were estimated from local sources. Utilities were
obtained applying the EQ-5D survey to local patients and then valued with the
Chilean tariff. A time horizon of 46 years and a discount rate of 3% for costs
and outcomes was considered. The ICERs were estimated for a range of DCV/ASV
prices. Deterministic and probabilistic sensitivity analyses were performed.
RESULTS: PIs were extendedly dominated by DCV/ASV. The ICER of DCV/ASV compared
to PR was US$ 16,635/QALY at a total treatment price of US$ 77,419; US$11,581
/QALY at a price of US$ 58,065; US$ 6,375/QALY at a price of US$ 38,710; and US$
1,364 /QALY at a price of US$ 19,355. The probability of cost-effectiveness at a
price of US$ 38,710 was 91.6% while there is a 21.43% probability that DCV/ASV
dominates PR if the total treatment price was US$ 19,355. Although the results
are sensitive to certain parameters, the ICER did not increase above the
suggested threshold of 1 GDP per capita. CONCLUSIONS: DCV/ASV can be considered
cost-effective at any price of the range studied. These results provide decision
makers useful information about the value of incorporating these drugs into the
public Chilean healthcare system.
PMID- 26544205
TI - Fungal dissemination by housefly (Musca domestica L.) and contamination of food
commodities in rural areas of South Africa.
AB - Several insects that act as vectors, including houseflies (Musca domestica L.),
are often considered to be an important source of fungal contamination in human
foods. Houseflies are also involved in the transmission of bacterial pathogens
that may pose a serious hazard to human health. Thus, the rural population of
South Africa, as typified by that in the Gauteng Province investigated in this
study, is at high risk from fungal exposure disseminated by houseflies and it is
therefore important to assess the role of flies in contaminating various food
commodities. Eighty four samples of houseflies (captured from households and pit
toilets) were studied for their potential to carry fungal spores into food
commodities. The fungi occurring in samples of raw maize (15) and porridge (19)
were also assessed. Fungal isolates were identified based on morphological
characteristics by conventional identification methods. Fifteen genera of fungi
were isolated and identified, of which Aspergillus, Fusarium, Penicillium,
Cladosporium, Moniliella and Mucor were the most prevalent in all three sample
types analysed. The incidence rates of fungal contamination per total fungal
count isolated in houseflies, maize and porridge were recorded with mean fungal
load of 2*10(8) CFU/ml, 1*10(7)CFU/g and 2*10(7)CFU/g respectively. Additionally,
A. flavus, A. parasiticus, F. verticillioides, F. proliferatum, P. verrucosum, P.
aurantiogriseum and M. suaveolens were the most frequent fungal isolates in
houseflies with incidence rate of 34%, 11%, 27%, 21%, 22%, 17% and 32%
respectively. F. verticillioides, A. flavus, A. niger and P. oslonii were the
most prevalent species contaminating porridge and maize with incidence rate of
23%, 32%, 16% and 28% in maize samples, while incidence rates of 59%, 15% and 29%
were recorded in porridge samples with the exception of F. verticillioides. The
prevalence of these genera of fungi may pose serious health risks.
PMID- 26544206
TI - [Not Available].
PMID- 26544207
TI - [Not Available].
PMID- 26544208
TI - [Not Available].
PMID- 26544209
TI - [Not Available].
PMID- 26544210
TI - [Not Available].
PMID- 26544211
TI - [Not Available].
PMID- 26544212
TI - [Not Available].
PMID- 26544213
TI - [Not Available].
PMID- 26544214
TI - [Not Available].
PMID- 26544215
TI - [Not Available].
PMID- 26544216
TI - [Not Available].
PMID- 26544217
TI - [Not Available].
PMID- 26544218
TI - [Not Available].
PMID- 26544219
TI - [Not Available].
PMID- 26544220
TI - [Not Available].
PMID- 26544221
TI - [Not Available].
PMID- 26544222
TI - [Not Available].
PMID- 26544223
TI - [Not Available].
PMID- 26544224
TI - [Not Available].
PMID- 26544225
TI - [Not Available].
PMID- 26544226
TI - [Not Available].
PMID- 26544227
TI - [Not Available].
PMID- 26544228
TI - [Not Available].
PMID- 26544229
TI - [Not Available].
PMID- 26544230
TI - [Not Available].
PMID- 26544231
TI - [Not Available].
PMID- 26544232
TI - [Not Available].
PMID- 26544233
TI - [Not Available].
PMID- 26544234
TI - [Not Available].
PMID- 26544235
TI - [Not Available].
PMID- 26544236
TI - [Not Available].
PMID- 26544237
TI - [Not Available].
PMID- 26544238
TI - [Not Available].
PMID- 26544239
TI - [Not Available].
PMID- 26544240
TI - [Not Available].
PMID- 26544241
TI - [Not Available].
PMID- 26544242
TI - [Not Available].
PMID- 26544243
TI - Durable improvements in urinary incontinence and positive treatment response in
patients with idiopathic overactive bladder syndrome following long-term
onabotulinumtoxinA treatment: Final results of 3.5-year study.
AB - OBJECTIVES: Here we present the final results from an extension study assessing
long-term onabotulinumtoxinA treatment (3.5 years) in patients with idiopathic
overactive bladder. METHODS: Patients who completed either of 2 Phase III trials
were eligible to enter a 3-year extension study in which they received multiple
onabotulinumtoxinA (100 U) treatments. Data were analyzed for the overall
population of patients who received 100 U in any treatment cycle (n=829) and
within discrete subgroups of patients who received exactly 1 (n=105), 2 (n=118),
3 (n=117), 4 (n=83), 5 (n=46), or 6 (n=33) treatments of the 100 U dose
throughout the study (n=502). RESULTS: Of the 829 patients enrolled, 51.7 %
completed the study. Discontinuations due to AEs/lack of efficacy were low
(5.1/5.7 %); other reasons were not treatment-related. Mean reductions from
baseline in urinary incontinence (UI) episodes/day (week 12; co-primary endpoint)
were consistent among discrete subgroups who received 1 (-3.1), 2 (-2.9, -3.2), 3
(-4.1 to -4.5), 4 (-3.4 to -3.8), 5 (-3.0 to -3.6), or 6 (-3.1 to -4.1)
treatments. A consistently high proportion of patients reported improvement/great
improvement on the Treatment Benefit Scale (week 12; co-primary endpoint) in the
discrete subgroups across all treatments (70.0-93.5 %). Median time to request
retreatment was <=6 months for 34.2 %, >6-<=12 months for 37.2 %, and >12 months
for 28.5 % of patients. Most common AE was UTI, with no changes in safety profile
over time. CONCLUSION: Long-term onabotulinumtoxinA treatment resulted in
consistent reductions in UI and high proportions of patients reporting
improvement after each treatment, with no new safety findings.
PMID- 26544244
TI - [Not Available].
PMID- 26544245
TI - [Not Available].
PMID- 26544246
TI - [Not Available].
PMID- 26544247
TI - [Not Available].
PMID- 26544248
TI - [Not Available].
PMID- 26544249
TI - [Not Available].
PMID- 26544250
TI - [Not Available].
PMID- 26544251
TI - [Not Available].
PMID- 26544252
TI - [Not Available].
PMID- 26544253
TI - [Not Available].
PMID- 26544254
TI - [Not Available].
PMID- 26544255
TI - [Not Available].
PMID- 26544256
TI - [Not Available].
PMID- 26544257
TI - [Not Available].
PMID- 26544258
TI - [Not Available].
PMID- 26544259
TI - [Not Available].
PMID- 26544260
TI - [Not Available].
PMID- 26544261
TI - [Not Available].
PMID- 26544262
TI - [Not Available].
PMID- 26544263
TI - [Not Available].
PMID- 26544264
TI - [Not Available].
PMID- 26544265
TI - [Not Available].
PMID- 26544266
TI - [Not Available].
PMID- 26544268
TI - [Not Available].
PMID- 26544267
TI - [Not Available].
PMID- 26544269
TI - [Not Available].
PMID- 26544270
TI - [Not Available].
PMID- 26544271
TI - [Not Available].
PMID- 26544272
TI - [Not Available].
PMID- 26544273
TI - [Not Available].
PMID- 26544274
TI - [Not Available].
PMID- 26544275
TI - [Not Available].
PMID- 26544276
TI - [Not Available].
PMID- 26544277
TI - [Not Available].
PMID- 26544278
TI - [Not Available].
PMID- 26544279
TI - [Not Available].
PMID- 26544280
TI - [Not Available].
PMID- 26544281
TI - [Not Available].
PMID- 26544282
TI - [Not Available].
PMID- 26544283
TI - [Not Available].
PMID- 26544284
TI - [Not Available].
PMID- 26544285
TI - [Not Available].
PMID- 26544286
TI - [Not Available].
PMID- 26544287
TI - [Not Available].
PMID- 26544288
TI - [Not Available].
PMID- 26544289
TI - [Not Available].
PMID- 26544290
TI - [Not Available].
PMID- 26544291
TI - [Not Available].
PMID- 26544292
TI - [Not Available].
PMID- 26544293
TI - [Not Available].
PMID- 26544294
TI - [Not Available].
PMID- 26544295
TI - [Not Available].
PMID- 26544296
TI - [Not Available].
PMID- 26544297
TI - [Not Available].
PMID- 26544298
TI - [Not Available].
PMID- 26544300
TI - [Not Available].
PMID- 26544301
TI - [Not Available].
PMID- 26544299
TI - [Not Available].
PMID- 26544302
TI - [Not Available].
PMID- 26544303
TI - [Not Available].
PMID- 26544304
TI - [Not Available].
PMID- 26544305
TI - [Not Available].
PMID- 26544306
TI - [Not Available].
PMID- 26544307
TI - [Not Available].
PMID- 26544308
TI - [Not Available].
PMID- 26544309
TI - [Not Available].
PMID- 26544310
TI - [Not Available].
PMID- 26544311
TI - [Not Available].
PMID- 26544312
TI - [Not Available].
PMID- 26544313
TI - [Not Available].
PMID- 26544314
TI - [Not Available].
PMID- 26544315
TI - [Not Available].
PMID- 26544316
TI - [Not Available].
PMID- 26544317
TI - [Not Available].
PMID- 26544318
TI - [Not Available].
PMID- 26544319
TI - [Not Available].
PMID- 26544320
TI - [Not Available].
PMID- 26544321
TI - [Not Available].
PMID- 26544322
TI - [Not Available].
PMID- 26544323
TI - [Not Available].
PMID- 26544324
TI - [Not Available].
PMID- 26544325
TI - [Not Available].
PMID- 26544327
TI - [Not Available].
PMID- 26544326
TI - [Not Available].
PMID- 26544328
TI - [Not Available].
PMID- 26544329
TI - [Not Available].
PMID- 26544330
TI - [Not Available].
PMID- 26544331
TI - [Not Available].
PMID- 26544332
TI - [Not Available].
PMID- 26544333
TI - [Not Available].
PMID- 26544334
TI - [Not Available].
PMID- 26544335
TI - [Not Available].
PMID- 26544336
TI - [Not Available].
PMID- 26544337
TI - [Not Available].
PMID- 26544339
TI - [Not Available].
PMID- 26544338
TI - [Not Available].
PMID- 26544340
TI - [Not Available].
PMID- 26544341
TI - [Not Available].
PMID- 26544342
TI - [Not Available].
PMID- 26544343
TI - [Not Available].
PMID- 26544344
TI - [Not Available].
PMID- 26544345
TI - [Not Available].
PMID- 26544346
TI - [Not Available].
PMID- 26544347
TI - [Not Available].
PMID- 26544348
TI - [Not Available].
PMID- 26544349
TI - [Not Available].
PMID- 26544350
TI - [Not Available].
PMID- 26544351
TI - [Not Available].
PMID- 26544352
TI - [Not Available].
PMID- 26544353
TI - [Not Available].
PMID- 26544354
TI - [Not Available].
PMID- 26544355
TI - [Not Available].
PMID- 26544356
TI - [Not Available].
PMID- 26544358
TI - [Not Available].
PMID- 26544357
TI - [Not Available].
PMID- 26544359
TI - [Not Available].
PMID- 26544360
TI - [Not Available].
PMID- 26544361
TI - [Not Available].
PMID- 26544362
TI - [Not Available].
PMID- 26544363
TI - [Not Available].
PMID- 26544365
TI - [Not Available].
PMID- 26544364
TI - [Not Available].
PMID- 26544366
TI - [Not Available].
PMID- 26544367
TI - [Not Available].
PMID- 26544368
TI - [Not Available].
PMID- 26544369
TI - [Not Available].
PMID- 26544370
TI - [Not Available].
PMID- 26544371
TI - [Not Available].
PMID- 26544372
TI - [Not Available].
PMID- 26544373
TI - [Not Available].
PMID- 26544374
TI - [Not Available].
PMID- 26544375
TI - [Not Available].
PMID- 26544376
TI - [Not Available].
PMID- 26544377
TI - [Not Available].
PMID- 26544378
TI - [Not Available].
PMID- 26544379
TI - [Not Available].
PMID- 26544380
TI - [Not Available].
PMID- 26544381
TI - [Not Available].
PMID- 26544382
TI - [Not Available].
PMID- 26544383
TI - [Not Available].
PMID- 26544384
TI - [Not Available].
PMID- 26544385
TI - [Not Available].
PMID- 26544386
TI - [Not Available].
PMID- 26544387
TI - [Not Available].
PMID- 26544388
TI - [Not Available].
PMID- 26544389
TI - [Not Available].
PMID- 26544390
TI - [Not Available].
PMID- 26544391
TI - [Not Available].
PMID- 26544392
TI - [Not Available].
PMID- 26544393
TI - [Not Available].
PMID- 26544394
TI - [Not Available].
PMID- 26544395
TI - [Not Available].
PMID- 26544396
TI - [Not Available].
PMID- 26544397
TI - [Not Available].
PMID- 26544398
TI - [Not Available].
PMID- 26544399
TI - [Not Available].
PMID- 26544400
TI - [Not Available].
PMID- 26544402
TI - [Not Available].
PMID- 26544401
TI - [Not Available].
PMID- 26544403
TI - [Not Available].
PMID- 26544404
TI - [Not Available].
PMID- 26544405
TI - [Not Available].
PMID- 26544406
TI - [Not Available].
PMID- 26544407
TI - [Not Available].
PMID- 26544408
TI - [Not Available].
PMID- 26544409
TI - [Not Available].
PMID- 26544410
TI - [Not Available].
PMID- 26544411
TI - [Not Available].
PMID- 26544412
TI - [Not Available].
PMID- 26544413
TI - [Not Available].
PMID- 26544414
TI - [Not Available].
PMID- 26544416
TI - [Not Available].
PMID- 26544415
TI - [Not Available].
PMID- 26544417
TI - [Not Available].
PMID- 26544418
TI - [Not Available].
PMID- 26544419
TI - [Not Available].
PMID- 26544420
TI - [Not Available].
PMID- 26544421
TI - [Not Available].
PMID- 26544422
TI - [Not Available].
PMID- 26544423
TI - [Not Available].
PMID- 26544424
TI - [Not Available].
PMID- 26544425
TI - [Not Available].
PMID- 26544426
TI - [Not Available].
PMID- 26544427
TI - [Not Available].
PMID- 26544428
TI - [Not Available].
PMID- 26544429
TI - [Not Available].
PMID- 26544430
TI - [Not Available].
PMID- 26544431
TI - [Not Available].
PMID- 26544432
TI - [Not Available].
PMID- 26544433
TI - [Not Available].
PMID- 26544434
TI - [Not Available].
PMID- 26544435
TI - [Not Available].
PMID- 26544436
TI - [Not Available].
PMID- 26544437
TI - [Not Available].
PMID- 26544438
TI - [Not Available].
PMID- 26544439
TI - [Not Available].
PMID- 26544440
TI - [Not Available].
PMID- 26544441
TI - [Not Available].
PMID- 26544442
TI - [Not Available].
PMID- 26544443
TI - [Not Available].
PMID- 26544444
TI - [Not Available].
PMID- 26544445
TI - [Not Available].
PMID- 26544446
TI - [Not Available].
PMID- 26544447
TI - [Not Available].
PMID- 26544448
TI - [Not Available].
PMID- 26544449
TI - [Not Available].
PMID- 26544450
TI - [Not Available].
PMID- 26544451
TI - [Not Available].
PMID- 26544452
TI - [Not Available].
PMID- 26544453
TI - [Not Available].
PMID- 26544454
TI - [Not Available].
PMID- 26544455
TI - [Not Available].
PMID- 26544457
TI - [Not Available].
PMID- 26544456
TI - [Not Available].
PMID- 26544458
TI - [Not Available].
PMID- 26544459
TI - [Not Available].
PMID- 26544460
TI - [Not Available].
PMID- 26544461
TI - [Not Available].
PMID- 26544462
TI - [Not Available].
PMID- 26544463
TI - [Not Available].
PMID- 26544464
TI - [Not Available].
PMID- 26544465
TI - [Not Available].
PMID- 26544466
TI - [Not Available].
PMID- 26544467
TI - [Not Available].
PMID- 26544468
TI - [Not Available].
PMID- 26544469
TI - [Not Available].
PMID- 26544470
TI - [Not Available].
PMID- 26544471
TI - [Not Available].
PMID- 26544472
TI - [Not Available].
PMID- 26544473
TI - [Not Available].
PMID- 26544474
TI - [Not Available].
PMID- 26544475
TI - [Not Available].
PMID- 26544477
TI - [Not Available].
PMID- 26544476
TI - [Not Available].
PMID- 26544478
TI - [Not Available].
PMID- 26544479
TI - [Not Available].
PMID- 26544480
TI - [Not Available].
PMID- 26544481
TI - [Not Available].
PMID- 26544482
TI - [Not Available].
PMID- 26544483
TI - [Not Available].
PMID- 26544484
TI - [Not Available].
PMID- 26544485
TI - [Not Available].
PMID- 26544487
TI - [Not Available].
PMID- 26544486
TI - [Not Available].
PMID- 26544488
TI - [Not Available].
PMID- 26544489
TI - [Not Available].
PMID- 26544490
TI - [Not Available].
PMID- 26544491
TI - [Not Available].
PMID- 26544492
TI - [Not Available].
PMID- 26544493
TI - [Not Available].
PMID- 26544494
TI - [Not Available].
PMID- 26544495
TI - [Not Available].
PMID- 26544496
TI - [Not Available].
PMID- 26544497
TI - [Not Available].
PMID- 26544498
TI - [Not Available].
PMID- 26544499
TI - [Not Available].
PMID- 26544500
TI - [Not Available].
PMID- 26544501
TI - [Not Available].
PMID- 26544502
TI - [Not Available].
PMID- 26544503
TI - [Not Available].
PMID- 26544504
TI - Modulating the nitrite reductase activity of globins by varying the heme
substituents: Utilizing myoglobin as a model system.
AB - Globins, such as hemoglobin (Hb) and myoglobin (Mb), have gained attention for
their ability to reduce nitrite (NO2(-)) to nitric oxide (NO). The molecular
interactions that regulate this chemistry are not fully elucidated, therefore we
address this issue by investigating one part of the active site that may control
this reaction. Here, the effects of the 2,4-heme substituents on the nitrite
reductase (NiR) reaction, and on the structures and energies of the ferrous
nitrite intermediates, are investigated using Mb as a model system. This is
accomplished by studying Mbs with hemes that have different 2,4-R groups, namely
diacetyldeuteroMb (-acetyl), protoMb (wild-type (wt) Mb, -vinyl), deuteroMb (-H),
and mesoMb (-ethyl). While trends on the natural charge on Fe and O-atom of bound
nitrite are observed among the series of Mbs, the Fe(II)-NPyr (Pyr=pyrrole) and
Fe(II)-NHis93 (His=histidine) bond lengths do not significantly change. Kinetic
analysis shows increasing NiR activity as follows: diacetyldeuteroMb60 years old, n = 47), treatment with HDC/IL-2 resulted in an expansion of
CD56(bright) and CD16+ NK cells in blood along with an increased NK cell
expression of the natural cytotoxicity receptors (NCR) NKp30 and NKp46. In older
patients, a high expression of NKp30 or NKp46 on CD16+ NK cells before and during
therapy predicted leukemia-free and overall survival. These results suggest that
NK cell functions determine relapse risk and survival in older AML patients and
point to biomarkers of efficacy in protocols for remission maintenance.
PMID- 26544513
TI - Mutant HRAS as novel target for MEK and mTOR inhibitors.
AB - HRAS is a frequently mutated oncogene in cancer. However, mutant HRAS as drug
target has not been investigated so far. Here, we show that mutant HRAS
hyperactivates the RAS and the mTOR pathway in various cancer cell lines
including lung, bladder and esophageal cancer. HRAS mutation sensitized toward
growth inhibition by the MEK inhibitors AZD6244, MEK162 and PD0325901. Further,
we found that MEK inhibitors induce apoptosis in mutant HRAS cell lines but not
in cell lines lacking RAS mutations. In addition, knockdown of HRAS by siRNA
blocked cell growth in mutant HRAS cell lines. Inhibition of the PI3K pathway
alone or in combination with MEK inhibitors did not alter signaling nor had an
impact on viability. However, inhibition of mTOR or combined inhibition of MEK
and mTOR reduced cell growth in a synergistic manner. Finally, Ba/F3 cells
transformed with mutant HRAS isoforms Q61L, Q61R and G12V demonstrated equal
sensitivity towards MEK and mTOR inhibition. Our results show that HRAS mutations
in cancer activate the RAS and mTOR pathways which might serve as a therapeutic
option for patients with HRAS mutant tumors.
PMID- 26544514
TI - Diffusion kurtosis imaging can efficiently assess the glioma grade and cellular
proliferation.
AB - Conventional diffusion imaging techniques are not sufficiently accurate for
evaluating glioma grade and cellular proliferation, which are critical for
guiding glioma treatment. Diffusion kurtosis imaging (DKI), an advanced non
Gaussian diffusion imaging technique, has shown potential in grading glioma;
however, its applications in this tumor have not been fully elucidated. In this
study, DKI and diffusion weighted imaging (DWI) were performed on 74 consecutive
patients with histopathologically confirmed glioma. The kurtosis and conventional
diffusion metric values of the tumor were semi-automatically obtained. The
relationships of these metrics with the glioma grade and Ki-67 expression were
evaluated. The diagnostic efficiency of these metrics in grading was further
compared. It was demonstrated that compared with the conventional diffusion
metrics, the kurtosis metrics were more promising imaging markers in
distinguishing high-grade from low-grade gliomas and distinguishing among grade
II, III and IV gliomas; the kurtosis metrics also showed great potential in the
prediction of Ki-67 expression. To our best knowledge, we are the first to reveal
the ability of DKI to assess the cellular proliferation of gliomas, and to employ
the semi-automatic method for the accurate measurement of gliomas. These results
could have a significant impact on the diagnosis and subsequent therapy of
glioma.
PMID- 26544516
TI - The Impact of Resident Duty Hour and Supervision Changes: A Review.
AB - In 2003 and again in 2011, the Accreditation Council for Graduate Medical
Education (ACGME) instituted increasingly stringent requirements governing
resident hours and supervision, with the goals of improving patient safety,
resident well-being, and resident education. Although the changes initially
stemmed from a catastrophic outcome in a patient treated with psychotropic
medications and behavioral restraints, and have been in place over a decade, many
psychiatrists are not familiar with these changes and with their potential
effects and "side effects" on today's trainees and faculty. The authors review
the history leading to these changes, summarize the revised requirements, and
review representative literature regarding the impact of the changes. The
existing studies of the impact of the new requirements on improving patient
safety, resident well-being, and resident education are inconclusive, and most
editorials, perspectives, and surveys of faculty and residents reflect a lack of
enthusiasm for the changes. They go on to suggest the need for evidence-based
outcome studies prior to the institution of further major changes in ACGME
requirements.
PMID- 26544515
TI - Rearranged EML4-ALK fusion transcripts sequester in circulating blood platelets
and enable blood-based crizotinib response monitoring in non-small-cell lung
cancer.
AB - PURPOSE: Non-small-cell lung cancers harboring EML4-ALK rearrangements are
sensitive to crizotinib. However, despite initial response, most patients will
eventually relapse, and monitoring EML4-ALK rearrangements over the course of
treatment may help identify these patients. However, challenges associated with
serial tumor biopsies have highlighted the need for blood-based assays for the
monitoring of biomarkers. Platelets can sequester RNA released by tumor cells and
are thus an attractive source for the non-invasive assessment of biomarkers.
METHODS: EML4-ALK rearrangements were analyzed by RT-PCR in platelets and plasma
isolated from blood obtained from 77 patients with non-small-cell lung cancer, 38
of whom had EML4-ALK-rearranged tumors. In a subset of 29 patients with EML4-ALK
rearranged tumors who were treated with crizotinib, EML4-ALK rearrangements in
platelets were correlated with progression-free and overall survival. RESULTS: RT
PCR demonstrated 65% sensitivity and 100% specificity for the detection of EML4
ALK rearrangements in platelets. In the subset of 29 patients treated with
crizotinib, progression-free survival was 3.7 months for patients with EML4-ALK+
platelets and 16 months for those with EML4-ALK- platelets (hazard ratio, 3.5; P
= 0.02). Monitoring of EML4-ALK rearrangements in the platelets of one patient
over a period of 30 months revealed crizotinib resistance two months prior to
radiographic disease progression. CONCLUSIONS: Platelets are a valuable source
for the non-invasive detection of EML4-ALK rearrangements and may prove useful
for predicting and monitoring outcome to crizotinib, thereby improving clinical
decisions based on radiographic imaging alone.
PMID- 26544517
TI - The fate of MtBE during Fenton-like treatments through laboratory scale column
tests.
AB - In Situ Chemical Oxidation (ISCO) based on the Fenton's process is a proven
technology for the treatment of groundwater contaminated by organic compounds.
Nevertheless, the application of this treatment process to methyl tert-butyl
ether (MtBE) is questioned, as there are concerns about its capacity to achieve
complete mineralization. Many existing studies have focused on water contaminated
by MtBE and are thus not representative of in situ treatments since they do not
consider the presence of soil. In this work, the effectiveness of a Fenton-like
process for MtBE treatment was proven in soil column tests performed at operating
conditions (i.e., oxidant and contaminant concentration and flow rates)
resembling those typically used for in situ applications. No MtBE by-products
were detected in any of the tested conditions, thus suggesting that the tert
butyl group of MtBE was completely degraded. A mass balance based on the CO2
produced was used as evidence that most of the MtBE removed was actually
mineralized. Finally, the obtained results show that preconditioning of soil with
a chelating agent (EDTA) significantly enhanced MtBE oxidation.
PMID- 26544520
TI - [In Process Citation].
PMID- 26544518
TI - Ameliorative effects of thymoquinone against eye lens changes in streptozotocin
diabetic rats.
AB - The possible protective effect of thymoquinone against eye lens changes in
diabetic rats was investigated. Following diabetes induction by a single
injection of streptozotocin (45 mg/kg, i.p.), thymoquinone was administered in
three different doses (20, 40, and 80 mg/kg/day, p.o.) for 12 weeks. Thymoquinone
significantly and dose-dependently attenuated the hypoinsulinemia and
hyperglycemia in diabetic rats. Also, thymoquinone (particularly 40 and 80 mg/kg)
significantly decreased the elevations of malondialdehyde, nitric oxide, tumor
necrosis factor-alpha, glycated proteins, aldose reductase activity, sorbitol
level, and caspase-3 activity in the lens tissues of diabetic rats. In addition,
thymoquinone (particularly 40 and 80 mg/kg) significantly ameliorated the
diabetes-induced reductions of glutathione peroxidase, superoxide dismutase, and
catalase activities, and total and soluble protein contents in the lens tissues.
It was concluded that thymoquinone significantly protected the lens tissue
against changes induced by diabetes in rats through its antioxidant, anti
inflammatory, and antidiabetic effects.
PMID- 26544522
TI - Automated interpretation of home blood pressure assessment (Hy-Result software)
versus physician's assessment: a validation study.
AB - OBJECTIVE: Hy-Result is the first software for self-interpretation of home blood
pressure measurement results, taking into account both the recommended thresholds
for normal values and patient characteristics. We compare the software-generated
classification with the physician's evaluation. DESIGN METHOD: The primary
assessment criterion was whether algorithm classification of the blood pressure
(BP) status concurred with the physician's advice (blinded to the software's
results) following a consultation (n=195 patients). Secondary assessment was the
reliability of text messages. RESULTS: In the 58 untreated patients, the
agreement between classification of the BP status generated by the software and
the physician's classification was 87.9%. In the 137 treated patients, the
agreement was 91.9%. The kappa-test applied for all the patients was 0.81 (95%
confidence interval: 0.73-0.89). After correction of errors identified in the
algorithm during the study, agreement increased to 95.4% [kappa=0.9 (95%
confidence interval: 0.84-0.97)]. For 100% of the patients with comorbidities
(n=46), specific text messages were generated, indicating that a physician might
recommend a target BP lower than 135/85 mmHg. Specific text messages were also
generated for 100% of the patients for whom global cardiovascular risks markedly
exceeded norms. CONCLUSION: Classification by Hy-Result is at least as accurate
as that of a specialist in current practice (http://www.hy-result.com).
PMID- 26544523
TI - Validation of the Andon KD595 for clinical use and self-measurement according to
the European Society of Hypertension International Protocol.
AB - This study aimed to evaluate the accuracy of the automated oscillometric upper
arm blood pressure monitor Andon KD595 for home blood pressure monitoring
according to the European Society of Hypertension International Protocol revision
2010. Systolic blood pressure (SBP) and diastolic blood pressure (DBP) were
sequentially measured in 33 participants using the standard mercury
sphygmomanometer and the Andon KD595 device. Ninety-nine pairs of comparisons
were obtained from 33 participants for analysis. The KD595 device achieved the
targets in part 1 of the validation study. The number of absolute differences
between the device and the observers within a range of 5, 10, and 15 mmHg was
72/99, 93/99, and 96/99, respectively, for SBP and 72/99, 96/99, and 99/99,
respectively, for DBP. The device also achieved the targets in part 2 of the
validation study. A total of 28 and 25 participants had at least two of the three
device-observer differences within 5 mmHg (required>=24) for SBP and DBP,
respectively. The number of participants without device-observer difference
within 5 mmHg was two for SBP and two for DBP (required<=3). The Andon upper arm
blood pressure monitor KD595 has passed the International Protocol requirements
and it can be recommended for clinical use and self-measurement in adults.
PMID- 26544524
TI - Spontaneous blood pressure oscillations in mechanically ventilated patients with
sepsis.
AB - OBJECTIVE: In the present hypothesis-generating study, we investigated whether
spontaneous blood pressure oscillations are suppressed to lower frequencies, and
whether abolished oscillations are associated with an adverse outcome in
mechanically ventilated patients with sepsis. METHODS: We retrospectively
subjected invasive steady-state blood pressure recordings from 65 mechanically
ventilated patients with sepsis to spectral analysis. Modified spectral bands
were visually identified by plotting spectral power against frequency. RESULTS:
Modified middle-frequency and low-frequency (MF' and LF') oscillations were
absent in 9% and 22% of the patients, respectively. In patients in whom
spontaneous blood pressure oscillations were preserved, the MF' oscillations
occurred at 0.021 Hz (median, interquartile range 0.013-0.030), whereas the LF'
oscillations occurred at 0.009 Hz (median, interquartile range 0.006-0.010). The
absence of LF' oscillations was associated with a higher 30-day mortality [50 vs.
18%, hazard ratio, 3.6 (95% confidence interval: 1.4-9.8), P=0.01]. CONCLUSION:
Spontaneous blood pressure oscillations in mechanically ventilated septic
patients may be suppressed to lower frequencies than previously reported for
spontaneously breathing, healthy humans. Patients in whom the resultant changes
in blood pressure (MF' and LF' oscillations) are abolished may have a higher risk
of an adverse outcome. This may reflect suppression of the pressor area in the
brainstem with subsequent sympathetic dysfunction.
PMID- 26544525
TI - Is renal denervation an effective treatment for hypertension? Comparison of
recent meta-analysis and a multinational registry.
AB - We compared the impact of renal denervation (RDN) on blood pressures using
results available from a recent comprehensive meta-analysis and an international
registry. The meta-analysis summarized recent trials in which RDN was compared
with control groups that were treated only with antihypertensive medication; the
registry only included patients treated with RDN. Both publications presented
pretreatment pressures and changes 6 months postbaseline. Significant reductions
in office systolic pressure and 24 h ambulatory systolic pressure were observed
in both groups of the meta-analysis and the registry. However, the magnitude of
blood pressure reduction with RDN and medical treatment was comparable in both
the meta-analysis and registry. RDN has not been shown to be superior to medical
management of hypertension in this combined experience of nearly 2000
hypertensive patients.
PMID- 26544526
TI - Assessment of selective motor control in clinical Gillette's test using
electromyography.
AB - BACKGROUND: Selective motor control (SMC), the ability to isolate selected muscle
activation during a functional task, is often impaired. Gillette's SMC scale is
commonly used to classify the impairment level; however it may not be sensitive
to muscle coactivation. AIM: To characterize differences in muscle activation
levels and coactivation incidence in Gillette's SMC grade levels. DESIGN: Non
randomized observational study. SETTING: Participants were recruited and examined
in the motion analysis laboratory of a university hospital. POPULATION: Forty-two
participants were enrolled: 23 patients with CP (13 females, 10 males; 15+/-5.59
years, range: 7-28 years; bilateral involvement; GMFCS levels I to III) and 19
able-bodied volunteers (14 females, 5 males; 22+/-1.54 years, range: 20-24
years). METHODS: Participants flexed each knee three times at self-paced
velocity. Each limb was classified into one of three types using Gillette's SMC
scale: Type 0 (CP limbs with no ability to isolate movement), Type 2 (CP limbs
with complete isolation of movement) and Type C (Control limbs of able-bodied
volunteers). Surface electromyography recorded muscle activation levels of
hamstring, rectus femoris, hip adductor, gastrocnemius and tibialis anterior
muscles. We applied the Friedman ANOVA chi2 Test to analyze muscle co-activation
incidence and Kruskal-Wallis ANOVA and Median Tests to analyze muscle activation
levels. We used the Wilcoxon Matched-Pairs Test to compare results between SMC
Types. RESULTS: Comparing mean activation levels of the majority of muscles, we
found: CP limbs (Type 0+2) > Control limbs (P<0.001); Type 0 > Type 2 (P<0.05);
and Type 2 > Type C (P<0.01). The incidence of muscle co-activation was affected
by CP (P=0.008) and differed by SMC type (P<0.001). CONCLUSIONS: Our quantitative
study confirmed that SMC is worse in Gillette's Type 0 limbs than in Type 2
limbs. We also found that the SMC of Type 2 limbs of CP patients in CP patents is
not equivalent to that of Type 2 limbs in able-bodied volunteers. CLINICAL
REHABILITATION IMPACT: A better characterization of this clinical test will help
gauge its usefulness in evaluating the effectiveness of rehabilitation
treatments.
PMID- 26544527
TI - Studies on Tris(2-aminobenzimidazole)-PNA Based Artificial Nucleases: A
Comparison of Two Analytical Techniques.
AB - A new peptide nucleic acid (PNA) construct carrying a tris(2-aminobenzimidazole)
phosphodiester cleaver is presented. This non-metal-based artificial nuclease
hydrolyzes RNA substrates that form a bulge upon binding to the PNA. Reaction
rates depend on the bulge sequence. For conjugates of tris(2-aminobenzimidazole),
substrate turnover is shown for the first time. Two methods of analysis for the
kinetics are compared: IE-HPLC separation of oligonucleotide fragments and
analysis of Cy5-labeled oligonucleotide fragments by denaturating PAGE on a DNA
sequencer, respectively. The different methods give rates that are in the same
range where, in general, the substrates for the sequencer method give slightly
lower rates.
PMID- 26544528
TI - Uranium(VI) Scavenging by Amorphous Iron Phosphate Encrusting Sphaerotilus natans
Filaments.
AB - U(VI) sorption to iron oxyhydroxides, precipitation of phosphate minerals, as
well as biosorption on bacterial biomass are among the most reported processes
able to scavenge U(VI) under oxidizing conditions. Although phosphates
significantly influence bacterially mediated as well as iron oxyhydroxide
mediated scavenging of uranium, the sorption or coprecipitation of U(VI) with
poorly crystalline nanosized iron phosphates has been scarcely documented,
especially in the presence of microorganisms. Here we show that dissolved U(VI)
can be bound to amorphous iron phosphate during their deposition on Sphaerotilus
natans filamentous bacteria. Uranium LIII-edge EXAFS analysis reveals that the
adsorbed uranyl ions share an equatorial oxygen atom with a phosphate tetrahedron
of the amorphous iron phosphate, with a characteristic U-P distance of 3.6 A. In
addition, the uranyl ions are connected to FeO6 octahedra with U-Fe distances at
~3.4 A and at ~4.0 A. The shortest U-Fe distance corresponds to a bidentate edge
sharing complex often reported for uranyl adsorption onto iron oxyhydroxides,
whereas the longest U-Fe and U-P distances can be interpreted as a bidentate
corner-sharing complex, in which two adjacent equatorial oxygen atoms are shared
with the vertices of a FeO6 octahedron and of a phosphate tetrahedron.
Furthermore, based on these sorption reactions, we demonstrate the ability of an
attached S. natans biofilm to remove uranium from solution without any filtration
step.
PMID- 26544529
TI - Diastereoselective Total Synthesis of (-)-Galiellalactone.
AB - An enantioselective total synthesis of (-)-galiellalactone has been accomplished.
The key features of the synthesis involve the highly stereoselective construction
of the cis-trisubstituted cyclopentane intermediate by a Pd(0)-catalyzed
cyclization, the stereospecific introduction of an angular hydroxyl group by
Riley oxidation, and the efficient construction of the tricyclic system of (-)
galiellalactone via a combination of diastereoselective Hosomi-Sakurai
crotylation and ring-closing metathesis (RCM).
PMID- 26544530
TI - Complete mitochondrial genome of Stummer's Racerunner (Eremias stummeri) from
Kazakhstan.
AB - The whole mitochondrial genome was determined from a viviparous racerunner,
Eremias stummeri, which was collected from southeast Kazakhstan. The mitogenome
sequence was 19 602 bp in size, containing 13 protein-coding genes, 22 tRNA
genes, 2 rRNA genes and a control region, which is similar to the typical mtDNA
of vertebrates. Mitochondrial genomes analyses using maximum parsimony and
Bayesian analyses yielded identical phylogenetic trees, indicating a close
phylogenetic affinity of the sampled taxa in genus Eremias. Monophyly of both
Eremias and its viviparous group is recovered. The complete mitogenome sequence
of E. stummeri provides fundamental data for resolving phylogeneitc and genetic
problems related to Eremias viviparity.
PMID- 26544532
TI - The Power of the Virtual Ideal Self in Weight Control: Weight-Reduced Avatars Can
Enhance the Tendency to Delay Gratification and Regulate Dietary Practices.
AB - The tendency to discount larger future benefits in favor of smaller immediate
gains (i.e., temporal discounting) is relevant to the issue of obesity.
Successful weight loss requires individuals to sacrifice immediate culinary
pleasures in favor of future health gains. Based on the notion that increasing
the vividness of one's future self may mitigate temporal discounting and promote
the ability to delay gratification, we examined whether viewing one's weight
reduced self (i.e., the ideal self) in a virtual environment can decrease
temporal discounting and lead to better regulation of dietary practices. Seventy
six undergraduates who had reported an intention to lose weight were recruited to
participate in a laboratory experiment and were randomly assigned to interact
with either the weight-reduced self (experimental condition) or the present self
(control condition) by looking into a dressing mirror in a virtual fitting room.
A temporal-discounting task and a taste test were subsequently administered.
Results showed that, compared with control participants, participants who viewed
their weight-reduced avatars ate less ice cream in a taste test and were more
likely to choose a sugar-free drink as a reward. The discounting rate mediated
the association between the avatar manipulation and the amount of ice cream eaten
in the subsequent taste test. Overall, our findings suggest that a computer
generated image of one's weight-reduced self may assist in resisting impulses
that promote immediate gratification over delayed benefits. This research
provides a new approach for controlling impulsive behavior such as dietary
regulation and weight control.
PMID- 26544534
TI - Notice of Retraction: Ramipril Markedly Improves Walking Ability in Patients With
Peripheral Arterial Disease [Summary for Patients].
PMID- 26544533
TI - Constitutional Mismatch Repair Deficiency in Israel: High Proportion of Founder
Mutations in MMR Genes and Consanguinity.
AB - BACKGROUND: Heterozygous germline mutations in any of the mismatch repair (MMR)
genes, MLH1, MSH2, MSH6, and PMS2, cause Lynch syndrome (LS), an autosomal
dominant cancer predisposition syndrome conferring a high risk of colorectal,
endometrial, and other cancers in adulthood. Offspring of couples where both
spouses have LS have a 1:4 risk of inheriting biallelic MMR gene mutations. These
cause constitutional MMR deficiency (CMMRD) syndrome, a severe recessively
inherited cancer syndrome with a broad tumor spectrum including mainly
hematological malignancies, brain tumors, and colon cancer in childhood and
adolescence. Many CMMRD children also present with cafe au lait spots and
axillary freckling mimicking neurofibromatosis type 1. PROCEDURE: We describe our
experience in seven CMMRD families demonstrating the role and importance of
founder mutations and consanguinity on its prevalence. Clinical presentations
included brain tumors, colon cancer, lymphoma, and small bowel cancer. RESULTS:
In children from two nonconsanguineous Ashkenazi Jewish (AJ) families, the common
Ashkenazi founder mutations were detected; these were homozygous in one family
and compound heterozygous in the other. In four consanguineous families of
various ancestries, different homozygous mutations were identified. In a
nonconsanguineous Caucasus/AJ family, lack of PMS2 was demonstrated in tumor and
normal tissues; however, mutations were not identified. CONCLUSIONS: CMMRD is
rare, but, especially in areas where founder mutations for LS and consanguinity
are common, pediatricians should be aware of it since they are the first to
encounter these children. Early diagnosis will enable tailored cancer
surveillance in the entire family and a discussion regarding prenatal genetic
diagnosis.
PMID- 26544535
TI - A Telerehabilitation Approach for Chronic Aphasia Following Stroke.
AB - BACKGROUND: Intensive speech therapy improves language function in patients with
chronic aphasia, although treatment in the acute phase is more effective than in
the chronic phase. Unfortunately, most patients with stroke go untreated due to
socioeconomic problems. This study was performed to develop and test a speech
therapy-based telerehabilitation program (iAphasia), suitable for use on a mobile
device platform, which would expand access to therapy to patients who frequently
go untreated. SUBJECTS AND METHODS: We enrolled 8 patients with chronic
poststroke aphasia to receive therapy via our iPad((r)) (Apple, Cupertino, CA)
based telespeech therapy program, iAphasia. Participants received 4 weeks of
telespeech therapy using iAphasia, which generates six domains with six levels of
difficulty. We compared pre- and posttreatment scores on the Korean version of
the Western Aphasia Battery (K-WAB) to evaluate effectiveness. Additionally, a 1
month follow-up assessment was performed. RESULTS: We investigated user
satisfaction using a questionnaire to assess the feasibility of iAphasia. After
the 4-week treatment, language function as measured by the K-WAB improved
significantly. The improvement was persistent at the 1-month follow-up visit. The
degree of improvement was strongly associated with usage time, regardless of
participants' age and severity of aphasia. Overall, satisfaction with iAphasia
was rated high. CONCLUSIONS: The results from this study suggest it to be an
effective and feasible treatment method for chronic aphasia, although follow-up
studies with more subjects and a control group are needed for a more thorough
assessment.
PMID- 26544537
TI - Quantifying Epithelial Early Common Progenitors from Long-Term Primary or Cell
Line Sphere Culture.
AB - Here, a protocol to quantify epithelial early common progenitor/stem cells grown
as spheres in non-adherent culture conditions is described. This protocol is
based on the combination of two functional tests: the sphere assay to maintain
and enrich early progenitor/stem cells, and the epithelial colony-forming cells
(E-CFC) assay to identify and quantify further differentiated epithelial
progenitors. Primary spheres mainly contain progenitors and rare stem/early
common progenitor cells while secondary and tertiary spheres contain progenitor
cells derived from the early common progenitor/stem cell population maintained
through passages and partially differentiated. Spheres are enzymatically and
mechanically dissociated; the derived cells are subsequently plated on irradiated
NIH-3T3 fibroblasts for further processing, as in the E-CFC assay. The principle
of this assay is to quantify the number of epithelial colonies generated by cells
present in the different sequential spheres. This assay has therefore been named
the early common progenitor-derived colonies assay (ECP-DC).
PMID- 26544536
TI - Long non-coding RNA urothelial cancer-associated 1 promotes bladder cancer cell
migration and invasion by way of the hsa-miR-145-ZEB1/2-FSCN1 pathway.
AB - Numerous studies suggest that several long non-coding RNAs (lncRNAs) play
critical roles in bladder cancer development and progression. Long non-coding RNA
urothelial cancer-associated 1 (lncRNA-UCA1) is highly expressed in bladder
cancer tissues and cells, and it has been shown to play an important role in
regulating aggressive phenotypes of bladder cancer cells. However, little is
known about the molecular mechanism of lncRNA-UCA1-mediated bladder cancer cell
migration and invasion. Here, we show that overexpression of lncRNA-UCA1 could
induce EMT and increase the migratory and invasive abilities of bladder cancer
cells. Mechanistically, lncRNA-UCA1 induced EMT of bladder cancer cells by
upregulating the expression levels of zinc finger E-box binding homeobox 1 and 2
(ZEB1 and ZEB2), and regulated bladder cancer cell migration and invasion by
tumor suppressive hsa-miR-145 and its target gene the actin-binding protein
fascin homologue 1 (FSCN1). Furthermore, we also observed a positive correlation
between lncRNA-UCA1 and ZEB1/2 expression, and a negative correlation between
lncRNA-UCA1 and hsa-miR-145 expression in bladder cancer specimens. Importantly,
we found that lncRNA-UCA1 repressed hsa-miR-145 expression to upregulate ZEB1/2,
whereas the suppression of hsa-miR-145 could upregulate lncRNA-UCA1 expression in
bladder cancer cells. Moreover, the binding site for hsa-miR-145 within exons 2
and 3 of lncRNA-UCA1 contributed to the reciprocal negative regulation of lncRNA
UCA1 and hsa-miR-145. Taken together, our results identified that lncRNA-UCA1
enhances bladder cancer cell migration and invasion in part through the hsa-miR
145/ZEB1/2/FSCN1 pathway. Therefore, lncRNA-UCA1 might act as a promising
therapeutic target for the invasion and metastasis of bladder cancer.
PMID- 26544538
TI - The Derivation of Primary Human Epicardium-Derived Cells.
AB - To develop therapeutic strategies for the regeneration of lost heart muscle after
myocardial infarction (MI), a source of functional new muscle cells and
associated coronary vessels must be identified. The epicardium is a source of
several cardiovascular cell types during heart development and is widely regarded
as a resident progenitor population, which becomes dormant during adulthood. In
adult mice, MI induces epicardial reactivation characterized by an upregulation
of fetal genes and subsequent epicardium derived cell (EPDC) proliferation,
migration, and differentiation. Determining whether the epicardium can be
therapeutically targeted following cardiovascular disease requires an in vitro
system for the study of adult human EPDCs (hEPDCs). This protocol describes
techniques to establish and maintain human epicardium explant cultures from
patient-derived right atrial appendage biopsies and documents methods to probe
the resultant outgrowth of hEPDCs. The model facilitates a high-throughput
approach to either genetic or chemical phenotypic screening for drug-like
modifiers of hEPDC activation and potential cell fate.
PMID- 26544539
TI - Ethanol for preventing preterm birth in threatened preterm labor.
AB - BACKGROUND: Preterm birth is the leading cause of death and disability in
newborns worldwide. A wide variety of tocolytic agents have been utilized to
delay birth for women in preterm labor. One of the earliest tocolytics utilized
for this purpose was ethanol infusion, although this is not generally used in
current practice due to safety concerns for both the mother and her baby.
OBJECTIVES: To determine the efficacy of ethanol in stopping preterm labor,
preventing preterm birth, and the impact of ethanol on neonatal outcomes. SEARCH
METHODS: We searched the Cochrane Pregnancy and Childbirth Group's Trials
Register (31 May 2015) and reference lists of retrieved studies. SELECTION
CRITERIA: We included randomized and quasi-randomized studies. Cluster-randomized
trials and cross-over design trials were not eligible for inclusion. We only
included studies published in abstract form if there was enough information on
methods and relevant outcomes. Trials were included if they compared ethanol
infusion to stop preterm labor versus placebo/control or versus other tocolytic
drugs. DATA COLLECTION AND ANALYSIS: At least two review authors independently
assessed studies for inclusion and risk of bias. At least two review authors
independently extracted data. Data were checked for accuracy. MAIN RESULTS:
Twelve trials involving 1586 women met inclusion criteria for this review. One
trial did not report on the outcomes of interest in this review.Risk of bias of
included studies: The included studies generally were of low quality based on
inadequate reporting of methodology. Only three trials had low risk of bias for
random sequence generation and one had low risk of bias for allocation
concealment and participant blinding. Most studies were either high risk of bias
or uncertain in these key areas. Comparison 1: Ethanol versus placebo/control
(two trials, 77 women) Compared to controls receiving pain medications and
dextrose solution, ethanol did not improve any of the primary outcomes: birth <
48 hours after trial entry (one trial, 35 women; risk ratio (RR) 0.93, 95%
confidence interval (CI) 0.43 to 2.00), or neonatal mortality (one trial, 35
women; RR 1.06, 95% CI 0.31 to 3.58). Serious maternal adverse events and
perinatal mortality were not reported by either of the two trials in this
comparison. Maternal adverse events (overall) were not reported but one trial (42
women) reported that there were no maternal adverse events that required stopping
or changing drug) in either group. One trial did report delay until delivery but
this outcome was reported as a median with no mention of the standard deviation
(median 19 days in ethanol group versus "less than 1" day in the glucose/water
group). There were no differences in any secondary outcomes reported: preterm
birth < 34 weeks or < 37 weeks; serious infant outcome; fetal alcohol
syndrome/fetal alcohol spectrum disorder; or small-for-gestational age.
Comparison 2: Ethanol versus other tocolytic (betamimetics) (nine trials, 1438
women) Compared to betamimetics (the only tocolytic used as a comparator in these
studies), ethanol was associated with no clear difference in the rate of birth <
48 hours after trial entry (two trials, 130 women; average RR 1.12, 95% CI 0.53
to 2.37, Tau2 = 0.19, I2 = 59%), similar rates of perinatal mortality (six
trials, 698 women; RR1.20, 95% CI 0.78 to 1.84), higher rates of neonatal
mortality (eight trials, 1238 women; RR 1.43, 95% CI 1.02 to 2.02), higher rates
of preterm birth < 34 weeks (two trials, 599 women; RR 1.56, 95% CI 1.11 to
2.19), higher rates of neonatal respiratory distress syndrome (three trials, 823
women; RR 1.76, 95% CI 1.33 to 2.33), and higher rates of low birthweight babies
< 2500 g (five trials, 834 women; RR 1.30, 95% CI 1.09 to 1.54). These outcomes
are likely all related to the lower incidence of preterm birth seen with other
tocolytics, which for all these comparisons were betamimetics. Serious maternal
adverse events were not reported in any of the nine trial reports. However,
ethanol had a trend towards a lower rate of maternal adverse events requiring
stopping or changing the drug (three trials, 214 women; RR 0.25, 95% CI 0.06 to
0.97). There were no differences in other secondary outcomes of preterm birth <
37 weeks, number of days delivery was delayed, or overall maternal adverse
events.Planned sensitivity analysis, excluding quasi-randomized trials did not
substantially change the results of the primary outcome analyses with the
exception of neonatal mortality which no longer showed a clear difference between
the ethanol and other tocolytic groups (3 trials, 330 women; RR 1.49, 95% CI 0.82
to 2.72). AUTHORS' CONCLUSIONS: This review is based on evidence from twelve
studies which were mostly low quality. There is no evidence that to suggest that
ethanol is an effective tocolytic compared to placebo. There is some evidence
that ethanol may be better tolerated than other tocolytics (in this case
betamimetics), but this result is based on few studies and small sample size and
therefore should be interpreted with caution. Ethanol appears to be inferior to
betamimetics for preventing preterm birth in threatened preterm labor.Ethanol is
generally no longer used in current practice due to safety concerns for the
mother and her baby. There is no need for new studies to evaluate the use of
ethanol for preventing preterm birth in threatened preterm labour. However, it
would be useful for long-term follow-up studies on the babies born to mothers
from the existing studies in order to assess the risk of long-term
neurodevelopmental status.
PMID- 26544540
TI - Adiponectin: is it a biomarker for assessing the disease severity in knee
osteoarthritis patients?
AB - AIM: The results of previous studies regarding the role of adiponectin in the
pathogenesis of osteoarthritis (OA) are controversial. The aim of this study is
to investigate the relation of plasma adiponectin levels with clinical and
radiological disease severity in knee OA patients. METHOD: Sixty patients with
knee OA and 25 healthy controls were included in the study. Patients were divided
into two subgroups: lean (Group 1, n = 30) and obese (Group 2, n = 30). Healthy
controls were accepted as Group 3 (n = 25). Pain intensity was measured with a
visual analogue scale (VAS), functional disability with Western Ontario and
McMaster Universities Osteoarthritis Index (WOMAC) and Quality of Life (QoL) with
Short Form-36 (SF-36). Also all patients were radiologically evaluated and graded
according to Kellgren-Lawrence (KL) scale. Plasma concentrations of adiponectin
levels were measured by enzyme-linked immune-sorbent assay (ELISA). RESULTS:
Serum adiponectin levels were higher in OA patient subgroups than those in the
control group but the difference did not reach a significant level after
adjustments for age, gender and body mass index (P = 0.078). There was a positive
correlation between adiponectin concentration and KL grading scores.
Additionally, there was a positive correlation between adiponectin levels and
clinical variables (VAS and WOMAC total scores) in patient subgroups (r = 0.326 P
= 0.012, r = 0.583 P < 0.001, respectively). SF-36 scores were inversely
associated with adiponectin levels. CONCLUSION: Plasma adiponectin concentrations
were associated with both clinical and radiological disease severity in knee OA
patients. Thus, adiponectin hormone might be a potential clinically useful
biomarker while assessing disease severity in the future.
PMID- 26544541
TI - Isolation, selection and evaluation of antagonistic yeasts and lactic acid
bacteria against ochratoxigenic fungus Aspergillus westerdijkiae on coffee beans.
AB - In this study, yeasts and lactic acid bacteria (LAB) were isolated from coffee
fruits and identified via biochemical and molecular approaches. The isolates
represented the Pichia, Debaryomyces, Candida, Clavispora, Yarrowia,
Sporobolomyces, Klyveromyces, Torulaspora and Lactobacillus genera. Four
isolates, namely Pichia fermentans LPBYB13, Sporobolomyces roseus LPBY7E, Candida
sp. LPBY11B and Lactobacillus brevis LPBB03, were found to have the greatest
antagonist activity against an ochratoxigenic strain of Aspergillus westerdijkiae
on agar tests and were selected for further characterization. Applications of P.
fermentans LPBYB13 in coffee cherries artificially contaminated with A.
westerdijkiae showed efficacy in reducing ochratoxin A (OTA) content up to 88%.
These results highlight that P. fermentans LPBYB13 fulfils the principle
requirements of an efficient biological control of aflatoxigenic fungi in coffee
beans and may be seen as a reliable candidate for further validation in field
conditions. SIGNIFICANCE AND IMPACT OF THE STUDY: Studies based on microbial
ecology and antagonistic interactions are important for the development of new
strategies in controlling aflatoxin contamination of crops and are relevant to
further biotechnological applications. This study shows that coffee fruit is a
potential source for the isolation of microbial strains with antifungal ability.
A new yeast strain, Pichia fermentans LPBYB13, showed efficacy in reducing growth
and ochratoxin A production of Aspergillus westerdijkiae in coffee beans. Our
results should encourage the use of this yeast strain on a large scale for
biocontrol of aflatoxigenic fungi in coffee beans.
PMID- 26544542
TI - Pharmacological interventions for unilateral spatial neglect after stroke.
AB - BACKGROUND: Unilateral spatial neglect (USN) is characterized by the inability to
report or respond to people or objects presented on the side contralateral to the
lesioned side of the brain and has been associated with poor functional outcomes
and long stays in hospitals and rehabilitation centers. Pharmacological
interventions (medical interventions only, use of drugs to improve the health
condition), such as dopamine and noradrenergic agonists or pro-cholinergic
treatment, have been used in people affected by USN after stroke, and effects of
these treatments could provide new insights for health professionals and policy
makers. OBJECTIVES: To evaluate the effectiveness and safety of pharmacological
interventions for USN after stroke. SEARCH METHODS: We searched the Cochrane
Stroke Group Trials Register (April 2015), the Cochrane Central Register of
Controlled Trials (April 2015), MEDLINE (1946 to April 2015), the Cumulative
Index to Nursing and Allied Health Literature (CINAHL) (1982 to April 2015),
EMBASE (1980 to April 2015), PsycINFO (1806 to April 2015) and Latin American
Caribbean Health Sciences Literature (LILACS) (1982 to April 2015). We also
searched trials and research registers, screened reference lists, and contacted
study authors and pharmaceutical companies (April 2015). SELECTION CRITERIA: We
included randomized controlled trials (RCTs) and quasi-randomized controlled
trials (quasi-RCTs) of pharmacological interventions for USN after stroke. DATA
COLLECTION AND ANALYSIS: Two review authors independently assessed risk of bias
in the included studies and extracted data. MAIN RESULTS: We included in the
review two studies with a total of 30 randomly assigned participants. We rated
the quality of the evidence as very low as the result of study limitations, small
numbers of events, and small sample sizes, with imprecision in the confidence
interval (CI). We were not able to perform meta-analysis because of heterogeneity
related to the different interventions evaluated between included studies. Very
low-quality evidence from one trial (20 participants) comparing effects of
rivastigmine plus rehabilitation versus rehabilitation on overall USN at
discharge showed the following: Barrage (mean difference (MD) 0.30, 95%
confidence interval (CI) -0.18 to 0.78); Letter Cancellation (MD 10.60, 95% CI
2.07 to 19.13); Sentence Reading (MD 0.20, 95% CI -0.69 to 1.09), and the Wundt
Jastrow Area Illusion Test (MD -4.40, 95% CI -8.28 to -0.52); no statistical
significance was observed for the same outcomes at 30 days' follow-up. In another
trial (10 participants), study authors showed statistically significant reduction
in omissions in the three cancellation tasks under transdermal nicotine treatment
(mean number of omissions 2.93 +/- 0.5) compared with both baseline (4.95 +/-
0.8) and placebo (5.14 +/- 0.9) (main effect of treatment condition: F (2.23) =
11.06; P value < 0.0001). One major adverse event occurred in the transdermal
nicotine treatment group, and treatment was discontinued in the affected
participant. None of the included trials reported data on several of the
prespecified outcomes (falls, balance, depression or anxiety, poststroke fatigue,
and quality of life). AUTHORS' CONCLUSIONS: The quality of the evidence from
available RCTs was very low. The effectiveness and safety of pharmacological
interventions for USN after stroke are therefore uncertain. Additional large RCTs
are needed to evaluate these treatments.
PMID- 26544543
TI - Chromogenic Assay for Lung Cancer-Related EGFR Exon 19 Hotspot Deletion
Mutations.
AB - BACKGROUND: Epidermal growth factor receptor (EGFR) deletion mutations are
associated with the development of nonsmall-cell lung cancer (NSCLC) and can
serve as useful biomarkers. AIM: In the present study, a novel assay for the
detection of EGFR hotspot mutations was designed to be highly sensitive and
practically false-positive-free to harness the potential of detecting such
mutations as biomarkers early in the diagnosis of NSCLC. The new assay draws from
the polymerase chain reaction (PCR) for amplification, blue-white screening for
initial allele discrimination, and Sanger sequencing for mutation confirmation.
RESULTS: Mutant plasmids were mixed with wild-type DNA in ratios from 1:10 to
1:1000, followed by PCR amplification, blue-white screening, and sequencing.
Mutants were successfully sequence confirmed for mixtures at ratios of 1:300 and
1:1000, highlighting the assay's high sensitivity and low risk of false-positives
due to confirmation by Sanger sequencing. CONCLUSION: With high sensitivity and
low false positives, the present assay is appealing as an aid in the early
diagnosis of NSCLC through liquid biopsy. The highly customizable nature of the
assay provides the possibility of applications in the early diagnosis of other
cancer-related genes through nonsense-transformable mutations.
PMID- 26544544
TI - Isolated bilateral transverse agenesis of the distal segments of the lower limbs
at the level of the knee joint in a human fetus.
AB - Congenital limb anomalies occur in Europe with a prevalence of 3.81/1,000 births
and can have a major impact on patients and their families. The present study
concerned a female fetus aborted at 23 weeks of gestation because she was
affected by non-syndromic bilateral absence of the zeugopod (leg) and autopod
(foot). Autopsy of the aborted fetus, X-ray imaging, MRI, and histochemical
analysis showed that the distal extremity of both femurs was continued by a
cartilage-like mass, without joint cavitation. Karyotype was normal. Moreover, no
damaging variant was detected by exome sequencing. The limb characteristics of
the fetus, which to our knowledge have not yet been reported in humans, suggest a
developmental arrest similar to anomalies described in chicks following surgical
experiments on the apical ectodermal ridge of the lower limbs.
PMID- 26544545
TI - Membrane properties specialize mammalian inner hair cells for frequency or
intensity encoding.
AB - The auditory pathway faithfully encodes and relays auditory information to the
brain with remarkable speed and precision. The inner hair cells (IHCs) are the
primary sensory receptors adapted for rapid auditory signaling, but they are not
thought to be intrinsically tuned to encode particular sound frequencies. Here I
found that under experimental conditions mimicking those in vivo, mammalian IHCs
are intrinsically specialized. Low-frequency gerbil IHCs (~0.3 kHz) have
significantly more depolarized resting membrane potentials, faster kinetics, and
shorter membrane time constants than high-frequency cells (~30 kHz). The faster
kinetics of low-frequency IHCs allow them to follow the phasic component of sound
(frequency-following), which is not required for high-frequency cells that are
instead optimally configured to encode sustained, graded responses (intensity
following). The intrinsic membrane filtering of IHCs ensures accurate encoding of
the phasic or sustained components of the cell's in vivo receptor potential,
crucial for sound localization and ultimately survival.
PMID- 26544547
TI - Tuning Electrical Conductivity of Inorganic Minerals with Carbon Nanomaterials.
AB - Conductive powders based on Barite or calcium carbonate with chemically converted
graphene (CCG) were successfully synthesized by adsorption of graphene oxide (GO)
or graphene oxide nanoribbons (GONRs) onto the mineral surfaces and subsequent
chemical reduction with hydrazine. The efficient adsorption of GO or GONRs on the
surface of Barite and calcium carbonate-based mineral particles results in
graphene-wrapped hybrid materials that demonstrate a concentration dependent
electrical conductivity that increases with the GO or GONR loading.
PMID- 26544548
TI - Cyclo-P3 Complexes of Vanadium: Redox Properties and Origin of the 31P NMR
Chemical Shift.
AB - The synthesis and characterization of two high-valent vanadium-cyclo-P3
complexes, (nacnac)V(cyclo-P3)(Ntolyl2) (1) and (nacnac)V(cyclo-P3)(OAr) (2), and
an inverted sandwich derivative, [(nacnac)V(Ntolyl2)]2(MU2-eta(3):eta(2)-cyclo
P3) (3), are presented. These novel complexes are prepared by activating white
phosphorus (P4) with three-coordinate vanadium(II) precursors. Structural
metrics, redox behavior, and DFT electronic structure analysis indicate that a
[cyclo-P3](3-) ligand is bound to a V(V) center in monomeric species 1 and 2. A
salient feature of these new cyclo-P3 complexes is their significantly downfield
shifted (by ~300 ppm) (31)P NMR resonances, which is highly unusual compared to
related complexes such as (Ar[(i)Pr]N)3Mo(cyclo-P3) (4) and other cyclo-P3
complexes that display significantly upfield shifted resonances. This NMR
spectroscopic signature was thus far thought to be a diagnostic property for the
cyclo-P3 ligand related to its acute endocyclic angle. Using DFT calculations, we
scrutinized and conceptualized the origin of the unusual chemical shifts seen in
this new class of complexes. Our analysis provides an intuitive rational paradigm
for understanding the experimental (31)P NMR spectroscopic signature by relating
the nuclear magnetic shielding with the electronic structure of the molecule,
especially with the characteristics of metal-cyclo-P3 bonding.
PMID- 26544549
TI - A Spacecraft Electrical Characteristics Multi-Label Classification Method Based
on Off-Line FCM Clustering and On-Line WPSVM.
AB - This paper proposes a novel multi-label classification method for resolving the
spacecraft electrical characteristics problems which involve many unlabeled test
data processing, high-dimensional features, long computing time and
identification of slow rate. Firstly, both the fuzzy c-means (FCM) offline
clustering and the principal component feature extraction algorithms are applied
for the feature selection process. Secondly, the approximate weighted proximal
support vector machine (WPSVM) online classification algorithms is used to reduce
the feature dimension and further improve the rate of recognition for electrical
characteristics spacecraft. Finally, the data capture contribution method by
using thresholds is proposed to guarantee the validity and consistency of the
data selection. The experimental results indicate that the method proposed can
obtain better data features of the spacecraft electrical characteristics, improve
the accuracy of identification and shorten the computing time effectively.
PMID- 26544546
TI - Crumbs is an essential regulator of cytoskeletal dynamics and cell-cell adhesion
during dorsal closure in Drosophila.
AB - The evolutionarily conserved Crumbs protein is required for epithelial polarity
and morphogenesis. Here we identify a novel role of Crumbs as a negative
regulator of actomyosin dynamics during dorsal closure in the Drosophila embryo.
Embryos carrying a mutation in the FERM (protein 4.1/ezrin/radixin/moesin) domain
binding motif of Crumbs die due to an overactive actomyosin network associated
with disrupted adherens junctions. This phenotype is restricted to the
amnioserosa and does not affect other embryonic epithelia. This function of
Crumbs requires DMoesin, the Rho1-GTPase, class-I p21-activated kinases and the
Arp2/3 complex. Data presented here point to a critical role of Crumbs in
regulating actomyosin dynamics, cell junctions and morphogenesis.
PMID- 26544550
TI - The Role of Child Health Days in the Attainment of Global Deworming Coverage
Targets among Preschool-Age Children.
AB - BACKGROUND: Global deworming programs aim to reach 75% of at-risk preschool-age
children (pre-SAC) by 2020. The 2013 global pre-SAC deworming coverage initially
published by the World Health Organization (WHO) was 23.9%, but this estimate
inadequately captured deworming delivered through Child Health Day (CHD)
platforms. OBJECTIVE: To update global and regional coverage estimates of pre-SAC
deworming in 2013 by supplementing data from the WHO Preventive Chemotherapy and
Transmission Control (PCT) databank with national CHD data. METHODS: UNICEF
country offices (n = 82) were mailed a questionnaire in July 2014 to report on
official national biannual CHD deworming coverage as part of the global vitamin A
supplementation coverage reporting mechanism. Coverage data obtained were
validated and considered for inclusion in the PCT databank in a collaboration
between UNICEF and WHO. Descriptive statistical analyses were conducted to update
the number of pre-SAC reached and the number of treatments delivered. RESULTS: Of
the 47 countries that responded to the UNICEF pre-SAC deworming questionnaire, 73
data points from 39 countries were considered for inclusion into the WHO PCT
databank. Of these, 21 new data points were from 12 countries were newly
integrated into the WHO database. With this integration, deworming coverage among
pre-SAC increased to 49.1%, representing an increase in the number of children
reached and treatments administered from 63.7 million to 130.7 million and 94.7
million to 234.8 million, respectively. The updated databank comprised 98 mass
deworming activities conducted in 55 countries, in which 80.4% of the global pre
SAC population requiring deworming reside. In all, 57 countries requiring
deworming were not yet represented in the database. CONCLUSIONS: With the
inclusion of CHD data, global deworming programs are on track to achieving global
pre-SAC coverage targets. However, further efforts are needed to improve pre-SAC
coverage reporting as well as to sustain and expand deworming delivery through
CHDs and other platforms.
PMID- 26544552
TI - ATP-Mediated Compositional Change in Peripheral Myelin Membranes: A Comparative
Raman Spectroscopy and Time-Of-Flight Secondary Ion Mass Spectrometry Study.
AB - In the present paper we addressed a mechanism of the myelin reorganization
initiated by extracellular ATP and adenosine in sciatic nerves of the frog Rana
temporaria. In combination with Raman microspectroscopy, allowing noninvasive
live-cell measurements, we employed time-of-flight secondary ion mass
spectrometry (TOF-SIMS) to follow the underlying changes in chemical composition
of myelin membranes triggered by the purinergic agents. The simultaneous increase
in lipid ordering degree, decrease in membrane fluidity and the degree of fatty
acid unsaturation were induced by both ATP and adenosine. Mass spectrometry
measurements revealed that ATP administration also led to the marked elevation of
membrane cholesterol and decrease of phosphotidylcholine amounts. Vesicular lipid
transport pathways are considered as possible mechanisms of compositional and
structural changes of myelin.
PMID- 26544551
TI - A Quantitative Model of the GIRK1/2 Channel Reveals That Its Basal and Evoked
Activities Are Controlled by Unequal Stoichiometry of Galpha and Gbetagamma.
AB - G protein-gated K+ channels (GIRK; Kir3), activated by Gbetagamma subunits
derived from Gi/o proteins, regulate heartbeat and neuronal excitability and
plasticity. Both neurotransmitter-evoked (Ievoked) and neurotransmitter
independent basal (Ibasal) GIRK activities are physiologically important, but
mechanisms of Ibasal and its relation to Ievoked are unclear. We have previously
shown for heterologously expressed neuronal GIRK1/2, and now show for native GIRK
in hippocampal neurons, that Ibasal and Ievoked are interrelated: the extent of
activation by neurotransmitter (activation index, Ra) is inversely related to
Ibasal. To unveil the underlying mechanisms, we have developed a quantitative
model of GIRK1/2 function. We characterized single-channel and macroscopic
GIRK1/2 currents, and surface densities of GIRK1/2 and Gbetagamma expressed in
Xenopus oocytes. Based on experimental results, we constructed a mathematical
model of GIRK1/2 activity under steady-state conditions before and after
activation by neurotransmitter. Our model accurately recapitulates Ibasal and
Ievoked in Xenopus oocytes, HEK293 cells and hippocampal neurons; correctly
predicts the dose-dependent activation of GIRK1/2 by coexpressed Gbetagamma and
fully accounts for the inverse Ibasal-Ra correlation. Modeling indicates that,
under all conditions and at different channel expression levels, between 3 and 4
Gbetagamma dimers are available for each GIRK1/2 channel. In contrast, available
Galphai/o decreases from ~2 to less than one Galpha per channel as GIRK1/2's
density increases. The persistent Gbetagamma/channel (but not Galpha/channel)
ratio support a strong association of GIRK1/2 with Gbetagamma, consistent with
recruitment to the cell surface of Gbetagamma, but not Galpha, by GIRK1/2. Our
analysis suggests a maximal stoichiometry of 4 Gbetagamma but only 2 Galphai/o
per one GIRK1/2 channel. The unique, unequal association of GIRK1/2 with G
protein subunits, and the cooperative nature of GIRK gating by Gbetagamma,
underlie the complex pattern of basal and agonist-evoked activities and allow
GIRK1/2 to act as a sensitive bidirectional detector of both Gbetagamma and
Galpha.
PMID- 26544553
TI - The Effect of Axial Length on the Thickness of Intraretinal Layers of the Macula.
AB - PURPOSE: The aim of this study was to evaluate the effect of axial length (AL) on
the thickness of intraretinal layers in the macula using optical coherence
tomography (OCT) image analysis. METHODS: Fifty three randomly selected eyes of
53 healthy subjects were recruited for this study. The median age of the
participants was 29 years (range: 6 to 67 years). AL was measured for each eye
using a Lenstar LS 900 device. OCT imaging of the macula was also performed by
Stratus OCT. OCTRIMA software was used to process the raw OCT scans and to
determine the weighted mean thickness of 6 intraretinal layers and the total
retina. Partial correlation test was performed to assess the correlation between
the AL and the thickness values. RESULTS: Total retinal thickness showed moderate
negative correlation with AL (r = -0.378, p = 0.0007), while no correlation was
observed between the thickness of the retinal nerve fiber layer (RNFL), ganglion
cell layer (GCC), retinal pigment epithelium (RPE) and AL. Moderate negative
correlation was observed also between the thickness of the ganglion cell layer
and inner plexiform layer complex (GCL+IPL), inner nuclear layer (INL), outer
plexiform layer (OPL), outer nuclear layer (ONL) and AL which were more
pronounced in the peripheral ring (r = -0.402, p = 0.004; r = -0.429, p = 0.002;
r = -0.360, p = 0.01; r = -0.448, p = 0.001). CONCLUSIONS: Our results have shown
that the thickness of the nuclear layers and the total retina is correlated with
AL. The reason underlying this could be the lateral stretching capability of
these layers; however, further research is warranted to prove this theory. Our
results suggest that the effect of AL on retinal layers should be taken into
account in future studies.
PMID- 26544554
TI - Surveillance of Tuberculosis in Taipei: The Influence of Nontuberculous
Mycobacteria.
AB - BACKGROUND: Notification of tuberculosis (TB) but not nontuberculous mycobacteria
(NTM) is mandatory in Taiwan. Partly due to the strict regulation on TB
notification, several patients infected with NTM were notified as TB cases.
Notification of patients infected with NTM as TB cases can trigger public health
actions and impose additional burdens on the public health system. We conducted a
study to assess the influence of NTM infection on surveillance of TB in Taipei.
METHODOLOGY/PRINCIPAL FINDINGS: The study population included all individuals
with a positive culture for Mycobacterium who were citizens of Taipei City and
notified as TB cases in the calendar years 2007-2010. Of the 4216 notified
culture-positive tuberculosis (TB) cases, 894 (21.2%) were infected with NTM. The
average annual reported case rate of infection with NTM was 8.6 (95% confidence
interval 7.7-9.4) per 100,000 people. The reported case rate of NTM increased
with age in both males and females. The proportion of reported TB cases infected
with NTM was significantly higher in females than in males (27.6% vs 17.8%,
adjusted OR (adjOR) 1.93, 95% confidence interval (CI) 1.63-2.28); in smear
positive than in smear-negative (23.1% vs 19.2%, adjOR 1.26, 95% CI 1.08-1.47);
and in previously treated cases than in new cases (35.7% vs 19.1%, adjOR 2.30,
95% CI 1.88-2.82). The most frequent species was M. avium complex (32.4%),
followed by M. chelonae complex (17.6%), M. fortuitum complex (17.0%) and M.
kansasii (9.8%). Of the 890 notified NTM cases assessed, 703 (79.0%) were treated
with anti-TB drugs, and 730 (82.0%) were de-notified. CONCLUSIONS/SIGNIFICANCE:
The influence of NTM on surveillance of TB in Taipei was substantial. Health
authorities should take action to ensure that nucleic acid amplification tests
are performed in all smear-positive cases in a timely manner to reduce the
misdiagnosis of patients infected with NTM as TB cases.
PMID- 26544555
TI - Characterization of Heterotopic Ossification Using Radiographic Imaging: Evidence
for a Paradigm Shift.
AB - Heterotopic ossification (HO) is the growth of extra-skeletal bone which occurs
following trauma, burns, and in patients with genetic bone morphogenetic protein
(BMP) receptor mutations. The clinical and laboratory evaluation of HO is
dependent on radiographic imaging to identify and characterize these lesions.
Here we show that despite its inadequacies, plain film radiography and single
modality microCT continue to serve as a primary method of HO imaging in nearly
30% of published in vivo literature. Furthermore, we demonstrate that detailed
microCT analysis is superior to plain film and single modality microCT
radiography specifically in the evaluation of HO formed through three
representative models due to its ability to 1) define structural relationships
between growing extra-skeletal bone and normal, anatomic bone, 2) provide
accurate quantification and growth rate based on volume of the space-occupying
lesion, thereby facilitating assessments of therapeutic intervention, 3) identify
HO at earlier times allowing for evaluation of early intervention, and 4)
characterization of metrics of bone physiology including porosity, tissue mineral
density, and cortical and trabecular volume. Examination of our trauma model
using microCT demonstrated two separate areas of HO based on anatomic location
and relationship with surrounding, normal bone structures. Additionally, microCT
allows HO growth rate to be evaluated to characterize HO progression. Taken
together, these data demonstrate the need for a paradigm shift in the evaluation
of HO towards microCT as a standard tool for imaging.
PMID- 26544556
TI - Clinical Audits in Outpatient Clinics for Chronic Obstructive Pulmonary Disease:
Methodological Considerations and Workflow.
AB - OBJECTIVES: Previous clinical audits for chronic obstructive pulmonary disease
(COPD) have provided valuable information on the clinical care delivered to
patients admitted to medical wards because of COPD exacerbations. However,
clinical audits of COPD in an outpatient setting are scarce and no methodological
guidelines are currently available. Based on our previous experience, herein we
describe a clinical audit for COPD patients in specialized outpatient clinics
with the overall goal of establishing a potential methodological workflow.
METHODS: A pilot clinical audit of COPD patients referred to respiratory
outpatient clinics in the region of Andalusia, Spain (over 8 million
inhabitants), was performed. The audit took place between October 2013 and
September 2014, and 10 centers (20% of all public hospitals) were invited to
participate. Cases with an established diagnosis of COPD based on risk factors,
clinical symptoms, and a post-bronchodilator FEV1/FVC ratio of less than 0.70
were deemed eligible. The usefulness of formally scheduled regular follow-up
visits was assessed. Two different databases (resources and clinical database)
were constructed. Assessments were planned over a year divided by 4 three-month
periods, with the goal of determining seasonal-related changes. Exacerbations and
survival served as the main endpoints. CONCLUSIONS: This paper describes a
methodological framework for conducting a clinical audit of COPD patients in an
outpatient setting. Results from such audits can guide health information systems
development and implementation in real-world settings.
PMID- 26544557
TI - Evolution of Robustness to Protein Mistranslation by Accelerated Protein
Turnover.
AB - Translational errors occur at high rates, and they influence organism viability
and the onset of genetic diseases. To investigate how organisms mitigate the
deleterious effects of protein synthesis errors during evolution, a mutant yeast
strain was engineered to translate a codon ambiguously (mistranslation). It
thereby overloads the protein quality-control pathways and disrupts cellular
protein homeostasis. This strain was used to study the capacity of the yeast
genome to compensate the deleterious effects of protein mistranslation.
Laboratory evolutionary experiments revealed that fitness loss due to
mistranslation can rapidly be mitigated. Genomic analysis demonstrated that
adaptation was primarily mediated by large-scale chromosomal duplication and
deletion events, suggesting that errors during protein synthesis promote the
evolution of genome architecture. By altering the dosages of numerous,
functionally related proteins simultaneously, these genetic changes introduced
large phenotypic leaps that enabled rapid adaptation to mistranslation. Evolution
increased the level of tolerance to mistranslation through acceleration of
ubiquitin-proteasome-mediated protein degradation and protein synthesis. As a
consequence of rapid elimination of erroneous protein products, evolution reduced
the extent of toxic protein aggregation in mistranslating cells. However, there
was a strong evolutionary trade-off between adaptation to mistranslation and
survival upon starvation: the evolved lines showed fitness defects and impaired
capacity to degrade mature ribosomes upon nutrient limitation. Moreover, as a
response to an enhanced energy demand of accelerated protein turnover, the
evolved lines exhibited increased glucose uptake by selective duplication of
hexose transporter genes. We conclude that adjustment of proteome homeostasis to
mistranslation evolves rapidly, but this adaptation has several side effects on
cellular physiology. Our work also indicates that translational fidelity and the
ubiquitin-proteasome system are functionally linked to each other and may,
therefore, co-evolve in nature.
PMID- 26544558
TI - Synergistic Effect and Molecular Mechanism of Homoharringtonine and Bortezomib on
SKM-1 Cell Apoptosis.
AB - BACKGROUND: Myelodysplastic syndromes (MDS) are clonal marrow stem-cell disorders
with a high risk of progression to acute myeloid leukemia (AML). Treatment
options are limited and targeted therapies are not available for MDS. In the
present study, we investigated the cytotoxicity and the molecular mechanism of
Homoharringtonine (HHT) and Bortezomib towards high-risk MDS cell line SKM-1 in
vitro and the role of miR-3151 was first evaluated in SKM-1 cells. METHODS: SKM-1
cells were treated with different concentrations of HHT or Bortezomib, and cell
viability was analyzed with CCK-8 assay. The influence on cell proliferation,
cell cycle distribution and the percentage of apoptosis cells were analyzed by
flow cytometry. Calcusyn software was used to calculate combination index (CI)
values. Western blot was used to analysis phosphorylation of Akt and nuclear NF
kappaB protein expression in SKM-1 cells. Mature miR-3151 level and p53 protein
level were detected after HHT or Bortezomib treatment. The cell proliferation and
p53 protein level were reassessed in SKM-1 cells infected with lentivirus to
overexpress miR-3151. RESULTS: Simultaneous exposure to HHT and Bortezomib
(10.4:1) resulted in a significant reduction of cell proliferation in SKM-1 cells
(P < 0.05). Cell cycle arrest at G0/G1 and G2/M phase was observed (P < 0.05).
HHT and Bortezomib synergistically induced cell apoptosis by regulating members
of caspase 9, caspase 3 and Bcl-2 family (P < 0.01). The mechanisms of the
synergy involved Akt and NF-kappaB signaling pathway inhibition, downregulation
of mature miR-3151 and increment of downstream p53 protein level. Overexpression
of miR-3151 promoted cell proliferation and inhibited p53 protein expression in
SKM-1 (P < 0.01). CONCLUSIONS: HHT and Bortezomib synergistically inhibit SKM-1
cell proliferation and induce apoptosis in vitro. Inhibition of Akt and NF-kappaB
pathway signaling contribute to molecular mechanism of HHT and Bortezomib. miR
3151 abundance is implicated in SKM-1 cell viability, cell proliferation and p53
protein expression.
PMID- 26544559
TI - Visual Outcomes and Optical Quality After Femtosecond Laser Small Incision
Lenticule Extraction: An 18-Month Prospective Study.
AB - PURPOSE: To investigate the long-term visual outcomes and optical quality after
femtosecond laser small incision lenticule extraction (SMILE) for moderate to
high myopia correction. METHODS: Fifty-four patients (37 women, 17 men) who
underwent SMILE were enrolled in this prospective clinical study. Patient ages
ranged from 18 to 40 years, with a mean spherical equivalent of -6.50 +/- 1.64
diopters. Data including refractive parameters, retinal image quality,
intraocular scattering, and aberrations were collected at 6 and 18 months after
surgery. Patient satisfaction was also evaluated. RESULTS: At 18 months after
surgery, both the mean safety index and the efficacy index were 1.23 +/- 0.23
(range: 0.80 to 1.50); there was no significant difference with indices measured
at 6 months (P > .05). No patient lost two or more lines of corrected distance
visual acuity. Total higher-order aberrations (HOAs) and coma significantly
increased after SMILE (P < .05), whereas total spherical aberrations and trefoil
changed only slightly. The mean modulation transfer function cutoff frequency was
36.66 +/- 8.54 cycles per degree (cpd) before surgery and 37.81 +/- 6.89 cpd at
18 months postoperatively; the mean objective scatter index was 0.62 +/- 0.33
before surgery and 0.71 +/- 0.38 at 18 months postoperatively. No significant
difference was found between the three time points (P > .05). Mean patient
satisfaction was 9.31 +/- 0.64 (with a maximum score of 10). CONCLUSIONS: SMILE
showed good safety, efficacy, and stability in correcting moderate to high
myopia, and patients were highly satisfied. HOAs increased after SMILE, mainly
due to the increase of coma, whereas retinal image quality and intraocular
scattering barely changed.
PMID- 26544560
TI - Lenticule Quality After Continuous Curvilinear Lenticulerrhexis in SMILE
Evaluated With Scanning Electron Microscopy.
AB - PURPOSE: To evaluate the surface characteristics of lenticules extracted by
continuous curvilinear lenticulerrhexis (CCL) in small incision lenticule
extraction. METHODS: Twelve eyes treated with small incision lenticule extraction
were included in the study and divided into two groups: the CCL and traditional
groups. The extracted lenticules were examined with scanning electron microscopy.
Both the anterior and the posterior surfaces of the lenticules were accessed. A
scoring system was used to evaluate surface characteristics of the lenticules
with a full mark of 16. RESULTS: All of the lenticules in the CCL group exhibited
smoothness and regularity on both sides and received scores of 14 or 15. The
average score of anterior surfaces was 14.83 +/- 0.41 for the CCL group and 14.00
+/- 2.45 for the traditional group. The average score for the posterior surfaces
was 14.50 +/- 0.55 for the CCL group and 14.83 +/- 0.41 for the traditional
group. CONCLUSIONS: The surfaces of lenticules extracted by the CCL technique in
small incision lenticule extraction are of good quality.
PMID- 26544531
TI - EDC-2: The Endocrine Society's Second Scientific Statement on Endocrine
Disrupting Chemicals.
AB - The Endocrine Society's first Scientific Statement in 2009 provided a wake-up
call to the scientific community about how environmental endocrine-disrupting
chemicals (EDCs) affect health and disease. Five years later, a substantially
larger body of literature has solidified our understanding of plausible
mechanisms underlying EDC actions and how exposures in animals and humans
especially during development-may lay the foundations for disease later in life.
At this point in history, we have much stronger knowledge about how EDCs alter
gene-environment interactions via physiological, cellular, molecular, and
epigenetic changes, thereby producing effects in exposed individuals as well as
their descendants. Causal links between exposure and manifestation of disease are
substantiated by experimental animal models and are consistent with correlative
epidemiological data in humans. There are several caveats because differences in
how experimental animal work is conducted can lead to difficulties in drawing
broad conclusions, and we must continue to be cautious about inferring causality
in humans. In this second Scientific Statement, we reviewed the literature on a
subset of topics for which the translational evidence is strongest: 1) obesity
and diabetes; 2) female reproduction; 3) male reproduction; 4) hormone-sensitive
cancers in females; 5) prostate; 6) thyroid; and 7) neurodevelopment and
neuroendocrine systems. Our inclusion criteria for studies were those conducted
predominantly in the past 5 years deemed to be of high quality based on
appropriate negative and positive control groups or populations, adequate sample
size and experimental design, and mammalian animal studies with exposure levels
in a range that was relevant to humans. We also focused on studies using the
developmental origins of health and disease model. No report was excluded based
on a positive or negative effect of the EDC exposure. The bulk of the results
across the board strengthen the evidence for endocrine health-related actions of
EDCs. Based on this much more complete understanding of the endocrine principles
by which EDCs act, including nonmonotonic dose-responses, low-dose effects, and
developmental vulnerability, these findings can be much better translated to
human health. Armed with this information, researchers, physicians, and other
healthcare providers can guide regulators and policymakers as they make
responsible decisions.
PMID- 26544562
TI - Straylight Measurements in Two Different Apodized Diffractive Multifocal
Intraocular Lenses.
AB - PURPOSE: To evaluate differences in straylight between eyes implanted with a
hydrophilic multifocal IOL (Seelens MF; Hanita Lenses, Hanita, Israel) and a
hydrophobic multifocal IOL (SN6AD1; Alcon Laboratories, Inc., Fort Worth, TX).
METHODS: In a prospective cohort study, routinely obtained straylight
measurements (C-Quant; Oculus Optikgerate, Wetzlar, Germany) 3 months after
standard phacoemulsification for either cataract or refractive lens procedures
were compared. Patients were implanted with either the SeeLens MF IOL or the
SN6AD1 IOL. Postoperative straylight values, visual acuity, and refractive
outcomes were compared. RESULTS: The SeeLens MF IOL was implanted in 84 eyes and
the SN6AD1 IOL in 79 eyes. The difference in straylight was 0.08 (P = .01), with
the SeeLens MF IOL having less straylight. Postoperative CDVA was logMAR -0.03 +/
0.06 in the SeeLens MF group, and logMAR -0.02 +/- 0.08 in the SN6AD1 group.
Mean postoperative refraction was +0.01 +/- 0.43 and +0.06 +/- 0.35 D,
respectively. CONCLUSIONS: The Seelens MF IOL showed a stray-light of log(s) 0.08
lower than the SN6AD1 IOL. In terms of spherical equivalent and visual acuity the
lenses performed equally. More study will aid in understanding the causes and
clinical impact of this difference.
PMID- 26544561
TI - Detection of Keratoconus in Clinically and Algorithmically Topographically Normal
Fellow Eyes Using Epithelial Thickness Analysis.
AB - PURPOSE: To assess the effectiveness of a keratoconus-detection algorithm derived
from Artemis very high-frequency (VHF) digital ultrasound (ArcScan Inc.,
Morrison, CO) epithelial thickness maps in the fellow eye from a series of
patients with unilateral keratoconus. METHODS: The study included 10 patients
with moderate to advanced keratoconus in one eye but a clinically and
algorithmically topographically normal fellow eye. VHF digital ultrasound
epithelial thickness data were acquired and a previously developed classification
model was applied for identification of keratoconus to the clinically normal
fellow eyes. Pentacam (Oculus Optikgerate, Wetzlar, Germany) Belin-Ambrosio
Enhanced Ectasia Display "D" score (BAD-D) data (5 of 10 eyes), and Orbscan
(Bausch & Lomb, Rochester, NY) SCORE data (9 of 10 eyes) were also evaluated.
RESULTS: Five of the 10 fellow eyes were classified as keratoconic by the VHF
digital ultrasound epithelium model. Five of 9 fellow eyes were classified as
keratoconic by the SCORE model. For the 5 fellow eyes with Pentacam and VHF
digital ultrasound data, one was classified as keratoconic by the VHF digital
ultrasound model, one (different) eye by a combined VHF digital ultrasound and
Pentacam model, and none by BAD-D alone. CONCLUSIONS: Under the assumption that
keratoconus is a bilateral but asymmetric disease, half of the 'normal' fellow
eyes could be found to have keratoconus using epithelial thickness maps. The
Orbscan SCORE or the combination of topographic BAD-D criteria with epithelial
maps did not perform better.
PMID- 26544563
TI - Comparison of Forward Light Scatter Changes Between SMILE, Femtosecond Laser
assisted LASIK, and Epipolis LASIK: Results of a 1-Year Prospective Study.
AB - PURPOSE: To investigate the characteristics of forward light scatter changes
after small incision lenticule extraction (SMILE) and to compare these changes
with those after femtosecond laser-assisted LASIK (femto-LASIK) and epipolis
LASIK (epi-LASIK). METHODS: A total of 303 eyes (SMILE group = 118 eyes, femto
LASIK group = 90 eyes, epi-LASIK group = 95) of 157 patients were included in
this study. Forward straylight was measured preoperatively and 1 month, 6 months,
and 1 year postoperatively using a C-Quant straylight meter (Oculus Optikgerate,
Wetzlar, Germany). RESULTS: A significant increase in straylight was found in the
femto-LASIK group only at 1 month after the procedure (P = .002), whereas
significant increases were found in the epi-LASIK group at 1 month, 6 months, and
1 year after the procedure (P < .001). There were no significant increases in the
straylight values after SMILE (P = .310) compared with the preoperative values,
although the straylight values were slightly increased at 1 month. Significant
differences in the postoperative-preoperative straylight value (Deltalog[s])
changes were found between the SMILE, femto-LASIK, and epi-LASIK groups over the
follow-up period (P < .001 for all). The correlations between the ablation
depth/central corneal thickness ratios and the straylight values were
statistically significant in the femto-LASIK and epi-LASIK groups, whereas no
significant correlation between the lenticule thickness/central corneal thickness
ratios and the stray-light values was found in the SMILE group. CONCLUSIONS:
Forward straylight was slightly increased in the early stage after the femto
LASIK procedure and was significantly increased throughout the follow-up period
after epi-LASIK surgery. The SMILE procedure appeared to have a smaller effect on
forward light scatter within the 1-year follow-up period.
PMID- 26544564
TI - Comparison of Three Epithelial Removal Techniques in PRK: Mechanical, Alcohol
assisted, and Transepithelial Laser.
AB - PURPOSE: To compare the visual and refractive results obtained after
photorefractive keratectomy (PRK) in patients who underwent one of three
different epithelial removal techniques. METHODS: The authors reviewed the
medical files of consecutive eyes with myopia and myopic astigmatism that were
treated during a 10-year period by mechanical PRK, alcohol-assisted PRK, or
transepithelial PRK (in the phototherapeutic keratectomy mode), and observed for
more than 1 year. RESULTS: A total of 3,417 patients (3,417 eyes) were included
in this study. At 3 and 6 months postoperatively, the outcome of alcohol-assisted
PRK was superior both in efficacy (P < .01) and safety (P < .001) to those of
both mechanical PRK and transepithelial PRK, which were similar. At more than 1
year postoperatively, the mean efficacy index was still high for alcohol-assisted
PRK, but low for the transepithelial PRK, corresponding to a mean uncorrected
visual acuity of more than one Snellen line lower than those of the other two
techniques (P < .0001). All three techniques showed a regression toward myopia
more than 1 year postoperatively, with significant undercorrection obtained in
eyes treated with transepithelial PRK (P < .0001). CONCLUSIONS: Significant
differences were detected in both the visual outcomes and the refractive results
of the three epithelial removal techniques. The long-term outcomes were best for
alcohol-assisted PRK.
PMID- 26544565
TI - Novel Placido-derived Topography-guided Excimer Corneal Normalization With
Cyclorotation Adjustment: Enhanced Athens Protocol for Keratoconus.
AB - PURPOSE: To comparatively investigate the efficacy of the enhanced Athens
Protocol procedure guided by novel Placido-derived topography with cyclorotation
compensation (the cyclorotation adjusted group) to similar cases guided by
Scheimpflug-derived tomography without cyclorotation compensation (the non
cyclorotation adjusted group). METHODS: Two groups were evaluated: the
cyclorotation adjusted group (n = 110 eyes) and the non-cyclorotation adjusted
group (n = 110 eyes). Analysis was based on digital processing of Scheimpflug
imaging derived curvature difference maps preoperatively and 3 months
postoperatively. The vector (r, theta) corresponding to the steepest corneal
point (cone) on the preoperative surgical planning map (rp, thetap) and on the
curvature difference map (rd, thetad) were computed. The differences between the
peak topographic angular data (Deltatheta = |thetap - thetad|) and weighted
angular difference (WDeltatheta = Deltatheta * Deltar) were calculated. RESULTS:
For the cyclorotation adjusted group, Deltatheta was 7.18 degrees +/- 7.53
degrees (range: 0 degrees to 34) and WDeltatheta was 3.43 +/- 4.76 mm (range:
0.00 to 21.41 mm). For the non-cyclorotation adjusted group, Deltatheta was 14.50
degrees +/- 12.65 degrees (range: 0 degrees to 49 degrees ) and WDeltatheta
was 10.23 +/- 15.15 mm (range: 0.00 to 80.56 mm). The cyclorotation adjusted
group appeared superior to the non-cyclorotation adjusted group, in both the
smaller average angular difference between attempted to achieved irregular
curvature normalization and in weighted angular difference, by a statistically
significant margin (Deltatheta: P = .0058; WDeltatheta: P = .015). CONCLUSIONS:
This study suggests that employment of the novel Placido-derived topographic data
of highly irregular corneas, such as in keratoconus, treated with topography
guided profile with cyclorotation compensation leads to markedly improved cornea
normalization.
PMID- 26544566
TI - Femtosecond Laser-assisted Endokeratophakia Using Allogeneic Corneal Lenticule in
a Rabbit Model.
AB - PURPOSE: To investigate the feasibility of allogeneic corneal lenticule
implantation using the femtosecond laser as a method for cornea remodeling.
METHODS: Small incision lenticule extraction was performed on the right eyes of
15 New Zealand white rabbits. Corneal intrastromal pockets were created with a
femtosecond laser on the left eyes. Allogeneic corneal lenticule implantation was
performed on the left eye immediately after the lenticule was extracted from the
right eye. All animals had preoperative and postoperative slit-lamp photography,
ultrasonic pachymetry, corneal endothelial cell count, anterior segment optical
coherence tomography, in vivo confocal microscopy, and retinoscopy refraction
during the observation period of 8 weeks. The rabbits were killed 8 weeks after
surgery. Corneal wound healing response was analyzed by transmission electron
microscopy, hematoxylin-eosin staining, TUNEL assay, and immunofluorescent
staining. RESULTS: Mild corneal edema and decreased clarity were noted the first
few days after implantation, improving to normal 8 weeks after surgery. The
corneal thickness and retinoscopy refraction were stable during the observation
period. Viable keratocytes could be detected within the lenticule lamellae by
ultrastructural analysis 8 weeks after surgery. The anterior and posterior border
of the lenticule showed acellular layers with highly irregular collagen
arrangement on transmission electron microscope images. Proliferating Ki-67
positive cells were present only in the epithelium layer. CONCLUSION: Femtosecond
laser-assisted endokeratophakia using allogeneic corneal lenticule may be
feasible for reshaping cornea, providing a new possibility in refractive surgery
and keratoconus treatment.
PMID- 26544567
TI - Prenatal Exposure to Cadmium, Placental Permeability and Birth Outcomes in
Coastal Populations of South Africa.
AB - BACKGROUND: The impact of prenatal exposure to cadmium (Cd) on birth outcomes is
an area of concern. This study aimed to assess an impact of prenatal Cd exposure
on birth outcomes in distinct coastal populations of South Africa. METHODS:
Cadmium was measured in maternal blood (CdB) (n = 641), cord blood and in
maternal urine (n = 317). This investigation assessed the associations between
CdB (non-transformed) and birth outcomes across the 25th, 50th, and 75th
percentile for birth weight, birth length and head circumference, to test for a
linear trend. Associations between natural log-transformed maternal CdB, size at
birth and other factors were further evaluated using linear mixed-effects
modelling with random intercepts. RESULTS: The average gestational age in the
total sample was 38 weeks; 47% of neonates were female, average birth weight was
3065 g and 11% were of low birth weight (< 2500 g). The geometric mean (GM) of
the maternal CdB level was 0.25 MUg/L (n = 641; 95% CI, 0.23-0.27). The cord
blood Cd level was 0.27 MUg/L (n = 317; 95% CI, 0.26-0.29) and urine (creatinine
corrected) Cd level was 0.27 MUg/L (n = 318; 95% CI, 0.24-0.29). The CdB
cord:maternal ratio in the sub-cohort was 1, suggesting that the placenta offers
no protective mechanism to the foetus. An inverse association was found between
CdB and the lower birth weight percentile in female neonates only (beta = - 0.13,
p = 0.047). Mothers who reported eating vine vegetables daily had lower levels of
CdB (beta = - 0.55, p = 0.025). Maternal smoking was associated with an elevation
in natural log-transformed CdB levels in both male and female cohorts.
DISCUSSION: Significant inverse associations between prenatal Cd exposure and
birth anthropometry were found in female neonates but not in male neonates,
suggesting potential sex differences in the toxico-kinetics and toxico-dynamics
of Cd.
PMID- 26544569
TI - Application of Penalized Regression Techniques in Modelling Insulin Sensitivity
by Correlated Metabolic Parameters.
AB - This paper aims to introduce penalized estimation techniques in clinical
investigations of diabetes, as well as to assess their possible advantages and
limitations. Data from a previous study was used to carry out the simulations to
assess: a) which procedure results in the lowest prediction error of the final
model in the setting of a large number of predictor variables with high
multicollinearity (of importance if insulin sensitivity should be predicted) and
b) which procedure achieves the most accurate estimate of regression coefficients
in the setting of fewer predictors with small unidirectional effects and moderate
correlation between explanatory variables (of importance if the specific relation
between an independent variable and insulin sensitivity should be examined).
Moreover a special focus is on the correct direction of estimated parameter
effects, a non-negligible source of error and misinterpretation of study results.
The simulations were performed for varying sample size to evaluate the
performance of LASSO, Ridge as well as different algorithms for Elastic Net.
These methods were also compared with automatic variable selection procedures
(i.e. optimizing AIC or BIC).We were not able to identify one method achieving
superior performance in all situations. However, the improved accuracy of
estimated effects underlines the importance of using penalized regression
techniques in our example (e.g. if a researcher aims to compare relations of
several correlated parameters with insulin sensitivity). However, the decision
which procedure should be used depends on the specific context of a study
(accuracy versus complexity) and moreover should involve clinical prior
knowledge.
PMID- 26544568
TI - Outlier Analysis Defines Zinc Finger Gene Family DNA Methylation in Tumors and
Saliva of Head and Neck Cancer Patients.
AB - Head and Neck Squamous Cell Carcinoma (HNSCC) is the fifth most common cancer,
annually affecting over half a million people worldwide. Presently, there are no
accepted biomarkers for clinical detection and surveillance of HNSCC. In this
work, a comprehensive genome-wide analysis of epigenetic alterations in primary
HNSCC tumors was employed in conjunction with cancer-specific outlier statistics
to define novel biomarker genes which are differentially methylated in HNSCC. The
37 identified biomarker candidates were top-scoring outlier genes with prominent
differential methylation in tumors, but with no signal in normal tissues. These
putative candidates were validated in independent HNSCC cohorts from our
institution and TCGA (The Cancer Genome Atlas). Using the top candidates, ZNF14,
ZNF160, and ZNF420, an assay was developed for detection of HNSCC cancer in
primary tissue and saliva samples with 100% specificity when compared to normal
control samples. Given the high detection specificity, the analysis of ZNF DNA
methylation in combination with other DNA methylation biomarkers may be useful in
the clinical setting for HNSCC detection and surveillance, particularly in high
risk patients. Several additional candidates identified through this work can be
further investigated toward future development of a multi-gene panel of
biomarkers for the surveillance and detection of HNSCC.
PMID- 26544570
TI - Metabolomics and Incidence of Atrial Fibrillation in African Americans: The
Atherosclerosis Risk in Communities (ARIC) Study.
AB - BACKGROUND: Atrial fibrillation (AF) is a common arrhythmia. Application of
metabolomic approaches, which may identify novel pathways and biomarkers of
disease risk, to a longitudinal epidemiologic study of AF has been limited.
METHODS: We determined the prospective association of 118 serum metabolites
identified through untargeted metabolomics profiling with the incidence of newly
diagnosed AF in 1919 African-American men and women from the Atherosclerosis Risk
in Communities study without AF at baseline (1987-1989). Incident AF cases
through 2011 were ascertained from study electrocardiograms, hospital discharge
codes, and death certificates. RESULTS: During a median follow-up of 22 years, we
identified 183 incident AF cases. In Cox proportional hazards models adjusted for
age, sex, smoking, body mass index, systolic blood pressure, use of
antihypertensive medication, diabetes, prevalent heart failure, prevalent
coronary heart disease, and kidney function, two conjugated bile acids
(glycolithocholate sulfate and glycocholenate sulfate) were significantly
associated with AF risk after correcting for multiple comparisons (p<0.0004).
Multivariable-adjusted hazard ratios (95% confidence intervals) of AF were 1.22
(1.12-1.32) for glycolithocholate sulfate and 1.22 (1.10-1.35) for glycocholenate
sulfate per 1-standard deviation higher levels. Associations were not appreciably
different after additional adjustment for alcohol consumption or concentrations
of circulating albumin and liver enzymes. CONCLUSION: We found an association of
higher levels of two bile acids with an increased risk of AF, pointing to a
potential novel pathway in AF pathogenesis. Replication of results in independent
studies is warranted.
PMID- 26544571
TI - DNA Repair Cofactors ATMIN and NBS1 Are Required to Suppress T Cell Activation.
AB - Proper development of the immune system is an intricate process dependent on many
factors, including an intact DNA damage response. The DNA double-strand break
signaling kinase ATM and its cofactor NBS1 are required during T cell development
and for the maintenance of genomic stability. The role of a second ATM cofactor,
ATMIN (also known as ASCIZ) in T cells is much less clear, and whether ATMIN and
NBS1 function in synergy in T cells is unknown. Here, we investigate the roles of
ATMIN and NBS1, either alone or in combination, using murine models. We show loss
of NBS1 led to a developmental block at the double-positive stage of T cell
development, as well as reduced TCRalpha recombination, that was unexpectedly
neither exacerbated nor alleviated by concomitant loss of ATMIN. In contrast,
loss of both ATMIN and NBS1 enhanced DNA damage that drove spontaneous peripheral
T cell hyperactivation, proliferation as well as excessive production of
proinflammatory cytokines and chemokines, leading to a highly inflammatory
environment. Intriguingly, the disease causing T cells were largely proficient
for both ATMIN and NBS1. In vivo this resulted in severe intestinal inflammation,
colitis and premature death. Our findings reveal a novel model for an intestinal
bowel disease phenotype that occurs upon combined loss of the DNA repair
cofactors ATMIN and NBS1.
PMID- 26544572
TI - Depletion of CpG Dinucleotides in Papillomaviruses and Polyomaviruses: A Role for
Divergent Evolutionary Pressures.
AB - BACKGROUND: Papillomaviruses and polyomaviruses are small ds-DNA viruses
infecting a wide-range of vertebrate hosts. Evidence supporting co-evolution of
the virus with the host does not fully explain the evolutionary path of
papillomaviruses and polyomaviruses. Studies analyzing CpG dinucleotide
frequencies in virus genomes have provided interesting insights on virus
evolution. CpG dinucleotide depletion has not been extensively studied among
papillomaviruses and polyomaviruses. We sought to analyze the relative abundance
of dinucleotides and the relative roles of evolutionary pressures in
papillomaviruses and polyomaviruses. METHODS: We studied 127 full-length
sequences from papillomaviruses and 56 full-length sequences from polyomaviruses.
We analyzed the relative abundance of dinucleotides, effective codon number
(ENC), differences in synonymous codon usage. We examined the association, if
any, between the extent of CpG dinucleotide depletion and the evolutionary
lineage of the infected host. We also investigated the contribution of mutational
pressure and translational selection to the evolution of papillomaviruses and
polyomaviruses. RESULTS: All papillomaviruses and polyomaviruses are CpG
depleted. Interestingly, the evolutionary lineage of the infected host determines
the extent of CpG depletion among papillomaviruses and polyomaviruses. CpG
dinucleotide depletion was more pronounced among papillomaviruses and
polyomaviruses infecting human and other mammals as compared to those infecting
birds. Our findings demonstrate that CpG depletion among papillomaviruses is
linked to mutational pressure; while CpG depletion among polyomaviruses is linked
to translational selection. We also present evidence that suggests methylation of
CpG dinucleotides may explain, at least in part, the depletion of CpG
dinucleotides among papillomaviruses but not polyomaviruses. CONCLUSIONS: The
extent of CpG depletion among papillomaviruses and polyomaviruses is linked to
the evolutionary lineage of the infected host. Our results highlight the
existence of divergent evolutionary pressures leading to CpG dinucleotide
depletion among small ds-DNA viruses infecting vertebrate hosts.
PMID- 26544573
TI - A ligand-entry surface of the nuclear receptor superfamily consists of the helix
H3 of the ligand-binding domain.
AB - We successfully simulated receptor-ligand complex holo-form formation using the
human retinoid X receptor-alpha ligand-binding domain (LBD) and its natural
ligand, 9-cis retinoic acid. The success of this simulation was strongly
dependent on the findings for an initial structure between the apo-LBD and the
ligand as well as the discovery of the driving forces underlying the ligand
trapping and subsequent ligand-induction processes. Here, we would like to
propose the "helix H3 three-point initial-binding hypothesis," which was
instrumental in simulating the nuclear receptor (NR) superfamily. Using this
hypothesis, we also succeeded in simulating holo-form formation of the human
retinoic acid receptor-gamma LBD and its natural ligand, all-trans retinoic acid.
It is hoped that this hypothesis will facilitate novel understanding of both the
ligand-trapping mechanism and the simultaneous C-terminal folding process in NR
LBDs, as well as provide a new approach to drug design using a structure-based
perspective.
PMID- 26544574
TI - Hit me with your best shot: dolutegravir - a space in the next WHO guidelines?
PMID- 26544575
TI - No evidence of posttreatment control after early initiation of antiretroviral
therapy.
AB - As part of a retrospective analysis of 616 individuals followed from incident HIV
infection for up to 18 years as part of the San Diego Primary Infection Cohort,
we found 16 individuals who started antiretroviral therapy (ART) within the first
4 months of infection and subsequently interrupted ART after being virologically
suppressed for a median of 1.75 years. No individual maintained sustained
virologic control after interruption of ART, even when treatment was started
during the earliest stages of HIV infection. Median time to HIV-RNA rebound after
ART interruption was 0.9 months (range: 0.2-6.4 months).
PMID- 26544578
TI - Cognitive functioning, wellbeing and brain correlates in HIV-1 infected patients
on long-term combination antiretroviral therapy.
AB - OBJECTIVE: The objective of the current study is to integrate results from
extensive neuropsychological assessment, subjective wellbeing reports and
structural neuroimaging findings in successfully treated HIV-infected patients in
comparison with a HIV-negative control group. DESIGN: A cross-sectional study.
METHODS: Neuropsychological functioning and self-reported wellbeing were assessed
in a group of 102 virologically suppressed HIV-infected patients on combination
antiretroviral therapy (cART) and 56 controls. Both groups underwent magnetic
resonance (MR) examinations and grey matter, white matter and subcortical volumes
were determined. Brain parenchymal fraction (BPF) was calculated as an estimated
measure of global brain atrophy. RESULTS: HIV-infected patients showed worse
information processing speed (P = 0.01) and motor function (P = 0.03) than
controls. Also, higher levels of anxiety and depressive symptoms, somatic and
cognitive complaints, sleep problems and health distress were found, as well as
lower levels of general health perceptions, social functioning and energy (P <
0.05). No differences in wellbeing reports were found between patients on
regimens containing either efavirenz or nevirapine and patients on cART without
these drugs (P > 0.05). Patients had a smaller BPF (P = 0.04) and thalamus (P =
0.05) than controls. A lower BPF was related to worse motor function and
information processing speed in the patients. A smaller thalamus volume was
related to lower motor function in the patient group and lower speed of
information processing in the controls. CONCLUSION: No profound deficits were
found in the current study. The present results demonstrate that HIV has a minor
impact on brain, cognition and wellbeing among HIV-infected patients who are
otherwise healthy and maintained on a good control of cART.
PMID- 26544576
TI - Association of immune-activation and senescence markers with non-AIDS-defining
comorbidities in HIV-suppressed patients.
AB - OBJECTIVES: We studied the link between T-cell activation, differentiation and
senescence phenotypes and non-AIDS-related comorbidities in HIV-suppressed
patients. DESIGN: Patients included in the ANRS CO3 Aquitaine Cohort were
consecutively enrolled in this cross-sectional study between October 2011 and May
2013 called Chronic Immune Activation and Senescence (CIADIS) study. METHODS: We
summarized immune markers [CD4 and CD8 activation (DR), differentiation (naive
and terminally differentiated memory T cells), and senescence (CD57CD28)] in a
weighted immune score by principal component analysis called CIADIS. Previously
described Veterans Aging Cohort Study (VACS) index and immune risk profile (IRP)
scores were calculated. We used adjusted logistic regression to assess the
association between the CIADIS score and the presence of at least three non-AIDS
defining comorbidities. RESULTS: Of 876 patients with an undetectable viral load,
73.4% were men and median age was 50.5 years [interquartile range (IQR) 44.7-56.7
years]. Median CD4 T-cell count was 579/MUl (IQR 429-759 cells/MUl), and median
duration of HIV viral suppression was 5.3 years (IQR 2.3-8.7). The weighted
CIADIS score was associated with at least three comorbidities (odds ratio 1.3 for
1 SD more, 95% confidence interval 1.0, 1.6) independently of age, sex, AIDS
stage, and the Veterans Aging Cohort Study score. The CIADIS and the immune risk
profile scores were significantly associated with at least three comorbidities in
adjusted models restricted to patients younger than 60 years. None of the tested
scores were associated with at least three comorbidities in patients older than
60 years. CONCLUSIONS: The weighted CIADIS score based on activation, senescence,
and differentiation markers might help physicians identifying patients at a
higher risk for non-AIDS-related comorbidities.
PMID- 26544579
TI - Single oral dose of maraviroc does not prevent ex-vivo HIV infection of rectal
mucosa in HIV-1 negative human volunteers.
AB - OBJECTIVE: Maraviroc (MVC) is a potential candidate for 'on demand' preexposure
prophylaxis. In the present study, we evaluated the efficacy of a single oral
dose of MVC to prevent ex-vivo HIV-1 infection of rectal tissue in humans. DESIGN
AND METHODS: Eight HIV-1-negative healthy volunteers received a single oral dose
of MVC (300 or 600 mg), and two additional volunteers received tenofovir
disoproxil fumarate/emtricitabine (TDF/FTC, 300/200 mg) for 10 days. Rectal
biopsies were performed prior to the ex-vivo challenge (day 0), at day 7 (4 h
after MVC) or after 10 days with TDF/FTC. Rectal biopsies were infected ex-vivo,
and viral inhibition and CCR5 occupancy was analyzed. MVC concentration in plasma
and rectal tissue was measured just after biopsy and after viral incubation.
RESULTS: Ex-vivo rectal tissue protection with MVC was incomplete in all but two
participants, whereas TDF/FTC avoided ex-vivo infection in the two controls.
Median dose-normalized concentration of MVC was significantly higher in rectal
tissue than in plasma (561.1 and 155.1 ng/ml, respectively). A significant loss
of MVC during the virus incubation (about 60%) and a low CCR5 occupancy
(approximately 45%) were detected in rectal cells. CONCLUSIONS: An ex-vivo
challenge with a single oral dose of MVC does not prevent ex-vivo infection of
human rectal mucosa. The lack of prophylactic efficacy observed suggests that 'on
demand' MVC preexposure prophylaxis would not prevent rectal HIV-1 transmission.
PMID- 26544580
TI - Off-label use of maraviroc in HIV-1-infected paediatric patients in clinical
practice.
AB - Maraviroc (MVC) is not approved for HIV-1-infected paediatric patients. This is
the first assessment of the use of MVC-based salvage therapy in vertically HIV-1
infected paediatric patients in clinical settings. The results suggest that MVC
based salvage therapy is useful in children and adolescents with extensive
resistance profile leading to maintained virological suppression in up to 88% of
the patients with CCR5-tropic virus. The likelihood of treatment success might
increase when MVC is combined with other active drugs.
PMID- 26544577
TI - Virologic and immunologic effects of adding maraviroc to suppressive
antiretroviral therapy in individuals with suboptimal CD4+ T-cell recovery.
AB - BACKGROUND: Combination antiretroviral therapy (ART) suppresses HIV-1
replication, but does not restore CD4 T-cell counts in all individuals. To
investigate the effects of maraviroc on HIV-1 persistence and the relations
between virologic and immunologic parameters in individuals with incomplete CD4 T
cell recovery, we performed a prospective, open-label pilot trial in which
maraviroc was added to a suppressive ART regimen for 24 weeks. DESIGN: A5256 was
a single-arm trial in which individuals on suppressive ART with incomplete CD4 T
cell recovery added maraviroc for 24 weeks. METHODS: We quantified low-level,
residual viremia in plasma and total HIV-1 DNA and 2-long terminal repeat (2-LTR)
circles in peripheral blood mononuclear cells before and after maraviroc
intensification. We also evaluated markers of CD4 and CD8 T-cell immune
activation (%CD38HLA-DR) and apoptosis (%caspase3/Bcl-2). RESULTS: No effect of
maraviroc was found on the probability of detectable plasma viremia (>=1 copy/ml;
n = 31, exact McNemar P = 1.0) or detectable 2-LTR circles (n = 28, P = 0.25) or
on total HIV-1 DNA (n = 28, 90% confidence interval -0.1, +0.3 log10 copies/10
CD4 T-cells). Premaraviroc HIV-1 DNA levels were inversely related to
premaraviroc %CD38HLA-DR CD4 T-cells (Spearman = -0.52, P = 0.004), and lower
premaraviroc HIV-1 DNA levels were associated with larger decreases in %CD38HLA
DR CD4 T-cells during maraviroc intensification (Spearman = 0.44, P = 0.018).
CONCLUSION: In individuals on suppressive ART with incomplete CD4 T-cell
recovery, maraviroc intensification did not affect measures of HIV-1 persistence
but did decrease persistent CD4 T-cell immune activation especially in
individuals with low preintensification levels of HIV-1 DNA.
PMID- 26544581
TI - Disclosure of pharmacokinetic drug results to understand nonadherence.
AB - OBJECTIVES: In VOICE, a phase IIB trial of daily oral and vaginal tenofovir for
HIV prevention, at least 50% of women receiving active products had undetectable
tenofovir in all plasma samples tested. MTN-003D, an ancillary study using in
depth interviews (IDIs) and focus group discussions (FGDs), together with
retrospective disclosure of plasma tenofovir pharmacokinetic results, explored
adherence challenges during VOICE. METHODS: We systematically recruited
participants with pharmacokinetic data (median six plasma samples), categorized
as low (0%, N = 79), inconsistent (1-74%, N = 28) or high (>=75%; N = 20) on the
basis of frequency of tenofovir detection. Following disclosure of
pharmacokinetic results, reactions were captured and adherence challenges
systematically elicited; IDIs and FGDs were audio-recorded, transcribed, coded
and thematically analysed. RESULTS: We interviewed 127 participants from South
Africa, Uganda and Zimbabwe. The most common reactions to pharmacokinetic results
included surprise (41%; low pharmacokinetic), acceptance (39%; inconsistent
pharmacokinetic) and happiness (65%; high pharmacokinetic). On the basis of
participants' explanations, we developed a typology of adherence patterns:
noninitiation, discontinuation, misimplementation (resulting from visit-driven
use, variable taking, modified dosing or regimen) and adherence. Fear of product
side effects/harm was a frequent concern, fuelled by stories shared among
participants. Although women with high pharmacokinetic levels reported similar
concerns, several described strategies to overcome challenges. Women at all
pharmacokinetic levels suggested real-time drug monitoring and feedback to
improve adherence and reporting. CONCLUSION: Retrospective provision of
pharmacokinetic results seemingly promoted candid discussions around nonadherence
and study participation. The effect of real-time drug monitoring and feedback on
adherence and accuracy of reporting should be evaluated in trials.
PMID- 26544582
TI - Self-reported nonadherence to antiretroviral therapy as a predictor of viral
failure and mortality.
AB - OBJECTIVE: To determine the effect of nonadherence to antiretroviral therapy
(ART) on virologic failure and mortality in naive individuals starting ART.
DESIGN: Prospective observational cohort study. METHODS: Eligible individuals
enrolled in the Swiss HIV Cohort Study, started ART between 2003 and 2012, and
provided adherence data on at least one biannual clinical visit. Adherence was
defined as missed doses (none, one, two, or more than two) and percentage
adherence (>95, 90-95, and <90) in the previous 4 weeks. Inverse probability
weighting of marginal structural models was used to estimate the effect of
nonadherence on viral failure (HIV-1 viral load >500 copies/ml) and mortality.
RESULTS: Of 3150 individuals followed for a median 4.7 years, 480 (15.2%)
experienced viral failure and 104 (3.3%) died, 1155 (36.6%) reported missing one
dose, 414 (13.1%) two doses and, 333 (10.6%) more than two doses of ART. The risk
of viral failure increased with each missed dose (one dose: hazard ratio [HR]
1.15, 95% confidence interval 0.79-1.67; two doses: 2.15, 1.31-3.53; more than
two doses: 5.21, 2.96-9.18). The risk of death increased with more than two
missed doses (HR 4.87, 2.21-10.73). Missing one to two doses of ART increased the
risk of viral failure in those starting once-daily (HR 1.67, 1.11-2.50) compared
with those starting twice-daily regimens (HR 0.99, 0.64-1.54, interaction P =
0.09). Consistent results were found for percentage adherence. CONCLUSION: Self
report of two or more missed doses of ART is associated with an increased risk of
both viral failure and death. A simple adherence question helps identify patients
at risk for negative clinical outcomes and offers opportunities for intervention.
PMID- 26544583
TI - Obesity is associated with greater inflammation and monocyte activation among HIV
infected adults receiving antiretroviral therapy.
AB - OBJECTIVES: Among virally suppressed HIV-infected persons, we examined the
relationship between obesity and alterations in key clinical markers of immune
activation and inflammation. These markers have also been associated with excess
HIV-related cardiovascular disease and mortality. METHODS: We evaluated data from
virally suppressed participants in the Study to Understand the Natural History of
HIV/AIDS in the Era of Effective Therapy, including inflammatory biomarkers
(interleukin-6 and highly sensitive C-reactive protein), monocyte biomarkers
[soluble CD163 (sCD163), sCD14], and monocyte immunophenotypes. We assessed
associations with these immunologic measures and obesity, via logistic regression
preadjustment and postadjustment for demographic and clinical factors,
homeostatic model assessment of insulin resistance, and leptin levels. RESULTS:
Among 452 evaluable participants, median (interquartile range) age was 41 (36-48)
years, CD4 cell count was 475 (308-697) cells/MUl, and 21% were obese (BMI >= 30
kg/m). In univariable models, obesity, smoking, and lower CD4 cell count were
associated with higher measures of inflammation and monocyte activation. After
adjustment, obesity remained independently associated with elevated levels
(highest vs. lower two tertiles) of interleukin-6 [odds ratio (OR) 1.96; P =
0.02], highly sensitive C-reactive protein (OR 2.79; P < 0.001) and sCD163 (OR
1.94; P = 0.02), and elevated frequency of CD14CD16 (OR 1.77; P = 0.03) and
CD14dimCD16 (OR 1.97; P = 0.01). Adjusting for homeostatic model assessment of
insulin resistance and leptin modestly affected associations for obesity with
inflammation and monocyte activation. CONCLUSION: Obesity was prevalent and
independently associated with greater monocyte activation and systemic
inflammation. Research is needed to determine how adipose tissue excess is
functionally related to persistent immunologic abnormalities among HIV-infected
persons with viral suppression.
PMID- 26544584
TI - Tropism distribution among antiretroviral-naive HIV-2-infected patients.
AB - The aim of this study was to describe HIV-2 R5/X4-tropism distribution in
antiretroviral-naive HIV-2-infected patients. Population sequencing of the gp105
region was performed on peripheral blood mononuclear cells issued from 151
antiretroviral-naive patients. Tropism was successfully determined in 46 of 151
samples (30%) with six of 46 (13%) X4-tropic viruses. X4-tropism was associated
with lower CD4 cell count (337 vs. 551/mm; P = 0.032) but not with plasma viral
load. Thus, X4-tropism prevalence in HIV-2 antiretroviral-naive patients is
similar to that observed in HIV-1.
PMID- 26544585
TI - Clinical relevance of cross-reactivity between darunavir and trimethoprim
sulfamethoxazole in HIV-infected patients.
PMID- 26544586
TI - Incidence of darunavir hypersensitivity in different clinical cohorts.
PMID- 26544587
TI - Disseminated mucormycosis-induced perforated intestine in a late presenting AIDS
patient with steroid-dependent secondary hemophagocytic lymphohistiocytosis.
PMID- 26544588
TI - Effective but expensive hepatitis C treatment for patients with HIV.
PMID- 26544589
TI - Organ donations between HIV-positive individuals.
PMID- 26544590
TI - Replicative fitness of transmitted HIV-1 drives disease progression.
PMID- 26544591
TI - The best way to proceed when an HIV-discordant couple desires a child.
PMID- 26544592
TI - Targeting Tuberculosis and HIV Infection-Specific Regulatory T Cells with MEK/ERK
Signaling Pathway Inhibitors.
AB - Human regulatory T cells (Tregs) are essential in maintaining immunological
tolerance and suppress effector T cells. Tregs are commonly up-regulated in
chronic infectious diseases such as tuberculosis (TB) and human immunodeficiency
virus (HIV) infection and thereby hamper disease-specific immune responses and
eradication of pathogens. The MEK/ERK signaling pathway is involved in regulation
of the FoxP3 transcription factor, which directs a lineage-specific
transcriptional program to define Tregs and control their suppressive function.
Here, we aimed to target activation of disease-specific Tregs by inhibition of
the MEK/ERK signaling pathway based on the hypothesis that this would improve
anti-HIV and anti-TB immunity. Stimulation of T cells from untreated TB (n = 12)
and HIV (n = 8) patients with disease-specific antigens in vitro in the presence
of the MEK inhibitor (MEKI) trametinib (GSK1120212) resulted in significant down
regulation of both FoxP3 levels (MFI) and fractions of resting (CD45RA+FoxP3+)
and activated (CD45RA-FoxP3++) Tregs. MEKI also reduced the levels of specific T
effector cells expressing the pro-inflammatory cytokines (IFN-gamma, TNF-alpha
and IL-2) in both HIV and TB patients. In conclusion, MEKIs modulate disease
antigen-specific Treg activation and may have potential application in new
treatment strategies in chronic infectious diseases where reduction of Treg
activity would be favorable. Whether MEKIs can be used in current HIV or TB
therapy regimens needs to be further investigated.
PMID- 26544593
TI - Reduced D2/D3 Receptor Binding of Extrastriatal and Striatal Regions in Temporal
Lobe Epilepsy.
AB - OBJECTIVE: Dopamine is an endogenous neuromodulator in cortical circuits and the
basal ganglia. In animal models of temporal lobe epilepsy (TLE), seizure
threshold is modulated to some extent by dopamine, with D1-receptors having a pro
and D2-receptors an anticonvulsant effect. We aimed to extend our previously
reported results on decreased D2/D3 receptor binding in the lateral epileptogenic
temporal lobe and to correlate them with demographic and seizure variables to
gain a more comprehensive understanding of the underlying involvement of the
dopaminergic system in the epileptogenesis of TLE. METHODS: To quantify D2/D3
receptor binding, we studied 21 patients with TLE and hippocampal sclerosis (13
left- and eight right-sided) and 18 controls using PET with the high-affinity
dopamine D2/D3-receptor ligand 18F-Fallypride to image striatal and extrastriatal
binding. TLE was defined by interictal and ictal video-EEG, MRI and 18F
Fluorodeoxyglucose PET. Voxel-based statistical and regions-of-interest analyses
were performed. RESULTS: 18F-Fallypride binding potential was significantly
reduced in the affected temporal lobe and bilateral putamen. A positive
correlation between age at onset of epilepsy and [18F]FP BPnd (binding potential
non-displaceable) in temporal regions on the epileptogenic side was found, as
well as a negative correlation between epilepsy duration and [18F]FP BPnd in the
temporal pole on the epileptogenic side and a positive correlation between the
estimated number of lifetime GTCS and [18F]FP BPnd in the hippocampus on the
epileptogenic side. SIGNIFICANCE: The areas of reduced D2/D3 receptor
availability correspond to "the irritative zone" surrounding the epileptogenic
area. Moreover, reduced D2/D3 receptor availability was detectable in the basal
ganglia, which are suspected to be involved in a control circuit for epileptic
seizures. The correlational analysis additionally suggests that increased
epilepsy duration leads to increasing impairment of the dopaminergic system.
PMID- 26544595
TI - A systematic review of clinical outcomes for patients diagnosed with skin cancer
spinal metastases.
AB - OBJECT Surgical procedures and/or adjuvant therapies are effective modalities for
the treatment of symptomatic spinal metastases. However, clinical results
specific to the skin cancer spinal metastasis cohort are generally lacking. The
purpose of this study was to systematically review the literature for treatments,
clinical outcomes, and survival following the diagnosis of a skin cancer spinal
metastasis and evaluate prognostic factors in the context of spinal skin cancer
metastases stratified by tumor subtype. METHODS The authors performed a
literature review using PubMed, Embase, CINAHL, and Web of Science to identify
articles since 1950 that reported survival, clinical outcomes, and/or prognostic
factors for the skin cancer patient population with spinal metastases. The
methodological quality of reviews was assessed using the PRISMA (Preferred
Reporting Items for Systematic Reviews and Meta-Analyses) tool. RESULTS Sixty
five studies met the preset criteria and were included in the analysis. Of these
studies, a total of 25, 40, 25, and 12 studies included patients who underwent
some form of surgery, radiotherapy, chemotherapy, or observation alone,
respectively. Sixty-three of the 65 included studies were retrospective in nature
(Class of Evidence [CoE] IV), and the 2 prospective studies were CoE II. Based on
the studies analyzed, the median overall survival for a patient with a spinal
metastasis from a primary skin malignancy is 4.0 months; survival by tumor
subtype is 12.5 months for patients with basal cell carcinoma (BCC), 4.0 months
for those with melanoma, 4.0 months for those with squamous cell carcinoma, 3.0
months for those with pilomatrix carcinoma, and 1.5 months for those with Merkel
cell carcinoma (p < 0.0001). The overall percentage of known continued disease
progression after spine metastasis diagnosis was 40.1% (n = 244/608, range 25.0%
88.9%), the rate of known recurrence of the primary skin cancer lesion was 3.5%
(n = 21/608, range 0.2%-100.0%), and the rate of known spine metastasis
recurrence despite treatment for all skin malignancies was 2.8% (n = 17/608,
range 0.0%-33.3%). Age greater than 65 years, sacral spinal involvement, presence
of a neurological deficit, and nonambulatory status were associated with
decreased survival in patients diagnosed with a primary skin cancer spinal
metastasis. All other clinical or prognostic parameters were of low or
insufficient strength. CONCLUSIONS Patients diagnosed with a primary skin cancer
metastasis to the spine have poor overall survival with the exception of those
with BCC. The median duration of survival for patients who received surgical
intervention alone, medical management (chemotherapy and/or radiation) alone, or
the combination of therapies was similar across interventions. Age, spinal
region, and neurological status may be associated with poor survival following
surgery.
PMID- 26544596
TI - A novel surgical approach to the lumbar spine involving hemilateral split-off of
the spinous process to preserve the multifidus muscle: technical note.
AB - In the conventional posterior approach to the lumbar spine, the lamina is exposed
by stripping the paravertebral muscles from the spinous process, and the
resulting paravertebral muscle damage can produce muscle atrophy and decreased
muscle strength. The author developed a novel surgical approach to the lumbar
spine in which the attachment of the paravertebral muscles to the spinous process
is preserved. In the novel approach, the spinous process is split on the midline
without stripping the attached muscles, and a hemilateral half of the spinous
process is then resected at the base, exposing only the ipsilateral lamina.
Before closing, the resected half is sutured and reattached to the remaining half
of the spinous process. Thirty-eight patients with lumbar spinal canal stenosis
(LSCS) undergoing unilateral partial laminectomy and bilateral decompression
using this novel approach were analyzed. Postoperative changes in the multifidus
muscle were evaluated by T2 signal intensity on MR images. MRI performed 1 year
after the operation revealed no significant difference in the T2 signal intensity
of the multifidus muscle between the approach and nonapproach sides. This result
indicated that postoperative changes of the multifidus muscle on the approach
side were slight. The clinical outcomes of unilateral partial laminectomy and
bilateral decompression using this approach for LSCS were satisfactory. The novel
approach can be a useful alternative to the conventional posterior lumbar
approach.
PMID- 26544594
TI - Protection Induced by Simultaneous Subcutaneous and Endobronchial Vaccination
with BCG/BCG and BCG/Adenovirus Expressing Antigen 85A against Mycobacterium
bovis in Cattle.
AB - The incidence of bovine tuberculosis (bTB) in the GB has been increasing since
the 1980s. Immunisation, alongside current control measures, has been proposed as
a sustainable measure to control bTB. Immunisation with Mycobacterium bovis
bacillus Calmette-Guerin (BCG) has been shown to protect against bTB.
Furthermore, much experimental data indicates that pulmonary local immunity is
important for protection against respiratory infections including Mycobacterium
tuberculosis and that pulmonary immunisation is highly effective. Here, we
evaluated protection against M. bovis, the main causative agent of bTB, conferred
by BCG delivered subcutaneously, endobronchially or by the new strategy of
simultaneous immunisation by both routes. We also tested simultaneous
subcutaneous immunisation with BCG and endobronchial delivery of a recombinant
type 5 adenovirus expressing mycobacterial antigen 85A. There was significantly
reduced visible pathology in animals receiving the simultaneous BCG/BCG or
BCG/Ad85 treatment compared to naive controls. Furthermore, there were
significantly fewer advanced microscopic granulomata in animals receiving
BCG/Ad85A compared to naive controls. Thus, combining local and systemic
immunisation limits the development of pathology, which in turn could decrease
bTB transmission.
PMID- 26544597
TI - Paraplegia after contrast media application: a transient or devastating rare
complication? Case report.
AB - The authors report the case of a 76-year-old man with a spinal dural
arteriovenous fistula. The patient suffered from sudden repeated reversible
paraplegia after spinal digital subtraction angiography as well as CT
angiography. Neurotoxicity of contrast media (CM) is the most probable cause for
this repeated short-lasting paraplegia. Intolerance to toxicity of CM to the
vulnerable spinal cord is rare, and probably depends on the individual patient.
This phenomenon is transient and can occur after both intraarterial and
intravenous CM application.
PMID- 26544599
TI - Nutrition in Cancer.
AB - In cancer patients, oral nutrition is the preferred route of feeding since it is
a significant part of the patient's daily routine and contributes to the
patient's autonomy. It represents a privileged time to spend with family and
friends, avoiding the tendency for isolation in these patients. The
acknowledgement that the prescribed diet is individualized, adapted and adequate
to individual needs empowers the patient with a feeling of control, and thus it
is also a highly effective approach of psychological modulation. All these
factors may potentially contribute to improve the patient's quality of life and
may modulate treatment morbidity. The referral to a nutrition professional
responsible for the individualized dietary counseling should always be based on
evidence-based decision-making plans. The implementation of individualized
nutritional counseling should consider the common causes for a poor nutritional
intake in elderly cancer patients. A proper approach through counseling requires
professionals with specific experience in both nutrition and oncology. Oral
nutritional supplements are a simple and practical way to meet nutritional
requirements when normal food intake is compromised. Ideally, oral nutritional
supplements should be in addition to and not instead of meals. Supplements should
be administered at a time which does not interfere with the appetite of the
patient. The administration after the meal theoretically potentiates the anabolic
effect on protein metabolism. Supplements with high energy density (>1 kcal/ml)
or enriched with omega-3 fatty acid are probably the most effective.
PMID- 26544598
TI - FESetup: Automating Setup for Alchemical Free Energy Simulations.
AB - FESetup is a new pipeline tool which can be used flexibly within larger
workflows. The tool aims to support fast and easy setup of alchemical free energy
simulations for molecular simulation packages such as AMBER, GROMACS, Sire, or
NAMD. Post-processing methods like MM-PBSA and LIE can be set up as well. Ligands
are automatically parametrized with AM1-BCC, and atom mappings for a single
topology description are computed with a maximum common substructure search
(MCSS) algorithm. An abstract molecular dynamics (MD) engine can be used for
equilibration prior to free energy setup or standalone. Currently, all modern
AMBER force fields are supported. Ease of use, robustness of the code, and
automation where it is feasible are the main development goals. The project
follows an open development model, and we welcome contributions.
PMID- 26544600
TI - Usefulness of the Macruz Index for Predicting Successful Percutaneous Mitral
Balloon Valvuloplasty in Patients with Mitral Stenosis.
AB - OBJECTIVE: The aim of this study was to determine whether the Macruz index (P/P-R
segment) could predict the severity of valvular involvement and the success of
percutaneous mitral balloon valvuloplasty (PMBV) in patients with mitral stenosis
(MS). SUBJECTS AND METHODS: Sixty-one patients with MS eligible for PMBV and 72
healthy subjects (61 females and 11 males) with sinus rhythm were enrolled into
this study. PMBV was performed in all patients using a percutaneous transseptal
antegrade approach and a multitrack balloon technique. The P/P-R segment ratio
and echocardiographic variables were measured before and 48-72 h after the
procedure. The optimal cutoff point for differences in the Macruz index to
determine clinical success was evaluated by receiver operating characteristic
analysis by calculating the area under the curve as giving the maximum sum of
sensitivity and specificity for the significant test. RESULTS: In the patient
group (mean age 42.9 +/- 11.1 years), the preprocedural Macruz index was
significantly higher than in the control group (2.79 +/- 1.03 vs. 1.29 +/- 0.11;
p < 0.001). In the successful-procedure group (n = 53), the mean postindex value
was significantly lower (2.12 +/- 0.71 vs. 2.81 +/- 1.0, p = 0.020), and the
decrease in the Macruz index was significantly higher than in the unsuccessful
procedure group (p = 0.007). An index decrease of 0.105 was the best cutoff value
to distinguish the successful-PMBV group from the unsuccessful- PMBV group (area
under the curve = 0.888, 95% confidence interval 0.788-0.988, p < 0.001).
CONCLUSION: The Macruz index was significantly higher in patients with MS
compared to healthy subjects. A greater decrease in the Macruz index was
associated with a successful PMBV.
PMID- 26544601
TI - Who Tweets with Their Location? Understanding the Relationship between
Demographic Characteristics and the Use of Geoservices and Geotagging on Twitter.
AB - In this paper we take advantage of recent developments in identifying the
demographic characteristics of Twitter users to explore the demographic
differences between those who do and do not enable location services and those
who do and do not geotag their tweets. We discuss the collation and processing of
two datasets-one focusing on enabling geoservices and the other on tweet
geotagging. We then investigate how opting in to either of these behaviours is
associated with gender, age, class, the language in which tweets are written and
the language in which users interact with the Twitter user interface. We find
statistically significant differences for both behaviours for all demographic
characteristics, although the magnitude of association differs substantially by
factor. We conclude that there are significant demographic variations between
those who opt in to geoservices and those who geotag their tweets. Not
withstanding the limitations of the data, we suggest that Twitter users who
publish geographical information are not representative of the wider Twitter
population.
PMID- 26544602
TI - Music-induced emotions can be predicted from a combination of brain activity and
acoustic features.
AB - It is widely acknowledged that music can communicate and induce a wide range of
emotions in the listener. However, music is a highly-complex audio signal
composed of a wide range of complex time- and frequency-varying components.
Additionally, music-induced emotions are known to differ greatly between
listeners. Therefore, it is not immediately clear what emotions will be induced
in a given individual by a piece of music. We attempt to predict the music
induced emotional response in a listener by measuring the activity in the
listeners electroencephalogram (EEG). We combine these measures with acoustic
descriptors of the music, an approach that allows us to consider music as a
complex set of time-varying acoustic features, independently of any specific
music theory. Regression models are found which allow us to predict the music
induced emotions of our participants with a correlation between the actual and
predicted responses of up to r=0.234,p<0.001. This regression fit suggests that
over 20% of the variance of the participant's music induced emotions can be
predicted by their neural activity and the properties of the music. Given the
large amount of noise, non-stationarity, and non-linearity in both EEG and music,
this is an encouraging result. Additionally, the combination of measures of brain
activity and acoustic features describing the music played to our participants
allows us to predict music-induced emotions with significantly higher accuracies
than either feature type alone (p<0.01).
PMID- 26544603
TI - The influence of action possibility and end-state comfort on motor imagery of
manual action sequences.
AB - It has been proposed that the preparation of goal-direct actions involves
internal movement simulation, or motor imagery. Evidence suggests that motor
imagery is critically involved in the prediction of action consequences and
contributes heavily to movement planning processes. The present study examined
whether the sensitivity towards end-state comfort and the
possibility/impossibility to perform an action sequence are considered during
motor imagery. Participants performed a mental rotation task in which two images
were simultaneously presented. The image on the left depicted the start posture
of a right hand when grasping a bar, while the right image depicted the hand
posture at the end of the action sequence. The right image displayed the bar in a
vertical orientation with the hand in a comfortable (thumb-up) or in an
uncomfortable (thumb-down) posture, while the bar in the left image was rotated
in picture plane in steps of 45 degrees . Crucially, the two images formed either
a physically possible or physically impossible to perform action sequence.
Results revealed strikingly different response time patterns for the two action
sequence conditions. In general, response times increased almost monotonically
with increasing angular disparity for the possible to perform action sequences.
However, slight deviations from this monotonicity were apparent when the
sequences contained an uncomfortable as opposed to a comfortable final posture.
In contrast, for the impossible sequences, response times did not follow a
typical mental rotation function, but instead were uniformly very slow. These
findings suggest that both biomechanical constraints (i.e., end-state comfort)
and the awareness of the possibility/impossibility to perform an action sequence
are considered during motor imagery. We conclude that motor representations
contain information about the spatiotemporal movement organization and the
possibility of performing an action, which are crucially involved in anticipation
and planning of action sequences.
PMID- 26544604
TI - Activity of Caudate Nucleus Neurons in a Visual Fixation Paradigm in Behaving
Cats.
AB - Beside its motor functions, the caudate nucleus (CN), the main input structure of
the basal ganglia, is also sensitive to various sensory modalities. The goal of
the present study was to investigate the effects of visual stimulation on the CN
by using a behaving, head-restrained, eye movement-controlled feline model
developed recently for this purpose. Extracellular multielectrode recordings were
made from the CN of two cats in a visual fixation paradigm applying static and
dynamic stimuli. The recorded neurons were classified in three groups according
to their electrophysiological properties: phasically active (PAN), tonically
active (TAN) and high-firing (HFN) neurons. The response characteristics were
investigated according to this classification. The PAN and TAN neurons were
sensitive primarily to static stimuli, while the HFN neurons responded primarily
to changes in the visual environment i.e. to optic flow and the offset of the
stimuli. The HFNs were the most sensitive to visual stimulation; their responses
were stronger than those of the PANs and TANs. The majority of the recorded units
were insensitive to the direction of the optic flow, regardless of group, but a
small number of direction-sensitive neurons were also found. Our results
demonstrate that both the static and the dynamic components of the visual
information are represented in the CN. Furthermore, these results provide the
first piece of evidence on optic flow processing in the CN, which, in more
general terms, indicates the possible role of this structure in dynamic visual
information processing.
PMID- 26544605
TI - Correction: Cardiovascular Risks Associated with Low Dose Ionizing Particle
Radiation.
PMID- 26544606
TI - Brands and Inhibition: A Go/No-Go Task Reveals the Power of Brand Influence.
AB - Whether selecting a candy in a shop or picking a digital camera online, there are
usually many options from which consumers may choose. With such abundance,
consumers must use a variety of cognitive, emotional, and heuristic means to
filter out and inhibit some of their responses. Here we use brand logos within a
Go/No-Go task to probe inhibitory control during the presentation of familiar and
unfamiliar logos. The results showed no differences in response times or in
commission errors (CE) between familiar and unfamiliar logos. However,
participants demonstrated a generally more cautious attitude of responding to the
familiar brands: they were significantly slower and less accurate at responding
to these brands in the Go trials. These findings suggest that inhibitory control
can be exercised quite effectively for familiar brands, but that when such
inhibition fails, the potent appetitive nature of brands is revealed.
PMID- 26544608
TI - Pure oats as part of the gluten-free diet in celiac disease: The need to revisit
the issue.
PMID- 26544607
TI - Insular Gray Matter Volume and Objective Quality of Life in Schizophrenia.
AB - Improving quality of life has been recognized as an important outcome for
schizophrenia treatment, although the fundamental determinants are not well
understood. In this study, we investigated the association between brain
structural abnormalities and objective quality of life in schizophrenia patients.
Thirty-three schizophrenia patients and 42 age-, sex-, and education-matched
healthy participants underwent magnetic resonance imaging. The Quality of Life
Scale was used to measure objective quality of life in schizophrenia patients.
Voxel-based morphometry was performed to identify regional brain alterations that
correlate with Quality of Life Scale score in the patient group. Schizophrenia
patients showed gray matter reductions in the frontal, temporal, limbic, and
subcortical regions. We then performed voxel-based multiple regression analysis
in these regions to identify any correlations between regional gray matter volume
and Quality of Life Scale scores. We found that among four subcategories of the
scale, the Instrumental Role category score correlated with gray matter volume in
the right anterior insula in schizophrenia patients. In addition, this
correlation was shown to be mediated by negative symptoms. Our findings suggest
that the neural basis of objective quality of life might differ topographically
from that of subjective QOL in schizophrenia.
PMID- 26544609
TI - Similar Squamous Cell Carcinoma Epithelium microRNA Expression in Never Smokers
and Ever Smokers.
AB - The incidence of oral tumors in patients who never used mutagenic agents such as
tobacco is increasing. In an effort to better understand these tumors we studied
microRNA (miRNA) expression in tumor epithelium of never tobacco users, tumor
epithelium of ever tobacco users, and nonpathological control oral epithelium. A
comparison of levels among 372 miRNAs in 12 never tobacco users with oral
squamous cell carcinoma (OSCC) versus 10 healthy controls was made using the
reverse transcription quantitative polymerase chain reaction. A similar analysis
was done with 8 ever tobacco users with OSCC. These comparisons revealed miR-10b
5p, miR-196a-5p, and miR-31-5p as enriched in the tumor epithelium in OSCC of
both never and ever tobacco users. Examination of The Cancer Genome Atlas (TCGA)
project miRNA data on 305 OSCCs and 30 controls revealed 100% of those miRNAs
enriched in never smoker OSCCs in this patient group were also enriched in ever
smoker OSCCs. Nonsupervised clustering of TCGA OSCCs was suggestive of two or
four subgroups of tumors based on miRNA levels with limited evidence for
differences in tobacco exposure among the groups. Results from both patient
groups together stress the importance of miR196a-5p in OSCC malignancy in both
never and ever smokers, and emphasize the overall similarity of miRNA expression
in OSCCs in these two risk groups. It implies that there may be great similarity
in etiology of OSCC in never and ever smokers and that classifying OSCC based on
tobacco exposure may not be helpful in the clinic.
PMID- 26544610
TI - Risk of developing major depression and anxiety disorders among women with
endometriosis: A longitudinal follow-up study.
AB - BACKGROUNDS: Several cross-sectional studies suggested a link between
endometriosis and mood disorders. However, the temporal association between
endometriosis and mood disorders (depression and anxiety disorders) is still
unclear. METHODS: Using the Taiwan National Health Insurance Research Database,
10,439 women with endometriosis and 10,439 (1:1) age-/sex-matched controls
between 1998 and 2009 were enrolled, and followed up to the end of 2011. Those
who developed depression or anxiety disorders during the follow-up were
identified. RESULTS: Women with endometriosis had an increased risk of developing
major depression (hazard ratio [HR]: 1.56, 95% confidence interval [CI]:1.24
1.97), any depressive disorder (HR: 1.44, 95% CI: 1.25-1.65), and anxiety
disorders (HR: 1.44, 95% CI: 1.22-1.70) in later life compared to those without
endometriosis. Stratified by age group, women with endometriosis aged <40 years
and those aged ?40 years were both prone to developing major depression (HR:
1.52, 95% CI: 1.15-1.99; HR: 1.69, 95% CI: 1.09-2.62), any depressive disorder
(HR: 1.43, 95% CI: 1.21-1.69; HR: 1.45, 95% CI: 1.13-1.56), and anxiety disorders
(HR: 1.39, 95% CI: 1.14-1.71; HR: 1.53, 95% CI: 1.15-2.04). LIMITATION: the
incidence of depression and anxiety disorders may be underestimated since only
those who sought medical consultation and help would be enrolled in our study.
CONCLUSION: Endometriosis was associated with an elevated likelihood of
developing depression and anxiety disorders. Further studies may be required to
investigate the underlying pathophysiology between endometriosis and both
depression and anxiety disorders.
PMID- 26544611
TI - Cannabis use disorder is associated with greater illness severity in tobacco
smoking patients with bipolar disorder.
AB - OBJECTIVE: Cannabis use disorders (CUD) may influence the course of bipolar
disorder (BD), but key confounding factors such as tobacco smoking have not been
adequately addressed. This study examined whether CUD was associated with a more
severe illness course in tobacco smoking BD patients. METHODS: A sample of French
and Norwegian tobacco smoking patients with BD I and II (N=642) was investigated.
DSM-IV diagnoses and other characteristics were obtained through personal
interviews using structured questionnaires. The association between CUD and
illness course was assessed in regression analyses. RESULTS: In bivariate
analyses, CUD was associated with earlier BD onset, higher frequency of manic (in
BD I) and depressive episodes and hospitalizations per illness year, and a higher
occurrence of psychotic episodes. After controlling for potential confounders,
the relationships with earlier BD onset (B=-5.60 95% CI=-7.65 to -3.64), and
increased rates of manic episodes (OR=1.93, 95% CI: 1.15 to 3.23) and
hospitalizations (OR=2.93, 95% CI: 1.85 to 4.64) remained statistically
significant. LIMITATIONS: Despite the multivariate approach, differences between
the two samples may lead to spurious findings related to hidden confounders.
Substance use and mood episode information was collected retrospectively, and
potential birth cohort effects could not be controlled for. CONCLUSION: Studies
have found associations between tobacco smoking and poorer outcomes in BD. In
this study on tobacco smoking BD patients we report an association between CUD
and illness severity, suggesting that CUD exacerbates the disease evolution
independently of tobacco smoking. Specific treatment and prevention programs
addressing CUD in BD patients are warranted.
PMID- 26544612
TI - Childhood motor coordination and adult psychopathology in extremely low birth
weight survivors.
AB - OBJECTIVE: To determine if childhood motor coordination is associated with
lifetime major depressive disorder (MDD), current generalized anxiety disorder
(GAD), and attention-deficit/hyperactivity disorder (ADHD) in adulthood, and to
examine if extremely low birth weight (ELBW; <1000 g) status moderates the
strength of these associations. METHOD: Prospective study of a cohort of normal
birth weight (NBW) controls and ELBW survivors. Participants completed the short
form Bruininks-Oseretsky Test of Motor Proficiency (BOTMP-SF) at age 8. At age 29
36, participants completed the Mini International Neuropsychiatric Interview to
diagnose the psychiatric disorders of interest. RESULTS: Birth weight status
significantly influenced the strength and direction of associations between
childhood motor coordination and adult psychiatric outcomes such that the odds of
MDD (Pinteraction=.02) and GAD (Pinteraction=.01) increased with worsening motor
scores in NBW adults but not ELBW survivors. Stratified analyses indicated that
in NBW adults, for each one-point decrease in BOTMP-SF score, the odds of
lifetime MDD increased by 10% (OR=1.10, 95% CI: 1.01-1.20). LIMITATIONS:
Participant attrition reduced sample size and that may have limited our ability
to detect statistically significant results for some of our analyses. CONCLUSION:
Poorer motor coordination in early life has a negative long-term impact on the
development of MDD and GAD of individuals born at NBW. The long-term mental
health risks of childhood motor coordination problems are significant and
highlight the importance of recognizing motor deficits in all children, so that
associated psychological difficulties can be identified and treated at an early
age.
PMID- 26544613
TI - Low stability of diagnostic classifications of anxiety disorders over time: A six
year follow-up of the NESDA study.
AB - BACKGROUND: Stability of diagnosis was listed as an important predictive
validator for maintaining separate diagnostic classifications in DSM-5. The aim
of this study is to examine the longitudinal stability of anxiety disorder
diagnoses, and the difference in stability between subjects with a chronic versus
a non-chronic course. METHODS: Longitudinal data of 447 subjects with a current
pure anxiety disorder diagnosis at baseline from the Netherlands Study of
Depression and Anxiety were used. At baseline, 2-, 4-, and 6-year follow-up
mental disorders were assessed and numbers (and percentages) of transitions from
one anxiety disorder diagnosis to another were determined for each anxiety
disorder diagnosis separately and for subjects with a chronic (i.e. one or more
anxiety disorder at every follow-up assessment) and a non-chronic course.
RESULTS: Transition percentages were high in all anxiety disorder diagnoses,
ranging from 21.1% for social anxiety disorder to 46.3% for panic disorder with
agoraphobia at six years of follow-up. Transition numbers were higher in the
chronic than in the non-chronic course group (p=0.01). LIMITATIONS: Due to the 2
year sample frequency, the number of subjects with a chronic course may have been
overestimated as intermittent recovery periods may have been missed. CONCLUSIONS:
These data indicate that anxiety disorder diagnoses are not stable over time. The
validity of the different anxiety disorder categories is not supported by these
longitudinal patterns, which may be interpreted as support for a more pronounced
dimensional approach to the classification of anxiety disorders.
PMID- 26544614
TI - Occupational differences in suicide mortality among Japanese men of working age.
AB - BACKGROUND: Although suicide rates among Japanese men of working-age have
steadily increased over the past two decades, the distribution by occupation and
industry is not uniform. Little is known regarding occupation and industry
differences in relation to suicide risk. This study examined differences in
suicide risk among Japanese men of working age (25-59 years) during 2010.
METHODS: We analysed the Japanese government's 2010 national survey data
regarding occupation and industry-specific death rates. Poisson regression models
were formulated for each occupation and industry to estimate the relative risk of
death by suicide. Potential interactions between age and occupation/industry were
also examined. RESULTS: Suicide incidence was highest among workers in the fields
of agriculture and mining. When compared with referent groups (sales for
occupation and wholesale and retail for industry), the age-adjusted relative risk
of suicide was highest for administrative and managerial workers (Incident
Relative Risk [IRR]: 3.91, 95% Confidence Interval [95%CI]: 3.16-4.85), service
industries (IRR: 3.63, 95%CI: 2.93-4.51) and agriculture (IRR: 3.53, 95%CI: 2.84
4.38) occupations, and for mining (IRR: 23.9, 95%CI: 19.4-29.4), fisheries (IRR:
6.26, 95%CI: 5.03-7.80), electricity and gas (IRR: 5.86, 95%CI: 4.71-7.30) and
agricultural industries (IRR: 4.73, 95%CI: 3.78-5.91). LIMITATIONS: Bias
resulting from misclassification of deceased individuals' occupation or industry
was a potential limitation of this study. Furthermore, detailed information
regarding occupation-related factors, such as employment status, had not been
recorded in the initial survey. CONCLUSIONS: These findings help elucidate
Japanese occupations and industries with a higher suicide risk, most likely due
to economic changes or workplace factors relating to stress and depression.
PMID- 26544616
TI - A haplotype in the 5'-upstream region of the NDUFV2 gene is associated with major
depressive disorder in Han Chinese.
AB - BACKGROUND: There is ample evidence supporting the idea that mitochondrial
dysfunction and altered expression of complex I subunits play important roles in
the pathophysiology of mental disorders. Early literature reports have implicated
NDUFV2, a nuclear-encoded mitochondrial complex I subunit gene, in bipolar
disorder and schizophrenia. There has been no genetic study to investigate
whether there is an association between NDUFV2 and major depressive disorder
(MDD). METHODS: This study recruited 744 patients with MDD and 767 well-matched
healthy controls in a Chinese Han population, and genotyped 9 SNPs within NDUFV2.
RESULTS: Initial analysis showed statistically significant differences for 2 SNPs
(rs4798765 and rs12964485) in the genotypic distribution and for 1 SNP
(rs4797356) in the allelic distribution between the case and control groups.
Nevertheless, no significance was demonstrated following multiple testing
corrections. Haplotype analysis showed that the T-C haplotype, consisting of
rs12457810 and rs12964485, was significantly associated with MDD (P=0.005,
corrected P=0.04 after a 10,000 permutation test). We performed an eQTL analysis
and found that rs12964485 was significantly associated with NDUFV2 expression in
the occipital cortex (P=0.036), albeit this significance did not survive after
Bonferroni correction. LIMITATION: This is a preliminary investigation with a
relatively modest sample size. CONCLUSION: Our findings provided preliminary
evidence that a haplotype T-C consisting of rs12457810 and rs12964485 in the 5'
upstream region of NDUFV2 may be a protective factor for the development of MDD
in Han Chinese.
PMID- 26544615
TI - Ongoing life stressors and suicidal ideation among HIV-infected adults with
depression.
AB - BACKGROUND: Suicidal ideation is the most proximal risk factor for suicide and
can indicate extreme psychological distress; identification of its predictors is
important for possible intervention. Depression and stressful or traumatic life
events (STLEs), which are more common among HIV-infected individuals than the
general population, may serve as triggers for suicidal thoughts. METHODS: A
randomized controlled trial testing the effect of evidence-based decision support
for depression treatment on antiretroviral adherence (the SLAM DUNC study)
included monthly assessments of incident STLEs, and quarterly assessments of
suicidal ideation (SI). We examined the association between STLEs and SI during
up to one year of follow-up among 289 Southeastern US-based participants active
in the study between 7/1/2011 and 4/1/2014, accounting for time-varying
confounding by depressive severity with the use of marginal structural models.
RESULTS: Participants were mostly male (70%) and black (62%), with a median age
of 45 years, and experienced a mean of 2.36 total STLEs (range: 0-12) and 0.48
severe STLEs (range: 0-3) per month. Every additional STLE was associated with an
increase in SI prevalence of 7% (prevalence ratio (PR) (95% confidence interval
(CI)): 1.07 (1.00, 1.14)), and every additional severe STLE with an increase in
SI prevalence of 19% (RR (95% CI): 1.19 (1.00, 1.42)). LIMITATIONS: There was a
substantial amount of missing data and the exposures and outcomes were obtained
via self-report; methods were tailored to address these potential limitations.
CONCLUSIONS: STLEs were associated with increased SI prevalence, which is an
important risk factor for suicide attempts and completions.
PMID- 26544617
TI - A systematic review of the factor structure and reliability of the Spence
Children's Anxiety Scale.
AB - BACKGROUND: The Spence Children's Anxiety Scale (SCAS) is a widely used
instrument for assessing symptoms of anxiety disorders among children and
adolescents. Previous studies have demonstrated its good reliability for children
and adolescents from different backgrounds. However, remarkable variability in
the reliability of the SCAS across studies and inconsistent results regarding its
factor structure has been found. METHODS: The present study aims to examine the
SCAS factor structure by means of a systematic review with narrative synthesis,
the mean reliability of the SCAS by means of a meta-analysis, and the influence
of the moderators on the SCAS reliability. Databases employed to collect the
studies included Scholar Google, PsycARTICLES, PsycINFO, Web of Science, and
Scopus since 1997. RESULTS: Twenty-nine and 32 studies, which examined the factor
structure and the internal consistency of the SCAS, respectively, were included.
The SCAS was found to have strong internal consistency, influenced by different
moderators. The systematic review demonstrated that the original six-factor model
was supported by most studies. LIMITATIONS: Factorial invariance studies (across
age, gender, country) and test-retest reliability of the SCAS were not examined
in this study. CONCLUSIONS: It is concluded that the SCAS is a reliable
instrument for cross-cultural use, and it is suggested that the original six
factor model is appropriate for cross-cultural application.
PMID- 26544618
TI - Psychological distress during pregnancy in Miyagi after the Great East Japan
Earthquake: The Japan Environment and Children's Study.
AB - OBJECTIVE: To examine psychological distress among pregnant women in Miyagi
prefecture which was directly affected by the Great East Japan Earthquake and
tsunami and compare other areas of Japan that were less damaged. METHODS: This
study was conducted in conjunction with the Japan Environment and Children's
Study (JECS). We examined 10,129 Japanese women using the primary fixed data of
the JECS. The Kessler 6-item psychological distress scale (K6) was administered
to 7473 eligible women including 998 in Miyagi unit center ('Miyagi UC') and 6475
in the other unit centers ('13UCs'). We compared the prevalence and the risk of
distress (K6 >= 13) during pregnancy in 'Miyagi UC' and '13UCs'. RESULTS: More
women in 'Miyagi UC' (4.9%) suffered psychological distress, compared with
'13UCs' (3.1%) (p<0.001). A significantly higher prevalence of women in 'Miyagi
UC' (55.5%) had experienced negative life events, whereas '13UCs' showed 42.7%
(p<0.0001). In multivariable logistic analyses adjusted for baseline
characteristics, there was a significant regional difference of psychological
distress (adjusted odds ratio; aOR in Miyagi UC=1.488; 95%CI, 1.059-2.090). After
further adjusting for negative life events, the association was diminished
(aOR=1.338; 95%CI, 0.949-1.884). LIMITATIONS: The JECS had no data before the
earthquake and the extent of damage was not investigated. Possible regional
representativeness is also a limitation. CONCLUSION: After the Great East Japan
Earthquake, the prevalence of pregnant women with psychological distress (K6 >=
13) were high in Miyagi prefecture. Especially in the coastal area directly
affected by tsunami, it is high with or without negative life events experienced.
PMID- 26544619
TI - The relationship between anxiety disorders and dimensional representations of DSM
IV personality disorders: A co-twin control study.
AB - BACKGROUND: There is substantial comorbidity between personality disorders (PDs)
and anxiety disorders (ADs). Sharing of familial risk factors possibly explains
the co-occurrence, but direct causal relationships between the disorders may also
exist. METHODS: 2801 persons from 1391 twin pairs from the Norwegian Institute of
Public Health Twin Panel were assessed for all DSM-IV PDs and ADs. Bivariate
Poisson-regression analyses were performed to assess whether PDs predicted ADs at
three different levels: All PDs combined, PDs combined within DSM-IV-clusters and
each individual PD separately. Next, bivariate co-twin control analyses were
executed within monozygotic (MZ) and dizygotic (DZ) twin pairs. A similar
analytic strategy was employed in multivariate models including PDs as
independent variables. RESULTS: PDs predicted ADs at all levels of analysis in
bivariate regression models. Bivariate co-twin control analyses demonstrated an
increased risk of ADs in all PDs combined, all PD-clusters and in schizotypal,
paranoid, borderline, antisocial, avoidant and dependent PD. In the multivariate
regression model, all PD-clusters and schizotypal, borderline, avoidant and
obsessive-compulsive PD predicted ADs. Only borderline and avoidant PD predicted
ADs in the multivariate co-twin control analysis. LIMITATIONS: Over-adjustment
may explain the results from the multivariate analyses. The cross-sectional study
design hampers causal inference. CONCLUSIONS: Comorbidity between ADs and PDs can
be largely accounted for by shared familial risk factors. However, the results
are also consistent with a direct causal relationship partly explaining the co
occurrence. Our results indicate specific environmental factors for comorbidity
of ADs and borderline and avoidant PDs that are not shared with other PDs.
PMID- 26544620
TI - A comparative cross-cultural study of the prevalence of late life depression in
low and middle income countries.
AB - BACKGROUND: Current estimates of the prevalence of depression in later life
mostly arise from studies carried out in Europe, North America and Asia. In this
study we aimed to measure the prevalence of depression using a standardised
method in a number of low and middle income countries (LMIC). METHODS: A one
phase cross-sectional survey involving over 17,000 participants aged 65 years and
over living in urban and rural catchment areas in 13 sites from 9 countries
(Cuba, Dominican Republic, Puerto Rico, Mexico, Venezuela, Peru, China, India and
Nigeria). Depression was assessed and compared using ICD-10 and EURO-D criteria.
RESULTS: Depression prevalence varied across sites according to diagnostic
criteria. The lowest prevalence was observed for ICD-10 depressive episode (0.3
to 13.8%). When using the EURO-D depression scale, the prevalence was higher and
ranged from 1.0% to 38.6%. The crude prevalence was particularly high in the
Dominican Republic and in rural India. ICD-10 depression was also associated with
increased age and being female. LIMITATIONS: Generalisability of findings outside
of catchment areas is difficult to assess. CONCLUSIONS: Late life depression is
burdensome, and common in LMIC. However its prevalence varies from culture to
culture; its diagnosis poses a significant challenge and requires proper
recognition of its expression.
PMID- 26544621
TI - Emergence of High-Level Daptomycin Resistance in Corynebacterium striatum in Two
Patients with Left Ventricular Assist Device Infections.
AB - INTRODUCTION: We describe the clinical and microbiologic courses of two patients
with ventricular assist device infections secondary to Corynebacterium striatum
treated with daptomycin. In both cases, the pathogen was initially susceptible to
daptomycin (minimum inhibitory concentration [MIC] <0.125 mg/L) but became
resistant (MIC >256 mg/L) during therapy. METHODS: The clonal nature of the
isolates was determined by pulse-field gel electrophoresis (PFGE). Daptomycin
binding was assessed by fluorescence microscopy using daptomycin-boron
dipyrromethene (bodipy). Induction and stability of daptomycin resistance were
assessed by culturing strains in the presence of low concentrations of daptomycin
or passage of resistant strains on daptomycin-free medium and repeat MIC testing,
respectively. RESULTS: PFGE revealed that resistant clinical isolates were
genetically indistinguishable from their parent strains, but the two pairs were
unrelated to each other. The resistant strains had 7.5-15 times lower binding of
daptomycin-bodipy compared to the related susceptible strains (p <= 0.0002). High
level daptomycin resistance (MIC >256 mg/L) was generated in vitro for both
susceptible parent strains after overnight culture in the presence of daptomycin.
One of the resistant strains maintained a high-level resistance phenotype up to 5
days of passage on daptomycin-free medium, whereas the other strain reverted back
to a susceptible phenotype (MIC = 0.38 mg/L) after one passage on daptomycin-free
medium, with a concomitant increase in daptomycin binding. CONCLUSIONS: High
level daptomycin resistance in C. striatum was readily generated in vitro and
during the course of therapy in these patients. This resistance appears to be
mediated by reduced daptomycin binding. Providers should be cautious about using
long-term daptomycin monotherapy for C. striatum infections.
PMID- 26544622
TI - Comparing the clinical outcomes in stereotactic body radiotherapy for lung tumors
between Ray-Tracing and Monte-Carlo algorithms.
AB - PURPOSE: The purpose of this study was to compare the clinical outcomes between
the groups using Ray-Tracing (RAT) and Monte-Carlo (MC) calculation algorithms
for stereotactic body radiotherapy (SBRT) of lung tumors. MATERIALS AND METHODS:
Thirty-five patients received SBRT with CyberKnife for 47 primary or metastatic
lung tumors. RAT was used for 22 targets in 12 patients, and MC for 25 targets in
23 patients. Total dose of 48 to 60 Gy was prescribed in 3 to 5 fractions on
median 80% isodose line. The response rate, local control rate, and toxicities
were compared between RAT and MC groups. RESULTS: The response rate was lower in
the RAT group (77.3%) compared to the MC group (100%) (p = 0.008). The response
rates showed an association with the mean dose to the gross tumor volume, which
the doses were re-calculated with MC algorithm in both groups. However, the local
control rate and toxicities did not differ between the groups. CONCLUSIONS: The
clinical outcome and toxicity of lung SBRT between the RAT and MC groups were
similar except for the response rate when the same apparent doses were
prescribed. The lower response rate in the RAT group, however, did not compromise
the local control rates. As such, reducing the prescription dose for MC algorithm
may be performed but done with caution.
PMID- 26544623
TI - Cullin3 promotes breast cancer cells metastasis and epithelial-mesenchymal
transition by targeting BRMS1 for degradation.
AB - Metastasis is the leading cause of death in breast cancer (BC) patients. However,
until now, the mechanisms of BC metastasis remain elusive. Cullin3 is a highly
conserved Cullin family member present in the genomes of all eukaryotes, which
has been proposed as an oncogene in many types of tumors; however, its role and
underlying mechanisms in BC remain unclear. Here we show that Cullin3 is elevated
in BC and its expression level is positively correlated with metastasis.
Overexpression of Cullin3 in BC cells increased proliferation, epithelial
mesenchymal transition, migration and invasion in vitro, and enhanced tumorigenic
and metastatic capacities in vivo. In contrast, silencing Cullin3 in aggressive
and invasive BC cells inhibited these processes. Mechanistically, we found
Cullin3 exerts its function through promoting BRMS1 protein degradation, which
was associated with EMT, migration and invasion. BRMS1 overexpression blocked
Cullin3-driven EMT, and metastasis. Our results, for the first time, portray a
pivotal role of Cullin3 in stimulating metastatic behaviors of BC cells.
Targeting Cullin3 may thus be a useful strategy to impede BC cell invasion and
metastasis.
PMID- 26544624
TI - Enhancement of NAD+-dependent SIRT1 deacetylase activity by methylselenocysteine
resets the circadian clock in carcinogen-treated mammary epithelial cells.
AB - We previously reported that dietary methylselenocysteine (MSC) inhibits N-methyl
N-nitrosourea (NMU)-induced mammary tumorigenesis by resetting circadian gene
expression disrupted by the carcinogen at the early stage of tumorigenesis. To
investigate the underlying mechanism, we developed a circadian reporter system
comprised of human mammary epithelial cells with a luciferase reporter driven by
the promoter of human PERIOD 2 (PER2), a core circadian gene. In this in vitro
model, NMU disrupted cellular circadian rhythm in a pattern similar to that
observed with SIRT1-specific inhibitors; in contrast, MSC restored the circadian
rhythms disrupted by NMU and protected against SIRT1 inhibitors. Moreover, NMU
inhibited intracellular NAD+/NADH ratio and reduced NAD+-dependent SIRT1 activity
in a dose-dependent manner, while MSC restored NAD+/NADH and SIRT1 activity in
the NMU-treated cells, indicating that the NAD+-SIRT1 pathway was targeted by NMU
and MSC. In rat mammary tissue, a carcinogenic dose of NMU also disrupted
NAD+/NADH oscillations and decreased SIRT1 activity; dietary MSC restored
NAD+/NADH oscillations and increased SIRT1 activity in the mammary glands of NMU
treated rats. MSC-induced SIRT1 activity was correlated with decreased
acetylation of BMAL1 and increased acetylation of histone 3 lysine 9 at the Per2
promoter E-Box in mammary tissue. Changes in SIRT1 activity were temporally
correlated with loss or restoration of rhythmic Per2 mRNA expression in NMU
treated or MSC-rescued rat mammary glands, respectively. Together with our
previous findings, these results suggest that enhancement of NAD+-dependent SIRT1
activity contributes to the chemopreventive efficacy of MSC by restoring
epigenetic regulation of circadian gene expression at early stages of mammary
tumorigenesis.
PMID- 26544625
TI - Oncogenic Ras suppresses ING4-TDG-Fas axis to promote apoptosis resistance.
AB - Ras is aberrantly activated in many cancers and active DNA demethylation plays a
fundamental role to establish DNA methylation pattern which is of importance to
cancer development. However, it was unknown whether and how Ras regulate DNA
demethylation during carcinogenesis. Here we found that Ras downregulated thymine
DNA glycosylase (TDG), a DNA demethylation enzyme, by inhibiting the interaction
of transcription activator ING4 with TDG promoter. TDG recruited histone lysine
demethylase JMJD3 to the Fas promoter and activated its expression, thus
restoring sensitivity to apoptosis. TDG suppressed in vivo tumorigenicity of
xenograft pancreatic cancer. Thus, we speculate that reversing Ras-mediated ING4
inhibition to activate Fas expression is a potential therapeutic approach for Ras
driven cancers.
PMID- 26544627
TI - Confirmatory factor analysis of the Arabic version of the Lower Extremity
Functional Scale.
AB - The aim of this study was to examine the factorial structure of the Arabic
version of the Lower Extremity Functional Scale (LEFS-Ar) using a confirmatory
factor analysis. The study was designed as a cross-sectional study in which
individuals with lower extremity musculoskeletal disorders were recruited. During
the testing session, participants completed the LEFS-Ar in addition to general
information form and anthropometric measurements. A hypothesized one-factor
structure underlying the 20 items of the LEFS-Ar was examined using a
confirmatory factor analysis. The fit of the observed data to the hypothesized
factorial structure was examined using multiple fit statistics including chi2,
Tucker-Lewis index (TLI), comparative-fit index (CFI), and the root mean square
error of approximation (RMSEA). A total of 160 patients with lower extremity
dysfunctions participated in this study. The fit statistics showed the following:
chi2 goodness fit statistic=654.390 (d.f.=170, P<0.001), TLI=0.754, CFI=0.78, and
RMSEA=0.134 (90% CI=0.123-0.145). These fit statistics indicate that the data do
fit the one-factor structure proposed in this study. Examination of standardized
residuals and modification indices pointed to areas of misfit within the model.
The findings of the current study do not support the hypothesized one-factor
structure of the LEFS-Ar and suggest that modifications are needed to the LEFS-Ar
to yield a unidimensional measure of lower extremity function (i.e. activity
limitation due to lower extremity dysfunction).
PMID- 26544626
TI - Molecular characterization of metastatic exon 11 mutant gastrointestinal stromal
tumors (GIST) beyond KIT/PDGFRalpha genotype evaluated by next generation
sequencing (NGS).
AB - About 85% of GISTs are associated with KIT and PDGFRalpha gene mutations, which
predict response to tyrosine kinase inhibitors. Although the outcomes in patients
affected by GIST have dramatically improved, tumor progression control still
remains a challenge. The aim of this study is the genomic characterization of
individual metastatic KIT-exon 11-mutant GIST to identify additional aberrations
and simultaneous molecular events representing potential therapeutic
targets.Seven patients with metastatic GIST were studied with whole transcriptome
sequencing and copy number analysis. Somatic single nucleotide variations were
called; however, no shared mutated genes were detected except KIT. Almost all
patients showed loss of genomic regions containing tumor suppressor genes,
sometimes coupled with single nucleotide mutation of the other allele.
Additionally, six fusion transcripts were found and three patients showed
amplifications involving known oncogenes.Evaluating the concordance between CN
status and mRNA expression levels, we detected overexpression of CCND2 and EGFR
and silencing of CDKN2A, CDKN2C, SMARCB1, PTEN and DMD. Altered expression of
these genes could be responsible for aberrant activation of signaling pathways
that support tumor growth. In this work, we assessed the effect of Hedgehog
pathway inhibition in GIST882 cells, which causes decrement of cell viability
associated with reduction of KIT expression.Additional genomic alterations not
previously reported in GIST were found even if not shared by all samples. This
contributes to a more detailed molecular understanding of this disease, useful
for identification of new targets and novel therapeutics and representing a
possible point of departure for a truly individualized clinical approach.
PMID- 26544628
TI - Dickkopf1 Up-Regulation Induced by a High Concentration of Dexamethasone Promotes
Rat Tendon Stem Cells to Differentiate Into Adipocytes.
AB - BACKGROUND/AIMS: Dexamethasone (Dex)-induced spontaneous tendon rupture and
decreased self-repair capability is very common in clinical practice. The
metaplasia of adipose tissue in the ruptured tendon indicates that Dex may induce
tendon stem cells (TSCs) to differentiate into adipocytes, but the mechanism
remains unclear. In the present study, we used in vitro methods to investigate
the effects of Dex on rat TSC differentiation and the molecular mechanisms
underlying this process. METHODS: First, we used qPCR and Western blotting to
detect the expression of the adipogenic differentiation markers aP2 and
C/EBPalpha after treating the TSCs with Dex. Oil red staining was used to confirm
that high concentration Dex promoted adipogenic differentiation of rat TSCs.
Next, we used qPCR and Western blotting to detect the effect of a high
concentration of dexamethasone on molecules related to the canonical WNT/beta
catenin pathway in TSCs. RESULTS: Treating rat TSCs with Dex promoted the
synthesis of the inhibitory molecule dickkopf1 (DKK1) at the mRNA and protein
levels. Western blotting results further showed that Dex downregulated the
cellular signaling molecule phosphorylated glycogen synthase kinase-3beta (P-GSK
3 beta (ser9)), upregulated P-GSK-3beta (tyr216), and downregulated the pivotal
signaling molecule beta-catenin. Furthermore, DKK1 knockdown attenuated Dex
induced inhibition of the canonical WNT/beta-catenin pathway and of the
adipogenic differentiation of TSCs. Lithium chloride (LiCl, a GSK-3beta
inhibitor) reduced Dex-induced inhibition of the classical WNT/beta-catenin
pathway in TSCs and of the differentiation of TSCs to adipocytes. CONCLUSION: In
conclusion, by upregulating DKK1 expression, reducing the level of P-GSK-3beta
(ser9), and increasing the level of P-GSK-3beta (tyr216), Dex causes the
degradation of beta-catenin, the central molecule of the classical WNT pathway,
thereby inducing rat TSCs to differentiate into adipocytes.
PMID- 26544629
TI - Structure activity relationships of 4-hydroxy-2-pyridones: A novel class of
antituberculosis agents.
AB - Pyridone 1 was identified from a high-throughput cell-based phenotypic screen
against Mycobacterium tuberculosis (Mtb) including multi-drug resistant
tuberculosis (MDR-TB) as a novel anti-TB agent and subsequently optimized series
using cell-based Mtb assay. Preliminary structure activity relationship on the
isobutyl group with higher cycloalkyl groups at 6-position of pyridone ring has
enabled us to significant improvement of potency against Mtb. The lead compound
30j, a dimethylcyclohexyl group on the 6-position of the pyridone, displayed
desirable in vitro potency against both drug sensitive and multi-drug resistant
TB clinical isolates. In addition, 30j displayed favorable oral pharmacokinetic
properties and demonstrated in vivo efficacy in mouse model. These results
emphasize the importance of 4-hydroxy-2-pyridones as a new chemotype and further
optimization of properties to treat MDR-TB.
PMID- 26544630
TI - Analogues of ethionamide, a drug used for multidrug-resistant tuberculosis,
exhibit potent inhibition of tyrosinase.
AB - Tyrosinase catalyzes two distinct sequential reactions in melanin biosynthesis:
the hydroxylation of tyrosine to DOPA followed by the oxidation of DOPA to
dopaquinone. The central roles of melanin in living species have motivated
researchers to maintain constant efforts to discover new agents that modulate
tyrosinase activity. In this study, we report on the inhibition of tyrosinase by
ethionamide and its analogues. Ethionamide, 2-ethylpyridine-4-carbothioamide, is
a second-line antituberculosis drug used for the treatment of multidrug-resistant
tuberculosis. The chemical similarity of ethionamide to phenylthiourea, a well
known tyrosinase inhibitor, led us to investigate its inhibitory effects on
mushroom tyrosinase and the IC50 was calculated as 4 MUM. Five analogues of
ethionamide, including another antituberculosis drug, prothionamide, were also
inhibitory, with values for IC50 in the range of 3-43 MUM. Fluorescence quenching
experiments supported a mechanism of direct binding. In contrast, isoniazid, a
structural analogue and first-line antituberculosis drug, was a poor inhibitor of
tyrosinase. We also tested the effects of ethionamide and its analogues on
melanin content in B16F10 cells. At a concentration of 50 MUM, the molecules,
pyridine-2-carbothioamide and thiobenzamide substantially decreased the melanin
content by 44% and 37%, respectively. In addition to identifying other
interactions, docking simulations showed that the carbothioamide groups of the
molecules make essential contacts with the catalytic di-copper atoms. Our results
suggest that carbothioamide can be a central moiety for the development of new
and potent tyrosinase inhibitors.
PMID- 26544631
TI - Bilirubin oxidase based enzymatic air-breathing cathode: Operation under pristine
and contaminated conditions.
AB - The performance of bilirubin oxidase (BOx) based air breathing cathode was
constantly monitored over 45 days. The effect of electrolyte composition on the
cathode oxygen reduction reaction (ORR) output was investigated. Particularly,
deactivation of the electrocatalytic activity of the enzyme in phosphate buffer
saline (PBS) solution and in activated sludge (AS) was evaluated. The greatest
drop in current density was observed during the first 3 days of constant
operation with a decrease of ~60 MUA cm(-2) day(-1). The rate of decrease slowed
to ~10 MUA cm(-2) day(-1) (day 3 to 9) and then to ~1.5 MUA cm(-2)day(-1)
thereafter (day 9 to 45). Despite the constant decrease in output, the BOx
cathode generated residual current after 45 days operations with an open circuit
potential (OCP) of 475 mV vs. Ag/AgCl. Enzyme deactivation was also studied in AS
to simulate an environment close to the real waste operation with pollutants,
solid particles and bacteria. The presence of low-molecular weight soluble
contaminants was identified as the main reason for an immediate enzymatic
deactivation within few hours of cathode operation. The presence of solid
particles and bacteria does not affect the natural degradation of the enzyme.
PMID- 26544632
TI - The enhancement of friction ridge detail on brass ammunition casings using cold
patination fluid.
AB - Brass ammunition is commonly found at firearms related crime scenes. For this
reason, many studies have focused on evidence that can be obtained from brass
ammunition such as DNA, gunshot residue and fingerprints. Latent fingerprints on
ammunition can provide good forensic evidence, however; fingerprint development
on ammunition casings has proven to be difficult. A method using cold patination
fluid is described as a potential tool to enhance friction ridge detail on brass
ammunition casings. Current latent fingerprint development methods for brass
ammunition have either failed to provide the necessary quality of friction ridge
detail or can be very time consuming and require expensive equipment. In this
study, the enhancement of fingerprints on live ammunition has been achieved with
a good level of detail whilst the development on spent casings has to an extent
also been possible. Development with cold patination fluid has proven to be a
quick, simple and cost-effective method for fingerprint development on brass
ammunition that can be easily implemented for routine police work.
PMID- 26544633
TI - Technical Note: "Mitochondrial and nuclear DNA approaches for reliable
identification of Lucilia (Diptera, Calliphoridae) species of forensic interest
from Southern Europe".
AB - In forensic entomology, rapid and unambiguous identification of blowfly species
is a critical prerequisite for accurately estimating the post-mortem interval
(PMI). The conventional diagnosis of cadaveric entomofauna based on external
characters is hampered by the morphological similarities between species,
especially in immature stages. Genetic analysis has been shown to allow precise
and reliable diagnosis and delimitation of insect species. Nevertheless, the
taxonomy of some species remains unresolved. This study was focused on improving
the effectiveness and accuracy of analysis based on the widely used cytochrome c
oxidase subunit I barcode region (COI barcode, 658 bp), complemented by other
mitochondrial and nuclear regions, such as cytochrome b (Cyt-b, 307 bp) and the
second internal transcribed spacer (ITS2, 310-331 bp), for the identification of
Southern European blowflies. We analyzed a total of 209 specimens, collected from
38 human corpses, belonging to three Calliphoridae genera and seven species:
Chrysomya (Ch. albiceps), Calliphora (C. vicina and C. vomitoria), and Lucilia
(L. sericata, L. ampullacea, L. caesar and L. illustris). These species are the
most common PMI indicators in Portugal. The results revealed that unambiguous
separation of species of the Lucilia genus requires different loci from the
barcode region. Furthermore, we conclude that the ITS2 (310-331 bp) molecular
marker is a promising diagnostic tool because its inter-specific discriminatory
power enables unequivocal and consistent distinctions to be made, even between
closely related species (L. caesar-L. illustris). This work also contributes new
genetic data that may be of interest in performing species diagnosis for Southern
European blowflies. Notably, to the best of our knowledge, we provide the first
records of the Cyt-b (307 bp) locus for L. illustris and the ITS2 (310-331 bp)
region for Iberian Peninsula Lucilia species.
PMID- 26544634
TI - Benefit of Contrast-Enhanced Ultrasound (CEUS) in the Follow-Up Care of Patients
with Colon Cancer: A Prospective Multicenter Study.
AB - PURPOSE: According to the German guidelines on colorectal cancer, unenhanced
ultrasound is recommended for follow-up. On the other hand, ultrasound and
radiology societies specify the use of contrast-enhanced ultrasound for ruling
out liver metastases. Studies focusing on the follow-up of cancer patients are
lacking. The goal of this multicenter study initiated by the German Ultrasound
Society (DEGUM) was to determine the potential benefit of contrast-enhanced
ultrasound in the follow-up of patients with colon cancer. MATERIALS AND METHODS:
Follow-up patients with colon cancer (UICC > IIa) were investigated. As scheduled
according to the German guidelines, unenhanced ultrasound was performed followed
by contrast-enhanced ultrasound. All liver lesions were recorded. In case of
additional metastases detected on contrast-enhanced ultrasound, contrast-enhanced
CT, MRI or biopsy was performed to confirm additional liver metastases. RESULTS:
A total of 45 liver metastases were detected in 26/290 patients (= 9 %) using
unenhanced ultrasound. A further 28 metastases were detected on contrast-enhanced
ultrasound in these 26 patients. In 18 patients showing no liver metastases, 40
additional metastases were detected on unenhanced ultrasound. This means that 44
patients with a total of 113 liver metastases were detected on contrast-enhanced
ultrasound (p = 0.0006). CONCLUSION: Contrast-enhanced ultrasound should be
recommended in the follow-up of patients with colon cancer in addition to
unenhanced ultrasound - the up-to-date standard.
PMID- 26544635
TI - Noninvasive Testing in Emergency Department Patients with Low-Risk Chest Pain:
Does the Evidence Support Current Guidelines?
AB - Patients who present to the emergency department with chest pain but no evidence
of ischemia on the electrocardiogram and negative cardiac markers are at very low
risk. The newest American Heart Association/American College of Cardiology
guidelines give noninvasive cardiac testing a IIa recommendation in this patient
population. Here, we will review the existing literature that was cited in the
American Heart Association/American College of Cardiology document, as well as
several large, contemporary, comparative observational studies which were not
included to address the following question: Do the benefits of noninvasive
cardiac testing in this patient population outweigh the risks?
PMID- 26544636
TI - Bottlebrush Block Polymers: Quantitative Theory and Experiments.
AB - The self-assembly of bottlebrush block polymers into a lamellar phase was
investigated using a combination of experiment and self-consistent field theory
(SCFT). Nine diblock bottlebrush polymers were synthesized with atactic
polypropylene side chains (block A) and polystyrene side chains (block B)
attached to poly(norbornene) backbones of various contour lengths, L, and the
resulting lamellar structures were analyzed using small-angle X-ray scattering.
The scaling of the lamellar period, d0 ~ L(gamma), exhibited an increasing
exponent from gamma ~ 0.3 at small L to gamma ~ 0.9 at large L. The small
exponents occurred for starlike molecules where the size of the side chains is
comparable to L, while the larger exponents occurred for the more brushlike
molecules where the side chains extend radially outward from the backbone. The
bottlebrushes were then modeled using flexible side chains of types A and B
attached to a semiflexible backbone with an adjustable persistence length, xib.
The resulting SCFT predictions for d0 showed remarkable quantitative agreement
with the experimental data, where xib was similar to the radius of the
bottlebrushes. The theory was then used to examine the joint-distribution
functions for the position and orientation of different segments along the
backbone. This revealed a bilayer arrangement of the bottlebrushes in the
lamellar phase, with a high degree of backbone orientation at the A/B interfaces
that almost completely vanished near the center of the domains. This finding
clearly refutes the prevailing interpretation that the large scaling exponent
gamma is a result of highly extended backbone conformations.
PMID- 26544637
TI - Association of TNF-alpha gene variations with thoracic aortic dissection risk in
a Chinese Han population.
AB - BACKGROUND: Chronic inflammation may be involved in pathogenesis of thoracic
aortic dissection (TAD). Tumor necrosis factor-alpha (TNF-alpha) is a
proinflammatory cytokine that plays an important role in pathological TAD
progression. In this study, we determined wether genetic variants of TNF-alpha
were associated with TAD. METHODS: Frequency distributions of TNF-alpha promoter
polymorphisms (-1031C/T,-857C/T,-308G/A, and -238G/A) were determined by direct
sequencing. TNF-alpha plasma levels were measured by enzyme-linked immunosorbent
assay. Plasma levels of TNF-alpha mRNA in peripheral-blood mononuclear cells were
analyzed by real-time quantitative polymerase chain reaction amplification.
RESULTS: We found the TNF-alpha promoter -857C/T polymorphism is associated with
disease progression susceptibility in TAD patients. The CC homozygote of TAD
patients had a significantly higher risk of TAD than did T allele carriers (P<
0.05). Plasma TNF-alpha concentrations were also significantly higher in TAD
patients than control subjects (P<0.05), and CC genotype carriers showed
increased TNF-alpha levels compared with T allele carriers (P<0.05). Moreover,
peripheral-blood mononuclear cells carrying the CC genotype showed increased TNF
alpha mRNA levels compared with cells carrying the T allele. CONCLUSIONS: The
857C/T polymorphism of TNF-alpha promoter plays a role in the genetic variation
underlying susceptibility of individuals to TAD progression. The CC genotype is
associated with increased TNF-alpha expression in TAD patients, and may be an
independent predictive factor for TAD.
PMID- 26544638
TI - Association of Arsenic and Phosphorus with Iron Nanoparticles between Streams and
Aquifers: Implications for Arsenic Mobility.
AB - The microbial oxidation of organic matter coupled to reductive iron oxide
dissolution is widely recognized as the dominant mechanism driving elevated
arsenic (As) concentrations in aquifers. This paper considers the potential of
nanoparticles to increase the mobility of As in aquifers, thereby accounting for
discrepancies between predicted and observed As transport reported elsewhere.
Arsenic, phosphorus, and iron size distributions and natural organic matter
association were examined along a flow path from surface water via the hyporheic
zone to shallow groundwater. Our analysis demonstrates that the colloidal Fe
concentration (>1 kDa) correlates with both colloidal P and colloidal As
concentrations. Importantly, increases in the concentration of colloidal P (>1
kDa) were positively correlated with increases in the concentration of nominally
dissolved As (<1 kDa), but no correlation was observed between colloidal As and
nominally dissolved P. This suggests that P actively competes for adsorption
sites on Fe nanoparticles, displacing adsorbed As, thus mirroring their
interaction with Fe oxides in the aquifer matrix. Dynamic redox fronts at the
interface between streams and aquifers may therefore provide globally widespread
conditions for the generation of Fe nanoparticles, a mobile phase for As
adsorption currently not a part of reactive transport models.
PMID- 26544639
TI - L-Amino Acid Based Urea-Tertiary Amine-Catalyzed Chemoselective and Asymmetric
Stereoablative Carboxylation of 3-Bromooxindoles with Malonic Acid Half
Thioesters.
AB - An L-amino acid based urea-tertiary amine-catalyzed enantioselective
stereoablative carboxylation of 3-bromooxindoles with malonic acid half
thioesters (MAHTs) and diverse commercially available carboxylic acids has been
developed. A series of valuable 3-substituted 3-hydroxy-2-oxindoles were obtained
in high enantioselectivities (up to 93% ee). This chemoselective reaction
represents the first example of MAHTs as carboxylating agents.
PMID- 26544641
TI - Role of Organic Coatings in Regulating N2O5 Reactive Uptake to Sea Spray Aerosol.
AB - Previous laboratory measurements and field observations have suggested that the
reactive uptake of N2O5 to sea spray aerosol particles is a complex function of
particle chemical composition and phase, where surface active organics can
suppress the reactive uptake by up to a factor of 60. To date, there are no
direct studies of the reactive uptake of N2O5 to nascent sea spray aerosol that
permit assessment of the role that organic molecules present in sea spray aerosol
(SSA) may play in suppressing or enhancing N2O5 uptake kinetics. In this study,
SSA was generated from ambient seawater and artificial seawater matrices using a
Marine Aerosol Reference Tank (MART), capable of producing nascent SSA
representative of ambient conditions. The reactive uptake coefficient of N2O5
(gamma(N2O5)) on nascent SSA was determined using an entrained aerosol flow
reactor coupled to a chemical ionization mass spectrometer for measurement of
surface area dependent heterogeneous loss rates. Population averaged measurements
of gamma(N2O5) for SSA generated from salt water sequentially doped with
representative organic molecular mimics, or from ambient seawater, do not deviate
statistically from that observed for sodium chloride (gamma(N2O5)NaCl = 0.01
0.03) for relative humidity (RH) ranging between 50 and 65%. The results are
consistent with measurements made under clean marine conditions at the Scripps
Institution of Oceanography Pier and those conducted on nascent SSA generated in
the marine aerosol reference tank. The results presented here suggest that
organic films present on nascent SSA (at RH greater than 50%) likely do not
significantly limit N2O5 reactive uptake.
PMID- 26544640
TI - Quantitative Proteomic Approaches for Analysis of Protein S-Nitrosylation.
AB - S-Nitrosylation is a redox-based post-translational modification of a protein in
response to nitric oxide (NO) signaling, and it participates in a variety of
processes in diverse biological systems. The significance of this type of protein
modification in health and diseases is increasingly recognized. In the central
nervous system, aberrant S-nitrosylation, due to excessive NO production, is
known to cause protein misfolding, mitochondrial dysfunction, transcriptional
dysregulation, and neuronal death. This leads to an altered physiological state
and consequently contributes to pathogenesis of neurodegenerative disorders. To
date, much effort has been made to understand the mechanisms underlying protein S
nitrosylation, and several approaches have been developed to unveil S
nitrosylated proteins from different organisms. Interest in determining the
dynamic changes of protein S-nitrosylation under different physiological and
pathophysiological conditions has underscored the need for the development of
quantitative proteomic approaches. Currently, both gel-based and gel-free mass
spectrometry-based quantitative methods are widely used, and they each have
advantages and disadvantages but may also be used together to produce
complementary data. This review evaluates current available quantitative
proteomic techniques for the analysis of protein S-nitrosylation and highlights
recent advances, with emphasis on applications in neurodegenerative diseases. An
important goal is to provide a comprehensive guide of feasible quantitative
proteomic methodologies for examining protein S-nitrosylation in research to
yield insights into disease mechanisms, diagnostic biomarkers, and drug
discovery.
PMID- 26544642
TI - Generating Health Estimates by Zip Code: A Semiparametric Small Area Estimation
Approach Using the California Health Interview Survey.
AB - OBJECTIVES: We propose a method to meet challenges in generating health estimates
for granular geographic areas in which the survey sample size is extremely small.
METHODS: Our generalized linear mixed model predicts health outcomes using both
individual-level and neighborhood-level predictors. The model's feature of
nonparametric smoothing function on neighborhood-level variables better captures
the association between neighborhood environment and the outcome. Using 2011 to
2012 data from the California Health Interview Survey, we demonstrate an
empirical application of this method to estimate the fraction of residents
without health insurance for Zip Code Tabulation Areas (ZCTAs). RESULTS: Our
method generated stable estimates of uninsurance for 1519 of 1765 ZCTAs (86%) in
California. For some areas with great socioeconomic diversity across adjacent
neighborhoods, such as Los Angeles County, the modeled uninsured estimates
revealed much heterogeneity among geographically adjacent ZCTAs. CONCLUSIONS: The
proposed method can increase the value of health surveys by providing modeled
estimates for health data at a granular geographic level. It can account for
variations in health outcomes at the neighborhood level as a result of both
socioeconomic characteristics and geographic locations.
PMID- 26544648
TI - Columbia Public Health Core Curriculum: Short-Term Impact.
AB - We evaluated a transformed core curriculum for the Columbia University, Mailman
School of Public Health (New York, New York) master of public health (MPH)
degree. The curriculum, launched in 2012, aims to teach public health as it is
practiced: in interdisciplinary teams, drawing on expertise from multiple domains
to address complex health challenges. We collected evaluation data starting when
the first class of students entered the program and ending with their graduation
in May 2014. Students reported being very satisfied with and challenged by the
rigorous curriculum and felt prepared to integrate concepts across varied domains
and disciplines to solve public health problems. This novel interdisciplinary
program could serve as a prototype for other schools that wish to reinvigorate
MPH training.
PMID- 26544649
TI - Ligand-Mediated "Turn On," High Quantum Yield Near-Infrared Emission in Small
Gold Nanoparticles.
AB - Small gold nanoparticles (~1.4-2.2 nm core diameters) exist at an exciting
interface between molecular and metallic electronic structures. These particles
have the potential to elucidate fundamental physical principles driving nanoscale
phenomena and to be useful in a wide range of applications. Here, we study the
optoelectronic properties of aqueous, phosphine-terminated gold nanoparticles
(core diameter = 1.7 +/- 0.4 nm) after ligand exchange with a variety of sulfur
containing molecules. No emission is observed from these particles prior to
ligand exchange, however the introduction of sulfur-containing ligands initiates
photoluminescence. Further, small changes in sulfur substituents produce
significant changes in nanoparticle photoluminescence features including quantum
yield, which ranges from 0.13 to 3.65% depending on substituent. Interestingly,
smaller ligands produce the most intense, highest energy, narrowest, and longest
lived emissions. Radiative lifetime measurements for these gold nanoparticle
conjugates range from 59 to 2590 MUs, indicating that even minor changes to the
ligand substituent fundamentally alter the electronic properties of the
luminophore itself. These results isolate the critical role of surface chemistry
in the photoluminescence of small metal nanoparticles and largely rule out other
mechanisms such as discrete (Au(I)-S-R)n impurities, differences in ligand
densities, and/or core diameters. Taken together, these experiments provide
important mechanistic insight into the relationship between gold nanoparticle
near-infrared emission and pendant ligand architectures, as well as demonstrate
the pivotal role of metal nanoparticle surface chemistry in tuning and optimizing
emergent optoelectronic features from these nanostructures.
PMID- 26544650
TI - Highly Flexible Full Lithium Batteries with Self-Knitted alpha-MnO2 Fabric Foam.
AB - Flexible/bendable electronic equipment has attracted great interest recently,
while the development is hindered by fabricating flexible/bendable power sources
due to the lack of reliable materials that combine both electronically superior
conductivity and mechanical flexibility. Here, a novel structure of manganese
oxide, like fabric foam, was constructed, which was then cocooned with a carbon
shell via chemical vapor deposition. Serving as a binder-free anode, the self
knitted MnO2@Carbon Foam (MCF) exhibits high specific capacitance (850-950
mAh/g), excellent cycling stability (1000 cycles), and good rate capability (60
C, 1 C = 1 A/g). Moreover, a flexible full lithium battery was designed based on
an MCF anode and a LiCoO2/Al cathode, and the outstanding performance (energy
density of 2451 Wh/kg at a power density of 4085 W/kg) demonstrates its promising
potential of the practical applications.
PMID- 26544651
TI - Oral vs Transdermal Estrogen Therapy and Vascular Events: A Systematic Review and
Meta-Analysis.
AB - BACKGROUND: Menopausal hormone therapy is widely used to alleviate climacteric
symptoms but may increase the risk of venous and arterial vascular events.
OBJECTIVE: The objective was to synthesize the evidence about the risk of
vascular events in postmenopausal women who use oral estrogen therapy (ET) and
transdermal ET. METHODS: We searched bibliographical databases through August
2013 for longitudinal comparative studies that enrolled postmenopausal women
using either oral or transdermal ET and reported the outcomes of interest: venous
thromboembolism (VTE), pulmonary embolism, deep venous thrombosis (DVT),
myocardial infarction (MI), and stroke. Two reviewers independently selected and
appraised studies. Outcomes were pooled using random effects meta-analysis and
were reported as risk ratio (RR) and 95% confidence interval (CI). RESULTS: We
included 15 observational studies at moderate risk of bias with follow-up of 3 to
20.25 years. When compared to transdermal ET, oral ET was associated with
increased risk of a first episode of VTE (RR, 1.63; 95% CI, 1.40-1.90; I(2) =
53%), DVT (RR, 2.09; 95% CI, 1.35-3.23; I(2) = 0 %), and possibly stroke (RR,
1.24; 95% CI, 1.03-1.48; a single case-controlled study), but not MI (RR, 1.17;
95% CI, 0.80-1.71; I(2) = 74%). CONCLUSION: Observational evidence warranting low
confidence suggests that compared to transdermal ET, oral ET may be associated
with increased risk of VTE and DVT, but not MI.
PMID- 26544652
TI - Menopausal Hormone Therapy and Mortality: A Systematic Review and Meta-Analysis.
AB - OBJECTIVES: The objective was to assess the effect of menopausal hormonal therapy
(MHT) on all-cause and cause-specific mortality. METHODS: We conducted a
comprehensive search of several databases (MEDLINE, EMBASE, Cochrane Central
Register of Controlled Trials and Database of Systematic Reviews, and Scopus)
from inception until August 2013. We included randomized controlled trials (RCTs)
of more than 6 months of duration comparing MHT with no treatment. Pairs of
independent reviewers selected trials, assessed risk of bias and extracted data.
We estimated risk ratios (RRs) and 95% confidence intervals (CIs) using the
random-effects model. RESULTS: We included 43 RCTs at moderate risk of bias. Meta
analysis showed no effect on mortality (RR 0.99 [95% CI, 0.94-1.05]), regardless
of MHT type or history of preexisting heart disease. No association was found
between MHT and cardiac death (RR 1.04 [95% CI 0.87-1.23]) or stroke (RR 1.49
[95% CI 0.95-2.31]). Estrogen plus progesterone use was associated with a likely
increase in breast cancer mortality (RR 1.96 [95% CI 0.98-3.94]), whereas
estrogen use was not. MHT use was not associated with mortality of other types of
cancer. In 5 trials, MHT was likely started at a younger age: 2 RCTs with mean
age less than 60 and 3 RCTs with MHT started less than 10 years after menopause.
Meta-analysis of these 5 RCTs showed a reduction of mortality with MHT (RR 0.70
[95% CI 0.52-0.95]). CONCLUSION: The current evidence suggests that MHT does not
affect the risk of death from all causes, cardiac death and death from stroke or
cancer. These data may be used to support clinical and policy deliberations about
the role of MHT in the care of symptomatic postmenopausal women.
PMID- 26544655
TI - Letter to the Editor: DDT Exposure In Utero and Breast Cancer.
PMID- 26544656
TI - Response to the Letter by Paumgartten F.
PMID- 26544657
TI - Letter to the Editor: Comment on "Effect of Growth Hormone Treatment on Fractures
and Quality of Life in Postmenopausal Osteoporosis: A 10-Year Follow-Up Study" by
Kratz E., et al.
PMID- 26544658
TI - Response to the Letter by Salvatori R.
PMID- 26544659
TI - Letter to the Editor: The Underestimated Role of the Lipid-Bound Character of
Vitamin D Binding Protein.
PMID- 26544660
TI - Response to Letter by Speeckaert M., et al.
PMID- 26544661
TI - Letter to the Editor: Progress of Diabetic Severity and Risk of Dementia by Chiu
P.C., et al.
PMID- 26544662
TI - Response to the Letter by Sacerdote A., et al.
PMID- 26544663
TI - Letter to the Editor: Comment on "The Impact of Chronic Liraglutide Therapy on
Glucagon Secretion in Type 2 Diabetes: Insight From the LIBRA Trial" by Kramer
C.K., et al.
PMID- 26544664
TI - Response to the Letter by Kalra S. et al.
PMID- 26544665
TI - Letter to the Editor: Epidemiologic Association Between Antibiotic Use With the
Risk of Developing Type 2 Diabetes Mellitus.
PMID- 26544666
TI - Response to the Letter: "Epidemiologic Association Between Antibiotic Use With
the Risk of Developing Type 2 Diabetes" by Lopez-Hernandez, D.
PMID- 26544667
TI - Development of a Virtual Approach-Avoidance Task to Assess Alcohol Cravings.
AB - The aim of the present study was to develop a new approach-avoidance task in a
virtual environment that could be used to assess the response to virtual alcohol
related situations by heavy social drinkers (HSDs) and light social drinkers
(LSDs). Thirty-six male undergraduates (18 HSDs, 18 LSDs) responded to signals
when they pulled or pushed a joystick after watching scenes of alcohol- or
nonalcohol-related situations in a virtual environment. The HSD group spent more
time on moving away from alcohol-related situations than nonalcohol-related
situations. We found that the HSD group had difficulty in avoiding alcohol
related situations in the virtual environment. The Virtual Approach-Avoidance
Task might more accurately measure the levels of social drinkers' craving to
drink as it provides realistic situations and allows individuals to be immersed
in virtual environments.
PMID- 26544668
TI - Emotional and Nonemotional Conflict Processing in Pediatric and Adult Anxiety
Disorders.
AB - OBJECTIVE: Perturbations in emotional conflict adaptation, an implicit regulatory
process, have been observed in adult anxiety disorders. However, findings remain
inconsistent and restricted to adults. The current study compares conflict
adaptation in youth and adults, with and without anxiety disorders. We predicted
conflict adaptation would be present in the healthy but not the anxious groups.
METHODS: In a clinic setting, 111 participants (27 healthy youth, 22 anxious
youth, 41 healthy adults, and 21 anxious adults) completed emotional and
nonemotional conflict tasks. Groups did not differ (all p's >0.1) on intelligence
quotient (IQ), gender, and socioeconomic status; age did not differ between
healthy and anxious subjects in either age cohort. Separate four way mixed-design
analyses of variance were conducted to test hypotheses regarding the influence of
diagnosis, age group, and task type on accuracy (percent correct) and reaction
time (RT) for conflict adaptation (incongruent trials preceded by incongruent vs.
congruent trials) and conflict detection (incongruent vs. congruent trials).
RESULTS: Measures of conflict adaptation did not interact with diagnosis or age.
There was a significant main effect of conflict adaptation across the overall
sample in the expected direction for accuracy, but not RT. The well-replicated
conflict detection effect also did emerge across tasks, with slower RT and lower
accuracy for incongruent than for congruent trials. These effects were greater
for the emotional than for nonemotional tasks. Finally, there were age
differences in accuracy-based conflict detection specific to the emotional task,
for which the size of the effect was larger for youth than for adults.
CONCLUSIONS: The current study of youth and adults did not replicate prior
behavioral findings of failure to engage conflict adaptation in anxiety
disorders. Therefore, more work is needed before widely adopting conflict
adaptation paradigms as a standard neurocognitive marker for anxiety disorders.
PMID- 26544669
TI - Loss of Mismatched HLA on the Leukemic Blasts of Patients With Relapsed Lymphoid
Malignancies Following Bone Marrow Transplantation From Related Donors With HLA
Class II Mismatches in the Graft Versus Host Direction.
AB - Mechanisms of relapse of acute lymphoblastic leukemia (ALL) after human leukocyte
antigen (HLA) class II mismatched hematopoietic stem cell transplantation (HSCT)
remain unclear. We report two children with relapsed ALL after HSCT from related
donors with HLA-DRB1 and -DQB1 mismatches in the graft versus host direction. One
lost HLA-DRB1, DQB1, and DPB1 alleles, and the other lost one HLA haplotype of
the leukemic blasts at relapse. HLA class II loss may be a triggering event for
ALL relapse after partially HLA-mismatched-related HSCT. In addition, HLA typing
of relapsed leukemic blasts could be vital in the selection of retransplant
donors.
PMID- 26544670
TI - Holographic analysis on deformation and restoration of malaria-infected red blood
cells by antimalarial drug.
AB - Malaria parasites induce morphological, biochemical, and mechanical changes in
red blood cells (RBCs). Mechanical variations are closely related to the
deformability of individual RBCs. The deformation of various RBCs, including
healthy and malaria-infected RBCs (iRBCs), can be directly observed through
quantitative phase imaging (QPI). The effects of chloroquine treatment on the
mechanical property variation of iRBCs were investigated using time-resolved
holographic QPI of single live cells on a millisecond time scale. The
deformabilities of healthy RBCs, iRBCs, and drug-treated iRBCs were compared, and
the effect of chloroquine on iRBC restoration was experimentally examined. The
present results are beneficial to elucidate the dynamic characteristics of iRBCs
and the effect of the antimalarial drug on iRBCs.
PMID- 26544671
TI - Causes of non-malarial febrile illness in outpatients in Tanzania.
AB - OBJECTIVE: In sub-Saharan Africa, the use of malaria rapid diagnostic tests
(mRDT) has raised awareness of alternative fever causes in children but few
studies have included adults. To address this gap, we conducted a study of mRDT
negative fever aetiologies among children and adults in Tanzania. METHODS: A
total of 1028 patients aged 3 months to 50 years with a febrile illness and
negative mRDT were enrolled from a Tanzanian hospital outpatient department. All
had a physical examination and cultures from blood, nasopharynx/throat and urine.
Patients were followed on Days 7 and 14 and children meeting WHO criteria for
pneumonia were followed on Day 2 with chest radiology. RESULTS: Respiratory
symptoms were the most frequent presenting complaint, reported by 20.3% of adults
and 64.0% (339/530) of children. Of 38 X-rayed children meeting WHO pneumonia
criteria, 47.4% had a normal X-ray. Overall, only 1.3% of 1028 blood cultures
were positive. Salmonella typhi was the most prevalent pathogen isolated (7/13,
53.8%) and S. typhi patients reported fever for a median of 7 days (range 2-14).
Children with bacteraemia did not present with WHO symptoms requiring antibiotic
treatment. Young children and adults had similar prevalences of positive urine
cultures (24/428 and 29/498, respectively). CONCLUSION: Few outpatient fevers are
caused by blood stream bacterial infection, and most adult bacteraemia would be
identified by current clinical guidelines although paediatric bacteraemia may be
more difficult to diagnose. While pneumonia may be overdiagnosed, urinary tract
infection was relatively common. Our results emphasise the difficulty in
identifying African children in need of antibiotics among the majority who do
not.
PMID- 26544672
TI - Exercise training to improve exercise capacity and quality of life in people with
non-malignant dust-related respiratory diseases.
AB - BACKGROUND: Non-malignant dust-related respiratory diseases, such as asbestosis
and silicosis, are similar to other chronic respiratory diseases and may be
characterised by breathlessness, reduced exercise capacity and reduced health
related quality of life. Some non-malignant dust-related respiratory diseases are
a global health issue and very few treatment options, including pharmacological,
are available. Therefore, examining the role of exercise training is particularly
important to determine whether exercise training is an effective treatment option
in non-malignant dust-related respiratory diseases. OBJECTIVES: To assess the
effects of exercise training for people with non-malignant dust-related
respiratory diseases compared with control, placebo or another non-exercise
intervention on exercise capacity, health-related quality of life and levels of
physical activity. SEARCH METHODS: We searched the Cochrane Central Register of
Controlled Trials (CENTRAL), MEDLINE/PubMed, EMBASE, CINAHL, PEDro and AMED (all
searched from inception until February 2015), national and international clinical
trial registries, reference lists of relevant papers and we contacted experts in
the field for identification of suitable studies. SELECTION CRITERIA: We included
only randomised controlled trials (RCTs) that compared exercise training of at
least four weeks duration with no exercise training, placebo or another non
exercise intervention. DATA COLLECTION AND ANALYSIS: We used standard
methodological procedures expected by Cochrane. Two review authors independently
assessed study eligibility and risk of bias, and extracted data. We employed the
GRADE approach to assess the overall quality of evidence for each outcome and to
interpret findings. We synthesized study results using a random-effects model
based on the assessment of heterogeneity. We conducted subgroup analyses on
participants with dust-related interstitial lung diseases (ILDs) and participants
with asbestos related pleural disease (ARPD). MAIN RESULTS: Two RCTs including a
combined total of 40 participants (35 from one study and five from a second
study) met the inclusion criteria. Twenty-one participants were randomised to the
exercise training group and 19 participants were randomised to the control group.
The included studies evaluated the effects of exercise training compared to a
control group of no exercise training in people with dust-related ILDs and ARPD.
The exercise training programme in both studies was in an outpatient setting for
an eight-week period. The risk of bias was low in both studies. There were no
reported adverse events of exercise training. Following exercise training, six
minute walk distance (6MWD) increased with a mean difference (MD) of 53.81 metres
(m) (95% CI 34.36 to 73.26 m). Improvements were also seen in the domains of
health-related quality of life: Chronic Respiratory Disease Questionnaire (CRQ)
Dyspnoea domain (MD 2.58, 95% CI 0.72 to 4.44); CRQ Fatigue domain (MD 1.00, 95%
CI 0.11 to 1.89); CRQ Emotional Function domain (MD 2.61, 95% CI 0.74 to 4.49);
and CRQ Mastery domain (MD 1.51, 95% CI 0.29 to 2.72). Improvements in exercise
capacity and health-related quality of life were also evident six months
following the intervention period: 6MWD (MD 52.68 m, 95% CI 27.43 to 77.93 m);
CRQ Dyspnoea domain (MD 3.03, 95% CI 1.41 to 4.66); CRQ Emotional Function domain
(MD 5.57, 95% CI 2.34 to 8.81); and CRQ Mastery domain (MD 2.66, 95% CI 1.08 to
4.23). Exercise training did not result in improvements in the Modified Medical
Research Council (MMRC) dyspnoea scale immediately following exercise training or
six months following exercise training. The improvements following exercise
training were similar in a subgroup of participants with dust-related ILDs and in
a subgroup of participants with ARPD compared to the control group, with no
statistically significant differences in treatment effects between the subgroups.
AUTHORS' CONCLUSIONS: The evidence examining exercise training in people with non
malignant dust-related respiratory diseases is of very low quality. This is due
to imprecision in the results from the small number of trials and the small
number of participants, the indirectness of evidence due to a paucity of
information on disease severity and the data from one study being from a subgroup
of participants, and inconsistency from high heterogeneity in some results.
Therefore, although the review findings indicate that an exercise training
programme is effective in improving exercise capacity and health-related quality
of life in the short-term and at six months follow-up, we remain unsure of these
findings due to the very low quality evidence. Larger, high quality trials are
needed to determine the strength of these findings.
PMID- 26544673
TI - Specification of anteroposterior axis by combinatorial signaling during Xenopus
development.
AB - The specification of anteroposterior (AP) axis is a fundamental and complex
patterning process that sets up the embryonic polarity and shapes a multicellular
organism. This process involves the integration of distinct signaling pathways to
coordinate temporal-spatial gene expression and morphogenetic movements. In the
frog Xenopus, extensive embryological and molecular studies have provided major
advance in understanding the mechanism implicated in AP patterning. Following
fertilization, cortical rotation leads to the transport of maternal determinants
to the dorsal region and creates the primary dorsoventral (DV) asymmetry. The
activation of maternal Wnt/beta-catenin signaling and a high Nodal signal induces
the formation of the Nieuwkoop center in the dorsal-vegetal cells, which then
triggers the formation of the Spemann organizer in the overlying dorsal marginal
zone. It is now well established that the Spemann organizer plays a central role
in building the vertebrate body axes because it provides patterning information
for both DV and AP polarities. The antagonistic interactions between signals
secreted in the Spemann organizer and the opposite ventral region pattern the
mesoderm along the DV axis, and this DV information is translated into AP
positional values during gastrulation. The formation of anterior neural tissue
requires simultaneous inhibition of zygotic Wnt and bone morphogenetic protein
(BMP) signals, while an endogenous gradient of Wnt, fibroblast growth factors
(FGFs), retinoic acid (RA) signaling, and collinearly expressed Hox genes
patterns the trunk and posterior regions. Collectively, DV asymmetry is mostly
coupled to AP polarity, and cell-cell interactions mediated essentially by the
same regulatory networks operate in DV and AP patterning. For further resources
related to this article, please visit the WIREs website.
PMID- 26544674
TI - Factors associated with dental caries in a group of American Indian children at
age 36 months.
AB - OBJECTIVES: Early childhood caries (ECC) is rampant among American Indian
children, but there has been relatively little study of this problem. This
article reports on risk factors for caries for a group of American Indian
children at age 36 months as part of a longitudinal study. METHODS: Pregnant
women from a Northern Plains Tribal community were recruited to participate in a
longitudinal study of caries and caries risk factors. Standardized dental
examinations were completed on children, and questionnaires were completed by
mothers at baseline and when children were 4, 8, 12, 16, 22, 28, and 36 months of
age. Examinations were surface-specific for dental caries, and the questionnaires
collected data on demographic, dietary, and behavioral factors. Nonparametric
bivariate tests and logistic regression models were used to identify risk factors
for caries at 36 months, and negative binomial regression was used to identify
factors related to caries severity (dmf counts). RESULTS: Among the 232 children,
and caries prevalence for cavitated lesions was 80%, with an additional 15%
having only noncavitated lesions. The mean dmfs was 9.6, and of the total dmfs,
nearly 62% of affected surfaces were decayed, 31% were missing, and 7% were
filled. Logistic regression identified higher added-sugar beverage consumption,
younger maternal age at baseline, higher maternal DMFS at baseline, and greater
number of people in the household as significant (P < 0.05) risk factors.
Negative binomial regression found that only maternal DMFS was associated with
child dmf counts. CONCLUSIONS: By the age of 36 months, dental caries is nearly
universal in this population of American Indian children. Caries risk factors
included sugared beverage consumption, greater household size, and maternal
factors, but further analyses are needed to better understand caries in this
population.
PMID- 26544675
TI - Non-prescription (OTC) oral analgesics for acute pain - an overview of Cochrane
reviews.
AB - BACKGROUND: Non-prescription (over-the-counter, or OTC) analgesics (painkillers)
are used frequently. They are available in various brands, package sizes,
formulations, and dose. They can be used for a range of different types of pain,
but this overview reports on how well they work for acute pain (pain of short
duration, usually with rapid onset). Thirty-nine Cochrane reviews of randomised
trials have examined the analgesic efficacy of individual drug interventions in
acute postoperative pain. OBJECTIVES: To examine published Cochrane reviews for
information about the efficacy of pain medicines available without prescription
using data from acute postoperative pain. METHODS: We identified OTC analgesics
available in the UK, Australia, Canada, and the USA by examining online pharmacy
websites. We also included some analgesics (diclofenac potassium, dexketoprofen,
dipyrone) of importance in parts of the world, but not currently available in
these jurisdictions.We identified systematic reviews by searching the Cochrane
Database of Systematic Reviews (CDSR) on The Cochrane Library through a simple
search strategy. All reviews were overseen by a single review group, had a
standard title, and had as their primary outcome numbers of participants with at
least 50% pain relief over four to six hours compared with placebo. From
individual reviews we extracted the number needed to treat for an additional
beneficial outcome (NNT) for this outcome for each drug/dose combination, and
also calculated the success rate to achieve at least 50% of maximum pain relief.
We also examined the number of participants experiencing any adverse event, and
whether the incidence was different from placebo. MAIN RESULTS: We found
information on 21 different OTC analgesic drugs, doses, and formulations, using
information from 10 Cochrane reviews, supplemented by information from one non
Cochrane review with additional information on ibuprofen formulations (high
quality evidence). The lowest (best) NNT values were for combinations of
ibuprofen plus paracetamol, with NNT values below 2. Analgesics with values close
to 2 included fast acting formulations of ibuprofen 200 mg and 400 mg, ibuprofen
200 mg plus caffeine 100 mg, and diclofenac potassium 50 mg. Combinations of
ibuprofen plus paracetamol had success rates of almost 70%, with dipyrone 500 mg,
fast acting ibuprofen formulations 200 mg and 400 mg, ibuprofen 200 mg plus
caffeine 100 mg, and diclofenac potassium 50 mg having success rates above 50%.
Paracetamol and aspirin at various doses had NNT values of 3 or above, and
success rates of 11% to 43%. We found no information on many of the commonly
available low dose codeine combinations.The proportion of participants
experiencing an adverse event were generally not different from placebo, except
for aspirin 1000 mg and (barely) ibuprofen 200 mg plus caffeine 100 mg. For
ibuprofen plus paracetamol, adverse event rates were lower than with placebo.
AUTHORS' CONCLUSIONS: There is a body of reliable evidence about the efficacy of
some of the most commonly available drugs and doses widely available without
prescription. The postoperative pain model is predominantly pain after third
molar extraction, which is used as the industry model for everyday pain. The
proportion of people with acute pain who get good pain relief with any of them
ranges from around 70% at best to less than 20% at worst; low doses of some drugs
in fast acting formulations were among the best. Adverse events were generally no
different from placebo. Consumers can make an informed choice based on this
knowledge, together with availability and price. Headache and migraine were not
included in this overview.
PMID- 26544676
TI - Hemoglobin Variants in Northern Thailand: Prevalence, Heterogeneity and Molecular
Characteristics.
AB - BACKGROUND: There are limited data on hemoglobin (Hb) variants among peoples of
northern Thailand. Hence, we determined the prevalence of Hb variants among a
large cohort from this region. METHODS: A study was done on 23,914 subjects
recruited from eight provinces during June 2012-January 2014. Hb was analyzed by
high performance liquid chromatography (HPLC) and capillary electrophoresis, and
corresponding mutations were identified by polymerase chain reaction. RESULTS:
Among 23,914 subjects examined, 211 (0.88%) were found to carry 14 different Hb
variants. Five alpha-globin chain variants were identified: Hb Q-Thailand (n =
40; 19.0%), Hb Hekinan (n = 8, 3.8%), Hb Siam (n = 2, 0.9%), Hb Beijing (n = 1,
0.5%), and Hb Kawachi (n = 1, 0.5%), not previously described in the Thai
population. Seven beta-globin variants, including Hb Hope, Hb Tak, Hb S, Hb J
Bangkok, Hb G-Makassar, Hb C, and Hb Korle-Bu, were found in 115 (54.5%), 30
(14.2%), 3 (1.4%), 3 (1.4%), 1 (0.5%), 1 (0.5%), and 1 (0.5%) subjects,
respectively. The remaining five subjects (2.4%) were carriers of two different
delta-globin chain variants. A different spectrum and frequencies of Hb variants
were noted compared to other geographical areas. Haplotype analysis demonstrated
multiple origins for Hbs Hope and Tak and confirmed a non-African origin of Hb C.
Several genetic interactions between these variants with other hemoglobinopathies
were encountered. Associated hematological phenotypes and novel Hb derivatives
formed were presented. CONCLUSIONS: The prevalence and molecular heterogeneities
of the Hb variants found in this large cohort of the northern Thai people's
should prove useful in developing a screening program, and for the performance of
additional population genetics studies of hemoglobinopathy in the region.
PMID- 26544677
TI - Association of the manganese superoxide dismutase gene Ala-9Val polymorphism with
age of smoking initiation in male schizophrenia smokers.
AB - Schizophrenia patients exhibit higher smoking rates than the general population.
A growing body of evidence suggests that cigarette smoke impairs the antioxidant
defense mechanisms, leading to oxidative damage. Manganese superoxide dismutase
(MnSOD) is the major antioxidant in the mitochondria, catalyzing the metabolism
of superoxide radicals to form hydrogen peroxide. Since the identification of a
well-characterized functional polymorphism, Ala-9Val of MnSOD, a number of
studies have evaluated the association between Val-9Ala and schizophrenia or
cancer. In this study, we hypothesized that the functional polymorphism of MnSOD
Ala-9Val was associated with smoking in patients with schizophrenia. This
polymorphism was genotyped in 666 chronic male schizophrenia patients
(smoker/never-smoker = 507/159) and 660 male controls (smoker/never-smoker =
360/300) using a case-control design. The cigarettes smoked per day (CPD) and
smoking behaviors were evaluated by clinician-administered questionnaires and the
Fagerstrom Test for Nicotine Dependence (FTND). The results showed no significant
differences in MnSOD Ala-9Val genotype and allele distributions between the
patients and healthy controls or between smokers and never-smokers in either
patients or healthy controls alone. The smokers with the Ala allele started
smoking significantly earlier (19.9 +/- 5.8 vs. 21.7 +/- 6.5 years, P = 0.005)
only in patients. These results suggest that the MnSOD Ala-9Val polymorphism may
not influence smoking status in a Chinese male schizophrenia population, but may
influence the age at which smoking is started among schizophrenia smokers.
PMID- 26544678
TI - Correction: Phase-amplitude coupling supports phase coding in human ECoG.
PMID- 26544679
TI - The messy process of guiding proteins into membranes.
PMID- 26544681
TI - Corneal Microstructural Changes in Nerve Fiber, Endothelial and Epithelial
Density After Cataract Surgery in Patients With Diabetes Mellitus: Erratum.
AB - In the article that appears in the February 2015 issue of Cornea, in the 6th
paragraph of the Results, the P value of the difference in endothelial cell
density (ECD) between the DM and non-DM groups should be 0.2 and not 0.02. The
sentence should read as follows: There was no significant difference in
preoperative ECD between the DM group (2254 +/- 426 cells/mm2) and non-DM group
(2384 +/- 438 cells/mm2; P = 0.2).
PMID- 26544680
TI - Protein aggregates are associated with replicative aging without compromising
protein quality control.
AB - Differentiation of cellular lineages is facilitated by asymmetric segregation of
fate determinants between dividing cells. In budding yeast, various aging factors
segregate to the aging (mother)-lineage, with poorly understood consequences. In
this study, we show that yeast mother cells form a protein aggregate during early
replicative aging that is maintained as a single, asymmetrically inherited
deposit over the remaining lifespan. Surprisingly, deposit formation was not
associated with stress or general decline in proteostasis. Rather, the deposit
containing cells displayed enhanced degradation of cytosolic proteasome
substrates and unimpaired clearance of stress-induced protein aggregates. Deposit
formation was dependent on Hsp42, which collected non-random client proteins of
the Hsp104/Hsp70-refolding machinery, including the prion Sup35. Importantly,
loss of Hsp42 resulted in symmetric inheritance of its constituents and prolonged
the lifespan of the mother cell. Together, these data suggest that protein
aggregation is an early aging-associated differentiation event in yeast, having a
two-faceted role in organismal fitness.
PMID- 26544682
TI - Controlling Size, Morphology, and Surface Composition of AgAu Nanodendrites in 15
s for Improved Environmental Catalysis under Low Metal Loadings.
AB - In this work, a simple but powerful method for controlling the size and surface
morphology of AgAu nanodendrites is presented. Control of the number of Ag
nanoparticle seeds is found to provide a fast and effective route by which to
manipulate the size and morphology of nanoparticles produced via a combined
galvanic replacement and reduction reaction. A lower number of Ag nanoparticle
seeds leads to larger nanodendrites with the particles' outer diameter being
tunable in the range of 45-148 nm. The size and surface morphology of the
nanodendrites was found to directly affect their catalytic activity.
Specifically, we report on the activity of these AgAu nanodendrites in catalyzing
the gas-phase oxidation of benzene, toluene and o-xylene, which is an important
reaction for the removal of these toxic compounds from fuels and for
environmental remediation. All produced nanodendrite particles were found to be
catalytically active, even at low temperatures and low metal loadings.
Surprisingly, the largest nanodendrites provided the greatest percent conversion
efficiencies.
PMID- 26544683
TI - Expressions of miR-132, miR-134, and miR-485 in rat primary motor cortex during
transhemispheric functional reorganization after contralateral seventh cervical
spinal nerve root transfer following brachial plexus avulsion injuries.
AB - The transfer of a contralateral healthy seventh cervical spinal nerve root (cC7)
to the recipient nerve in the injured side is considered a promising procedure
for restoration of the physiological functions of an injured hand after brachial
plexus root avulsion injury (BPAI). Growing evidence shows that transhemispheric
cortical reorganization plays an important role in the functional recovery of the
injured arm after cC7 nerve transfer surgery. However, the molecular mechanism
underlying the transhemispheric cortical reorganization after cC7 transfer
remains elusive. In the present study, we investigated the expression of miR-132,
miR-134, and miR-485 in the rat primary motor cortex after cC7 transfer following
BPAI by quantitative PCR. The results demonstrated the dynamic alteration in the
expression of miR-132, miR-134, and miR-485 in the primary motor cortex of rats
after cC7 transfer following BPAI. It indicates that microRNAs are involved in
the dynamic transhemispheric functional reorganization after cC7 root transfer
following BPAI. Together, this study is the first to provide evidence for the
involvement of microRNAs during dynamic transhemispheric functional
reorganization after cC7 transfer following BPAI. The results are useful for
understanding the mechanism underlying transhemispheric functional reorganization
after contralateral seventh cervical spinal nerve root transfer following BPAI.
PMID- 26544684
TI - Cardioprotective Effect of Phenytoin on Doxorubicin-induced Cardiac Toxicity in a
Rat Model.
AB - Doxorubicin (DOX) is an effective anticancer agent, but adverse cardiotoxic
effects limit its use. Compounds reducing DOX cardiotoxicity could improve its
therapeutic index. This study investigated the protective effects of phenytoin
(Phen) for DOX-induced cardiomyopathy. Male Wistar rats were randomized into 5
groups to receive either saline, DOX (2 mg/kg per 48 hours, 6 doses,
intraperitoneally) or DOX + Phen (5, 10, or 20 mg/kg/d, starting 4 days before
DOX, intraperitoneally). The animals were assessed 24 hours after the last
injection. Left ventricular (LV) function and hemodynamic parameters were
assessed using transthoracic echocardiography, electrocardiography, and a Millar
pressure catheter. Histopathological studies were performed, and the effect of
Phen on the cytotoxicity of DOX was evaluated in vitro for the human breast
adenocarcinoma cell line. DOX-impaired LV function significantly decreased the LV
systolic and diastolic pressures, rate of rise/decrease of LV pressure, ejection
fraction, fractional shortening, and contractility index. DOX caused structural
changes in myocardial cells. Treatment with Phen decreased DOX-induced toxicity,
significantly improved ventricular function, and ameliorated structural changes
in the myocardium. Phen also did not interfere with the antitumor effect of DOX.
The results confirm the cardioprotective effect of Phen against DOX-induced
cardiomyopathy without removing antitumor effect of DOX.
PMID- 26544685
TI - From Innovation to Diversification: A Simple Competitive Model.
AB - Few attempts have been proposed in order to describe the statistical features and
historical evolution of the export bipartite matrix countries/products. An
important standpoint is the introduction of a products network, namely a
hierarchical forest of products that models the formation and the evolution of
commodities. In the present article, we propose a simple dynamical model where
countries compete with each other to acquire the ability to produce and export
new products. Countries will have two possibilities to expand their export:
innovating, i.e. introducing new goods, namely new nodes in the product networks,
or copying the productive process of others, i.e. occupying a node already
present in the same network. In this way, the topology of the products network
and the country-product matrix evolve simultaneously, driven by the countries
push toward innovation.
PMID- 26544687
TI - Levy Walks Suboptimal under Predation Risk.
AB - A key challenge in movement ecology is to understand how animals move in nature.
Previous studies have predicted that animals should perform a special class of
random walks, called Levy walk, to obtain more targets. However, some empirical
studies did not support this hypothesis, and the relationship between search
strategy and ecological factors is still unclear. We focused on ecological
factors, such as predation risk, and analyzed whether Levy walk may not be
favored. It was remarkable that the ecological factors often altered an optimal
search strategy from Levy walk to Brownian walk, depending on the speed of the
predator's movement, density of predators, etc. This occurred because higher
target encounter rates simultaneously led searchers to higher predation risks.
Our findings indicate that animals may not perform Levy walks often, and we
suggest that it is crucial to consider the ecological context for evaluating the
search strategy performed by animals in the field.
PMID- 26544686
TI - siRNA-Mediated Silencing of doublesex during Female Development of the Dengue
Vector Mosquito Aedes aegypti.
AB - The development of sex-specific traits, including the female-specific ability to
bite humans and vector disease, is critical for vector mosquito reproduction and
pathogen transmission. Doublesex (Dsx), a terminal transcription factor in the
sex determination pathway, is known to regulate sex-specific gene expression
during development of the dengue fever vector mosquito Aedes aegypti. Here, the
effects of developmental siRNA-mediated dsx silencing were assessed in adult
females. Targeting of dsx during A. aegypti development resulted in decreased
female wing size, a correlate for body size, which is typically larger in
females. siRNA-mediated targeting of dsx also resulted in decreased length of the
adult female proboscis. Although dsx silencing did not impact female membrane
blood feeding or mating behavior in the laboratory, decreased fecundity and
fertility correlated with decreased ovary length, ovariole length, and ovariole
number in dsx knockdown females. Dsx silencing also resulted in disruption of
olfactory system development, as evidenced by reduced length of the female
antenna and maxillary palp and the sensilla present on these structures, as well
as disrupted odorant receptor expression. Female lifespan, a critical component
of the ability of A. aegypti to transmit pathogens, was also significantly
reduced in adult females following developmental targeting of dsx. The results of
this investigation demonstrate that silencing of dsx during A. aegypti
development disrupts multiple sex-specific morphological, physiological, and
behavioral traits of adult females, a number of which are directly or indirectly
linked to mosquito reproduction and pathogen transmission. Moreover, the
olfactory phenotypes observed connect Dsx to development of the olfactory system,
suggesting that A. aegypti will be an excellent system in which to further assess
the developmental genetics of sex-specific chemosensation.
PMID- 26544688
TI - Measuring Emotional Contagion in Social Media.
AB - Social media are used as main discussion channels by millions of individuals
every day. The content individuals produce in daily social-media-based micro
communications, and the emotions therein expressed, may impact the emotional
states of others. A recent experiment performed on Facebook hypothesized that
emotions spread online, even in absence of non-verbal cues typical of in-person
interactions, and that individuals are more likely to adopt positive or negative
emotions if these are over-expressed in their social network. Experiments of this
type, however, raise ethical concerns, as they require massive-scale content
manipulation with unknown consequences for the individuals therein involved.
Here, we study the dynamics of emotional contagion using a random sample of
Twitter users, whose activity (and the stimuli they were exposed to) was observed
during a week of September 2014. Rather than manipulating content, we devise a
null model that discounts some confounding factors (including the effect of
emotional contagion). We measure the emotional valence of content the users are
exposed to before posting their own tweets. We determine that on average a
negative post follows an over-exposure to 4.34% more negative content than
baseline, while positive posts occur after an average over-exposure to 4.50% more
positive contents. We highlight the presence of a linear relationship between the
average emotional valence of the stimuli users are exposed to, and that of the
responses they produce. We also identify two different classes of individuals:
highly and scarcely susceptible to emotional contagion. Highly susceptible users
are significantly less inclined to adopt negative emotions than the scarcely
susceptible ones, but equally likely to adopt positive emotions. In general, the
likelihood of adopting positive emotions is much greater than that of negative
emotions.
PMID- 26544689
TI - Developmental MYH3 Myopathy Associated with Expression of Mutant Protein and
Reduced Expression Levels of Embryonic MyHC.
AB - OBJECTIVE: An essential role for embryonic MyHC in foetal development has been
found from its association with distal arthrogryposis syndromes, a heterogeneous
group of disorders characterised by congenital contractions. The latter probably
result from severe myopathy during foetal development. Lack of embryonic muscle
biopsy material and suitable animal models has hindered study of the
pathomechanisms linking mutations in MYH3 to prenatal myopathy. METHODS AND
RESULTS: We determined the pathomechanisms of developmental myopathy caused by
recurrent p.Thr178Ile MYH3 heterozygosity, using patient-derived skeletal muscle
cells in culture as an experimental disease model to emulate early embryonic
development. These cultured cells were processed for discrimination and
quantitative analysis of mutant and wild-type MYH3 alleles and MyHC transcripts,
real-time RT-qPCR, sequence analysis, immunofluorescence microscopy, immunoblot,
and proteomic assessments. Involvement of the ubiquitin proteasome system was
investigated in patients with p.Thr178Ile mutations in MYH3 and MYH2. We found
equal overall expression of mutant and wild-type MyHC mRNAs and proteins.
Compared to the controls, however, expression of embryonic MyHC transcripts and
proteins was reduced whereas expression of myosin-specific E3 ubiquitin ligase
(MuRF1) was increased. We also found delayed myofibrillogenesis and atrophic
myotubes but structured sarcomeres. CONCLUSION: In conclusion, this study
suggests that developmental p.Thr178Ile MYH3 myopathy is associated with a
combined pathomechanism of insufficient dosage of functional embryonic MyHC and
production of mutant protein.
PMID- 26544690
TI - Merkel Cell Polyomavirus Small T Antigen Induces Cancer and Embryonic Merkel Cell
Proliferation in a Transgenic Mouse Model.
AB - Merkel cell polyomavirus (MCV) causes the majority of human Merkel cell
carcinomas (MCC) and encodes a small T (sT) antigen that transforms immortalized
rodent fibroblasts in vitro. To develop a mouse model for MCV sT-induced
carcinogenesis, we generated transgenic mice with a flox-stop-flox MCV sT
sequence homologously recombined at the ROSA locus (ROSAsT), allowing Cre
mediated, conditional MCV sT expression. Standard tamoxifen (TMX) administration
to adult UbcCreERT2; ROSAsT mice, in which Cre is ubiquitously expressed,
resulted in MCV sT expression in multiple organs that was uniformly lethal within
5 days. Conversely, most adult UbcCreERT2; ROSAsT mice survived low-dose
tamoxifen administration but developed ear lobe dermal hyperkeratosis and
hypergranulosis. Simultaneous MCV sT expression and conditional homozygous p53
deletion generated multi-focal, poorly-differentiated, highly anaplastic tumors
in the spleens and livers of mice after 60 days of TMX treatment. Mouse embryonic
fibroblasts from these mice induced to express MCV sT exhibited anchorage
independent cell growth. To examine Merkel cell pathology, MCV sT expression was
also induced during mid-embryogenesis in Merkel cells of Atoh1CreERT2/+; ROSAsT
mice, which lead to significantly increased Merkel cell numbers in touch domes at
late embryonic ages that normalized postnatally. Tamoxifen administration to
adult Atoh1CreERT2/+; ROSAsT and Atoh1CreERT2/+; ROSAsT; p53flox/flox mice had no
effects on Merkel cell numbers and did not induce tumor formation. Taken
together, these results show that MCV sT stimulates progenitor Merkel cell
proliferation in embryonic mice and is a bona fide viral oncoprotein that induces
full cancer cell transformation in the p53-null setting.
PMID- 26544691
TI - Recursive Random Lasso (RRLasso) for Identifying Anti-Cancer Drug Targets.
AB - Uncovering driver genes is crucial for understanding heterogeneity in cancer. L1
type regularization approaches have been widely used for uncovering cancer driver
genes based on genome-scale data. Although the existing methods have been widely
applied in the field of bioinformatics, they possess several drawbacks: subset
size limitations, erroneous estimation results, multicollinearity, and heavy time
consumption. We introduce a novel statistical strategy, called a Recursive Random
Lasso (RRLasso), for high dimensional genomic data analysis and investigation of
driver genes. For time-effective analysis, we consider a recursive bootstrap
procedure in line with the random lasso. Furthermore, we introduce a parametric
statistical test for driver gene selection based on bootstrap regression modeling
results. The proposed RRLasso is not only rapid but performs well for high
dimensional genomic data analysis. Monte Carlo simulations and analysis of the
"Sanger Genomics of Drug Sensitivity in Cancer dataset from the Cancer Genome
Project" show that the proposed RRLasso is an effective tool for high dimensional
genomic data analysis. The proposed methods provide reliable and biologically
relevant results for cancer driver gene selection.
PMID- 26544692
TI - Butterfly Eyespots: Their Potential Influence on Aesthetic Preferences and
Conservation Attitudes.
AB - Research has shown that the mere presence of stimuli that resemble eyes is
sufficient to attract attention, elicit aesthetic responses, and can even enhance
prosocial behavior. However, it is less clear whether eye-like stimuli could also
be used as a tool for nature conservation. Several animal species, including
butterflies, develop eye-like markings that are known as eyespots. In the present
research, we explored whether the mere display of eyespots on butterfly wings can
enhance: (a) liking for a butterfly species, and (b) attitudes and behaviors
towards conservation of a butterfly species. Four online experimental studies,
involving 613 participants, demonstrated that eyespots significantly increased
liking for a butterfly species. Furthermore, eyespots significantly increased
positive attitudes towards conservation of a butterfly species (Studies 1, 2 and
4), whereas liking mediated the eyespot effect on conservation attitudes (Study
2). However, we also found some mixed evidence for an association between
eyespots and actual conservation behavior (Studies 3 and 4). Overall, these
findings suggest that eyespots may increase liking for an animal and sensitize
humans to conservation. We discuss possible implications for biodiversity
conservation and future research directions.
PMID- 26544693
TI - Mechanical Coupling between Endoderm Invagination and Axis Extension in
Drosophila.
AB - How genetic programs generate cell-intrinsic forces to shape embryos is actively
studied, but less so how tissue-scale physical forces impact morphogenesis. Here
we address the role of the latter during axis extension, using Drosophila
germband extension (GBE) as a model. We found previously that cells elongate in
the anteroposterior (AP) axis in the extending germband, suggesting that an
extrinsic tensile force contributed to body axis extension. Here we further
characterized the AP cell elongation patterns during GBE, by tracking cells and
quantifying their apical cell deformation over time. AP cell elongation forms a
gradient culminating at the posterior of the embryo, consistent with an AP
oriented tensile force propagating from there. To identify the morphogenetic
movements that could be the source of this extrinsic force, we mapped
gastrulation movements temporally using light sheet microscopy to image whole
Drosophila embryos. We found that both mesoderm and endoderm invaginations are
synchronous with the onset of GBE. The AP cell elongation gradient remains when
mesoderm invagination is blocked but is abolished in the absence of endoderm
invagination. This suggested that endoderm invagination is the source of the
tensile force. We next looked for evidence of this force in a simplified system
without polarized cell intercalation, in acellular embryos. Using Particle Image
Velocimetry, we identify posteriorwards Myosin II flows towards the presumptive
posterior endoderm, which still undergoes apical constriction in acellular
embryos as in wildtype. We probed this posterior region using laser ablation and
showed that tension is increased in the AP orientation, compared to dorsoventral
orientation or to either orientations more anteriorly in the embryo. We propose
that apical constriction leading to endoderm invagination is the source of the
extrinsic force contributing to germband extension. This highlights the
importance of physical interactions between tissues during morphogenesis.
PMID- 26544694
TI - Learning Upright Standing on a Multiaxial Balance Board.
AB - Upright stance on a balance board is a skill requiring complex rearrangement of
the postural control. Despite the large use of these boards in training the
standing posture, a comprehensive analysis of the learning process underlying the
control of these devices is lacking. In this paper learning to maintain a stable
stance on a multiaxial oscillating board was studied by analyzing performance
changes over short and long periods. Healthy participants were asked to keep the
board orientation as horizontal as possible for 20 sec, performing two sessions
of 8 trials separated by 15-min pause. Memory consolidation was tested one week
later. Amplitude and variability of the oscillations around horizontal plane and
area and sway path of the board displacement decreased rapidly over the first
session. The performance was stable during the second session, and retained after
1 week. A similar behavior was observed in the anterior-posterior and medial
lateral directions for amplitude and variability parameters, with less stable
balance in the anterior-posterior direction. Approximate entropy and mean power
frequency, assessing temporal dynamics and frequency content of oscillations,
changed only in the anterior-posterior direction during the retention test.
Overall, the ability to stand on a balance board is rapidly acquired, and
retained for long time. The asymmetric stability between anterior-posterior and
medial-lateral directions replicates a structure observed in other standing
stances, suggesting a possible transfer from previous postural experiences.
Conversely, changes in the temporal dynamics and the frequency content could be
associated with new postural strategies developed later during memory
consolidation.
PMID- 26544695
TI - p21WAF1 Is Required for Interleukin-16-Induced Migration and Invasion of Vascular
Smooth Muscle Cells via the p38MAPK/Sp-1/MMP-9 Pathway.
AB - Interleukin-16 (IL-16) is a lymphocyte chemoattractant factor well known for its
role in immune responses, but its role in vascular disease is unknown. Here, we
explored the novel physiological function of IL-16 in vascular smooth muscle
cells (VSMCs). The expression of IL-16 and its receptor CD4 was observed in
VSMCs. Treatment with IL-16 enhanced the migration and invasion by VSMCs without
altering the proliferative potential. IL-16 induced MMP-9 expression via the
binding activity of transcription factors NF-kappaB, AP-1, and Sp-1 motifs in
VSMCs. Among the relevant signaling pathways examined, only p38MAPK
phosphorylation was significantly stimulated in IL-16-treated VSMCs. Treatment
with p38MAPK inhibitor SB203580 prevented the IL-16-induced migration and
invasion of VSMCs. SB203580 treatment inhibited the MMP-9 expression and
activation of Sp-1 binding in IL-16-treated VSMCs, and siRNA knockdown of CD4
expression blocked the induction of migration, invasion, p38MAPK phosphorylation,
MMP-9 expression, and Sp-1 binding activation stimulated by IL-16. The IL-16
induced cell-cycle-inhibitor p21WAF1 expression in VSMCs, but had no effect on
the expression levels of other cell-cycle negative regulators. Finally, blockage
of p21WAF1 function with specific siRNA abolished the IL-16-induced elevation of
migration, invasion, p38MAPK phosphorylation, MMP-9 expression, and Sp-1 binding
activation in VSMCs. Taken together, p21WAF1 was required for the induction of
p38MAPK-mediated MMP-9 expression via activation of the Sp-1 binding motif, which
led to migration and invasion of VSMCs interacting with IL-16/CD4. These results
could provide that IL-16 is a new target in the treatment of vascular diseases
such as atherosclerosis and re-stenosis.
PMID- 26544696
TI - Factors Influencing Graft Outcomes Following Diagnosis of Polyomavirus
Associated Nephropathy after Renal Transplantation.
AB - BACKGROUND: Polyomavirus associated nephropathy (PVAN) is a significant cause of
early allograft loss and the course is difficult to predict. The aim of this
study is to identify factors influencing outcome for PVAN. METHODS: Between 2006
and 2014, we diagnosed PVAN in 48 (7.8%) of 615 patients monitored for BK virus
every 1-4 weeks after modification of maintenance immunosuppression. Logistic or
Cox regression analysis were performed to determine which risk factors
independently affected clinical outcome and graft loss respectively. RESULTS:
After 32.1+/-26.4 months follow-up, the frequencies of any graft functional
decline at 1 year post-diagnosis, graft loss and any graft functional decline at
the last available follow-up were 27.1% (13/48), 25.0% (12/48), and 33.3%
(16/48), respectively. The 1, 3, 5 year graft survival rates were 100%, 80.5% and
69.1%, respectively. The mean level of serum creatinine at 1 year post-diagnosis
and long-term graft survival rates were the worst in class C (p<0.05). Thirty
eight of 46 (82.6%) BKV DNAuria patients reduced viral load by 90% with a median
time of 2.75 months (range, 0.25-34.0 months) and showed better graft survival
rates than the 8 patients (17.4%) without viral load reduction (p<0.001).
Multivariate logistic regression analysis showed that extensive interstitial
inflammation (OR 20.2, p = 0.042) and delayed fall in urinary viral load (>2.75
months for >90% decrease) in urine (OR 16.7, p = 0.055) correlated with worse
creatinine at 1 year post-diagnosis. Multivariate Cox regression analysis showed
that extensive interstitial inflammation (HR 46988, p = 0.032) at diagnosis, and
high PVAN stage (HR 162.2, p = 0.021) were associated with worse long-term graft
survival rates. CONCLUSIONS: The extent of interstitial inflammation influences
short and long-term graft outcomes in patients with PVAN. The degree of PVAN,
rate of reduction in viral load, and viral clearance also can be used as
prognostic markers in PVAN.
PMID- 26544697
TI - Twenty years of boosting antiretroviral agents: where are we today?
PMID- 26544698
TI - Normal T-cell activation in elite controllers with preserved CD4+ T-cell counts.
AB - BACKGROUND: HIV elite controllers suppress HIV viremia without antiretroviral
therapy (ART), yet previous studies demonstrated that elite controllers maintain
an activated T-cell phenotype. Chronic immune activation has detrimental
consequences and thus ART has been advocated for all elite controllers. However,
elite controllers are not a clinically homogenous group. Since CD4% is among the
best predictors of AIDS-related events, in the current study, we assessed whether
this marker can be used to stratify elite controllers needing ART. METHODS:
Sixteen elite controllers were divided into two groups based on CD4% (EC > 40%
and EC <=40%), and T-cell subsets were analyzed for markers of
memory/differentiation (CD45RA, CCR7, CD28), activation (CD38/HLA-DR),
immunosenescence (CD57), costimulation (CD73, CD28) and exhaustion (PD-1, CD160,
Tim-3). Monocyte subsets (CD14, CD16) were also analyzed and sCD14 levels were
quantified using ELISA. RESULTS: In the EC group, expression of activation,
exhaustion, and immunosensescence markers on T cells were significantly reduced
compared with the EC group and similar to the seronegative controls. The EC group
expressed higher levels of costimulatory molecules CD28 and CD73 and had lower
levels of monocyte activation (HLA-DR expression) with a reduced frequency of
inflammatory monocyte (CD14 CD16) subset. Furthermore, the EC group maintained a
stable CD4% during a median follow-up of 6 years. CONCLUSION: Elite controllers
with preserved CD4T cells (EC) have normal T-cell and monocyte phenotypes and
therefore may have limited benefit from ART. CD4% can be an important marker for
evaluating future studies aimed at determining the need for ART in this group of
individuals.
PMID- 26544699
TI - Effect of antiretroviral treatment on the risk of tuberculosis during South
Africa's programme expansion.
AB - OBJECTIVE: The objective of this study is to estimate the effectiveness of
antiretroviral treatment (ART) in preventing tuberculosis (TB) in HIV-infected
people during the first 6 years of ART programme expansion. DESIGN: A cohort
study comparing TB risk without ART and after ART initiation. SETTING: Public
sector HIV programme of the Free State province, South Africa. PARTICIPANTS:
Seventy-four thousand and seventy-four HIV-infected people enrolled from 2004
until 2010, of whom 43 898 received ART and 30 176 did not. INTERVENTION:
Combination ART. MAIN OUTCOME MEASURES: Time to first TB diagnosis, adjusted for
CD4 cell count, weight, age, sex, previous TB, district and year, with ART, CD4
cell count and weight as time-varying covariates and with death as a competing
risk. RESULTS: Three thousand eight hundred and fifty-eight first TB episodes
occurred during 78 202 person-years at risk with ART and 5669 episodes occurred
during 62 801 person-years without ART [incidence rates 4.9 and 9.0 per 100
person-years, crude incidence rate ratio 0.55 (95% confidence interval 0.52
0.57)]. The adjusted subhazard ratio (SHR) of time to first TB episode after
starting ART, compared with follow-up without ART, was 0.67 (0.64-0.70). Within
CD4 cell count subgroups (<50, 50-199, 100-199, 200-349 and >350 cells/MUl), the
respective SHRs were 0.64 (0.57-0.71), 0.63 (0.57-0.70), 0.66 (0.61-0.72), 0.67
(0.62-0.72), 0.72 (0.63-0.83) and 0.97 (0.60-1.59). Adjusted SHRs for ART
decreased with each year of enrolment, from 0.90 (0.77-1.04) in 2004 to 0.54
(0.43-0.67) in 2010. CONCLUSION: ART was effective in preventing TB in HIV
infected patients with CD4 cell counts below 350 cells/MUl, but less so than
previously estimated. Effectiveness increased each year.
PMID- 26544700
TI - The risk of viral rebound in the year after delivery in women remaining on
antiretroviral therapy.
AB - OBJECTIVE: The objective of this study is to assess the risk of viral rebound in
postpartum women on suppressive combination antiretroviral therapy (cART).
METHODS: Using data from the UK Collaborative HIV Cohort (UK CHIC) study and the
UK and Ireland National Study of HIV in Pregnancy and Childhood (NSHPC), women
with HIV-RNA 50 copies/ml or less at delivery in 2006-2011, who started life-long
cART during pregnancy (n = 321) or conceived on cART (n = 618), were matched by
age, duration on cART and time period, with at least one control (non
postpartum). The cumulative probability of viral rebound (HIV-RNA >200 copies/ml)
was assessed by Kaplan-Meier analysis; adjusted hazard ratios (aHRs) for the 0-3
and 3-12 months postdelivery (cases)/pseudo-delivery (controls) were calculated
in Cox proportional hazards models. RESULTS: In postpartum women who conceived on
cART, 5.9% [95% confidence interval (95% CI) 4.0-7.7] experienced viral rebound
by 3 months, and 2.2% (1.4-3.0%) of their controls. The risk of viral rebound was
higher in postpartum women than in controls during the first 3 months [aHR 2.63
(1.58-4.39)] but not during the 3-12 months postdelivery/pseudo-delivery. In
postpartum women who started cART during pregnancy, 27% (22-32%) experienced
viral rebound by 3 months, and 3.0% (1.6-4.4%) of their controls. The risk of
viral rebound was higher in postpartum women than in controls during both
postdelivery/pseudo-delivery periods [<3 months: aHR 6.63 (3.58-12.29); 3-12
months: aHR 4.05 (2.03-8.09)]. CONCLUSION: In women on suppressive cART, the risk
of viral rebound is increased following delivery, especially in the first 3
months, which may be related to reduced adherence, indicating the need for
additional adherence support for postpartum women.
PMID- 26544701
TI - Transient elastography for the detection of hepatic fibrosis in HIV-monoinfected
adults with elevated aminotransferases on antiretroviral therapy.
AB - OBJECTIVE: Vibration-controlled transient elastography (VCTE) is increasingly
used to assess liver fibrosis in viral hepatitis and fatty liver disease
populations. Because the accuracy of VCTE in HIV-monoinfected populations has not
been established, we evaluated its performance in assessing liver fibrosis in a
cohort of HIV-monoinfected adults undergoing liver biopsy as part of a recently
published clinical trial. METHODS: HIV-infected adults with elevated
aminotransferase levels for at least 6 months while receiving antiretroviral
therapy, and without chronic viral hepatitis or other known causes of liver
disease, were prospectively evaluated by VCTE, other noninvasive markers of
fibrosis, and percutaneous liver biopsy as part of a cross-sectional study
examining liver pathology. RESULTS: Sixty-six patients were evaluated by VCTE and
liver biopsy. The cohort was in the majority male (92%), with a median age of 50
years (range 17-68). Biopsy identified bridging fibrosis in 14 (21%) and
nonalcoholic steatohepatitis in 38 (58%) participants. VCTE was unsuccessful or
unreliable in seven participants (11%). In the 59 participants with reliable
results, median liver stiffness measurement (LSM) was 5.9 kPa (range 3.3-29.2
kPa); 25 participants (42%) had a LSM above 7.1 kPa, a value consistent with
increased liver stiffness in other populations. VCTE had good sensitivity and
specificity with an area under the receiver-operating characteristic curve
(AUROC) of 93% for detection of moderate fibrosis (Ishak F >= 2; 95% confidence
interval 86-99%). CONCLUSIONS: In HIV-monoinfected adults with biopsy-proven
liver disease, LSM by VCTE was the best noninvasive predictor of fibrosis. Our
findings support the continued use of VCTE for fibrosis screening in HIV
monoinfected patients with elevated aminotransferases.
PMID- 26544702
TI - Effects of abacavir administration on structural and functional markers of
platelet activation.
AB - BACKGROUND: Current abacavir exposure has been reported to be associated with
cardiovascular disease. Changes in platelet reactivity could plausibly explain
the clinically observed pattern of association. OBJECTIVE: To determine if
platelet reactivity changed following abacavir exposure and whether this effect
was reversible on cessation of the drug. METHODS: In an open-label,
interventional study abacavir, 600 mg daily, was added to a suppressive
antiretroviral regimen in 20 adult HIV-positive men. Platelet function, estimated
by the phosphorylated vasodilator-stimulated phosphoprotein (P-VASP) assay and
through measurement of the expression and shedding of platelet-specific
receptors, was assessed at baseline, following 15 days of abacavir and at
completion of a 28-day washout period. RESULTS: The VASP-index decreased
significantly from 79.1% [interquartile range (IQR) 47.8-87.6] to 32.6% (IQR
11.5-51.0) following 15 days of abacavir administration (P = 0.010), and returned
to baseline levels following the washout period (day 43 =76.3%; IQR 40.7-92.3).
There was no change in resting (prostaglandin E1 alone) P-VASP but a slight
increase in P-VASP within stimulated platelets (prostaglandin E1 and adenosine
diphosphate). Integrin beta3 levels decreased significantly [208.5 ng/ml (IQR
177.0-231.1) to 177.5 ng/ml (IQR 151.7-205) P < 0.001] and there was a
nonsignificant trend towards decreased soluble glycoprotein VI levels [baseline;
72.5 ng/ml (95% CI 58.3-81.5) vs. day 15; 45.0 ng/ml (95% CI 33.0-98.2) P =
0.79]. CONCLUSION: Abacavir led to reversible changes in platelet function and
structure. The clinical implications of these changes are uncertain; they may
represent negative feedback mechanisms in response to an abacavir-associated
prothrombotic state.
PMID- 26544703
TI - Incidence of benign prostate hypertrophy in Danish men with and without HIV
infection.
AB - BACKGROUND: Information on risk of benign prostate hypertrophy (BPH) in HIV
infected men is sparse. We aimed to estimate the incidence of being diagnosed
with BPH among HIV-infected men compared with an age and sex-matched comparison
cohort from the background population. To exclude that family-associated risk
factors influence risk of BPH diagnoses in families of HIV-infected individuals,
we estimated risk of BPH in fathers of HIV-infected men and fathers of the
comparison cohort. METHODS: In a nationwide, population-based, matched cohort
study, we calculated incidence rates and used Poisson regression models to
calculate incidence rate ratios (IRRs) of being diagnosed with BPH, defined as
the earliest of date of the second redeemed prescription of a drug used to treat
BPH, the first registration of a BPH diagnosis in the Danish National Hospital
Registry (DNHR) or the first registration of a surgical procedure for BPH in
DNHR. RESULTS: We identified 4633 HIV-infected men, 46 330 comparison cohort
individuals, 1585 fathers of HIV-infected men and 20 449 fathers of the
comparison cohort. Incidence rate of being diagnosed with BPH was 37.0 [95%
confidence interval (95% CI) 31.5-43.1] per 10 000 person-years of follow-up
among HIV-infected men and was not increased compared with the comparison cohort
(IRR 1.04, 95% CI 0.88-1.22). Risk was not increased for fathers of HIV-infected
men vs. fathers of the comparison cohort (IRR 0.99, 95% CI 0.87-1.12). Stratified
analyses did not change the above results markedly. CONCLUSION: HIV-infected
individuals do not have an increased risk of being diagnosed with BPH.
PMID- 26544704
TI - Does rapid HIV disease progression prior to combination antiretroviral therapy
hinder optimal CD4+ T-cell recovery once HIV-1 suppression is achieved?
AB - OBJECTIVE: This article compares trends in CD4 T-cell recovery and proportions
achieving optimal restoration (>=500 cells/MUl) after viral suppression following
combination antiretroviral therapy (cART) initiation between rapid and nonrapid
progressors. METHODS: We included HIV-1 seroconverters achieving viral
suppression within 6 months of cART. Rapid progressors were individuals
experiencing at least one CD4 less than 200 cells/MUl within 12 months of
seroconverters before cART. We used piecewise linear mixed models and logistic
regression for optimal restoration. RESULTS: Of 4024 individuals, 294 (7.3%) were
classified as rapid progressors. At the same CD4 T-cell count at cART start
(baseline), rapid progressors experienced faster CD4 T-cell increases than
nonrapid progressors in first month [difference (95% confidence interval) in mean
increase/month (square root scale): 1.82 (1.61; 2.04)], which reversed to
slightly slower increases in months 1-18 [-0.05 (-0.06; -0.03)] and no
significant differences in 18-60 months [-0.003 (-0.01; 0.01)]. Percentage
achieving optimal restoration was significantly lower for rapid progressors than
nonrapid progressors at months 12 (29.2 vs. 62.5%) and 36 (47.1 vs. 72.4%) but
not at month 60 (70.4 vs. 71.8%). These differences disappeared after adjusting
for baseline CD4 T-cell count: odds ratio (95% confidence interval) 0.86 (0.61;
1.20), 0.90 (0.38; 2.17) and 1.56 (0.55; 4.46) at months 12, 36 and 60,
respectively. CONCLUSION: Among people on suppressive antiretroviral therapy,
rapid progressors experience faster initial increases of CD4 T-cell counts than
nonrapid progressors, but are less likely to achieve optimal restoration during
the first 36 months after cART, mainly because of lower CD4 T-cell counts at cART
initiation.
PMID- 26544705
TI - Likely effect of the 2014 Ebola epidemic on HIV care in Liberia.
AB - OBJECTIVE: Liberia's health system has been severely struck by the 2014 Ebola
epidemic. We aimed to assess the potential effect of this epidemic on the care of
HIV patient in two clinics [John F. Kennedy (JFK) and Redemption Hospitals] in
Monrovia, which stayed open throughout the epidemic. DESIGN AND METHODS: A
preexisting electronic database of HIV patient's follow-up visits was used to
estimate three weekly parameters from January 2012 to October 2014: number of
visits, number of new patient, and proportion of patients with follow-up delay.
We used segmented negative binomial regressions to assess trends before and after
the week of the Ebola outbreak defined in June 2014 by WHO. RESULTS: The
cumulative number of patients in care comprised 5948 patients with a total of 56
287 visits between January 2012 and October 2014. From June 2014, the number of
visit per week, stable since 2012, abruptly decreased (59%) in Redemption (P <
0.001) and progressively decreased by 3% per week in JFK (P < 0.001). In both the
clinics, the weekly proportion of patient with follow-up delay sharply increased
after the point break from June 2014 (P value < 0.001). From June 2014, a
significant decrease in new patients per week occurred in both the clinics: by
57% (P value < 0.001) in Redemption and by 4.6% per week (P value < 0.001) in
JFK. CONCLUSION: The Ebola epidemic had a significant effect on HIV care in
Monrovia. Given the particular impact on the rate of patients with follow-up
delay, a long-term impact is feared.
PMID- 26544706
TI - Effectiveness of hormonal contraception in HIV-infected women using
antiretroviral therapy.
AB - OBJECTIVE: The objective of this study is to assess whether antiretroviral
therapy (ART) may diminish the effectiveness of hormonal contraceptive methods.
METHODS: Using data from 5153 HIV-infected women followed prospectively for 1-3
years in three HIV prevention studies in Africa, we compared incident pregnancy
rates by contraceptive method (implant, injectable, oral or none) and ART use.
Multivariable Cox regression models were used to determine adjusted hazard ratios
(aHRs) and test interactions between each method and ART use. RESULTS: During
follow-up, 9% of women ever used implants, 40% used injectables and 14% used oral
contraceptives; 31% of women ever used ART, mostly nevirapine (75% of ART users)
or efavirenz-based (15%). Among women not using contraception, pregnancy rates
were 13.2 and 22.5 per 100 women-years for those on and not on ART, respectively.
Implants greatly reduced the incidence of pregnancy among both women on ART [aHR
0.06, 95% confidence interval (95% CI) 0.01-0.45] and not on ART (aHR 0.05, 95%
CI 0.02-0.11). Injectables (aHR 0.18 on ART and aHR 0.20 not on ART) and oral
contraceptives (aHR 0.37 on ART and aHR 0.36 not on ART) also reduced pregnancy
risk, though by lesser degrees. ART use did not significantly diminish
contraceptive effectiveness, although all methods showed nonstatistically
significant reduced effectiveness when concurrently using efavirenz. CONCLUSION:
Hormonal contraceptive methods are highly effective in reducing pregnancy risk in
HIV-infected women, including those concurrently using ART. Studies of potential
interactions between ART and contraceptives should evaluate real-world
effectiveness of contraceptive methods; in this study, implants were the most
effective method to prevent pregnancy, even during ART use.
PMID- 26544707
TI - Lung cancer in patients living with HIV infection.
PMID- 26544708
TI - Curing HIV/AIDS beyond hematopoietic stem cell transplant.
PMID- 26544709
TI - Aggressive human papillomavirus (HPV)-11-related sinonasal inverted papilloma in
an HIV-infected patient and the quadrivalent HPV vaccine: a case report.
PMID- 26544710
TI - Ultrasensitive Detection of RNA and DNA Viruses Simultaneously Using Duplex UNDP
PCR Assay.
AB - Mixed infection of multiple viruses is common in modern intensive pig rearing.
However, there are no methods available to detect DNA and RNA viruses in the same
reaction system in preclinical level. In this study, we aimed to develop a duplex
ultrasensitive nanoparticle DNA probe-based PCR assay (duplex UNDP-PCR) that was
able to simultaneously detect DNA and RNA viruses in the same reaction system.
PCV2 and TGEV are selected as representatives of the two different types of
viruses. PCV2 DNA and TGEV RNA were simultaneously released from the serum sample
by boiling with lysis buffer, then magnetic beads and gold nanoparticles coated
with single and/or duplex specific probes for TGEV and PCV2 were added to form a
sandwich-like complex with nucleic acids released from viruses. After magnetic
separation, DNA barcodes specific for PCV2 and TGEV were eluted using DTT and
characterized by specific PCR assay for specific DNA barcodes subsequently. The
duplex UNDP-PCR showed similar sensitivity as that of single UNDP-PCR and was
able to detect 20 copies each of PCV2 and TGEV in the serum, showing
approximately 250-fold more sensitivity than conventional duplex PCR/RT-PCR
assays. No cross-reaction was observed with other viruses. The positive detection
rate of single MMPs- and duplex MMPs-based duplex UNDP-PCR was identical, with
29.6% for PCV2, 9.3% for TGEV and 3.7% for PCV2 and TGEV mixed infection. This
duplex UNDP-PCR assay could detect TGEV (RNA virus) and PCV2 (DNA virus) from
large-scale serum samples simultaneously without the need for DNA/RNA extraction,
purification and reverse transcription of RNA, and showed a significantly
increased positive detection rate for PCV2 (29%) and TGEV (11.7%) preclinical
infection than conventional duplex PCR/RT-PCR. Therefore, the established duplex
UNDP-PCR is a rapid and economical detection method, exhibiting high sensitivity,
specificity and reproducibility.
PMID- 26544711
TI - Genotyping of Enterocytozoon bieneusi in Farmed Blue Foxes (Alopex lagopus) and
Raccoon Dogs (Nyctereutes procyonoides) in China.
AB - Enterocytozoon bieneusi is the most common species of microsporidia found both in
humans and animals. Farmed animals, particularly closely associated to humans,
may play an important role of zoonotic reservoir in transmitting this disease to
humans. The fur industry is a major economic component in some parts of China. To
understand the prevalence, genotype variety and zoonotic risk of E. bieneusi in
farmed foxes and raccoon dogs, two species of fur animals, fecal specimens of 110
blue foxes and 49 raccoon dogs from Heilongjiang and Jilin provinces in China
were examined by internal transcribed spacer (ITS)-based PCR. E. bieneusi was
detected in 16.4% (18/110) blue foxes and 4.1% (2/49) raccoon dogs. Altogether,
four genotypes of E. bieneusi were identified, including two known genotypes D (n
= 13) and EbpC (n = 5), and two novel genotypes named as CHN-F1 (n = 1) in a fox
and CHN-R1 (n = 1) in a raccoon dog. Phylogenetic analysis revealed that all the
four genotypes were the members of zoonotic group 1. Genotypes D and EbpC were
found in humans previously. The findings of zoonotic genotypes of E. bieneusi in
the foxes and raccoon dogs suggest these animals infected with E. bieneusi may
pose a threat to human health.
PMID- 26544712
TI - Connecting Replication and Repair: YoaA, a Helicase-Related Protein, Promotes
Azidothymidine Tolerance through Association with Chi, an Accessory Clamp Loader
Protein.
AB - Elongating DNA polymerases frequently encounter lesions or structures that impede
progress and require repair before DNA replication can be completed. Therefore,
directing repair factors to a blocked fork, without interfering with normal
replication, is important for proper cell function, and it is a process that is
not well understood. To study this process, we have employed the chain
terminating nucleoside analog, 3' azidothymidine (AZT) and the E. coli genetic
system, for which replication and repair factors have been well-defined. By using
high-expression suppressor screens, we identified yoaA, encoding a putative
helicase, and holC, encoding the Chi component of the replication clamp loader,
as genes that promoted tolerance to AZT. YoaA is a putative Fe-S helicase in the
XPD/RAD3 family for which orthologs can be found in most bacterial genomes; E.
coli has a paralog to YoaA, DinG, which possesses 5' to 3' helicase activity and
an Fe-S cluster essential to its activity. Mutants in yoaA are sensitive to AZT
exposure; dinG mutations cause mild sensitivity to AZT and exacerbate the
sensitivity of yoaA mutant strains. Suppression of AZT sensitivity by holC or
yoaA was mutually codependent and we provide evidence here that YoaA and Chi
physically interact. Interactions of Chi with single-strand DNA binding protein
(SSB) and with Psi were required to aid AZT tolerance, as was the proofreading 3'
exonuclease, DnaQ. Our studies suggest that repair is coupled to blocked
replication through these interactions. We hypothesize that SSB, through Chi,
recruits the YoaA helicase to replication gaps and that unwinding of the nascent
strand promotes repair and AZT excision. This recruitment prevents the toxicity
of helicase activity and aids the handoff of repair with replication factors,
ensuring timely repair and resumption of replication.
PMID- 26544713
TI - Killing of Mycolic Acid-Containing Bacteria Aborted Induction of Antibiotic
Production by Streptomyces in Combined-Culture.
AB - Co-culture of Streptomyces with mycolic acid-containing bacteria (MACB), which we
termed "combined-culture," alters the secondary metabolism pattern in
Streptomyces and has been a useful method for the discovery of bioactive natural
products. In the course of our investigation to identify the inducing factor(s)
of MACB, we previously observed that production of pigments in Streptomyces
lividans was not induced by factors such as culture extracts or mycolic acids.
Although dynamic changes occurred in culture conditions because of MACB, the
activation of pigment production by S. lividans was observed in a limited area
where both colonies were in direct contact. This suggested that direct attachment
of cells is a requirement and that components on the MACB cell membrane may play
an important role in the response by S. lividans. Here we examined whether this
response was influenced by dead MACB that possess intact mycolic acids assembled
on the outer cell membrane. Formaldehyde fixation and gamma-irradiation were used
to prepare dead cells that retain their shape and mycolic acids of three MACB
species: Tsukamurella pulmonis, Rhodococcus erythropolis, and Rhodococcus opacus.
Culturing tests verified that S. lividans does not respond to the intact dead
cells of three MACB. Observation of combined-culture by scanning electron
microscopy (SEM) indicated that adhesion of live MACB to S. lividans mycelia were
a significant interaction that resulted in formation of co-aggregation. In
contrast, in the SEM analysis, dead cells were not observed to adhere. Therefore,
direct attachment by live MACB cells is proposed as one of the possible factors
that causes Streptomyces to alter its specialized metabolism in combined-culture.
PMID- 26544714
TI - IRF4-Dependent and IRF4-Independent Pathways Contribute to DC Dysfunction in
Lupus.
AB - Interferon Regulatory Factors (IRFs) play fundamental roles in dendritic cell
(DC) differentiation and function. In particular, IRFs are critical transducers
of TLR signaling and dysregulation in this family of factors is associated with
the development of autoimmune disorders such as Systemic Lupus Erythematosus
(SLE). While several IRFs are expressed in DCs their relative contribution to the
aberrant phenotypic and functional characteristics that DCs acquire in autoimmune
disease has not been fully delineated. Mice deficient in both DEF6 and SWAP-70 (=
Double-knock-out or DKO mice), two members of a unique family of molecules that
restrain IRF4 function, spontaneously develop a lupus-like disease. Although
autoimmunity in DKO mice is accompanied by dysregulated IRF4 activity in both T
and B cells, SWAP-70 is also known to regulate multiple aspects of DC biology
leading us to directly evaluate DC development and function in these mice. By
monitoring Blimp1 expression and IL-10 competency in DKO mice we demonstrate that
DCs in these mice exhibit dysregulated IL-10 production, which is accompanied by
aberrant Blimp1 expression in the spleen but not in the peripheral lymph nodes.
We furthermore show that DCs from these mice are hyper-responsive to multiple TLR
ligands and that IRF4 plays a differential role in in these responses by being
required for the TLR4-mediated but not the TLR9-mediated upregulation of IL-10
expression. Thus, DC dysfunction in lupus-prone mice relies on both IRF4
dependent and IRF4-independent pathways.
PMID- 26544715
TI - Tripled Readout Slices in Multi Time-Point pCASL Using Multiband Look-Locker EPI.
AB - Multi time-point pseudo-continuous arterial spin labelling (pCASL) with a Look
Locker EPI readout can sample the signal curve of blood kinetics at multiple time
points after the labelling pulse. However, due to signal relaxation of labelled
blood, the number of readout slices is limited. The aim of this study is to
employ a multiband excitation technique to triple the number of readout slices in
multi time-point pCASL. The multiband technique, along with 2-fold in-plane
parallel imaging, was incorporated into the Look-Locker EPI for the multi time
point sampling of blood kinetic behaviour following the pCASL labelling scheme.
The performance evaluation of the multiband and the single-band techniques were
performed on four healthy subjects using a 32-channel head RF coil at 3T.
Quantitative perfusion maps were analysed using a combination of labelling with
and without flow suppression gradients. The perfusion maps provided by the
multiband accelerated multi time-point pCASL were in good agreement with the
conventional single-band technique. Multiband acceleration caused SNR loss but
offered quantitative perfusion maps in 6.23 min with 18 slices compared with 6
slices within the same time period for the single-band method. As conclusion, the
multiband technique can successfully triple the number of readout slices while
achieving comparable perfusion data in the same measurement time as the
conventional single-band readout.
PMID- 26544716
TI - Harmonic Scalpel versus Electrocautery Dissection in Modified Radical Mastectomy
for Breast Cancer: A Meta-Analysis.
AB - BACKGROUND: Despite the common use of conventional electrocautery in modified
radical mastectomy for breast cancer, the harmonic scalpel is recently emerging
as a dominant surgical instrument for dissection and haemostasis, which is
thought to reduce the morbidity, such as seroma and blood loss. But the results
of published trials are inconsistent. So we made the meta-analysis to assess the
intraoperative and postoperative endpoints among women undergoing modified
radical mastectomy with harmonic scalpel or electrocautery. METHODS: A
comprehensive literature search of case-control studies from PubMed, MEDLINE,
EMBASE and Cochrane Library databases involving modified radical mastectomy with
harmonic scalpel or electrocautery was performed. We carried out a meta-analysis
of primary endpoints including postoperative drainage, seroma development,
intraoperative blood loss and secondly endpoints including operative time and
wound complications. We used odds ratios (ORs) with 95% confidence intervals
(CIs) to evaluate the effect size for categorical outcomes and standardised mean
differences (SMDs) for continuous outcomes. RESULTS: A total of 11 studies with
702 patients were included for this meta-analysis. There was significant
difference in total postoperative drainage (SMD: -0.74 [95%CI: -1.31, -0.16]; P<
0.01), seroma development[OR: 0.49 (0.34, 0.70); P < 0.01], intraoperative blood
loss(SMD: -1.14 [95%CI: -1.81,-0.47]; P < 0.01) and wound complications [OR: 0.38
(0.24, 0.59); P < 0.01] between harmonic scalpel dissection and standard
electrocautery in modified radical mastectomy for breast cancer. No difference
was found as for operative time between harmonic scalpel dissection and standard
electrocautery (SMD: 0.04 [95%CI: -0.41, 0.50]; P = 0.85). CONCLUSION: Compared
to standard electrocautery, harmonic scalpel dissection presents significant
advantages in decreasing postoperative drainage, seroma development,
intraoperative blood loss and wound complications in modified radical mastectomy
for breast cancer, without increasing operative time. Harmonic scalpel can be
recommended as a preferential surgical instrument in modified radical mastectomy.
PMID- 26544717
TI - Nutritional Issues in the Short Bowel Syndrome - Total Parenteral Nutrition,
Enteral Nutrition and the Role of Transplantation.
AB - In this review, I focus on the extreme of the short bowel syndrome where the loss
of intestine is so great that patients cannot survive without intravenous
feeding. This condition is termed short bowel intestinal failure. The review
outlines the principles behind diagnosis, assessing prognosis and management. The
advent of intravenous feeding (parenteral nutrition) in the 1970s enabled
patients with massive (>90%) bowel resection to survive for the first time and to
be rehabilitated back into normal life. To achieve this, central venous catheters
were inserted preferably into the superior vena cava and intravenous infusions
were given overnight so that the catheter could be sealed by day in order to
maximize ambulation and social integration. However, quality of life has suffered
by the association of serious complications related to permanent catheterization
mostly in the form of septicemias, thrombosis, metabolic intolerance and liver
failure - from the unphysiological route of nutrient delivery. This has led to
intense research into restoring gut function. In addition to dietary
modifications and therapeutic suppression of motility, novel approaches have been
aimed at enhancing the natural adaptation process, first with recombinant growth
hormone and more recently with gut-specific glucagon-like peptide-2 analogues,
e.g. teduglutide. These approaches have met with some success, reducing the
intravenous caloric needs by approximately 500 kcal/day. In controlled clinical
trials, teduglutide has been shown to permit >20% reductions in intravenous
requirements in over 60% of patients after 6 months of treatment. Some patients
have been weaned, but more have been able to drop infusion days. The only
approach that predictably can get patients with massive intestinal loss
completely off parenteral nutrition is small bowel transplantation, which, if
successful (1-year survival for graft and host >90%) is accompanied by dramatic
improvements in quality of life.
PMID- 26544718
TI - Coadministration of Atorvastatin and Amiodarone Increases the Risk of Pulmonary
Fibrosis in Rats.
AB - OBJECTIVE: The purpose of this study was to evaluate the effect of atorvastatin
administration on amiodarone-induced pulmonary fibrosis in rats. MATERIALS AND
METHODS: Thirty-six male Wistar rats were randomly divided into 4 groups. The
control group (CTL) received distilled water (0.3 ml intratracheally on days 0
and 2 and 0.5 ml orally from day 0 for 3 weeks). The atorvastatin group (AT), in
addition to intratracheal distilled water, received 1 mg/kg of atorvastatin
orally from day 0 for 3 weeks. The amiodarone group (AMI) received 2
intratracheal instillations of amiodarone (6.25 mg/kg in 0.3 ml of water) on days
0 and 2 and 0.5 ml of distilled water (like the CTL). The amiodarone plus
atorvastatin group (AMI + AT) received both these drugs (same doses and methods
as for the AMI and AT). After 28 days, the rate of lung fibrosis was estimated
according to pathological criteria of lung sections and measurements of
hydroxyproline in pieces of left lung tissue. RESULTS: The lung hydroxyproline
content was higher in the treated groups (CTL: 0.35 +/- 0.017, AT: 0.38 +/-
0.012, AMI: 0.375 +/- 0.018 and AMI + AT: 0.38 +/- 0.012 unit/mg protein), but
did not reach significance when compared with the CTL (p = 0.56). Amiodarone
administration significantly increased the score of pulmonary fibrosis (0.5) in
comparison with the AT (0.125) and CTL (0) (p < 0.5). The combination of
amiodarone and atorvastatin exacerbated the pulmonary fibrosis (1.5; p < 0.01)
compared to the AMI (0.5; p < 0.001), AT (0.125) and CTL (0). CONCLUSION: In this
study, the concomitant administration of amiodarone and atorvastatin increased
pulmonary fibrosis in rats.
PMID- 26544719
TI - Effect of a Wide Stance on Block Start Performance in Sprint Running.
AB - This study aimed to clarify the effect of widened stance width at the set
position during the block start phase in sprint running on kinematics and
kinetics at the hip joint and block-induced power. Fourteen male sprinters
volunteered to participate in this study. They performed three block-start trials
with a normal stance width (25 +/- 1 cm, normal condition) and a widened stance
width (45 +/- 2 cm, widened condition) at the set position. The block start
movements were recorded at 250 Hz with high-speed cameras and the ground reaction
forces at 1250 Hz with force plates. During the block phase in the widened
condition, the hip abduction and external rotation angles in both legs were
significantly larger and smaller, respectively, than those in the normal
condition. The positive peak value of the hip power in the rear leg was
significantly greater in the widened condition than that in the normal condition.
However, no significant difference was seen in the normalized block-induced power
between the widened and normal conditions. We conclude that a widened stance
width at the set position affects the hip-joint kinematics and rear hip power
generation during the block start phase, but no effect on the block-induced power
when considering sprinting performance during the whole block start phase.
PMID- 26544720
TI - Conformation-selective coordination-driven self-assembly of a ditopic donor with
Pd(II) acceptors.
AB - Coordination-driven self-assembly of 3-(5-(pyridin-3-yl)-1H-1,2,4-triazol-3
yl)pyridine (L) was investigated with 90 degrees cis-blocked Pd(II) acceptors and
tetratopic Pd(NO3)2. Although the ligand is capable of binding in several
different conformations (acting as a ditopic donor through the pyridyl
nitrogens), the experimental results (including X-ray structures) showed that it
adopts a particular conformation when it binds with 90 degrees cis-blocked Pd(II)
acceptors (two available sites) to yield [2 + 2] self-assembled macrocycles. On
the other hand, with Pd(NO3)2 (where four available sites are present) a
different conformer of the same donor was selectively bound to form a molecular
cubic cage. The experimental findings were corroborated well with the density
functional theory (B3LYP) calculations. The tetratopic Pd(NO3)2 yielded a [6 +
12] self-assembled Pd6L12 molecular cube, which contains a potential void
occupied by nitrate and perchlorate ions. Being a triazole based ligand, the free
space inside the cage is enriched with several sp(2) hybridised nitrogen atoms
with lone pairs of electrons to act as Lewis basic sites. Knoevenagel
condensation reactions of several aromatic aldehydes with active methylene
compounds were successfully performed in reasonably high yields in the presence
of the cage.
PMID- 26544722
TI - Correction: Predicting Falls in Parkinson Disease: What Is the Value of
Instrumented Testing in OFF Medication State?
PMID- 26544721
TI - Still Heart Encodes a Structural HMT, SMYD1b, with Chaperone-Like Function during
Fast Muscle Sarcomere Assembly.
AB - The vertebrate sarcomere is a complex and highly organized contractile structure
whose assembly and function requires the coordination of hundreds of proteins.
Proteins require proper folding and incorporation into the sarcomere by assembly
factors, and they must also be maintained and replaced due to the constant
physical stress of muscle contraction. Zebrafish mutants affecting muscle
assembly and maintenance have proven to be an ideal tool for identification and
analysis of factors necessary for these processes. The still heart mutant was
identified due to motility defects and a nonfunctional heart. The cognate gene
for the mutant was shown to be smyd1b and the still heart mutation results in an
early nonsense codon. SMYD1 mutants show a lack of heart looping and chamber
definition due to a lack of expression of heart morphogenesis factors gata4,
gata5 and hand2. On a cellular level, fast muscle fibers in homozygous mutants do
not form mature sarcomeres due to the lack of fast muscle myosin incorporation by
SMYD1b when sarcomeres are first being assembled (19hpf), supporting SMYD1b as an
assembly protein during sarcomere formation.
PMID- 26544723
TI - High-Dynamic-Range CT Reconstruction Based on Varying Tube-Voltage Imaging.
AB - For complicated structural components characterized by wide X-ray attenuation
ranges, the conventional computed tomography (CT) imaging using a single tube
voltage at each rotation angle cannot obtain all structural information. This
limitation results in a shortage of CT information, because the effective
thickness of the components along the direction of X-ray penetration exceeds the
limitation of the dynamic range of the X-ray imaging system. To address this
problem, high-dynamic-range CT (HDR-CT) reconstruction is proposed. For this new
method, the tube's voltage is adjusted several times to match the corresponding
effective thickness about the local information from an object. Then, HDR fusion
and HDR-CT are applied to obtain the full reconstruction information. An
accompanying experiment demonstrates that this new technology can extend the
dynamic range of X-ray imaging systems and provide the complete internal
structures of complicated structural components.
PMID- 26544724
TI - Probiotics Blunt the Anti-Hypertensive Effect of Blueberry Feeding in
Hypertensive Rats without Altering Hippuric Acid Production.
AB - Previously we showed that feeding polyphenol-rich wild blueberries to
hypertensive rats lowered systolic blood pressure. Since probiotic bacteria
produce bioactive metabolites from berry polyphenols that enhance the health
benefits of berry consumption, we hypothesized that adding probiotics to a
blueberry-enriched diet would augment the anti-hypertensive effects of blueberry
consumption. Groups (n = 8) of male spontaneously hypertensive rats were fed one
of four AIN '93G-based diets for 8 weeks: Control (CON); 3% freeze-dried wild
blueberry (BB); 1% probiotic bacteria (PRO); or 3% BB + 1% PRO (BB+PRO). Blood
pressure was measured at weeks 0, 2, 4, 6, and 8 by the tail-cuff method, and
urine was collected at weeks 4 and 8 to determine markers of oxidative stress (F2
isoprostanes), nitric oxide synthesis (nitrites), and polyphenol metabolism
(hippuric acid). Data were analyzed using mixed models ANOVA with repeated
measures. Diet had a significant main effect on diastolic blood pressure (p =
0.046), with significantly lower measurements in the BB- vs. CON-fed rats (p =
0.035). Systolic blood pressure showed a similar but less pronounced response to
diet (p = 0.220), again with the largest difference between the BB and CON
groups. Absolute increase in blood pressure between weeks 0 and 8 tended to be
smaller in the BB and PRO vs. CON and BB+PRO groups (systolic increase, p =
0.074; diastolic increase, p = 0.185). Diet had a significant main effect on
hippuric acid excretion (p<0.0001), with 2- and ~1.5-fold higher levels at weeks
4 and 8, respectively, in the BB and BB+PRO vs. PRO and CON groups. Diet did not
have a significant main effect on F2-isoprostane (p = 0.159) or nitrite excretion
(p = 0.670). Our findings show that adding probiotics to a blueberry-enriched
diet does not enhance and actually may impair the anti-hypertensive effect of
blueberry consumption. However, probiotic bacteria are not interfering with
blueberry polyphenol metabolism into hippuric acid.
PMID- 26544726
TI - The Anti-Tumor Activity of Succinyl Macrolactin A Is Mediated through the beta
Catenin Destruction Complex via the Suppression of Tankyrase and PI3K/Akt.
AB - Accumulated gene mutations in cancer suggest that multi-targeted suppression of
affected signaling networks is a promising strategy for cancer treatment. In the
present study, we report that 7-O-succinyl macrolactin A (SMA) suppresses tumor
growth by stabilizing the beta-catenin destruction complex, which was achieved
through inhibition of regulatory components associated with the complex. SMA
significantly reduced the activities of PI3K/Akt, which corresponded with a
decrease in GSK3beta phosphorylation, an increase in beta-catenin
phosphorylation, and a reduction in nuclear beta-catenin content in HT29 human
colon cancer cells. At the same time, the activity of tankyrase, which inhibits
the beta-catenin destruction complex by destabilizing the axin level, was
suppressed by SMA. Despite the low potency of SMA against tankyrase activity
(IC50 of 50.1 MUM and 15.5 MUM for tankyrase 1 and 2, respectively) compared to
XAV939 (IC50 of 11 nM for tankyrase 1), a selective and potent tankyrase
inhibitor, SMA had strong inhibitory effects on beta-catenin-dependent TCF/LEF1
transcriptional activity (IC50 of 39.8 nM), which were similar to that of XAV939
(IC50 of 28.1 nM). In addition to suppressing the colony forming ability of colon
cancer cells in vitro, SMA significantly inhibited tumor growth in CT26 syngenic
and HT29 xenograft mouse tumor models. Furthermore, treating mice with SMA in
combination with 5-FU in a colon cancer xenograft model or with cisplatin in an
A549 lung cancer xenograft model resulted in greater anti-tumor activity than did
treatment with the drugs alone. In the xenograft tumor tissues, SMA dose
dependently inhibited nuclear beta-catenin along with reductions in GSK3beta
phosphorylation and increases in axin levels. These results suggest that SMA is a
possible candidate as an effective anti-cancer agent alone or in combination with
cytotoxic chemotherapeutic drugs, such as 5-FU and cisplatin, and that the mode
of action for SMA involves stabilization of the beta-catenin destruction complex
through inhibition of tankyrase and the PI3K/Akt signaling pathway.
PMID- 26544727
TI - Trophic transfer of radioisotopes in Mediterranean sponges through bacteria
consumption.
AB - Numerous field studies highlighted the capacities of marine sponges to
bioaccumulate trace elements and assessed their potential as biomonitors of the
marine environment. Experimental works demonstrated that dissolved metals and
radionuclides can be taken up directly by sponge tissues but, to the best of our
knowledge, little is known on the contribution of the dietary pathway through the
consumption of contaminated bacteria considered as one of the trophic source in
sponge diet. Objectives of this work are to study trophic transfer of
radiotracers (110m)Ag, (241)Am, (109)Cd, (57)Co, (134)Cs, (54)Mn and (65)Zn from
the marine bacteria Pseudomonas stutzeri to the Mediterranean sponges Aplysina
cavernicola and Ircinia oros. P. stutzeri efficiently bioaccumulated trace
elements in our culture experimental conditions with CF comprised between 10(5)
and 10(7) after 48 h of growth in radiolabeled medium. When fed with these
radiolabelled bacteria, A. cavernicola took up around 60% of radiotracers
accumulated in trophic source except (134)Cs for which only 8% has been
transferred from bacteria to sponge. Contrasting to this, I. oros retained only
7% of (110m)Ag, (109)Cd and (65)Zn counted in bacteria, but retained 2-fold
longer accumulated metals in its tissues. The sponge inter-specific differences
of accumulation and depuration following a trophic exposure are discussed with
respect to the structure and the clearance capacities of each species.
PMID- 26544725
TI - Weight Loss and Health Status 3 Years after Bariatric Surgery in Adolescents.
AB - BACKGROUND: Bariatric surgery is increasingly considered for the treatment of
adolescents with severe obesity, but few prospective adolescent-specific studies
examining the efficacy and safety of weight-loss surgery are available to support
clinical decision making. METHODS: We prospectively enrolled 242 adolescents
undergoing weight-loss surgery at five U.S. centers. Patients undergoing Roux-en
Y gastric bypass (161 participants) or sleeve gastrectomy (67) were included in
the analysis. Changes in body weight, coexisting conditions, cardiometabolic risk
factors, and weight-related quality of life and postoperative complications were
evaluated through 3 years after the procedure. RESULTS: The mean (+/-SD) baseline
age of the participants was 17+/-1.6 years, and the mean body-mass index (the
weight in kilograms divided by the square of the height in meters) was 53; 75% of
the participants were female, and 72% were white. At 3 years after the procedure,
the mean weight had decreased by 27% (95% confidence interval [CI], 25 to 29) in
the total cohort, by 28% (95% CI, 25 to 30) among participants who underwent
gastric bypass, and by 26% (95% CI, 22 to 30) among those who underwent sleeve
gastrectomy. By 3 years after the procedure, remission of type 2 diabetes
occurred in 95% (95% CI, 85 to 100) of participants who had had the condition at
baseline, remission of abnormal kidney function occurred in 86% (95% CI, 72 to
100), remission of prediabetes in 76% (95% CI, 56 to 97), remission of elevated
blood pressure in 74% (95% CI, 64 to 84), and remission of dyslipidemia in 66%
(95% CI, 57 to 74). Weight-related quality of life also improved significantly.
However, at 3 years after the bariatric procedure, hypoferritinemia was found in
57% (95% CI, 50 to 65) of the participants, and 13% (95% CI, 9 to 18) of the
participants had undergone one or more additional intraabdominal procedures.
CONCLUSIONS: In this multicenter, prospective study of bariatric surgery in
adolescents, we found significant improvements in weight, cardiometabolic health,
and weight-related quality of life at 3 years after the procedure. Risks
associated with surgery included specific micronutrient deficiencies and the need
for additional abdominal procedures. (Funded by the National Institute of
Diabetes and Digestive and Kidney Diseases and others; Teen-LABS
ClinicalTrials.gov number, NCT00474318.).
PMID- 26544728
TI - MDM2 and HIF1alpha expression levels in different histologic subtypes of
malignant pleural mesothelioma: correlation with pathological and clinical data.
AB - Malignant pleural mesothelioma (MPM) is an aggressive tumor with poor prognosis
and limited treatment options. Sarcomatoid/biphasic mesotheliomas are
characterized by more aggressive behaviour and a poorer prognosis compared with
the epithelioid subtype. To date prognostic and tailored therapeutic biomarkers
are lacking. The present study analyzed the expression levels of MDM2 and
HIF1alpha in different histologic subtypes from chemonaive MPM patients.
Diagnostic biopsies of MPM patients from four Italian cancer centers were
centrally collected and analyzed. MDM2 and HIF1alpha expression levels were
investigated through immunohistochemistry and RT-qPCR. Pathological assessment of
necrosis, inflammation and proliferation index was also performed. Molecular
markers, pathological features and clinical characteristics were correlated to
overall survival (OS) and progression free survival (PFS). Sixty MPM patients
were included in the study (32 epithelioid and 28 non-epithelioid). Higher levels
of MDM2 (p < 0.001), HIF1alpha (p = 0.013), necrosis (p = 0.013) and
proliferation index (p < 0.001) were seen mainly in sarcomatoid/biphasic
subtypes. Higher levels of inflammation were significantly associated with
epithelioid subtype (p = 0.044). MDM2 expression levels were correlated with
HIF1alpha levels (p = 0.0001), necrosis (p = 0.008) and proliferation index (p =
0.009). Univariate analysis showed a significant correlation of non-epithelioid
histology (p = 0.04), high levels of necrosis (p = 0.037) and proliferation index
(p = 0.0002) with shorter PFS. Sarcomatoid/biphasic and epithelioid mesotheliomas
showed different MDM2 and HIF1alpha expression levels and were characterized by
different levels of necrosis, proliferation and inflammation. Further studies are
warranted to confirm a prognostic and predictive role of such markers and
features.
PMID- 26544729
TI - Characterization and bioactivity of novel calcium antagonists - N-methoxy-benzyl
haloperidol quaternary ammonium salt.
AB - BACKGROUND AND PURPOSE: Calcium antagonists play an important role in clinical
practice. However, most of them have serious side effects. We have synthesized a
series of novel calcium antagonists, quaternary ammonium salt derivatives of
haloperidol with N-p-methoxybenzyl (X1), N-m-methoxybenzyl (X2) and N-o
methoxybenzyl (X3) groups. The objective of this study was to investigate the
bioactivity of these novel calcium antagonists, especially the vasodilation
activity and cardiac side-effects. The possible working mechanisms of these
haloperidol derivatives were also explored. EXPERIMENTAL APPROACH: Novel calcium
antagonists were synthesized by amination. Compounds were screened for their
activity of vasodilation on isolated thoracic aortic ring of rats. Their cardiac
side effects were explored. The patch-clamp, confocal laser microscopy and the
computer-fitting molecular docking experiments were employed to investigate the
possible working mechanisms of these calcium antagonists. RESULTS: The novel
calcium antagonists, X1, X2 and X3 showed stronger vasodilation effect and less
cardiac side effect than that of classical calcium antagonists. They blocked L
type calcium channels with an potent effect order of X1 > X2 > X3. Consistently,
X1, X2 and X3 interacted with different regions of Ca2+-CaM-CaV1.2 with an
affinity order of X1 > X2 > X3. CONCLUSIONS: The new halopedidol derivatives X1,
X2 and X3 are novel calcium antagonists with stronger vasodilation effect and
less cardiac side effect. They could have wide clinical application.
PMID- 26544730
TI - Beyond proliferation: KLF5 promotes angiogenesis of bladder cancer through
directly regulating VEGFA transcription.
AB - Abundant evidence has demonstrated critical roles of KLF5 in regulating cell
proliferation in various cancers, however, its additional roles in other aspects
of cancer development remain to be further clarified. In this study, we found
that KLF5 was essential for cancer cell-endothelial cell interaction in vitro and
tumor angiogenesis in nude mice based on lentivirus-mediated KLF5 knockdown
bladder cancer cell models. Moreover, KLF5 insufficiency abolished the ability of
bladder cancer cells to induce neovascularization in rabbit cornea.
Mechanistically, the pro-angiogenic factor VEGFA was identified as a direct
downstream target of KLF5, which bound to GC-boxes and CACCC elements of VEGFA
promoter and regulated its transcriptional activity. In addition, there was a
positive correlation between KLF5 and VEGFA expression in human bladder cancer
tissues by immunohistochemistry assay and statistical analysis from TCGA and GEO
data. Furthermore, we found that two pivotal pathways in bladder cancer,
RTKs/RAS/MAPK and PI3K/Akt, might convey their oncogenic signaling through KLF5
VEGFA axis. Taken together, our results indicate that KLF5 promotes angiogenesis
of bladder cancer through directly regulating VEGFA transcription and suggest
that KLF5 could be a novel therapeutic target for angiogenesis inhibition in
bladder cancer.
PMID- 26544731
TI - pERK/pAkt phenotyping in circulating tumor cells as a biomarker for sorafenib
efficacy in patients with advanced hepatocellular carcinoma.
AB - Sorafenib is a multikinase inhibitor approved for the treatment of advanced
hepatocellular carcinoma (HCC). However, therapeutic response to sorafenib was
not equal among HCC patients. Here we present a novel system to provide
quantitative information concerning sorafenib-related targets by simultaneous
detection of phosphorylated ERK (pERK) and pAkt expressions in circulating tumor
cells (CTCs) isolated from HCC patients. Our results showed that 90.0% of
patients had a molecular classification of tissues concordant with that of CTCs.
CTC counts showed a shaper decline in patients with pERK+/pAkt- CTCs after two
weeks of sorafenib treatment (P < 0.01). Disease control rates were significantly
different between patients with pERK+/pAkt- CTCs (11/15; 73.3%) and those without
(13/44; 29.5%) (P < 0.05). Univariate and multivariate analysis indicated
pERK+/pAkt- CTCs as an independent predictive factor of progression-free survival
(PFS) (hazard ratio = 9.389; P < 0.01). PFS correlated with the proportion of
pERK+/pAkt- CTCs (r = 0.968, P < 0.01), and was higher in patients with >= 40%
pERK+/pAkt- CTCs compared to those with < 40% (8.4 vs. 1.3 mo; P < 0.05). In a
validation set of twenty HCC patients, CTCs from patients with >= 40% pERK+/pAkt-
CTCs had significantly higher inhibition rates of spheroid formation compared to
those with < 40% (61.2 vs. 19.8%; P < 0.01). Our findings demonstrated that CTCs
can be used in place of tumor tissue for characterization of pERK/pAkt
expression. pERK+/pAkt- CTCs are most sensitive to sorafenib and an independent
predictive factor of PFS in HCC patients treated with sorafenib.
PMID- 26544733
TI - Potential Bone to Implant Contact Area of Short Versus Standard Implants: An In
Vitro Micro-Computed Tomography Analysis.
AB - AIM: To compare the available potential bone-implant contact (PBIC) area of
standard and short dental implants by micro-computed tomography (MUCT)
assessment. METHODS: Three short implants with different diameters (4.5 * 6 mm,
4.1 * 7 mm, and 4.1 * 6 mm) and 2 standard implants (3.5 * 10 mm and 3.3 * 9 mm)
with diverse design and surface features were scanned with MUCT. Cross-sectional
images were obtained. Image data were manually processed to find the plane that
corresponds to the most coronal contact point between the crestal bone and
implant. The available PBIC was calculated for each sample. Later on, the cross
sectional slices were processed by a 3-dimensional (3D) software, and 3D images
of each sample were used for descriptive analysis and display the microtopography
and macrotopography. RESULTS: The wide-diameter short implant (4.5 * 6 mm) showed
the higher PBIC (210.89 mm) value followed by the standard (178.07 mm and 185.37
mm) and short implants (130.70 mm and 110.70 mm). CONCLUSIONS: Wide-diameter
short implants show a surface area comparable with standard implants. Micro-CT
analysis is a promising technique to evaluate surface area in dental implants
with different macrodesign, microdesign, and surface features.
PMID- 26544732
TI - Transforming growth factor-beta1 polymorphisms and graft-versus-host disease
risk: a meta-analysis.
AB - Some studies have demonstrated that transforming growth factor (TGF)-beta
polymorphisms may have an important role in the pathological process of graft
versus-host disease (GVHD). However, the results are not consistent. Thus, we
performed a meta-analysis. Online databases were searched to obtain relevant
articles published up until May 2015. Odds ratios (ORs) with 95% confidence
intervals (CIs) were used to assess the strength of associations. Donors
(OR=0.56; 95%CI, 0.32-0.98; P=0.04) and recipients (OR=0.73; 95%CI, 0.63-0.85;
P<0.0001) with TGF-beta1 rs1800469 polymorphism showed decreased GVHD risk,
respectively. Donors with TGF-beta1 rs1800470 polymorphism were also observed to
have lower GVHD risk (OR=0.65; 95%CI, 0.46-0.94; P=0.02). However, TGF-beta1
rs1800470 polymorphism in recipients was not associated with GVHD risk (OR=1.28;
95%CI, 0.81-2.01; P=0.29). No significant heterogeneity was found in the meta
analysis. This meta-analysis suggests that donors or recipients with TGF-beta1
rs1800469 polymorphism and donors with TGF-beta1 rs1800470 polymorphism might be
associated with reduced GVHD risk.
PMID- 26544734
TI - Histomorphometric Analysis of Contaminated Autogenous Tooth Graft Materials After
Various Sterilization.
AB - PURPOSE: The purpose of this study was to evaluate histomorphometrically
contaminated autogenous tooth graft materials, which were resterilized. MATERIALS
AND METHODS: The intentional defects (diameter: 8 mm, depth: 4 mm) were formed
around implant fixture on the iliac crest of 6 mongrel dogs. Autogenous tooth
graft materials were made by extracted premolars. After the contamination of the
tooth materials, graft procedure was performed; no contaminated group (control
group), contaminated groups (nonsterilization group [group 1], ethylene oxide
[EO] gas group [group 2], and autoclave group [group 3]). The bone-to-implant
contact (BIC) and the new bone formation rate (NBFR) were evaluated after
sacrifice. RESULTS: The BIC and NBFR of groups 1 and 3 were significantly lower
than the control group after 4 weeks. The BIC and NBRF of group 3 were
significantly lower than the control group after 8 weeks. However, the BIC and
NBRF of group 2 was not significantly different comparing with the control group
after 4 and 8 weeks. CONCLUSION: Sterilization using EO gas may be more favorable
than high-pressure sterilization in cases the reuse of contaminated autogenous
tooth graft materials.
PMID- 26544735
TI - Numerical and experimental investigations for the evaluation of the wear
coefficient of reverse total shoulder prostheses.
AB - In the present study, numerical and experimental wear investigations on reverse
total shoulder arthroplasties (RTSAs) were combined in order to estimate specific
wear coefficients, currently not available in the literature. A wear model
previously developed by the authors for metal-on-plastic hip implants was adapted
to RTSAs and applied in a double direction: firstly, to evaluate specific wear
coefficients for RTSAs from experimental results and secondly, to predict wear
distribution. In both cases, the Archard wear law (AR) and the wear law of UHMWPE
(PE) were considered, assuming four different k functions. The results indicated
that both the wear laws predict higher wear coefficients for RTSA with respect to
hip implants, particularly the AR law, with k values higher than twofold the hip
ones. Such differences can significantly affect predictive wear model results for
RTSA, when non-specific wear coefficients are used. Moreover, the wear maps
simulated with the two laws are markedly different, although providing the same
wear volume. A higher wear depth (+51%) is obtained with the AR law, located at
the dome of the cup, while with the PE law the most worn region is close to the
edge. Taking advantage of the linear trend of experimental volume losses, the
wear coefficients obtained with the AR law should be valid despite having
neglected the geometry update in the model.
PMID- 26544736
TI - I'm only sleeping.
PMID- 26544737
TI - Chronic inflammation: a new therapeutic target for post-traumatic stress
disorder?
PMID- 26544738
TI - Mental health in emergency response: lessons from Ebola.
PMID- 26544739
TI - Reducing extramedical use and harms of pharmaceutical opioids: the potential role
of abuse-deterrent formulations.
PMID- 26544740
TI - The contemporary state of service-user-led research.
PMID- 26544741
TI - Corrections.
PMID- 26544742
TI - Registration and definitions of mental disorders in Swedish survivors of the 2004
southeast Asia tsunami--Authors' response.
PMID- 26544743
TI - Registration and definitions of mental disorders in Swedish survivors of the 2004
southeast Asia tsunami.
PMID- 26544745
TI - The National Psychosis Unit: curing the incurable.
PMID- 26544746
TI - Simon Baron-Cohen: cultivating diversity.
PMID- 26544748
TI - The charm's wound up.
PMID- 26544747
TI - "A touch of eternity".
PMID- 26544749
TI - Inflammatory markers in post-traumatic stress disorder: a systematic review, meta
analysis, and meta-regression.
AB - BACKGROUND: Studies investigating inflammatory markers in post-traumatic stress
disorder (PTSD) have yielded mixed results. The aim of our study was to compare
concentrations of inflammatory markers in patients with PTSD compared with
healthy controls. METHODS: We did a meta-analysis and meta-regression of studies
comparing inflammatory markers between patients with PTSD and healthy controls by
searching PubMed, Embase, Scopus, Web of Science, and PsycINFO for articles
published between Jan 1, 1960, and April 7, 2015. From eligible studies (ie,
cross-sectional studies or baseline data from longitudinal studies of peripheral
blood cytokine concentrations that compared adults with PTSD with healthy
controls), we extracted outcomes of interest, such as mean and SD of peripheral
blood cytokines, the time of day blood was collected, whether the study allowed
patients with comorbid major depressive disorder in the PTSD group, whether
patients were medication free, and severity of PTSD symptoms. We undertook meta
analyses whenever values of inflammatory markers were available in two or more
studies. A random-effects model with restricted maximum-likelihood estimator was
used to synthesise the effect size (assessed by standardised mean difference
[SMD]) across studies. FINDINGS: 8057 abstracts were identified and 20 studies
were included. Interleukin 6 (SMD 0.88; p=0.0003), interleukin 1beta (SMD 1.42;
p=0.045), and interferon gamma (SMD 0.49; p=0.002) levels were higher in the PTSD
group than in healthy controls. Subgroup meta-analysis of patients who were not
given medication showed higher tumour necrosis factor alpha (TNFalpha; SMD 0.69,
95% CI 0.35-1.02; p<0.0001) in the PTSD group than the control group in addition
to the aforementioned cytokines. TNFalpha (SMD 1.32, 0.13-2.50; p=0.003),
interleukin 1beta (SMD 2.35, 0.01-4.68; p=0.048), and interleukin 6 (SMD 1.75,
0.97-2.53; p<0.0001) levels remained increased in the PTSD group in a subgroup
meta-analysis of studies that excluded comorbid major depressive disorder.
Illness duration was positively associated with interleukin 1beta levels (b=0.33,
p<0.0001) and severity with interleukin 6 (b=0.02, p=0.042). A model composed of
several variables-presence of comorbid major depressive disorder, use of
psychotropic medications, assay used, and time of day blood was collected
explained the large amount of heterogeneity between interleukin 1beta,
interleukin 6, and C-reactive protein studies. Egger's linear regression test
revealed a potential publication bias for interleukin 1beta. Additionally, for
most inflammatory markers, study heterogeneity was reported to be high
(I(2)>75%). INTERPRETATION: PTSD is associated with increased interleukin 6,
interleukin 1beta, TNFalpha, and interferon gamma levels. This information might
be useful for consideration of chronic low-grade inflammation as a potential
target or biomarker in PTSD treatment. Use of psychotropic medication and
presence of comorbid major depressive disorder were important moderators that
might explain the inconsistency between results of previous studies. Our search
strategy used a range of databases and we made exhaustive effort to acquire data
by contacting the authors. Notably, high levels of between-study heterogeneity
were recorded for most cytokine variables measured in our analysis. However, meta
regression analysis could explain a large amount of this heterogeneity. FUNDING:
None.
PMID- 26544750
TI - Identifying the lost generation of adults with autism spectrum conditions.
AB - Autism spectrum conditions comprise a set of early-onset neurodevelopmental
syndromes with a prevalence of 1% across all ages. First diagnosis in adulthood
has finally become recognised as an important clinical issue due to the
increasing awareness of autism, broadening of diagnostic criteria, and the
introduction of the spectrum concept. Thus, the idea of a lost generation of
people who were previously excluded from a diagnosis of classic autism has
arisen. Making a first diagnosis of autism spectrum conditions in adults can be
challenging for practical reasons (eg, no person to provide a developmental
history), developmental reasons (eg, the acquisition of learnt or camouflaging
strategies), and clinical reasons (eg, high frequency of co-occurring disorders).
The diagnostic process includes referral, screening, interviews with informants
and patients, and functional assessments. In delineating differential diagnoses,
true comorbidities, and overlapping behaviour with other psychiatric diagnoses,
particular attention should be paid to anxiety, depression, obsessive-compulsive
disorder, psychosis, personality disorders, and other neurodevelopmental
disorders. Possible misdiagnosis, especially in women, should be explored. The
creation of supportive, accepting, and autism-friendly social and physical
environments is important and requires a coordinated effort across agencies and
needs support from government policies.
PMID- 26544751
TI - Effects of sleep deprivation on inhibitory biomarkers of schizophrenia:
implications for drug development.
AB - Development of drugs for the treatment of the clinical symptoms and cognitive
deficits of schizophrenia is unsatisfactory, with many initially promising
compounds not showing beneficial effects in clinical studies. Experimental model
systems of schizophrenia combined with well-validated biomarkers are urgently
needed to provide early indicators of effectiveness. Herein, we argue that
experimentally controlled sleep deprivation represents a translational model
system that can be studied in combination with neurocognitive biomarkers.
Specifically, we review data on the psychotomimetic effects of sleep deprivation
in healthy human beings and provide evidence of the psychosis-like deficits in
translational inhibitory biomarkers-prepulse inhibition and antisaccades-that
occur after sleep deprivation. These data support the use of the sleep
deprivation model in combination with biomarkers with excellent psychometric
properties and well-characterised neural mechanisms, such as prepulse inhibition
and antisaccades, to substantially advance development of drugs with
antipsychotic or pro-cognitive effects.
PMID- 26544752
TI - A failure to communicate: psychiatry's split-care experience.
PMID- 26544753
TI - UN CRPD: equal recognition before the law.
PMID- 26544754
TI - Balance your humours.
PMID- 26544755
TI - Prognostic Impact of Neutrophil-to-Lymphocyte Ratio in Patients with Advanced Low
Rectal Cancer Treated with Preoperative Chemoradiotherapy.
AB - BACKGROUND: The neutrophil-to-lymphocyte ratio (NLR) is considered an indicator
of systemic inflammation and may predict prognosis in colorectal cancer. In this
study, we examined the prognostic impact of NLR in patients with locally advanced
low rectal cancer treated with neoadjuvant chemoradiotherapy (NACRT) followed by
surgery. METHODS: From 2004 to 2012, we analyzed 201 consecutive patients with
locally advanced low rectal cancer below the peritoneal reflection who underwent
NACRT and curative resection. Blood samples were obtained before NACRT. NLRs were
dichotomized using a cut-off value of 3.0, which was chosen based on receiver
operating characteristic analysis and previous studies, and we analyzed their
relationship with clinical outcomes. RESULTS: Forty-four (21.9%) patients had a
high NLR. Moreover, a high NLR was significantly associated with elevated
carcinoembryonic antigen levels before NACRT (p = 0.0154). Multivariate analysis
showed that a high NLR was independently associated with worse overall survival
(hazard ratio (HR) 3.38, p = 0.012). In contrast, a high NLR was not
significantly associated with relapse-free survival (HR 1.073, p = 0.8438). The
post-recurrence survival between patients with high and low NLRs was
significantly different (p = 0.0370). CONCLUSIONS: A high NLR (>=3.0) prior to
NACRT was independently associated with poor prognosis in patients with locally
advanced low rectal cancer treated with NACRT and radical resection.
PMID- 26544756
TI - Recent Advances in Two-Dimensional Materials beyond Graphene.
AB - The isolation of graphene in 2004 from graphite was a defining moment for the
"birth" of a field: two-dimensional (2D) materials. In recent years, there has
been a rapidly increasing number of papers focusing on non-graphene layered
materials, including transition-metal dichalcogenides (TMDs), because of the new
properties and applications that emerge upon 2D confinement. Here, we review
significant recent advances and important new developments in 2D materials
"beyond graphene". We provide insight into the theoretical modeling and
understanding of the van der Waals (vdW) forces that hold together the 2D layers
in bulk solids, as well as their excitonic properties and growth morphologies.
Additionally, we highlight recent breakthroughs in TMD synthesis and
characterization and discuss the newest families of 2D materials, including
monoelement 2D materials (i.e., silicene, phosphorene, etc.) and transition metal
carbide- and carbon nitride-based MXenes. We then discuss the doping and
functionalization of 2D materials beyond graphene that enable device
applications, followed by advances in electronic, optoelectronic, and magnetic
devices and theory. Finally, we provide perspectives on the future of 2D
materials beyond graphene.
PMID- 26544757
TI - Histopathological alterations in the striatum caused by Karwinskia humboldtiana
(Buckthorn) fruit in an experimental model of peripheral neuropathy.
AB - The accidental ingestion of Karwinskia humboldtiana (Kh) fruit in humans and
animals causes chronic or acute intoxication. Acute poisoning induces respiratory
failure that progresses rapidly to death. Studies in animals intoxicated with Kh
describe lesions in cerebral cortex, cerebellum, spinal cord, hippocampus and
caudate nucleus. Kh intoxication in Wistar rats models the sub-lethal clinical
phase observed in humans. Considering these reports, the present study analyzed
the histopathological alterations within the striatum following experimental Kh
intoxication. Twenty Wistar rats were divided into three groups (n =5) and were
intoxicated with Kh fruit. A control group (n =5) was included. Animals were
euthanized at several time points (48, 58 and 170 days post-intoxication). The
brain was collected, divided and processed for conventional histology or electron
microscopy. Sections were stained with hematoxylin and eosin, cresyl violet,
Kluver-Barrera, and toluidine blue. Immunolabeling was performed for glial cells
in the striatum, and the samples were analyzed with light microscopy.
Morphometric and statistical analyses were performed. In control group, neurons,
axon bundles and neuropil had a normal appearance. At 48 days, hyperchromic
neurons with apparent decreased size were observed interspersed among the normal
neurons. At 58 days, we observed an increased number of hyperchromic neurons and
disorganization of the myelin sheath and neuropil. At 170 days, these alterations
persisted in the paralysis group. In treated groups, we observed signs of gliosis
and increased axonal diameters. This study is the first report that describes the
histopathological alterations within the striatum caused by chronic intoxication
with Kh fruit in the Wistar rat.
PMID- 26544758
TI - Extracorporeal membrane oxygenation in avalanche victim with deep hypothermia and
circulatory arrest.
PMID- 26544759
TI - Effect of Separation Temperature on Structure Specific Glycan Migration in
Capillary Electrophoresis.
AB - Temperature dependent differential migration shifts were studied in capillary
electrophoresis between linear (maltooligosaccharides) and branched (sialylated,
neutral and core fucosylated biantennary IgG glycans) carbohydrates. Background
electrolytes without as well as with low and high molecular weight additives
(ethylene glycol, linear polyacrylamide and poly(ethylene oxide)) were
investigated for this phenomena in the temperature range of 20-50 degrees C.
Glucose unit (GU) value shifts were observed with increasing temperature for the
all IgG glycans both in additive-free and additive-containing background
electrolytes, emphasizing the importance of tight temperature control during
glycosylation analysis by capillary electrophoresis. The activation energy
concept was applied to understand the structure specific electrophoretic
migration of the different sugar molecules. Activation energy values were derived
from the slopes of the Arrhenius plots of logarithmic mobility vs reciprocal
absolute temperature and compared for the linear and branched sugars as well as
for the various background electrolyte additives.
PMID- 26544760
TI - Bidirectional Transformation of a Metamorphic Protein between the Water-Soluble
and Transmembrane Native States.
AB - The bidirectional transformation of a protein between its native water-soluble
and integral transmembrane conformations is demonstrated for FraC, a hemolytic
protein of the family of pore-forming toxins. In the presence of biological
membranes, the water-soluble conformation of FraC undergoes a remarkable
structural reorganization generating cytolytic transmembrane nanopores conducive
to cell death. So far, the reverse transformation from the native transmembrane
conformation to the native water-soluble conformation has not been reported. We
describe the use of detergents with different physicochemical properties to
achieve the spontaneous conversion of transmembrane pores of FraC back into the
initial water-soluble state. Thermodynamic and kinetic stability data suggest
that specific detergents cause an asymmetric change in the energy landscape of
the protein, allowing the bidirectional transformation of a membrane protein.
PMID- 26544761
TI - Investigation of Praseodymium Fluorides: A Combined Matrix-Isolation and Quantum
Chemical Study.
AB - The chemistry of the lanthanides is mostly dominated by compounds in the
oxidation state +III. Only few compounds of Ce, Pr, and Tb are known with the
metal in the +IV oxidation state. Removal of the last f-electron on praseodymium
+IV would lead to a closed-shell system with formal oxidation state V. In this
work we investigated the stability of the PrF5 molecule by theory and matrix
isolation techniques through the reaction of laser-ablated praseodymium atoms
with fluorine in excess of neon, argon, krypton, or neat fluorine. Besides the
known PrF3 molecule, unreported IR bands for PrF4 could be observed, and there is
evidence for the formation of PrF and PrF2 but not for the formation of PrF5.
PMID- 26544762
TI - Antidiabetic, Chemical, and Physical Properties of Organic Vanadates as Presumed
Transition-State Inhibitors for Phosphatases.
AB - Studies of antidiabetic vanadium compounds, specifically the organic vanadate
esters, are reviewed with regard to their chemistry and biological properties.
The compounds are described from the perspective of how the fundamental chemistry
and properties of organic vanadate esters impact their effects as inhibitors for
phosphatases based on the structural information obtained from vanadium
phosphatase complexes. Vanadium compounds have been reported to have antidiabetic
properties for more than a century. The structures and properties of organic
vanadate complexes are reviewed, and the potency of such vanadium coordination
complexes as antidiabetic agents is described. Because such compounds form
spontaneously in aqueous environments, the reactions with most components in any
assay or cellular environment has potential to be important and should be
considered. Generally, the active form of vanadium remains elusive, although
studies have been reported of a number of promising vanadium compounds. The
description of the antidiabetic properties of vanadium compounds is described
here in the context of recent characterization of vanadate-phosphatase protein
structures by data mining. Organic vanadate ester compounds are generally four
coordinate or five coordinate with the former being substrate analogues and the
latter being transition-state analogue inhibitors. These studies demonstrated a
framework for characterization of five-coordinate trigonal bipyramidal vanadium
inhibitors by comparison with the reported vanadium-protein phosphatase
complexes. The binding of the vanadium to the phosphatases is either as a five
coordinate exploded transition-state analogue or as a high energy intermediate,
respectively. Even if potency as an inhibitor requires trigonal bipyramidal
geometry of the vanadium when bound to the protein, such geometry can be achieved
upon binding from compounds with other geometries. Desirable properties of
ligands are identified and analyzed. Ligand interactions, as reported in one
peptidic substrate, are favorable so that complementarity between phosphatase and
coordinating ligand to the vanadium can be established resulting in a dramatic
enhancement of the inhibitory potency. These considerations point to a frameshift
in ligand design for vanadium complexes as phosphatase inhibitors and are
consistent with other small molecule having much lower affinities. Combined,
these studies do suggest that if effective delivery of potentially active
antidiabetic compound such a the organic vanadate peptidic substrate was possible
the toxicity problems currently reported for the salts and some of the complexes
may be alleviated and dramatic enhancement of antidiabetic vanadium compounds may
result.
PMID- 26544763
TI - Brain Region-Specific Dynamics of On-Tissue Protein Digestion Using MALDI Mass
Spectrometry Imaging.
AB - In mass spectrometry imaging (MSI), on-tissue proteolytic digestion is performed
to access larger protein species and to assign protein identities through
matching the detected peaks with those obtained by LC-MS/MS analyses of tissue
extracts. The on-tissue proteolytic digestion also allows the analysis of
proteins from formalin-fixed, paraffin-embedded tissues. For these reasons, on
tissue digestion-based MSI is frequently used in clinical investigations, for
example, to determine changes in protein content and distribution associated with
a disease. In this work, we sought to investigate the completeness and uniformity
of the digestion in on-tissue digestion MSI. On the basis of an extensive
experiment investigating three groups with varying incubation times: (i) 1.5 h,
(ii) 3 h, and (iii) 18 h, we have found that longer incubation times improve the
repeatability of the analyses. Furthermore, we discovered morphology-associated
differences in the completeness of the proteolysis for short incubation times.
These results support the notion that a more complete proteolysis allows better
quantitation.
PMID- 26544764
TI - Can Highly Oxidized Organics Contribute to Atmospheric New Particle Formation?
AB - Highly oxidized organic molecules may play a critical role in new-particle
formation within Earth's atmosphere along with sulfuric acid, which has long been
considered as a key compound in this process. Here we explore the interactions of
these two partners, using quantum chemistry to find the formation free energies
of heterodimers and trimers as well as the fastest evaporation rates of (2,2)
tetramers. We find that the heterodimers are more strongly bound than pure
sulfuric acid dimers. Their stability correlates well with the oxygen to carbon
ratio of the organics, their volatility, and the number of hydrogen bonds formed.
Most of the stable trimers contain one sulfuric acid and two organics (1,2),
whereas many (2,2) tetramers evaporate quickly, probably due to the stability of
(1,2) clusters. This finding agrees with recent experimental studies that show
how new-particle formation involving oxidized organics and sulfuric acid may be
rate-limited by activation of (1,2) trimers, confirming the importance of this
process in the atmosphere.
PMID- 26544766
TI - The Impact of Non-Antiretroviral Polypharmacy on the Continuity of Antiretroviral
Therapy (ART) Among HIV Patients.
AB - Improved survival achieved by many patients with HIV/AIDS has complicated their
medical care as increasing numbers of co-morbidities leads to polypharmacy,
increased pill burdens, and greater risks of drug-drug interactions potentially
compromising antiretroviral treatment (ART). We examined the impact of non
antiretroviral polypharmacy on ART for all adults followed at the Southern
Alberta Clinic, Calgary, Canada. Polypharmacy was defined as >=5 daily
medications. We compared the impact of polypharmacy on continuous (i.e.,
remaining on same ART for >=6 months) vs. non-continuous (i.e., discontinuing or
switching ART) ART dosing frequency, number of ART pills, number of non-ART
medications, and age. Of 1190 (89.5%) patients on ART, 95% were on three-drug
regimens, 63.9% on QD ART, and 62% >=3 ART pills daily; 32.2% were experiencing
polypharmacy. Polypharmacy was associated with lower CD4, AIDS, >180 months
living with HIV, higher numbers of ART pills, and older age (all p < 0.01); 32.1%
stopped or switched ART. Polypharmacy increased the risk for non-continuous ART
(36.8% vs. 30.0%; p < 0.01). Non-continuous ART increased with daily ART pill
count but not increased age. Non-adherence and adverse effects accounted for the
majority of non-continuous ART. We found a strong association between
polypharmacy and non-continuous ART, potentially leading to effective ART being
compromised. Collaborative approaches are needed to anticipate the negative
impacts of polypharmacy.
PMID- 26544765
TI - Syntheses of Dimeric Tetrahydroxanthones with Varied Linkages: Investigation of
"Shapeshifting" Properties.
AB - The 2,4'- and 4,4'-linked variants of the cytotoxic agent secalonic acid A and
their analogues have been synthesized. Kinetic resolution of an unprotected
tetrahydroxanthone scaffold followed by copper-mediated biaryl coupling allowed
for efficient access to these compounds. Evaluation of the "shapeshifting"
properties of 2,2'-, 2,4'-, and 4,4'-linked variants of the secalonic acids A in
a polar solvent in conjunction with assays of the compounds against select cancer
cell lines was conducted to study possible correlations between linkage variation
and cytotoxicity.
PMID- 26544767
TI - Intraoperative mapping during repeat awake craniotomy reveals the functional
plasticity of adult cortex.
AB - OBJECT To avoid iatrogenic injury during the removal of intrinsic cerebral
neoplasms such as gliomas, direct electrical stimulation (DES) is used to
identify cortical and subcortical white matter pathways critical for language,
motor, and sensory function. When a patient undergoes more than 1 brain tumor
resection as in the case of tumor recurrence, the use of DES provides an unusual
opportunity to examine brain plasticity in the setting of neurological disease.
METHODS The authors examined 561 consecutive cases in which patients underwent
DES mapping during surgery forglioma resection. "Positive" and "negative" sites
discrete cortical regions where electrical stimulation did (positive) or did not
(negative) produce transient sensory, motor, or language disturbance-were
identified prior to tumor resection and documented by intraoperative photography
for categorization into functional maps. In this group of 561 patients, 18 were
identified who underwent repeat surgery in which 1 or more stimulation sites
overlapped with those tested during the initial surgery. The authors compared
intraoperative sensory, motor, or language mapping results between initial and
repeat surgeries, and evaluated the clinical outcomes for these patients. RESULTS
A total of 117 sites were tested for sensory (7 sites, 6.0%), motor (9 sites,
7.7%), or language (101 sites, 86.3%) function during both initial and repeat
surgeries. The mean interval between surgical procedures was 4.1 years. During
initial surgeries, 95 (81.2%) of 117 sites were found to be negative and 22
(18.8%) of 117 sites were found to be positive. During repeat surgeries, 103
(88.0%) of 117 sites were negative and 14 (12.0%) of 117 were positive. Of the 95
sites that were negative at the initial surgery, 94 (98.9%) were also negative at
the repeat surgery, while 1 (1.1%) site was found to be positive. Of the 22 sites
that were initially positive, 13 (59.1%) remained positive at repeat surgery,
while 9 (40.9%) had become negative for function. Overall, 6 (33.3%) of 18
patients exhibited loss of function at 1 or more motor or language sites between
surgeries. Loss of function at these sites was not associated with neurological
impairment at the time of repeat surgery, suggesting that neurological function
was preserved through neural circuit reorganization or activation of latent
functional pathways. CONCLUSIONS The adult central nervous system reorganizes
motor and language areas in patients with glioma. Ultimately, adult neural
plasticity may help to preserve motor and language function in the presence of
evolving structural lesions. The insight gained from this subset of patients has
implications for our understanding of brain plasticity in clinical settings.
PMID- 26544768
TI - The GNAQ in the haystack: intramedullary meningeal melanocytoma of intermediate
grade at T9-10 in a 58-year-old woman.
AB - Meningeal melanocytomas are rare tumors. They are derived from leptomeningeal
melanocytes and predominantly occur along the spine and the posterior fossa.
Here, the authors report a case of intramedullary melanocytoma of intermediate
grade in a 58-year-old female patient who was initially misdiagnosed with
malignant melanoma until mutational analyses of a panel of genes associated with
melanotic tumors led to reclassification.
PMID- 26544770
TI - Retraction: Anatomy of the subthalamic nucleus, with correlation of deep brain
stimulation.
PMID- 26544769
TI - Graph theory analysis of complex brain networks: new concepts in brain mapping
applied to neurosurgery.
AB - Neuroanatomy has entered a new era, culminating in the search for the connectome,
otherwise known as the brain's wiring diagram. While this approach has led to
landmark discoveries in neuroscience, potential neurosurgical applications and
collaborations have been lagging. In this article, the authors describe the ideas
and concepts behind the connectome and its analysis with graph theory. Following
this they then describe how to form a connectome using resting state functional
MRI data as an example. Next they highlight selected insights into healthy brain
function that have been derived from connectome analysis and illustrate how
studies into normal development, cognitive function, and the effects of synthetic
lesioning can be relevant to neurosurgery. Finally, they provide a precis of
early applications of the connectome and related techniques to traumatic brain
injury, functional neurosurgery, and neurooncology.
PMID- 26544771
TI - Microfluidics for rapid detection of isocitrate dehydrogenase 1 mutation for
intraoperative application.
AB - OBJECT Conventional methods for isocitrate dehydrogenase 1 (IDH1) detection, such
as DNA sequencing and immunohistochemistry, are time- and labor-consuming and
cannot be applied for intraoperative analysis. To develop a new approach for
rapid analysis of IDH1 mutation from tiny tumor samples, this study used
microfluidics as a method for IDH1 mutation detection. METHODS Forty-seven glioma
tumor samples were used; IDH1 mutation status was investigated by
immunohistochemistry and DNA sequencing. The microfluidic device was fabricated
from polydimethylsiloxane following standard soft lithography. The immunoanalysis
was conducted in the microfluidic chip. Fluorescence images of the on-chip
microcolumn taken by the charge-coupled device camera were collected as the
analytical results readout. Fluorescence signals were analyzed by NIS-Elements
software to gather detailed information about the IDH1 concentration in the
tissue samples. RESULTS DNA sequencing identified IDH1 R132H mutation in 33 of 47
tumor samples. The fluorescence signal for IDH1-mutant samples was 5.49 +/- 1.87
compared with 3.90 +/- 1.33 for wild type (p = 0.005). Thus, microfluidics was
capable of distinguishing IDH1-mutant tumor samples from wild-type samples. When
the cutoff value was 4.11, the sensitivity of microfluidics was 87.9% and the
specificity was 64.3%. CONCLUSIONS This new approach was capable of analyzing
IDH1 mutation status of tiny tissue samples within 30 minutes using
intraoperative microsampling. This approach might also be applied for rapid
pathological diagnosis of diffuse gliomas, thus guiding personalized resection.
PMID- 26544772
TI - Comparison of 7.0- and 3.0-T MRI and MRA in ischemic-type moyamoya disease:
preliminary experience.
AB - OBJECT The authors compared the image quality and diagnostic sensitivity and
specificity of 7.0-T and 3.0-T MRI and time-of-flight (TOF) MR angiography (MRA)
in patients with moyamoya disease (MMD). METHODS MR images of 15 patients with
ischemic-type MMD (8 males, 7 females; age 13-48 years) and 13 healthy controls
(7 males, 6 females; age 19-28 years) who underwent both 7.0-T and 3.0-T MRI and
MRA were studied retrospectively. The main intracranial arteries were assessed by
using the modified Houkin's grading system (MRA score). Moyamoya vessels (MMVs)
were evaluated by 2 grading systems: the MMV quality score and the MMV area
score. Two diagnostic criteria for MMD were used: the T2 criteria, which used
flow voids in the basal ganglion on T2-weighted images, and the TOF criteria,
which used the high-intensity areas in the basal ganglion on source images from
TOF MRA. All data were evaluated by 2 independent readers who were blinded to the
strength field and presence or absence of MMD. Using conventional angiography as
the gold standard, the sensitivity and specificity of 7.0-T and 3.0-T MRI/MRA in
the diagnosis of MMD were calculated. The differences between 7.0-T and 3.0-T MRI
and MRA were statistically compared. RESULTS No significant differences were
observed between 7.0-T and 3.0-T MRA in MRA score (p = 0.317) or MRA grade (p =
0.317). There was a strong correlation between the Suzuki's stage and MRA grade
in both 3.0-T (rs = 0.930; p < 0.001) and 7.0-T (rs = 0.966; p < 0.001) MRA.
However, MMVs were visualized significantly better on 7.0-T than on 3.0-T MRA,
suggested by both the MMV quality score (p = 0.001) and the MMV area score (p =
0.001). The correlation between the Suzuki's stage and the MMV area score was
moderate in 3.0-T MRA (rs = 0.738; p = 0.002) and strong in 7.0-T MRA (rs =
0.908; p < 0.001). Moreover, 7.0-T MR images showed a greater capacity for
detecting flow voids in the basal ganglion on both T2-weighted MR images (p <
0.001) and TOF source images (p < 0.001); 7.0-T MRA also revealed the subbranches
of superficial temporal arteries much better. Receiver operating characteristic
curve analysis showed that, according to the T2 criteria, 7.0-T MRI/MRA was more
sensitive (sensitivity 1.000; specificity 0.933) than 3.0-T MRI/MRA (sensitivity
0.692; specificity 0.933) in diagnosing MMD; based on the TOF criteria, 7.0-T
MRI/MRA was more sensitive (1.000 vs 0.733, respectively) and more specific
(1.000 vs 0.923, respectively) than 3.0-T MRI/MRA. CONCLUSIONS Compared with 3.0
T MRI/MRA, 7.0-T MRI/MRA detected and delineated MMVs more clearly and provided
higher diagnostic sensitivity and specificity, although it did not show
significant improvement in depicting main intracranial arteries. The authors
speculate that 7.0-T MRI/MRA is a promising technique in the diagnosis of MMD
because it is noninvasive compared with conventional angiography and it is more
sensitive than 3.0-T MRI/MRA.
PMID- 26544773
TI - Association between small heat shock protein B11 and the prognostic value of MGMT
promoter methylation in patients with high-grade glioma.
AB - OBJECT This study investigated the role and prognostic value of heat shock
proteins (HSPs) in glioma. METHODS Data from 3 large databases of glioma samples
(Chinese Glioma Genome Atlas, Repository for Molecular Brain Neoplasia Data, and
GSE16011), which contained whole-genome messenger RNA microarray expression data
and patients' clinical data, were analyzed. Immunohistochemical analysis was
performed to validate protein expression in another set of 50 glioma specimens.
RESULTS Of 28 HSPs, 11 were overexpressed in high-grade glioma (HGG) compared
with low-grade glioma. A univariate Cox analysis revealed that HSPB11 has
significant prognostic value for each glioma grade, which was validated by a
Kaplan-Meier survival analysis. HSPB11 expression was associated with poor
prognosis and was independently correlated with overall survival (OS) in HGG.
This study further explored the combined role of HSPB11 and other molecular
markers in HGG, such as isocitrate dehydrogenase 1 (IDH1) mutation and O(6)
methylguanine-DNA methyltransferase (MGMT) promoter methylation status. HSPB11
expression was able to refine the prognostic value of IDH1 mutation in patients
with HGG. However, when combined with MGMT promoter methylation status, among
patients with a methylated MGMT promoter, those with lower levels of HSPB11
expression had longer OS and progression-free survival than patients with higher
levels of HSPB11 expression or with an unmethylated MGMT promoter. Moreover,
within the MGMT promoter methylation group, patients with low levels of HSPB11
expression were more sensitive to combined radiochemotherapy than those with high
levels of HSPB11 expression, which may explain why some patients with HGG with a
methylated MGMT promoter show tolerance to radiochemotherapy. CONCLUSIONS HSPB11
was identified as a novel prognostic marker in patients with HGG. Together with
MGMT promoter methylation status, HSPB11 expression can predict outcome for
patients with HGG and identify those who would most benefit from combined
radiochemotherapy.
PMID- 26544774
TI - The far-lateral approach: destruction of the condyle does not necessarily result
in clinically evident craniovertebral junction instability.
AB - OBJECT Far-lateral or extreme-lateral approaches to the skull base allow access
to the lateral and anterior portion of the lower posterior fossa and foramen
magnum. These approaches include a certain extent of resection of the condyle,
which potentially results in craniocervical junction instability. However, it is
debated what extent of condyle resection is safe and at what extent of condyle
resection an occipitocervical fusion should be recommended. The authors reviewed
cases of condyle resection/destruction with regard to necessity of
occipitocervical fusion. METHODS The authors conducted a retrospective analysis
of all patients in whom a far- or extreme-lateral approach including condyle
resection of various extents was performed between January 2007 and December
2014. RESULTS Twenty-one consecutive patients who had undergone a unilateral far-
or extreme-lateral approach including condyle resection were identified. There
were 10 male and 11 female patients with a median age of 61 years (range 22-83
years). The extent of condyle resection was 25% or less in 15 cases, 50% in 1
case, and greater than 75% in 5 cases. None of the patients who underwent condyle
resection of 50% or less was placed in a collar postoperatively or developed neck
pain. Two of the patients with condyle resection of greater than 75% were placed
in a semirigid collar for a period of 3 months postoperatively and remained free
of pain after this period. At last follow-up none of the cases showed any clear
sign of radiological or clinical instability. CONCLUSIONS The unilateral
resection or destruction of the condyle does not necessarily result in
craniocervical instability. No evident instability was encountered even in the 5
patients who underwent removal of more than 75% of the condyle. The far- or
extreme-lateral approach may be safer than generally accepted with regard to
craniocervical instability as generally considered and may not compel fusion in
all cases with condylar resection of more than 75%.
PMID- 26544775
TI - Preoperative chemotherapy and corticosteroids: independent predictors of cranial
surgical-site infections.
AB - OBJECT Preoperative corticosteroids and chemotherapy are frequently prescribed
for patients undergoing cranial neurosurgery but may pose a risk of postoperative
infection. Postoperative surgical-site infections (SSIs) have significant
morbidity and mortality, dramatically increase the length and cost of
hospitalization, and are a major cause of 30-day readmission. In patients
undergoing cranial neurosurgery, there is a lack of data on the role of patient
specific risk factors in the development of SSIs. The authors of this study
sought to determine whether chemotherapy and prolonged steroid use before surgery
increase the risk of an SSI at postoperative Day 30. METHODS Using the national
prospectively collected American College of Surgeons National Surgical Quality
Improvement Program (ACS NSQIP) database for 2006-2012, the authors calculated
the rates of superficial, deep-incisional, and organ-space SSIs at postoperative
Day 30 for neurosurgery patients who had undergone chemotherapy or had
significant steroid use within 30 days before undergoing cranial surgery. Trauma
patients, patients younger than 18 years, and patients with a preoperative
infection were excluded. Univariate analysis was performed for 25 variables
considered risk factors for superficial and organ-space SSIs. To identify
independent predictors of SSIs, the authors then conducted a multivariate
analysis in which they controlled for duration of operation, wound class, white
blood cell count, and other potential confounders that were significant on the
univariate analysis. RESULTS A total of 8215 patients who had undergone cranial
surgery were identified. There were 158 SSIs at 30 days (frequency 1.92%), of
which 52 were superficial, 27 were deep-incisional, and 79 were organ-space
infections. Preoperative chemotherapy was an independent predictor of organ-space
SSIs in the multivariate model (OR 5.20, 95% CI 2.33-11.62, p < 0.0001), as was
corticosteroid use (OR 1.86, 95% CI 1.03-3.37, p = 0.04), but neither was a
predictor of superficial or deep-incisional SSIs. Other independent predictors of
organ-space SSIs were longer duration of operation (OR 1.16), wound class of >= 2
(clean-contaminated and further contaminated) (OR 3.17), and morbid obesity (body
mass index >= 40 kg/m(2)) (OR 3.05). Among superficial SSIs, wound class of 3
(contaminated) (OR 6.89), operative duration (OR 1.13), and infratentorial
surgical approach (OR 2.20) were predictors. CONCLUSIONS Preoperative
chemotherapy and corticosteroid use are independent predictors of organ-space
SSIs, even when data are controlled for leukopenia. This indicates that the
disease process in organ-space SSIs may differ from that in superficial SSIs. In
effect, this study provides one of the largest analyses of risk factors for SSIs
after cranial surgery. The results suggest that, in certain circumstances,
modulation of preoperative chemotherapy or steroid regimens may reduce the risk
of organ-space SSIs and should be considered in the preoperative care of this
population. Future studies are needed to determine optimal timing and dosing of
these medications.
PMID- 26544776
TI - Age-related outcomes following intracranial aneurysm treatment with the Pipeline
Embolization Device: a subgroup analysis of the IntrePED registry.
AB - OBJECT The association between age and outcomes following aneurysm treatment with
flow diverters such as the Pipeline Embolization Device (PED) have not been well
established. Using the International Retrospective Study of the Pipeline
Embolization Device (IntrePED) registry, the authors assessed the age-related
clinical outcomes of patients undergoing aneurysm embolization with the PED.
METHODS Patients with unruptured aneurysms in the IntrePED registry were divided
into 4 age groups: <= 50, 51-60, 61-70, and > 70 years old. The rates of the
following postoperative complications were compared between age groups using chi
square tests: spontaneous rupture, intracranial hemorrhage (ICH), ischemic
stroke, parent artery stenosis, cranial neuropathy, neurological morbidity,
neurological mortality, combined neurological morbidity and mortality, and all
cause mortality. The association between age and these complications was tested
in a multivariate logistic regression analysis adjusted for sex, number of PEDs,
and aneurysm size, location, and type. RESULTS Seven hundred eleven patients with
820 unruptured aneurysms were included in this study. Univariate analysis
demonstrated no significant difference in ICH rates across age groups (lowest
1.0% for patients <= 50 years old and highest 5.0% for patients > 70 years old, p
= 0.097). There was no difference in ischemic stroke rates (lowest 3.6% for
patients <= 50 years old and highest 6.0% for patients 50-60 years old, p =
0.73). Age > 70 years old was associated with higher rates of neurological
mortality; patients > 70 years old had neurological mortality rates of 7.4%
compared with 3.3% for patients 61-70 years old, 2.7% for patients 51-60 years
old, and 0.5% for patients <= 50 years old (p = 0.006). On multivariate logistic
regression analysis, increasing age was associated with higher odds of combined
neurological morbidity and mortality (odds ratio 1.02, 95% confidence interval
1.00-1.05; p = 0.03). CONCLUSIONS Increasing age is associated with higher
neurological morbidity and mortality after Pipeline embolization of intracranial
aneurysms. However, the overall complication rates of PED treatment in this group
of highly selected elderly patients (> 70 years) were acceptably low, suggesting
that age alone should not be considered an exclusion criterion when considering
treatment of intracranial aneurysms with the PED.
PMID- 26544777
TI - Intraarterial administration of norcantharidin attenuates ischemic stroke damage
in rodents when given at the time of reperfusion: novel uses of endovascular
capabilities.
AB - OBJECT Matrix metalloprotease-9 (MMP-9) plays a critical role in infarct
progression, blood-brain barrier (BBB) disruption, and vasogenic edema. While
systemic administration of MMP-9 inhibitors has shown neuroprotective promise in
ischemic stroke, there has been little effort to incorporate these drugs into
endovascular modalities. By modifying the rodent middle cerebral artery occlusion
(MCAO) model to allow local intraarterial delivery of drugs, one has the ability
to mimic endovascular delivery of therapeutics. Using this model, the authors
sought to maximize the protective potential of MMP-9 inhibition by intraarterial
administration of an MMP-9 inhibitor, norcantharidin (NCTD). METHODS
Spontaneously hypertensive rats were subjected to 90-minute MCAO followed
immediately by local intraarterial administration of NCTD. The rats'
neurobehavioral performances were scored according to the ladder rung walking
test results and the Garcia neurological test for as long as 7 days after stroke.
MRI was also conducted 24 hours after the stroke to assess infarct volume and BBB
disruption. At the end of the experimental protocol, rat brains were used for
active MMP-9 immunohistochemical analysis to assess the degree of MMP-9
inhibition. RESULTS NCTD-treated rats showed significantly better neurobehavioral
scores for all days tested. MR images also depicted significantly decreased
infarct volumes and BBB disruption 24 hours after stroke. Inhibition of MMP-9
expression in the ischemic region was depicted on immunohistochemical analysis,
wherein treated rats showed decreased active MMP-9 staining compared with
controls. CONCLUSIONS Intraarterial NCTD significantly improved outcome when
administered at the time of reperfusion in a spontaneously hypertensive rat
stroke model. This study suggests that supplementing endovascular
revascularization with local neuroprotective drug therapy may be a viable
therapeutic strategy.
PMID- 26544778
TI - Calvarial slope affecting accuracy of Ghajar Guide technique for ventricular
catheter placement.
AB - OBJECT The Ghajar Guide technique is used to direct a ventricular catheter at a
90 degrees angle to the skull surface at Kocher's point. However, the human
calvaria is not completely spherical. Lateral to the sagittal midline, the
calvaria slopes downward with individual variation and thereby affects the
accuracy of ventricular catheter placement. Accordingly, the authors investigated
the accuracy of the orthogonal catheter trajectory using radiographic simulation
and examined the effect of the calvarial slope on this accuracy. METHODS A
catheter trajectory orthogonal to the skull surface at Kocher's point and the
ideal catheter trajectory to the foramen of Monro were drawn bilaterally on
coronal head images of 52 patients with hydrocephalus. The correction angle, the
difference between the 2 catheter trajectories, was then measured. Meanwhile, the
calvarial slope was measured around Kocher's point by using a coronal head image.
The correlation between the correction angle and factors such as the calvarial
slope and bicaudate index was then assessed using a Pearson correlation analysis.
RESULTS The ventricular catheter trajectory orthogonal to the skull at Kocher's
point in the patients with hydrocephalus led to a catheter trajectory into the
ipsilateral (70.2%) or contralateral (29.8%) lateral ventricles. The correction
angles ranged from -3.3 degrees to 16.4 degrees (mean +/- SD 5.7 degrees +/-
3.7 degrees ). In 87 (83.7%) head sides, lateral deviation from the orthogonal
trajectory was required to approximate the ideal trajectory, and the correction
angle ranged from 2.0 degrees to 16.4 degrees (mean 6.7 degrees +/- 2.9
degrees ). The calvarial slope in the 104 head sides ranged from 15.6 degrees to
32.5 degrees (mean 24.2 degrees +/- 3.1 degrees ). Pearson correlation analysis
revealed a strong positive correlation (r = 0.733) between the calvarial slope
and the correction angle. CONCLUSIONS The accuracy of ventricular catheter
placement using the Ghajar Guide technique is affected primarily by the calvarial
slope around Kocher's point. A radiographic analysis of a preoperative coronal
head image can be used to estimate the accuracy of ventricular catheter placement
and enable adjustment to approximate the ideal catheter trajectory.
PMID- 26544779
TI - Convection-enhanced delivery of sorafenib and suppression of tumor progression in
a murine model of brain melanoma through the inhibition of signal transducer and
activator of transcription 3.
AB - OBJECT Despite recent advances, metastatic melanoma remains a terminal disease,
in which life-threatening brain metastasis occurs in approximately half of
patients. Sorafenib is a multikinase inhibitor that induces apoptosis of melanoma
cells in vitro. However, systemic administration has been ineffective because
adequate tissue concentrations cannot be achieved. This study investigated if
convection-enhanced delivery (CED) of sorafenib would enhance tumor control and
survival via inhibition of the signal transducer and activator of transcription 3
(Stat3) pathway in a murine model of metastatic brain melanoma. METHODS Melanoma
cells treated with sorafenib in vitro were examined for signaling and survival
changes. The effect of sorafenib given by CED was assessed by bioluminescent
imaging and animal survival. RESULTS The results showed that sorafenib induced
cell death in the 4 established melanoma cell lines and in 1 primary cultured
melanoma cell line. Sorafenib inhibited Stat3 phosphorylation in HTB65, WYC1, and
B16 cells. Accordingly, sorafenib treatment also decreased expression of Mcl-1
mRNA in melanoma cell lines. Because sorafenib targets multiple pathways, the
present study demonstrated the contribution of the Stat3 pathway by showing that
mouse embryonic fibroblast (MEF) Stat3 +/+ cells were significantly more
sensitive to sorafenib than MEF Stat3 -/- cells. In the murine model of melanoma
brain metastasis used in this study, CED of sorafenib increased survival by 150%
in the treatment group compared with animals receiving the vehicle control (p <
0.01). CED of sorafenib also significantly abrogated tumor growth. CONCLUSIONS
The data from this study indicate that local delivery of sorafenib effectively
controls brain melanoma. These findings validate further investigation of the use
of CED to distribute molecularly targeted agents.
PMID- 26544780
TI - Letter to the Editor: Vascularized rotational temporal bone flap.
PMID- 26544781
TI - A prospective Phase II clinical trial of 5-aminolevulinic acid to assess the
correlation of intraoperative fluorescence intensity and degree of histologic
cellularity during resection of high-grade gliomas.
AB - OBJECT There is evidence that 5-aminolevulinic acid (ALA) facilitates greater
extent of resection and improves 6-month progression-free survival in patients
with high-grade gliomas. But there remains a paucity of studies that have
examined whether the intensity of ALA fluorescence correlates with tumor
cellularity. Therefore, a Phase II clinical trial was undertaken to examine the
correlation of intensity of ALA fluorescence with the degree of tumor
cellularity. METHODS A single-center, prospective, single-arm, open-label Phase
II clinical trial of ALA fluorescence-guided resection of high-grade gliomas
(Grade III and IV) was held over a 43-month period (August 2010 to February
2014). ALA was administered at a dose of 20 mg/kg body weight. Intraoperative
biopsies from resection cavities were collected. The biopsies were graded on a 4
point scale (0 to 3) based on ALA fluorescence intensity by the surgeon and
independently based on tumor cellularity by a neuropathologist. The primary
outcome of interest was the correlation of ALA fluorescence intensity to tumor
cellularity. The secondary outcome of interest was ALA adverse events.
Sensitivities, specificities, positive predictive values (PPVs), negative
predictive values (NPVs), and Spearman correlation coefficients were calculated.
RESULTS A total of 211 biopsies from 59 patients were included. Mean age was 53.3
years and 59.5% were male. The majority of biopsies were glioblastoma (GBM)
(79.7%). Slightly more than half (52.5%) of all tumors were recurrent. ALA
intensity of 3 correlated with presence of tumor 97.4% (PPV) of the time.
However, absence of ALA fluorescence (intensity 0) correlated with the absence of
tumor only 37.7% (NPV) of the time. For all tumor types, GBM, Grade III gliomas,
and recurrent tumors, ALA intensity 3 correlated strongly with cellularity Grade
3; Spearman correlation coefficients (r) were 0.65, 0.66, 0.65, and 0.62,
respectively. The specificity and PPV of ALA intensity 3 correlating with
cellularity Grade 3 ranged from 95% to 100% and 86% to 100%, respectively. In
biopsies without tumor (cellularity Grade 0), 35.4% still demonstrated ALA
fluorescence. Of those biopsies, 90.9% contained abnormal brain tissue,
characterized by reactive astrocytes, scattered atypical cells, or inflammation,
and 8.1% had normal brain. In nonfluorescent (ALA intensity 0) biopsies, 62.3%
had tumor cells present. The ALA-associated complication rate among the study
cohort was 3.4%. CONCLUSIONS The PPV of utilizing the most robust ALA
fluorescence intensity (lava-like orange) as a predictor of tumor presence is
high. However, the NPV of utilizing the absence of fluorescence as an indicator
of no tumor is poor. ALA intensity is a strong predictor for degree of tumor
cellularity for the most fluorescent areas but less so for lower ALA intensities.
Even in the absence of tumor cells, reactive changes may lead to ALA
fluorescence.
PMID- 26544782
TI - Does immunotherapy increase the rate of radiation necrosis after radiosurgical
treatment of brain metastases?
AB - OBJECT Radiation necrosis (RN), or its imaging equivalent, treatment-related
imaging changes (TRIC), is an inflammatory reaction to high-dose radiation in the
brain. The authors sought to investigate the hypothesis that immunotherapy
increases the risk of developing RN/TRIC after stereotactic Gamma Knife (GK)
radiosurgery for brain metastases. METHODS A total of 180 patients who underwent
GK surgery for brain metastases between 2006 and 2012 were studied. The systemic
therapy they received was classified as cytotoxic chemotherapy (CT), targeted
therapy (TT), or immunotherapy (IT). The timing of systemic therapy in relation
to GK treatment was also recorded. Logistic regression was used to calculate the
odds of developing RN according to type of systemic therapy received. RESULTS The
median follow-up time was 11.7 months. Of 180 patients, 39 (21.7%) developed
RN/TRIC. RN/TRIC rates were 37.5% (12 of 32) in patients who received IT alone,
16.9% (14 of 83) in those who received CT only, and 25.0% (5 of 20) in those who
received TT only. Median overall survival was significantly longer in patients
who developed RN/TRIC (23.7 vs 9.9 months, respectively). The RN/TRIC rate was
increased significantly in patients who received IT alone (OR 2.40 [95% CI 1.06
5.44]; p = 0.03), whereas receipt of any CT was associated with a lower risk of
RN/TRIC (OR 0.38 [95% CI 0.18-0.78]; p = 0.01). The timing of development of
RN/TRIC was not different between patients who received IT and those who received
CT. CONCLUSIONS Patients who receive IT alone may have an increased rate of
RN/TRIC compared with those who receive CT or TT alone after stereotactic
radiosurgery, whereas receiving any CT may in fact be protective against RN/TRIC.
As the use of immunotherapies increases, the rate of RN/TRIC may be expected to
increase compared with rates in the chemotherapy era.
PMID- 26544783
TI - Does Sexting Improve Adult Sexual Relationships?
PMID- 26544786
TI - Correction to: Cyberpsychol Behav Soc Netw 2015;18(2);59-71 DOI:
10.1089/cyber.2014.0343.
AB - In the February 2015 issue of Cyberpsychology, Behavior, and Social Networking
(vol. 18, no. 2, pp. 59-71), the article "Association Between Pornography Use and
Sexual Risk Behaviors in Adult Consumers: A Systematic Review," by Emily L.
Harkness et al., the second author's name was displayed as "Barbara M. Mullan;"
however, the middle initial is incorrect. The authors wish to apologize for the
error and Dr. Mullan would like to be cited without a middle initial simply as "B
Mullan."
PMID- 26544787
TI - 3-month triptorelin in premenopausal patients with hormone receptor-positive
early breast cancer: a commentary and a retrospective experience.
PMID- 26544788
TI - Protective effect of naringin on 3-nitropropionic acid-induced neurodegeneration
through the modulation of matrix metalloproteinases and glial fibrillary acidic
protein.
AB - Naringin (4',5,7-trihydroxy-flavonone-7-rhamnoglucoside), a flavonone present in
grapefruit, has recently been reported to protect against neurodegeration,
induced with 3-nitropropionic acid (3-NP), through its antioxidant, anti
inflammatory, and antiapoptotic properties. This study used a rat model of 3-NP
induced neurodegeneration to investigate the neuroprotective effects of naringin
exerted by modulating the expression of matrix metalloproteinases and glial
fibrillary acidic protein. Neurodegeneration was induced with 3-NP (10 mg/kg body
mass, by intraperitoneal injection) once a day for 2 weeks, and induced rats were
treated with naringin (80 mg/kg body mass, by oral gavage, once a day for 2
weeks). Naringin ameliorated the motor abnormalities caused by 3-NP, and reduced
blood-brain barrier dysfunction by decreasing the expression of matrix
metalloproteinases 2 and 9, along with increasing the expression of the tissue
inhibitors of metalloproteinases 1 and 2 in 3-NP-induced rats. Further, naringin
reduced 3-NP-induced neuroinflammation by decreasing the expression of nuclear
factor-kappa B and glial fibrillary acidic protein. Thus, naringin exerts
protective effects against 3-NP-induced neurodegeneration by ameliorating the
expressions of matrix metalloproteinases and glial fibrillary acidic protein.
PMID- 26544789
TI - Hypofractionated Radiotherapy for Children With Diffuse Intrinsic Pontine
Gliomas.
AB - Children with diffuse intrinsic pontine gliomas have very poor outcomes, with
nearly all children dying from disease. Standard therapy includes 6 weeks of
radiation. There have been descriptions of using a shortened course of radiation.
We describe our experience with a hypofractionated radiotherapy approach
delivered over five treatments. In seven children, hypofractionated radiotherapy
was well tolerated, but symptomatic radiation necrosis was seen in three of the
children. Overall survival was slightly shorter than previously described in the
literature. We are developing a prospective dose-finding protocol with the goal
of tolerable short-course radiation treatment with outcomes comparable to
conventional radiation.
PMID- 26544790
TI - Automated Perimetry Under the Microscope: A Re-Examination of Fundamental
Assumptions.
PMID- 26544791
TI - Phenotypic Change and Induction of Cytokeratin Expression During In Vitro Culture
of Corneal Stromal Cells.
AB - PURPOSE: Cells of the corneal epithelium and stroma can be distinguished in vivo
by different intermediate filaments, cytokeratins for corneal epithelial cells
(CEC) and vimentin for keratocytes. Isolated and cultured keratocytes change
phenotype, losing expression of keratocyte markers and gaining markers associated
with mesenchymal stromal cells (MSC). This study investigates this change in
phenotype in relation to intermediate filament expression in cultured corneal
stromal cells (CSC) compared to CEC. METHODS: Expression of epithelial markers
(CK3, CK12, CK19, pan cytokeratin, E-cadherin), keratocyte markers (CD34,
vimentin), and MSC markers (CD73, CD90, and CD105) were compared in CEC and CSC
by immunocytochemistry and reverse transcription-quantitative polymerase chain
reaction (RT-qPCR). Expression was evaluated at different stages of CSC culture
and compared to another stromal cell type, extracted from Wharton's jelly (WJ
MSC). RESULTS: In vivo keratocytes did not express cytokeratins. However,
cultured CSC expressed epithelial-associated CK3, CK12, and CK19, but not other
cytokeratins. Expression of cytokeratins increased as CSC were passaged and
decreased as CSC were induced to become quiescent. Comparatively, WJ-MSC
expressed lower levels of CK3, CK12, and CK19, but also stained for pan
cytokeratin and expressed KRT5. CONCLUSIONS: Cultured CSC undergo phenotypic
change during culture, expressing specific cytokeratin filaments normally
associated with CEC. Cytokeratin expression begins as cells are cultured on
plastic and increases with passage. This discovery may influence the way in which
differences are discerned between cultured CEC and CSC. Investigators need to be
aware that the expression of cytokeratins does not necessarily represent
epithelial contamination, and that CEC and CSC may be more related than
previously recognized.
PMID- 26544792
TI - Pathologic Changes of Cone Photoreceptors in Eyes With Occult Macular Dystrophy.
AB - PURPOSE: Occult macular dystrophy (OMD) is an inherited retinal disease
characterized by a progressive decrease of vision and appearance of normal
fundus. To determine the pathologic features of OMD, we investigated the
alternation of the photoreceptors using quantitative image analysis. METHODS: We
studied 22 eyes of 11 OMD patients. Three of them had a mutation (R45W) in RP1L1.
The relative intensities of the ellipsoid zone in the spectral-domain optical
coherence tomography (SD-OCT) images and the density of the cone photoreceptors
in the adaptive optics (AO) fundus images of the OMD patients were compared to
those of normal controls. RESULTS: The relative intensities of the ellipsoid zone
in the SD-OCT images of patients with OMD were significantly lower (P < 0.001) by
an average of 16% compared to that of the normal controls. Normal cone mosaics
were not observed in the AO images of the macula in the eyes with OMD. The mean
+/- SD of cone density of the 9 OMD patients was 1970 +/- 884 cells/mm2 at 2
degrees , 1124 +/- 483 cells/mm2 at 3 degrees , and 1288 +/- 715 cells/mm2 at 4
degrees nasal to the fovea. The cone densities at 2 degrees , 3 degrees , and 4
degrees nasal to the fovea of OMD were significantly lower than those of the
normal controls (P < 0.001). CONCLUSIONS: A sparse array of cone photoreceptors
with significantly reduced density of the macula is one of the morphologic
features of OMD.
PMID- 26544793
TI - Identification of Therapeutic Targets of Inflammatory Monocyte Recruitment to
Modulate the Allogeneic Injury to Donor Cornea.
AB - PURPOSE: We sought to test the hypothesis that monocytes contribute to the
immunopathogenesis of corneal allograft rejection and identify therapeutic
targets to inhibit monocyte recruitment. METHODS: Monocytes and proinflammatory
mediators within anterior chamber samples during corneal graft rejection were
quantified by flow cytometry and multiplex protein assays. Lipopolysaccharide or
IFN-gamma stimulation of monocyte-derived macrophages (MDMs) was used to generate
inflammatory conditioned media (CoM). Corneal endothelial viability was tested by
nuclear counting, connexin 43, and propidium iodide staining. Chemokine and
chemokine receptor expression in monocytes and MDMs was assessed in microarray
transcriptomic data. The role of chemokine pathways in monocyte migration across
microvascular endothelium was tested in vitro by chemokine depletion or chemokine
receptor inhibitors. RESULTS: Inflammatory monocytes were significantly enriched
in anterior chamber samples within 1 week of the onset of symptoms of corneal
graft rejection. The MDM inflammatory CoM was cytopathic to transformed human
corneal endothelia. This effect was also evident in endothelium of excised human
cornea and increased in the presence of monocytes. Gene expression microarrays
identified monocyte chemokine receptors and cognate chemokines in MDM
inflammatory responses, which were also enriched in anterior chamber samples.
Depletion of selected chemokines in MDM inflammatory CoM had no effect on
monocyte transmigration across an endothelial blood-eye barrier, but selective
chemokine receptor inhibition reduced monocyte recruitment significantly.
CONCLUSIONS: We propose a role for inflammatory monocytes in endothelial
cytotoxicity in corneal graft rejection. Therefore, targeting monocyte
recruitment offers a putative novel strategy to reduce donor endothelial cell
injury in survival of human corneal allografts.
PMID- 26544794
TI - Automated Tear Film Surface Quality Breakup Time as a Novel Clinical Marker for
Tear Hyperosmolarity in Dry Eye Disease.
AB - PURPOSE: To assess the diagnostic performance of a novel, automated, noninvasive
measure of tear film stability derived from Placido disc videokeratography, the
tear film surface quality breakup time (TFSQ-BUT), as a clinical marker for
diagnosing dry eye disease (DED) relative to a standard of tear hyperosmolarity.
METHODS: This prospective, cross-sectional study involved 45 participants (28
DED, 17 controls). Symptoms (Ocular Surface Disease Index) and signs (tear
osmolarity, TFSQ-BUT, tear breakup time measured with sodium fluorescein [NaFl
BUT], ocular surface staining and Schirmer test with topical anesthesia) of DED
were assessed. Three measures of TFSQ-BUT and NaFl-BUT were taken per eye;
"first," "average," and "shortest" BUT were analyzed separately. Optimal
diagnostic cutoff values were determined using the Youden Index. The
repeatability and agreement of the TFSQ-BUT was compared with two clinicians who
manually assessed noninvasive BUT (CNI-BUT). Repeatability of methods was
assessed using the geometric coefficient of variation (gCoV, %). Agreement
between methods was considered with Bland-Altman analysis. RESULTS: Eyes with DED
had significantly shorter TFSQ-BUTs than controls (P < 0.05). There was a
significant, moderate correlation between both shortest and average TFSQ-BUT and
NaFl-BUT (r = 0.35, P = 0.02 and r = 0.38, P = 0.01, respectively). The receiver
operator characteristic (ROC) curve for shortest TFSQ-BUT showed an area under
the curve of 0.92 (P < 0.0001). Shortest TFSQ-BUT with a criterion of 12.1
seconds had a sensitivity of 82% and specificity of 94% for diagnosing DED
against tear hyperosmolarity. Automated TFSQ-BUT showed less variability (gCoV =
9.4%, 95% confidence interval [CI]: 7.1%-14.0%) than CNI-BUT (gCoV = 27.0%, 95%
CI: 19.62%-41.06%, P < 0.05). CONCLUSIONS: Automated TFSQ-BUT is a repeatable,
noninvasive clinical marker with both high sensitivity and specificity for tear
hyperosmolarity.
PMID- 26544796
TI - Antidiabetic potential of a peptide isolated from an endophytic Aspergillus
awamori.
AB - AIM: To exploit the potential of endophytic fungi for pharmaceutically important
antidiabetic alpha glycosidase inhibitors. METHODS AND RESULTS: Thirty six
endophytic fungi were isolated from Acacia nilotica and screened for the
production of alpha amylase and glucosidase inhibitors. Inhibitory activity
against both alpha amylase (81%) and alpha glucosidase (80%) was exhibited in an
isolate, identified to be Aspergillus awamori. Purification of the inhibitor was
carried out on Sephadex LH-20 column and semi prep HPLC. The inhibitor was
characterized to be proteinaceous in nature with an approximate molecular mass of
22 kDa. UHPLC amino acid analysis indicated the presence of amino acids serine,
threonine, tyrosine and valine in the peptide. The purified inhibitor exhibited
mixed type of inhibition against alpha amylase and alpha glucosidase with IC50
values of 3.75 and 5.625 MUg ml(-1) respectively. The inhibitor was stable over a
wide range of pH and temperature. Optimization of process parameters to increase
the yield of the inhibitor was undertaken using one factor at a time approach as
well as RSM statistical analysis. The interaction of dextrose and proteose
peptone for the test organism was significant with first order effect of pH.
Increase of 13% was obtained in the inhibitory activity after optimization of
process parameters. Mutagenicity testing by Ames test revealed nonmutagenic
nature of the peptide. CONCLUSION: Endophytic A. awamori is capable of producing
a peptide with alpha glycosidase inhibitory activity. SIGNIFICANCE AND IMPACT OF
THE STUDY: The inhibitor obtained in this study possesses dual (alpha glucosidase
and alpha amylase) inhibitory activity, low IC50 values, is highly stable under
extreme conditions of pH and temperature, and is nonmutagenic in nature. By
virtue of its properties it can be commercially produced and exploited for better
management of diabetes.
PMID- 26544795
TI - Murine Monoclonal Antibodies for Antigenic Discrimination of HIV-1 Envelope
Proteins.
AB - In the influenza virus field, antibody reagents from research animals have been
instrumental in the characterization of antigenically distinct hemagglutinin and
neuraminidase membrane molecules. These small animal reagents continue to support
the selection of components for inclusion in human influenza virus vaccines.
Other cocktail vaccines against variant pathogens (e.g., polio virus,
pneumococcus) are similarly designed to represent variant antigens, as defined by
antibody reactivity patterns. However, a vaccine cocktail comprising diverse
viral membrane antigens defined in this way has not yet been advanced to a
clinical efficacy study in the HIV-1 field. In this study, we describe the
preparation of mouse antibodies specific for HIV-1 gp140 or gp120 envelope
molecules. Our experiments generated renewable reagents able to discriminate HIV
1 envelopes from one another. Monoclonals yielded more precise discriminatory
capacity against their respective immunogens than did a small panel of polyclonal
human sera derived from recently HIV-1-infected patients. Perhaps these and other
antibody reagents will ultimately support high-throughput cartography studies
with which antigenically-distinct envelope immunogens may be formulated into a
successful HIV-1 envelope cocktail vaccine.
PMID- 26544797
TI - Early changes in extracellular matrix in Alzheimer's disease.
AB - AIMS: Although changes in extracellular matrix (ECM) scaffold have been reported
previously in Alzheimer's disease (AD) compared to normal ageing, it is not known
how alterations in the numerous components of the perivascular ECM might occur at
different stages of AD. This study therefore investigates potential changes in
basement membrane-associated ECM molecules in relation to increasing Braak
stages. METHODS: Thirty patients were divided into three groups (control subject,
subclinical AD and AD patients). ECM levels of collagen IV, perlecan and
fibronectin as well as human platelet endothelial cell adhesion molecule (hPECAM)
were quantified by immunohistochemistry. Von Willebrand factor staining was
measured to assess vessel density. Expression levels were correlated with the
presence of amyloid plaques. RESULTS: Collagen IV, perlecan and fibronectin
expression was increased in subclinical AD and AD patients when compared to
controls, in frontal and temporal cortex, whilst no further increase was detected
between subclinical AD and AD. These changes were not associated with an increase
in vessel density, which was instead decreased in the temporal cortex of AD
patients. In contrast, hPECAM levels remained unchanged. Finally, we found
similar pattern in levels of amyloid deposition between the different Braak
stages and showed that changes in ECM components correlated with amyloid
deposition. CONCLUSION: Present data support the hypothesis that significant ECM
changes occur during the early stages of AD. ECM changes affecting brain
microvascular functions could therefore drive disease progression and provide
potential new early investigational biomarkers in AD.
PMID- 26544798
TI - Screening for nasopharyngeal cancer.
AB - BACKGROUND: Nasopharyngeal cancer is endemic in a few well-defined populations.
The prognosis for advanced nasopharyngeal cancer is poor, but early-stage disease
is curable and a high survival rate can be achieved. Screening for early-stage
disease could lead to improved outcomes. Epstein-Barr virus (EBV) serology and
nasopharyngoscopy are most commonly used for screening. The efficacy and true
benefit of screening remain uncertain due to potential selection, lead-time and
length-time biases. OBJECTIVES: To determine the effectiveness of screening of
asymptomatic individuals by EBV serology and/or nasopharyngoscopy in reducing the
mortality of nasopharyngeal cancer compared to no screening. To assess the impact
of screening for nasopharyngeal cancer on incidence, survival, adverse effects,
cost-effectiveness and quality of life. SEARCH METHODS: The Cochrane Ear, Nose
and Throat Disorders Group (CENTDG) Trials Search Co-ordinator searched the
CENTDG Trials Register; Central Register of Controlled Trials (CENTRAL 2015,
Issue 6); PubMed; EMBASE; CINAHL; Web of Science; Clinicaltrials.gov; ICTRP and
additional sources for published and unpublished trials. The date of the search
was 6 July 2015. SELECTION CRITERIA: Randomised controlled trials (RCT) and
controlled clinical trials (CCT) evaluating screening for nasopharyngeal cancer
versus no screening. Randomisation either by clusters or individuals was
acceptable. DATA COLLECTION AND ANALYSIS: We used the standard methodological
procedures expected by The Cochrane Collaboration. Our primary outcome measure
was nasopharyngeal cancer-specific mortality. Secondary outcomes were incidence
of nasopharyngeal cancer by stage and histopathological classification at
diagnosis, survival (two-year, three-year, five-year and 10-year), harms of
screening (physical and psychosocial), quality of life (via validated tools such
as the SF-36 and patient satisfaction), cost-effectiveness and all-cause
mortality. MAIN RESULTS: We identified no trials that met the review inclusion
criteria. We retrieved 31 full-text studies for further investigation following
the search. However, none met the eligibility criteria for a RCT or CCT
investigation on the efficacy of screening for nasopharyngeal cancer. AUTHORS'
CONCLUSIONS: No data from RCTs or CCTs are available to allow us to determine the
efficacy of screening for nasopharyngeal cancer, or the cost-effectiveness and
cost-benefit of a screening strategy. High-quality studies with long-term follow
up of mortality and cost-effectiveness are needed.
PMID- 26544799
TI - On Receiving the Baton.
PMID- 26544803
TI - Two-Variance-Component Model Improves Genetic Prediction in Family Datasets.
AB - Genetic prediction based on either identity by state (IBS) sharing or pedigree
information has been investigated extensively with best linear unbiased
prediction (BLUP) methods. Such methods were pioneered in plant and animal
breeding literature and have since been applied to predict human traits, with the
aim of eventual clinical utility. However, methods to combine IBS sharing and
pedigree information for genetic prediction in humans have not been explored. We
introduce a two-variance-component model for genetic prediction: one component
for IBS sharing and one for approximate pedigree structure, both estimated with
genetic markers. In simulations using real genotypes from the Candidate-gene
Association Resource (CARe) and Framingham Heart Study (FHS) family cohorts, we
demonstrate that the two-variance-component model achieves gains in prediction
r(2) over standard BLUP at current sample sizes, and we project, based on
simulations, that these gains will continue to hold at larger sample sizes.
Accordingly, in analyses of four quantitative phenotypes from CARe and two
quantitative phenotypes from FHS, the two-variance-component model significantly
improves prediction r(2) in each case, with up to a 20% relative improvement. We
also find that standard mixed-model association tests can produce inflated test
statistics in datasets with related individuals, whereas the two-variance
component model corrects for inflation.
PMID- 26544804
TI - Nonrecurrent 17p11.2p12 Rearrangement Events that Result in Two Concomitant
Genomic Disorders: The PMP22-RAI1 Contiguous Gene Duplication Syndrome.
AB - The genomic duplication associated with Potocki-Lupski syndrome (PTLS) maps in
close proximity to the duplication associated with Charcot-Marie-Tooth disease
type 1A (CMT1A). PTLS is characterized by hypotonia, failure to thrive, reduced
body weight, intellectual disability, and autistic features. CMT1A is a common
autosomal dominant distal symmetric peripheral polyneuropathy. The key dosage
sensitive genes RAI1 and PMP22 are respectively associated with PTLS and CMT1A.
Recurrent duplications accounting for the majority of subjects with these
conditions are mediated by nonallelic homologous recombination between distinct
low-copy repeat (LCR) substrates. The LCRs flanking a contiguous genomic interval
encompassing both RAI1 and PMP22 do not share extensive homology; thus,
duplications encompassing both loci are rare and potentially generated by a
different mutational mechanism. We characterized genomic rearrangements that
simultaneously duplicate PMP22 and RAI1, including nine potential complex genomic
rearrangements, in 23 subjects by high-resolution array comparative genomic
hybridization and breakpoint junction sequencing. Insertions and microhomologies
were found at the breakpoint junctions, suggesting potential replicative
mechanisms for rearrangement formation. At the breakpoint junctions of these
nonrecurrent rearrangements, enrichment of repetitive DNA sequences was observed,
indicating that they might predispose to genomic instability and rearrangement.
Clinical evaluation revealed blended PTLS and CMT1A phenotypes with a potential
earlier onset of neuropathy. Moreover, additional clinical findings might be
observed due to the extra duplicated material included in the rearrangements. Our
genomic analysis suggests replicative mechanisms as a predominant mechanism
underlying PMP22-RAI1 contiguous gene duplications and provides further evidence
supporting the role of complex genomic architecture in genomic instability.
PMID- 26544805
TI - Dominant Genetic Variation and Missing Heritability for Human Complex Traits:
Insights from Twin versus Genome-wide Common SNP Models.
AB - In order to further illuminate the potential role of dominant genetic variation
in the "missing heritability" debate, we investigated the additive (narrow-sense
heritability, h(2)) and dominant (delta(2)) genetic variance for 18 human complex
traits. Within the same study base (10,682 Swedish twins), we calculated and
compared the estimates from classic twin-based structural equation model with SNP
based genomic-relatedness-matrix restricted maximum likelihood [GREML(d)] method.
Contributions of delta(2) were evident for 14 traits in twin models (average
delta(2)twin = 0.25, range 0.14-0.49), two of which also displayed significant
delta(2) in the GREMLd analyses (triglycerides delta(2)SNP = 0.28 and waist
circumference delta(2)SNP = 0.19). On average, the proportion of h(2)SNP/h(2)twin
was 70% for ADE-fitted traits (for which the best-fitting model included additive
and dominant genetic and unique environmental components) and 31% for AE-fitted
traits (for which the best-fitting model included additive genetic and unique
environmental components). Independent evidence for contribution from shared
environment, also in ADE-fitted traits, was obtained from self-reported within
pair contact frequency and age at separation. We conclude that despite the fact
that additive genetics appear to constitute the bulk of genetic influences for
most complex traits, dominant genetic variation might often be masked by shared
environment in twin and family studies and might therefore have a more prominent
role than what family-based estimates often suggest. The risk of erroneously
attributing all inherited genetic influences (additive and dominant) to the h(2)
in too-small twin studies might also lead to exaggerated "missing heritability"
(the proportion of h(2) that remains unexplained by SNPs).
PMID- 26544807
TI - Can Large Database Studies Help Us Decrease Readmissions After Colorectal
Surgery?
PMID- 26544806
TI - Association between Rare Variants in AP4E1, a Component of Intracellular
Trafficking, and Persistent Stuttering.
AB - Stuttering is a common, highly heritable neurodevelopmental disorder
characterized by deficits in the volitional control of speech. Whole-exome
sequencing identified two heterozygous AP4E1 coding variants, c.1549G>A
(p.Val517Ile) and c.2401G>A (p.Glu801Lys), that co-segregate with persistent
developmental stuttering in a large Cameroonian family, and we observed the same
two variants in unrelated Cameroonians with persistent stuttering. We found 23
other rare variants, including predicted loss-of-function variants, in AP4E1 in
unrelated stuttering individuals in Cameroon, Pakistan, and North America. The
rate of rare variants in AP4E1 was significantly higher in unrelated Pakistani
and Cameroonian stuttering individuals than in population-matched control
individuals, and coding variants in this gene are exceptionally rare in the
general sub-Saharan West African, South Asian, and North American populations.
Clinical examination of the Cameroonian family members failed to identify any
symptoms previously reported in rare individuals carrying homozygous loss-of
function mutations in this gene. AP4E1 encodes the epsilon subunit of the
heterotetrameric (epsilon-beta4-MU4-sigma4) AP-4 complex, involved in protein
sorting at the trans-Golgi network. We found that the MU4 subunit of AP-4
interacts with NAGPA, an enzyme involved in the synthesis of the mannose 6
phosphate signal that targets acid hydrolases to the lysosome and the product of
a gene previously associated with stuttering. These findings implicate deficits
in intracellular trafficking in persistent stuttering.
PMID- 26544808
TI - Outcomes of Open, Laparoscopic, and Robotic Abdominoperineal Resections in
Patients With Rectal Cancer.
AB - BACKGROUND: There are limited available data comparing open, laparoscopic, and
robotic approaches for rectal cancer surgery. OBJECTIVE: We sought to investigate
outcomes of different surgical approaches to abdominoperineal resection in
patients with rectal cancer. DESIGN: The nationwide inpatient sample database was
used to examine the clinical data of patients with rectal cancer who underwent
elective abdominoperineal resection between 2009 and 2012 in the United States.
Multivariate regression analysis was performed to compare outcomes of different
surgical approaches. SETTINGS: A retrospective review according to the national
inpatient sample database was designed. PATIENTS: We included patients with
rectal cancer who underwent elective abdominoperineal resection between 2009 and
2012. MAIN OUTCOME MEASURES: Outcomes of different surgical approaches to
abdominoperineal resection were investigated. RESULTS: We sampled 18,359 patients
with rectal cancer who underwent elective abdominoperineal resections. Of these,
69.5% had open surgery, 25.8% had laparoscopic surgery, and 4.7% had robotic
surgery. The rate of robotic procedures increased >4-fold, from 2.1% to 8.1%,
from 2009 to 2012. The conversion rate in robotic surgery was significantly lower
compared with laparoscopic surgery (5.7% vs 13.4%; p < 0.01). After risk
adjustment, patients who underwent laparoscopic and robotic approaches had lower
morbidity risks compared with those who underwent the open approach (adjusted OR
= 0.77 (95% CI, 0.65-0.92), 0.57 (95% CI, 0.40-0.80); p < 0. 01). There were no
significant differences in the morbidity rate of patients who underwent
laparoscopic or robotic approaches (adjusted OR = 0.79 (95% CI, 0.55-1.14); p =
0.21). However, patients who underwent the robotic approach had significantly
higher total hospital charges compared with those who underwent the laparoscopic
approach (mean difference, $24,890; p < 0.01). LIMITATIONS: We could not adjust
the results with some important factors, such as the tumor stage and BMI.
CONCLUSIONS: The use of robotic and laparoscopic approaches to abdominoperineal
resection have increased between 2009 and 2012. Both minimally invasive
approaches decrease morbidity rates of patients undergoing abdominoperineal
resection. The robotic approach has a significantly lower conversion rate
compared with the laparoscopic approach. However, it had significantly higher
total hospital charges compared with the laparoscopic approach.
PMID- 26544809
TI - HIV Infection Is Associated With Poor Outcomes for Patients With Anal Cancer in
the Highly Active Antiretroviral Therapy Era.
AB - BACKGROUND: HIV status may affect outcomes after definitive chemoradiotherapy for
anal cancer. OBJECTIVE: Here, we report a large series in the highly active
antiretroviral therapy era comparing outcomes between HIV-positive and HIV
negative patients with anal cancer. DESIGN: This was a retrospective chart
review. SETTINGS: The study was conducted at an outpatient oncology clinic at
large academic center. PATIENTS: A total of 107 patients were reviewed, 39 HIV
positive and 68 HIV negative. All of the patients underwent definitive
chemoradiation for anal cancer. MAIN OUTCOME MEASURES: Data on patient
characteristics, treatment, toxicity, and outcomes were collected. Overall
survival, colostomy-free survival, local recurrence-free survival, and distant
metastasis-free survival were analyzed. RESULTS: Median follow-up was 15 months.
HIV-positive patients were younger (median, 52 vs 64 years; p < 0.001) and
predominantly men (82% men vs 49% men; p = 0.001). There were no significant
differences in T, N, or stage groups. HIV-positive patients had a significantly
longer duration from biopsy to start of chemoradiation (mean number of days, 82
vs 54; p = 0.042). There were no differences in rates of acute toxicities
including diarrhea, fatigue, or dermatitis. HIV-positive patients had
significantly higher rates of hospitalization (33% vs 15%; p = 0.024). The 3-year
overall survival rate was 42% in HIV-positive and 76% in HIV-negative patients (p
= 0.037; HR, 2.335 (95% CI, 1.032-5.283)). Three-year colostomy-free survival was
67% in HIV-positive and 88% in HIV-negative patients (p = 0.036; HR, 3.231 (95%
CI, 1.014-10.299)). Differences in overall survival rates were not significant on
multivariate analysis. LIMITATIONS: This study was limited by its retrospective
design and small patient numbers. CONCLUSIONS: In this cohort, HIV-positive
patients had significantly worse overall and colostomy-free survival rates than
HIV-negative patients. However, differences in survival were not significant on
multivariate analysis. Additional studies are necessary to establish the etiology
of this difference.
PMID- 26544810
TI - Features Associated With Metastases Among Well-Differentiated Neuroendocrine
(Carcinoid) Tumors of the Appendix: The Significance of Small Vessel Invasion in
Addition to Size.
AB - BACKGROUND: The risk of metastatic disease among carcinoid tumors of the appendix
increases with tumor size. However, it is unclear if any features other than size
are also associated with an increased risk of metastatic disease. OBJECTIVE: The
aim of this study was to review the characteristics of appendiceal carcinoid
tumors and determine if other histologic features besides size should guide
surgical decision making. DESIGN: This study involved a retrospective case
series. SETTINGS: This study was conducted at a single tertiary acute care
hospital. PATIENTS: Patients diagnosed with an appendiceal carcinoid tumor
between 2000 and 2014 were identified. Goblet cell carcinoids, adenocarcinomas
with neuroendocrine features, and tumors from other primary locations were
excluded. INTERVENTIONS: Simple appendectomy or segmental/total colectomy with
lymphadenectomy was performed. MAIN OUTCOME MEASURES: The primary outcomes
measured were metastases, recurrence, and overall survival. RESULTS: Seventy-nine
patients were included. The overall incidence of metastatic disease was 10%.
Patients with metastatic disease were more likely to be male (75% vs 28%, p =
0.008), have small-vessel invasion (43% vs 5%, p = 0.001), and have larger tumors
(median 2.0 cm vs 0.5 cm, p < 0.001). Among tumors <2 cm, the incidence of
metastases among tumors with small-vessel invasion was 60% compared with 0% among
those without small-vessel invasion (p < 0.001). Among tumors >=2 cm, the
incidence of metastases was 50% irrespective of small-vessel invasion. If small
vessel invasion was used as a second indication for performing a right
hemicolectomy along with size >=2 cm, both the sensitivity and negative
predictive value would have been 100% compared with 63% and 96% if size was used
alone. Patients with metastatic disease had a higher incidence of recurrence (13%
vs 0%, p = 0.003), but overall survival was 100% in both groups. LIMITATIONS:
Small sample size, retrospective design, and limited long-term follow-up were the
limitations of this study. CONCLUSIONS: Carcinoid tumors of the appendix <2 cm
with small-vessel invasion have similar metastatic potential as tumors >=2 cm.
Therefore, a recommendation for a right hemicolectomy should be considered for
tumors <2 cm with small-vessel invasion. Additional prospective multicenter
studies are warranted.
PMID- 26544811
TI - Impact of Surgery on Relationship Quality in Patients With Ulcerative Colitis and
Their Partners.
AB - BACKGROUND: Although social support is important for quality of life in patients
undergoing surgery for ulcerative colitis, the impact of surgery on patient
relationships is not known. OBJECTIVE: We examined relationship parameters in
patients with ulcerative colitis and their partners before and 6 months after
surgery. DESIGN: This was a prospective cohort in which we performed an
exploratory analysis. SETTINGS: Patients were enrolled from an academic medical
center. PATIENTS: Surgical patients with ulcerative colitis and their partners
were invited to participate. INTERVENTIONS: Patients underwent proctocolectomy in
1, 2, or 3 stages. MAIN OUTCOME MEASURES: We measured quality of life and sexual
function in patients, as well as relationship quality, empathy, and sexual
satisfaction in patients and partners before and 6 months after surgery using
validated questionnaires. RESULTS: The study sample consisted of 74 participants,
including 37 patients (25 men and 12 women) and their opposite-sex partners.
Quality of life improved significantly in male and female patients after surgery.
Sexual function scores also improved after surgery in male and female patients;
however, the changes reached statistical significance in male patients only.
Sexual satisfaction scores improved significantly after surgery in female
patients and their partners. There was little change in relationship quality or
empathy after surgery, with the exception of slightly improved relationship
quality reported by male partners. In general, patients and partners reported
levels of relationship quality and empathy similar to normative populations.
LIMITATIONS: This study included a small, highly selected sample. CONCLUSIONS:
Male and female patients with ulcerative colitis have high-quality relationships
that are not negatively affected by surgical treatment. Changes in sexual
function do not necessarily coincide with changes in sexual satisfaction in this
patient population. Future studies should evaluate the effect of high-quality
relationships on surgical outcomes.
PMID- 26544812
TI - Clinical Outcomes of Surgery Versus Endoscopic Balloon Dilation for Stricturing
Crohn's Disease.
AB - BACKGROUND: Endoscopic balloon dilation and surgery are commonly practiced in
stricturing Crohn's disease. Nonetheless, there are still scant data directly
comparing these 2 strategies. OBJECTIVE: The aim of this study was to compare the
short- and long-term outcomes of endoscopic balloon dilation versus surgical
resection in symptomatic Crohn's strictures. DESIGN: This was a retrospective
cohort study. SETTINGS: The study was conducted at a single tertiary center.
PATIENTS: Seventy-nine patients were identified, 40 in the surgical group and 39
in the endoscopic balloon dilation group (mean age 42.8 +/- 13.9 versus 38.5 +/-
12.2 years). MAIN OUTCOME MEASURES: The outcomes of all patients referred for
endoscopic balloon dilation were compared with patients referred to surgery
because of stricturing disease between the years 2006 and 2013. The primary
outcome was the need for reintervention (either endoscopic balloon dilation or
surgery) for symptomatic Crohn's disease during follow-up. RESULTS: The
proportion of patients who required any reintervention during follow-up was
significantly lower in the surgical group versus the endoscopic balloon dilation
group (OR = 5.62 (95% CI, 1.66-19.01); p = 0.005). The need for surgery/resurgery
during follow-up was also significantly lower in the surgically treated group (OR
= 3.53 (95% CI, 1.01-12.29); p = 0.047). Reintervention-free survival and surgery
free survival were both significantly shorter in the endoscopically treated group
in a Kaplan-Mayer analysis. The rate of major complications was similar in the
endoscopically and surgically treated groups (7.6% versus 7.5%; p = 0.7).
LIMITATIONS: The small cohort and the retrospective data collection were
limitations of this study. CONCLUSIONS: In our cohort of patients with
fibrostenotic Crohn's disease, a direct comparison showed reduced need for
reinterventions with a similar rate of immediate major complications after
surgery compared with endoscopic balloon dilation.
PMID- 26544813
TI - Does Stool Leakage Increase in Aging Pouches?
AB - BACKGROUND: Restorative proctocolectomy with IPAA is the standard surgical option
for patients with ulcerative colitis. Although ileal pouches have been shown to
have acceptable functional outcomes, some patients experience fecal incontinence.
OBJECTIVE: The purpose of this study was to evaluate the incidence of fecal
leakage and the way it may change over time in patients with an ileoanal pouch.
DESIGN: This study used a retrospective design. SETTINGS: The study was conducted
at a tertiary care center. PATIENTS: Patients who received an IPAA for ulcerative
colitis between 1983 and 2008 were accessed from a prospectively maintained
database. We excluded patients with cancer, colonic dysplasia, and missing record
of ileostomy closure and without long-term functional data. MAIN OUTCOME
MEASURES: We defined fecal leakage as leakage of stool more than once per day.
Univariate and multivariate analyses were performed to identify associations with
and possible risk factors for fecal leakage. RESULTS: A total of 1228 patients
were included in this study. There were 656 men, with a mean age of 38.7 years.
The median follow-up time was 158 months. The fecal leakage rates at 5, 10, and
>15 years were 24.6%, 25.7%, and 27.4% (p = 0.66). Patients with fecal leakage
were significantly older at the time of surgery (p < 0.001), had longer disease
duration before surgery (p = 0.04), underwent more 2-stage surgery (p = 0.04),
included more women (p < 0.01), and showed lower preoperative maximum anal
squeeze pressure (p = 0.008). On multivariate analysis, the only significant
factor predisposing to fecal leakage was older age at the time of pouch surgery
(OR = 1.07 (95% CI, 1.02-1.12); p = 0.005). LIMITATIONS: The study was limited by
its retrospective and non-randomized nature. CONCLUSIONS: The occurrence of fecal
leakage in patients with IPAA does not change with time. However, increased age
at the time of surgery may increase the chances of patients with IPAA having
fecal leakage.
PMID- 26544814
TI - Readmission After Resections of the Colon and Rectum: Predictors of a Costly and
Common Outcome.
AB - BACKGROUND: Readmission rates are a measure of surgical quality and an object of
clinical and regulatory scrutiny. Despite increasing efforts to improve quality
and contain cost, 6% to 25% of patients are readmitted after colorectal surgery.
OBJECTIVE: The aim of this study is to define the predictors and costs of
readmission following colorectal surgery. DESIGN: This is a retrospective cohort
study of patients undergoing elective and nonelective colectomy and/or
proctectomy in the Healthcare Cost and Utilization Project Florida State
Inpatient Database 2007 to 2011. Readmission is defined as inpatient admission
within 30 days of discharge. Univariate analyses were performed of sex, age,
Elixhauser score, race, insurance type, procedure, indication, readmission
diagnosis, cost, and length of stay. Multivariate analysis was performed by
logistic regression. Sensitivity analysis of nonemergent admissions was
conducted. SETTINGS: This study was conducted in Florida acute-care hospitals.
PATIENTS: Patients undergoing colectomy and proctectomy from 2007 to 2011 were
included. INTERVENTION(S): There were no interventions. MAIN OUTCOME MEASURE(S):
The primary outcomes measured were readmission and the cost of readmission.
RESULTS: A total of 93,913 patients underwent colectomy; 14.7% were readmitted
within 30 days. From 2007 to 2011, readmission rates remained stable (14.6%
14.2%, trend p = 0.1585). After multivariate adjustment, patient factors
associated with readmission included nonwhite race, age <65, and a diagnosis code
other than neoplasm or diverticular disease (p < 0.0001). Patients with Medicare
or Medicaid were more likely to be readmitted than those with private insurance
(p < 0.0001). Patients with longer index admissions, those with stomas, and those
undergoing all procedures other than sigmoid or transverse colectomy were more
likely to be readmitted (p < 0.0001). High-volume hospitals had higher rates of
readmission (p < 0.0001). The most common reason for readmission was infection
(32.9%). Median cost of readmission care was $7030 (intraquartile range, $4220
$13,247). Fistulas caused the most costly readmissions ($15,174; intraquartile
range, $6725-$26,660). LIMITATIONS: Administrative data and retrospective design
were limitations of this study. CONCLUSIONS: Readmissions rates after colorectal
surgery remain common and costly. Nonprivate insurance, IBD, and high hospital
volume are significantly associated with readmission.
PMID- 26544815
TI - Characteristics of Colonic Diverticulitis and Factors Associated With
Complications: A Japanese Multicenter, Retrospective, Cross-Sectional Study.
AB - BACKGROUND: Little is known about the epidemiology of diverticulitis in Japan.
Additional information is needed about its clinical characteristics and the
factors associated with complications of diverticulitis. OBJECTIVE: This study
was designed to determine the clinical characteristics of diverticulitis and
factors associated with its complications in Japanese patients. DESIGN: This was
a retrospective, multicenter, large-scale, cross-sectional study. SETTINGS: All
of the consecutive patients in 21 Japanese hospitals with a final diagnosis of
acute colonic diverticulitis were included in this study. PATIENTS: A total of
1112 patients, including 658 men and 454 women, with a mean age of 54.8 years,
who were diagnosed by CT and/or ultrasonography between January 2006 and May
2011, were included in this study. INTERVENTIONS: Data on medical history,
investigations, treatments, and prognosis were collected using a standard form to
create a dedicated database. MAIN OUTCOME MEASURES: Clarification of the clinical
characteristics of Japanese patients with acute diverticulitis was the main
outcome measured. RESULTS: Diverticulitis was detected mainly in men and women
aged 40 to 60 years. Although diverticulitis more frequently affected the right
colon (70.1%), diverticulitis of the left colon was significantly more frequent
(61.0%) in elderly patients. Of the 1112 patients with diverticulitis, 179
(16.1%) developed complications, including abscess formation, perforation,
stenosis, and/or fistula, some of which required surgical treatment, such as
drainage or colonic resection. The duration of hospitalization (24.1 +/- 19.5
days) and mortality rate (2.8%) were significantly higher in patients with versus
without complications. Factors associated with complications were fever (>38.5
degrees C), involvement of the left colon, higher age, and delayed diagnosis.
LIMITATIONS: Limitations included the nonconsideration of diverticulitis
treatment, the effect of dietary fiber, and the retrospective design of the
study. CONCLUSIONS: Complications were more frequent in elderly men with left
sided diverticulitis, although diverticulitis was more common in middle-aged
people and on the right side of the colon. Factors associated with complications
were fever, site of involvement, older age, and longer time until diagnosis.
PMID- 26544816
TI - Dynamic Article: Permanent Sacral Nerve Stimulation Under Local Anesthesia:
Feasibility, Best Practice, and Patient Satisfaction.
AB - BACKGROUND: The increasing incidence of fecal incontinence and the use of sacral
neuromodulation have an increasing impact on health care providers and health
care costs. OBJECTIVE: The purpose of this study was to investigate the technical
and clinical success rates, complications, and patient satisfaction of the
implantation of permanent sacral nerve stimulation under local anesthesia.
DESIGN: A cohort analysis of consecutive patients with sacral nerve stimulation
for fecal incontinence over a period of 1 year was performed. SETTINGS: This
study was conducted at a specialized pelvic floor unit in a tertiary care center.
PATIENTS: Sixty-one patients were available for the assessment after 1-year
follow-up. MAIN OUTCOME MEASURES: Technical success, procedural time, and
complications were noted. Clinical outcome (including Fecal Incontinence Severity
Index, Fecal Incontinence Quality of Life scale, and Gastrointestinal Quality of
Life Index were collected prospectively before and after treatment. RESULTS: All
procedures were successfully completed under local anesthesia, with a median
total procedural time of 50 minutes (range, 26-72 minutes). All patients were
discharged on the day of their procedure. Postoperative complications occurred in
3 patients (4.9%). At 3 months follow-up, the median Fecal Incontinence Severity
Index score was reduced from 37 to 27 (p = 0.001). Both the Fecal Incontinence
Quality of Life scale and the Gastrointestinal Quality of Life Index had improved
from 63 to 82 (p < 0.001) and 72 to 90 (p = 0.012). At a mean follow-up of 13
months, both the Fecal Incontinence Quality of Life scale and the
Gastrointestinal Quality of Life Index improved further to 90 (p < 0.001) and 94
(p < 0.001). All patients would recommend the procedure under local anesthesia to
other patients. No patients experienced leg pain during follow-up. LIMITATIONS:
This study involved a relatively small group of patients, and patient
satisfaction was only recorded for the last 22 patients. No exact cost
calculations were made. CONCLUSIONS: Permanent sacral nerve stimulation
implantation under local anesthesia has high technical and clinical success
rates. It is safe, well tolerated by patients, and has obvious logistical and
financial benefits.
PMID- 26544817
TI - Pudendal Neuropathy Alone Results in Urge Incontinence Rather Than in Complete
Fecal Incontinence.
AB - BACKGROUND: Conscious external anal sphincter contraction is mediated by the
pudendal nerve. Pudendal neuropathy is, therefore, believed to result in fecal
incontinence. Until urge sensation is experienced, fecal continence is maintained
by unconscious external anal sphincter contraction, which is regulated by the
anal-external sphincter continence reflex. The innervation of unconscious
contraction is yet unknown. OBJECTIVE: We aimed to determine whether unconscious
contraction is mediated by the pudendal nerve and whether age influences
unconscious contraction. DESIGN: This was a retrospective comparative study.
SETTINGS: The study was conducted in a tertiary care center. PATIENTS: Seventy
adult patients experiencing defecation problems who underwent anorectal function
tests were included in this study. MAIN OUTCOME MEASURES: Conscious and
unconscious contractions were compared between patients with and without pudendal
neuropathy. Conscious contraction was defined by maximum anal sphincter
contractility, unconscious contraction by pressure in the anal canal at maximum
tolerable or retainable sensation during the balloon retention test. RESULTS:
Unconscious contraction did not differ significantly between patients with
pudendal neuropathy and non-pudendal neuropathy patients, whereas conscious
contraction was significantly lower in patients with pudendal neuropathy.
Multiple linear regression analyses demonstrated that unconscious contraction, in
contrast to conscious contraction, was not predicted significantly by age and
anal electrosensitivity at 2 cm, which represents pudendal neuropathy. Patients
with pudendal neuropathy were significantly older than patients with nonpudendal
neuropathy. LIMITATIONS: The pudendal nerve motor latency and EMG tests were not
performed. CONCLUSIONS: The pudendal nerve does not mediate unconscious external
anal sphincter contraction. Pudendal neuropathy alone, therefore, results in urge
incontinence rather than in complete fecal incontinence. Unconscious contraction
appears not to be influenced by age. Therefore, most of the elderly patients
experience urge incontinence rather than complete fecal incontinence.
PMID- 26544818
TI - Fecal Incontinence: Community Prevalence and Associated Factors--A Systematic
Review.
AB - BACKGROUND: Fecal incontinence is a chronic and debilitating condition with
significant health burden. Despite its clinical relevance, the prevalence of
fecal incontinence remains inconsistently described. OBJECTIVE: This study aimed
to systematically review the literature regarding the prevalence of and factors
associated with fecal incontinence among community-dwelling adults. DATA SOURCES:
A search of the PubMed, Embase, and Cochrane databases was performed. STUDY
SELECTION: Studies that reported the prevalence of fecal incontinence and/or
associated factors in a community-based (ie, unselected) adult population were
included. Two independent assessors reviewed eligible articles. MAIN OUTCOME
MEASURES: Relevant data were extracted from each study and presented in
descriptive form. The main outcome measures included the prevalence of fecal
incontinence (adjusted and/or unadjusted), stratified for age and sex if
reported; factors associated (and not associated) with fecal incontinence; and
study quality, assessed using predefined criteria. RESULTS: Of 3523 citations
identified, 38 studies were included for review. The reported median prevalence
of fecal incontinence was 7.7% (range, 2.0%-20.7%). Fecal incontinence equally
affected both men (median, 8.1%; range, 2.3%-16.1%) and women (median, 8.9%;
range, 2.0%-20.7%) and increased with age (15-34 years, 5.7%; >90 years, 15.9%).
The study populations and diagnostic criteria used were heterogeneous, precluding
any meaningful pooling of prevalence estimates. Study quality assessment revealed
6 high-quality studies, of which only 3 were performed in a representative
sample. The median prevalence of fecal incontinence was higher in these studies
at 11.2% (range, 8.3%-13.2%). The factors most commonly reported to be associated
with fecal incontinence included increasing age, diarrhea, and urinary
incontinence. LIMITATIONS: Heterogeneity of studies precluded meaningful pooling
or meta-analysis of data. CONCLUSIONS: Fecal incontinence is a prevalent
condition of equal sex distribution, affecting ~1 in 8 community adults, and has
identifiable associated factors. The paucity of high-quality prevalence studies
emphasizes the need for future population-based studies that use standardized
diagnostic criteria for fecal incontinence.
PMID- 26544819
TI - Martius Flap for Repair of Recurrent Rectovaginal Fistulas.
PMID- 26544820
TI - Why the Conventional Parks Transanal Excision for Early Stage Rectal Cancer
Should Be Abandoned.
PMID- 26544821
TI - Should a Surgical Approach for an Antegrade Colonic Enema Procedure Be Abandoned
in Favor of a CT-Guided Percutaneous Placement of an Indwelling Chait Cecostomy
Catheter?
PMID- 26544822
TI - The Authors Reply.
PMID- 26544823
TI - Bio-Thiersch May Have No Benefit Compared With Absorbable Thiersch Suture When
Combined With Perineal Proctectomy.
PMID- 26544824
TI - The Author Replies.
PMID- 26544825
TI - Ethics in Animal Research: Explicit Explanation Is Necessary.
PMID- 26544826
TI - The Authors Reply.
PMID- 26544827
TI - Have We Forgotten the Most Important Tenet of Oncologic Surgery?
PMID- 26544828
TI - The Authors Reply.
PMID- 26544829
TI - Silver Nitrate for Anal Fistulas: A Word of Caution.
PMID- 26544830
TI - The Authors Reply.
PMID- 26544834
TI - Pressure-Stimulated Synthesis and Luminescence Properties of Microcrystalline
(Lu,Y)3Al5O12:Ce3+ Garnet Phosphors.
AB - The Lu2.98Ce0.01Y0.01Al5O12 and Y2.99Ce0.01Al5O12 phosphors were synthesized by
solid state reaction at temperature 1623 K and pressure 1.5 * 10(7) Pa in (95% N2
+ 5% H2) atmosphere. Under the conditions, the compounds crystallize in the form
of isolated euhedral partly faceted microcrystals ~19 MUm in size. The crystal
structures of the Lu2.98Ce0.01Y0.01Al5O12 and Y2.99Ce0.01Al5O12 garnets have been
obtained by Rietveld analysis. The photoluminescence (PL) and X-ray excited
luminescence (XL) spectra obtained at room temperature indicate broad asymmetric
bands with maxima near 519 and 540 nm for Y2.99Ce0.01Al5O12 and
Lu2.98Ce0.01Y0.01Al5O12, respectively. The light source was fabricated using the
powder Lu2.98Ce0.01Y0.01Al5O12 phosphor and commercial blue-emitting n-UV LED
chips (lambda(ex) = 450 nm). It is found that the CIE chromaticity coordinates
are (x = 0.388, y = 0.563) with the warm white light emission correlated color
temperature (CCT) of 6400 K and good luminous efficiency of 110 lm/W.
PMID- 26544835
TI - Violence against women in the Arab world.
PMID- 26544836
TI - Violence against wives: a silent suffering in northern Saudi community.
AB - BACKGROUND: Violence against women is a worldwide epidemic. It may take different
forms depending on history, culture, background, and experiences, but it causes
great suffering for women, their families, and the communities in which they
live. Despite its high prevalence, no previous studies that have been conducted
in Arar, northern area of Kingdom of Saudi Arabia (KSA), addressing this issue
could be traced. OBJECTIVE: The aim of this study was to measure the prevalence
and determinants of violence experienced by ever-married women attending primary
health centers in Arar city, Northern Border, KSA. PARTICIPANTS AND METHODS: This
study is a cross-sectional study conducted during the period from January to June
2014 in Arar city in the Northern Province of the KSA. Data were collected
through an interviewer-administered questionnaire. A total of 208 wives (184
currently married, 16 divorced, and eight widowed) attending five randomly
selected primary healthcare centers in Arar, KSA, were interviewed. Collected
data provided information on both physical and emotional violence. RESULTS: The
study revealed that the overall prevalence of domestic violence in the studied
group was 80.7 and 100.0% for physical and psychological violence, respectively.
On studying the reasons for physical violence, half (50%) of the participants
reported no clear cause, 19.2% reported failure to adequately care for children
(such as cleaning, feeding, and dressing), and 7.8% reported causes related to
poor scholastic achievement and couple conflict about appropriate approaches of
upbringing of children. Suspicion on wife's fidelity was the most common form of
psychological violence (21%). The perpetrator was the husband in 76.9% of cases
and the husband's family was the perpetrator in 3.8% of cases. Physical violence
was significantly higher during the first 10 years of marriage compared with
other durations. University-educated husbands showed significantly lower
percentage of physical violence against women compared with those of other
educational levels. CONCLUSION AND RECOMMENDATIONS: Violence against women was
highly prevalent in Arar city. Inadequate care of children and poor scholastic
achievement were the most common reasons of physical violence, whereas financial
conflicts and suspicion of wife's fidelity were the most common reasons for
psychological violence. We recommend awareness programs aiming at educating
current and future couples, and proper training of healthcare providers for
assisting cases experiencing violence against women.
PMID- 26544837
TI - Sexual harassment against nursing staff in Tanta University Hospitals, Egypt.
AB - BACKGROUND: Sexual harassment against nurses is a major workplace problem causing
adverse psychological effects and may affect the occupational performance of the
nurses. This study aimed to assess the magnitude of this problem, and its
characteristics and consequences among the nursing staff in Tanta University
Hospitals, Gharbeia Governorate, Egypt. PARTICIPANTS AND METHODS: A descriptive
cross-sectional study was carried out on 430 nurses at Tanta University Hospitals
using a semistructured, self-administered questionnaire to collect the data
concerning the exposure and characteristics of harassment situations. A
representative sample of the nurses was taken randomly from the emergency,
medical and surgical departments. RESULTS: Overall, 70.2% of the studied nurses
were ever exposed to sexual harassment at the workplace; 43.7% of the harassed
nurses were working in both day and night shifts. Staring in a suggestive manner
emerged as the most common form of harassment, followed by hearing sexual words
and comments or jokes (70.9, 58.6 and 57.3%, respectively). The relatives of the
patients were the most common perpetrators, followed by the hospital staff other
than the doctors (61.9, 45.4%, respectively). During the harassment situation,
astonishment and shock were the most frequent responses in 65.2% of the harassed
nurses, while after its occurrence 38.4% ignored the situation. About 95% of the
harassed nurses were left with psychological effects, mostly in the form of
disappointment and depression (76.5 and 67.9%, respectively). CONCLUSION AND
RECOMMENDATIONS: The prevalence of sexual harassment among nurses at the
workplace was high with relation to certain occupational factors, and it led to
marked psychological effects on the victims. Hence, protective legislations and
measures should be taken by the hospital management for prevention of this
problem in the future.
PMID- 26544838
TI - Screening for antepartum anxiety and depression and their association with
domestic violence among Egyptian pregnant women.
AB - BACKGROUND: Greater attention has been paid recently to prenatal mental disorders
and their association with exposure to domestic violence (DV) as both have
serious reproductive consequences. OBJECTIVES: The aim of this study was to
screen for anxiety and/or depression among pregnant women, as well as identify
the frequency and association of exposure to DV. PARTICIPANTS AND METHODS: This
cross-sectional study was conducted in 2013 and included a systematic random
sample of 376 pregnant women attending the antenatal care outpatient clinic at
the largest university hospital in Egypt. Participants were interviewed using a
structured questionnaire including three components: sociodemographic
characteristics of the participants, the Hospital Anxiety and Depression Scale
questionnaire (HADS), and the Hurt, Insulted, Threaten, Scream (HITS) inventory
for screening for DV. RESULTS: Women who expressed simultaneous anxiety and
depressive manifestations accounted for 63%, whereas 11.4% and 10.4% of them
expressed only anxiety and only depression, respectively. Exposure to DV was
detected in 30.6% of all participants, of whom 25.2% were physically hit by their
husbands often to most of the time. Simultaneous anxiety and depression was
independently associated with lifetime exposure to DV (odds ratio=3.27, 95%
confidence interval: 1.28-8.34, P=0.013), whereas having a university-graduated
husband was a protective factor from DV (odds ratio=0.22, 95% confidence
interval: 0.64-0.75, P=0.01). CONCLUSION AND RECOMMENDATIONS: Symptoms of anxiety
and depression were highly reported among this sample of pregnant Egyptian women
and were significantly associated with exposure to intimate partner violence.
Screening of pregnant women for mental disorders associated with exposure to DV
with provision of supportive mental health services, as well as interventions to
reduce exposure to DV, should be considered for integration into antenatal care
services.
PMID- 26544839
TI - Knowledge, attitudes and practices of adolescents in Upper Egypt on gender-based
violence, with a focus on early girls' marriage.
AB - BACKGROUND: A large proportion of the female population all over the world,
particularly in developing countries, experience some form of gender-based
violence (GBV) during their life. Early marriage, a form of GBV, is particularly
highly prevalent in rural Upper Egypt. OBJECTIVES: The aim of the current study
was to assess the knowledge, attitudes and practices (KAP) of adolescents in
Upper Egypt on domestic GBV, with a focus on early girls' marriage. PARTICIPANTS
AND METHODS: The study was a cross-sectional descriptive household survey
targeting 400 randomly selected adolescent boys and girls aged 11-16 years from
five villages of Minya Governorate in Upper Egypt. RESULTS: The proportion of
interviewed adolescents who could identify certain practices as forms of GBV was
relatively low: the identified practices were mainly deprivation of work (9.0%),
deprivation of inheritance (3.3%), arbitrary neglect and desertion (2.8%), and
preventing from visiting relatives (0.5%). Abusive sexual behavior was not
identified by any of the study participants as a form of domestic GBV. A total of
112 boys (56.0%) reported that they have been perpetrators in domestic GBV events
at least once and 118 girls (59.0%) reported that they have been actual victims
of domestic GBV. An overall 65.6% of study participants could correctly identify
the legal age of marriage as 18 years, yet only 22.0% identified earlier ages of
marriage as a form of domestic GBV. The vast majority of girls and boys reported
that they would not agree to get married before the age of 18 years (91.0 and
87.0%, respectively). CONCLUSION AND RECOMMENDATIONS: Adolescents in Upper Egypt
demonstrated a less than satisfactory knowledge about the forms of GBV. Although
early girls' marriage was not universally recognized by adolescents as a form of
domestic GBV, they demonstrated satisfactory knowledge about the legal age of
marriage, as well as a tendency to abandon the practice. Establishing a community
based awareness program for adolescents of both sexes about GBV with a focus on
early girls' marriage is highly recommended.
PMID- 26544840
TI - Critical thinking and attitude of physicians toward evidence-based medicine in
Alexandria, Egypt.
AB - BACKGROUND: Evidence-based practice is important for developing countries and is
expected to thrive in a questioning culture. Experienced physicians differ in the
making of clinical judgements, which are often not based on evidence. Although
this topic is of paramount importance to the quality of care provided in the
university hospitals in Alexandria, little research has been done about attitudes
towards evidence-based medicine (EBM), and the extent of physicians' skills to
access and interpret evidence. OBJECTIVE: This study aimed to investigate the
relation between the attitude towards EBM and the indicators for questioning mind
and critical appraisal skills among physicians in Alexandria, Egypt. PARTICIPANTS
AND METHODS: In a cross-sectional study, physicians (N=549) were randomly
selected from different clinical departments in three of the university hospitals
in Alexandria, Egypt using the stratified proportionate random sampling
technique. A self-administrated questionnaire modified from the questionnaire
used by McColl and colleagues was used. RESULTS: A high percentage of physicians
(83%) had positive attitude towards EBM. Feeling knowledge gap every day was
reported by 34.2% of the physicians while 55.6% felt knowledge gap less
frequently. The percentage of physicians who understood the meaning of different
measures used to assess the importance of results and quality of evidence in meta
analysis studies ranged from 10.8 to 24.2%. Higher frequency of feeling knowledge
gap in clinical practice and the ability to correctly answer different questions
reflecting critical reading skills were all significantly associated with
positive attitude towards EBM (P<0.05). A significant association (P<0.05) was
also found between the frequency of feeling knowledge gap and the ability to
answer questions related to critical reading of literature. CONCLUSION AND
RECOMMENDATIONS: This study has identified a significant relation between
critical thinking skills and having a positive attitude towards EBM among
physicians in the university hospitals in Alexandria. The study supported the
hypothesis that strategies that encouraging critical thinking in medical
education could improve the attitude of physicians towards EBM. Adopting teaching
methods that encourage critical thinking in medical education as well as
including the concepts and principals of critical appraisal of scientific
research in the syllabus of both undergraduate and postgraduate medical students
are recommended.
PMID- 26544841
TI - Rotavirus G and P types in children with acute diarrhea in Cairo, Egypt, 2011
2012.
AB - BACKGROUND: The WHO estimated the annual rotavirus-related mortality among
children below 5 years old in Egypt in 2004 to be 30 deaths per 100 000, or out
of an estimated 2616 deaths, 3.9% were because of rotavirus infection. The aim of
this article was to study the epidemiology and circulating genotypes of
rotaviruses in Cairo from 2011 to 2012. MATERIALS AND METHODS: A total of 197
stool samples were collected from 130 inpatient children at the Cairo University
Children Hospital and 67 outpatient children at the Al-Saff Children Clinic. The
collected stool samples were then screened for rotavirus using enzyme
immunoassay, followed by a screening for G-type and P-type using RT-PCR, and
confirmation by sequence analysis. RESULTS: Rotavirus was detected in 39.1%
(77/197) of the children, with a higher rate in inpatients (43.9%, 57/130) than
in outpatients (29.9%, 20/67). There was an increase of rotavirus infection in
the winter season. The majority of rotavirus cases (85.7%) occurred during the
first year of life. The predominant genotypes identified during this study were
G3P[8] (37.7%) and G1P[8] (19.5%), but uncommon genotypes G1P[6] (3.9%), G9P[6]
(1.3%), G8P[14] (1.3%), and G12P[6] (2.6%) were also detected. CONCLUSION AND
RECOMMENDATIONS: The change in genotype distribution, compared with previous
studies, along with the high burden of rotavirus-associated diarrhea among
Egyptian children below 2 years old, emphasizes the importance of continuing
strain surveillance and the need of developing and introducing rotavirus vaccine
in Egypt.
PMID- 26544842
TI - Hypertension in the adult Omani population: predictors for unawareness and
uncontrolled hypertension.
AB - BACKGROUND: Hypertension is a significant predictor for cardiovascular diseases
and is the most important preventable or modifiable cause of morbidity and
mortality from these diseases. Undiagnosed cases of hypertension and poor control
are important factors in controlling hypertension worldwide, including Oman. AIM
OF THE STUDY: The aim of this study was to identify the important risk factors
and predictors of the state of unawareness of the presence of hypertension, as
well as the risk factors for poor control of blood pressure, among hypertensive
patients. MATERIALS AND METHODS: Data from Oman World Health Survey (OWHS), 2008,
were used in this study. The OWHS adopted a multistage stratified cluster
sampling to select study participants. An interview questionnaire was used to
collect data related to risk factors. Other parameters included blood pressure,
anthropometric and biochemical measurements. RESULTS: The prevalence of
hypertension in Oman was estimated to be 41.5%. Of those who were hypertensive,
three-quarters of them (75.7%) were unaware of being hypertensive and 65.5% of
them had inadequately controlled hypertension. Male sex, higher wealth, and
paying fewer visits to health facilities were found associated with high
proportions of unawareness and high proportion of uncontrolled hypertension.
CONCLUSION AND RECOMMENDATIONS: The study highlights high levels of unawareness
of being hypertensive and high proportion of uncontrolled hypertension in Oman
that need to be considered when developing health policies and strategies.
Intersectorial collaborative and innovative strategies that focus on improving
awareness, detection, and control of hypertension should be considered,
especially with attention to young adults and men.
PMID- 26544843
TI - Genotype-phenotype relationship among Egyptian children with Rett syndrome.
AB - BACKGROUND: Rett syndrome (RTT) is an X-linked dominant neurodegenerative
disorder with various MECP2 mutations. RTT is one of the most common causes of
severe intellectual and complex disability in girls. Therefore, the aims of the
study were as follows: to highlight the clinical manifestations of RTT; to
present the genotype-phenotype relationship; and to assess the possible relation
between severity score, clinical manifestations, and MECP2 gene mutations.
PATIENTS AND METHODS: The present cross-sectional study included 15 girls with
typical RTT, diagnosed according to the international criteria of RTT. All
included patients were followed up at the pediatric neurology clinic, Cairo
University Specialized Pediatric Hospital. They were subjected to screening of
the entire coding region of the MECP2 gene (MECP2A and MECP2B) using denaturing
high-performance liquid chromatography. The clinical severity was assessed among
RTT cases using the International Scoring System. RESULTS: Stereotypic hand
movements were present in all cases, acquired microcephaly was present in 73.3%
of cases, autistic features in 66.7% of cases, recurrent seizures in 53.3% of
cases, delayed language development in 46.6% of cases, deterioration of speech in
53.3% of cases, and growth retardation and peripheral vasomotor changes in 46.6%
of cases. Positive mutations were detected in 10 cases (66.66%): heterozygous for
p.R270X mutation (three cases), heterozygous for p.R255X mutation (three cases),
and heterozygous for p.R168X nonsense mutation (four cases). Microcephaly,
seizures, growth retardation, and autistic features were more frequent in
patients with a mutated gene; it was also observed that walking ability was more
frequent in patients without a mutation.; thus, genotype-phenotype relationship
was confirmed. The relationship between severity score and MECP2 mutation was
detected in three cases with severe RTT, but there was no relationship between
the severity score and specific MECP2 mutation. There was a relationship between
the severity score and the clinical manifestations of RTT. CONCLUSION: Mutations
of MECP2 analysis were detected in 66.7% of RTT cases. There were relationships
between the severity score, clinical manifestations, and MECP2 gene mutations.
However, there was no relationship between the severity score and specific MECP2
gene mutation.
PMID- 26544844
TI - Improved health markers among fasting diabetes patients during Ramadan--an
educational role for pharmacists.
PMID- 26544845
TI - Insect Biometrics: Optoacoustic Signal Processing and Its Applications to Remote
Monitoring of McPhail Type Traps.
AB - Monitoring traps are important components of integrated pest management applied
against important fruit fly pests, including Bactrocera oleae (Gmelin) and
Ceratitis capitata (Widemann), Diptera of the Tephritidae family, which effect a
crop-loss/per year calculated in billions of euros worldwide. Pests can be
controlled with ground pesticide sprays, the efficiency of which depends on
knowing the time, location and extent of infestations as early as possible. Trap
inspection is currently carried out manually, using the McPhail trap, and the
mass spraying is decided based on a decision protocol. We introduce the term
'insect biometrics' in the context of entomology as a measure of a characteristic
of the insect (in our case, the spectrum of its wingbeat) that allows us to
identify its species and make devices to help face old enemies with modern means.
We modify a McPhail type trap into becoming electronic by installing an array of
photoreceptors coupled to an infrared emitter, guarding the entrance of the trap.
The beating wings of insects flying in the trap intercept the light and the light
fluctuation is turned to a recording. Custom-made electronics are developed that
are placed as an external add-on kit, without altering the internal space of the
trap. Counts from the trap are transmitted using a mobile communication network.
This trap introduces a new automated remote-monitoring method different to audio
and vision-based systems. We evaluate our trap in large number of insects in the
laboratory by enclosing the electronic trap in insectary cages. Our experiments
assess the potential of delivering reliable data that can be used to initialize
reliably the spraying process at large scales but to also monitor the impact of
the spraying process as it eliminates the time-lag between acquiring and
delivering insect counts to a central agency.
PMID- 26544846
TI - Anthocyanin Extracted from Black Soybean Seed Coats Prevents Autoimmune Arthritis
by Suppressing the Development of Th17 Cells and Synthesis of Proinflammatory
Cytokines by Such Cells, via Inhibition of NF-kappaB.
AB - INTRODUCTION: Oxidative stress plays a role in the pathogenesis of rheumatoid
arthritis (RA). Anthocyanin is a plant antioxidant. We investigated the
therapeutic effects of anthocyanin extracted from black soybean seed coats (AEBS)
in a murine model of collagen-induced arthritis (CIA) and human peripheral blood
mononuclear cells (PBMCs) and explored possible mechanisms by which AEBS might
exert anti-arthritic effects. MATERIAL AND METHODS: CIA was induced in DBA/1J
mice. Cytokine levels were measured via enzyme-linked immunosorbent assays.
Joints were assessed in terms of arthritis incidence, clinical arthritis scores,
and histological features. The extent of oxidative stress in affected joints was
determined by measuring the levels of nitrotyrosine and inducible nitric oxide
synthase. NF-kappaB activity was assayed by measuring the ratio of phosphorylated
IkappaB to total IkappaB via Western blotting. Th17 cells were stained with
antibodies against CD4, IL-17, and STAT3. Osteoclast formation was assessed via
TRAP staining and measurement of osteoclast-specific mRNA levels. RESULTS: In the
CIA model, AEBS decreased the incidence of arthritis, histological inflammation,
cartilage scores, and oxidative stress. AEBS reduced the levels of
proinflammatory cytokines in affected joints of CIA mice and suppressed NF-kappaB
signaling. AEBS decreased Th17 cell numbers in spleen of CIA mice. Additionally,
AEBS repressed differentiation of Th17 cells and expression of Th17-associated
genes in vitro, in both splenocytes of naive DBA/1J mice and human PBMCs. In
vitro, the numbers of both human and mouse tartrate-resistant acid phosphatase+
(TRAP) multinucleated cells fell, in a dose-dependent manner, upon addition of
AEBS. CONCLUSIONS: The anti-arthritic effects of AEBS were associated with
decreases in Th17 cell numbers, and the levels of proinflammatory cytokines
synthesized by such cells, mediated via suppression of NF-kappaB signaling.
Additionally, AEBS suppressed osteoclastogenesis and reduced oxidative stress
levels.
PMID- 26544847
TI - Regulated CRISPR Modules Exploit a Dual Defense Strategy of Restriction and
Abortive Infection in a Model of Prokaryote-Phage Coevolution.
AB - CRISPRs offer adaptive immunity in prokaryotes by acquiring genomic fragments
from infecting phage and subsequently exploiting them for phage restriction via
an RNAi-like mechanism. Here, we develop and analyze a dynamical model of CRISPR
mediated prokaryote-phage coevolution that incorporates classical CRISPR kinetics
along with the recently discovered infection-induced activation and autoimmunity
side effects. Our analyses reveal two striking characteristics of the CRISPR
defense strategy: that both restriction and abortive infections operate during
coevolution with phages, driving phages to much lower densities than possible
with restriction alone, and that CRISPR maintenance is determined by a key
dimensionless combination of parameters, which upper bounds the activation level
of CRISPRs in uninfected populations. We contrast these qualitative observations
with experimental data on CRISPR kinetics, which offer insight into the spacer
deletion mechanism and the observed low CRISPR prevalence in clinical isolates.
More generally, we exploit numerical simulations to delineate four regimes of
CRISPR dynamics in terms of its host, kinetic, and regulatory parameters.
PMID- 26544848
TI - Physical Fitness Percentiles of German Children Aged 9-12 Years: Findings from a
Longitudinal Study.
AB - BACKGROUND: Generating percentile values is helpful for the identification of
children with specific fitness characteristics (i.e., low or high fitness level)
to set appropriate fitness goals (i.e., fitness/health promotion and/or long-term
youth athlete development). Thus, the aim of this longitudinal study was to
assess physical fitness development in healthy children aged 9-12 years and to
compute sex- and age-specific percentile values. METHODS: Two-hundred and forty
children (88 girls, 152 boys) participated in this study and were tested for
their physical fitness. Physical fitness was assessed using the 50-m sprint test
(i.e., speed), the 1-kg ball push test, the triple hop test (i.e., upper- and
lower- extremity muscular power), the stand-and-reach test (i.e., flexibility),
the star run test (i.e., agility), and the 9-min run test (i.e., endurance). Age-
and sex-specific percentile values (i.e., P10 to P90) were generated using the
Lambda, Mu, and Sigma method. Adjusted (for change in body weight, height, and
baseline performance) age- and sex-differences as well as the interactions
thereof were expressed by calculating effect sizes (Cohen's d). RESULTS:
Significant main effects of Age were detected for all physical fitness tests (d =
0.40-1.34), whereas significant main effects of Sex were found for upper
extremity muscular power (d = 0.55), flexibility (d = 0.81), agility (d = 0.44),
and endurance (d = 0.32) only. Further, significant Sex by Age interactions were
observed for upper-extremity muscular power (d = 0.36), flexibility (d = 0.61),
and agility (d = 0.27) in favor of girls. Both, linear and curvilinear shaped
curves were found for percentile values across the fitness tests. Accelerated
(curvilinear) improvements were observed for upper-extremity muscular power
(boys: 10-11 yrs; girls: 9-11 yrs), agility (boys: 9-10 yrs; girls: 9-11 yrs),
and endurance (boys: 9-10 yrs; girls: 9-10 yrs). Tabulated percentiles for the 9
min run test indicated that running distances between 1,407-1,507 m, 1,479-1,597
m, 1,423-1,654 m, and 1,433-1,666 m in 9- to 12-year-old boys and 1,262-1,362 m,
1,329-1,434 m, 1,392-1,501 m, and 1,415-1,526 m in 9- to 12-year-old girls
correspond to a "medium" fitness level (i.e., P40 to P60) in this population.
CONCLUSIONS: The observed differences in physical fitness development between
boys and girls illustrate that age- and sex-specific maturational processes might
have an impact on the fitness status of healthy children. Our statistical
analyses revealed linear (e.g., lower-extremity muscular power) and curvilinear
(e.g., agility) models of fitness improvement with age which is indicative of
timed and capacity-specific fitness development pattern during childhood. Lastly,
the provided age- and sex-specific percentile values can be used by coaches for
talent identification and by teachers for rating/grading of children's motor
performance.
PMID- 26544850
TI - High-throughput platforms for metabolomics.
AB - Mass spectrometry has become a choice method for broad-spectrum metabolite
analysis in both fundamental and applied research. This can range from
comprehensive analysis achieved through time-consuming chromatography to the
rapid analysis of a few target metabolites without chromatography. In this review
article, we highlight current high-throughput MS-based platforms and their
potential application in metabolomics. Although current MS platforms can reach
throughputs up to 0.5 seconds per sample, the metabolite coverage of these
platforms are low compared to low-throughput, separation-based MS methods. High
throughput comes at a cost, as it's a trade-off between sample throughput and
metabolite coverage. As we will discuss, promising emerging technologies,
including microfluidics and miniaturization of separation techniques, have the
potential to achieve both rapid and more comprehensive metabolite analysis.
PMID- 26544849
TI - The Pectin Methylesterase Gene Complement of Phytophthora sojae: Structural and
Functional Analyses, and the Evolutionary Relationships with Its Oomycete
Homologs.
AB - Phytophthora sojae is an oomycete pathogen that causes the disease known as root
and stem rot in soybean plants, frequently leading to massive economic damage.
Additionally, P. sojae is increasingly being utilized as a model for
phytopathogenic oomycete research. Despite the economic and scientific importance
of P. sojae, the mechanism by which it penetrates the host roots is not yet fully
understood. It has been found that oomycetes are not capable of penetrating the
cell wall solely through mechanical force, suggesting that alternative factors
facilitate breakdown of the host cell wall. Pectin methylesterases have been
suggested to be important for Phytophthora pathogenicity, but no data exist on
their role in the P. sojae infection process. We have scanned the newly revised
version of the annotated P. sojae genome for the presence of putative pectin
methylesterases genes and conducted a sequence analysis of all gene models found.
We also searched for potential regulatory motifs in the promoter region of the
proposed P. sojae models, and investigated the gene expression levels throughout
the early course of infection on soybean plants. We found that P. sojae contains
a large repertoire of pectin methylesterase-coding genes and that most of these
genes display similar motifs in the promoter region, indicating the possibility
of a shared regulatory mechanism. Phylogenetic analyses confirmed the
evolutionary relatedness of the pectin methylesterase-coding genes within and
across Phytophthora spp. In addition, the gene duplication events that led to the
emergence of this gene family appear to have occurred prior to many speciation
events in the genus Phytophthora. Our results also indicate that the highest
levels of expression occurred in the first 24 hours post inoculation, with
expression falling after this time. Our study provides evidence that pectin
methylesterases may be important for the early action of the P. sojae infection
process.
PMID- 26544851
TI - Two Polyhydroxyalkanoate Synthases from Distinct Classes from the Aromatic
Degrader Cupriavidus pinatubonensis JMP134 Exhibit the Same Substrate Preference.
AB - Cupriavidus pinatubonensis JMP134 utilizes a variety of aromatic substrates as
sole carbon sources, including meta-nitrophenol (MNP). Two polyhydroxyalkanoate
(PHA) synthase genes, phaC1 and phaC2, were annotated and categorized as class I
and class II PHA synthase genes, respectively. In this study, both His-tagged
purified PhaC1 and PhaC2 were shown to exhibit typical class I PHA synthase
substrate specificity to make short-chain-length (SCL) PHA from 3-hydroxybutyryl
CoA and failed to make medium-chain-length (MCL) PHA from 3-hydroxyoctanoyl-CoA.
The phaC1 or phaC2 deletion strain could also produce SCL PHA when grown in
fructose or octanoate, but the double mutant of phaC1 and phaC2 lost this
ability. The PhaC2 also exhibited substrate preference towards SCL substrates
when expressed in Pseudomonas aeruginosa PAO1 phaC mutant strain. On the other
hand, the transcriptional level of phaC1 was 70-fold higher than that of phaC2 in
MNP-grown cells, but 240-fold lower in octanoate-grown cells. Further study
demonstrated that only phaC1 was involved in PHA synthesis in MNP-grown cells.
These findings suggested that phaC1 and phaC2 genes were differentially regulated
under different growth conditions in this strain. Within the phaC2-containing
gene cluster, a single copy of PHA synthase gene was present clustering with
genes encoding enzymes in the biosynthesis of PHA precursors. This is markedly
different from the genetic organization of all other previously reported class II
PHA synthase gene clusters and this cluster likely comes from a distinct
evolutionary path.
PMID- 26544854
TI - Radial Extracorporeal Shock Wave Therapy Is Not More Effective Than Placebo in
the Management of Lateral Epicondylitis: A Double-Blind, Randomized, Placebo
Controlled Trial.
AB - OBJECTIVES: The aim of this study was to investigate the effects of radial
extracorporeal shock wave therapy (rESWT) on pain, function, and grip strength in
the treatment of patients with lateral epicondylitis unresponsive to previous
treatments. DESIGN: A double-blind, randomized, placebo-controlled trial was
conducted in outpatient clinics in a medical faculty hospital. Fifty-six patients
with lateral epicondylitis were randomized to rESWT (n = 28) or sham rESWT (n =
28) groups. Both the patients and the outcome assessing investigator were blinded
to group assignment. The rESWT was administered to the painful epicondyle at the
elbow with a total of 2000 pulses of 10 Hz frequency at a 1.8 bar of air pressure
at each session at three once weekly sessions. Sham rESWT was applied without the
contact of the applicator at the same area. Study patients were assessed at
baseline and at 1 and 3 mos after treatment using a visual analog scale for pain
and Roles and Maudsley scale and Patient-Rated Tennis Elbow Evaluation for pain
and function. Grip strength of the affected extremity was also measured using a
hand dynamometer. RESULTS: Both rESWT and sham rESWT groups showed a significant
improvement in all outcome measures at posttreatment follow-up points. Favorable
absolute and percentage changes in assessments at 1- and 3-mo posttreatment did
not show any significant difference between groups. CONCLUSIONS: The rESWT does
not seem to be more effective either in reducing pain or improving function or
grip strength in patients with lateral epicondylitis at least at 3 mos after
treatment when compared with sham rESWT.
PMID- 26544852
TI - Proteomic Analysis of Urine to Identify Breast Cancer Biomarker Candidates Using
a Label-Free LC-MS/MS Approach.
AB - INTRODUCTION: Breast cancer is a complex heterogeneous disease and is a leading
cause of death in women. Early diagnosis and monitoring progression of breast
cancer are important for improving prognosis. The aim of this study was to
identify protein biomarkers in urine for early screening detection and monitoring
invasive breast cancer progression. METHOD: We performed a comparative proteomic
analysis using ion count relative quantification label free LC-MS/MS analysis of
urine from breast cancer patients (n = 20) and healthy control women (n = 20).
RESULTS: Unbiased label free LC-MS/MS-based proteomics was used to provide a
profile of abundant proteins in the biological system of breast cancer patients.
Data analysis revealed 59 urinary proteins that were significantly different in
breast cancer patients compared to the normal control subjects (p<0.05, fold
change >3). Thirty-six urinary proteins were exclusively found in specific breast
cancer stages, with 24 increasing and 12 decreasing in their abundance. Amongst
the 59 significant urinary proteins identified, a list of 13 novel up-regulated
proteins were revealed that may be used to detect breast cancer. These include
stage specific markers associated with pre-invasive breast cancer in the ductal
carcinoma in-situ (DCIS) samples (Leucine LRC36, MAST4 and Uncharacterized
protein CI131), early invasive breast cancer (DYH8, HBA, PEPA, uncharacterized
protein C4orf14 (CD014), filaggrin and MMRN2) and metastatic breast cancer
(AGRIN, NEGR1, FIBA and Keratin KIC10). Preliminary validation of 3 potential
markers (ECM1, MAST4 and filaggrin) identified was performed in breast cancer
cell lines by Western blotting. One potential marker MAST4 was further validated
in human breast cancer tissues as well as individual human breast cancer urine
samples with immunohistochemistry and Western blotting, respectively.
CONCLUSIONS: Our results indicate that urine is a useful non-invasive source of
biomarkers and the profile patterns (biomarkers) identified, have potential for
clinical use in the detection of BC. Validation with a larger independent cohort
of patients is required in the following study.
PMID- 26544853
TI - A Phase I Randomized Therapeutic MVA-B Vaccination Improves the Magnitude and
Quality of the T Cell Immune Responses in HIV-1-Infected Subjects on HAART.
AB - TRIAL DESIGN: Previous studies suggested that poxvirus-based vaccines might be
instrumental in the therapeutic HIV field. A phase I clinical trial was conducted
in HIV-1-infected patients on highly active antiretroviral therapy (HAART), with
CD4 T cell counts above 450 cells/mm3 and undetectable viremia. Thirty
participants were randomized (2:1) to receive either 3 intramuscular injections
of MVA-B vaccine (coding for clade B HIV-1 Env, Gag, Pol and Nef antigens) or
placebo, followed by interruption of HAART. METHODS: The magnitude, breadth,
quality and phenotype of the HIV-1-specific T cell response were assayed by
intracellular cytokine staining (ICS) in 22 volunteers pre- and post-vaccination.
RESULTS: MVA-B vaccine induced newly detected HIV-1-specific CD4 T cell responses
and expanded pre-existing responses (mostly against Gag, Pol and Nef antigens)
that were high in magnitude, broadly directed and showed an enhanced
polyfunctionality with a T effector memory (TEM) phenotype, while maintaining the
magnitude and quality of the pre-existing HIV-1-specific CD8 T cell responses. In
addition, vaccination also triggered preferential CD8+ T cell polyfunctional
responses to the MVA vector antigens that increase in magnitude after two and
three booster doses. CONCLUSION: MVA-B vaccination represents a feasible strategy
to improve T cell responses in individuals with pre-existing HIV-1-specific
immunity. TRIAL REGISTRATION: ClinicalTrials.gov NCT01571466.
PMID- 26544855
TI - Injury of the Thalamocingulate Tract in the Papez Circuit in Patients with Mild
Traumatic Brain Injury.
AB - The thalamocingulate tract between the anterior thalamic nuclei and the cingulate
gyrus is a part of the Papez circuit. Using diffusion tensor tractography, injury
of the thalamocingulate tract was investigated in patients with mild traumatic
brain injury. Two patients (patient 1: a 58-yr-old woman and patient 2: a 49-yr
old man) with head trauma resulting from a car accident were enrolled. They were
classified as mild traumatic brain injury and no specific lesion was observed on
brain magnetic resonance imaging. These patients complained of memory impairment
after head trauma. The entire Papez circuits, including thalamocingulate tract,
fornix, mammillothalamic tract, and cingulum, were reconstructed in both
hemispheres except for the left thalamocingulate tract: patient 1, it was thinner
and discontinued compared with the right thalamocingulate tract; and patient 2,
it was not reconstructed. The injury of the left thalamocingulate tract appeared
to be related to the memory impairment in these patients.
PMID- 26544856
TI - Using Functional Status in the Acute Hospital to Predict Discharge Destination
for Stroke Patients.
AB - OBJECTIVE: The aim of this study was to determine whether functional status, as
measured by the AcuteFIM instrument, can be used to predict discharge destination
of stroke patients from the acute hospital setting. DESIGN: A retrospective
cohort study was carried out in an urban academic medical center. Data were
collected on 481 new-onset stroke patients 18 yrs or older in an acute hospital
between January 1 and September 30, 2013. Functional Independence Measure (FIM)
instrument data were linked to a subset of 54 patients who received additional
services at an inpatient rehabilitation facility. A receiver operator
characteristic curve was constructed to validate the predictive ability of the
AcuteFIM instrument and to determine the optimal cutoff score associated with
discharge to a community setting. RESULTS: All AcuteFIM items in stroke patients
at admission demonstrated strong interitem correlation coefficients (all above
0.6) and high internal consistency (Cronbach alpha = 0.94). The AcuteFIM total
score was positively associated with discharge to the community from the acute
hospital (odds ratio, 1.06; 95% confidence interval, 1.05-1.07). Receiver
operator characteristic curve analysis generated a c statistic of 0.89 (95%
confidence interval, 0.87-0.92), indicating that the AcuteFIM instrument is
predictive of patient discharge to the community setting. CONCLUSION: This study
suggests that the AcuteFIM instrument is a reliable tool that can be used to
predict discharge destination from the acute hospital among stroke patients.
PMID- 26544857
TI - Effect of Aerobic Exercise Interventions on Mobility among Stroke Patients: A
Systematic Review.
AB - OBJECTIVE: The purpose of this systematic review was to examine studies that
examined the effectiveness of aerobic exercise interventions on mobility in long
term stroke survivors. DESIGN: The authors searched electronic databases for
randomized control trials between January 1995 and December 2014 investigating
aerobic exercise interventions and mobility in stroke survivors after the
subacute phase (>6 mos). Mobility was measured using objective functional fitness
tests: 6-minute walk, 10-meter walk, and up-n-go. RESULTS: Nine randomized
control trials that compared aerobic exercise with a control group among stroke
survivors (mean age, 56.95-68 yrs) were identified. Aerobic interventions lasted
between 2 and 6 mos and primarily involved walking. Using the Comprehensive Meta
analysis software, it was found that two of the three mobility outcomes showed
small to moderate effect sizes favoring the aerobic exercise group: 6-minute walk
(g = 0.366, P < 0.001) and 10-meter walk (g = 0.411, P = 0.002), while the up-n
go test was not significant (g = -0.150, P = 0.330). CONCLUSION: These findings
demonstrate that stroke survivors may continue to benefit from aerobic exercise
after the subacute phase. Future research needs to examine the precise dose and
recommendation for aerobic exercise, test other exercise modalities, and use
larger samples to thoroughly determine long-term exercise effects on mobility in
this population.
PMID- 26544858
TI - Noninvasive PCO2 Monitoring During Sleep for Patients with Neuromuscular Disease.
PMID- 26544859
TI - Effects of Virtual Walking Treatment on Spinal Cord Injury-Related Neuropathic
Pain: Pilot Results and Trends Related to Location of Pain and at-level Neuronal
Hypersensitivity.
AB - Previous studies have shown that virtual walking to treat spinal cord injury
related neuropathic pain (SCI-NP) can be beneficial, although the type of SCI-NP
that may benefit the most is unclear. This study's aims were to (1) determine the
effect of location of SCI-NP on pain outcomes after virtual walking treatment and
(2) examine the potential relationship between neuronal hyperexcitability, as
measured by quantitative sensory testing, and pain reduction after virtual
walking treatment. Participants were recruited from a larger ongoing trial
examining the benefits of virtual walking in SCI-NP. Neuropathic pain was
classified according to location of pain (at- or below-level). In addition,
quantitative sensory testing was performed on a subset of individuals at a
nonpainful area corresponding to the level of their injury before virtual walking
treatment and was used to characterize treatment response. These pilot results
suggest that when considered as a group, SCI-NP was responsive to treatment
irrespective of the location of pain (F1, 44 = 4.82, P = 0.03), with a trend for
the greatest reduction occurring in at-level SCI-NP (F1, 44 = 3.18, P = 0.08).
These pilot results also potentially implicate cold, innocuous cool, and pressure
hypersensitivity at the level of injury in attenuating the benefits of virtual
walking to below-level pain, suggesting certain SCI-NP sensory profiles may be
less responsive to virtual walking.
PMID- 26544860
TI - Deciphering Transcriptional Dynamics In Vivo by Counting Nascent RNA Molecules.
AB - Deciphering how the regulatory DNA sequence of a gene dictates its expression in
response to intra and extracellular cues is one of the leading challenges in
modern genomics. The development of novel single-cell sequencing and imaging
techniques, as well as a better exploitation of currently available single
molecule imaging techniques, provides an avenue to interrogate the process of
transcription and its dynamics in cells by quantifying the number of RNA
polymerases engaged in the transcription of a gene (or equivalently the number of
nascent RNAs) at a given moment in time. In this paper, we propose that
measurements of the cell-to-cell variability in the number of nascent RNAs
provide a mostly unexplored method for deciphering mechanisms of transcription
initiation in cells. We propose a simple kinetic model of transcription
initiation and elongation from which we calculate nascent RNA copy-number
fluctuations. To demonstrate the usefulness of this approach, we test our theory
against published nascent RNA data for twelve constitutively expressed yeast
genes. Rather than transcription being initiated through a single rate limiting
step, as it had been previously proposed, our single-cell analysis reveals the
presence of at least two rate limiting steps. Surprisingly, half of the genes
analyzed have nearly identical rates of transcription initiation, suggesting a
common mechanism. Our analytical framework can be used to extract quantitative
information about dynamics of transcription from single-cell sequencing data, as
well as from single-molecule imaging and electron micrographs of fixed cells, and
provides the mathematical means to exploit the quantitative power of these
technologies.
PMID- 26544861
TI - Pretreatment with Resveratrol Prevents Neuronal Injury and Cognitive Deficits
Induced by Perinatal Hypoxia-Ischemia in Rats.
AB - Despite advances in neonatal care, hypoxic-ischemic brain injury is still a
serious clinical problem, which is responsible for many cases of perinatal
mortality, cerebral palsy, motor impairment and cognitive deficits. Resveratrol,
a natural polyphenol with important anti-oxidant and anti-inflammatory
properties, is present in grapevines, peanuts and pomegranates. The aim of the
present work was to evaluate the possible neuroprotective effect of resveratrol
when administered before or immediately after a hypoxic-ischemic brain event in
neonatal rats by analyzing brain damage, the mitochondrial status and long-term
cognitive impairment. Our results indicate that pretreatment with resveratrol
protects against brain damage, reducing infarct volume, preserving myelination
and minimizing the astroglial reactive response. Moreover its neuroprotective
effect was found to be long lasting, as behavioral outcomes were significantly
improved at adulthood. We speculate that one of the mechanisms for this
neuroprotection may be related to the maintenance of the mitochondrial inner
membrane integrity and potential, and to the reduction of reactive oxygen
species. Curiously, none of these protective features was observed when
resveratrol was administered immediately after hypoxia-ischemia.
PMID- 26544862
TI - Fetal Sex Modulates Developmental Response to Maternal Malnutrition.
AB - The incidence of obesity and metabolic diseases is dramatically high in rapidly
developing countries. Causes have been related to intrinsic ethnic features with
development of a thrifty genotype for adapting to food scarcity, prenatal
programming by undernutrition, and postnatal exposure to obesogenic lifestyle.
Observational studies in humans and experimental studies in animal models
evidence that the adaptive responses of the offspring may be modulated by their
sex. In the contemporary context of world globalization, the new question arising
is the existence and extent of sex-related differences in developmental and
metabolic traits in case of mixed-race. Hence, in the current study, using a
swine model, we compared male and female fetuses that were crossbred from mothers
with thrifty genotype and fathers without thrifty genotype. Female conceptuses
evidence stronger protective strategies for their adequate growth and postnatal
survival. In brief, both male and female fetuses developed a brain-sparing effect
but female fetuses were still able to maintain the development of other viscerae
than the brain (mainly liver, intestine and kidneys) at the expense of carcass
development. Furthermore, these morphometric differences were reinforced by
differences in nutrient availability (glucose and cholesterol) favoring female
fetuses with severe developmental predicament. These findings set the basis for
further studies aiming to increase the knowledge on the interaction between
genetic and environmental factors in the determination of adult phenotype.
PMID- 26544863
TI - Experimental and Mathematical-Modeling Characterization of Trypanosoma cruzi
Epimastigote Motility.
AB - The present work is aimed at characterizing the motility of parasite T. cruzi in
its epimastigote form. To that end, we recorded the trajectories of two strains
of this parasite (a wild-type strain and a stable transfected strain, which
contains an ectopic copy of LYT1 gene and whose motility is known to be
affected). We further extracted parasite trajectories from the recorded videos,
and statistically analysed the following trajectory-step features: step length,
angular change of direction, longitudinal and transverse displacements with
respect to the previous step, and mean square displacement. Based on the
resulting observations, we developed a mathematical model to simulate parasite
trajectories. The fact that the model predictions closely match most of the
experimentally observed parasite-trajectory characteristics, allows us to
conclude that the model is an accurate description of T. cruzi motility.
PMID- 26544864
TI - Alignment of the Fibrin Network Within an Autologous Plasma Clot.
AB - Autologous plasma clots with longitudinally aligned fibrin fibers could serve as
a scaffold for longitudinal axonal regrowth in cases of traumatic peripheral
nerve injuries. Three different techniques for assembling longitudinally oriented
fibrin fibers during the fibrin polymerization process were investigated as
follows: fiber alignment was induced by the application of either a magnetic
field or-as a novel approach-electric field or by the induction of orientated
flow. Fiber alignment was characterized by scanning electron microscopy analysis
followed by image processing using fast Fourier transformation (FFT). Besides FFT
output images, area xmin to xmax, as well as full width at half maximum (FWHM) of
the FFT graph plot peaks, was calculated to determine the relative degree of
fiber alignment. In addition, fluorescently labeled human fibrinogen and
mesenchymal stem cells (MSCs) were used to visualize fibrin and cell orientation
in aligned and nonaligned plasma clots. Varying degrees of fiber alignment were
achieved by the three different methods, with the electric field application
producing the highest degree of fiber alignment. The embedded MSCs showed a
longitudinal orientation in the electric field-aligned plasma clots. The key
feature of this study is the ability to produce autologous plasma clots with
aligned fibrin fibers using physical techniques. This orientated internal
structure of an autologous biomaterial is promising for distinct therapeutic
applications, such as a guiding structure for cell migration and growth dynamics.
PMID- 26544865
TI - Renal Interstitial Arteriosclerotic Lesions in Lupus Nephritis Patients: A Cohort
Study from China.
AB - OBJECTIVE: The aim of this study was to evaluate renal arteriosclerotic lesions
in patients with lupus nephritis and investigate their associations with clinical
and pathological characteristics, especially cardio-vascular features. DESIGN: A
retrospective cohort study. PARTICIPANTS: Seventy-nine patients with renal biopsy
proven lupus nephritis, diagnosed between January 2000 and June 2008 from Peking
University First Hospital. RESULTS: In clinico-pathological data, patients with
arteriosclerosis had higher ratio of hypertension and more severe renal injury
indices compared with patients with no renal vascular lesions. More importantly,
patients with renal arteriosclerosis had worse cardiac structure and function
under transthoracic echocardiographic examination. Patients with renal
arteriosclerosis tend to have higher ratios of combined endpoints compared with
those of no renal vascular lesions, although the difference didn't reach
statistical meanings (P = 0.104). CONCLUSION: Renal arteriosclerotic lesion was
common and associated with vascular immune complex deposits in lupus nephritis.
It might have a certain degree of association with poor outcomes and
cardiovascular events, which needs further explorations.
PMID- 26544866
TI - Up-Regulation of S100A11 in Lung Adenocarcinoma - Its Potential Relationship with
Cancer Progression.
AB - We previously reported that patients with lung adenocarcinomas with KRAS gene
mutations and strong proliferating activity had poorer outcomes, even in the
early stage of the disease. The aim of the present study was to elucidate the
potential molecular basis of these highly malignant lung tumors by focusing on
S100 proteins (S100A2, S100A7, and S100A11), which are downstream targets of
oncogenic KRAS and promoters of tumor progression. The immunohistochemical
expression of S100 proteins was examined in 179 primary lung adenocarcinomas, and
the potential relationships between their levels and clinicopathologic factors
were analyzed. Among the three subtypes, S100A11 levels were significantly higher
in adenocarcinomas with KRAS mutations and strong proliferating activity. They
were also higher in adenocarcinomas with poorly differentiated tumors.
Furthermore, higher levels of S100A11 were associated with shorter disease-free
survival. These results suggest that the up-regulation of S100A11 plays a role in
tumor progression, particularly in KRAS-mutated lung adenocarcinomas.
PMID- 26544869
TI - One-pot synthesis of carbon dots-embedded molecularly imprinted polymer for
specific recognition of sterigmatocystin in grains.
AB - A novel sensitive fluorescent sensor for determination of sterigmatocystin (ST),
which was based on carbon dots-embedded molecularly imprinted polymer (CDs@MIP),
was prepared by an efficient one-pot reaction. First, highly blue luminescent CDs
were synthesized via a one-step reaction. Then, through a non-hydrolytic sol-gel
process, MIP was formed on the CDs surface in the presence of 1,8
dihydroxyanthraquinone as an alternative template molecule to obtain CDs@MIP. The
CDs acted as antennas for signal amplification and optical readout, and the MIP
coated on the CDs surface provided specific binding sites for ST. The performance
of CDs@MIP was compared with that of CDs embedded in non-imprinted polymer
(CDs@NIP). CDs@MIP exhibited high selectivity and sensitivity toward ST. Under
optimized conditions, the relative fluorescence intensity of CDs@MIP decreased
linearly with the concentration of ST from 0.05 to 2.0 mgL(-1) with a detection
limit of 0.019 mgL(-1) (S/N=3) and the precision for five replicate detections of
0.10 mgL(-1) ST was 2.31%. The sensor was also used to determine the content of
ST in grains with satisfactory results.
PMID- 26544867
TI - Drosophila Nipped-B Mutants Model Cornelia de Lange Syndrome in Growth and
Behavior.
AB - Individuals with Cornelia de Lange Syndrome (CdLS) display diverse developmental
deficits, including slow growth, multiple limb and organ abnormalities, and
intellectual disabilities. Severely-affected individuals most often have dominant
loss-of-function mutations in the Nipped-B-Like (NIPBL) gene, and milder cases
often have missense or in-frame deletion mutations in genes encoding subunits of
the cohesin complex. Cohesin mediates sister chromatid cohesion to facilitate
accurate chromosome segregation, and NIPBL is required for cohesin to bind to
chromosomes. Individuals with CdLS, however, do not display overt cohesion or
segregation defects. Rather, studies in human cells and model organisms indicate
that modest decreases in NIPBL and cohesin activity alter the transcription of
many genes that regulate growth and development. Sister chromatid cohesion
factors, including the Nipped-B ortholog of NIPBL, are also critical for gene
expression and development in Drosophila melanogaster. Here we describe how a
modest reduction in Nipped-B activity alters growth and neurological function in
Drosophila. These studies reveal that Nipped-B heterozygous mutant Drosophila
show reduced growth, learning, and memory, and altered circadian rhythms.
Importantly, the growth deficits are not caused by changes in systemic growth
controls, but reductions in cell number and size attributable in part to reduced
expression of myc (diminutive) and other growth control genes. The learning,
memory and circadian deficits are accompanied by morphological abnormalities in
brain structure. These studies confirm that Drosophila Nipped-B mutants provide a
useful model for understanding CdLS, and provide new insights into the origins of
birth defects.
PMID- 26544870
TI - Enzymatic-induced upconversion photoinduced electron transfer for sensing
tyrosine in human serum.
AB - This paper reports a novel nanosensor for tyrosine based on photoinduced electron
transfer (PET) between NaYF4:Yb, Tm upconversion nanoparticles (UCNPs) and
melanin-like polymers. Melanin-like films were obtained from catalytic oxidation
of tyrosine by tyrosinase, and deposited on the surface of UCNPs, and then
quenched the fluorescence of UCNPs. Under the optimized conditions, the
fluorescence quenching of UCNPs showed a good linear response to tyrosine
concentration in the range of 0.8-100 MUMU with a detection limit of 1.1 MUMU.
Meanwhile, it showed good sensitivity, stability and has been successfully
applied to the detection of tyrosine in human serum.
PMID- 26544868
TI - Dual Action of miR-125b As a Tumor Suppressor and OncomiR-22 Promotes Prostate
Cancer Tumorigenesis.
AB - MicroRNAs (miRs) are a novel class of small RNA molecules, the dysregulation of
which can contribute to cancer. A combinatorial approach was used to identify
miRs that promote prostate cancer progression in a unique set of prostate cancer
cell lines, which originate from the parental p69 cell line and extend to a
highly tumorigenic/metastatic M12 subline. Together, these cell lines are thought
to mimic prostate cancer progression in vivo. Previous network analysis and miR
arrays suggested that the loss of hsa-miR-125b together with the overexpression
of hsa-miR-22 could contribute to prostate tumorigenesis. The dysregulation of
these two miRs was confirmed in human prostate tumor samples as compared to
adjacent benign glandular epithelium collected through laser capture
microdissection from radical prostatectomies. In fact, alterations in hsa-miR
125b expression appeared to be an early event in tumorigenesis. Reverse phase
microarray proteomic analysis revealed ErbB2/3 and downstream members of the
PI3K/AKT and MAPK/ERK pathways as well as PTEN to be protein targets
differentially expressed in the M12 tumor cell compared to its parental p69 cell.
Relevant luciferase+3'-UTR expression studies confirmed a direct interaction
between hsa-miR-125b and ErbB2 and between hsa-miR-22 and PTEN. Restoration of
hsa-miR-125b or inhibition of hsa-miR-22 expression via an antagomiR resulted in
an alteration of M12 tumor cell behavior in vitro. Thus, the dual action of hsa
miR-125b as a tumor suppressor and hsa-miR-22 as an oncomiR contributed to
prostate tumorigenesis by modulations in PI3K/AKT and MAPK/ERK signaling
pathways, key pathways known to influence prostate cancer progression.
PMID- 26544871
TI - Label-free amino acid detection based on nanocomposites of graphene oxide
hybridized with gold nanoparticles.
AB - Nanocomposites of graphene oxide and gold nanoparticles (GO/GNPs) were
synthesized for label-free detections of amino acids. Interactions between the
composites and amino acids were investigated by both naked-eye observation and
optical absorption spectroscopy. The GO/GNPs composites displayed apparent color
changes and absorption spectra changes in presences of amino acids including
glutamate, aspartate, and cysteine. The interaction mechanisms of the composites
and amino acids were discussed and explored with sulfhydryl groups and non-alpha
carboxylic groups on the amino acids. Sensing properties of the composites were
tested, while pure gold particles were used as the control. The results suggested
that the GO/GNPs composites had better linearity and stability in dose-dependent
responses to the amino acids than those of the particles, especially in
detections for acidic amino acids. Therefore, the nanocomposites platform can
provide a convenient and efficient approach for label-free optical detections of
important molecules such as amino acids.
PMID- 26544872
TI - Optimization of strand displacement amplification-sensitized G-quadruplex DNAzyme
based sensing system and its application in activity detection of uracil-DNA
glycosylase.
AB - As an isothermal nucleic acid amplification technique, strand displacement
amplification (SDA) reaction has been introduced in G-quadruplex DNAzyme-based
sensing system to improve the sensing performance. To further provide useful
information for the design of SDA-amplified G-quadruplex DNAzyme-based sensors,
the effects of nicking site number in SDA template DNA were investigated. With
the increase of the nicking site number from 1 to 2, enrichment of G-quadruplex
DNAzyme by SDA is changed from a linear amplification to an exponential
amplification, thus greatly increasing the amplification efficiency and
subsequently improving the sensing performance of corresponding sensing system.
The nicking site number cannot be further increased because more nicking sites
might result in high background signals. However, we demonstrated that G
quadruplex DNAzyme enrichment efficiency could be further improved by introducing
a cross-triggered SDA strategy, in which two templates each has two nicking sites
are used. To validate the proposed cross-triggered SDA strategy, we used it to
develop a sensing platform for the detection of uracil-DNA glycosylase (UDG)
activity. The sensor enables sensitive detection of UDG activity in the range of
1 * 10(-4)-1 U/mL with a detection limit of 1 * 10(-4)U/mL.
PMID- 26544873
TI - An ultrasensitive human cardiac troponin T graphene screen-printed electrode
based on electropolymerized-molecularly imprinted conducting polymer.
AB - A nano-molecularly imprinted polymer (N-MIP) assembled on a screen-printed
electrode for the cardiac troponin T (cTnT) was developed. The biomimetic surface
was obtained by a co-polymer matrix assembled on the reduced graphene oxide (RGO)
electrode surface. The cTnT active sites were engineered using pyrrole and
carboxylated pyrrole that was one-step electropolymerized jointly with cTnT by
cyclic voltammetry. The stepwise preparation of the biomimetic surface was
characterized by cyclic and differential pulse voltammetries using the
ferrocyanide/ferricyanide as redox probe. Structural and morphological
characterization was also performed. The optimal relation of pyrrole and pyrrole
3-acid carboxylic to perform the cTnT biomimetic nanosurface was obtained at 1:5
ratio. The analytical performance of cTnT N-MIP performed by differential pulse
voltammetry showed a linear range from 0.01 to 0.1 ngmL(-1) (r=0.995, p"0.01),
with a very low limit of detection (0.006 ngmL(-1)). The synergic effect of
conductive polymer and graphene forming 3D structures of reactive sites resulted
in a N-MIP with excellent affinity to cTnT binding (KD=7.3 10(-13) molL(-1)). The
N-MIP proposed is based on a simple method of antibody obtaining with a large
potential for point-of-care testing applications.
PMID- 26544874
TI - Cytochrome P450 Oxidoreductase Influences CYP2B6 Activity in Cyclophosphamide
Bioactivation.
AB - INTRODUCTION: Cyclophosphamide is commonly used as an important component in
conditioning prior to hematopoietic stem cell transplantation, a curative
treatment for several hematological diseases. Cyclophosphamide is a prodrug
activated mainly by cytochrome P450 2B6 (CYP2B6) in the liver. A high degree of
inter- and intra-individual variation in cyclophosphamide kinetics has been
reported in several studies. MATERIALS AND METHODS: Hydroxylation of
cyclophosphamide was investigated in vitro using three microsomal batches of
CYP2B6*1 with different ratios of POR/CYP expression levels. Twenty patients
undergoing hematopoietic stem cell transplantation were also included in the
study. All patients received an i.v. infusion of cyclophosphamide (60 mg/kg/day,
for two days) as a part of their conditioning. Blood samples were collected from
each patient before cyclophosphamide infusion, 6 h after the first dose and
before and 6 h after the second dose. POR gene expression was measured by mRNA
analysis and the pharmacokinetics of cyclophosphamide and its active metabolite
were determined. RESULTS: A strong correlation between the in vitro intrinsic
clearance of cyclophosphamide and the POR/CYP ratio was found. The apparent Km
for CYP2B6.1 was almost constant (3-4 mM), while the CLint values were
proportional to the POR/CYP ratio (3-34 MUL/min/nmol CYP). In patients, the
average expression of the POR gene in blood was significantly (P <0.001) up
regulated after cyclophosphamide infusion, with high inter-individual variations
and significant correlation with the concentration ratio of the active metabolite
4-hydroxy-cyclophosphamide/cyclophosphamide. Nine patients were carriers for
POR*28; four patients had relatively high POR expression. CONCLUSIONS: This
investigation shows for the first time that POR besides CYP2B6 can influence
cyclophosphamide metabolism. Our results indicate that not only CYPs are
important, but also POR expression and/or activity may influence cyclophosphamide
bioactivation, affecting therapeutic efficacy and treatment related toxicity and
hence on clinical outcome. Thus, both POR and CYP genotype and expression levels
may have to be taken into account when personalizing treatment schedules to
achieve optimal therapeutic drug plasma concentrations of cyclophosphamide.
PMID- 26544876
TI - Modeling Coevolution between Language and Memory Capacity during Language Origin.
AB - Memory is essential to many cognitive tasks including language. Apart from
empirical studies of memory effects on language acquisition and use, there lack
sufficient evolutionary explorations on whether a high level of memory capacity
is prerequisite for language and whether language origin could influence memory
capacity. In line with evolutionary theories that natural selection refined
language-related cognitive abilities, we advocated a coevolution scenario between
language and memory capacity, which incorporated the genetic transmission of
individual memory capacity, cultural transmission of idiolects, and natural and
cultural selections on individual reproduction and language teaching. To
illustrate the coevolution dynamics, we adopted a multi-agent computational model
simulating the emergence of lexical items and simple syntax through iterated
communications. Simulations showed that: along with the origin of a communal
language, an initially-low memory capacity for acquired linguistic knowledge was
boosted; and such coherent increase in linguistic understandability and memory
capacities reflected a language-memory coevolution; and such coevolution stopped
till memory capacities became sufficient for language communications. Statistical
analyses revealed that the coevolution was realized mainly by natural selection
based on individual communicative success in cultural transmissions. This work
elaborated the biology-culture parallelism of language evolution, demonstrated
the driving force of culturally-constituted factors for natural selection of
individual cognitive abilities, and suggested that the degree difference in
language-related cognitive abilities between humans and nonhuman animals could
result from a coevolution with language.
PMID- 26544875
TI - Identification of Small-Molecule Inhibitors against Meso-2, 6-Diaminopimelate
Dehydrogenase from Porphyromonas gingivalis.
AB - Species-specific antimicrobial therapy has the potential to combat the increasing
threat of antibiotic resistance and alteration of the human microbiome. We
therefore set out to demonstrate the beginning of a pathogen-selective drug
discovery method using the periodontal pathogen Porphyromonas gingivalis as a
model. Through our knowledge of metabolic networks and essential genes we
identified a "druggable" essential target, meso-diaminopimelate dehydrogenase,
which is found in a limited number of species. We adopted a high-throughput
virtual screen method on the ZINC chemical library to select a group of potential
small-molecule inhibitors. Meso-diaminopimelate dehydrogenase from P. gingivalis
was first expressed and purified in Escherichia coli then characterized for
enzymatic inhibitor screening studies. Several inhibitors with similar structural
scaffolds containing a sulfonamide core and aromatic substituents showed dose
dependent inhibition. These compounds were further assayed showing reasonable
whole-cell activity and the inhibition mechanism was determined. We conclude that
the establishment of this target and screening strategy provides a model for the
future development of new antimicrobials.
PMID- 26544877
TI - Nutritional Therapy for Critically Ill Patients.
AB - Nutrition therapy provided early in the critical care setting has been shown to
improve outcome. Appropriate and early nutrition interventions can attenuate the
hyperdynamic systemic response and depressed immune reaction to injury, serious
illness and major surgery. Controversies limit the uniform application and
potential benefits of nutrition, including failure to accurately predict who will
'need' nutritional intervention, lack of consensus on what the optimal enteral
formulation is, overreliance on parenteral nutrition, failure to maximize the use
of early enteral nutrition (EN), and how much and how best to feed the morbidly
obese population. Despite challenges and inconsistencies in today's critical care
setting, specialized nutrition has evolved from metabolic 'support' during
critical illness to a primary therapeutic intervention designed, individualized
and focused to achieve metabolic optimization and mitigation of stress-induced
immune and hyperdynamic systemic responses. Nutrition should be considered early
and commenced after initial resuscitation has taken place. This is most
effectively accomplished with the use of protocols that aggressively promote
early EN, and will result in lower mortality and a reduction in major
complications. Though the complexity of the heterogeneous critically ill
population will always be challenging, we are developing a better understanding
of immunity, metabolic needs and catabolism associated with intensive care unit
admissions.
PMID- 26544878
TI - Basics in Clinical Medical Nutrition.
AB - Nutrition is a basic requirement for life and plays an important role in health
and in disease prevention, but malnutrition is a common event and a cause of
increased morbidity and mortality, particularly in patients with disease-related
malnutrition showing inflammation and a catabolic state. Malnutrition is often
overlooked, and deterioration in the nutritional status following admission to
hospital is common. It should be actively pursued by a ubiquitous system of
nutrition screening, and full nutritional assessment is required for those found
to be at risk. There are simple screening tools which can be used by all health
care professionals. Assessment considers body composition, inflammatory status
and other aspects of underlying diseases and their functional consequences; it is
a more specialist process. It is important to determine the energy and protein
needs of each individual patient. Appropriate nutritional intervention can often
be offered by the oral route, using food with or without special supplements.
When this is insufficient, enteral tube feeding will normally be sufficient, but
there is an important subgroup of patients in whom enteral feeding is
contraindicated or unsuccessful, and in these patients parenteral nutrition
(either total or supplemental) is required. A number of immunonutrients and other
special substrates have been shown to be helpful in specific circumstances, but
their use is not without potential hazards, and therefore adherence to
international guidelines is recommended.
PMID- 26544879
TI - Linear Augmentation for Stabilizing Stationary Solutions: Potential Pitfalls and
Their Application.
AB - Linear augmentation has recently been shown to be effective in targeting desired
stationary solutions, suppressing bistablity, in regulating the dynamics of drive
response systems and in controlling the dynamics of hidden attractors. The
simplicity of the procedure is the main highlight of this scheme but questions
related to its general applicability still need to be addressed. Focusing on the
issue of targeting stationary solutions, this work demonstrates instances where
the scheme fails to stabilize the required solutions and leads to other
complicated dynamical scenarios. Examples from conservative as well as
dissipative systems are presented in this regard and important applications in
dissipative predator-prey systems are discussed, which include preventative
measures to avoid potentially catastrophic dynamical transitions in these
systems.
PMID- 26544880
TI - Depletion of CG-Specific Methylation in Mycoplasma hyorhinis Genomic DNA after
Host Cell Invasion.
AB - Adaptation to the environment requires pathogenic bacteria to alter their gene
expression in order to increase long-term survival in the host. Here, we present
the first experimental evidence that bacterial DNA methylation affects the
intracellular survival of pathogenic Mycoplasma hyorhinis. Using bisulfite
sequencing, we identified that the M. hyorhinis DNA methylation landscape was
distinct in free-living M. hyorhinis relative to the internalized bacteria
surviving in the infected human cells. We determined that genomic GATC sites were
consistently highly methylated in the bacterial chromosome suggesting that the
bacterial GATC-specific 5-methylcytosine DNA methyltransferase was fully
functional both pre- and post-infection. In contrast, only the low CG methylation
pattern was observed in the mycoplasma genome in the infective bacteria that
invaded and then survived in the host cells. In turn, two distinct populations,
with either high or low CG methylation, were detected in the M. hyorhinis
cultures continually grown in the rich medium independently of host cells. We
also identified that M. hyorhinis efficiently evaded endosomal degradation and
uses exocytosis to exit infected human cells enabling re-infection of additional
cells. The well-orchestrated changes in the chromosome methylation landscape play
a major regulatory role in the mycoplasma life cycle.
PMID- 26544882
TI - The Risks of Living Kidney Donation.
PMID- 26544881
TI - Host and Symbiont Jointly Control Gut Microbiota during Complete Metamorphosis.
AB - Holometabolous insects undergo a radical anatomical re-organisation during
metamorphosis. This poses a developmental challenge: the host must replace the
larval gut but at the same time retain symbiotic gut microbes and avoid infection
by opportunistic pathogens. By manipulating host immunity and bacterial
competitive ability, we study how the host Galleria mellonella and the symbiotic
bacterium Enterococcus mundtii interact to manage the composition of the
microbiota during metamorphosis. Disenabling one or both symbiotic partners
alters the composition of the gut microbiota, which incurs fitness costs: adult
hosts with a gut microbiota dominated by pathogens such as Serratia and
Staphylococcus die early. Our results reveal an interaction that guarantees the
safe passage of the symbiont through metamorphosis and benefits the resulting
adult host. Host-symbiont "conspiracies" as described here are almost certainly
widespread in holometobolous insects including many disease vectors.
PMID- 26544883
TI - Global Profiling of Carbohydrate Active Enzymes in Human Gut Microbiome.
AB - MOTIVATION: Carbohydrate Active enzyme (CAZyme) families, encoded by human gut
microflora, play a crucial role in breakdown of complex dietary carbohydrates
into components that can be absorbed by our intestinal epithelium. Since
nutritional wellbeing of an individual is dependent on the nutrient harvesting
capability of the gut microbiome, it is important to understand how CAZyme
repertoire in the gut is influenced by factors like age, geography and food
habits. RESULTS: This study reports a comprehensive in-silico analysis of CAZyme
profiles in the gut microbiomes of 448 individuals belonging to different
geographies, using similarity searches of the corresponding gut metagenomic
contigs against the carbohydrate active enzymes database. The study identifies a
core group of 89 CAZyme families that are present across 85% of the gut
microbiomes. The study detects several geography/age-specific trends in gut
CAZyme repertoires of the individuals. Notably, a group of CAZymes having a
positive correlation with BMI has been identified. Further this group of BMI
associated CAZymes is observed to be specifically abundant in the Firmicutes
phyla. One of the major findings from this study is identification of three
distinct groups of individuals, referred to as 'CAZotypes', having similar CAZyme
profiles. Distinct taxonomic drivers for these CAZotypes as well as the probable
dietary basis for such trends have also been elucidated. The results of this
study provide a global view of CAZyme profiles across individuals of various
geographies and age-groups. These results reiterate the need of a more precise
understanding of the role of carbohydrate active enzymes in human nutrition.
PMID- 26544884
TI - Priming Gestures with Sounds.
AB - We report a series of experiments about a little-studied type of compatibility
effect between a stimulus and a response: the priming of manual gestures via
sounds associated with these gestures. The goal was to investigate the plasticity
of the gesture-sound associations mediating this type of priming. Five
experiments used a primed choice-reaction task. Participants were cued by a
stimulus to perform response gestures that produced response sounds; those sounds
were also used as primes before the response cues. We compared arbitrary
associations between gestures and sounds (key lifts and pure tones) created
during the experiment (i.e. no pre-existing knowledge) with ecological
associations corresponding to the structure of the world (tapping gestures and
sounds, scraping gestures and sounds) learned through the entire life of the
participant (thus existing prior to the experiment). Two results were found.
First, the priming effect exists for ecological as well as arbitrary associations
between gestures and sounds. Second, the priming effect is greatly reduced for
ecologically existing associations and is eliminated for arbitrary associations
when the response gesture stops producing the associated sounds. These results
provide evidence that auditory-motor priming is mainly created by rapid learning
of the association between sounds and the gestures that produce them. Auditory
motor priming is therefore mediated by short-term associations between gestures
and sounds that can be readily reconfigured regardless of prior knowledge.
PMID- 26544885
TI - Age-Related Relationships between Innate Immunity and Plasma Carotenoids in an
Obligate Avian Scavenger.
AB - Variation in immunity is influenced by allocation trade-offs that are expected to
change between age-classes as a result of the different environmental and
physiological conditions that individuals encounter over their lifetime. One such
trade-off occurs with carotenoids, which must be acquired with food and are
involved in a variety of physiological functions. Nonetheless, relationships
between immunity and carotenoids in species where these micronutrients are scarce
due to diet are poorly studied. Among birds, vultures show the lowest
concentrations of plasma carotenoids due to a diet based on carrion. Here, we
investigated variations in the relationships between innate immunity
(hemagglutination by natural antibodies and hemolysis by complement proteins),
pathogen infection and plasma carotenoids in nestling and adult griffon vultures
(Gyps fulvus) in the wild. Nestlings showed lower hemolysis, higher total
carotenoid concentration and higher pathogen infection than adults. Hemolysis was
negatively related to carotenoid concentration only in nestlings. A differential
carotenoid allocation to immunity due to the incomplete development of the immune
system of nestlings compared with adults is suggested linked to, or regardless
of, potential differences in parasite infection, which requires experimental
testing. We also found that individuals with more severe pathogen infections
showed lower hemagglutination than those with a lower intensity infection
irrespective of their age and carotenoid level. These results are consistent with
the idea that intraspecific relationships between innate immunity and carotenoids
may change across ontogeny, even in species lacking carotenoid-based coloration.
Thus, even low concentrations of plasma carotenoids due to a scavenger diet can
be essential to the development and activation of the immune system in growing
birds.
PMID- 26544886
TI - Distribution of brominated flame retardants and dechloranes between sediments and
benthic fish--A comparison of a freshwater and marine habitat.
AB - A total of 53 halogenated flame retardants (HFRs) were analysed in sediments,
European eels and dabs from both freshwater and marine sampling stations in the
German Bight and the river Elbe. Classic HFRs, such as polybrominated
diphenylethers (PBDEs), were the highest concentrated HFRs in eels as well as in
most dabs (apart from 1,2,5,6-tetrabromocyclooctane (TBCO)). In sediments, on the
other hand, alternate BFRs and especially dechloranes dominated the contamination
pattern. Dabs were still found to be statistically representative for the
contamination patterns and relative magnitude in sediments from their respective
habitats. Contamination patterns in eels seemed to be more driven by the
contamination situation in the food chain or historical contamination of their
habitat. Unsuspectedly the alternate flame retardant TBCO was found in comparably
high concentrations (up to 12 ng g(-1) ww) in dabs from two sampling stations as
well as in sediments from these stations (up to 1.2 ng g(-1) dw). It could not be
detected in any other analysed fish or sediment samples, indicating a localised
contamination source in the area. This study provides information on HFR
contamination patterns and behaviour in both marine and freshwater sediments and
their potential role as contamination source for benthic fish.
PMID- 26544887
TI - The 2014 water release into the arid Colorado River delta and associated water
losses by evaporation.
AB - For the first time in history, water was intentionally released for environmental
purposes into the final, otherwise dry, 160-km stretch of the Colorado River
basin, south of the Mexican border. Between March and May 2014 three pulses of
water with a total volume of 132*10(6) m(3) were released to assess the
restoration potential of endemic flora along its course and to reach its estuary.
The latter had not received a sustained input of fresh water and nutrients from
its main fluvial source for over 50 years because of numerous upstream dam
constructions. During this pulse flow large amounts of water were lost and
negligible amounts reached the ocean. While some of these water losses can be
attributed to plant uptake and infiltration, we were able to quantify evaporation
losses between 16.1 to 17.3% of the original water mass % within the first 80 km
after the Morels Dam with water stable isotope data. Our results showed no
evidence for freshwater reaching the upper Colorado River estuary and it is
assumed that the pulse flow had only negligible influences on the coastal
ecosystem. Future water releases that aim on ecological restoration need to
become more frequent and should have larger volumes if more significant effects
are to be established on the area.
PMID- 26544888
TI - Seed banks as a source of vegetation regeneration to support the recovery of
degraded rivers: A comparison of river reaches of varying condition.
AB - Anthropogenic disturbance has contributed to widespread geomorphic adjustment and
the degradation of many rivers. This research compares for river reaches of
varying condition, the potential for seed banks to support geomorphic river
recovery through vegetation regeneration. Seven river reaches in the lower Hunter
catchment of south-eastern Australia were assessed as being in poor, moderate, or
good condition, based on geomorphic and ecological indicators. Seed bank
composition within the channel and floodplain (determined in a seedling emergence
study) was compared to standing vegetation. Seed bank potential for supporting
geomorphic recovery was assessed by measuring native species richness, and the
abundance of different plant growth forms, with consideration of the roles played
by different growth forms in geomorphic adjustment. The exotic seed bank was
considered a limiting factor for achieving ecological restoration goals, and
similarly analysed. Seed bank native species richness was comparable between the
reaches, and regardless of condition, early successional and pioneer herbs,
sedges, grasses and rushes dominated the seed bank. The capacity for these growth
forms to colonise and stabilise non-cohesive sediments and initiate biogeomorphic
succession, indicates high potential for the seed banks of even highly degraded
reaches to contribute to geomorphic river recovery. However, exotic propagules
increasingly dominated the seed banks of moderate and poor condition reaches and
reflected increasing encroachment by terrestrial exotic vegetation associated
with riparian degradation. As the degree of riparian degradation increases, the
resources required to control the regeneration of exotic species will similarly
increase, if seed bank-based regeneration is to contribute to both geomorphic and
ecological restoration goals.
PMID- 26544889
TI - A breakthrough biosorbent in removing heavy metals: Equilibrium, kinetic,
thermodynamic and mechanism analyses in a lab-scale study.
AB - A breakthrough biosorbent namely multi-metal binding biosorbent (MMBB) made from
a combination of tea wastes, maple leaves and mandarin peels, was prepared to
evaluate their biosorptive potential for removal of Cd(II), Cu(II), Pb(II) and
Zn(II) from multi-metal aqueous solutions. FTIR and SEM were conducted, before
and after biosorption, to explore the intensity and position of the available
functional groups and changes in adsorbent surface morphology. Carboxylic,
hydroxyl and amine groups were found to be the principal functional groups for
the sorption of metals. MMBB exhibited best performance at pH 5.5 with maximum
sorption capacities of 31.73, 41.06, 76.25 and 26.63 mg/g for Cd(II), Cu(II),
Pb(II) and Zn(II), respectively. Pseudo-first and pseudo-second-order models
represented the kinetic experimental data in different initial metal
concentrations very well. Among two-parameter adsorption isotherm models, the
Langmuir equation gave a better fit of the equilibrium data. For Cu(II) and
Zn(II), the Khan isotherm describes better biosorption conditions while for
Cd(II) and Pb(II), the Sips model was found to provide the best correlation of
the biosorption equilibrium data. The calculated thermodynamic parameters
indicated feasible, spontaneous and exothermic biosorption process. Overall, this
novel MMBB can effectively be utilized as an adsorbent to remove heavy metal ions
from aqueous solutions.
PMID- 26544890
TI - Inadequacy of carbamazepine-spiked model wastewaters for testing photocatalysis
efficiency.
AB - The study was performed in order to clarify whether carbamazepine-spiked
solutions used as model wastewaters are suitable for the assessment of
carbamazepine removal from real secondary municipal effluents by photocatalytic
oxidation in the presence and absence of activated carbon. Therefore,
carbamazepine (10 mg L(-1)) was dissolved in deionized water or in secondary
municipal effluent. Photocatalytic oxidation of these model wastewaters was
carried out with TiO2 "P25" (100 mg L(-1)) and UV-A lamps in the absence and in
the presence of 20 mg L(-1) powdered activated carbon (PAC). Carbamazepine was
analyzed photometrically. In deionized water at pH 5.5, carbamazepine was nearly
completely removed with a UV dose of 6.48 kJ L(-1). A similar efficiency of
photocatalytic oxidation of carbamazepine added to secondary effluent was
observed when the suspension pH was 2.7, while at pH 8 and 10.6, carbamazepine
removal from spiked secondary effluent with the same UV dose was only 40 and 60%,
respectively. Although PAC addition resulted in an initial adsorptive
carbamazepine reduction of 20 to 35% from the model wastewaters, it did not lead
to markedly enhanced carbamazepine removal in the subsequent photocatalysis
phase. During photocatalytic oxidation of unspiked secondary effluent (initial
carbamazepine concentration: 133 ng L(-1)) at pH 7.3 with and without PAC,
carbamazepine concentrations were analyzed by HPLC/MS/MS. While PAC addition
resulted in the adsorption of about 90% of the initial carbamazepine,
photocatalysis did not lead to any carbamazepine removal at all. This indicates
that the experiments with spiked model wastewaters - even in a secondary effluent
matrix - are absolutely inadequate for predicting photocatalytic carbamazepine
removal under real conditions.
PMID- 26544891
TI - Pesticides in persimmons, jujubes and soil from China: Residue levels, risk
assessment and relationship between fruits and soils.
AB - Extreme and uncontrolled usage of pesticides produces a number of problems for
vegetation and human health. In this study, the existence of organophosphates
(OPs), organochlorines (OCs), pyrethroids (PYs) and fungicides (FUs) were
investigated in persimmons/jujubes and their planted soils, which were collected
from China. One OP (dimethoate), three OCs (DDT, quintozene and aldrin), six PYs
(bifenthrin, fenpropathrin, cyhalothrin, cypermethrin, fenvalerate and
deltamethrin) and two FUs (triadimefon and buprofezin) were found in 36.4% of
persimmons and 70.8% of jujubes, with concentrations from 1.0 MUg/kg to 2945.0
MUg/kg. The most frequently detected pesticides in the two fruits were
fenpropathrin in persimmons and cypermethrin in jujubes, with the detection
frequencies of 30.0% and 22.7%, respectively. The residues of 4.5% (persimmon)
and 25.0% (jujube) of samples were higher than the maximum residue limits (MRLs)
of China. Compared with the fruits, more types of pesticides and higher residues
were observed in their planted soils. The most frequently detected pesticides
were HCH in persimmon soil and DDT in jujube soil, with the detection frequencies
of 10.9% and 12.7%, respectively. For the tested samples, 39.1% of fruit samples
and 63.0% of soil samples with multiple residues (containing more than two
pesticides) were noted, even up to 8 residues in fruits and 14 residues in soils.
Except for cyhalothrin, the other short-term risks for the tested pesticides in
the fruits were below 10%, and the highest long-term risk was 14.13% for aldrin
and dieldrin. There was no significant health risk for consumers via consumption
of the two fruits.
PMID- 26544892
TI - Efflorescent sulfates from Baia Sprie mining area (Romania)--Acid mine drainage
and climatological approach.
AB - The Baia Sprie epithermal system, a well-known deposit for its impressive
mineralogical associations, shows the proper conditions for acid mine drainage
and can be considered a general example for affected mining areas around the
globe. Efflorescent samples from the abandoned open pit Minei Hill have been
analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman
and near-infrared (NIR) spectrometry. The identified phases represent mostly iron
sulfates with different hydration degrees (szomolnokite, rozenite, melanterite,
coquimbite, ferricopiapite), Zn and Al sulfates (gunningite, alunogen,
halotrichite). The samples were heated at different temperatures in order to
establish the phase transformations among the studied sulfates. The dehydration
temperatures and intermediate phases upon decomposition were successfully
identified for each of mineral phases. Gunningite was the single sulfate that
showed no transformations during the heating experiment. All the other sulfates
started to dehydrate within the 30-90 degrees C temperature range. The acid mine
drainage is the main cause for sulfates formation, triggered by pyrite oxidation
as the major source for the abundant iron sulfates. Based on the dehydration
temperatures, the climatological interpretation indicated that melanterite
formation and long-term presence is related to continental and temperate
climates. Coquimbite and rozenite are attributed also to the dry arid/semi-arid
areas, in addition to the above mentioned ones. The more stable sulfates,
alunogen, halotrichite, szomolnokite, ferricopiapite and gunningite, can form and
persists in all climate regimes, from dry continental to even tropical humid.
PMID- 26544893
TI - Genetic and epigenetic characterization of hypodiploid acute lymphoblastic
leukemia.
AB - PURPOSE: To investigate the genetic and epigenetic landscape of hypodiploid (<45
chromosomes) acute lymphoblastic leukemia (ALL). METHODS: Single nucleotide
polymorphism array, whole exome sequencing, RNA sequencing, and methylation array
analyses were performed on eleven hypodiploid ALL cases. RESULTS: In line with
previous studies, mutations in IKZF3 and FLT3 were detected in near-haploid (25
30 chromosomes) cases. Low hypodiploidy (31-39 chromosomes) was associated with
somatic TP53 mutations. Notably, mutations of this gene were also found in 3/3
high hypodiploid (40-44 chromosomes) cases, suggesting that the mutational
patterns are similar in low hypodiploid and high hypodiploid ALL. The high
hypodiploid ALLs frequently displayed substantial cell-to-cell variability in
chromosomal content, indicative of chromosomal instability; a rare phenomenon in
ALL. Gene expression analysis showed that genes on heterodisomic chromosomes were
more highly expressed in hypodiploid cases. Cases clustered according to
hypodiploid subtype in the unsupervised methylation analyses, but there was no
association between chromosomal copy number and methylation levels. A comparison
between samples obtained at diagnosis and relapse showed that the relapse did not
arise from the major diagnostic clone in 3/4 cases. CONCLUSIONS: Taken together,
our data support the conclusion that near-haploid and low hypodiploid ALL are
different with regard to mutational profiles and also suggest that ALL cases with
high hypodiploidy may harbor chromosomal instability.
PMID- 26544894
TI - Predictive significance of DNA damage and repair biomarkers in triple-negative
breast cancer patients treated with neoadjuvant chemotherapy: An exploratory
analysis.
AB - Response of cancer cells to chemotherapy-induced DNA damage is regulated by the
ATM-Chk2 and ATR-Chk1 pathways. We investigated the association between
phosphorylated H2AX (gamma-H2AX), a marker of DNA double-strand breaks that
trigger the ATM-Chk2 cascade, and phosphorylated Chk1 (pChk1), with pathological
complete response (pCR) in triple-negative breast cancer (TNBC) patients treated
with neoadjuvant chemotherapy. gamma-H2AX and pChk1 were retrospectively assessed
by immunohistochemistry in a series of pretreatment biopsies related to 66
patients. In fifty-three tumors hormone receptor status was negative in both the
diagnostic biopsies and residual cancers, whereas in 13 cases there was a slight
hormone receptor expression that changed after chemotherapy. Internal validation
was carried out. In the entire cohort elevated levels of gamma-H2AX, but not
pChk1, were associated with reduced pCR rate (p = 0.009). The association tested
significant in both uni- and multivariate logistic regression models (OR 4.51,
95% CI: 1.39-14.66, p = 0.012, and OR 5.07, 95% CI: 1.28-20.09, p = 0.021,
respectively). Internal validation supported the predictive value of the model.
The predictive ability of gamma-H2AX was further confirmed in the multivariate
model after exclusion of tumors that underwent changes in hormone receptor status
during chemotherapy (OR 7.07, 95% CI: 1.39-36.02, p = 0.018). Finally, in
residual diseases a significant decrease of gamma-H2AX levels was observed (p <
0.001). Overall, gamma-H2AX showed ability to predict pCR in TNBC and deserves
larger, prospective studies.
PMID- 26544895
TI - Mutant GDF15 presents a poor prognostic outcome for patients with oral squamous
cell carcinoma.
AB - PURPOSE: To investigate the mutation status of growth differentiation factor 15
(GDF15) in patients with oral squamous cell carcinoma (OSCC), as well as the
prognostic value of missense GDF15 mutations. PATIENTS AND METHODS: Formalin
fixed paraffin-embedded biopsy samples from 46 OSCC patients were involved in
this study. GDF15 and TP53 mutations were sequenced using the Ion Torrent
Personal Genome Machine, GDF15 protein expression was detected using
immunohistochemistry. Torrent Suite Software v.3.6, Integrative Genomics Viewer;
v.2.3, statistical software SPSS18.0 for Windows were used for analysis. All
hypothesis-generating tests were two-sided at a significance level of 0.05.
RESULTS: Twenty-nine GDF15 mutations were identified in 19 out of 46 patients
(41.3%), including eighteen missense mutations, two nonsense mutations and nine
synonymous mutations. The patients with missense GDF15 mutations had poorer
prognostic outcomes than those with wild-type GDF15, including overall survival
(P = 0.035), disease-free survival (P = 0.032), locoregional recurrence-free
survival (P = 0.015), and distant metastasis-free survival (P = 0.070). Missense
GDF15mutations was an independent increased risk factor of overall survival (HR =
5.993, 95% CI:1.856-19.346, P = 0.003), disease-free survival (HR = 3.764, 95%
CI:1.295-10.945, P = 0.015), locoregional recurrence-free survival (HR = 4.555,
95% CI:1.494-13.889, P = 0.008), and distant metastasis-free survival (HR =
4.420, 95% CI:1.145-13.433, P = 0.009). CONCLUSIONS: Patients with missense GDF15
mutations have significantly poorer outcomes than those with wild-type GDF15,
missense GDF15 mutations could be used as an independent increased risk factor of
poor prognosis in OSCC patients.
PMID- 26544896
TI - GalNAc-T14 promotes metastasis through Wnt dependent HOXB9 expression in lung
adenocarcinoma.
AB - While metastasis, the main cause of lung cancer-related death, has been
extensively studied, the underlying molecular mechanism remains unclear. A
previous clinicogenomic study revealed that expression of N
acetylgalactosaminyltransferase (GalNAc-T14), is highly inversely correlated with
recurrence-free survival in those with non-small cell lung cancer (NSCLC).
However, the underlying molecular mechanism(s) has not been determined. Here, we
showed that GalNAc-T14 expression was positively associated with the invasive
phenotype. Microarray and biochemical analyses revealed that HOXB9, the
expression of which was increased in a GalNAc-T14-dependent manner, played an
important role in metastasis. GalNAc-T14 increased the sensitivity of the WNT
response and increased the stability of the beta-catenin protein, leading to
induced expression of HOXB9 and acquisition of an invasive phenotype.
Pharmacological inhibition of beta-catenin in GalNAc-T14-expressing cancer cells
suppressed HOXB9 expression and invasion. A meta-analysis of clinical genomics
data revealed that expression of GalNAc-T14 or HOXB9 was strongly correlated with
reduced recurrence-free survival and increased hazard risk, suggesting that
targeting beta-catenin within the GalNAc-T14/WNT/HOXB9 axis may be a novel
therapeutic approach to inhibit metastasis in NSCLC.
PMID- 26544898
TI - The impact of serotonin receptor 1A and 2A gene polymorphisms and interactions on
suicide attempt and suicide risk in depressed patients with insufficient response
to treatment--a European multicentre study.
AB - So far, associations between serotonergic neurotransmission pathways and
suicidality have been reported. The aim of our study was to investigate the role
of genetic polymorphisms and gene-gene interactions of the 5-HTR1A and the 5
HTR2A gene on suicide risk and/or a personal history of suicide attempts. A total
of 374 major depressive disorder patients, adequately treated with
antidepressants for at least 4 weeks, were collected in the context of a European
multicentre study on treatment-resistant depression. We assessed suicidality
using the Mini International Neuropsychiatric Interview and the Hamilton Rating
Scale for Depression (HAM-D). Treatment response was defined as HAM-D <= 17 and
remission as HAM-D <= 7 after 4 weeks of adequate antidepressant treatment. The 5
HTR1A rs6295 (C-1019G) single nucleotide polymorphism (SNP) and the 5-HTR2A
rs7997012, rs6313, rs643627 and rs17288723 SNPs were selected for genotyping.
Using logistic regression analyses, no association (P<0.05) could be found
between any SNP and neither suicide risk nor personal history of suicide
attempts. Interactions between 5HTR1A rs6295 and 5HTR2A rs6313 in suicide risk,
and 5HTR1A rs6295 and 5HTR2A rs643627 in a personal history of suicide attempts
have been reported (P=0.027 and 0.036, respectively); however, the results did
not survive multiple testing correction. In conclusion, our study shows no
association between 5HTR1A or 5HTR2A gene polymorphisms and both current suicide
risk and personal history of suicide attempts. In addition, epistatic effects of
5HTR1A and 5HTR2A genes on suicidal behaviour were not significant, although
sample size limitations do not allow definitive conclusions.
PMID- 26544897
TI - 5-Fluorouracil-induced RNA stress engages a TRAIL-DISC-dependent apoptosis axis
facilitated by p53.
AB - Despite recent advances in targeted therapeutics, administration of 5
fluorouracil (5-FU) remains a common clinical strategy for post-surgical
treatment of solid tumors. Although it has been proposed that RNA metabolism is
disturbed by 5-FU treatment, the key cytotoxic response is believed to be
enzymatic inhibition of thymidylate synthase resulting in nucleotide pool
disproportions. An operating p53 tumor suppressor signaling network is in many
cases essential for the efficiency of chemotherapy, and malfunctions within this
system remain a clinical obstacle. Since the fate of chemotherapy-insensitive
tumor cells is rarely described, we performed a comparative analysis of 5-FU
toxicity in p53-deficient cells and conclude that p53 acts as a facilitator
rather than a gatekeeper of cell death. Although p53 can act as a regulator of
several cellular stress responses, no rerouting of cell death mode was observed
in absence of the tumor suppressor. Thus, the final death outcome of 5-FU-treated
p53-/- cells is demonstrated to be caspase-dependent, but due to a slow pace,
accumulation of mitochondrial reactive oxygen species contributes to necrotic
characteristics. The oligomerization status of the p53 target gene DR5 is
determined as a significant limiting factor for the initiation of caspase
activity in an intracellular TRAIL-dependent manner. Using several experimental
approaches, we further conclude that RNA-rather than DNA-related stress follows
by caspase activation irrespectively of p53 status. A distinct 5-FU-induced
stress mechanism is thereby functionally connected to a successive and discrete
cell death signaling pathway. Finally, we provide evidence that silencing of PARP
1 function may be an approach to specifically target p53-deficient cells in 5-FU
combinatorial treatment strategies. Together, our results disclose details of
impaired cell death signaling engaged as a consequence of 5-FU chemotherapy.
Obtained data will contribute to the comprehension of factors restraining 5-FU
efficiency, and by excluding DNA as the main stress target in some cell types
they propose alternatives to currently used and suggested synergistic treatment
regimens.
PMID- 26544899
TI - Stimulant medication effects on growth and bone age in children with attention
deficit/hyperactivity disorder: a prospective cohort study.
AB - Stimulant medication is known to cause transient weight loss and slowing down of
growth, but whether it delays physical maturation is unclear. We studied growth
and bone age over the first 3 years of treatment in children with attention
deficit/hyperactivity disorder (patients) compared with healthy siblings
(controls). Bone age was estimated blindly by two independent radiologists using
Tanner and Whitehouse version 3. Dexamphetamine or methylphenidate was titrated
and continued when clinically indicated. Forty out of 73 patients, together with
22 controls, completed the study. There were no significant growth differences
between the two groups at baseline. Despite slower growth on treatment [5.1
cm/year, 95% confidence interval (CI): 4.7-5.5, vs. 6.3 cm/year, 95% CI: 5.7-6.8,
P=0.002; and 2.7 kg/year, 95% CI: 2.1-3.3, vs. 4.4 kg/year, 95% CI: 3.5-5.3,
P=0.005], the patients showed no significant maturational delay (RUS score: 49
U/year, 95% CI: 44-55, vs. 55 U/year, 95% CI: 47-63, P=0.27). A subgroup of
patients underwent serial biochemistry and dual-energy X-ray absorptiometry,
recording a significant reduction in fat (5.61+/-3.56-4.22+/-3.09 kg, P<0.001)
and leptin (3.88+/-2.87-2.57+/-1.94 ng/ml, P=0.017). The pattern of change in
height z-score over time was modified by the dose of medication (P for
interaction=0.024). We found no medication effect on the rate of maturation,
which was instead predicted by baseline leptin (P=0.035 controlling for age and
sex).
PMID- 26544900
TI - CYP2C9 variants as a risk modifier of NSAID-related gastrointestinal bleeding: a
case-control study.
AB - OBJECTIVE: The aim of this study was to assess whether the CYP2C9*2 and/or *3
variants might modify the risk for NSAID-related upper gastrointestinal bleeding
(UGIB) in NSAID users. PATIENTS AND METHODS: We conducted a multicenter, case
control study in which cases were patients aged more than 18 years with a
diagnosis of UGIB, and controls were matched (1 : 3) by sex, age, date of
admission, and hospital. Exposure was defined as the mean number of defined daily
doses (DDDs) of NSAIDs metabolized by CYP2C9 in the week preceding the index
date. Three DDD categories were defined (0, <= 0.5, and > 0.5). Exposure was
constructed taking both NSAID use and CYP2C9 polymorphisms into account. Patients
of non-European origin were excluded from the analysis. RESULTS: A total of 577
cases and 1343 controls were finally included in the analysis: 103 cases and 89
controls consumed NSAIDs metabolized by CYP2C9, and 88 cases and 177 controls
were CYP2C9*3 carriers. The adjusted odds ratios (aORs) of UGIB associated with
the CYP2C9*2 and wild-type alleles proved to be similar [OR=8.79 (4.50-17.17) and
10.15 (2.92-35.35), respectively] and lower than those of the CYP2C9*3 allele
[aOR=18.07 (6.34-51.53)] for consumers taking more than 0.5 DDDs of NSAIDs
metabolized by CYP2C9. Grouping genotypes into carriers and noncarriers of the
CYP2C9*3 variant resulted in aORs of 16.92 (4.96-57.59) for carriers and 9.72
(4.55-20.76) for noncarriers, where DDDs were greater than 0.5. CONCLUSION: The
presence of the CYP2C9*3 variant increases the risk for UGIB associated with
NSAID for DDDs greater than 0.5. The presence of the CYP2C9*2 allele shows no
such effect.
PMID- 26544901
TI - Human health benefits and burdens of a pharmaceutical treatment: Discussion of a
conceptual integrated approach.
AB - The effects of a pharmaceutical treatment have until now been evaluated by the
field of Health Economics on the patient health benefits, expressed in Quality
Adjusted Life Years (QALYs) versus the monetary costs. However, there is also a
Human Health burden associated with this process, resulting from emissions that
originate from the pharmaceutical production processes, Use Phase and End of Life
(EoL) disposal of the medicine. This Human Health burden is evaluated by the
research field of Life Cycle Assessment (LCA) and expressed in Disability
Adjusted Life Years (DALYs), a metric similar to the QALY. The need for a new
framework presents itself in which both the positive and negative health effects
of a pharmaceutical treatment are integrated into a net Human Health effect. To
do so, this article reviews the methodologies of both Health Economics and the
area of protection Human Health of the LCA methodology and proposes a conceptual
framework on which to base an integration of both health effects. Methodological
issues such as the inclusion of future costs and benefits, discounting and age
weighting are discussed. It is suggested to use the structure of an LCA as a
backbone to cover all methodological challenges involved in the integration. The
possibility of monetizing both Human Health benefits and burdens is explored. The
suggested approach covers the main methodological aspects that should be
considered in an integrated assessment of the health effects of a pharmaceutical
treatment.
PMID- 26544902
TI - Unusual appearance for urinary bladder obstruction detected with 99mTc-MDP bone
scintigraphy.
AB - Unanticipated but clinically significant nonosseous findings can be detected
during routine bone scintigraphy. We present a case of an 83-year-old man who
presented with a pathologic fracture of the right femur. Whole-body bone
scintigraphy for osseous staging revealed intense radiotracer accumulation in the
kidneys and ureters but no activity within the urinary bladder. The patient had
not voided for 14 hours. A Foley catheter was inserted, and more than 2000 mL of
urine was drained, most consistent with urinary bladder obstruction. Subsequent
repeat images demonstrated marked reduction of the renal and ureteral activity
with trace activity in the urinary bladder.
PMID- 26544903
TI - Weak uptake of 123I-MIBG and 18F-FDOPA contrasting with high 18F-FDG uptake in
stage I neuroblastoma.
AB - Hypertension in a 6-year-old girl was the presenting sign of a stage I
neuroblastoma. This tumor corresponded to a left adrenal gland mass. Hypertension
resolved immediately after complete surgical resection of the tumor with an
uneventful follow-up (24 months at the present time). Preoperative assessment by
nuclear medicine techniques showed weak uptake of I-MIBG and F-FDOPA contrasting
with high F-FDG uptake by the tumor.
PMID- 26544904
TI - MRI and FDG PET/CT imaging manifestations of cardiac sarcoidosis.
AB - A 52-year-old man had biopsy-proven sarcoidosis of mediastinal lymph nodes.
Cardiac sarcoidosis was confirmed on cardiac MRI with typical imaging features as
delayed gadolinium enhancement. Follow-up FDG PET/CT with a 3-day pretest diet
modification showed suppression of overall myocardial uptake of FDG but with
multifocal abnormal FDG uptake in the myocardium regions corresponding to the
previous MRI findings. Additional noncardiac active sarcoidosis involving
multiple organ and lymph nodes were also visualized on FDG PET/CT.
PMID- 26544905
TI - Corrigendum to "magnetic resonance imaging in neonatal encephalopathy" [Early
Hum. Dev. 81 (1) (2005 Jan) 13-25].
PMID- 26544906
TI - Cognitive and language performance in children is associated with maternal social
anxiety disorder: A study of young mothers in southern Brazil.
AB - BACKGROUND: It has been shown that maternal mental health is associated with
poorer skills development in the offspring. However, the evidence evaluating the
association between social anxiety disorder (SAD) and cognitive or language
development, is scarce. AIM: To evaluate the association between maternal SAD and
performance in cognitive and language tests in 30-month old children. STUDY
DESIGN: This was a cohort study involving young women evaluated since pregnancy.
SUBJECTS: We evaluated 520 mother-child dyads who received prenatal medical
assistance through the National Public Health System in a southern Brazilian
city, from October 2009 to March 2011. OUTCOME MEASURES: We used the Mini
Neuropsychiatric Interview Plus (MINI Plus) to assess SAD among young mothers.
Cognitive and language performance in their offspring was analyzed using the
Bayley Scales of Infant and Toddler Development - 3rd Edition. RESULTS: We found
an association between maternal SAD and performance in cognitive and language
tests. Children of mothers with SAD had in average 4.5 less points in the Bayley
scale, when compared to those with mothers without SAD: in the cognitive (beta=
4.53 [95% CI -7.8; -1.1] p=0.008) and language subscales (beta=-4.54 [95% CI
9.0; -0.5] p=0.047). CONCLUSIONS: Our findings suggest that children with mothers
suffering from SAD have poorer cognitive abilities and language skills.
PMID- 26544907
TI - The Microbiota and Transgenomic Networks: Potential Implications for Maternal
Fetal Medicine.
AB - The maternal microbiota has long been considered a potential cause for adverse
perinatal outcomes. Gene expression regulators in prokaryotic and eukaryotic
cells are influenced by changes in their microenvironments. We propose the novel
idea that during in utero development, an adaptive and dynamic gene-regulatory
cross talk might exist between the host genome and the maternal microbiota.
Understanding these cross talks could increase the appreciation for the discovery
of new diagnostics and therapeutics in maternal-fetal medicine.
PMID- 26544908
TI - Video-assisted thoracic surgery (VATS) segmentectomy: state of the art.
AB - The role of video-assisted thoracic surgery (VATS) in the treatment of lung
cancer is well established. However a topic of current debate centers on the role
of parenchymal-sparing operations, segmentectomy in particular, in the treatment
of non-small cell lung cancer (NSCLC). Current reports in the literature draw
dramatically different conclusions regarding the efficacy and safety of
segmentectomy versus lobectomy for NSCLC. Two randomized controlled trials are
currently underway to shed further light on this topic. Lobectomy remains the
standard of care, with VATS approaches demonstrating improved morbidity.
Experience in literature suggests segmentectomy is a viable approach for patients
with limited cardiopulmonary reserve, or who would otherwise be unable to
tolerate lobectomy. Thus, VATS segmentectomy is a vital skill in the
armamentarium of today's thoracic surgeon. Minimally invasive approaches to
segmentectomy are accomplished with a certain amount of finesse and a thorough
understanding of the associated anatomy. A technical description of VATS
segmentectomy is provided.
PMID- 26544909
TI - Method for Sorting and Pairwise Selection of Nanobodies for the Development of
Highly Sensitive Sandwich Immunoassays.
AB - Single domain heavychain binders (nanobodies) obtained from camelid antibody
libraries hold a great promise for immunoassay development. However, there is no
simple method to select the most valuable nanobodies from the crowd of positive
clones obtained after the initial screening. In this paper, we describe a novel
nanobody-based platform that allows comparison of the reactivity of hundreds of
clones with the labeled antigen, and identifies the best nanobody pairs for two
site immunoassay development. The output clones are biotinylated in vivo in 96
well culture blocks and then used to saturate the biotin binding capacity of
avidin coated wells. This standardizes the amount of captured antibody allowing
their sorting by ranking their reactivity with the labeled antigen. Using human
soluble epoxide hydrolase (sEH) as a model antigen, we were able to classify 96
clones in four families and confirm this classification by sequencing. This
provided a criterion to select a restricted panel of five capturing antibodies
and to test each of them against the rest of the 96 clones. The method
constitutes a powerful tool for epitope binning, and in our case allowed
development of a sandwich ELISA for sEH with a detection limit of 63 pg/mL and
four log dynamic range, which performed with excellent recovery in different
tissue extracts. This strategy provides a systematic way to test nanobody
pairwise combinations and would have a broad utility for the development of
highly sensitive sandwich immunoassays.
PMID- 26544911
TI - Average and Local Crystal Structures of (Ga(1-x)Znx)(N(1-x)Ox) Solid Solution
Nanoparticles.
AB - We report a comprehensive study of the crystal structure of (Ga(1-x)Znx)(N(1
x)Ox) solid solution nanoparticles by means of neutron and synchrotron X-ray
scattering. In our study, we used four different types of (Ga(1-x)Znx)(N(1-x)Ox)
nanoparticles, with diameters of 10-27 nm and x = 0.075-0.51, which show energy
band gaps from 2.21 to 2.61 eV. Rietveld analysis of the neutron diffraction data
revealed that the average crystal structure is hexagonal wurtzite (space group
P63mc) for the larger nanoparticles, while the crystal structure of smaller
nanoparticles is disordered hexagonal. Pair-distribution-function analysis found
that the intermediate crystal structure retains a "motif" of the average one;
however, the local structure is more disordered. The implications of disorder on
the reduced energy band gap are discussed.
PMID- 26544910
TI - Detection of RNA-Protein Interactions in Living Cells with SHAPE.
AB - SHAPE-MaP is unique among RNA structure probing strategies in that it both
measures flexibility at single-nucleotide resolution and quantifies the
uncertainties in these measurements. We report a straightforward analytical
framework that incorporates these uncertainties to allow detection of RNA
structural differences between any two states, and we use it here to detect RNA
protein interactions in healthy mouse trophoblast stem cells. We validate this
approach by analysis of three model cytoplasmic and nuclear ribonucleoprotein
complexes, in 2 min in-cell probing experiments. In contrast, data produced by
alternative in-cell SHAPE probing methods correlate poorly (r = 0.2) with those
generated by SHAPE-MaP and do not yield accurate signals for RNA-protein
interactions. We then examine RNA-protein and RNA-substrate interactions in the
RNase MRP complex and, by comparing in-cell interaction sites with disease
associated mutations, characterize these noncoding mutations in terms of
molecular phenotype. Together, these results reveal that SHAPE-MaP can define
true interaction sites and infer RNA functions under native cellular conditions
with limited preexisting knowledge of the proteins or RNAs involved.
PMID- 26544912
TI - Solvolysis, Electrochemistry, and Development of Synthetic Building Blocks from
Sawdust.
AB - Either aldehyde or cinnamyl ether products can be selectively extracted from raw
sawdust by controlling the temperature and pressure of a solvolysis reaction.
These materials have been used as platform chemicals for the synthesis of 15
different synthetic substrates. The conversion of the initial sawdust-derived
materials into electron-rich aryl substrates often requires the use of oxidation
and reduction chemistry, and the role electrochemistry can play as a sustainable
method for these transformations has been defined.
PMID- 26544913
TI - Absorption Spectrum of a Ru(II)-Aquo Complex in Vacuo: Resolving Individual
Charge-Transfer Transitions.
AB - Ruthenium(II) complexes are of great interest as homogeneous catalysts and as
photosensitizers; however, their absorption spectra are typically very broad and
offer only little insight into their electronic structure. We present the
electronic spectrum of the aquo complex [(trpy)(bipy)Ru(II)-OH2](2+) measured by
photodissociation spectroscopy of mass-selected ions in vacuo (bipy = 2,2'
bipyridine and trpy = 2,2':6',2"-terpyridine). In the visible and near-UV,
[(trpy)(bipy)Ru(II)-OH2](2+) has several electronic bands that are not resolved
in absorption spectra of this complex in solution but are partially resolved in
vacuo. The experimental results are compared with results from time-dependent
density functional theory calculations.
PMID- 26544914
TI - Atomic Resolution Observation of a Size-Dependent Change in the Ripening Modes of
Mass-Selected Au Nanoclusters Involved in CO Oxidation.
AB - Identifying the ripening modes of supported metal nanoparticles used in
heterogeneous catalysis can provide important insights into the mechanisms that
lead to sintering. We report the observation of a crossover from Smoluchowski to
Ostwald ripening, under realistic reaction conditions, for monomodal populations
of precisely defined gold particles in the nanometer size range, as a function of
decreasing particle size. We study the effects of the CO oxidation reaction on
the size distributions and atomic structures of mass-selected Au(561+/-13),
Au(923+/-20) and Au(2057+/-45) clusters supported on amorphous carbon films.
Under the same conditions, Au(561+/-13) and Au(923+/-20) clusters are found to
exhibit Ostwald ripening, whereas Au(2057+/-45) ripens through cluster diffusion
and coalescence only (Smoluchowski ripening). The Ostwald ripening is not
activated by thermal annealing or heating in O2 alone.
PMID- 26544917
TI - Effect of Boron-Doping on the Graphene Aerogel Used as Cathode for the Lithium
Sulfur Battery.
AB - A porous interconnected 3D boron-doped graphene aerogel (BGA) was prepared via a
one-pot hydrothermal treatment. The BGA material was first loaded with sulfur to
serve as cathode in lithium-sulfur batteries. Boron was positively polarized on
the graphene framework, allowing for chemical adsorption of negative polysufide
species. Compared with nitrogen-doped and undoped graphene aerogel, the BGA-S
cathode could deliver a higher capacity of 994 mA h g(-1) at 0.2 C after 100
cycles, as well as an outstanding rate capability, which indicated the BGA was an
ideal cathode material for lithium-sulfur batteries.
PMID- 26544916
TI - GLI1 expression is an important prognostic factor that contributes to the poor
prognosis of rhabdomyosarcoma.
AB - The GLI1 and MDM2 genes are amplified or exhibit copy number gains in
rhabdomyosarcoma (RMS). Here, we used immunohistochemistry to determine the
relationships between GLI1 and MDM2 protein expression and several
clinicopathological variables of RMS. GLI1 and MDM2-positivity rates were 61.36%
and 13.64%, respectively. GLI1 expression correlated with presence of the PAX3
FOXO1 fusion gene (P=0.040) and lymph node metastasis (P=0.034), and a
significant association was found between GLI1 expression and overall survival
(OS) (P=0.008). However, there was no association between MDM2 expression and any
of the clinicopathological parameters or OS. Thus, GLI1 may be a biomarker of
poor prognosis in RMS patients, and could itself be a therapeutic target. This
contrasts with the apparent lack of clinical importance of MDM2 in RMS pathology,
at least in the cohorts we examined.
PMID- 26544915
TI - The Role of Depression in Retention in Care for Persons Living with HIV.
AB - Individuals infected with HIV experience high rates of depression when compared
to their sero-negative counterparts. Although symptoms of depression have been
consistently linked to poor medication adherence among persons living with
HIV/AIDS, their relation to retention in care are less well-known. The purpose of
this study was to examine whether clusters of depressive symptoms influence
retention in care and if so, whether these clusters had different relations to
retention in care. This is a secondary data analysis of a larger study that
investigated the role of health literacy, cognitive impairment, and social
determinants on retention in HIV care. Individuals with HIV were recruited from
South Florida from August 2009 to May 2011. A total of 210 participants were
included in the current analyses. A measure of visit constancy was calculated to
represent the number of 4-month intervals with at least one kept visit.
Individual items on the Center for Epidemiological Studies Depression Scale short
form (CES-D10) and factor analysis of the CES-D10 were independent variables.
Overall, there was a high prevalence of depressive symptoms in the study
participants. Furthermore, factor analysis showed that certain clusters of
depressive symptoms were significantly associated with visit constancy.
Specifically, negative mood/somatic symptoms were associated with a greater odds
of missing a visit in any of the observed 4-month time periods than positive mood
factor. Those patients reporting somatic symptoms and negative mood may need
additional intervention and support to be effectively retained in care and
successfully follow through with appointments and care.
PMID- 26544918
TI - Hemispheric Specialization within the Superior Anterior Temporal Cortex for
Social and Nonsocial Concepts.
AB - Studies of semantic dementia, imaging, and repetitive TMS have suggested that the
bilateral anterior temporal lobes (ATLs) underpin a modality-invariant
representational hub within the semantic system. Questions remain, however,
regarding functional specialization across a variety of knowledge domains within
the ATL region. We investigated direct evidence for the functional relevance of
the superior ATL in processing social concepts. Using converging evidence from
noninvasive brain stimulation and neuropsychology, we demonstrate graded
differentiation of right and left superior anterior temporal areas in social
cognition. Whereas the left superior ATL is necessary for processing both social
and nonsocial abstract concepts, social conceptual processing predominates in the
right superior ATL. This graded hemispheric specialization is mirrored in the
patient results. Our data shed new light on the classic debate about hemispheric
differences in semantic and social cognition. These results are considered in the
context of models of semantic representation and the emerging data on
connectivity for left and right ATL regions.
PMID- 26544919
TI - Visual Cortical Representation of Whole Words and Hemifield-split Word Parts.
AB - Reading requires the neural integration of visual word form information that is
split between our retinal hemifields. We examined multiple visual cortical areas
involved in this process by measuring fMRI responses while observers viewed words
that changed or repeated in one or both hemifields. We were specifically
interested in identifying brain areas that exhibit decreased fMRI responses as a
result of repeated versus changing visual word form information in each visual
hemifield. Our method yielded highly significant effects of word repetition in a
previously reported visual word form area (VWFA) in occipitotemporal cortex,
which represents hemifield-split words as whole units. We also identified a more
posterior occipital word form area (OWFA), which represents word form information
in the right and left hemifields independently and is thus both functionally and
anatomically distinct from the VWFA. Both the VWFA and the OWFA were left
lateralized in our study and strikingly symmetric in anatomical location relative
to known face-selective visual cortical areas in the right hemisphere. Our
findings are consistent with the observation that category-selective visual areas
come in pairs and support the view that neural mechanisms in left visual cortex-
especially those that evolved to support the visual processing of faces--are
developmentally malleable and become incorporated into a left-lateralized visual
word form network that supports rapid word recognition and reading.
PMID- 26544920
TI - Phonological Processing in Primary Progressive Aphasia.
AB - Individuals with primary progressive aphasia (PPA) show selective breakdown in
regions within the proposed dorsal (articulatory-phonological) and ventral
(lexical-semantic) pathways involved in language processing. Phonological STM
impairment, which has been attributed to selective damage to dorsal pathway
structures, is considered to be a distinctive feature of the logopenic variant of
PPA. By contrast, phonological abilities are considered to be relatively spared
in the semantic variant and are largely unexplored in the nonfluent/agrammatic
variant. Comprehensive assessment of phonological ability in the three variants
of PPA has not been undertaken. We investigated phonological processing skills in
a group of participants with PPA as well as healthy controls, with the goal of
identifying whether patterns of performance support the dorsal versus ventral
functional-anatomical framework and to discern whether phonological ability
differs among PPA subtypes. We also explored the neural bases of phonological
performance using voxel-based morphometry. Phonological performance was impaired
in patients with damage to dorsal pathway structures (nonfluent/agrammatic and
logopenic variants), with logopenic participants demonstrating particular
difficulty on tasks involving nonwords. Binary logistic regression revealed that
select phonological tasks predicted diagnostic group membership in the less
fluent variants of PPA with a high degree of accuracy, particularly in
conjunction with a motor speech measure. Brain-behavior correlations indicated a
significant association between the integrity of gray matter in frontal and
temporoparietal regions of the left hemisphere and phonological skill. Findings
confirm the critical role of dorsal stream structures in phonological processing
and demonstrate unique patterns of impaired phonological processing in logopenic
and nonfluent/agrammatic variants of PPA.
PMID- 26544921
TI - Alternate Ways to Quantify Antibodies.
PMID- 26544922
TI - Retrospective analysis on safety and efficacy of everolimus in treatment of
metastatic renal cancer patients receiving dialysis.
AB - AIMS: This retrospective study aimed to investigate safety and efficacy of
everolimus in patients with metastatic renal cell carcinoma (mRCC) and end-stage
renal disease requiring dialysis. PATIENTS & METHODS: From November 2009 to
December 2012, 11 mRCC patients undergoing dialysis were treated with everolimus
after failure of anti-VEGF therapy at six Italian institutions. Patient
characteristics, safety and outcomes were collected. RESULTS: Progression-free
survival and overall survival were determined using the Kaplan-Meier method.
Median progression-free survival and overall survival were 9.01 and 15.7 months,
respectively. No unexpected adverse events were reported. CONCLUSION: Everolimus
appears to be safe in mRCC patients with renal impairment or end-stage renal
disease requiring dialysis. Larger prospective studies are required to confirm
these findings.
PMID- 26544923
TI - LPS-RS attenuation of lipopolysaccharide-induced acute lung injury involves NF
kappaB inhibition.
AB - In this study, we studied the effect of lipopolysaccharide from Rhodobacter
sphaeroides (LPS-RS), an inhibitor of Toll-like receptor 4 (TLR4), in LPS-induced
acute lung injury (ALI). Male Sprague-Dawley rats were treated with LPS-RS (0.1
mg/kg body mass, by intraperitoneal (i.p.) injection) 1 h before LPS injection
(10 mg/kg, i.p.). Bronchoalveolar lavage fluid (BALF) and lung tissues were
collected 24 h later to determine total and differential cell count, total
protein content, levels of lactate dehydrogenase (LDH), histopathological
changes, markers of oxidative stress, and mRNA expression of the inhibitory
protein nuclear factor kappaB-alpha (NFkappaBIA) and TLR4. Additionally, rings of
pulmonary artery were isolated for measuring vascular reactivity. LPS-induced ALI
was indicated by increases in total and differential cell count, total protein,
and LDH in BALF, and increased lung levels of malondialdehyde (MDA), as well as
decreased activity of reduced glutathione (GSH) and superoxide dismutase (SOD).
Moreover, LPS increased pulmonary artery contraction in response to phenylephrine
(PE). Additionally, LPS downregulated mRNA expression of NFkappaBIA and
upregulated mRNA expression of TLR4. LPS caused a marked inflammation in the lung
tissue, with tubercular granuloma and numerous neutrophils. Pretreatment with LPS
RS protected against LPS-induced ALI by decreasing total and differential cell
count, total protein, and LDH in BALF, and increased pulmonary GSH content and
SOD activity without affecting MDA content. Additionally, it decreased the
elevated PE-induced pulmonary artery contraction. LPS-RS upregulated mRNA
expression of NFkappaBIA and downregulated mRNA expression of TLR4. Moreover, LPS
RS prevented inflammation in lung tissues. In conclusion, pretreatment with LPS
RS protects against LPS-induced ALI in rats through its anti-inflammatory
effects, possibly by decreasing the mRNA expression of TLR4 and increasing that
of NFkappaBIA.
PMID- 26544924
TI - Human Myoblast and Mesenchymal Stem Cell Interactions Visualized by
Videomicroscopy.
AB - Muscle-derived progenitor cell (myoblast) therapy has promise for the treatment
of denervated, weakened, and fibrotic muscle. The best methods for injecting
myoblasts to promote fusion and retention have yet to be determined, however.
Mesenchymal stem/stromal cells have also been reported to have beneficial effects
in restoring damaged tissue, through increasing vascularization and reducing
inflammation. The interactions between human primary skeletal myoblasts and bone
marrow-derived mesenchymal stem/stromal cells were examined using time-lapse
images put into video format. Of interest, there is a high degree of cell-to-cell
interaction with microparticles transferring between both cell types, and
formation of nanotubules to bridge cytoplasmic contents between the two types of
cell. This model provides an in vitro platform for examining mechanisms for cell
to-cell interaction preceding myoblast fusion.
PMID- 26544925
TI - Stapler versus scalpel resection followed by hand-sewn closure of the pancreatic
remnant for distal pancreatectomy.
AB - BACKGROUND: Resections of the pancreatic body and tail reaching to the left of
the superior mesenteric vein are defined as distal pancreatectomy. Most distal
pancreatectomies are elective treatments for chronic pancreatitis, benign or
malignant diseases, and they have high morbidity rates of up to 40%. Pancreatic
fistula formation is the main source of postoperative morbidity, associated with
numerous further complications. Researchers have proposed several surgical
resection and closure techniques of the pancreatic remnant in an attempt to
reduce these complications. The two most common techniques are scalpel resection
followed by hand-sewn closure of the pancreatic remnant and stapler resection and
closure. OBJECTIVES: To compare the rates of pancreatic fistula in people
undergoing distal pancreatectomy using scalpel resection followed by hand-sewn
closure of the pancreatic remnant versus stapler resection and closure. SEARCH
METHODS: We searched Cochrane Central Register of Controlled Trials (CENTRAL),
MEDLINE, EMBASE, Biosis and Science Citation Index from database inception to
October 2015. SELECTION CRITERIA: We included randomised controlled trials (RCTs)
comparing stapler versus scalpel resection followed by hand-sewn closure of the
pancreatic remnant for distal pancreatectomy (irrespective of language or
publication status). DATA COLLECTION AND ANALYSIS: Two authors independently
assessed trials for inclusion and extracted the data. Taking into consideration
the clinical heterogeneity between the trials (e.g. different endpoint
definitions), we analysed data using a random-effects model with Review Manager
(RevMan), calculating risk ratio (RR) or mean difference (MD) with 95% confidence
intervals (CI). MAIN RESULTS: In two eligible trials, a total of 381 participants
underwent distal pancreatic resection and were randomised to closure of the
pancreatic remnant either with stapler (n = 191) or scalpel resection followed by
hand-sewn closure (n = 190). One was a single centre pilot RCT and the other was
a multicentre blinded RCT. The single centre pilot RCT evaluated 69 participants
in five intervention arms (stapler, hand-sewn, fibrin glue, mesh and
pancreaticojejunostomy), although we only assessed the stapler and hand-sewn
closure groups (14 and 15 participants, respectively). The multicentre RCT had
two interventional arms: stapler (n = 177) and hand-sewn closure (n = 175). The
rate of postoperative pancreatic fistula was the main outcome, and it occurred in
79 of 190 participants in the hand-sewn group compared to 65 of 191 participants
in the stapler group. Neither the individual trials nor the meta-analysis showed
a significant difference between resection techniques (RR 0.90; 95% CI 0.55 to
1.45; P = 0.66). In the same way, postoperative mortality and operation time did
not differ significantly. The single centre RCT had an unclear risk of bias in
the randomisation, allocation and both blinding domains. However, the much larger
multicentre RCT had a low risk of bias in all domains. Due to the small number of
events and the wide confidence intervals that cannot exclude clinically important
benefit or harm with stapler versus hand-sewn closure, there is a serious
possibility of imprecision, making the overall quality of evidence moderate.
AUTHORS' CONCLUSIONS: The quality of evidence is moderate and mainly based on the
high weight of the results of one multicentre RCT. Unfortunately, there are no
other completed RCTs on this topic except for one relevant ongoing trial. Neither
stapler nor scalpel resection followed by hand-sewn closure of the pancreatic
remnant for distal pancreatectomy showed any benefit compared to the other method
in terms of postoperative pancreatic fistula, overall postoperative mortality or
operation time. Currently, the choice of closure is left up to the preference of
the individual surgeon and the anatomical characteristics of the patient. Another
(non-European) multicentre trial (e.g. with an equality or non-inferiority
design) would help to corroborate the findings of this meta-analysis. Future
trials assessing novel methods of stump closure should compare them either with
stapler or hand-sewn closure as a control group to ensure comparability of
results.
PMID- 26544927
TI - Cardiomyocyte Cell-Cycle Activity during Preadolescence.
PMID- 26544928
TI - Cardiomyocytes Replicate and their Numbers Increase in Young Hearts.
PMID- 26544929
TI - Radical Sabbaticals.
PMID- 26544930
TI - Peroxisomes Get Loud: A Redox Antidote to Hearing Loss.
AB - Pejvakin (PJVK), a protein originally identified in Persian families with
sensorineural hearing loss, regulates peroxisomal dynamics and the antioxidant
defense triggered by noise exposure in hair cells and auditory neurons of the
inner ear. These findings bring peroxisomes to the forefront of noise-induced
hearing loss research.
PMID- 26544931
TI - Histone Marks Direct Chromosome Segregation.
AB - Germline stem cells divide asymmetrically, producing a self-renewing stem cell
and a differentiating progenitor. Xie et al. now show that this depends on two
asymmetric events that together partition a genome copy, carrying the old
histones to the stem cell daughter and a copy with new, unmarked histones to the
differentiating daughter.
PMID- 26544932
TI - Aire Gets Company for Immune Tolerance.
AB - A specialized subset of epithelial cells in the thymus "promiscuously"
transcribes thousands of peripheral genes to ensure that developing T cells can
test their antigen receptors for dangerous autoreactivity. New findings by Takaba
et al. indicate that the transcription factor Fezf2 acts independently of Aire in
thymic epithelial cells to generate "genetic noise" for immunological tolerance.
PMID- 26544933
TI - Modifications on Translation Initiation.
AB - Two studies by Meyer et al. and Wang et al. demonstrate a role for m(6)A
modification of mRNA in stimulating translation initiation. These findings add to
the growing number of diverse mechanisms for translation initiation in
eukaryotes.
PMID- 26544934
TI - Design and Analysis of Single-Cell Sequencing Experiments.
AB - Recent advances in single-cell sequencing hold great potential for exploring
biological systems with unprecedented resolution. Sequencing the genome of
individual cells can reveal somatic mutations and allows the investigation of
clonal dynamics. Single-cell transcriptome sequencing can elucidate the cell type
composition of a sample. However, single-cell sequencing comes with major
technical challenges and yields complex data output. In this Primer, we provide
an overview of available methods and discuss experimental design and single-cell
data analysis. We hope that these guidelines will enable a growing number of
researchers to leverage the power of single-cell sequencing.
PMID- 26544936
TI - The LC Domain of hnRNPA2 Adopts Similar Conformations in Hydrogel Polymers,
Liquid-like Droplets, and Nuclei.
AB - Many DNA and RNA regulatory proteins contain polypeptide domains that are
unstructured when analyzed in cell lysates. These domains are typified by an over
representation of a limited number of amino acids and have been termed prion
like, intrinsically disordered or low-complexity (LC) domains. When incubated at
high concentration, certain of these LC domains polymerize into labile, amyloid
like fibers. Here, we report methods allowing the generation of a molecular
footprint of the polymeric state of the LC domain of hnRNPA2. By deploying this
footprinting technique to probe the structure of the native hnRNPA2 protein
present in isolated nuclei, we offer evidence that its LC domain exists in a
similar conformation as that described for recombinant polymers of the protein.
These observations favor biologic utility to the polymerization of LC domains in
the pathway of information transfer from gene to message to protein.
PMID- 26544937
TI - 53BP1 and the LINC Complex Promote Microtubule-Dependent DSB Mobility and DNA
Repair.
AB - Increased mobility of chromatin surrounding double-strand breaks (DSBs) has been
noted in yeast and mammalian cells but the underlying mechanism and its
contribution to DSB repair remain unclear. Here, we use a telomere-based system
to track DNA damage foci with high resolution in living cells. We find that the
greater mobility of damaged chromatin requires 53BP1, SUN1/2 in the linker of the
nucleoskeleton, and cytoskeleton (LINC) complex and dynamic microtubules. The
data further demonstrate that the excursions promote non-homologous end joining
of dysfunctional telomeres and implicated Nesprin-4 and kinesins in telomere
fusion. 53BP1/LINC/microtubule-dependent mobility is also evident at irradiation
induced DSBs and contributes to the mis-rejoining of drug-induced DSBs in BRCA1
deficient cells showing that DSB mobility can be detrimental in cells with
numerous DSBs. In contrast, under physiological conditions where cells have only
one or a few lesions, DSB mobility is proposed to prevent errors in DNA repair.
PMID- 26544938
TI - Hypervulnerability to Sound Exposure through Impaired Adaptive Proliferation of
Peroxisomes.
AB - A deficiency in pejvakin, a protein of unknown function, causes a strikingly
heterogeneous form of human deafness. Pejvakin-deficient (Pjvk(-/-)) mice also
exhibit variable auditory phenotypes. Correlation between their hearing
thresholds and the number of pups per cage suggest a possible harmful effect of
pup vocalizations. Direct sound or electrical stimulation show that the cochlear
sensory hair cells and auditory pathway neurons of Pjvk(-/-) mice and patients
are exceptionally vulnerable to sound. Subcellular analysis revealed that
pejvakin is associated with peroxisomes and required for their oxidative-stress
induced proliferation. Pjvk(-/-) cochleas display features of marked oxidative
stress and impaired antioxidant defenses, and peroxisomes in Pjvk(-/-) hair cells
show structural abnormalities after the onset of hearing. Noise exposure rapidly
upregulates Pjvk cochlear transcription in wild-type mice and triggers peroxisome
proliferation in hair cells and primary auditory neurons. Our results reveal that
the antioxidant activity of peroxisomes protects the auditory system against
noise-induced damage.
PMID- 26544935
TI - Hippo Pathway in Organ Size Control, Tissue Homeostasis, and Cancer.
AB - Two decades of studies in multiple model organisms have established the Hippo
pathway as a key regulator of organ size and tissue homeostasis. By inhibiting
YAP and TAZ transcription co-activators, the Hippo pathway regulates cell
proliferation, apoptosis, and stemness in response to a wide range of
extracellular and intracellular signals, including cell-cell contact, cell
polarity, mechanical cues, ligands of G-protein-coupled receptors, and cellular
energy status. Dysregulation of the Hippo pathway exerts a significant impact on
cancer development. Further investigation of the functions and regulatory
mechanisms of this pathway will help uncovering the mystery of organ size control
and identify new targets for cancer treatment.
PMID- 26544939
TI - Vesicle-Mediated Steroid Hormone Secretion in Drosophila melanogaster.
AB - Steroid hormones are a large family of cholesterol derivatives regulating
development and physiology in both the animal and plant kingdoms, but little is
known concerning mechanisms of their secretion from steroidogenic tissues. Here,
we present evidence that in Drosophila, endocrine release of the steroid hormone
ecdysone is mediated through a regulated vesicular trafficking mechanism.
Inhibition of calcium signaling in the steroidogenic prothoracic gland results in
the accumulation of unreleased ecdysone, and the knockdown of calcium-mediated
vesicle exocytosis components in the gland caused developmental defects due to
deficiency of ecdysone. Accumulation of synaptotagmin-labeled vesicles in the
gland is observed when calcium signaling is disrupted, and these vesicles contain
an ABC transporter that functions as an ecdysone pump to fill vesicles. We
propose that trafficking of steroid hormones out of endocrine cells is not always
through a simple diffusion mechanism as presently thought, but instead can
involve a regulated vesicle-mediated release process.
PMID- 26544940
TI - Stable Chromosome Condensation Revealed by Chromosome Conformation Capture.
AB - Chemical cross-linking and DNA sequencing have revealed regions of intra
chromosomal interaction, referred to as topologically associating domains (TADs),
interspersed with regions of little or no interaction, in interphase nuclei. We
find that TADs and the regions between them correspond with the bands and
interbands of polytene chromosomes of Drosophila. We further establish the
conservation of TADs between polytene and diploid cells of Drosophila. From
direct measurements on light micrographs of polytene chromosomes, we then deduce
the states of chromatin folding in the diploid cell nucleus. Two states of
folding, fully extended fibers containing regulatory regions and promoters, and
fibers condensed up to 10-fold containing coding regions of active genes,
constitute the euchromatin of the nuclear interior. Chromatin fibers condensed up
to 30-fold, containing coding regions of inactive genes, represent the
heterochromatin of the nuclear periphery. A convergence of molecular analysis
with direct observation thus reveals the architecture of interphase chromosomes.
PMID- 26544941
TI - Estrogen Receptor beta Modulates Apoptosis Complexes and the Inflammasome to
Drive the Pathogenesis of Endometriosis.
AB - Alterations in estrogen-mediated cellular signaling play an essential role in the
pathogenesis of endometriosis. In addition to higher estrogen receptor (ER) beta
levels, enhanced ERbeta activity was detected in endometriotic tissues, and the
inhibition of enhanced ERbeta activity by an ERbeta-selective antagonist
suppressed mouse ectopic lesion growth. Notably, gain of ERbeta function
stimulated the progression of endometriosis. As a mechanism to evade endogenous
immune surveillance for cell survival, ERbeta interacts with cellular apoptotic
machinery in the cytoplasm to inhibit TNF-alpha-induced apoptosis. ERbeta also
interacts with components of the cytoplasmic inflammasome to increase interleukin
1beta and thus enhance its cellular adhesion and proliferation properties.
Furthermore, this gain of ERbeta function enhances epithelial-mesenchymal
transition signaling, thereby increasing the invasion activity of endometriotic
tissues for establishment of ectopic lesions. Collectively, we reveal how
endometrial tissue generated by retrograde menstruation can escape immune
surveillance and develop into sustained ectopic lesions via gain of ERbeta
function.
PMID- 26544942
TI - Fezf2 Orchestrates a Thymic Program of Self-Antigen Expression for Immune
Tolerance.
AB - Self-tolerance to immune reactions is established via promiscuous expression of
tissue-restricted antigens (TRAs) in medullary thymic epithelial cells (mTECs),
leading to the elimination of T cells that respond to self-antigens. The
transcriptional regulator Aire has been thought to be sufficient for the
induction of TRAs, despite some indications that other factors may promote TRA
expression in the thymus. Here, we show that the transcription factor Fezf2
directly regulates various TRA genes in mTECs independently of Aire. Mice lacking
Fezf2 in mTECs displayed severe autoimmune symptoms, including the production of
autoantibodies and inflammatory cell infiltration targeted to peripheral organs.
These responses differed from those detected in Aire-deficient mice. Furthermore,
Fezf2 expression and Aire expression are regulated by distinct signaling pathways
and promote the expression of different classes of proteins. Thus, two
independent factors, Fezf2 and Aire, permit the expression of TRAs in the thymus
to ensure immune tolerance.
PMID- 26544943
TI - Dissecting Polyclonal Vaccine-Induced Humoral Immunity against HIV Using Systems
Serology.
AB - While antibody titers and neutralization are considered the gold standard for the
selection of successful vaccines, these parameters are often inadequate
predictors of protective immunity. As antibodies mediate an array of extra
neutralizing Fc functions, when neutralization fails to predict protection,
investigating Fc-mediated activity may help identify immunological correlates and
mechanism(s) of humoral protection. Here, we used an integrative approach termed
Systems Serology to analyze relationships among humoral responses elicited in
four HIV vaccine trials. Each vaccine regimen induced a unique humoral "Fc
fingerprint." Moreover, analysis of case:control data from the first moderately
protective HIV vaccine trial, RV144, pointed to mechanistic insights into immune
complex composition that may underlie protective immunity to HIV. Thus, multi
dimensional relational comparisons of vaccine humoral fingerprints offer a unique
approach for the evaluation and design of novel vaccines against pathogens for
which correlates of protection remain elusive.
PMID- 26544945
TI - No Evidence for Cardiomyocyte Number Expansion in Preadolescent Mice.
AB - The magnitude of cardiomyocyte generation in the adult heart has been heavily
debated. A recent report suggests that during mouse preadolescence, cardiomyocyte
proliferation leads to a 40% increase in the number of cardiomyocytes. Such an
expansion would change our understanding of heart growth and have far-reaching
implications for cardiac regeneration. Here, using design-based stereology, we
found that cardiomyocyte proliferation accounted for 30% of postnatal DNA
synthesis; however, we were unable to detect any changes in cardiomyocyte number
after postnatal day 11. (15)N-thymidine and BrdU analyses provided no evidence
for a proliferative peak in preadolescent mice. By contrast, cardiomyocyte
multinucleation comprises 57% of postnatal DNA synthesis, followed by
cardiomyocyte nuclear polyploidisation, contributing with 13% to DNA synthesis
within the second and third postnatal weeks. We conclude that the majority of
cardiomyocytes is set within the first postnatal week and that this event is
followed by two waves of non-replicative DNA synthesis. This Matters Arising
paper is in response to Naqvi et al. (2014), published in Cell. See also the
associated Correspondence by Soonpaa et al. (2015), and the response by Naqvi et
al. (2015), published in this issue.
PMID- 26544946
TI - SnapShot: T Cell Exhaustion.
PMID- 26544947
TI - Application of chromatography technology in the separation of active alkaloids
from Hypecoum leptocarpum and their inhibitory effect on fatty acid synthase.
AB - A method that involved the combination of pH-zone-refining counter-current
chromatography and semipreparative reversed-phase liquid chromatography has been
established for the preparative separation of alkaloids from Hypecoum
leptocarpum. From 1.2 g of crude sample, 31 mg N-feruloyltyramine, 27 mg
oxohydrastinine, 47 mg hydroprotopine, 25 mg leptopidine, and 18 mg hypecocarpine
have been obtained. The structure of the new compound, hypecocarpine, is
confirmed based on the analysis of spectroscopic data, including NMR, UV, and IR
spectroscopy and positive electrospray ionization mass spectrometry. The known
chemical structures were characterized on the basis of (1) H and (13) C NMR
spectroscopy. The purities of the five alkaloids are all over 92.7% as determined
by high-performance liquid chromatography. The alkaloids' cytotoxicity in breast
cancer cells is assessed by using a Cell Counting Kit assay and their inhibitory
effect on fatty acid synthase expression is assessed by a Western blot assay.
These results suggest that leptopidine could suppress growth and induce
cytotoxicity in breast cancer cells and that the cytotoxicity of leptopidine may
be related to its inhibitory effect on fatty acid synthase expression.
PMID- 26544948
TI - Simultaneous Detection of Both Single Nucleotide Variations and Copy Number
Alterations by Next-Generation Sequencing in Gorlin Syndrome.
AB - Gorlin syndrome (GS) is an autosomal dominant disorder that predisposes affected
individuals to developmental defects and tumorigenesis, and caused mainly by
heterozygous germline PTCH1 mutations. Despite exhaustive analysis, PTCH1
mutations are often unidentifiable in some patients; the failure to detect
mutations is presumably because of mutations occurred in other causative genes or
outside of analyzed regions of PTCH1, or copy number alterations (CNAs). In this
study, we subjected a cohort of GS-affected individuals from six unrelated
families to next-generation sequencing (NGS) analysis for the combined screening
of causative alterations in Hedgehog signaling pathway-related genes. Specific
single nucleotide variations (SNVs) of PTCH1 causing inferred amino acid changes
were identified in four families (seven affected individuals), whereas CNAs
within or around PTCH1 were found in two families in whom possible causative SNVs
were not detected. Through a targeted resequencing of all coding exons, as well
as simultaneous evaluation of copy number status using the alignment map files
obtained via NGS, we found that GS phenotypes could be explained by PTCH1
mutations or deletions in all affected patients. Because it is advisable to
evaluate CNAs of candidate causative genes in point mutation-negative cases, NGS
methodology appears to be useful for improving molecular diagnosis through the
simultaneous detection of both SNVs and CNAs in the targeted genes/regions.
PMID- 26544949
TI - Ernst Rudin's Unpublished 1922-1925 Study "Inheritance of Manic-Depressive
Insanity": Genetic Research Findings Subordinated to Eugenic Ideology.
AB - In the early 20th century, there were few therapeutic options for mental illness
and asylum numbers were rising. This pessimistic outlook favoured the rise of the
eugenics movement. Heredity was assumed to be the principal cause of mental
illness. Politicians, scientists and clinicians in North America and Europe
called for compulsory sterilisation of the mentally ill. Psychiatric genetic
research aimed to prove a Mendelian mode of inheritance as a scientific
justification for these measures. Ernst Rudin's seminal 1916 epidemiological
study on inheritance of dementia praecox featured large, systematically
ascertained samples and statistical analyses. Rudin's 1922-1925 study on the
inheritance of "manic-depressive insanity" was completed in manuscript form, but
never published. It failed to prove a pattern of Mendelian inheritance, counter
to the tenets of eugenics of which Rudin was a prominent proponent. It appears he
withheld the study from publication, unable to reconcile this contradiction, thus
subordinating his carefully derived scientific findings to his ideological
preoccupations. Instead, Rudin continued to promote prevention of assumed
hereditary mental illnesses by prohibition of marriage or sterilisation and was
influential in the introduction by the National Socialist regime of the 1933 "Law
for the Prevention of Hereditarily Diseased Offspring" (Gesetz zur Verhutung
erbkranken Nachwuchses).
PMID- 26544950
TI - Lung Microbiota Changes Associated with Chronic Pseudomonas aeruginosa Lung
Infection and the Impact of Intravenous Colistimethate Sodium.
AB - BACKGROUND: Exacerbations associated with chronic lung infection with Pseudomonas
aeruginosa are a major contributor to morbidity, mortality and premature death in
cystic fibrosis. Such exacerbations are treated with antibiotics, which generally
lead to an improvement in lung function and reduced sputum P. aeruginosa density.
This potentially suggests a role for the latter in the pathogenesis of
exacerbations. However, other data suggesting that changes in P. aeruginosa
sputum culture status may not reliably predict an improvement in clinical status,
and data indicating no significant changes in either total bacterial counts or in
P. aeruginosa numbers in sputum samples collected prior to pulmonary exacerbation
sheds doubt on this assumption. We used our recently developed lung segmental
model of chronic Pseudomonas infection in sheep to investigate the lung
microbiota changes associated with chronic P. aeruginosa lung infection and the
impact of systemic therapy with colistimethate sodium (CMS).
METHODOLOGY/PRINCIPAL FINDINGS: We collected protected specimen brush (PSB)
samples from sheep (n = 8) both prior to and 14 days after establishment of
chronic local lung infection with P aeruginosa. Samples were taken from both
directly infected lung segments (direct) and segments spatially remote to such
sites (remote). Four sheep were treated with daily intravenous injections of CMS
between days 7 and 14, and four were treated with a placebo. Necropsy examination
at d14 confirmed the presence of chronic local lung infection and lung pathology
in every direct lung segment. The predominant orders in lung microbiota
communities before infection were Bacillales, Actinomycetales and Clostridiales.
While lung microbiota samples were more likely to share similarities with other
samples derived from the same lung, considerable within- and between-animal
heterogeneity could be appreciated. Pseudomonadales joined the aforementioned
list of predominant orders in lung microbiota communities after infection. Whilst
treatment with CMS appeared to have little impact on microbial community
composition after infection, or the change undergone by communities in reaching
that state, when Gram negative organisms (excluding Pseudomonadales) were
considered together as a group there was a significant decrease in their relative
proportion that was only observed in the sheep treated with CMS. With only one
exception the reduction was seen in both direct and remote lung segments. This
reduction, coupled with generally increasing or stable levels of Pseudomonadales,
meant that the proportion of the latter relative to total Gram negative bacteria
increased in all bar one direct and one remote lung segment.
CONCLUSIONS/SIGNIFICANCE: The proportional increase in Pseudomonadales relative
to other Gram negative bacteria in the lungs of sheep treated with systemic CMS
highlights the potential for such therapies to inadvertently select or create a
niche for bacteria seeding from a persistent source of chronic infection.
PMID- 26544951
TI - Laparoscopic fundoplication surgery versus medical management for gastro
oesophageal reflux disease (GORD) in adults.
AB - BACKGROUND: Gastro-oesophageal reflux disease (GORD) is a common condition with
3% to 33% of people from different parts of the world suffering from GORD. There
is considerable uncertainty about whether people with GORD should receive an
operation or medical treatment for controlling the condition. OBJECTIVES: To
assess the benefits and harms of laparoscopic fundoplication versus medical
treatment for people with gastro-oesophageal reflux disease. SEARCH METHODS: We
searched the Cochrane Upper Gastrointestinal and Pancreatic Diseases Group (UGPD)
Trials Register (June 2015), Cochrane Central Register of Controlled Trials
(CENTRAL) (The Cochrane Library Issue 6, 2015), Ovid MEDLINE (1966 to June 2015),
and EMBASE (1980 to June 2015) to identify randomised controlled trials. We also
searched the references of included trials to identify further trials. SELECTION
CRITERIA: We considered only randomised controlled trials (RCT) comparing
laparoscopic fundoplication with medical treatment in people with GORD
irrespective of language, blinding, or publication status for inclusion in the
review. DATA COLLECTION AND ANALYSIS: Two review authors independently identified
trials and independently extracted data. We calculated the risk ratio (RR) or
standardised mean difference (SMD) with 95% confidence intervals (CI) using both
fixed-effect and random-effects models with RevMan 5 based on available case
analysis. MAIN RESULTS: Four studies met the inclusion criteria for the review,
and provided information on one or more outcomes for the review. A total of 1160
participants in the four RCTs were either randomly assigned to laparoscopic
fundoplication (589 participants) or medical treatment with proton pump
inhibitors (571 participants). All the trials included participants who had had
reflux symptoms for at least six months and had received long-term acid
suppressive therapy. All the trials included only participants who could undergo
surgery if randomised to the surgery arm. All of the trials were at high risk of
bias. The overall quality of evidence was low or very low. None of the trials
reported long-term health-related quality of life (HRQoL) or GORD-specific
quality of life (QoL).The difference between laparoscopic fundoplication and
medical treatment was imprecise for overall short-term HRQOL (SMD 0.14, 95% CI
0.02 to 0.30; participants = 605; studies = 3), medium-term HRQOL (SMD 0.03, 95%
CI -0.19 to 0.24; participants = 323; studies = 2), medium-term GORD-specific QoL
(SMD 0.28, 95% CI -0.27 to 0.84; participants = 994; studies = 3), proportion of
people with adverse events (surgery: 7/43 (adjusted proportion = 14.0%); medical:
0/40 (0.0%); RR 13.98, 95% CI 0.82 to 237.07; participants = 83; studies = 1),
long-term dysphagia (surgery: 27/118 (adjusted proportion = 22.9%); medical:
28/110 (25.5%); RR 0.90, 95% CI 0.57 to 1.42; participants = 228; studies = 1),
and long-term reflux symptoms (surgery: 29/118 (adjusted proportion = 24.6%);
medical: 41/115 (35.7%); RR 0.69, 95% CI 0.46 to 1.03; participants = 233;
studies = 1).The short-term GORD-specific QoL was better in the laparoscopic
fundoplication group than in the medical treatment group (SMD 0.58, 95% CI 0.46
to 0.70; participants = 1160; studies = 4).The proportion of people with serious
adverse events (surgery: 60/331 (adjusted proportion = 18.1%); medical: 38/306
(12.4%); RR 1.46, 95% CI 1.01 to 2.11; participants = 637; studies = 2), short
term dysphagia (surgery: 44/331 (adjusted proportion = 12.9%); medical: 11/306
(3.6%); RR 3.58, 95% CI 1.91 to 6.71; participants = 637; studies = 2), and
medium-term dysphagia (surgery: 29/288 (adjusted proportion = 10.2%); medical:
5/266 (1.9%); RR 5.36, 95% CI 2.1 to 13.64; participants = 554; studies = 1) was
higher in the laparoscopic fundoplication group than in the medical treatment
group.The proportion of people with heartburn at short term (surgery: 29/288
(adjusted proportion = 10.0%); medical: 59/266 (22.2%); RR 0.45, 95% CI 0.30 to
0.69; participants = 554; studies = 1), medium term (surgery: 12/288 (adjusted
proportion = 4.2%); medical: 59/266 (22.2%); RR 0.19, 95% CI 0.10 to 0.34;
participants = 554; studies = 1), long term (surgery: 46/111 (adjusted proportion
= 41.2%); medical: 78/106 (73.6%); RR 0.56, 95% CI 0.44 to 0.72); participants =
217; studies = 1) and those with reflux symptoms at short-term (surgery: 6/288
(adjusted proportion = 2.0%); medical: 53/266 (19.9%); RR 0.10, 95% CI 0.05 to
0.24; participants = 554; studies = 1) and medium term (surgery: 6/288 (adjusted
proportion = 2.1%); medical: 37/266 (13.9%); RR 0.15, 95% CI 0.06 to 0.35;
participants = 554; studies = 1) was less in the laparoscopic fundoplication
group than in the medical treatment group. AUTHORS' CONCLUSIONS: There is
considerable uncertainty in the balance of benefits versus harms of laparoscopic
fundoplication compared to long-term medical treatment with proton pump
inhibitors. Further RCTs of laparoscopic fundoplication versus medical management
in patients with GORD should be conducted with outcome-assessor blinding and
should include all participants in the analysis. Such trials should include long
term patient-orientated outcomes such as treatment-related adverse events
(including severity), quality of life, and also report on the social and economic
impact of the adverse events and symptoms.
PMID- 26544952
TI - Lack of Acute Hand Care in the Southwest United States.
AB - OBJECTIVES: To describe the epidemiology of acute hand injuries and hand
infections and to describe the factors associated with the transfer of these
patients to a level 1 trauma center. In addition, we sought to understand
management before transfer. DESIGN: Retrospective review of patients with hand
trauma or hand infection transferred to our level 1 trauma center from May 2009
to August 2011. We also identified hospitals with emergency departments (EDs) in
our region and surveyed ED providers in these hospitals with regard to acute hand
care. SETTING: A level 1 trauma center in the United States. PATIENTS: Four
hundred sixty consecutive transfers for acute hand care. RESULTS: The average
patient age was 38. Most were male (84%), uninsured (51%), and from another
county (59%). The average distance of transfer was 51 miles, and 80% were
transferred by ground ambulance. The most common reasons for transfer were
amputations (24%), infections (21%), lacerations (17%), and
fractures/dislocations (16%). Of the 345 hospitals with an ED surveyed, 71% never
had hand surgery coverage. CONCLUSIONS: Patients transferred for acute hand care
were young and male, and traveled an average 51 miles to get to our center. More
than half of these patients were treated and discharged from our ED. This
indicates that a majority may have been managed in a clinic setting. Most EDs in
our region do not have a hand surgeon available. Most emergency physicians
surveyed had received little training in management of acute hand injuries and
hand infections. Further research is needed to identify methods to remove
barriers to provision of care for patients with hand trauma. LEVEL OF EVIDENCE:
Prognostic Level IV. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 26544953
TI - Factors Associated With Development of Nonunion or Delayed Healing After an Open
Long Bone Fracture: A Prospective Cohort Study of 736 Subjects.
AB - OBJECTIVES: To determine factors associated with developing nonunion or delayed
healing after open fracture. DESIGN: Prospective cohort between 2001 and 2009.
SETTING: Three level 1 Canadian trauma centers. PARTICIPANTS: Seven hundred
thirty-six (791 fractures) subjects were enrolled. Six hundred eighty-nine (94%)
subjects (739 fractures) provided adequate outcome data. INTERVENTION: Subjects
were followed until fracture(s) healed; phone interviews and chart reviews were
conducted 1 year after fracture. Patient, fracture, and injury information, and
time to surgery and antibiotics were recorded during hospitalization. MAIN
OUTCOME MEASUREMENTS: Nonunion defined as unplanned surgical intervention after
definitive wound closure or incomplete radiographic healing at 1 year and delayed
healing defined as 2 consecutive clinical assessments showing no radiographic
progression or incomplete radiographic healing between 6 months and 1 year.
RESULTS: There were 413 (52%) tibia/fibular, 285 (36%) upper extremity, and 93
(13%) femoral fractures. Nonunion developed in 124 (17%) and delayed healing in
63 (8%) fractures. The median time to surgery was not different for fractures
that developed nonunion compared with those who did not (P = 0.36). Deep
infection [Odd ratio (OR) 12.75; 95% confidence interval (CI) 6.07-26.8], grade
3A fractures (OR 2.49; 95% CI, 1.30-4.78), and smoking (OR 1.73; 95% CI, 1.09
2.76) were significantly associated with developing a nonunion. Delayed healing
was also significantly associated with deep infection (OR 4.34; 95% CI, 1.22
15.48) and grade 3B/C fractures (OR 3.69; 95% CI, 1.44-9.44). Multivariate
regression found no association between nonunion and time to surgery (P = 0.15)
or antibiotics (P = 0.70). CONCLUSIONS: Deep infection and higher Gustilo grade
fractures were associated with nonunion and delayed healing. LEVEL OF EVIDENCE:
Prognostic Level I. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 26544944
TI - The Molecular Taxonomy of Primary Prostate Cancer.
AB - There is substantial heterogeneity among primary prostate cancers, evident in the
spectrum of molecular abnormalities and its variable clinical course. As part of
The Cancer Genome Atlas (TCGA), we present a comprehensive molecular analysis of
333 primary prostate carcinomas. Our results revealed a molecular taxonomy in
which 74% of these tumors fell into one of seven subtypes defined by specific
gene fusions (ERG, ETV1/4, and FLI1) or mutations (SPOP, FOXA1, and IDH1).
Epigenetic profiles showed substantial heterogeneity, including an IDH1 mutant
subset with a methylator phenotype. Androgen receptor (AR) activity varied widely
and in a subtype-specific manner, with SPOP and FOXA1 mutant tumors having the
highest levels of AR-induced transcripts. 25% of the prostate cancers had a
presumed actionable lesion in the PI3K or MAPK signaling pathways, and DNA repair
genes were inactivated in 19%. Our analysis reveals molecular heterogeneity among
primary prostate cancers, as well as potentially actionable molecular defects.
PMID- 26544954
TI - Augmentation of Fracture Healing Using Soft Callus.
AB - OBJECTIVES: This study sought to investigate the effect of soft callus removal
and reapplication in a rat closed femur fracture model. We hypothesized that
removing soft callus will impair fracture healing, whereas reapplication will
facilitate healing. METHODS: A closed midshaft femur fracture was created in 78
rats and stabilized with an intramedullary wire. Seven days later, rats were
equally divided and fractures surgically exposed. In the control group, no callus
was removed, whereas in the callus removal group CR(-) group, the callus was
removed and in the callus replaced group CR(+), callus was removed and replaced.
Half of the rats were killed at 4 and 7 weeks. Fracture healing was graded with
radiographs and callus volume measured with micro-CT. Mechanical torsion
properties were measured, and histologic analysis was conducted. RESULTS: At both
end points, evidence of delayed healing was found on radiographs and micro-CT in
CR(-) rats (P = 0.0001), whereas CR(+) rats showed normal fracture healing
compared with controls. The normalized callus volume was similar in all groups at
both end points. At 7 weeks, the maximum stiffness in CR(-) rats was 68% less
than control (P = 0.0001). Stiffness increased 55% in CR(+) rats from CR(-) rats
(P = 0.0017). Histology supported our findings with complete endochondral
ossification in CR(+) rats but wide areas of hyaline cartilage in CR(-) rats at 7
weeks. CONCLUSIONS: Removal of soft callus in a rat model delays fracture healing
at early and late time points, whereas replacement mitigates these negative
consequences. Replacing the soft callus should be considered in all
osteosynthesis procedures.
PMID- 26544957
TI - Intraoperative Mapping and Monitoring for Rootlets of the Lower Cranial Nerves
Related to Vocal Cord Movement.
AB - BACKGROUND: Damage to the motor division of the lower cranial nerves that run
into the jugular foramen leads to hoarseness, dysphagia, and the risk of
aspiration pneumonia; therefore, its functional preservation during surgical
procedures is important. Intraoperative mapping and monitoring of the motor
rootlets at the cerebellomedullary cistern using endotracheal tube electrodes is
a safe and effective procedure to prevent its injury. OBJECTIVE: To study the
location of the somatic and autonomic motor fibers of the lower cranial nerves
related to vocal cord movement. METHODS: Twenty-four patients with pathologies at
the cerebellopontine lesion were studied. General anesthesia was maintained with
fentanyl and propofol. A monopolar stimulator was used at amplitudes of 0.05 to
0.1 mA. Both acoustic and visual signals were displayed as vocalis muscle
electromyographic activity using endotracheal tube surface electrodes. RESULTS:
The average number of rootlets was 7.4 (range, 5-10); 75% of patients had 7 or 8
rootlets. As many as 6 rootlets (2-4 in most cases) were responsive in each
patient. In 23 of the 24 patients, the responding rootlets congregated on the
caudal side. The maximum electromyographic response was predominantly in the most
caudal or second most caudal rootlet in 79%. CONCLUSION: The majority of motor
fibers of the lower cranial nerves run through the caudal part of the rootlets at
the cerebellomedullary cistern, and the maximal electromyographic response was
elicited at the most caudal or second most caudal rootlet. ABBREVIATION: EMG,
electromyographic.
PMID- 26544955
TI - The Characterization of Novel Tissue Microbiota Using an Optimized 16S
Metagenomic Sequencing Pipeline.
AB - BACKGROUND: Substantial progress in high-throughput metagenomic sequencing
methodologies has enabled the characterisation of bacteria from various origins
(for example gut and skin). However, the recently-discovered bacterial microbiota
present within animal internal tissues has remained unexplored due to technical
difficulties associated with these challenging samples. RESULTS: We have
optimized a specific 16S rDNA-targeted metagenomics sequencing (16S
metabarcoding) pipeline based on the Illumina MiSeq technology for the analysis
of bacterial DNA in human and animal tissues. This was successfully achieved in
various mouse tissues despite the high abundance of eukaryotic DNA and PCR
inhibitors in these samples. We extensively tested this pipeline on mock
communities, negative controls, positive controls and tissues and demonstrated
the presence of novel tissue specific bacterial DNA profiles in a variety of
organs (including brain, muscle, adipose tissue, liver and heart). CONCLUSION:
The high throughput and excellent reproducibility of the method ensured
exhaustive and precise coverage of the 16S rDNA bacterial variants present in
mouse tissues. This optimized 16S metagenomic sequencing pipeline will allow the
scientific community to catalogue the bacterial DNA profiles of different tissues
and will provide a database to analyse host/bacterial interactions in relation to
homeostasis and disease.
PMID- 26544958
TI - Expectant Mothers Maximizing Opportunities: Maternal Characteristics Moderate
Multifactorial Prenatal Stress in the Prediction of Birth Weight in a Sample of
Children Adopted at Birth.
AB - BACKGROUND: Mothers' stress in pregnancy is considered an environmental risk
factor in child development. Multiple stressors may combine to increase risk, and
maternal personal characteristics may offset the effects of stress. This study
aimed to test the effect of 1) multifactorial prenatal stress, integrating
objective "stressors" and subjective "distress" and 2) the moderating effects of
maternal characteristics (perceived social support, self-esteem and specific
personality traits) on infant birthweight. METHOD: Hierarchical regression
modeling was used to examine cross-sectional data on 403 birth mothers and their
newborns from an adoption study. RESULTS: Distress during pregnancy showed a
statistically significant association with birthweight (R2 = 0.032, F(2, 398) =
6.782, p = .001). The hierarchical regression model revealed an almost two-fold
increase in variance of birthweight predicted by stressors as compared with
distress measures (R2Delta = 0.049, F(4, 394) = 5.339, p < .001). Further,
maternal characteristics moderated this association (R2Delta = 0.031, F(4, 389) =
3.413, p = .009). Specifically, the expected benefit to birthweight as a function
of higher SES was observed only for mothers with lower levels of harm-avoidance
and higher levels of perceived social support. Importantly, the results were not
better explained by prematurity, pregnancy complications, exposure to drugs,
alcohol or environmental toxins. CONCLUSIONS: The findings support
multidimensional theoretical models of prenatal stress. Although both objective
stressors and subjectively measured distress predict birthweight, they should be
considered distinct and cumulative components of stress. This study further
highlights that jointly considering risk factors and protective factors in
pregnancy improves the ability to predict birthweight.
PMID- 26544959
TI - Impact of Bacterial Vaginosis on Perineal Tears during Delivery: A Prospective
Cohort Study.
AB - OBJECTIVE: Long term effects of perineal tears pose a major worldwide health
issue for women during delivery. Since bacterial vaginosis is related to major
obstacles in obstetrics the aim of this study was to determine the relationship
between bacterial vaginosis and the occurrence of perineal tears during vaginal
delivery. METHODS: Between June 2013 and December 2013 pregnant women delivering
after 37 weeks were recruited at one University hospital / tertiary care referral
center in the course of this single-center, prospective cohort study. Bacterial
vaginosis was assessed according to Nugent score method. Logistic-regression
model was used to estimate odds ratios, adjusted for other risk factors to test
the relationship between bacterial vaginosis and the occurrence of 1st to 4th
degree perineal tears in women undergoing vaginal delivery. RESULTS: A total of
728 woman were included, 662 analyzed with a complete Nugent Score of the vaginal
swab. The prevalence of 1st to 4th degree perineal tears was 35.8% (95%
Confidence Interval (95%CI) = [32.2; 39.6]). The presence of BV was not
significantly associated to the incidence of perineal tears neither in the
univariate analysis (crude Odds Ratio = 1.43; 95%CI = [0.79; 2.60]; p = 0.235)
nor in the multivariate analysis (adjusted Odds Ratio = 1.65; 95%CI = [0.81;
3.36]; p = 0.167). Instrumental delivery was the most important risk factor for
perineal lacerations. CONCLUSIONS: There is no evidence that vaginosis is a risk
factor for vaginal tears. TRIAL REGISTRATION: ClinicalTrials.gov N degrees
NCT01822782.
PMID- 26544960
TI - Lysine Methylation of the Valosin-Containing Protein (VCP) Is Dispensable for
Development and Survival of Mice.
AB - Valosin-containing protein (VCP) is a homohexameric ATPase involved in a
multitude cellular processes and it was recently shown that VCP is trimethylated
at lysine 315 by the VCP lysine methyltransferase (VCPKMT). Here, we generated
and validated a constitutive knockout mouse by targeting exon 1-4 of the Vcpkmt
gene. We show that Vcpkmt is ubiquitously expressed in all tissues examined and
confirm the sub-cellular localization to the cytoplasm. We show by (I) mass
spectrometric analysis, (II) VCPKMT-mediated in vitro methylation of VCP in cell
extracts and (III) immunostaining with a methylation specific antibody, that in
Vcpkmt-/- mice the methylation of lysine 315 in VCP is completely abolished. In
contrast, VCP is almost exclusively trimethylated in wild-type mice. Furthermore,
we investigated the specificity of VCPKMT with in vitro methylation assays using
as source of substrate protein extracts from Vcpkmt-/- mouse organs or three
human Vcpkmt-/- cell lines. The results show that VCPKMT is a highly specific
enzyme, and suggest that VCP is its sole substrate. The Vcpkmt-/- mice were
viable, fertile and had no obvious pathological phenotype. Their body weight,
life span and acute endurance capacity were comparable to wild-type controls.
Overall the results show that VCPKMT is an enzyme required for methylation of
K315 of VCP in vivo, but VCPKMT is not essential for development or survival
under unstressed conditions.
PMID- 26544961
TI - Evaluation of Reliability and Validity of the Hendrich II Fall Risk Model in a
Chinese Hospital Population.
AB - To translate, validate and examine the reliability and validity of a Chinese
version of the Hendrich II Fall risk Model (HFRM) in predicting falls in elderly
inpatient. A sample of 989 Chinese elderly inpatients was recruited upon
admission at the Peking Union Medical College Hospital. The inpatients were
assessed for fall risk using the Chinese version of the HFRM at admission. The
reliability of the Chinese version of the HFRM was determined using the internal
consistency and test-rested methods. Validity was determined using construct
validity and convergent validity. Receiver operating characteristic (ROC) curves
were created to determine the sensitivity and specificity. The Chinese version of
the HFRM showed excellent repeatability with an intra-class correlation
coefficient (ICC) of 0.9950 (95% confidence interval (CI): 0.9923-0.9984). The
inter-rater reliability was high with an ICC of 0.9950 (95%CI: 0.9923-0.9984).
Cronbach's alpha coefficient was 0.366. Content validity was excellent, with a
content validity ratio of 0.9333. The Chinese version of the HFRM had a
sensitivity of 72% and a specificity of 69% when using a cut-off of 5 points on
the scale. The area under the curve (AUC) was 0.815 (P<0.001). The Chinese
version of the HFRM showed good reliability and validity in assessing the risk of
fall in Chinese elderly inpatients.
PMID- 26544962
TI - Most Undirected Random Graphs Are Amplifiers of Selection for Birth-Death
Dynamics, but Suppressors of Selection for Death-Birth Dynamics.
AB - We analyze evolutionary dynamics on graphs, where the nodes represent individuals
of a population. The links of a node describe which other individuals can be
displaced by the offspring of the individual on that node. Amplifiers of
selection are graphs for which the fixation probability is increased for
advantageous mutants and decreased for disadvantageous mutants. A few examples of
such amplifiers have been developed, but so far it is unclear how many such
structures exist and how to construct them. Here, we show that almost any
undirected random graph is an amplifier of selection for Birth-death updating,
where an individual is selected to reproduce with probability proportional to its
fitness and one of its neighbors is replaced by that offspring at random. If we
instead focus on death-Birth updating, in which a random individual is removed
and its neighbors compete for the empty spot, then the same ensemble of graphs
consists of almost only suppressors of selection for which the fixation
probability is decreased for advantageous mutants and increased for
disadvantageous mutants. Thus, the impact of population structure on evolutionary
dynamics is a subtle issue that will depend on seemingly minor details of the
underlying evolutionary process.
PMID- 26544963
TI - Population Structure of Montastraea cavernosa on Shallow versus Mesophotic Reefs
in Bermuda.
AB - Mesophotic coral reef ecosystems remain largely unexplored with only limited
information available on taxonomic composition, abundance and distribution. Yet,
mesophotic reefs may serve as potential refugia for shallow-water species and
thus understanding biodiversity, ecology and connectivity of deep reef
communities is integral for resource management and conservation. The Caribbean
coral, Montastraea cavernosa, is considered a depth generalist and is commonly
found at mesophotic depths. We surveyed abundance and size-frequency of M.
cavernosa populations at six shallow (10m) and six upper mesophotic (45m) sites
in Bermuda and found population structure was depth dependent. The mean surface
area of colonies at mesophotic sites was significantly smaller than at shallow
sites, suggesting that growth rates and maximum colony surface area are limited
on mesophotic reefs. Colony density was significantly higher at mesophotic sites,
however, resulting in equal contributions to overall percent cover. Size
frequency distributions between shallow and mesophotic sites were also
significantly different with populations at mesophotic reefs skewed towards
smaller individuals. Overall, the results of this study provide valuable baseline
data on population structure, which indicate that the mesophotic reefs of Bermuda
support an established population of M. cavernosa.
PMID- 26544964
TI - Behavioral effects of beta-phenylethylamine and various monomethylated and
monohalogenated analogs in mice are mediated by catecholaminergic mechanisms.
AB - The effects of the administration [intraperitoneally, 15 and 75 mg/kg, except
alpha-MePEA (amphetamine, AMPH) at 5 and 10 mg/kg] of beta-phenylethylamine
(PEA), its methylated (o-Me-, p-Me-, alpha-Me-, beta-Me-, N-Me-, p-OMe-, N,N-di
Me-, and 3,4-diOH-N-Me-), para-halogenated (Br-, Cl-, F-, and I-), and other
derivatives for example, p-OHPEA (p-tyramine), on Swiss male albino mice caged
behavior fall into 3 broad categories. (1) N,N-diMe-, 3,4-diOH-N-Me-, and o-MePEA
tend to reduce the behavioral activity, (2) p-OH and p-IPEA were without
noticeable effects, and (3) the remaining compounds increased locomotor activity,
produced hyperexcitability and fighting, jumping and vocalization, and convulsion
in a graded manner (listed in increasing order p-OMe-, beta-Me-, p-Cl-, p-Br-, p
F-, p-Me-, and N-MePEA, PEA itself and alpha-MePEA). The latter compound
(amphetamine) being the most potent among them; equieffective but with lower
potency were p-MePEA, N-MePEA, and PEA itself. The effects of PEAs upon group
cage behavior were increased by pretreatment with pargyline (1.5 hours; 15 mg/kg)
and decreased after reserpine or haloperidol [4 hours and/or 24 hours (2.5 and/or
2.5 mg/kg) and 1 hour (1 mg/kg), respectively], reaching full suppression with
the double-dose regimen of reserpine and single dose of haloperidol. As expected,
none of these substances by themselves were noticeable changed group mice
activity or stereotypic behavior. The effects of test amines and catecholamine
modulating agents on stereotypy were assessed by rating the sequentially
occurring behaviors: increased exploratory behavior with increased sniffing;
occasional side-to-side head weaving; paw-licking and other grooming; gnawing,
fighting and continuous side-to-side head weaving, and periodic episodes of
"popcorn" behavior, during which all mice in the cage ran, jumped, and vocalized.
In general, rank efficacy in eliciting stereotype aligned with rank efficacy in
affecting group cage behavior. Our results show that a number of as yet little
studied monomethylated and monohalogenated PEA analogs share a similar behavioral
profile with PEA and AMPH. Behavioral changes observed appear to be, at least in
part, mediated by catecholaminergic mechanism as they are modulated by drugs
known to influence catecholamine activity. PEA analogs provide a large number of
clinically useful drugs; whether further studies on these novel amines will lead
to the rational design of newer, safer, and effective PEA-class drugs remains to
be seen.
PMID- 26544965
TI - Universal Host Materials for High-Efficiency Phosphorescent and Delayed
Fluorescence OLEDs.
AB - A series of bipolar hosts, namely, 5-(2-(9H-carbazol-9-yl)-phenyl)-1,3
dipyrazolbenzene (o-CzDPz), 5-(3-(9H-carbazol-9-yl)-phenyl)-1,3-dipyrazolbenzene
(m-CzDPz), 5-(9-phenyl-9H-carbazol-3-yl)-1,3-dipyrazolbenzene (3-CzDPz), and 5
(3,5-di(9H-carbazol-9-yl)-phenyl)-1,3-dipyrazolbenzene (mCPDPz), are developed
for phosphorescent and thermally activated delayed fluorescence (TADF) organic
light-emitting diodes (OLEDs). They are designed by selecting pyrazole as n-type
unit and carbazole as p-type one. The triplet energy (E(T)), the frontier
molecular orbital level, and charge transporting abilities, are adjusted by
varying the molar ratio of pyrazole to carbazole and the linking mode between
them. They have high E(T) values of 2.76-3.02 eV. Their electroluminescence
performance is evaluated by fabricating both phosphorescent and TADF devices with
blue or green emitters. The m-CzDPz hosted blue phosphorescent OLEDs achieves
high efficiency of 48.3 cd A(-1) (26.8%), the 3-CzDPz hosted green phosphorescent
device exhibits 91.2 cd A(-1) (29.0%). The blue and green TADF devices with 3
CzDPz host also reach high efficiencies of 26.2 cd A(-1) (15.8%) and 41.1 cd A(
1) (13.3%), respectively. The excellent performance of all these OLEDs verifies
that these pyrazole-based bipolar compounds are capable of being universal host
materials for OLED application. The influence of molar ratio of n-type unit to p
type one and the molecular conformation of these hosts on their device
performance is discussed and interpreted.
PMID- 26544966
TI - Perspectives on Temperature Management.
PMID- 26544968
TI - The Neutrophil-Platelet Score (NPS) Predicts Survival in Primary Operable
Colorectal Cancer and a Variety of Common Cancers.
AB - INTRODUCTION: Recent in-vitro studies have suggested that a critical checkpoint
early in the inflammatory process involves the interaction between neutrophils
and platelets. This confirms the importance of the innate immune system in the
elaboration of the systemic inflammatory response. The aim of the present study
was to examine whether a combination of the neutrophil and platelet counts were
predictive of survival in patients with cancer. METHODS: Patients with
histologically proven colorectal cancer who underwent potentially curative
resection at a single centre between March 1999 and May 2013 (n = 796) and
patients with cancer from the Glasgow Inflammation Outcome Study, who had a blood
sample taken between January 2000 and December 2007 (n = 9649) were included in
the analysis. RESULTS: In the colorectal cancer cohort, there were 173 cancer and
135 non-cancer deaths. In patients undergoing elective surgery, cancer-specific
survival (CSS) at 5 years ranged from 97% in patients with TNM I disease and NPS
= 0 to 57% in patients with TNM III disease and NPS = 2 (p = 0.019) and in
patients undergoing elective surgery for node-negative colon cancer from 98% (TNM
I, NPS = 0) to 65% (TNM II, NPS = 2) (p = 0.004). In those with a variety of
common cancers there were 5218 cancer and 929 non-cancer deaths. On multivariate
analysis, adjusting for age and sex and stratified by tumour site, incremental
increase in the NPS was significantly associated with poorer CSS (p<0.001).
CONCLUSION: The neutrophil-platelet score predicted survival in a variety of
common cancers and highlights the importance of the innate immune system in
patients with cancer.
PMID- 26544967
TI - Associations between Prenatal Exposure to Black Carbon and Memory Domains in
Urban Children: Modification by Sex and Prenatal Stress.
AB - BACKGROUND: Whether fetal neurodevelopment is disrupted by traffic-related air
pollution is uncertain. Animal studies suggest that chemical and non-chemical
stressors interact to impact neurodevelopment, and that this association is
further modified by sex. OBJECTIVES: To examine associations between prenatal
traffic-related black carbon exposure, prenatal stress, and sex with children's
memory and learning. METHODS: Analyses included N = 258 mother-child dyads
enrolled in a Boston, Massachusetts pregnancy cohort. Black carbon exposure was
estimated using a validated spatiotemporal land-use regression model. Prenatal
stress was measured using the Crisis in Family Systems-Revised survey of negative
life events. The Wide Range Assessment of Memory and Learning (WRAML2) was
administered at age 6 years; outcomes included the General Memory Index and its
component indices [Verbal, Visual, and Attention Concentration]. Relationships
between black carbon and WRAML2 index scores were examined using multivariable
adjusted linear regression including effect modification by stress and sex.
RESULTS: Mothers were primarily minorities (60% Hispanic, 26% Black); 67% had
<=12 years of education. The main effect for black carbon was not significant for
any WRAML2 index; however, in stratified analyses, among boys with high exposure
to prenatal stress, Attention Concentration Index scores were on average 9.5
points lower for those with high compared to low prenatal black carbon exposure
(P3-way interaction = 0.04). CONCLUSION: The associations between prenatal
exposure to black carbon and stress with children's memory scores were stronger
in boys than in girls. Studies assessing complex interactions may more fully
characterize health risks and, in particular, identify vulnerable subgroups.
PMID- 26544969
TI - Vibration-reorientation dynamics, structural changes and its interrelation with
the phase transition in polycrystalline [Cr(OC(NH2)2)6](BF4)3.
AB - Polycrystalline hexakis(urea-O)chromium(III) tetrafluoroborate possesses in the
temperature range of 295-105 K one solid-solid phase transition at T(C) ~ 255 K.
Analysis of the band shapes associated with the nu(as)(CN) and delta(as)(NH2)
vibrational modes of the Fourier transform infrared absorption (FT-IR) spectra,
registered in the temperature range of 295-10 K, indicated existence of fast
(tau(R) ~ 10(-12) s) reorientational motion of the protons from NH2 groups
belonging to OC(NH2)2 (urea) ligands, which does not suddenly change at T(C).
Moreover, splitting of the IR bands associated with the nu(as)(NH), nu(s)(NH) and
nu(as)(BF)F2/nu(s)(CN) modes at T(C) indicated that this phase transition is
associated with a change of crystal structure. Similar analysis of the Raman
scattering bands (FT-RS), associated with the delta(s)(FBF)E, nu(s)(BF)A and
nu(as)(BF)F2/nu(s)(CN) vibrational modes, indicated fast reorientation of the
BF4(-) ions, which does not suddenly change at T(C), and additionally confirmed
structural character of this phase transition. Results obtained from vibrational
spectroscopy measurements are compatible with that obtained by electron
paramagnetic resonance spectroscopy (EPR) measurements in function of
temperature, where rapid narrowing of the EPR line in the vicinity of the T(C)
was observed.
PMID- 26544971
TI - Correction: Defining the Roles of IFN-gamma and IL-17A in Inflammation and
Protection against Helicobacter pylori Infection.
PMID- 26544970
TI - Plasmid DNA Vaccine Co-Immunisation Modulates Cellular and Humoral Immune
Responses Induced by Intranasal Inoculation in Mice.
AB - BACKGROUND: An effective HIV vaccine will likely require induction of both
mucosal and systemic cellular and humoral immune responses. We investigated
whether intramuscular (IM) delivery of electroporated plasmid DNA vaccine and
simultaneous protein vaccinations by intranasal (IN) and IM routes could be
combined to induce mucosal and systemic cellular and humoral immune responses to
a model HIV-1 CN54 gp140 antigen in mice. RESULTS: Co-immunisation of DNA with
intranasal protein successfully elicited both serum and vaginal IgG and IgA
responses, whereas DNA and IM protein co-delivery did not induce systemic or
mucosal IgA responses. Cellular IFNgamma responses were preserved in co
immunisation protocols compared to protein-only vaccination groups. The addition
of DNA to IN protein vaccination reduced the strong Th2 bias observed with IN
protein vaccination alone. Luminex analysis also revealed that co-immunisation
with DNA and IN protein induced expression of cytokines that promote B-cell
function, generation of TFH cells and CCR5 ligands that can reduce HIV
infectivity. SIGNIFICANCE: These data suggest that while IN inoculation alone
elicits both cellular and humoral responses, co-administration with homologous
DNA vaccination can tailor these towards a more balanced Th1/Th2 phenotype
modulating the cellular cytokine profile while eliciting high-levels of antigen
specific antibody. This work provides insights on how to generate differential
immune responses within the same vaccination visit, and supports co-immunisation
with DNA and protein by a mucosal route as a potential delivery strategy for HIV
vaccines.
PMID- 26544972
TI - QTL Mapping of Sex Determination Loci Supports an Ancient Pathway in Ants and
Honey Bees.
AB - Sex determination mechanisms play a central role in life-history characteristics,
affecting mating systems, sex ratios, inbreeding tolerance, etc. Downstream
components of sex determination pathways are highly conserved, but upstream
components evolve rapidly. Evolutionary dynamics of sex determination remain
poorly understood, particularly because mechanisms appear so diverse. Here we
investigate the origins and evolution of complementary sex determination (CSD) in
ants and bees. The honey bee has a well-characterized CSD locus, containing
tandemly arranged homologs of the transformer gene [complementary sex determiner
(csd) and feminizer (fem)]. Such tandem paralogs appear frequently in aculeate
hymenopteran genomes. However, only comparative genomic, but not functional, data
support a broader role for csd/fem in sex determination, and whether species
other than the honey bee use this pathway remains controversial. Here we used a
backcross to test whether csd/fem acts as a CSD locus in an ant (Vollenhovia
emeryi). After sequencing and assembling the genome, we computed a linkage map,
and conducted a quantitative trait locus (QTL) analysis of diploid male
production using 68 diploid males and 171 workers. We found two QTLs on separate
linkage groups (CsdQTL1 and CsdQTL2) that jointly explained 98.0% of the
phenotypic variance. CsdQTL1 included two tandem transformer homologs. These data
support the prediction that the same CSD mechanism has indeed been conserved for
over 100 million years. CsdQTL2 had no similarity to CsdQTL1 and included a 236
kb region with no obvious CSD gene candidates, making it impossible to
conclusively characterize it using our data. The sequence of this locus was
conserved in at least one other ant genome that diverged >75 million years ago.
By applying QTL analysis to ants for the first time, we support the hypothesis
that elements of hymenopteran CSD are ancient, but also show that more remains to
be learned about the diversity of CSD mechanisms.
PMID- 26544974
TI - A New Extension of the Binomial Error Model for Responses to Items of Varying
Difficulty in Educational Testing and Attitude Surveys.
AB - We put forward a new item response model which is an extension of the binomial
error model first introduced by Keats and Lord. Like the binomial error model,
the basic latent variable can be interpreted as a probability of responding in a
certain way to an arbitrarily specified item. For a set of dichotomous items,
this model gives predictions that are similar to other single parameter IRT
models (such as the Rasch model) but has certain advantages in more complex
cases. The first is that in specifying a flexible two-parameter Beta distribution
for the latent variable, it is easy to formulate models for randomized
experiments in which there is no reason to believe that either the latent
variable or its distribution vary over randomly composed experimental groups.
Second, the elementary response function is such that extensions to more complex
cases (e.g., polychotomous responses, unfolding scales) are straightforward.
Third, the probability metric of the latent trait allows tractable extensions to
cover a wide variety of stochastic response processes.
PMID- 26544973
TI - Lactobacillus acidophilus-Rutin Interplay Investigated by Proteomics.
AB - Dietary polyphenols are bioactive molecules that beneficially affect human
health, due to their anti-oxidant, anti-inflammatory, cardio-protective and
chemopreventive properties. They are absorbed in a very low percentage in the
small intestine and reach intact the colon, where they are metabolized by the gut
microbiota. Although it is well documented a key role of microbial metabolism in
the absorption of polyphenols and modulation of their biological activity,
molecular mechanisms at the basis of the bacteria-polyphenols interplay are still
poorly understood. In this context, differential proteomics was applied to reveal
adaptive response mechanisms that enabled a potential probiotic Lactobacillus
acidophilus strain to survive in the presence of the dietary polyphenol rutin.
The response to rutin mainly modulated the expression level of proteins involved
in general stress response mechanisms and, in particular, induced the activation
of protein quality control systems, and affected carbohydrate and amino acid
metabolism, protein synthesis and cell wall integrity. Moreover, rutin triggered
the expression of proteins involved in oxidation-reduction processes.This study
provides a first general view of the impact of dietary polyphenols on metabolic
and biological processes of L. acidophilus.
PMID- 26544975
TI - T Cell Transcriptomes Describe Patient Subtypes in Systemic Lupus Erythematosus.
AB - BACKGROUND: T cells regulate the adaptive immune response and have altered
function in autoimmunity. Systemic Lupus Erythematosus (SLE) has great diversity
of presentation and treatment response. Peripheral blood component gene
expression affords an efficient platform to investigate SLE immune dysfunction
and help guide diagnostic biomarker development for patient stratification.
METHODS: Gene expression in peripheral blood T cell samples for 14 SLE patients
and 4 controls was analyzed by high depth sequencing. Unbiased clustering of
genes and samples revealed novel patterns related to disease etiology. Functional
annotation of these genes highlights pathways and protein domains involved in SLE
manifestation. RESULTS: We found transcripts for hundreds of genes consistently
altered in SLE T cell samples, for which DAVID analysis highlights induction of
pathways related to mitochondria, nucleotide metabolism and DNA replication.
Fewer genes had reduced mRNA expression, and these were linked to signaling,
splicing and transcriptional activity. Gene signatures associated with the
presence of dsDNA antibodies, low complement levels and nephritis were detected.
T cell gene expression also indicates the presence of several patient subtypes,
such as having only a minimal expression phenotype, male type, or severe with or
without induction of genes related to membrane protein production. CONCLUSIONS:
Unbiased transcriptome analysis of a peripheral blood component provides insight
on autoimmune pathophysiology and patient variability. We present an open source
workflow and richly annotated dataset to support investigation of T cell biology,
develop biomarkers for patient stratification and perhaps help indicate a source
of SLE immune dysfunction.
PMID- 26544977
TI - Perioperative Nutritional Intervention: Where Are We?
AB - As we look forward in 2015, attention to perioperative surgical nutrition
continues to play a key role in optimizing outcomes and enhancing surgical
recovery. Nutrition therapies for preoperative preparation include high protein
intake combined with exercise, immune- and metabolic-modulating nutrients,
carbohydrate loading, probiotic therapy and, occasionally, the need for
specialized enteral or parenteral nutrition. Early enteral nutrition and
probiotic therapy optimize gastrointestinal integrity and function in the
postoperative setting. Some questions of who, when and how to optimally feed the
surgical patient still exist. Despite these questions, the abundance of evidence
supports a determined focus for nutrition optimization prior to major surgery.
PMID- 26544976
TI - Activation of AMP-Activated Protein Kinase by Adenine Alleviates TNF-Alpha
Induced Inflammation in Human Umbilical Vein Endothelial Cells.
AB - The AMP-activated protein kinase (AMPK) signaling system plays a key role in
cellular stress by repressing the inflammatory responses induced by the nuclear
factor-kappa B (NF-kappaB) system. Previous studies suggest that the anti
inflammatory role of AMPK involves activation by adenine, but the mechanism that
allows adenine to produce these effects has not yet been elucidated. In human
umbilical vein endothelial cells (HUVECs), adenine was observed to induce the
phosphorylation of AMPK in both a time- and dose-dependent manner as well as its
downstream target acetyl Co-A carboxylase (ACC). Adenine also attenuated NF
kappaB targeting of gene expression in a dose-dependent manner and decreased
monocyte adhesion to HUVECs following tumor necrosis factor (TNF-alpha)
treatment. The short hairpin RNA (shRNA) against AMPK alpha1 in HUVECs attenuated
the adenine-induced inhibition of NF-kappaB activation in response to TNF-alpha,
thereby suggesting that the anti-inflammatory role of adenine is mediated by
AMPK. Following the knockdown of adenosyl phosphoribosyl transferase (APRT) in
HUVECs, adenine supplementation failed to induce the phosphorylation of AMPK and
ACC. Similarly, the expression of a shRNA against APRT nullified the anti
inflammatory effects of adenine in HUVECs. These results suggested that the role
of adenine as an AMPK activator is related to catabolism by APRT, which increases
the cellular AMP levels to activate AMPK.
PMID- 26544978
TI - Macronutrient Metabolism in Starvation and Stress.
AB - In starvation and to a lesser extent in stress starvation, the loss of protein
mass is spared as much as possible. This metabolic arrangement must have
developed under the influence of evolutionary pressure in view of the importance
of protein mass for function and longevity. Peripheral adipose tissue mass is
only limiting when its mass is extremely small. Protein is the predominant
precursor of glucose in (stress) starvation and glucose is an essential substrate
for the synthesis and maintenance of cells and matrix and for the control of the
redox state. To spare protein, glucose should be used efficiently only for those
purposes that cannot be achieved by fat. It is suggested that this is achieved by
limiting full glucose oxidation and increasing fatty acid and ketone body
oxidation, which most likely can also largely cover energy needs of the central
nervous system. In stress states, net negative nitrogen balance (catabolism)
largely results from net losses of peripheral protein mass, predominantly
muscles, whereas central organs (e.g. the liver), the immune system and wound
healing are anabolic. A number of factors are responsible for a net negative
nitrogen balance which may ultimately lead to death if stress persists. In
stress, the amino acid mix derived from peripheral (predominantly muscle) tissues
is modified in interplay with the liver and to a minor extent the kidney. This
mix is different in nonstressed conditions, containing substantially increased
amounts of the nonessential amino acids glutamine, alanine, glycine and
(hydroxy)proline. Part of the amino acid skeletons released by muscles are
substrates to produce glucose in the liver and kidney. Glucose and the amino
acids produced especially serve as substrates for cell proliferation and matrix
deposition. The catabolic processes in peripheral tissues cannot be countered
completely by adequate nutritional support as long as stress persists. This
metabolic arrangement dictates a nutritional mix containing liberal amounts of
protein and carbohydrates and addition of lipids to cover energy requirements.
PMID- 26544979
TI - Murid Gammaherpesvirus Latency-Associated Protein M2 Promotes the Formation of
Conjugates between Transformed B Lymphoma Cells and T Helper Cells.
AB - Establishment of persistent infection in memory B cells by murid herpesvirus-4
(MuHV-4) depends on the proliferation of latently infected germinal center B
cells, for which T cell help is essential. Whether the virus is capable of
modulating B-T helper cell interaction for its own benefit is still unknown.
Here, we investigate if the MuHV-4 latency associated M2 protein, which assembles
multiprotein complexes with B cell signaling proteins, plays a role. We observed
that M2 led to the upregulation of adhesion and co-stimulatory molecules in
transduced B cell lines. In an MHC-II restricted OVA peptide-specific system, M2
polarized to the B-T helper contact zone. Furthermore, it promoted B cell
polarization, as demonstrated by the increased proximity of the B cell
microtubule organizing center to the interface. Consistent with these data, M2
promoted the formation of B-T helper cell conjugates. In an in vitro competition
assay, this translated into a competitive advantage, as T cells preferentially
conjugated with M2-expressing B cells. However, expression of M2 alone in B cells
was not sufficient to lead to T cell activation, as it only occurred in the
presence of specific peptide. Taken together, these findings support that M2
promotes the formation of B-T helper cell conjugates. In an in vivo context this
may confer a competitive advantage to the infected B cell in acquisition of T
cell help and initiation of a germinal center reaction, hence host colonization.
PMID- 26544980
TI - B-HIT - A Tool for Harvesting and Indexing Biodiversity Data.
AB - With the rapidly growing number of data publishers, the process of harvesting and
indexing information to offer advanced search and discovery becomes a critical
bottleneck in globally distributed primary biodiversity data infrastructures. The
Global Biodiversity Information Facility (GBIF) implemented a Harvesting and
Indexing Toolkit (HIT), which largely automates data harvesting activities for
hundreds of collection and observational data providers. The team of the Botanic
Garden and Botanical Museum Berlin-Dahlem has extended this well-established
system with a range of additional functions, including improved processing of
multiple taxon identifications, the ability to represent associations between
specimen and observation units, new data quality control and new reporting
capabilities. The open source software B-HIT can be freely installed and used for
setting up thematic networks serving the demands of particular user groups.
PMID- 26544981
TI - An O-Methyltransferase Is Required for Infection of Tick Cells by Anaplasma
phagocytophilum.
AB - Anaplasma phagocytophilum, the causative agent of Human Granulocytic Anaplasmosis
(HGA), is an obligately intracellular alpha-proteobacterium that is transmitted
by Ixodes spp ticks. However, the pathogen is not transovarially transmitted
between tick generations and therefore needs to survive in both a mammalian host
and the arthropod vector to complete its life cycle. To adapt to different
environments, pathogens rely on differential gene expression as well as the
modification of proteins and other molecules. Random transposon mutagenesis of A.
phagocytophilum resulted in an insertion within the coding region of an o
methyltransferase (omt) family 3 gene. In wild-type bacteria, expression of omt
was up-regulated during binding to tick cells (ISE6) at 2 hr post-inoculation,
but nearly absent by 4 hr p.i. Gene disruption reduced bacterial binding to ISE6
cells, and the mutant bacteria that were able to enter the cells were arrested in
their replication and development. Analyses of the proteomes of wild-type versus
mutant bacteria during binding to ISE6 cells identified Major Surface Protein 4
(Msp4), but also hypothetical protein APH_0406, as the most differentially
methylated. Importantly, two glutamic acid residues (the targets of the OMT) were
methyl-modified in wild-type Msp4, whereas a single asparagine (not a target of
the OMT) was methylated in APH_0406. In vitro methylation assays demonstrated
that recombinant OMT specifically methylated Msp4. Towards a greater
understanding of the overall structure and catalytic activity of the OMT, we
solved the apo (PDB_ID:4OA8), the S-adenosine homocystein-bound (PDB_ID:4OA5),
the SAH-Mn2+ bound (PDB_ID:4PCA), and SAM- Mn2+ bound (PDB_ID:4PCL) X-ray crystal
structures of the enzyme. Here, we characterized a mutation in A. phagocytophilum
that affected the ability of the bacteria to productively infect cells from its
natural vector. Nevertheless, due to the lack of complementation, we cannot rule
out secondary mutations.
PMID- 26544983
TI - Temporal Genetic Variance and Propagule-Driven Genetic Structure Characterize
Naturalized Rainbow Trout (Oncorhynchus mykiss) from a Patagonian Lake Impacted
by Trout Farming.
AB - Knowledge about the genetic underpinnings of invasions-a theme addressed by
invasion genetics as a discipline-is still scarce amid well documented ecological
impacts of non-native species on ecosystems of Patagonia in South America. One of
the most invasive species in Patagonia's freshwater systems and elsewhere is
rainbow trout (Oncorhynchus mykiss). This species was introduced to Chile during
the early twentieth century for stocking and promoting recreational fishing;
during the late twentieth century was reintroduced for farming purposes and is
now naturalized. We used population- and individual-based inference from single
nucleotide polymorphisms (SNPs) to illuminate three objectives related to the
establishment and naturalization of Rainbow Trout in Lake Llanquihue. This lake
has been intensively used for trout farming during the last three decades. Our
results emanate from samples collected from five inlet streams over two seasons,
winter and spring. First, we found that significant intra- population (temporal)
genetic variance was greater than inter-population (spatial) genetic variance,
downplaying the importance of spatial divergence during the process of
naturalization. Allele frequency differences between cohorts, consistent with
variation in fish length between spring and winter collections, might explain
temporal genetic differences. Second, individual-based Bayesian clustering
suggested that genetic structure within Lake Llanquihue was largely driven by
putative farm propagules found at one single stream during spring, but not in
winter. This suggests that farm broodstock might migrate upstream to breed during
spring at that particular stream. It is unclear whether interbreeding has
occurred between "pure" naturalized and farm trout in this and other streams.
Third, estimates of the annual number of breeders (Nb) were below 73 in half of
the collections, suggestive of genetically small and recently founded populations
that might experience substantial genetic drift. Our results reinforce the notion
that naturalized trout originated recently from a small yet genetically diverse
source and that farm propagules might have played a significant role in the
invasion of Rainbow Trout within a single lake with intensive trout farming. Our
results also argue for proficient mitigation measures that include management of
escapes and strategies to minimize unintentional releases from farm facilities.
PMID- 26544984
TI - Identification of Esters as Novel Aggregation Pheromone Components Produced by
the Male Powder-Post Beetle, Lyctus africanus Lesne (Coleoptera: Lyctinae).
AB - Lyctus africanus is a cosmopolitan powder-post beetle that is considered one of
the major pests threatening timber and timber products. Because infestations of
this beetle are inconspicuous, damage is difficult to detect and identification
is often delayed. We identified the chemical compounds involved in the
aggregation behavior of L. africanus using preparations of crude hexanic extracts
from male and female beetles (ME and FE, respectively). Both male and female
beetles showed significant preferences for ME, which was found to contain three
esters. FE was ignored by both the sexes. Further bioassay confirmed the role of
esters in the aggregation behavior of L. africanus. Three esters were identified
as 2-propyl dodecanoate, 3-pentyl dodecanoate, and 3-pentyl tetradecanoate.
Further behavioral bioassays revealed 3-pentyl dodecanoate to play the main role
in the aggregation behavior of female L. africanus beetles. However,
significantly more beetles aggregated on a paper disk treated with a blend of the
three esters than on a paper disk treated with a single ester. This is the first
report on pheromone identification in L. africanus; in addition, the study for
the first time presents 3-pentyl dodecanoate as an insect pheromone.
PMID- 26544982
TI - Kidney-Failure Risk Projection for the Living Kidney-Donor Candidate.
AB - BACKGROUND: Evaluation of candidates to serve as living kidney donors relies on
screening for individual risk factors for end-stage renal disease (ESRD). To
support an empirical approach to donor selection, we developed a tool that
simultaneously incorporates multiple health characteristics to estimate a
person's probable long-term risk of ESRD if that person does not donate a kidney.
METHODS: We used risk associations from a meta-analysis of seven general
population cohorts, calibrated to the population-level incidence of ESRD and
mortality in the United States, to project the estimated long-term incidence of
ESRD among persons who do not donate a kidney, according to 10 demographic and
health characteristics. We then compared 15-year projections with the observed
risk among 52,998 living kidney donors in the United States. RESULTS: A total of
4,933,314 participants from seven cohorts were followed for a median of 4 to 16
years. For a 40-year-old person with health characteristics that were similar to
those of age-matched kidney donors, the 15-year projections of the risk of ESRD
in the absence of donation varied according to race and sex; the risk was 0.24%
among black men, 0.15% among black women, 0.06% among white men, and 0.04% among
white women. Risk projections were higher in the presence of a lower estimated
glomerular filtration rate, higher albuminuria, hypertension, current or former
smoking, diabetes, and obesity. In the model-based lifetime projections, the risk
of ESRD was highest among persons in the youngest age group, particularly among
young blacks. The 15-year observed risks after donation among kidney donors in
the United States were 3.5 to 5.3 times as high as the projected risks in the
absence of donation. CONCLUSIONS: Multiple demographic and health characteristics
may be used together to estimate the projected long-term risk of ESRD among
living kidney-donor candidates and to inform acceptance criteria for kidney
donors. (Funded by the National Institute of Diabetes and Digestive and Kidney
Diseases and others.).
PMID- 26544985
TI - Association of a Dietary Score with Incident Type 2 Diabetes: The Dietary-Based
Diabetes-Risk Score (DDS).
AB - BACKGROUND: Strong evidence supports that dietary modifications may decrease
incident type 2 diabetes mellitus (T2DM). Numerous diabetes risk models/scores
have been developed, but most do not rely specifically on dietary variables or do
not fully capture the overall dietary pattern. We prospectively assessed the
association of a dietary-based diabetes-risk score (DDS), which integrates
optimal food patterns, with the risk of developing T2DM in the SUN ("Seguimiento
Universidad de Navarra") longitudinal study. METHODS: We assessed 17,292
participants initially free of diabetes, followed-up for a mean of 9.2 years. A
validated 136-item FFQ was administered at baseline. Taking into account previous
literature, the DDS positively weighted vegetables, fruit, whole cereals, nuts,
coffee, low-fat dairy, fiber, PUFA, and alcohol in moderate amounts; while it
negatively weighted red meat, processed meats and sugar-sweetened beverages.
Energy-adjusted quintiles of each item (with exception of moderate alcohol
consumption that received either 0 or 5 points) were used to build the DDS
(maximum: 60 points). Incident T2DM was confirmed through additional detailed
questionnaires and review of medical records of participants. We used Cox
proportional hazards models adjusted for socio-demographic and anthropometric
parameters, health-related habits, and clinical variables to estimate hazard
ratios (HR) of T2DM. RESULTS: We observed 143 T2DM confirmed cases during follow
up. Better baseline conformity with the DDS was associated with lower incidence
of T2DM (multivariable-adjusted HR for intermediate (25-39 points) vs. low (11
24) category 0.43 [95% confidence interval (CI) 0.21, 0.89]; and for high (40-60)
vs. low category 0.32 [95% CI: 0.14, 0.69]; p for linear trend: 0.019).
CONCLUSIONS: The DDS, a simple score exclusively based on dietary components,
showed a strong inverse association with incident T2DM. This score may be
applicable in clinical practice to improve dietary habits of subjects at high
risk of T2DM and also as an educational tool for laypeople to help them in self
assessing their future risk for developing diabetes.
PMID- 26544986
TI - Characterization of the part of N-terminal PIP2 binding site of the TRPM1
channel.
AB - Transient receptor potential melastatin-1 (TRPM1) is a calcium channel that is
essential for the depolarization of photo-responsive retinal bipolar cells, but
most of the physiological functions and cellular roles of this channel are still
poorly understood. Most transient receptor potential (TRP) channels are typically
regulated by intracellular proteins and other signaling molecules.
Phosphatidylinositol-4,5 bisphosphate (PIP2), a minor phospholipid component of
cell membranes, has previously been shown to directly bind TRP channels and to
play a unique role in modulating receptor function. To characterize the binding
of PIP2 as a potential regulator of TRPM1, we utilized biophysical methods and
molecular modeling to study the interactions of PIP2 with an N-terminal fragment
of TRPM1 (residues A451-N566). The basic N-terminal residue K464 of TRPM1
suggests that it is part of putative pleckstrin homology (PH) domain and is
involved in the interactions with PIP2. This is the first report detailing the
binding of PIP2 at the N-terminus of the TRPM1 receptor.
PMID- 26544987
TI - Pharmacokinetics of Posaconazole Oral Suspension in Children Dosed According to
Body Surface Area.
AB - BACKGROUND: Antifungal prophylaxis remains challenging in immunocompromised
children as no clear consensus has yet been reached about which drug to be used.
Posaconazole has a broad spectrum of activity, a favorable safety profile and
excellent prophylactic activity in adults. However, a lack of pharmacokinetic
studies in pediatric patients hampers routine implementation. This study
investigates the pharmacokinetics of a newly introduced posaconazole dosing
regimen based on the body surface area in pediatric hematologic patients.
METHODS: In this prospective pharmacokinetic study, 8 blood samples were taken
during 1 dosing interval at steady state in children aged 13 years or younger
with hematologic malignancy, who were treated prophylactically with posaconazole
oral suspension at a dose of 120 mg/m 3 times daily. Posaconazole plasma
concentrations were determined using high-performance liquid chromatography
fluorescence detection. RESULTS: One hundred twelve samples were taken from 14
patients with a mean age of 6.7 +/- 2.8 years. A median posaconazole daily dose
of 100.0 mg (77.3-100.0) 3 times daily (tid), corresponding to a median of 117.9
mg/m (112.2-120.4) tid, resulted in mean trough posaconazole plasma
concentrations of 0.85 +/- 0.56 mg/L. Pharmacokinetic analysis revealed a
clearance of 0.8 L/(h kg) (0.5-1.4). No invasive fungal infections or adverse
events were encountered during treatment. CONCLUSIONS: Posaconazole is a
promising antifungal agent to be used prophylactically in hematologic patients
aged 13 years or younger. Administering posaconazole oral suspension in a dosage
of 120 mg/m tid results in adequate posaconazole plasma exposure, without
significant adverse events.
PMID- 26544988
TI - Clinical Impact of beta-Lactamase-producing Enterobacteriaceae in Sputum of
Cystic Fibrosis Patients.
AB - This case series describes 18 cystic fibrosis (CF) patients of a 135-patient CF
center cohort with extended spectrum beta-lactamase-producing Enterobacteriaceae,
from 2003 to 2012. Four had chronic infection. Prevalence increased annually from
0 to 6.35%. Risk factors compared with the 2010 CF center cohort included
continuous inhaled antibiotics (P = 0.014) and courses of intravenous antibiotics
during the year before first isolation (P = 0.009). Hospitalization rates were
1.05/year and 0.47/year preinfection and postinfection, respectively (P = 0.02).
Slope of forced expiratory volume at 1 second% predicted remained unchanged
during 12 months.
PMID- 26544989
TI - Complications of Varicella in Unvaccinated Children From Romania, 2002-2013: A
Retrospective Study.
AB - The epidemiologic and clinical pattern of varicella-related hospitalizations
recorded during 2002-2013 in Romania showed the highest hospitalization rate in
the 0-1 year age group. Younger age and diagnosis after 2007 were independent
predictors of varicella-related complications, recorded in half of the
hospitalized cases.
PMID- 26544990
TI - Versatile Method for Producing 2D and 3D Conductive Biomaterial Composites Using
Sequential Chemical and Electrochemical Polymerization.
AB - Flexible and conductive biocompatible materials are attractive candidates for a
wide range of biomedical applications including implantable electrodes, tissue
engineering, and controlled drug delivery. Here, we demonstrate that chemical and
electrochemical polymerization techniques can be combined to create highly
versatile silk-conducting polymer (silk-CP) composites with enhanced conductivity
and electrochemical stability. Interpenetrating silk-CP composites were first
generated via in situ deposition of polypyrrole during chemical polymerization of
pyrrole. These composites were sufficiently conductive to serve as working
electrodes for electropolymerization, which allowed an additional layer of CP to
be deposited on the surface. This sequential method was applied to both 2D films
and 3D sponge-like silk scaffolds, producing conductive materials with biomimetic
architectures. Overall, this two-step technique expanded the range of available
polymers and dopants suitable for the synthesis of mechanically robust,
biocompatible, and highly conductive silk-based materials.
PMID- 26544991
TI - [In Process Citation].
PMID- 26544992
TI - [In Process Citation].
PMID- 26544993
TI - [In Process Citation].
PMID- 26544994
TI - [In Process Citation].
PMID- 26544995
TI - [In Process Citation].
PMID- 26544996
TI - [In Process Citation].
PMID- 26544997
TI - [Mexico keeps backing up with evidence its nutrition interventions].
PMID- 26544998
TI - Nutritional status of iron, vitamin B12, folate, retinol and anemia in children 1
to 11 years old: Results of the Ensanut 2012.
AB - OBJECTIVE: To describe the frequency of anemia, iron, vitamin B12, folate,
retinol and predictors of anemia among Mexican children from Ensanut 2012.
MATERIALS AND METHODS: Hemoglobin, ferritin, CRP, vitamin B12, retinol and folate
concentrations were measured in 2 678 children aged 1-4 y and 4 275 children aged
5-11 y. Adjusted logistic regression models were constructed to assess the risk
for anemia and micronutrient deficiencies. RESULTS: In preschoolers and scholars,
the overall prevalence of anemia was 20.4 and 9.7%, iron deficiency 14 and 9.3%,
low vitamin B12 (LB12S) 1.9 and 2.6%; Folate 0.30 and 0%, and retinol depletion
(VADp) 15.7 and 2.3%, respectively. ID and VADp were negatively associated with
Hb (coefficient: -0.38 and -0.45, p<0.05); a higher log-CRP was associated with
higher risk for anemia and VADp (OR=1.13 and OR=2.1, p<0.05, respectively).
CONCLUSIONS: Iron deficiency, anemia and VADp are some of the main nutritional
problems among Mexican infants.
PMID- 26544999
TI - Prevalence of iron, folate, and vitamin B12 deficiencies in 20 to 49 years old
women: Ensanut 2012.
AB - OBJECTIVE: To describe the prevalence of iron, folate, and B12 deficiencies in
Mexican women of reproductive age from the National Health and Nutrition Survey
(Ensanut) 2012. MATERIALS AND METHODS: Data came from a national probabilistic
survey, representative from rural and urban areas, and different age groups.
Blood samples were obtained from 4 263, 20 to 49 years old women for serum
ferritin, vitamin B12 and serum folate concentrations. The prevalence of
deficiencies, was assessed using adjusted logistic regression models. RESULTS:
The deficiency of folate was 1.9% (95%CI 1.3-2.8), B12 deficiency was 8.5% (95%CI
6.7-10.1) and iron deficiency was 29.4% (95%CI 26.5-32.2). No differences were
found when compared with 2006, 24.8% (95%CI 22.3-27.2). CONCLUSIONS: The vitamin
B12 deficiency is still a problem for women of reproductive age and their
offspring in Mexico, while folate deficiency disappeared as a problem. Iron
deficiency needs prevention and fortification strategies.
PMID- 26545000
TI - Anemia and iron deficiency in Mexican elderly population: Results from the
Ensanut 2012.
AB - OBJECTIVE: To describe de prevalence of iron deficiency (ID) and anemia in a
sample of Mexican elderly population from the National Health and Nutrition
Survey (Ensanut) 2012. MATERIALS AND METHODS: 1 920 subjects >=60 years of age
were included. Hemoglobin, serum concentrations of ferritin and CRP were
measured. The risk for ID and anemia adjusted for potential confounders was
assessed in logistic regression models. RESULTS: The overall prevalence of anemia
was 13.9%, 15.2% in males and 12.8% females. For ID, overall it was 4.2%, males
4.0% and females 4.3%. The greatest prevalence of ID was found in males and
females over 80 years old (6.9 and 7.0%, respectively). ID was present in 1.5 of
10 Mexican elders with anemia. CONCLUSION: The prevalence of anemia was high in
the elderly, however the prevalence of ID was low; there is a need to further
investigate the causes of anemia in this age group.
PMID- 26545001
TI - Assessing the physical activity environment in Mexican healthcare settings.
AB - OBJECTIVE: To assess the informational, educational and instrumental environments
among Mexican healthcare settings for their potential to promote physical
activity (PA). MATERIALS AND METHODS: The Environmental Physical Activity
Assessment Tool for Healthcare Settings (EPATHS) was developed to assess the PA
environments of 40 clinics/hospitals representing the three Mexican healthcare
systems in Guadalajara. The EPATHS assessed the presence and quality of PA
enhancing features in the informational (e.g. signage), educational (e.g.
pamphlets), and instrumental (e.g. stairs) environments of included
clinics/hospitals. RESULTS: 28 (70%) clinics/hospitals had more than one floor
with stairs; 60% of these had elevators. Nearly 90% of stairs were visible,
accessible and clean compared to fewer than 30% of elevators. Outdoor spaces were
observed in just over half (55%) of clinics/hospitals, and most (70%) were of
good quality. Only 25% clinics/hospitals had educational PA materials.
CONCLUSIONS: The PA instrumental environment of Mexican healthcare settings is
encouraging. The informational and educational environments could improve.
PMID- 26545002
TI - Risk factors for extended-spectrum beta-lactamases-producing Escherichia coli
urinary tract infections in a tertiary hospital.
AB - OBJECTIVE: To assess the risks factors for urinary tract infections (UTIs) caused
by Extended-Spectrum Beta-Lactamases (ESBLs)-producing E. coli and the molecular
characterization of ESBLs. MATERIALS AND METHODS: A case-control study was
performed to identify risk factors in consecutively recruited patients with UTIs
caused by ESBLs or non-ESBLs-producing E. coli in a tertiary hospital in Mexico.
RESULTS: ESBLs-producing E. coli were isolated from 22/70 (31%) patients with E.
coli UTIs over a three month period. All isolates were resistant to
cephalosporins and quinolones but susceptible to carbapenems, amikacin and
nitrofurantoin. Prior antibiotic treatment with more than two antibiotic families
(OR=6.86; 95%CI 1.06-157.70; p=0.028), recurrent symptomatic UTIs (OR=5.60; 95%CI
1.88-17.87; p=0.001) and previous hospitalization (OR=5.06; 95%CI 1.64-17.69;
p=0.002) were significant risk factors. Sixteen isolates harbored the beta
lactamase (bla)CTX-M-15 gene and five the blaTEM-1 gene. CONCLUSIONS: One of
every three patients presented UTIs with ESBLs-producing beta-lactams and
fluoroquinolone resistant E. coli. Risk factors and resistance patterns must be
taken into account for developing antibiotic use policies in these settings.
PMID- 26545003
TI - [Evaluation of a reduced panel of leptospira strains for microagglutination].
AB - OBJECTIVE: To evaluate if the use of the 19 Leptospira strains panel suggested by
the International Leptospirosis Society of World Health Organization for
microagglutination allows confirmation of more cases that the 12 strains panel
used in Argentina. MATERIALS AND METHODS: Cross-sectional observational study. We
studied 441 serum samples corresponding to Argentinean patients with suspected
leptospirosis derived during from July to December, 2009 and from January to
October, 2013. RESULTS: The same number of positive samples was obtained using
the MAT with the 19 or 12 strains. In six cases a serovar of the expanded
collection was presumably infecting, but always coagglutinated with strains of
the reduced panel. CONCLUSION: In Argentina, the diagnosis of leptospirosis by
MAT could be made using the reduced 12 strains panel, obtaining the same result
in case detection as using the 19 strains panel. Additional information provided
by the use of all strains could be the presumably infecting serogroup.
PMID- 26545004
TI - [The cost impact of a private insurer's own network upon outpatient care].
AB - OBJECTIVE: Econometric analysis that seeks to measure the cost impact of a
private insurer's own network upon outpatient care for its policyholders, own
network refers to vertical integrated providers. The purpose is to assess whether
greater use of its own network reduces the costs that the insurer incurred,
according to what specialized literature suggests. MATERIALS AND METHODS: Study
based on a multiple linear regression on data from a private insurer. The
dependent variable is per capita cost of outpatient services. The explanatory
variables are adherence to the own network and a number of variables to specify
better the model. RESULTS: With all other factors constant, in relation to
covering the costs of outpatient care, it is noted that policyholders with high
adhesion to their own network are less expensive than whose with low adhesion.
CONCLUSIONS: The decision-making process about what services and what grade
should be applied to each person by special conditions of the offer as the
aggregation of human resources in own offices under formal rules has an impact on
health care costs. Particular supply conditions cause variations in how resources
are used.
PMID- 26545005
TI - [Challenges of the right to health in the Colombian model].
AB - Health in Colombia is now a fundamental right that has to be provided and
protected by the government. We evaluated the strengths and difficulties of the
health system with respect to the statutory law enacted in February 2015, using
methodologies for analysis of health systems proposed by the WHO and the World
Bank. The challenges include the fragmentation and specialization of services,
access barriers and incentives that are not aligned with the quality, weak
governance, multiple actors with little coordination and information system that
does not measure results. The government needs to find a necessary social
agreement, a balance between the particular and the collective benefit.
PMID- 26545006
TI - [Global health 2035: implications for Mexico (commentary)].
PMID- 26545008
TI - [Dr. Carlos J. Finlay (1833-1915): on the centenary of his decease].
PMID- 26545007
TI - [Global health 2035: a world converging within a generation].
AB - Prompted by the 20th anniversary of the 1993 World Development Report, a Lancet
Commission revisited the case for investment in health and developed a new
investment framework to achieve dramatic health gains by 2035. The Commission's
report has four key messages, each accompanied by opportunities for action by
national governments of low-income and middle-income countries and by the
international community. First, there is an enormous economic payoff from
investing in health. The impressive returns make a strong case for both increased
domestic financing of health and for allocating a higher proportion of official
development assistance to development of health. Second, modeling by the
Commission found that a "grand convergence" in health is achievable by 2035-that
is, a reduction in infectious, maternal, and child mortality down to universally
low levels. Convergence would require aggressive scale up of existing and new
health tools, and it could mostly be financed from the expected economic growth
of low- and middle-income countries. The international community can best support
convergence by funding the development and delivery of new health technologies
and by curbing antibiotic resistance. Third, fiscal policies -such as taxation of
tobacco and alcohol- are a powerful and underused lever that governments can use
to curb non-communicable diseases and injuries while also raising revenue for
health. International action on NCDs and injuries should focus on providing
technical assistance on fiscal policies, regional cooperation on tobacco, and
funding policy and implementation research on scaling-up of interventions to
tackle these conditions. Fourth, progressive universalism, a pathway to universal
health coverage (UHC) that includes the poor from the outset, is an efficient way
to achieve health and financial risk protection. For national governments,
progressive universalism would yield high health gains per dollar spent and poor
people would gain the most in terms of health and financial protection. The
international community can best support countries to implement progressive UHC
by financing policy and implementation research, such as on the mechanics of
designing and implementing evolution of the benefits package as the resource
envelope for public finance grows.
PMID- 26545011
TI - Differential effects of traffic sign stimuli upon speeding in school zones
following a traffic light interruption.
AB - Motorists whose journey has been interrupted by signalized traffic intersections
in school zones resume their journey at a faster vehicle speed than motorists who
have not been required to stop. Introducing a flashing "check speed" sign 70m
after the traffic intersections counteracts this interruptive effect. The present
study examined which aspects of a reminder sign are responsible for reducing the
speeding behavior of interrupted motorists. When a sign that combines both
written text and flashing lights was introduced, interrupted motorists did not
speed, traveling on average 0.82km/h below the 40km/h speed limit when measured
100m from traffic intersections. Alternatively, when only the flashing lights
were visible the interrupted motorists sped 3.36km/h over the 40km/h speed limit.
Similar vehicular speeds were observed when only the written text was visible and
when no sign was present (7.67 and 7.49km/h over the 40km/h speed limit,
respectively). This indicates that static reminder signs add little value over
the absence of a school zone reminder sign; the presence of both cues is
necessary to fully offset the interruptive effect. This study also highlights the
benefit of using exogenous visual cues in traffic signs to capture drivers'
attention. These findings have practical implications for the design and use of
traffic signs to increase compliance with posted speed limits.
PMID- 26545012
TI - Apolipoprotein E-deficient rats develop atherosclerotic plaques in partially
ligated carotid arteries.
AB - BACKGROUND: The goal of this study was to establish an apolipoprotein E-deficient
(ApoE(-/-)) rat model. METHODS: The ApoE(-/-) rat was created by TALEN-mediated
gene targeting in the genetic background of Sprague Dawley rat. Six-to eight-week
old male rats were used in the experiments (n = 10 in each group). RESULTS: After
fed with high-cholesterol diet (HCD) for 12 weeks, the ApoE(-/-) rats displayed
typical dyslipidemia. In contrast, HCD failed to induce hypercholesterolemia in
wild-type rats. However, there was no obvious atherosclerotic lesion in oil red O
stained en face aortas and the aortic root sections in both genetic types of
rats. Interestingly, partial ligation caused the formation of plaques consist of
lipid and macrophages in carotid arteries from ApoE(-/-) rats, but induced the
neointimal hyperplasia in wild-type rats. Additionally, we found that HCD
slightly increased the expression of adhesion molecules, while partial ligation
dramatically upregulated these molecules. CONCLUSIONS: The ApoE(-/-) rat is a
novel model for dyslipidemia and could also be used in the research of
atherosclerosis.
PMID- 26545013
TI - Atherosclerosis stabilization with PCSK-9 inhibition: An evolving concept for
cardiovascular prevention.
AB - Monoclonal antibodies (mAbs) to proprotein convertase subtilisin/kexin type 9
(PCSK-9) can further lower LDL-C by >=60% in statin-treated patients. Preliminary
data suggest they may reduce cardiovascular (CVD) events. Ongoing PCSK-9 mAb
cardiovascular outcomes trials could provide the opportunity to determine whether
a "legacy effect" similar to that observed for statins will occur over the post
trial observation period. We hypothesize these trials could demonstrate that (1)
very aggressive LDL-C lowering with PCSK-9 mAbs added to background statin
therapy will induce extensive atherosclerosis stabilization and regression in the
large majority of treated patients, and (2) continued maintenance therapy with
high intensity statin therapy (with or without ezetimibe) should then inhibit new
plaque formation, with a long-term prevention of CVD events. The necessity of
expensive lifetime treatment with PCSK-9 inhibitors could then be avoided in all
but a small subset of patients who could benefit from longer treatment.
PMID- 26545014
TI - Plasmalogen modulation attenuates atherosclerosis in ApoE- and ApoE/GPx1
deficient mice.
AB - BACKGROUND AND AIM: We previously reported a negative association of circulating
plasmalogens (phospholipids with proposed atheroprotective properties) with
coronary artery disease. Plasmalogen modulation was previously demonstrated in
animals but its effect on atherosclerosis was unknown. We assessed the effect of
plasmalogen enrichment on atherosclerosis of murine models with differing levels
of oxidative stress. METHODS AND RESULTS: Six-week old ApoE- and ApoE/glutathione
peroxidase-1 (GPx1)-deficient mice were fed a high-fat diet with/without 2% batyl
alcohol (precursor to plasmalogen synthesis) for 12 weeks. Mass spectrometry
analysis of lipids showed that batyl alcohol supplementation to ApoE- and
ApoE/GPx1-deficient mice increased the total plasmalogen levels in both plasma
and heart. Oxidation of plasmalogen in the treated mice was evident from
increased level of plasmalogen oxidative by-product, sn-2 lysophospholipids.
Atherosclerotic plaque in the aorta was reduced by 70% (P = 5.69E-07) and 69% (P
= 2.00E-04) in treated ApoE- and ApoE/GPx1-deficient mice, respectively. A 40%
reduction in plaque (P = 7.74E-03) was also seen in the aortic sinus of only the
treated ApoE/GPx1-deficient mice. Only the treated ApoE/GPx1-deficient mice
showed a decrease in VCAM-1 staining (-28%, P = 2.43E-02) in the aortic sinus and
nitrotyrosine staining (-78%, P = 5.11E-06) in the aorta. CONCLUSION: Plasmalogen
enrichment via batyl alcohol supplementation attenuated atherosclerosis in ApoE-
and ApoE/GPx1-deficient mice, with a greater effect in the latter group.
Plasmalogen enrichment may represent a viable therapeutic strategy to prevent
atherosclerosis and reduce cardiovascular disease risk, particularly under
conditions of elevated oxidative stress and inflammation.
PMID- 26545015
TI - Infectious diseases are associated with carotid intima media thickness in
adolescence.
AB - OBJECTIVE: Inflammatory risk factors in childhood, e.g. obesity, impact on
carotid artery intima media thickness (CIMT), an early indicator of
atherosclerosis. Little is known on potential infectious origins in childhood. We
investigated the association between number of reported different childhood
infectious diseases and CIMT in adolescence. STUDY DESIGN: 288 SAPALDIA offspring
(8-21years) underwent a clinical examination in 2010-2011: anthropometry, blood
pressure, CIMT, blood draw (cardiovascular biomarkers, cotinine). Offspring and
parents gave information on individuals' and family health, child's vaccination
status, infectious diseases and other early life factors. Life-time prevalence of
bronchitis, pneumonia, tonsillitis, otitis, mononucleosis, meningitis,
appendicitis, and scarlet fever were investigated, separately, and as cumulative
infectious disease score. Multilevel adjusted linear regression analysis on the
association between subjects' CIMT average and infectious diseases score was
performed, stratifying by sex. RESULTS: Youth (mean age 14.8 yrs; 53% female)
reported on average 1.3 of the listed infectious diseases; 22% boys and 15% girls
reported >=3 infectious diseases (p = 0.136). Two-thirds were vaccinated
according to recommendations (boys 56%, girls 61.5%, p = 0.567). Sex-stratified
analyses yielded significantly increased CIMT in boys with >=3 infectious
diseases vs. none (0.046 mm, 95%CI 0.024; 0.068). In girls, the effect was of
same direction but statistically non-significant (0.011 mm, 95%CI -0.015; 0.036).
CONCLUSION: The SAPALDIA Youth study complements current evidence on infectious
origins of atherosclerosis in adults. The larger effects observed in boys may
relate to a higher vulnerability of the vasculature and/or to infectious
pathogens. Our data are suggestive of an early impact of childhood infectious
diseases on vascular health.
PMID- 26545016
TI - Synthesis and evaluation of Lys1(alpha,gamma-Folate)Lys3(177Lu-DOTA)-Bombesin(1
14) as a potential theranostic radiopharmaceutical for breast cancer.
AB - The aim of this work was to synthesize Lys(1)(alpha,gamma-Folate)-Lys(3)((177)Lu
DOTA)-Bombesin (1-14) ((177)Lu-Folate-BN), as well as to assess its potential for
molecular imaging and targeted radiotherapy of breast tumors expressing folate
receptors (FR) and gastrin-releasing peptide receptors (GRPR). Radiation absorbed
doses of (177)Lu-Folate-BN (74 MBq, i.v.) estimated in athymic mice with T47D
induced breast tumors (positive to FR and GRPR), showed tumor doses of 23.9+/-2.1
Gy. T47D-tumors were clearly visible (Micro-SPECT/CT images). (177)Lu-Folate-BN
demonstrated properties suitable as a theranostic radiopharmaceutical.
PMID- 26545017
TI - Value of 18F-FDG PET/CT for Predicting the World Health Organization Malignant
Grade of Thymic Epithelial Tumors: Focused in Volume-Dependent Parameters.
AB - PURPOSE: We investigated whether preoperative parameters of 18F-FDG PET/CT were
correlated with the World Health Organization (WHO) classification and/or Masaoka
staging of thymic epithelial tumors. PATIENTS AND METHODS: We reviewed 61
patients retrospectively who were diagnosed with thymic epithelial tumors after
surgical resection and PET/CT. A volume of interest was drawn on the primary
lesion, using an SUV cutoff of 2.5, and metabolic indices such as SUVmax,
metabolic tumor volume (MTV), and total lesion glycolysis (TLG) were measured.
RESULTS: There were 24 male patients (38.7%), and the mean (SD) age was 50.23
(12.54) years. The mean (SD) tumor size was 6.11 (3.41) cm. There were 22 low
risk thymomas (36.9%) (A, AB, B1), 32 high-risk thymomas (51.6%), and 7 thymic
carcinomas (11.5%). The Masaoka stage was I in 15 (24.6%), II in 30 (49.2%), III
in 11 (18.0%), and IV in 5 patients (8.2%). Mean (SD) SUVmax was 3.43 (1.01) in
low-risk thymomas, 4.42 (1.70) in high-risk thymomas, and 8.23 (2.61) in thymic
carcinoma; the differences were significant (P < 0.001). Mean (SD) MTV and TLG
were 90.74 (114.56) and 229.36 (300.56) in low-risk thymomas, 80.82 (112.49) and
233.93 (340.91) in high-risk thymomas, and 90.63 (90.74) and 390.94 (437.62),
respectively, in thymic carcinomas. MTV and TLG showed no correlation with the
WHO classification. On receiver operating characteristic curve analysis, the
cutoff value for discriminating thymomas and thymic carcinomas was 5.05. SUVmax
and TLG were correlated with Masaoka stage. CONCLUSIONS: Although volume
dependent parameters were not correlated with the WHO classification, a
significant relationship was observed between SUVmax and WHO classification and
Masaoka stage.
PMID- 26545018
TI - Follow-up FDG PET/CT in Patients With Non-Hodgkin Lymphoma: Value to Clinical
Assessment and Patient Management.
AB - OBJECTIVE: The aim of this study was to evaluate the value of each follow-up
PET/CT in the clinical assessment of recurrence as well as determining its impact
on management in patients with non-Hodgkin lymphoma (NHL). PATIENTS AND METHODS:
All patients diagnosed with NHL who had at least 1 follow-up PET/CT study, 6
months after primary treatment completion, were included. There were 204 eligible
NHL patients with 560 follow-up PET/CT scans. The change in management was
recorded after each follow-up PET/CT scan in comparison to the management plan
before the study. RESULTS: Among the 560 scans, 388 scans (69.3%) were done
without clinical suspicion and 172 scans (30.7%) were done with prior clinical
suspicion of recurrence. Follow-up scan results suggested disease in 12.4% of the
scans performed without clinical suspicion and ruled out disease in 16.3% scans
performed with prior clinical suspicion. The management of NHL patients was
changed after 37.8% of follow-up scans with prior clinical suspicion of
recurrence and after 8.3% of scans in patients without prior clinical suspicion
of recurrence. The management of NHL patients was not changed after 50.6% scans
with prior clinical suspicion of recurrence of which 23.3% had no treatment
before and after the scan and 27.3% had the same treatment continued before and
after the scan. CONCLUSIONS: Follow-up FDG PET/CT performed with prior clinical
suspicion of recurrence added value to patients with NHL for clinical assessment
in 16.3% of the scan times and influenced the management in 37.8% of scan times.
The management change was only 8.3% in patients without prior clinical suspicion
of recurrence, and hence, surveillance FDG PET/CT in NHL should be avoided.
PMID- 26545019
TI - Quality and Safety in Health Care, Part VII: Lower Costs and Higher Quality.
AB - The Institute of Medicine report entitled The Health Care Imperative: Lowering
Costs and Improving Outcomes discussed numerous ways to decrease costs in the
health care system without decreasing quality. The use of evidence-based
medicine, eliminating wasteful spending such as needlessly high administrative
costs, having more preventive services, having a better reimbursement system that
emphasized quality, developing a less fragmented and more efficient medical
delivery system, having more transparency for patients on the outcomes of
different providers, having greater health care literacy for patients, and
eliminating fraud were some of the recommendations. The total savings from
eliminating unnecessary health care costs was estimated to be over 3 quarters of
a trillion dollars each year.
PMID- 26545020
TI - Focally Increased FDG Activity in the Liver Related to Hydropic Degeneration.
AB - A 50-year-old man, who had diffuse large B-cell lymphoma in the neck and just
finished 4 cycles of chemotherapy, presented to our department to evaluate the
status of the disease. FDG PET/CT revealed a focally increased activity in the
right lobe of the liver. However, pathological examination showed hepatocyte
hydropic degeneration with associated inflammatory cells without evidence of
malignancy.
PMID- 26545021
TI - Enhanced CT and FDG PET/CT in Histiocytic Sarcoma of the Pericardium.
AB - Histiocytic sarcoma is an extremely rare and aggressive malignant neoplasm of
presumed hematopoietic origin. Lymph nodes are the most common sites of
involvement. A variety of extranodal sites can be involved, particularly the
gastrointestinal tract, soft tissue, skin, and spleen. Radiologic findings of
histiocytic sarcoma have been rarely reported. We present a case with histiocytic
sarcoma in pericardium, which is an unusual site. Enhanced chest CT showed
remarkable enhancement of the tumor. On FDG PET/CT, the tumor showed intense FDG
uptake.
PMID- 26545022
TI - 18F-FDG PET/CT Reveals Disease Remission in a Patient With Ipilimumab-Refractory
Advanced Melanoma Treated With Pembrolizumab.
AB - Pembrolizumab is an anti-programmed cell death receptor 1 (anti-PD-1) antibody,
recently approved for the treatment of ipilimumab-refractory metastatic melanoma.
We report on a 49-year-old patient with unresectable metastatic melanoma
initially treated with 4 cycles of ipilimumab. Because of demonstration of
progressive disease on PET/CT, the patient was enrolled into a clinical trial of
pembrolizumab. After completion of 4 cycles of pembrolizumab, the follow-up
PET/CT scans performed early after and 7 months after the end of treatment
exhibited complete disease remission, reflecting the potential role of the
modality in treatment response evaluation of melanoma patients receiving anti-PD
1 therapy.
PMID- 26545023
TI - Added Value of SPECT/CT in the Evaluation of Benign Bone Diseases of the
Appendicular Skeleton.
AB - Bone scintigraphy is a sensitive technique to detect altered bone mineralization
but has limited specificity. The use of SPECT/CT has improved significantly the
diagnostic accuracy of bone scintigraphy, in patients with cancer as well as in
evaluation of benign bone disease. It provides precise localization and
characterization of tracer-avid foci, shortens the diagnostic workup, and
decreases patient anxiety. Through both the SPECT and the CT components, SPECT/CT
has an incremental value in characterizing benign bone lesions, specifically in
the appendicular skeleton, as illustrated by present case series.
PMID- 26545024
TI - 18F-FDG PET in Liver Transplantation Setting of Hepatocellular Carcinoma:
Predicting Histology?
AB - PURPOSE: The aim of this study was to evaluate the prognostic value of F-FDG
PET/CT by predicting histopathological findings in the pretransplant evaluation
of patients with hepatocellular carcinoma (HCC). PATIENTS AND METHODS: F-FDG
PET/CT findings of 34 patients with HCC who underwent liver transplantation were
reviewed retrospectively. Visual and quantitative analysis (tumor standardized
uptake values normalized to the background activity of the liver: SUVmax T/L) was
done. PET tumor characteristics were compared with the histological analysis
(differentiation and microvascular invasion). All patients were followed up
(mean, 12 months). RESULTS: Ten patients showed tumoral uptake greater than
background activity (PET+). Higher-grade tumor was more common in the F-FDG-avid
tumor group (P < 0.05). PET+ also showed more microvascular invasion at explant
pathology (P < 0.05). Only 1 patient PET+ developed HCC early recurrence (4
months) with an SUVmax T/L of 1.64. CONCLUSIONS: F-FDG uptake is predictive for
microvascular invasion and tumor differentiation. This examination has a
prognostic value regarding tumor recurrence after liver transplantation for HCC.
PMID- 26545025
TI - 18F-FDG PET/CT Brain Imaging on a Patient With Paraneoplastic Opsoclonus
Myoclonus Syndrome Arising out of a Mature Cystic Teratoma.
AB - Opsoclonus-myoclonus syndrome (OMS) is an involuntary multidirectional eye
movement accompanied by myoclonic jerks and a subtype of paraneoplastic
neurological syndromes. Clinical features of OMS include opsoclonus with
myoclonic jerks and cerebellar ataxia. Although there have been a few studies on
brain FDG PET in paraneoplastic neurological syndrome associated with some kinds
of malignancies such as lung and gastric cancer, brain FDG PET of patients with
OMS caused by a mature cystic teratoma has not been reported. Here, we described
a case of brain FDG PET/CT studies performed in a woman with OMS provoked from a
mature cystic teratoma.
PMID- 26545026
TI - Retrosternal Goiter Visualized on 99mTc Pertechnetate SPECT/CT, But Not on Planar
Scintigraphy.
AB - Retrosternal goiter is one of the common causes of anterior mediastinal masses.
Scintigraphic diagnosis of retrosternal goiter plays an important role in
avoiding invasive diagnostic procedures, and SPECT acquisition may enhance the
diagnostic sensitivity of this technique as compared with planar scintigraphy.
Here, I report a case of retrosternal goiter that was demonstrated on SPECT/CT
obtained with Tc pertechnetate, but not on planar scintigraphy with the same
tracer.
PMID- 26545027
TI - Preliminary Comparison of PET/CT Studies Performed After Intravenous and Oral
Administration of 18F-Fluoride.
AB - A 78-year-old man with prostate cancer was referred for 18F-NaF PET/CT for
assessing bone metastases. An 18F-NaF PET/CT study was performed after the
intravenous administration of the radiopharmaceutical. Five days later, a second
study was done after oral administration of the radiopharmaceutical as part of a
research protocol.
PMID- 26545028
TI - Detection of Leptomeningeal Involvement by 18F-FDG-PET/CT in a Patient With Non
Hodgkin Lymphoma.
AB - Leptomeningeal infiltration of the brain or spinal cord by neoplastic cells may
occur as complication of solid or hematopoietic tumors such as non-Hodgkin
lymphoma. Previously rare, this event is becoming increasingly common as newer
therapies can prolong survival but may not achieve therapeutic concentration in
the central nervous system. Although prognosis is poor, early diagnosis and
aggressive treatment may lead to prolonged survival and/or improvement of quality
of life. We report a case of a 69-year-old man with leptomeningeal infiltration
by non-Hodgkin lymphoma revealed by F-FDG-PET/CT and confirmed by subsequent
spinal MRI and cerebrospinal fluid cytology.
PMID- 26545029
TI - Computational Exposure Science: An Emerging Discipline to Support 21st-Century
Risk Assessment.
AB - BACKGROUND: Computational exposure science represents a frontier of environmental
science that is emerging and quickly evolving. OBJECTIVES: In this commentary, we
define this burgeoning discipline, describe a framework for implementation, and
review some key ongoing research elements that are advancing the science with
respect to exposure to chemicals in consumer products. DISCUSSION: The
fundamental elements of computational exposure science include the development of
reliable, computationally efficient predictive exposure models; the
identification, acquisition, and application of data to support and evaluate
these models; and generation of improved methods for extrapolating across
chemicals. We describe our efforts in each of these areas and provide examples
that demonstrate both progress and potential. CONCLUSIONS: Computational exposure
science, linked with comparable efforts in toxicology, is ushering in a new era
of risk assessment that greatly expands our ability to evaluate chemical safety
and sustainability and to protect public health. CITATION: Egeghy PP, Sheldon LS,
Isaacs KK, Ozkaynak H, Goldsmith M-R, Wambaugh JF, Judson RS, Buckley TJ. 2016.
Computational exposure science: an emerging discipline to support 21st-century
risk assessment. Environ Health Perspect 124:697-702;
http://dx.doi.org/10.1289/ehp.1509748.
PMID- 26545030
TI - Visual Display Terminal use in Iranian bank tellers: Effects on job stress and
insomnia.
AB - BACKGROUND: Visual Display Terminals (VDTs) are equipments in many workplaces
which their use may increase the risk of visual, musculoskeletal and mental
problems including insomnia. OBJECTIVE: To determine the relationship between
duration of daily VDT use and insomnia among the Iranian bank tellers. METHODS:
We randomly selected 382 bank tellers working with VDT. Quality of sleep and
stress information were collected by Athens Insomnia Scales (AIS) and Demand
Control Model (DCM) model respectively. RESULTS: Out of 382 participants, 127
(33.2%) had sleep complaints and 255 (66.8%) had no sleep disorders. Moreover,
the insomnia symptoms' score were significantly high in the participants having
more than 6 hours of daily VDT use after adjusting for multiple confounding
factors (P < 0.001). There was no significant relationship between stress and
insomnia. CONCLUSIONS: It seems that the low levels of stress and job
satisfaction reduce the impact of VDT on sleep quality in tellers who worked less
than 6 hours per day.
PMID- 26545031
TI - Engage/Trojan Neighbors: A community service partnership between an academic
division and residential community.
AB - This paper describes the case of an after-school program, focused on providing
enrichment opportunities for neighborhood youth, jointly administered through an
academic division and residential community within a large urban research
university. The program, originally conceived as an activity-based after-school
program for middle school youth, expanded in scope in response to both community
and student needs. The resident faculty fellow in this community served as a
liaison between the academic division and office of residential education,
helping maintain continuity and facilitating effective student leadership of the
program. In this case, we detail the origins and evolution of the program,
including strategies used to resolve challenges that arose over several years of
program implementation.
PMID- 26545033
TI - From the Editor.
PMID- 26545032
TI - Service intangibility and its implications for the work coordination of primary
healthcare multi-professional teams in Brazil.
AB - BACKGROUND: In certain service activities, the intangibility of what is being
produced hinders the vision of an expected result or product. In multi
professional teams, this difficulty becomes increasingly greater, as
participants' perceptions are conditioned to their respective fields of knowledge
and different professional experiences. This is a common situation in healthcare
services. OBJECTIVE: To describe and explain the work coordination process
applied by multi-professional teams in order to deal with the intangibility
inherent in the healthcare services. METHODS: This study involved six multi
professional teams from the public primary healthcare service in Brazil.
Interviews and observations of team meetings were carried out, with focus on the
critical elements of a coordination process: work plans and routines, roles and
responsibilities, knowledge sharing, and a common reference history. RESULTS:
There is coexistence of two distinct coordination processes being performed in
the same work activity: operational coordination, associated with activities that
somehow follow standard procedures; and diagnostic coordination, associated with
diagnosis construction, alternatives analysis and decision making. CONCLUSIONS:
The consequences of intangibility can be overcome by mechanisms that enable a
common perspective among the participants involved in the productive process, and
by the team members' familiarity with each other.
PMID- 26545034
TI - Living with students: Lessons learned while pursuing tenure, administration, and
raising a family.
AB - An emerging promising practice in many universities has been the development of
faculty-in-residence programs, in which university faculty members and their
family moved into university student residences, sharing common living spaces
with students. This case study is centered on two faculty-in-residence living in
university residence halls. One was an assistant professor pursuing tenure while
raising a young child, while the second was a tenured full professor and
associate dean raising two teens. This case study offers the post-experience
conclusions of these two faculty-in-residence individuals, noting the benefits
and challenges each experienced while living -and working closely with these
students outside of the university classroom, all while striving for an optimal
balance in managing professional and familial obligations.
PMID- 26545035
TI - Faculty-Mentor-in-Rez: The development of a new faculty-in-residence model.
AB - Faculty-in-residence programs have long been touted as a successful way to
provide for both intentional and casual out-of-the-classroom interactions between
students and faculty. Despite research on the benefits to students and to faculty
of such programs, academic commitments and lack of clarity around the role of
live-in faculty has made recruiting of faculty a challenge. This case study
provides an account of how McGill University, a publicly-funded, research
intensive university in Montreal, Canada, undertook the development and
implementation of a new faculty-in-residence model that honored the long history
of faculty living in McGill's residences, provided structured opportunities for
faculty-student engagement, and reflected McGill's unique residence culture.
PMID- 26545036
TI - Urethral diverticulum in the female: a meta-analysis of modern series.
AB - INTRODUCTION: Urethral diverticula are a complex problem for the female pelvic
surgeon. Given the rarity of the condition most published series are small and
single institutional. This is a review article and a meta-analysis including all
case series of female urethral diverticulum from the year 2000 to 2015 including
only those case series with a minimum of ten subjects. EVIDENCE ACQUISITION:
Twenty-five articles were included and data was sufficient to perform a meta
analysis on patient age, symptoms at presentation, physical exam findings,
location of diverticulum, diverticular size, radiological findings, pathology,
complications, and recurrence rates. EVIDENCE SYNTHESIS: Urethral diverticulum
have variable symptom presentation and can mimic many other common conditions,
but often present with a palpable urethral mass. Surgical diverticulectomy is the
most commonly performed procedure, but does put the patient at risk for de novo
stress incontinence and recurrent diverticula are not rare. Patients with pre
existing stress incontinence can be safely offered concomitant autologous
pubovaginal sling at the time of diverticulectomy. CONCLUSIONS: Pathology is
benign 97% of the time but one must have a high degree of suspicion in the case
of a firm mass or if MRI indicates a mass within the diverticula. Physicians need
to have a high degree of suspicion particularly in those patients whose symptoms
do not resolve with standard treatment and pelvic MRI is the investigation of
choice.
PMID- 26545037
TI - Ligand Replacement Approach to Raman-Responded Molecularly Imprinted Monolayer
for Rapid Determination of Penicilloic Acid in Penicillin.
AB - Penicilloic acid (PA) is a degraded byproduct of penicillin and often causes
fatal allergies to humans, but its rapid detection in penicillin drugs remains a
challenge due to its similarity to the mother structure of penicillin. Here, we
reported a ligand-replaced molecularly imprinted monolayer strategy on a surface
enhanced Raman scattering (SERS) substrate for the specific recognition and rapid
detection of Raman-inactive PA in penicillin. The bis(phenylenediamine)-Cu(2+)-PA
complex was first synthesized and stabilized onto the surface of silver
nanoparticle film that was fabricated by a bromide ion-added silver mirror
reaction. A molecularly imprinted monolayer was formed by the further
modification of alkanethiol around the stabilized complex on the Ag film
substrate, and the imprinted recognition site was then created by the replacement
of the complex template with Raman-active probe molecule p-aminothiophenol. When
PA rebound into the imprinted site in the alkanethiol monolayer, the SERS signal
of p-aminothiophenol exhibited remarkable enhancement with a detection limit of
0.10 nM. The imprinted monolayer can efficiently exclude the interference of
penicillin and thus provides a selective determination of 0.100/00 (w/w) PA in
penicillin, which is about 1 order of magnitude lower than the prescribed
residual amount of 1.00/00. The strategy reported here is simple, rapid and
inexpensive compared to the traditional chromatography-based methods.
PMID- 26545038
TI - New and Future Directions in Integrative Medicine Research Methods with a Focus
on Aging Populations: A Review.
AB - This review discusses existing and developing state-of-the-art noninvasive
methods for quantifying the effects of integrative medicine (IM) in aging
populations. The medical conditions of elderly patients are often more complex
than those of younger adults, making the multifaceted approach of IM particularly
suitable for aging populations. However, because IM interventions are
multidimensional, it has been difficult to examine their effectiveness and
mechanisms of action. Optimal assessment of IM intervention effects in the
elderly should include a multifaceted approach, utilizing advanced analytic
methods to integrate psychological, behavioral, physiological, and biomolecular
measures of a patient's response to IM treatment. Research is presented
describing methods for collecting and analyzing psychological data; wearable
unobtrusive devices for monitoring heart rate variability, activity and other
behavioral responses in real time; immunochemical methods for noninvasive
molecular biomarker analysis, and considerations and analytical approaches for
the integration of these measures. The combination of methods and devices
presented in this review will provide new approaches for evaluating the effects
of IM interventions in real-life ambulatory settings of older adults, and will
extend the concept of mobile health to the domains of IM and healthy aging.
PMID- 26545039
TI - Nonspherical Deltahedra in Low-Energy Dicarbalane Structures Testing the Wade
Mingos Rules: The Regular Icosahedron Is Not Favored for the 12-Vertex
Dicarbalane.
AB - Theoretical studies on the dicarbalanes C2Al(n-2)Men (n = 7-14; Me = methyl)
predict both carbon atoms to be located at degree 4 vertices of a central C2Al(n
2) deltahedron in the lowest energy structures. As a consequence, deltahedra
having two degree 4 vertices, two degree 6 vertices, and eight degree 5 vertices
rather than the regular icosahedron having exclusively degree 5 vertices are
found for the 12-vertex dicarbalane C2Al10Me12. However, the lowest energy C2Al(n
2)Men (n = 7-11) structures are based on the same most spherical (closo)
deltahedra as the corresponding deltahedral boranes. The lowest energy structures
for the 13- and 14-vertex systems C2Al(n-2)Men (n = 13 and 14) are also
deltahedra having exactly two degree 4 vertices for the carbon atoms. The six
vertex C2Al4Me6 system is exceptional since bicapped tetrahedral and capped
square pyramidal structures with degree 3 vertices for the carbon atoms are
energetically preferred over the octahedral structure suggested by the Wade
Mingos rules.
PMID- 26545040
TI - Acid-Activatable Michael-Type Fluorescent Probes for Thiols and for Labeling
Lysosomes in Live Cells.
AB - A Michael addition is usually taken as a base-catalyzed reaction. Most
fluorescent probes have been designed to detect thiols in slightly alkaline
solutions (pH 7-9). The sensing reactions of almost all Michael-type fluorescent
probes for thiols are faster in a high pH solution than in a low pH solution. In
this work, we synthesized a series of 7-substituted 2-(quinolin-2
ylmethylene)malonic acids (QMAs, substituents: NEt2, OH, H, Cl, or NO2) and their
ethyl esters (QMEs) as Michael-type fluorescent probes for thiols. The sensing
reactions of QMAs and QMEs occur in distinct pH ranges, pH < 7 for QMAs and pH >
7 for QMEs. On the basis of experimental and theoretic studies, we have clarified
the distinct pH effects on the sensing reactivity between QMAs and QMEs and
demonstrated that two QMAs (NEt2, OH) are highly sensitive and selective
fluorescent probes for thiols in acidic solutions (pH < 7) and promising dyes
that can label lysosomes in live cells.
PMID- 26545041
TI - Monohydroxylated Polybrominated Diphenyl Ethers (OH-PBDEs) and Dihydroxylated
Polybrominated Biphenyls (Di-OH-PBBs): Novel Photoproducts of 2,6-Dibromophenol.
AB - Hydroxylated polybromodiphenyl ethers (OH-PBDEs) are emerging aquatic pollutants,
but their origins in the environment are not fully understood. There is evidence
that OH-PBDEs are formed from bromophenols, but the underlying transformation
processes remain unknown. Here, we investigate if the photoformation of OH-PBDEs
from 2,6-dibromophenol in aqueous solution involves 2,6-bromophenoxyl radicals.
After the UV irradiation of an aqueous 2,6-dibromophenol solution, HPLC-LTQ
Orbitrap MS and GC-MS analysis revealed the formation of a OH-PBDE and a
dihydroxylated polybrominated biphenyl (di-OH-PBB). Both dimeric photoproducts
were tentatively identified as 4'-OH-BDE73 and 4,4'-di-OH-PBB80. In addition,
three debromination products (4-OH-BDE34, 4'-OH-BDE27, and 4,4'-di-OH-PBBs) were
observed. Electron paramagnetic resonance spectroscopy revealed the presence of a
2,6-dibromophenoxyl radical with a six-line spectrum (a(H) (2 meta) = 3.45 G,
a(H) (1 para) = 1.04 G, g = 2.0046) during irradiation of a 2,6-dibromophenol
solution in water. The 2,6-dibromophenoxyl radical had a relatively long half
life (122 +/- 5 MUs) according to laser flash photolysis experiments. The para
para C-C and O-para-C couplings of these 2,6-dibromophenoxyl radicals are
consistent with the observed formation of both dimeric OH-PBDE and di-OH-PBB
photoproducts. These findings show that bromophenoxyl radical-mediated
phototransformation of bromophenols is a source of OH-PBDEs and di-OH-PBBs in
aqueous environments that requires further attention.
PMID- 26545042
TI - Effect of Water Content in N-Methylmorpholine N-Oxide/Cellulose Solutions on
Thermodynamics, Structure, and Hydrogen Bonding.
AB - Native crystalline cellulose is notoriously difficult to dissolve due to its
dense hydrogen bond network between chains and weaker hydrophobic forces between
cellulose sheets. N-Methylmorpholine N-oxide (NMMO), the solvent behind the
Lyocell process, is one of the most successful commercial solvents for the
nonderivatized dissolution of cellulose. In this process, water plays a very
important role. Its presence at low concentrations allows NMMO to dissolve
substantial amounts of cellulose, while at much higher concentrations it
precipitates the crystalline fibers. Using all-atom molecular dynamics, we study
the thermodynamic and structural properties of ternary solutions of cellulose,
NMMO, and water. Using the two-phase thermodynamic method to calculate solvent
entropy, we estimate the free energy of dissolution of cellulose as a function of
the water concentration and find a transition of spontaneity that is in excellent
agreement with experiment. In pure water, we find that cellulose dissolution is
nonspontaneous, a result that is due entirely to strong decreases in water
entropy. Although the combined effect of enthalpy on dissolution in water is
negligible, we observe a net loss of hydrogen bonds, resulting in a change in
hydrogen bond energy that opposes dissolution. At lower water concentrations,
cellulose dissolution is spontaneous and largely driven by decreases in enthalpy,
with solvent entropy playing only a very minor role. When searching for the root
causes of this enthalpy decrease, a complex picture emerges in which not one but
many different factors contribute to NMMO's good solvent behavior. The reduction
in enthalpy is led by the formation of strong hydrogen bonds between cellulose
and NMMO's N-oxide, intensified through van der Waals interactions between NMMO's
nonpolar body and the nonpolar surfaces of cellulose and unhindered by water at
low concentrations due to the formation of efficient hydrogen bonds between water
and cellulose.
PMID- 26545043
TI - A Circle Has No End: Role of Cyclic Topology and Accompanying Structural
Reorganization on the Hole Distribution in Cyclic and Linear Poly-p-phenylene
Molecular Wires.
AB - pi-Conjugated organic oligomers/polymers hold great promise as long-range charge
transfer materials for modern photovoltaic applications. However, a set of
criteria for the rational design of functional materials is not yet available, in
part because of a lack of understanding of charge distribution in extended pi
conjugated systems of different topologies, and concomitant effects on redox and
optical properties. Herein we demonstrate the role of cyclic versus linear
topology in controlling the redox/optical properties and hole distribution in
poly-p-phenylenes (PPs) with the aid of experiment, computation, and our recently
developed multistate parabolic model (MPM). It is unequivocally shown that the
hole distribution in both cyclic and linear poly-p-phenylene (n >= 7) cation
radicals is limited to seven p-phenylene units, despite the very different
topologies. However, the effect of topology is evidenced in the very different
trends in oxidation potentials of cyclic versus linear PPs, which are shown to
originate largely from the geometrical distortion of individual p-phenylene units
in cyclic PPs. The presence of additional pairwise electronic coupling element in
cyclic PPs, absent in linear PPs, plays a significant role only in smaller cyclic
PP5 and PP6. This study provides a detailed conceptual description of cyclic and
linear poly-p-phenylene cation radicals and demonstrates the versatility and
predictive power of MPM, an important new tool for the design and synthesis of
novel and efficient charge-transfer materials for molecular electronics and
photovoltaic applications, an area of widespread interest.
PMID- 26545044
TI - Periodontitis Awareness Amongst the General Public: A Critical Systematic Review
to Identify Gaps of Knowledge.
AB - BACKGROUND: Poor awareness of periodontal diseases and their consequences has
been reported as the most frequent reason for periodontal treatment failure on a
community basis. This study aims to identify the most relevant gaps of knowledge
about periodontal diseases among the general public and to disclose whether these
gaps are culturally consistent. METHODS: Systematic searches were conducted of
the EMBASE, PubMed, and SciELO databases (1998 to November 2014). The search
strategy was "periodontitis OR periodontal disease" and "knowledge OR awareness"
as keywords and free text. Papers were included if they reported on community
based, quantitative studies undertaken on adult individuals. RESULTS: A total of
2,330 references were identified (1,567 single papers), and six papers were
finally selected. Raw data were grouped into nine dimensions of periodontal
knowledge: 1) awareness; 2) etiology; 3) associated risks; 4) signs and symptoms;
5) risk factors; 6) treatment; 7) general knowledge; 8) prevention; and 9)
attitudes. This classification recognized disease awareness (80%), etiology
(75%), and periodontal-related risks (71.43%) as the most important knowledge
deficits among the general public. These findings were confirmed by weighted data
analysis. CONCLUSIONS: The number of available community-based investigations on
periodontal knowledge is scarce and restricted to areas with a very high level of
human development. Gaps of knowledge exist in every geographic area, with the
most relevant issues of low awareness and poor knowledge about the etiology of
periodontal diseases and their relation with systemic disorders. These results
highlight the need for local, community-based investigations about periodontal
knowledge and barriers hampering early diagnosis, as well as for adequate
educational interventions focused on these issues.
PMID- 26545045
TI - Interstitial lithium diffusion pathways in gamma-LiAlO2: a computational study.
AB - Although the Li diffusion in single crystalline gamma-LiAlO2 was studied with
temperature-dependent Li-7 NMR spectroscopy and conductivity measurements
recently, the exact diffusion pathways are not yet clearly identified. Therefore,
the present study aims at elucidating the diffusion pathways in gamma-LiAlO2
theoretically from first principles. Competing pathways for Li diffusion are
investigated using the climbing-image nudged-elastic-band approach with periodic
quantum-chemical density functional theory (DFT) method. Li can migrate between
two regular LiO4 tetrahedral sites via Li point defect (VLi) and via a Li Frenkel
defect (VLi + Lii). On the basis of calculated activation energies for Li
diffusion pathways, it is concluded that Li conductivity is strongly dependent on
the distribution of Li vacancies and interstitial Li in the lattice. For Frenkel
defects where Lii is far away from the migrating Li atom, the calculated
activation energies for jumps to nearest-neighbor vacant sites agree with
experimental values.
PMID- 26545046
TI - Comparative evaluation of mandibular canal visibility on cross-sectional cone
beam CT images: a retrospective study.
AB - OBJECTIVES: The purpose of this study was to determine the visibility of the
mandibular canal (MC) in CBCT images and if the visibility of the MC is affected
by gender, location and/or age. METHODS: CBCT images were evaluated for the
visibility of the MC by a board-certified oral and maxillofacial radiologist, a
board-certified periodontist and a periodontics resident. Representative slices
were examined for the first premolar (PM(1)), second premolar (PM(2)), first
molar (M(1)) and second molar (M(2)) sites by all examiners. The visibility of
the MC was registered as either present or absent. RESULTS: 360 total CBCT cross
sectional images were examined, with the MC identified in 204 sites (56%). Age
had a significant effect on MC visibility, but it differed by location: for
PM(1), age 47-56 had lower visibility than age 65+ (p = 0.0377). Gender also had
a significant effect on canal visibility, where females had lower visibility than
males overall (p = 0.0178) and had the most pronounced difference for PM(1) (p =
0.0054). Location had a significant effect on visibility, but it differed by age
and by gender: for age 65+, M(2) had lower visibility than PM(1) (p = 0.0411) and
PM(2) (p = 0.0180), while for females, PM(1) had lower visibility than M(1) (p =
0.0123) and M(2) (p = 0.0419). CONCLUSIONS: The MC was visualized only in just
over half of the CBCT images. Age, gender and location had significant effects on
the visibility.
PMID- 26545047
TI - Salmonellosis Hospitalizations in the United States: Associated Chronic
Conditions, Costs, and Hospital Outcomes, 2011, Trends 2000-2011.
AB - Hospitalized salmonellosis patients with concurrent chronic conditions may be at
increased risk for adverse outcomes, increasing the costs associated with
hospitalization. Identifying important modifiable risk factors for this
predominantly foodborne illness may assist hospitals, physicians, and public
health authorities to improve management of these patients. The objectives of
this study were to (1) quantify the burden of salmonellosis hospitalizations in
the United States, (2) describe hospitalization characteristics among
salmonellosis patients with concurrent chronic conditions, and (3) examine the
relationships between salmonellosis and comorbidities by four hospital-related
outcomes. A retrospective analysis of salmonellosis discharges was conducted
using the Agency for Healthcare Research and Quality's Nationwide Inpatient
Sample for 2011. A supplemental trend analysis was performed for the period 2000
2011. Hospitalization characteristics were examined using multivariable
regression modeling, with a focus on four outcome measures: in-hospital death,
total amount billed by hospitals for services, length of stay, and disease
severity. In 2011, there were 11,032 total salmonellosis diagnoses; 7496 were
listed as the primary diagnosis, with 86 deaths (case-fatality rate = 1.2%).
Multivariable regression analyses revealed a greater number of chronic conditions
(>=4) among salmonellosis patients was associated with higher mean total amount
billed by hospitals for services, longer length of stay, and greater disease
severity (p <= 0.05). From 2000 to 2011, hospital discharges for salmonellosis
increased by 27.2%, and the mean total amount billed by hospitals increased
nearly threefold: $9,777 (2000) to $29,690 (2011). Observed increases in
hospitalizations indicate the burden of salmonellosis remains substantial in the
United States. The positive association between increased number of chronic
conditions and the four hospital-related outcomes affirms the need for continual
healthcare and public health investments to prevent and control this disease in
vulnerable groups.
PMID- 26545051
TI - Family Medicine Student Interest.
PMID- 26545049
TI - Microdeletion del(22)(q12.1) excluding the MN1 gene in a patient with
craniofacial anomalies.
AB - Several studies have recently reported that 22q12.1 deletions encompassing the
MN1 gene are associated with craniofacial anomalies. These observations are
consistent with the hypothesis that MN1 haploinsufficiency may be solely
responsible for craniofacial anomalies and/or cleft palate. We report here the
case of a 4-year-old boy presenting with global developmental delay and
craniofacial anomalies including severe maxillary protrusion and
retromicrognathia. Array-CGH detected a 2.4 Mb de novo deletion of chromosome
22q12.1 which did not encompass the MN1 gene thought to be the main pathological
candidate in 22q12.1 deletions. This observation, combined with data from other
patients from the Database of Chromosomal Imbalance and Phenotype in Humans Using
Ensemble Resources (DECIPHER), suggests that other gene(s) in the 22q12.1 region
are likely involved in craniofacial anomalies and/or may contribute to the
phenotypic variability observed in patients with MN1 deletion.
PMID- 26545048
TI - Molecular background of oligodendroglioma: 1p/19q, IDH, TERT, CIC and FUBP1.
AB - Oligodendroglioma is the quintessential molecularly-defined brain tumor. The
characteristic whole-arm loss of the long arm of chromosome 1 and the short arm
of chromosome 19 (1p/19q-codeletion) within the genome of these tumors
facilitated the reproducible molecular identification of this subcategory of
gliomas. More recently, recurrent molecular genetic alterations have been
identified to occur concurrently with 1p/19q-codeletion, and definitively
identify these tumors, including mutations in IDH1/2, CIC, FUBP1, and the TERT
promoter, as well as the absence of ATRX and TP53 alterations. These findings
provide a foundation for the consistent diagnosis of this tumor type, upon which
a generation of clinical investigators have assembled a strong evidence base for
the effective treatment of this disease with radiation and chemotherapy.
PMID- 26545052
TI - Medical Student Participation in Family Medicine Department Extracurricular
Experiences and Choosing to Become a Family Physician.
AB - BACKGROUND AND OBJECTIVES: Many factors influence a medical student's decision to
choose a family medicine career. The impact of participation in extracurricular
programs sponsored by family medicine departments is currently unclear. Medical
student participation in four University of Washington Department of Family
Medicine-sponsored programs (Community Health Advancement Program, Family
Medicine Interest Group, Rural Underserved Opportunity Program, and the
Underserved Pathway) could be associated with becoming a family physician.
METHODS: Demographic data, results from a matriculation career interest survey,
records indicating participation in the four extracurricular programs, and Match
lists showing the specialty of each graduate were linked. Based on responses to
the matriculation survey, graduates were categorized into four levels of initial
family medicine interest. Chi-square tests compared both demographic data with
initial family medicine interest levels and initial family medicine interest
levels with program participation. For residency-matched graduates, odds ratios
of matching to family medicine versus other specialties for specific family
medicine programs and number of programs were calculated, controlling for
demographic variables and initial family medicine interest levels. RESULTS: Older
age, female graduates, a rural upbringing, and high level of initial family
medicine interest were independently and significantly associated with choosing
family medicine. Participation in the Family Medicine Interest Group (OR 2.45)
and the Underserved Pathway (OR 4.37) and two or more family medicine programs
(OR 2.01--2.22) was significantly associated with entering family medicine.
CONCLUSIONS: Certain demographic factors and high initial interest in family
medicine is associated with entering the specialty. Some, but not all, family
medicine department-sponsored extracurricular programs were associated with
choosing family medicine.
PMID- 26545053
TI - Gold Humanism Honor Society Election and Academic Outcomes: A 10-Institution
Study.
AB - BACKGROUND AND OBJECTIVES: This study examines relationships among election to
the Gold Humanism Honor Society (GHHS) and election to Alpha Omega Alpha (AOA),
class rank, and residency selection to determine if GHHS members are more likely
to select primary care residencies than students not elected to GHHS membership.
METHODS: We evaluated five graduating classes (2006--2010) at 10 medical schools
(n=5,481 students). Residency selections were grouped into primary care (family
medicine, internal medicine, pediatrics, OB-GYN), surgery (including surgical
specialties), or E-ROAD and other (including lifestyle practices-emergency
medicine, radiology, ophthalmology, anesthesiology, and dermatology plus all
other specialties, eg, neurology, pathology). RESULTS: A higher proportion of
GHHS members were attracted to primary care compared to non-GHHS members (54.3%
versus 44.5%). Additional comparisons between GHHS and non-GHHS members
demonstrated that 33.1% of GHHS members matched into E-ROAD and other
residencies, while 40.9% of non-GHHS went into one of these specialties. Fewer
GHHS members chose general surgery or a surgical sub-specialty (12.6% versus
14.6%). More GHHS members were elected into AOA (30.3% versus 14.0%). Further, a
far greater proportion of dual AOA/GHHS members elect family medicine residency
versus AOA members not elected to GHHS. In addition, GHHS members had slightly
higher mean scores on USMLE Step 1 and 2 CK (Clinical Knowledge) and mean class
rank. CONCLUSIONS: This study demonstrates that students elected into the GHHS as
an aggregate group tend to be academically higher achieving when compared to
their non-GHHS peers and gravitate to a higher degree toward primary care and
specifically to family medicine.
PMID- 26545054
TI - A Survey of Family Medicine Department Chairs About Faculty With Disabilities: A
CERA Study.
AB - BACKGROUND AND OBJECTIVES: Despite 21 million US adults having a disability,
little is known about the types of disabilities among faculty in family medicine
departments, accommodations used, or work limitations. METHODS: We surveyed
family medicine department chairs electronically about the number, types of
disabilities encountered, accommodations and associated costs, and attitudes
toward family medicine faculty with disabilities. This 10-item survey was part of
the Council of Academic Family Medicine Educational Research Alliance omnibus
survey. Data were summarized using descriptive statistics. RESULTS: The survey
response rate was 59% (88/148). Types of disabilities were reported for
approximately 50 faculty members by 31 department chairs; only seven knew about
the disability at hiring. The most common impairments were mobility, hearing, and
mental health problems. Accommodations included adjusting schedules, additional
time to meet tasks, and assistive technology. No additional costs were reported
for accommodations by about one-third of respondents while costs were over $5,000
for approximately one-quarter. Most chairs reported that faculty performance was
similar to peers without disabilities (42.2%) or adequate but not at the level of
peers (40%); only one reported inadequate job performance. Faculty members with
disabilities appeared to be accepted by peers, patients, learners, and staff, and
only two faculty left their program because of the disability. CONCLUSIONS: Most
chairs did not report experience with faculty members with disabilities. The
disabilities encountered and accommodations were not unusual, but costs were
sometimes high. While about half of chairs reported adequate or superior job
performance for their faculty with disabilities, a sizeable minority judged such
faculty to have poorer performance than peers despite reporting wide acceptance
of faculty with disabilities by patients and colleagues. This study raises
concerns about potential underreporting by faculty with disabilities and poorer
perceived job performance despite wide acceptance and provision of
accommodations, sometimes at high cost.
PMID- 26545055
TI - Preparing the Next Generation of Family Physicians to Improve Population Health:
A CERA Study.
AB - BACKGROUND AND OBJECTIVES: Family medicine leaders cite population health as a
key tenet in the strategic plan outlining family medicine's role in improving
America's health. Yet little is known about current practice in training family
physicians in this area. This study describes the current practice and teaching
of community medicine and population health in family medicine residency programs
to support the broader goal of preparing the next generation of family physicians
to deliver comprehensive primary care while improving population health. METHODS:
Questions were added to the 2013 Council of Academic Family Medicine Educational
Research Alliance (CERA) program directors (PD) survey detailing current teaching
practices and identifying self-rated exemplary programs. Multivariate logistic
regression models were built to predict program strength. RESULTS: A quarter of
responding PDs (n=56) self-rate the strength of their community medicine
curriculum; they are more likely to: have a faculty champion, have strong public
health partnerships, teach community-oriented primary care well, and tend to
serve densely populated regions (>500K). PDs ranked "knowledge and use of
community resources" (n=142, 63%) and "teamwork" (n=127, 57%) as areas of
community medicine taught best and research/evaluation (n=120, 54%) and
population health (n=105, 47%) as areas not taught well. Resident/faculty time
are cited as barriers to curricular success (n=144, 64% and n=134, 60%).
CONCLUSIONS: Family medicine is well poised to take leadership in the teaching
and practice of population health. Yet improvements are still needed and may be
supported by dedicated time for faculty/residents, development of faculty
champions, and targeted training in rural and suburban areas.
PMID- 26545056
TI - Reminder Cards Improve Physician Documentation of Obesity But Not Obesity
Counseling.
AB - BACKGROUND AND OBJECTIVES: Physicians frequently fail to document obesity and
obesity-related counseling. We sought to determine whether attaching a physical
reminder card to patient encounter forms would increase electronic medical record
(EMR) assessment of and documentation of obesity and dietary counseling. METHODS:
Reminder cards for obesity documentation were attached to encounter forms for
patient encounters over a 2-week intervention period. For visits in the
intervention period, the EMR was retrospectively reviewed for BMI, assessment of
"obesity" or "morbid obesity" as an active problem, free-text dietary counseling
within physician notes, and assessment of "dietary counseling" as an active
problem. These data were compared to those collected through a retrospective
chart review during a 2-week pre-intervention period. We also compared physician
self-report of documentation via reminder cards with EMR documentation. RESULTS:
We found significant improvement in the primary endpoint of assessment of
"obesity" or "morbid obesity" as an active problem (42.5% versus 28%) compared to
the pre-intervention period. There was no significant difference in the primary
endpoints of free-text dietary counseling or assessment of "dietary counseling"
as an active problem between the groups. Physician self-reporting of assessment
of "obesity" or "morbid obesity" as an active problem (77.7% versus 42.5%), free
text dietary counseling on obesity (69.1% versus 35.4%) and assessment of
"dietary counseling" as an active problem (54.3% versus 25.2%) were all
significantly higher than those reflected in EMR documentation. CONCLUSIONS: This
study demonstrates that physical reminder cards are a successful means of
increasing obesity documentation rates among providers but do not necessarily
increase rates of obesity-related counseling or documentation of counseling. Our
study suggests that even with such interventions, physicians are likely under
documenting obesity and counseling compared to self-reported rates.
PMID- 26545057
TI - Incorporating Osteopathic Curriculum Into a Family Medicine Residency.
AB - BACKGROUND AND OBJECTIVES: Literature review reveals that doctors of osteopathic
medicine (DO) physicians desire to maintain their osteopathic identity and
enhance their osteopathic skills during residency training. An effective
osteopathic curriculum has enhanced the University of Missouri-Kansas City (UMKC)
Family Medicine Residency Program's recruitment of strong osteopathic residency
candidates. UMKC has been a dually accredited family medicine residency since
2006. The study sought to determine resident attitudes toward osteopathic
identity and principles and the perceived effectiveness of our osteopathic
curriculum. METHODS: An anonymous survey was sent to osteopathic residents and
recent graduates still working within the Truman Medical Center (TMC) system. The
survey questions assessed the perceived importance of osteopathic principles and
the perceived effectiveness of the residency program's current osteopathic
curriculum. RESULTS: The response rate of DO physicians representing seven
colleges of osteopathic medicine (COM) was 29/30 (97%). Respondents agreed that
the American Osteopathic Association (AOA) program is one of the strengths of our
family medicine residency program. Respondents planned to utilize osteopathic
manipulative therapy (OMT) in their own practice. Osteopathic principles
stratified in order of importance were: OMT benefits our patients, maintaining
hands-on OMT skills, and learning to integrate OMT into your office treatment
regimen. CONCLUSIONS: Our residents value integrating OMT into their practices as
a benefit to their patients, to maintain osteopathic skills, and to learn to
integrate OMT into their office treatment regimen. They generally agreed that the
AOA accredited program is one of the strengths of our residency program. They
intend to utilize OMT when they are in practice.
PMID- 26545058
TI - Health Policy and Advocacy for New Mexico Medical Students in the Family Medicine
Clerkship.
AB - BACKGROUND AND OBJECTIVES: Learners in medical education are often inadequately
prepared to address the underlying social determinants of health and disease. The
objective of this article is to describe the development, implementation, and
evaluation of a Health Policy and Advocacy curriculum incorporated into our
family medicine clerkship. METHODS: We developed a Health Policy and Advocacy
course for medical students within our family medicine clerkship. We evaluated
the curriculum using a survey of our own design administered to students before
and after their clerkship year. We created a mean score for each subscale that
measured (1) physician's role, (2) knowledge, and (3) confidence in ability and
calculated differences between the pre-survey and the post-survey scores for four
medical school classes. We also conducted a focus group to get student input on
the new curriculum. RESULTS: Mean scores on the pre- and post-surveys were
highest for the subscale regarding attitudes about a physician's role in health
policy and advocacy and did not change over time. Scores for self-reported
knowledge and confidence in abilities increased significantly from the beginning
to the end of the clerkship year. Students were generally positive about the
curriculum but had some concerns about finding time for advocacy in their future
practices. CONCLUSIONS: Training in health care policy and advocacy can be
successfully implemented into a medical school curriculum with positive outcomes
in students' self-reported knowledge and confidence in their abilities. Work
remains on providing advocacy role models for students.
PMID- 26545059
TI - Service-Based Learning for Residents: A Success for Communities and Medical
Education.
AB - BACKGROUND AND OBJECTIVES: Community-based service-learning opportunities could
support residents' acquisition of Accreditation Council for Graduate Medical
Education (ACGME) competencies, but this concept has not been tested, and such
programs are difficult to find. The objective of this work was to assess the
value and the ACGME competency relevance of a service-learning program for
residents that could be easily replicated nationally. METHODS: Forty-one family
medicine residents from three training programs participated in the Stanford
Youth Diabetes Coaches Program at six high schools in California and Georgia
serving minority students of low socioeconomic status. Residents completed online
surveys to provide qualitative feedback and assess the program's impact on their
acquisition of residency program competencies and self-management support
proficiencies, including prior use and planned use of action plans-a key self
management support strategy. RESULTS: Ninety-five percent of residents indicated
that the program was a valuable experience that contributed to acquisition of
residency program competencies, including interpersonal and communication skills
and communication with teens. Compared with baseline, significantly more
residents reported intention to use action plans with patients following
participation. Themes from qualitative feedback included: valuing the overall
experience, increasing opportunities to practice teaching, enhancing their
ability to communicate with adolescents, contributing to the health of the
community, recognizing the potential of action plans, and increasing intent to
use action plans. CONCLUSIONS: This pilot demonstrated that a brief service
learning program can enhance standard residency curriculum by encouraging
acquisition of ACGME competencies and promoting utilization of self-management
support in clinical practice.
PMID- 26545060
TI - Using Telemedicine Technology to Assess Physician Outpatient Teaching.
AB - BACKGROUND AND OBJECTIVES: Video conferencing technology (telemedicine) can be
applied to many settings within the medical community; we assessed the
feasibility of its use in conducting observations of faculty at remote family
medicine teaching sites. METHODS: We deployed seven telemedicine units to five
family medicine residency sites and two observation stations within our division.
Practice managers and physician faculty members received on-site training on the
basic functionality of the technology, as well as "best practices" and minor
troubleshooting techniques. Quick reference guides and other support documents
were developed and provided for each site. During the remote faculty observation,
two observers simultaneously viewed the resident being precepted, assessing the
faculty member using a standardized tool. After the experience, all participants
were asked to complete a survey on the usability of the technology. RESULTS:
Nineteen observations were successfully conducted from November 2011 to December
2012. From a qualitative perspective, faculty accepted this as a viable means of
faculty development. Minor technical hurdles were captured in the survey and
improved upon as staff and faculty became more comfortable with the technology
and as our technical capabilities allowed. Overall, the technology was rapidly
accepted into the practices. CONCLUSIONS: Video teleconferencing represents a
valuable tool that contributes to the development of faculty by making
observation available to numerous sites, including remote areas that may have
been previously challenging to reach due to logistics. Recent improvements in
technology should make the process easier and allow more aspects of the
encounters to be readily observed.
PMID- 26545061
TI - Borderlands.
PMID- 26545062
TI - A Team Reacts to a Patient's Death.
PMID- 26545065
TI - To Be Well, or Not to Be Well, That Is the Question: What Will We Choose?
PMID- 26545066
TI - Diversity of the Heart and Mind.
PMID- 26545067
TI - Oropharyngeal oxygen and volatile anesthetic agent concentration during the use
of laryngeal mask airway in children.
AB - BACKGROUND: The laryngeal mask airway is increasingly used as an airway adjunct
during general anesthesia. Although placement is generally simpler than an
endotracheal tube, complete sealing of the airway may not occur, resulting in
contamination of the oropharynx with anesthetic gases. Oropharyngeal oxygen
enrichment may be one of the contributing factors predisposing to an airway fire
during adenotonsillectomy. The current study prospectively assesses the
oropharyngeal oxygen and volatile anesthetic agent concentration during laryngeal
mask airway use in infants and children. METHODS: Following the induction of
general anesthesia and placement of a laryngeal mask airway, the oropharyngeal
gas sample was obtained by placing a 14-gauge catheter attached to the gas
sampling tube into the oropharynx above the laryngeal mask airway. The
oropharyngeal concentration of the oxygen and the anesthetic agent were recorded
for five breaths during both spontaneous ventilation (SV) and positive pressure
ventilation (PPV). RESULTS: The study included 238 patients. The oropharyngeal
concentration of sevoflurane was >50% of the inspired sevoflurane concentration
during SV in 10 of 238 (4.2%) patients and during PPV in 135 of 238 (56.7%)
patients. Similarly, during SV and PPV, the oropharyngeal oxygen concentration
was >21% in 30 of 238 (12.6%) patients and in 188 of 238 (79%) patients,
respectively. Significantly, we also noticed that the oropharyngeal oxygen
concentration exceeded 50% in 5 of 238 (2.1%) patients during SV and in 139 of
238 patients (58.4%) patients during PPV. CONCLUSIONS: With the use of a
laryngeal mask airway and the administration of 100% oxygen, there was
significant contamination of the oropharynx during both PPV and SV. The
oropharyngeal concentration of oxygen was high enough to support combustion in a
significant number of patients. The use of a laryngeal mask airway does not
ensure sealing of the airway and may be one risk factor for an airway fire during
adenotonsillectomy.
PMID- 26545068
TI - Previsualizing a post-combustion world.
PMID- 26545069
TI - Treatment of periodontal disease for glycaemic control in people with diabetes
mellitus.
AB - BACKGROUND: Glycaemic control is a key issue in the care of people with diabetes
mellitus (DM). Periodontal disease is the inflammation and destruction of the
underlying supporting tissues of the teeth. Some studies have suggested a
bidirectional relationship between glycaemic control and periodontal disease.
This review updates the previous version published in 2010. OBJECTIVES: The
objective is to investigate the effect of periodontal therapy on glycaemic
control in people with diabetes mellitus. SEARCH METHODS: We searched the
following electronic databases: the Cochrane Oral Health Group Trials Register
(to 31 December 2014), the Cochrane Central Register of Controlled Trials
(CENTRAL) (Cochrane Library 2014, Issue 11), MEDLINE via OVID (1946 to 31
December 2014), EMBASE via OVID (1980 to 31 December 2014), LILACS via BIREME
(1982 to 31 December 2014), and CINAHL via EBSCO (1937 to 31 December 2014).
ZETOC (1993 to 31 December 2014) and Web of Knowledge (1990 to 31 December 2014)
were searched for conference proceedings. Additionally, two periodontology
journals were handsearched for completeness, Annals of Periodontology (1996 to
2003) and Periodontology 2000 (1993 to 2003). We searched the US National
Institutes of Health Trials Registry (http://clinicaltrials.gov) and the WHO
Clinical Trials Registry Platform for ongoing trials. No restrictions were placed
on the language or date of publication when searching the electronic databases.
SELECTION CRITERIA: We searched for randomised controlled trials (RCTs) of people
with type 1 or type 2 DM (T1DM/T2DM) with a diagnosis of periodontitis.
Interventions included periodontal treatments such as mechanical debridement,
surgical treatment and antimicrobial therapy. Two broad comparisons were
proposed:1. periodontal therapy versus no active intervention/usual care;2.
periodontal therapy versus alternative periodontal therapy. DATA COLLECTION AND
ANALYSIS: For this review update, at least two review authors independently
examined the titles and abstracts retrieved by the search, selected the included
trials, extracted data from included trials and assessed included trials for risk
of bias.Our primary outcome was blood glucose levels measured as glycated
(glycosylated) haemoglobin assay (HbA1c).Our secondary outcomes included adverse
effects, periodontal indices (bleeding on probing (BOP), clinical attachment
level (CAL), gingival index (GI), plaque index (PI) and probing pocket depth
(PPD)), cost implications and diabetic complications. MAIN RESULTS: We included
35 studies (including seven from the previous version of the review), which
included 2565 participants in total. All studies used a parallel RCT design, and
33 studies (94%) only targeted T2DM patients. There was variation between studies
with regards to included age groups (ages 18 to 80), duration of follow-up (3 to
12 months), use of antidiabetic therapy, and included participants' baseline
HbA1c levels (from 5.5% to 13.1%).We assessed 29 studies (83%) as being at high
risk of bias, two studies (6%) as being at low risk of bias, and four studies
(11%) as unclear. Thirty-four of the studies provided data suitable for analysis
under one or both of the two comparisons.Comparison 1: low quality evidence from
14 studies (1499 participants) comparing periodontal therapy with no active
intervention/usual care demonstrated that mean HbA1c was 0.29% lower (95%
confidence interval (CI) 0.48% to 0.10% lower) 3 to 4 months post-treatment, and
0.02% lower after 6 months (five studies, 826 participants; 95% CI 0.20% lower to
0.16% higher).Comparison 2: 21 studies (920 participants) compared different
periodontal therapies with each other. There was only very low quality evidence
for the multiple head-to-head comparisons, the majority of which were unsuitable
to be pooled, and provided no clear evidence of a benefit for one periodontal
intervention over another. We were able to pool the specific comparison between
scaling and root planing (SRP) plus antimicrobial versus SRP and there was no
consistent evidence that the addition of antimicrobials to SRP was of any benefit
to delivering SRP alone (mean HbA1c 0.00% lower: 12 studies, 450 participants;
95% CI 0.22% lower to 0.22% higher) at 3-4 months post-treatment, or after 6
months (mean HbA1c 0.04% lower: five studies, 206 patients; 95% CI 0.41% lower to
0.32% higher).Less than half of the studies measured adverse effects. The
evidence was insufficient to conclude whether any of the treatments were
associated with harm. No other patient-reported outcomes (e.g. quality of life)
were measured by the included studies, and neither were cost implications or
diabetic complications.Studies showed varying degrees of success with regards to
achieving periodontal health, with some showing high levels of residual
inflammation following treatment. Statistically significant improvements were
shown for all periodontal indices (BOP, CAL, GI, PI and PPD) at 3-4 and 6 months
in comparison 1; however, this was less clear for individual comparisons within
the broad category of comparison 2. AUTHORS' CONCLUSIONS: There is low quality
evidence that the treatment of periodontal disease by SRP does improve glycaemic
control in people with diabetes, with a mean percentage reduction in HbA1c of
0.29% at 3-4 months; however, there is insufficient evidence to demonstrate that
this is maintained after 4 months.There was no evidence to support that one
periodontal therapy was more effective than another in improving glycaemic
control in people with diabetes mellitus.In clinical practice, ongoing
professional periodontal treatment will be required to maintain clinical
improvements beyond 6 months. Further research is required to determine whether
adjunctive drug therapies should be used with periodontal treatment. Future RCTs
should evaluate this, provide longer follow-up periods, and consider the
inclusion of a third 'no treatment' control arm.Larger, well conducted and
clearly reported studies are needed in order to understand the potential of
periodontal treatment to improve glycaemic control among people with diabetes
mellitus. In addition, it will be important in future studies that the
intervention is effective in reducing periodontal inflammation and maintaining it
at lowered levels throughout the period of observation.
PMID- 26545070
TI - Meniere's disease.
AB - INTRODUCTION: Meniere's disease causes feelings of fullness or pressure in the
ear, hearing loss, tinnitus, and recurrent bouts of vertigo, and mainly affects
people aged 30-60 years. Meniere's disease is at first progressive but
fluctuating, and episodes can occur in clusters. Vertigo usually resolves
eventually, but the hearing deteriorates and the tinnitus and pressure may
persist regardless of treatment. METHODS AND OUTCOMES: We conducted a systematic
overview, aiming to answer the following clinical questions: What are the effects
of combination treatment (betahistine plus thiazide diuretic) to prevent attacks
and delay disease progression of Meniere's disease? What are the effects of
intratympanic interventions to prevent attacks and delay disease progression of
Meniere's disease? What are the effects of non-drug interventions to prevent
attacks and delay disease progression of Meniere's disease? What are the effects
of dietary interventions to prevent attacks and delay disease progression of
Meniere's disease? We searched: Medline, Embase, The Cochrane Library, and other
important databases up to July 2014 (Clinical Evidence overviews are updated
periodically; please check our website for the most up-to-date version of this
overview). RESULTS: At this update, searching of electronic databases retrieved
200 studies. After deduplication and removal of conference abstracts, 151 records
were screened for inclusion in the overview. Appraisal of titles and abstracts
led to the exclusion of 100 studies and the further review of 51 full
publications. Of the 51 full articles evaluated, five systematic reviews and four
RCTs were added at this update. We performed a GRADE evaluation for eight PICO
combinations. CONCLUSIONS: In this systematic overview, we categorised the
efficacy for seven interventions based on information about the effectiveness and
safety of betahistine plus thiazide diuretic, caffeine restriction, intratympanic
corticosteroids, intratympanic gentamicin, psychological support, salt
restriction, and vestibular rehabilitation.
PMID- 26545071
TI - 2015: The Year of DNA Repair.
PMID- 26545072
TI - Fragile Nucleosomes Influence Pol II Promoter Function.
AB - In this issue of Molecular Cell, Kubik et al. (2015) describe how the RSC
chromatin remodeling complex collaborates with two DNA sequence motifs and
sequence-specific general regulatory factors to assemble fragile nucleosomes at
highly transcribed yeast Pol II promoters, and they distinguish these from
promoters bearing stable nucleosomes.
PMID- 26545073
TI - Prevent and Cure: RPA Cooperates with Mre11-Sae2 in DNA Secondary Structure
Repair.
AB - DNA inversion duplications are genome rearrangements observed in cancer. In this
issue, Deng et al. (2015) demonstrate that in S. cerevisiae RPA and Mre11-Sae2
cooperate to prevent the formation of inversion duplications initiated at short
DNA secondary structures.
PMID- 26545074
TI - Human Gene Promoters Are Intrinsically Bidirectional.
PMID- 26545075
TI - Perspectives on Unidirectional versus Divergent Transcription.
PMID- 26545076
TI - Single-Stranded DNA Cleavage by Divergent CRISPR-Cas9 Enzymes.
AB - Double-stranded DNA (dsDNA) cleavage by Cas9 is a hallmark of type II CRISPR-Cas
immune systems. Cas9-guide RNA complexes recognize 20-base-pair sequences in DNA
and generate a site-specific double-strand break, a robust activity harnessed for
genome editing. DNA recognition by all studied Cas9 enzymes requires a
protospacer adjacent motif (PAM) next to the target site. We show that Cas9
enzymes from evolutionarily divergent bacteria can recognize and cleave single
stranded DNA (ssDNA) by an RNA-guided, PAM-independent recognition mechanism.
Comparative analysis shows that in contrast to the type II-A S. pyogenes Cas9
that is widely used for genome engineering, the smaller type II-C Cas9 proteins
have limited dsDNA binding and unwinding activity and promiscuous guide RNA
specificity. These results indicate that inefficiency of type II-C Cas9 enzymes
for genome editing results from a limited ability to cleave dsDNA and suggest
that ssDNA cleavage was an ancestral function of the Cas9 enzyme family.
PMID- 26545077
TI - Nucleosome Stability Distinguishes Two Different Promoter Types at All Protein
Coding Genes in Yeast.
AB - Previous studies indicate that eukaryotic promoters display a stereotypical
chromatin landscape characterized by a well-positioned +1 nucleosome near the
transcription start site and an upstream -1 nucleosome that together demarcate a
nucleosome-free (or -depleted) region. Here we present evidence that there are
two distinct types of promoters distinguished by the resistance of the -1
nucleosome to micrococcal nuclease digestion. These different architectures are
characterized by two sequence motifs that are broadly deployed at one set of
promoters where a nuclease-sensitive ("fragile") nucleosome forms, but
concentrated in a narrower, nucleosome-free region at all other promoters. The
RSC nucleosome remodeler acts through the motifs to establish stable +1 and -1
nucleosome positions, while binding of a small set of general regulatory
(pioneer) factors at fragile nucleosome promoters plays a key role in their
destabilization. We propose that the fragile nucleosome promoter architecture is
adapted for regulation of highly expressed, growth-related genes.
PMID- 26545078
TI - Structure of the RNA Helicase MLE Reveals the Molecular Mechanisms for Uridine
Specificity and RNA-ATP Coupling.
AB - The MLE helicase remodels the roX lncRNAs, enabling the lncRNA-mediated assembly
of the Drosophila dosage compensation complex. We identified a stable MLE core
comprising the DExH helicase module and two auxiliary domains: a dsRBD and an OB
like fold. MLEcore is an unusual DExH helicase that can unwind blunt-ended RNA
duplexes and has specificity for uridine nucleotides. We determined the 2.1 A
resolution structure of MLEcore bound to a U10 RNA and ADP-AlF4. The OB-like and
dsRBD folds bind the DExH module and contribute to form the entrance of the
helicase channel. Four uridine nucleotides engage in base-specific interactions,
rationalizing the conservation of uridine-rich sequences in critical roX
substrates. roX2 binding is orchestrated by MLE's auxiliary domains, which is
prerequisite for MLE localization to the male X chromosome. The structure
visualizes a transition-state mimic of the reaction and suggests how eukaryotic
DEAH/RHA helicases couple ATP hydrolysis to RNA translocation.
PMID- 26545080
TI - Micro-invasive interventions for managing proximal dental decay in primary and
permanent teeth.
AB - BACKGROUND: Proximal dental lesions, limited to dentine, are traditionally
treated by invasive (drill and fill) means. Non-invasive alternatives (e.g.
fluoride varnish, flossing) might avoid substance loss but their effectiveness
depends on patients' adherence. Recently, micro-invasive approaches for treating
proximal caries lesions have been tried. These interventions install a barrier
either on top (sealing) or within (infiltrating) the lesion. Different methods
and materials are currently available for micro-invasive treatments, such as
sealing via resin sealants, (polyurethane) patches/tapes, glass ionomer cements
(GIC) or resin infiltration. OBJECTIVES: To evaluate the effects of micro
invasive treatments for managing proximal caries lesions in primary and permanent
dentition in children and adults. SEARCH METHODS: We searched the following
databases to 31 December 2014: the Cochrane Oral Health Group Trials Register,
the Cochrane Central Register of Controlled Trials (CENTRAL), MEDLINE via OVID,
EMBASE via OVID, LILACs via BIREME Virtual Health Library, Web of Science
Conference Proceedings, ZETOC Conference Proceedings, Proquest Dissertations and
Theses, ClinicalTrials.gov, OpenGrey and the World Health Organization (WHO)
International Clinical Trials Registry Platform. We searched the metaRegister of
Controlled Trials to 1 October 2014. There were no language or date restrictions
in the searches of the electronic databases. SELECTION CRITERIA: We included
randomised controlled trials of at least six months' duration that compared micro
invasive treatments for managing non-cavitated proximal dental decay in primary
teeth, permanent teeth or both, versus non-invasive measures, invasive means, no
intervention or placebo. We also included studies that compared different types
of micro-invasive treatments. DATA COLLECTION AND ANALYSIS: Two review authors
independently screened search results, extracted data and assessed the risk of
bias. We used standard methodological procedures expected by Cochrane to evaluate
risk of bias and synthesise data. We conducted meta-analyses with the random
effects model, using the Becker-Balagtas method to calculate the odds ratio (OR)
for lesion progression. We assessed the quality of the evidence using GRADE
methods. MAIN RESULTS: We included eight trials, which randomised 365
participants. The trials all used a split-mouth design, some with more than one
pair of lesions treated within the same participant. Studies took place in
university or dental public health clinics in Brazil, Colombia, Denmark, Germany,
Thailand, Greenland and Chile. Six studies evaluated the effects of micro
invasive treatments in the permanent dentition and two studies on the primary
dentition, with caries risk ranging from low to high. Investigators measured
caries risk in different studies either by caries experience alone or by using
the Cariogram programme, which combines eight contributing factors, including
caries experience, diet, saliva and other factors related to caries. The follow
up period in the trials ranged from one to three years. All studies used lesion
progression as the primary outcome, evaluating it by different methods of reading
radiographs. Four studies received industry support to carry out the research,
with one of them being carried out by inventors of the intervention.We judged
seven studies to be at high overall risk of bias, primarily due to lack of
blinding of participants and personnel. We evaluated intervention effects for all
micro-invasive therapies and analysed subgroups according to the different
treatment methods reported in the included studies.Our meta-analysis, which
pooled the most sensitive set of data (in terms of measurement method) from
studies presenting data in a format suitable for meta-analysis, showed that micro
invasive treatment significantly reduced the odds of lesion progression compared
with non-invasive treatment (e.g fluoride varnish) or oral hygiene advice (e.g to
floss) (OR 0.24, 95% CI 0.14 to 0.41; 602 lesions; seven studies; I(2) = 32%).
There was no evidence of subgroup differences (P = 0.36).The four studies that
measured adverse events reported no adverse events after micro-invasive
treatment. Most studies did not report on any further outcomes.We assessed the
quality of evidence for micro-invasive treatments as moderate. It remains unclear
which micro-invasive treatment is more advantageous, or if certain clinical
conditions or patient characteristics are better suited for micro-invasive
treatments than others. AUTHORS' CONCLUSIONS: The available evidence shows that
micro-invasive treatment of proximal caries lesions arrests non-cavitated enamel
and initial dentinal lesions (limited to outer third of dentine, based on
radiograph) and is significantly more effective than non-invasive professional
treatment (e.g. fluoride varnish) or advice (e.g. to floss). We can be moderately
confident that further research is unlikely to substantially change the estimate
of effect. Due to the small number of studies, it does remain unclear which micro
invasive technique offers the greatest benefit, or whether the effects of micro
invasive treatment confer greater or lesser benefit according to different
clinical or patient considerations.
PMID- 26545079
TI - Mre11-Sae2 and RPA Collaborate to Prevent Palindromic Gene Amplification.
AB - Foldback priming at DNA double-stranded breaks is one mechanism proposed to
initiate palindromic gene amplification, a common feature of cancer cells. Here,
we show that small (5-9 bp) inverted repeats drive the formation of large
palindromic duplications, the major class of chromosomal rearrangements recovered
from yeast cells lacking Sae2 or the Mre11 nuclease. RPA dysfunction increased
the frequency of palindromic duplications in Sae2 or Mre11 nuclease-deficient
cells by ~ 1,000-fold, consistent with intra-strand annealing to create a hairpin
capped chromosome that is subsequently replicated to form a dicentric
isochromosome. The palindromic duplications were frequently associated with
duplication of a second chromosome region bounded by a repeated sequence and a
telomere, suggesting the dicentric chromosome breaks and repairs by recombination
between dispersed repeats to acquire a telomere. We propose secondary structures
within single-stranded DNA are potent instigators of genome instability, and RPA
and Mre11-Sae2 play important roles in preventing their formation and
propagation, respectively.
PMID- 26545081
TI - Model for end-stage liver disease score in the first 3 weeks after liver
transplantation as a predictor for long-term outcome.
AB - BACKGROUND: Early allograft dysfunction after liver transplantation (LTX) is not
well defined. The aim of this study was to evaluate the value of early post
transplant model for end-stage liver disease (MELD) scores for predicting long
term outcome after transplantation. METHODS: In this single-center retrospective
study, 362 consecutive patients after LTX were included. MELD scores at 7, 14,
and 21 postoperative days (PODs) were calculated from primary lab values.
Receiver operating characteristic (ROC) analyses were carried out to determine
the critical cutoff MELD scores for patient and graft survival. RESULTS: One year
after transplantation, the patient and graft survival rates were 85 and 69%,
respectively. Although pretransplant MELD scores were similar, they were
significantly different at POD7, POD14, and POD21 between patients who died and
those who survived the first year after transplantation. As shown by ROC curves,
for patient survival, the optimal time point is POD14 with a cutoff MELD of 17.
At this time point, patients with a MELD below 17 showed a 1-year survival rate
of 94.3% and patients with a MELD of 17 and higher showed a 1-year survival rate
of only 75.4%. For graft survival, the optimal time point was day 7 and a cutoff
MELD of 29 (92% at MELD<29; 56.4% at MELD>=29). A multivariate analysis of
potential risk factors indicated a significant role of serum bilirubin and MELD
score determined on POD14 for patient survival. CONCLUSION: In conclusion, early
postoperative MELD scores predict outcome after LTX. The postoperative MELD score
at POD14 is a good predictor for patient survival and at POD7 for the graft
survival after LTX.
PMID- 26545082
TI - Hyperuricemia and risk of nonalcoholic fatty liver disease: a systematic review
and meta-analysis.
AB - BACKGROUND: Previous studies have suggested a possible association between
hyperuricemia and nonalcoholic fatty liver disease (NAFLD), but the risk of NAFLD
in individuals with hyperuricemia had not been fully quantified. A systematic
review and meta-analysis of relevant studies was carried out to estimate the
influence of hyperuricemia on the risk of NAFLD. METHODS: PubMed, Embase, Web of
Science, and Wanfang databases were searched for cohort or cross-sectional
studies assessing the association between hyperuricemia and NAFLD. Relative risks
(RRs) with 95% confidence intervals (95% CIs) were pooled using a random-effects
model to estimate the impact of hyperuricemia on the risk of NAFLD. RESULTS:
Thirteen studies from 12 articles were finally included in the meta-analysis.
There were a total of 117,712 participants and 28,446 (24.2%) NAFLD cases.
Individuals with hyperuricemia had an obviously increased risk of NAFLD compared
with those without hyperuricemia (RR=1.79, 95% CI 1.55-2.07, P<0.001). Increased
risk of NAFLD was obviously associated with hyperuricemia in both men (RR=1.26,
95% CI 1.15-1.37, P<0.001) and women (RR=2.01, 95% CI 1.58-2.56, P<0.001).
Subgroup analyses further identified the robustness of the association between
hyperuricemia and NAFLD. CONCLUSION: Hyperuricemia is associated with an
increased risk of NAFLD in Asian populations. Further prospective cohort studies
are needed to assess the impact of hyperuricemia on the risk of NAFLD in Western
countries.
PMID- 26545083
TI - Atlanta, revised Atlanta, and Determinant-based classification--application in a
cohort of Portuguese patients with acute pancreatitis.
AB - BACKGROUND: Acute pancreatitis (AP) represents a complex and potentially fatal
disease with a highly variable clinical course. Three classification systems for
assessing the severity in AP have been validated for clinical use. AIMS: The aim
of the present study was to evaluate the performance of the Atlanta and
Determinant-based classifications in predicting severe clinical outcomes in
patients with AP. METHODS: In this retrospective study we reviewed the treatment
and follow-up records of 525 patients with AP admitted to our unit between the
years of 2003 and 2014. Outcomes included mortality, admission to the ICU, need
for interventional procedures or nutritional support, and duration of hospital
and ICU stay. RESULTS: The prevalence of organ failure and persistent organ
failure in our cohort was 23.0 and 10.7%, respectively, and the mortality rate
was 5.9%. Higher grades of severity were associated with worse outcomes in all
classification systems. The revised Atlanta and Determinant-based classifications
performed similarly in predicting outcomes, and both proved to be superior to the
former classic Atlanta classification. CONCLUSION: Recent classifications proved
to be more accurate in predicting important clinical outcomes in patients with
AP.
PMID- 26545084
TI - Tenofovir monotherapy for hepatitis B after 1 year does not produce renal
dysfunction, but is associated with hyperparathyroidism not related to vitamin D.
AB - INTRODUCTION: Viral hepatitis B (VHB) represents a major public health problem.
Studies from HIV multidrug patients have associated the use of tenofovir
disoproxil fumarate (TDF) with renal dysfunction and phosphate wasting.
OBJECTIVE: The aim of this study was to examine the effect of year-long TDF
monotherapy on renal function in VHB patients. PATIENTS AND METHODS: We evaluated
adult patients diagnosed with VHB before treatment initiation (T0), and after 3
and 12 months (T3 and T12) of TDF initiation. Estimated glomerular filtration
rate (eGFR) was estimated by serum cystatin C and creatinine. In addition,
urinary electrolytes and tubular biomarkers (cystatin C, beta2-microglobulin and
neutrophil gelatinase-associated lipocalin) were analyzed, as well as parathyroid
hormone (PTH) and 25(OH)vitamin D levels. RESULTS: After 1 year, 32 patients
completed the study, 22 (68.7%) men and 12 (37.5%) Whites, mean age 44.1+/-12.0
years. We found that serum electrolytes were similar at baseline and 3 or 12
months after initiation of TDF monotherapy. In addition, urinary fractional
excretions of electrolytes as well as proteinuria, albuminuria, urinary beta2
microglobulin, and urinary cystatin C showed no significant differences across
the treatment timeline. There were also no statistical differences in the eGFR.
There was a statistically significant increase in the PTH (Friedman's test,
P=0.012), but the 25(OH)vitamin D levels were in the normal range in the
beginning and did not change at the follow-up. Moreover, there was no correlation
between the initial levels of vitamin D and the corresponding increases in the
PTH values. CONCLUSION: If used as monotherapy in hepatitis B patients for a 12
month period, TDF is not associated with changes in either eGFR or a panel of
urinary biomarkers. Serum and urinary electrolytes also remained unchanged. Of
note, a significant increase in the PTH was found, although not related to the
25(OH)vitamin D initial status.
PMID- 26545085
TI - Does exposure to isotretinoin increase the risk for the development of
inflammatory bowel disease? A meta-analysis.
AB - BACKGROUND: Isotretinoin is a treatment option for severe nodulocystic acne.
However, its use has inconsistently been associated with the development of
inflammatory bowel disease (IBD). This meta-analysis aims to elucidate the
association between isotretinoin exposure and the risk for IBD. METHODS: A
comprehensive search of PubMed/MEDLINE, CINAHL, the Cochrane database, and Google
Scholar was performed (July 2015). All studies on the development of IBD in
patients with or without prior exposure to isotretinoin, along with control
participants, were included. Meta-analysis was carried out using the Mantel
Haenszel random effect model to assess the risk for IBD in the context of prior
isotretinoin exposure. RESULTS: In a pooled analysis of six research studies,
there was no increased risk of developing IBD in patients exposed to isotretinoin
compared with patients not exposed to isotretinoin [odds ratio (OR) 1.08, 95%
confidence interval (CI) 0.82, 1.42, P=0.59]. Furthermore, there was no increased
risk of developing Crohn's disease (OR 0.98, 95% CI 0.62, 1.55, P=0.93, I(2)=62%)
or ulcerative colitis (OR 1.14, 95% CI 0.79, 1.63, P=0.49, I(2)=44%) in patients
exposed to isotretinoin compared with those not exposed to the medication.
CONCLUSION: Isotretinoin exposure is not associated with an increased risk of
developing both ulcerative colitis and Crohn's disease.
PMID- 26545086
TI - The cost-effectiveness of daclatasvir-based regimens for the treatment of
hepatitis C virus genotypes 1 and 4 in the UK.
AB - OBJECTIVE: This study aimed to determine the cost-effectiveness of daclatasvir in
combination with other medicinal products for the treatment of patients with
hepatitis C virus genotypes 1 and 4 and advanced liver disease in the UK.
METHODS: A published and validated Markov model designed to simulate the natural
history of chronic hepatitis C was used to compare daclatasvir with relevant
treatment options for patients with hepatitis C virus genotypes 1 and 4 and a
METAVIR score of F3-F4. Patients were defined according to their treatment
status; that is, naive, experienced or interferon ineligible/intolerant. Data
inputs for the analysis were derived from published sources, UK-specific where
possible. A lifetime horizon was used, with costs and benefits discounted at
3.5%. RESULTS: Daclatasvir-based regimens are estimated to be cost-effective
versus no treatment and established standard-of-care regimens, including
telaprevir in combination with pegylated interferon-alpha+ribavirin (PR),
boceprevir in combination with PR and PR alone (incremental cost-effectiveness
ratio range: L3715-L15,408). The cost-effectiveness of daclatasvir-based regimens
versus emerging regimens (sofosbuvir or simeprevir based) is less consistent, but
was dominant or cost-effective (incremental cost-effectiveness ratio range: L1394
L28,393) in all except two scenarios. CONCLUSION: Daclatasvir-based regimens are
expected to be highly cost-effective for the majority patients with advanced
disease versus relevant comparator regimens, including newer direct-acting
antiviral regimens.
PMID- 26545087
TI - Facial hypersensitivity and trigeminal pathology in mice with experimental
autoimmune encephalomyelitis.
AB - Trigeminal neuropathic pain is a well-recognized complication of the
demyelinating disease multiple sclerosis (MS). However, the mechanisms underlying
MS-related trigeminal neuropathic pain are poorly understood. This can be
attributed, at least in part, to the lack of an animal model that exhibits
trigeminal pathology similar to that described in MS. Experimental autoimmune
encephalomyelitis (EAE) is an animal model that is commonly used to study the
pathophysiology of MS. We show here that mice with EAE exhibit increased
sensitivity to air puffs applied to the whisker pad. The increased sensitivity to
air puff stimulation is accompanied by T cell infiltration and glial activation
at several points along the trigeminal primary afferent pathway. We also observe
demyelination of the intra- and extra-pontine aspects of the trigeminal sensory
root and the spinal trigeminal tract. This is the first study to show orofacial
sensory disturbances and trigeminal demyelination in EAE. Collectively, our data
suggest that EAE may be a useful model for understanding MS-related trigeminal
neuropathic pain conditions such as trigeminal neuralgia.
PMID- 26545088
TI - The alpha5 subunit containing GABAA receptors contribute to chronic pain.
AB - It has been recently proposed that alpha5-subunit containing GABAA receptors
(alpha5-GABAA receptors) that mediate tonic inhibition might be involved in pain.
The purpose of this study was to investigate the contribution of alpha5-GABAA
receptors in the loss of GABAergic inhibition and in formalin-induced, complete
Freund's adjuvant (CFA)-induced and L5 and L6 spinal nerve ligation-induced long
lasting hypersensitivity. Formalin or CFA injection and L5 and L6 spinal nerve
ligation produced long-lasting allodynia and hyperalgesia. Moreover, formalin
injection impaired the rate-dependent depression of the Hofmann reflex.
Peripheral and intrathecal pretreatment or post-treatment with the alpha5-GABAA
receptor antagonist, L-655,708 (0.15-15 nmol), prevented and reversed,
respectively, these long-lasting behaviors. Formalin injection increased alpha5
GABAA receptor mRNA expression in the spinal cord and dorsal root ganglia (DRG)
mainly at 3 days. The alpha5-GABAA receptors were localized in the dorsal spinal
cord and DRG colabeling with NeuN, CGRP, and IB4 which suggests their presence in
peptidergic and nonpeptidergic neurons. These receptors were found mainly in
small and medium sized neurons. Formalin injection enhanced alpha5-GABAA receptor
fluorescence intensity in spinal cord and DRG at 3 and 6 days. Intrathecal
administration of L-655,708 (15 nmol) prevented and reversed formalin-induced
impairment of rate-dependent depression. These results suggest that alpha5-GABAA
receptors play a role in the loss of GABAergic inhibition and contribute to long
lasting secondary allodynia and hyperalgesia.
PMID- 26545089
TI - Sonic Hedgehog Signaling: Evidence for Its Protective Role in Endotoxin Induced
Acute Lung Injury in Mouse Model.
AB - OBJECTIVE: To investigate the protective role of the sonic hedgehog (SHH)
signaling associated with a lipopolysaccharide (LPS)-induced acute lung injury
(ALI) in a mouse model. METHODS: Male BALB/c mice were randomly divided into four
groups: control, LPS, LPS-cyclopamine group and cyclopamine group. ALI was
induced by LPS ip injection (5 mg/kg). The sonic hedgehog inhibitor cyclopamine
(50 mg/kg) was given to the LPS-cyclopamine group at 30 min after LPS injection
as well as normal mice as control. Lung injury was observed histologically in
hematoxylin and eosin (HE) stained tissue sections, semi-quantified by lung
tissue injury score, and the lung tissue mass alteration was measured by wet to
dry weight ratio (W/D). mRNA expression levels of TNF-alpha, SHH, Patched (PTC)
and GLI1 in lung tissue were studied with real time quantitative PCR (RT-PCR),
while the protein expression of SHH and GLI1 was determined by western blot
analysis. RESULTS: Lung tissue injury score, thickness of alveolar septa, W/D,
and TNF-alpha mRNA expression levels were significantly higher in the ALI mice
than the normal mice (P<0.05). The mRNA expression levels of SHH, PTC, and GLI1
in the ALI mice were significantly higher at 12h and 24h after LPS injection, but
not at the 6h time point. Protein production of SHH and GLI1 at 6h, 12h, and 24h
in the lungs of ALI mice significantly increased, in a time-dependent manner,
compared with that in normal mice. Cyclopamine alone has no effect on
pathological changes in normal mice. Intervention with cyclopamine in ALI mice
led to a reduction in mRNA levels of SHH, PTC, and GLI1 as well as SHH and GLI1
protein levels; meanwhile, the pathological injury scores of lung tissues,
thickness of alveolar septa, W/D, and mRNA expression levels of TNF-alpha
increased compared with mice receiving LPS only. CONCLUSION: The SHH signaling
pathway was activated in response to LPS-induced ALI, and up-regulation of SHH
expression could alleviate lung injury and be involved in the repair of injured
lung tissue.
PMID- 26545091
TI - Drug updates and approvals: 2015 in review.
AB - This article highlights important prescribing information for some drugs that
received FDA approval within the past year. These include: atazanavir and
cobicistat (Evotaz(r)), ceftazidime and avibactam (Avycaz(r)), edoxaban
(Savaysa(r)), ivabradine (Corlanor(r)), liraglutide (rDNA origin) injection
(Saxenda(r)), perindopril arginine and amlodipine besylate (Prestalia(r)), and
secukinumab (Cosentyx(r)) subcutaneous injection.
PMID- 26545092
TI - Red eye emergencies in primary care.
AB - Severe red eye conditions can be the result of intraocular inflammation, corneal
insults or inflammation, and acute glaucoma. These pathologies require the
knowledge and assessment tools of an ophthalmologist. This article will discuss
red eye emergencies that the NP should promptly recognize and refer to
ophthalmology.
PMID- 26545090
TI - Adaptation to High Ethanol Reveals Complex Evolutionary Pathways.
AB - Tolerance to high levels of ethanol is an ecologically and industrially relevant
phenotype of microbes, but the molecular mechanisms underlying this complex trait
remain largely unknown. Here, we use long-term experimental evolution of isogenic
yeast populations of different initial ploidy to study adaptation to increasing
levels of ethanol. Whole-genome sequencing of more than 30 evolved populations
and over 100 adapted clones isolated throughout this two-year evolution
experiment revealed how a complex interplay of de novo single nucleotide
mutations, copy number variation, ploidy changes, mutator phenotypes, and clonal
interference led to a significant increase in ethanol tolerance. Although the
specific mutations differ between different evolved lineages, application of a
novel computational pipeline, PheNetic, revealed that many mutations target
functional modules involved in stress response, cell cycle regulation, DNA repair
and respiration. Measuring the fitness effects of selected mutations introduced
in non-evolved ethanol-sensitive cells revealed several adaptive mutations that
had previously not been implicated in ethanol tolerance, including mutations in
PRT1, VPS70 and MEX67. Interestingly, variation in VPS70 was recently identified
as a QTL for ethanol tolerance in an industrial bio-ethanol strain. Taken
together, our results show how, in contrast to adaptation to some other stresses,
adaptation to a continuous complex and severe stress involves interplay of
different evolutionary mechanisms. In addition, our study reveals functional
modules involved in ethanol resistance and identifies several mutations that
could help to improve the ethanol tolerance of industrial yeasts.
PMID- 26545093
TI - Identification of HOXD4 Mutations in Spinal Extradural Arachnoid Cyst.
AB - Spinal extradural arachnoid cyst (SEDAC) is a cyst in the spinal canal that
protrudes into the epidural space from a defect in the dura mater and leads to
neurological disturbances. We previously showed that familial SEDAC is caused by
FOXC2 mutation; however, the causal gene of sporadic SEDAC has not been
identified. To identify the causal gene of sporadic SEDAC, we performed whole
exome sequencing for 12 subjects with sporadic SEDAC and identified heterozygous
HOXD4 loss-of-function mutations in three subjects. HOXD4 haplo-insufficiency
causes SEDAC and a transcriptional network containing HOXD4 and FOXC2 is involved
in the development of the dura mater and the etiology of SEDAC.
PMID- 26545094
TI - Endobronchial Ultrasound Changed the World of Lung Cancer Patients: A 11-Year
Institutional Experience.
AB - OBJECTIVES: The role of advanced bronchoscopic diagnostic techniques in the
detection and staging of lung cancer has increased sharply in recent years. The
development of endobronchial ultrasound (EBUS) improved minimally invasive
mediastinal staging and diagnosis of peripheral lung lesions (PLLs). We
investigated the impact of using EBUS as a diagnostic method for tissue
acquisition in lung cancer patients. METHODS: In a single center observational
retrospective study, 3712 subjects were diagnosed with lung cancer from 2003 to
2013 (EBUS was introduced in 2008). Thus, we divided the data into two periods:
the conventional bronchoscopy period (2003 to 2007) and the EBUS period (2008 to
2013). RESULTS: A total of 3712 patients were included in the analysis. Comparing
the conventional bronchoscopy period with the EBUS period data, there has been a
significant reduction in the use of diagnostic modalities: CT-guided biopsy (P <
0.0001) and pleural effusion cytology (P < 0.0001). The proportion of subjects
diagnosed using bronchoscopy significantly increased from 39.4% in the
conventional period to 47.4% in the EBUS period (P < 0.0001). In the EBUS period,
there has also been a significant increase in the proportion of patients
proceeding directly to diagnostic surgery (P < 0.0001). Compared to bronchoscopy,
the incidence of complications was higher in those who underwent CT guide biopsy.
The incidence of iatrogenic pneumothorax significantly decreased in the EBUS
period. CONCLUSIONS: Advanced bronchoscopic techniques are widely used in the
diagnosis of lung cancer. At our institution, the increasing use of EBUS for
providing lung cancer diagnosis has led to a significant reduction in other
diagnostic modalities, namely CT-guided biopsy and pleural effusion cytology.
These changes in practice also led to a reduction in the incidence of
complications.
PMID- 26545095
TI - Mental Health Help-Seeking Intentions and Preferences of Rural Chinese Adults.
AB - PURPOSE: We aimed to investigate mental health help-seeking intentions and
preferences of rural Chinese adults and determine predictors of the intentions.
METHODS: A total of 2052 representative rural residents aged 18-60 completed a
cross-sectional survey by face-to-face interviews. The survey included seven
questions asking about respondents' help-seeking intentions and preferences, and
a series of internationally validated instruments to assess self-perceived health
status, depression, anxiety, alcohol abuse, mental health literacy, and attitudes
towards mental illness. RESULTS: Nearly 80% of respondents were willing to seek
psychological help if needed, and 72.4% preferred to get help from medical
organizations, yet only 12% knew of any hospitals or clinics providing such help.
A multivariate analysis of help-seeking intention revealed that being female,
having lower education, higher social health, higher mental health knowledge, and
physical causal attribution for depression were positive predictors of help
seeking intention. CONCLUSION: A huge gap exists between the relatively higher
intention for help-seeking and significantly lower knowledge of helpful
resources. Predictors of help-seeking intention for mental problems in the
current study are consistent with previous studies. Interventions to increase
help-seeking for mental problems by Chinese rural adults may be best served by
focusing on increasing public awareness of help sources, as well as improving
residents' mental health literacy and social health, with special focus on males
and those more educated.
PMID- 26545096
TI - Elevated Vibration Perception Thresholds in CIDP Patients Indicate More Severe
Neuropathy and Lower Treatment Response Rates.
AB - INTRODUCTION: Vibration perception threshold (VPT) examination using a
neurothesiometer provides objective, sensitive and specific information, and has
been utilized mainly in patients with diabetic polyneropathy. OBJECTIVES: Explore
the utility of VPT examination in CIDP patients. METHODS: CIDP subjects attending
the Neuromuscular clinic between 01/2013 and 12/2014 were evaluated. Demographic
data, clinical history, physical examination, VPT values, and electrophysiologic
data from their charts were extracted. RESULTS: 70 charts were reviewed. 55 CIDP
patients had elevated VPT, associated with higher frequency of abnormal sensory
testing for various modalities (92.7% vs. 46.7%, p<0.0001), lower sensory and
motor amplitudes and reduced conduction velocities on nerve conduction studies,
and lower treatment response rates (54% vs. 93%, p = 0.01). CONCLUSION: VPT
examination is a simple tool, which is a reliable and sensitive measure not only
for diabetic neuropathy, but also for CIDP. Moreover, in CIDP, elevated VPT
values are also associated with lower treatment response rates.
PMID- 26545097
TI - A GPU Simulation Tool for Training and Optimisation in 2D Digital X-Ray Imaging.
AB - Conventional radiology is performed by means of digital detectors, with various
types of technology and different performance in terms of efficiency and image
quality. Following the arrival of a new digital detector in a radiology
department, all the staff involved should adapt the procedure parameters to the
properties of the detector, in order to achieve an optimal result in terms of
correct diagnostic information and minimum radiation risks for the patient. The
aim of this study was to develop and validate a software capable of simulating a
digital X-ray imaging system, using graphics processing unit computing. All
radiological image components were implemented in this application: an X-ray tube
with primary beam, a virtual patient, noise, scatter radiation, a grid and a
digital detector. Three different digital detectors (two digital radiography and
a computed radiography systems) were implemented. In order to validate the
software, we carried out a quantitative comparison of geometrical and
anthropomorphic phantom simulated images with those acquired. In terms of average
pixel values, the maximum differences were below 15%, while the noise values were
in agreement with a maximum difference of 20%. The relative trends of contrast to
noise ratio versus beam energy and intensity were well simulated. Total
calculation times were below 3 seconds for clinical images with pixel size of
actual dimensions less than 0.2 mm. The application proved to be efficient and
realistic. Short calculation times and the accuracy of the results obtained make
this software a useful tool for training operators and dose optimisation studies.
PMID- 26545098
TI - Time-Dependent Increase in Network Response to Stimulation.
AB - In vitro neuronal cultures have become a popular method with which to probe
network-level neuronal dynamics and phenomena in controlled laboratory settings.
One of the key dynamics of interest in these in vitro studies has been the extent
to which cultured networks display properties indicative of learning. Here we
demonstrate the effects of a high frequency electrical stimulation signal in
training cultured networks of cortical neurons. Networks receiving this training
signal displayed a time-dependent increase in the response to a low frequency
probing stimulation, particularly in the time window of 20-50 ms after
stimulation. This increase was found to be statistically significant as compared
to control networks that did not receive training. The timing of this increase
suggests potentiation of synaptic mechanisms. To further investigate this
possibility, we leveraged the powerful Cox statistical connectivity method as
previously investigated by our group. This method was used to identify and track
changes in network connectivity strength.
PMID- 26545099
TI - Network Plasticity as Bayesian Inference.
AB - General results from statistical learning theory suggest to understand not only
brain computations, but also brain plasticity as probabilistic inference. But a
model for that has been missing. We propose that inherently stochastic features
of synaptic plasticity and spine motility enable cortical networks of neurons to
carry out probabilistic inference by sampling from a posterior distribution of
network configurations. This model provides a viable alternative to existing
models that propose convergence of parameters to maximum likelihood values. It
explains how priors on weight distributions and connection probabilities can be
merged optimally with learned experience, how cortical networks can generalize
learned information so well to novel experiences, and how they can compensate
continuously for unforeseen disturbances of the network. The resulting new theory
of network plasticity explains from a functional perspective a number of
experimental data on stochastic aspects of synaptic plasticity that previously
appeared to be quite puzzling.
PMID- 26545100
TI - Dihydroagarofuranoid Sesquiterpenes as Acetylcholinesterase Inhibitors from
Celastraceae Plants: Maytenus disticha and Euonymus japonicus.
AB - Natural cholinesterase inhibitors have been found in many biological sources.
Nine compounds with agarofuran (epoxyeudesmane) skeletons were isolated from
seeds and aerial parts of Maytenus disticha and Euonymus japonicus. The
identification and structural elucidation of compounds were based on
spectroscopic data analyses. All compounds had inhibitory acetylcholinesterase
(AChE) activity. These natural compounds, which possessed mixed or uncompetitive
mechanisms of inhibitory activity against AChE, may be considered as models for
the design and development of new naturally occurring drugs for management
strategies for neurodegenerative diseases. This is the first report of these
chemical structures for seeds of M. disticha.
PMID- 26545101
TI - Prolonged Operative Time to Extubation Is Not a Useful Metric for Comparing the
Performance of Individual Anesthesia Providers.
AB - BACKGROUND: One anesthesiologist performance metric is the incidence of
"prolonged" (15 min or longer after dressing complete) times to extubation. The
authors used several methods to identify the performance outliers and assess
whether targeting these outliers for reduction could improve operating room
workflow. METHODS: Time to extubation data were retrieved for 27,757 anesthetics
and 81 faculty anesthesiologists. Provider-specific incidences of prolonged
extubation were assessed by using unadjusted frequentist statistics and a
Bayesian model adjusted for prone positioning, American Society of
Anesthesiologist's base units, and case duration. RESULTS: 20.31% of extubations
were "prolonged," and 40% of anesthesiologists were identified as outliers using
a frequentist approach, that is, incidence greater than upper 95% CI (20.71%).
With an adjusted Bayesian model, only one anesthesiologist was deemed an outlier.
If an average anesthesiologist performed all extubations, the incidence of
prolonged extubations would change negligibly (to 20.67%). If the
anesthesiologist with the highest incidence of prolonged extubations was replaced
with an average anesthesiologist, the change was also negligible (20.01%).
Variability among anesthesiologists in the incidence of prolonged extubations was
significantly less than among other providers. CONCLUSIONS: Bayesian methodology
with covariate adjustment is better suited to performance monitoring than an
unadjusted, nonhierarchical frequentist approach because it is less likely to
identify individuals spuriously as outliers. Targeting outliers in an effort to
alter operating room activities is unlikely to have an operational impact
(although monitoring may serve other purposes). If change is deemed necessary, it
must be made by improving the average behavior of everyone and by focusing on
anesthesia providers rather than on faculty.
PMID- 26545102
TI - Therapeutic Hypothermia in Post-Cardiac Arrest and Myocardial Infarction.
PMID- 26545103
TI - Tuning reactivity and selectivity in hydrogen atom transfer from aliphatic C-H
bonds to alkoxyl radicals: role of structural and medium effects.
AB - Hydrogen atom transfer (HAT) is a fundamental reaction that takes part in a wide
variety of chemical and biological processes, with relevant examples that include
the action of antioxidants, damage to biomolecules and polymers, and enzymatic
and biomimetic reactions. Moreover, great attention is currently devoted to the
selective functionalization of unactivated aliphatic C-H bonds, where HAT based
procedures have been shown to play an important role. In this Account, we
describe the results of our recent studies on the role of structural and medium
effects on HAT from aliphatic C-H bonds to the cumyloxyl radical (CumO(*)).
Quantitative information on the reactivity and selectivity patterns observed in
these reactions has been obtained by time-resolved kinetic studies, providing a
deeper understanding of the factors that govern HAT from carbon and leading to
the definition of useful guidelines for the activation or deactivation of
aliphatic C-H bonds toward HAT. In keeping with the electrophilic character of
alkoxyl radicals, polar effects can play an important role in the reactions of
CumO(*). Electron-rich C-H bonds are activated whereas those that are alpha to
electron withdrawing groups are deactivated toward HAT, with these effects being
able to override the thermodynamic preference for HAT from the weakest C-H bond.
Stereoelectronic effects can also influence the reactivity of the C-H bonds of
ethers, amines, and amides. HAT is most rapid when these bonds can be eclipsed
with a lone pair on an adjacent heteroatom or with the pi-system of an amide
functionality, thus allowing for optimal orbital overlap. In HAT from cyclohexane
derivatives, tertiary axial C-H bond deactivation and tertiary equatorial C-H
bond activation have been observed. These effects have been explained on the
basis of an increase in torsional strain or a release in 1,3-diaxial strain in
the HAT transition states, with kH(eq)/kH(ax) ratios that have been shown to
exceed one order of magnitude. Medium effects on HAT from aliphatic C-H bonds to
CumO(*) have been also investigated. With basic substrates, from large to very
large decreases in kH have been measured with increasing solvent hydrogen bond
donor (HBD) ability or after addition of protic acids or alkali and alkaline
earth metal ions, with kinetic effects that exceed 2 orders of magnitude in the
reactions of tertiary alkylamines and alkanamides. Solvent hydrogen bonding,
protonation, and metal ion binding increase the electron deficiency and the
strength of the C-H bonds of these substrates deactivating these bonds toward
HAT, with the extent of this deactivation being modulated by varying the nature
of the substrate, solvent, protic acid, and metal ion. These results indicate
that through these interactions careful control over the HAT reactivity of basic
substrates toward CumO(*) and other electrophilic radicals can be achieved,
suggesting moreover that these effects can be exploited in an orthogonal fashion
for selective C-H bond functionalization of substrates bearing different basic
functionalities.
PMID- 26545104
TI - Bach Is the Father of Harmony: Revealed by a 1/f Fluctuation Analysis across
Musical Genres.
AB - Harmony is a fundamental attribute of music. Close connections exist between
music and mathematics since both pursue harmony and unity. In music, the
consonance of notes played simultaneously partly determines our perception of
harmony; associates with aesthetic responses; and influences the emotion
expression. The consonance could be considered as a window to understand and
analyze harmony. Here for the first time we used a 1/f fluctuation analysis to
investigate whether the consonance fluctuation structure in music with a wide
range of composers and genres followed the scale free pattern that has been found
for pitch, melody, rhythm, human body movements, brain activity, natural images
and geographical features. We then used a network graph approach to investigate
which composers were the most influential both within and across genres. Our
results showed that patterns of consonance in music did follow scale-free
characteristics, suggesting that this feature is a universally evolved one in
both music and the living world. Furthermore, our network analysis revealed that
Bach's harmony patterns were having the most influence on those used by other
composers, followed closely by Mozart.
PMID- 26545105
TI - A model-based comparison of three theories of audiovisual temporal recalibration.
AB - Observers change their audio-visual timing judgements after exposure to
asynchronous audiovisual signals. The mechanism underlying this temporal
recalibration is currently debated. Three broad explanations have been suggested.
According to the first, the time it takes for sensory signals to propagate
through the brain has changed. The second explanation suggests that decisional
criteria used to interpret signal timing have changed, but not time perception
itself. A final possibility is that a population of neurones collectively encode
relative times, and that exposure to a repeated timing relationship alters the
balance of responses in this population. Here, we simplified each of these
explanations to its core features in order to produce three corresponding six
parameter models, which generate contrasting patterns of predictions about how
simultaneity judgements should vary across four adaptation conditions: No
adaptation, synchronous adaptation, and auditory leading/lagging adaptation. We
tested model predictions by fitting data from all four conditions simultaneously,
in order to assess which model/explanation best described the complete pattern of
results. The latency-shift and criterion-change models were better able to
explain results for our sample as a whole. The population-code model did,
however, account for improved performance following adaptation to a synchronous
adapter, and best described the results of a subset of observers who reported
least instances of synchrony.
PMID- 26545106
TI - Testing Dose-Dependent Effects of the Nectar Alkaloid Anabasine on Trypanosome
Parasite Loads in Adult Bumble Bees.
AB - The impact of consuming biologically active compounds is often dose-dependent,
where small quantities can be medicinal while larger doses are toxic. The
consumption of plant secondary compounds can be toxic to herbivores in large
doses, but can also improve survival in parasitized herbivores. In addition,
recent studies have found that consuming nectar secondary compounds may decrease
parasite loads in pollinators. However, the effect of compound dose on bee
survival and parasite loads has not been assessed. To determine how secondary
compound consumption affects survival and pathogen load in Bombus impatiens, we
manipulated the presence of a common gut parasite, Crithidia bombi, and dietary
concentration of anabasine, a nectar alkaloid produced by Nicotiana spp. using
four concentrations naturally observed in floral nectar. We hypothesized that
increased consumption of secondary compounds at concentrations found in nature
would decrease survival of uninfected bees, but improve survival and ameliorate
parasite loads in infected bees. We found medicinal effects of anabasine in
infected bees; the high-anabasine diet decreased parasite loads and increased the
probability of clearing the infection entirely. However, survival time was not
affected by any level of anabasine concentration, or by interactive effects of
anabasine concentration and infection. Crithidia infection reduced survival time
by more than two days, but this effect was not significant. Our results support a
medicinal role for anabasine at the highest concentration; moreover, we found no
evidence for a survival-related cost of anabasine consumption across the
concentration range found in nectar. Our results suggest that consuming anabasine
at the higher levels of the natural range could reduce or clear pathogen loads
without incurring costs for healthy bees.
PMID- 26545107
TI - The Unfolding MD Simulations of Cyclophilin: Analyzed by Surface Contact Networks
and Their Associated Metrics.
AB - Currently, considerable interest exists with regard to the dissociation of close
packed aminoacids within proteins, in the course of unfolding, which could result
in either wet or dry moltenglobules. The progressive disjuncture of residues
constituting the hydrophobic core ofcyclophilin from L. donovani (LdCyp) has been
studied during the thermal unfolding of the molecule, by molecular dynamics
simulations. LdCyp has been represented as a surface contactnetwork (SCN) based
on the surface complementarity (Sm) of interacting residues within themolecular
interior. The application of Sm to side chain packing within proteins make it a
very sensitive indicator of subtle perturbations in packing, in the thermal
unfolding of the protein. Network based metrics have been defined to track the
sequential changes in the disintegration ofthe SCN spanning the hydrophobic core
of LdCyp and these metrics prove to be highly sensitive compared to traditional
metrics in indicating the increased conformational (and dynamical) flexibility in
the network. These metrics have been applied to suggest criteria distinguishing
DMG, WMG and transition state ensembles and to identify key residues involved in
crucial conformational/topological events during the unfolding process.
PMID- 26545108
TI - Knock-Down of the 37kDa/67kDa Laminin Receptor LRP/LR Impedes Telomerase
Activity.
AB - Cancer has become a major problem worldwide due to its increasing incidence and
mortality rates. Both the 37kDa/67kDa laminin receptor (LRP/LR) and telomerase
are overexpressed in cancer cells. LRP/LR enhances the invasiveness of cancer
cells thereby promoting metastasis, supporting angiogenesis and hampering
apoptosis. An essential component of telomerase, hTERT is overexpressed in 85-90%
of most cancers. hTERT expression and increased telomerase activity are
associated with tumor progression. As LRP/LR and hTERT both play a role in cancer
progression, we investigated a possible correlation between LRP/LR and
telomerase. LRP/LR and hTERT co-localized in the perinuclear compartment of
tumorigenic breast cancer (MDA_MB231) cells and non-tumorigenic human embryonic
kidney (HEK293) cells. FLAG(r) Co-immunoprecipitation assays confirmed an
interaction between LRP/LR and hTERT. In addition, flow cytometry revealed that
both cell lines displayed high cell surface and intracellular LRP/LR and hTERT
levels. Knock-down of LRP/LR by RNAi technology significantly reduced telomerase
activity. These results suggest for the first time a novel function of LRP/LR in
contributing to telomerase activity. siRNAs targeting LRP/LR may act as a
potential alternative therapeutic tool for cancer treatment by (i) blocking
metastasis (ii) promoting angiogenesis (iii) inducing apoptosis and (iv) impeding
telomerase activity.
PMID- 26545109
TI - Correction: Relationship between Body Mass Composition, Bone Mineral Density,
Skin Fibrosis and 25(OH) Vitamin D Serum Levels in Systemic Sclerosis.
PMID- 26545111
TI - Clinical Significance of MiR-137 Expression in Patients with Gastric Cancer After
Radical Gastrectomy.
AB - The dysregulation of miR-137 plays vital roles in the oncogenesis and progression
of various types of cancer, but its role in prognosis of gastric cancer patients
remains unknown. This study was designed to investigate the expression and
prognostic significance of miR-137 in gastric cancer patients after radical
gastrectomy. Quantitative real-time PCR (qRT-PCR) was performed to evaluate the
expression of miR-137 in human gastric cancer cell lines and tissues in patients
with gastric adenocarcinoma. Results were assessed for association with clinical
factors and overall survival by using Kaplan-Meier analysis. Prognostic values of
miR-137 expression and clinical outcomes were evaluated by Cox regression
analysis. The results exhibited that the expression level of miR-137 was
decreased in human gastric cancer cell lines and tissues, and down-regulated
expression of miR-137 was associated with tumor cell differentiation, N stage,
and TNM stage. Decreased miR-137 expression in gastric cancer tissues was
positively correlated with poor overall survival of gastric cancer patients.
Further multivariate Cox regression analysis suggested that miR-137 expression
was an independent prognostic indicator for gastric cancer except for TNM stage.
Applying the prognostic value of miR-137 expression to TNM stage III group showed
a better risk stratification for overall survival. In conclusion, the results
reinforced the critical role for the down-regulated miR-137 expression in gastric
cancer and suggested that miR-137 expression could be a prognostic indicator for
this disease. In addition, these patients with TNM stage III gastric cancer and
low miR-137 expression might need more aggressive postoperative treatment and
closer follow-up.
PMID- 26545112
TI - Climate-Driven Phenological Change: Developing Robust Spatiotemporal Modeling and
Projection Capability.
AB - Our possibility to appropriately detect, interpret and respond to climate-driven
phenological changes depends on our ability to model and predict the changes.
This ability may be hampered by non-linearity in climate-phenological relations,
and by spatiotemporal variability and scale mismatches of climate and
phenological data. A modeling methodology capable of handling such complexities
can be a powerful tool for phenological change projection. Here we develop such a
methodology using citizen scientists' observations of first flight dates for
orange tip butterflies (Anthocharis cardamines) in three areas extending along a
steep climate gradient. The developed methodology links point data of first
flight observations to calculated cumulative degree-days until first flight based
on gridded temperature data. Using this methodology we identify and quantify a
first flight model that is consistent across different regions, data support
scales and assumptions of subgrid variability and observation bias. Model
application to observed warming over the past 60 years demonstrates the model
usefulness for assessment of climate-driven first flight change. The cross
regional consistency of the model implies predictive capability for future
changes, and calls for further application and testing of analogous modeling
approaches to other species, phenological variables and parts of the world.
PMID- 26545110
TI - Genetic Interactions Implicating Postreplicative Repair in Okazaki Fragment
Processing.
AB - Ubiquitination of the replication clamp proliferating cell nuclear antigen (PCNA)
at the conserved residue lysine (K)164 triggers postreplicative repair (PRR) to
fill single-stranded gaps that result from stalled DNA polymerases. However, it
has remained elusive as to whether cells engage PRR in response to replication
defects that do not directly impair DNA synthesis. To experimentally address this
question, we performed synthetic genetic array (SGA) analysis with a
ubiquitination-deficient K164 to arginine (K164R) mutant of PCNA against a
library of S. cerevisiae temperature-sensitive alleles. The SGA signature of the
K164R allele showed a striking correlation with profiles of mutants deficient in
various aspects of lagging strand replication, including rad27Delta and
elg1Delta. Rad27 is the primary flap endonuclease that processes 5' flaps
generated during lagging strand replication, whereas Elg1 has been implicated in
unloading PCNA from chromatin. We observed chronic ubiquitination of PCNA at K164
in both rad27Delta and elg1Delta mutants. Notably, only rad27Delta cells
exhibited a decline in cell viability upon elimination of PRR pathways, whereas
elg1Delta mutants were not affected. We further provide evidence that K164
ubiquitination suppresses replication stress resulting from defective flap
processing during Okazaki fragment maturation. Accordingly, ablation of PCNA
ubiquitination increased S phase checkpoint activation, indicated by
hyperphosphorylation of the Rad53 kinase. Furthermore, we demonstrate that
alternative flap processing by overexpression of catalytically active exonuclease
1 eliminates PCNA ubiquitination. This suggests a model in which unprocessed
flaps may directly participate in PRR signaling. Our findings demonstrate that
PCNA ubiquitination at K164 in response to replication stress is not limited to
DNA synthesis defects but extends to DNA processing during lagging strand
replication.
PMID- 26545113
TI - An Enzyme from Aristolochia indica Destabilizes Fibrin-beta Amyloid Co-Aggregate:
Implication in Cerebrovascular Diseases.
AB - Fibrinogen and beta-amyloid (Abeta) peptide independently form ordered aggregates
but in combination, they form disordered structures which are resistant to
fibrinolytic enzymes like plasmin and cause severity in cerebral amyloid
angiopathy (CAA). A novel enzyme of 31.3 kDa has been isolated from the root of
the medicinal plant Aristolochia indica that showed fibrinolytic as well as
fibrin-Abeta co-aggregate destabilizing properties. This enzyme is functionally
distinct from plasmin. Thrombolytic action of the enzyme was demonstrated in rat
model. The potency of the plant enzyme in degrading fibrin and fibrin-plasma
protein (Abeta, human serum albumin, lysozyme, transthyretin and fibronectin) co
aggregates was demonstrated by atomic force microscopy, scanning electron
microscopy and confocal microscopy that showed better potency of the plant enzyme
as compared to plasmin. Moreover, the plant enzyme inhibited localization of the
co-aggregate inside SH-SY5Y human neuroblastoma cells and also co-aggregate
induced cytotoxicity. Plasmin was inefficient in this respect. In the background
of limited options for fragmentation of these co-aggregates, the plant enzyme may
appear as a potential proteolytic enzyme.
PMID- 26545115
TI - Health Economics in Medical Nutrition: An Emerging Science.
AB - RATIONAL: The objective of this paper is to describe the applications of health
economic theory to medical nutrition. BACKGROUND: The published literature
provides evidence that medical nutrition, e.g. oral nutritional supplements, is
an effective treatment for patients with disease related malnutrition.
Malnutrition is associated with mortality risk and complication rates, including
infections. Malnutrition is not a new problem and with an ageing population it
continues to become a major public health concern as increasing age is associated
with an increased risk of malnutrition. FINDINGS: This overview shows that in the
case RCTs are providing the clinical evidence, there is no methodological
difference between a cost-effectiveness analysis for pharmaceutical or nutrition.
However, in nutrition the evidence may not always come from RCT data, but will be
more often based on observational data. Therefore the clinical evidence of
nutrition in itself is not the issue, but the handling of clinical evidence from
observational studies. As the link between the consumption of a food product and
a resulting health status is often more difficult to establish than the effect of
a drug treatment it requires the further development of adapted methodologies in
order to correctly predict the impact of food-related health effects and health
economic outcomes from a broader perspective.
PMID- 26545114
TI - Renal Lipotoxicity-Associated Inflammation and Insulin Resistance Affects Actin
Cytoskeleton Organization in Podocytes.
AB - In the last few decades a change in lifestyle has led to an alarming increase in
the prevalence of obesity and obesity-associated complications. Obese patients
are at increased risk of developing hypertension, heart disease, insulin
resistance (IR), dyslipidemia, type 2 diabetes and renal disease. The excess
calories are stored as triglycerides in adipose tissue, but also may accumulate
ectopically in other organs, including the kidney, which contributes to the
damage through a toxic process named lipotoxicity. Recently, the evidence
suggests that renal lipid accumulation leads to glomerular damage and, more
specifically, produces dysfunction in podocytes, key cells that compose and
maintain the glomerular filtration barrier. Our aim was to analyze the early
mechanisms underlying the development of renal disease associated with the
process of lipotoxicity in podocytes. Our results show that treatment of
podocytes with palmitic acid produced intracellular accumulation of lipid
droplets and abnormal glucose and lipid metabolism. This was accompanied by the
development of inflammation, oxidative stress and endoplasmic reticulum stress
and insulin resistance. We found specific rearrangements of the actin
cytoskeleton and slit diaphragm proteins (Nephrin, P-Cadherin, Vimentin)
associated with this insulin resistance in palmitic-treated podocytes. We
conclude that lipotoxicity accelerates glomerular disease through lipid
accumulation and inflammation. Moreover, saturated fatty acids specifically
promote insulin resistance by disturbing the cytoarchitecture of podocytes. These
data suggest that renal lipid metabolism and cytoskeleton rearrangements may
serve as a target for specific therapies aimed at slowing the progression of
podocyte failure during metabolic syndrome.
PMID- 26545116
TI - A Faster Triphosphorylation Ribozyme.
AB - In support of the RNA world hypothesis, previous studies identified
trimetaphosphate (Tmp) as a plausible energy source for RNA world organisms. In
one of these studies, catalytic RNAs (ribozymes) that catalyze the
triphosphorylation of RNA 5'-hydroxyl groups using Tmp were obtained by in vitro
selection. One ribozyme (TPR1) was analyzed in more detail. TPR1 catalyzes the
triphosphorylation reaction to a rate of 0.013 min-1 under selection conditions
(50 mM Tmp, 100 mM MgCl2, 22 degrees C). To identify a triphosphorylation
ribozyme that catalyzes faster triphosphorylation, and possibly learn about its
secondary structure TPR1 was subjected to a doped selection. The resulting
ribozyme, TPR1e, contains seven mutations relative to TPR1, displays a previously
unidentified duplex that constrains the ribozyme's structure, and reacts at a 24
fold faster rate than the parent ribozyme. Under optimal conditions (150 mM Tmp,
650 mM MgCl2, 40 degrees C), the triphosphorylation rate of TRP1e reaches 6.8 min
1.
PMID- 26545117
TI - Noncaloric Benefits of Carbohydrates.
AB - Noncaloric benefits of carbohydrates are due to the presence of dietary fibers,
which are a heterogeneous group of natural food sources and form an important
component of a healthy diet. They differ in physiochemical properties such as
solubility, fermentability and viscosity. They have a wide range of physiological
effects resulting in gastrointestinal and systemic benefits. These include
appetite, satiety, bowel transit time and function, production of short-chain
fatty acids and certain vitamins, and effects on gut microbiota, immunity and
inflammation, as well as mineral absorption. They also help to control the
glycemic status and serum lipid levels, resulting in reduced incidence rates of
atherosclerosis, hypertension, stroke and cardiovascular diseases.
PMID- 26545118
TI - Molecular Insights into the Transmembrane Domain of the Thyrotropin Receptor.
AB - The thyrotropin receptor (TSHR) is a G protein-coupled receptor (GPCR) that is
member of the leucine-rich repeat subfamily (LGR). In the absence of crystal
structure, the success of rational design of ligands targeting the receptor
internal cavity depends on the quality of the TSHR models built. In this
subfamily, transmembrane helices (TM) 2 and 5 are characterized by the absence of
proline compared to most receptors, raising the question of the structural
conformation of these helices. To gain insight into the structural properties of
these helices, we carried out bioinformatics and experimental studies.
Evolutionary analysis of the LGR family revealed a deletion in TM5 but provided
no information on TM2. Wild type residues at positions 2.58, 2.59 or 2.60 in TM2
and/or at position 5.50 in TM5 were substituted to proline. Depending on the
position of the proline substitution, different effects were observed on membrane
expression, glycosylation, constitutive cAMP activity and responses to
thyrotropin. Only proline substitution at position 2.59 maintained complex
glycosylation and high membrane expression, supporting occurrence of a bulged
TM2. The TSHR transmembrane domain was modeled by homology with the orexin 2
receptor, using a protocol that forced the deletion of one residue in the TM5
bulge of the template. The stability of the model was assessed by molecular
dynamics simulations. TM5 straightened during the equilibration phase and was
stable for the remainder of the simulations. Our data support a structural model
of the TSHR transmembrane domain with a bulged TM2 and a straight TM5 that is
specific of glycoprotein hormone receptors.
PMID- 26545119
TI - Kaposi's Sarcoma-Associated Herpesvirus (KSHV) Induces the Oncogenic miR-17-92
Cluster and Down-Regulates TGF-beta Signaling.
AB - KSHV is a DNA tumor virus that causes Kaposi's sarcoma. Upon KSHV infection, only
a limited number of latent genes are expressed. We know that KSHV infection
regulates host gene expression, and hypothesized that latent genes also modulate
the expression of host miRNAs. Aberrant miRNA expression contributes to the
development of many types of cancer. Array-based miRNA profiling revealed that
all six miRNAs of the oncogenic miR-17-92 cluster are up-regulated in KSHV
infected endothelial cells. Among candidate KSHV latent genes, we found that
vFLIP and vCyclin were shown to activate the miR-17-92 promoter, using luciferase
assay and western blot analysis. The miR-17-92 cluster was previously shown to
target TGF-beta signaling. We demonstrate that vFLIP and vCyclin induce the
expression of the miR-17-92 cluster to strongly inhibit the TGF-beta signaling
pathway by down-regulating SMAD2. Moreover, TGF-beta activity and SMAD2
expression were fully restored when antagomirs (inhibitors) of miR-17-92 cluster
were transfected into cells expressing either vFLIP or vCyclin. In addition, we
utilized viral genetics to produce vFLIP or vCyclin knock-out viruses, and
studied the effects in infected TIVE cells. Infection with wildtype KSHV
abolished expression of SMAD2 protein in these endothelial cells. While single
knockout mutants still showed a marked reduction in SMAD2 expression, TIVE cells
infected by a double-knockout mutant virus were fully restored for SMAD2
expression, compared to non-infected TIVE cells. Expression of either vFLIP or
vCycIin was sufficient to downregulate SMAD2. In summary, our data demonstrate
that vFLIP and vCyclin induce the oncogenic miR-17-92 cluster in endothelial
cells and thereby interfere with the TGF-beta signaling pathway. Manipulation of
the TGF-beta pathway via host miRNAs represents a novel mechanism that may be
important for KSHV tumorigenesis and angiogenesis, a hallmark of KS.
PMID- 26545121
TI - Costs of Foraging Predispose Animals to Obesity-Related Mortality when Food Is
Constantly Abundant.
AB - Obesity is an important medical problem affecting humans and animals in the
developed world, but the evolutionary origins of the behaviours that cause
obesity are poorly understood. The potential role of occasional gluts of food in
determining fat-storage strategies for avoiding mortality have been overlooked,
even though animals experienced such conditions in the recent evolutionary past
and may follow the same strategies in the modern environment. Humans, domestic,
and captive animals in the developed world are exposed to a surplus of calorie
rich food, conditions characterised as 'constant-glut'. Here, we use a
mathematical model to demonstrate that obesity-related mortality from poor health
in a constant-glut environment should equal the average mortality rate in the
'pre-modern' environment when predation risk was more closely linked with
foraging. It should therefore not be surprising that animals exposed to abundant
food often over-eat to the point of ill-health. Our work suggests that
individuals tend to defend a given excessive level of reserves because this level
was adaptive when gluts were short-lived. The model predicts that mortality rate
in constant-glut conditions can increase as the assumed health cost of being
overweight decreases, meaning that any adaptation that reduced such health costs
would have counter-intuitively led to an increase in mortality in the modern
environment. Taken together, these results imply that efforts to reduce the
incidence of obesity that are focussed on altering individual behaviour are
likely to be ineffective because modern, constant-glut conditions trigger
previously adaptive behavioural responses.
PMID- 26545120
TI - SOST Inhibits Prostate Cancer Invasion.
AB - Inhibitors of Wnt signaling have been shown to be involved in prostate cancer
(PC) metastasis; however the role of Sclerostin (Sost) has not yet been explored.
Here we show that elevated Wnt signaling derived from Sost deficient osteoblasts
promotes PC invasion, while rhSOST has an inhibitory effect. In contrast, rhDKK1
promotes PC elongation and filopodia formation, morphological changes
characteristic of an invasive phenotype. Furthermore, rhDKK1 was found to
activate canonical Wnt signaling in PC3 cells, suggesting that SOST and DKK1 have
opposing roles on Wnt signaling in this context. Gene expression analysis of PC3
cells co-cultured with OBs exhibiting varying amounts of Wnt signaling identified
CRIM1 as one of the transcripts upregulated under highly invasive conditions. We
found CRIM1 overexpression to also promote cell-invasion. These findings suggest
that bone-derived Wnt signaling may enhance PC tropism by promoting CRIM1
expression and facilitating cancer cell invasion and adhesion to bone. We
concluded that SOST and DKK1 have opposing effects on PC3 cell invasion and that
bone-derived Wnt signaling positively contributes to the invasive phenotypes of
PC3 cells by activating CRIM1 expression and facilitating PC-OB physical
interaction. As such, we investigated the effects of high concentrations of SOST
in vivo. We found that PC3-cells overexpressing SOST injected via the tail vein
in NSG mice did not readily metastasize, and those injected intrafemorally had
significantly reduced osteolysis, suggesting that targeting the molecular bone
environment may influence bone metastatic prognosis in clinical settings.
PMID- 26545122
TI - Social Support of Patients with Type 2 Diabetes in Marginalized Contexts in
Mexico and Its Relation to Compliance with Treatment: A Sociocultural Approach.
AB - OBJECTIVE: This study aimed to describe the ways social support works in the
daily life of patients with type 2 diabetes living in conditions of social and
economic marginality, in order to understand how that support relates to
treatment compliance. METHODS: Sequential mixed methods research was used. The
sample of patients was obtained from primary health care units and selected
considering regional representativeness, and levels of morbidity and mortality
for type 2 diabetes. RESULTS: Results point to the nuclear family as the main
source of support. Regardless of the area of residence, four main dimensions of
support were identified: economic support, help with treatment compliance,
emotional support, and material aid. CONCLUSIONS: We conclude that the support
network assists the patient in different ways and helps cope with the disease,
but in conditions of social and economic marginality, does not guarantee the
quality of attention nor enable the self-management of treatment.
PMID- 26545123
TI - Early SIV Dissemination After Intrarectal SIVmac251 Challenge Was Associated With
Proliferating Virus-Susceptible Cells in the Colorectum.
AB - OBJECTIVE: Few studies have examined the eclipse time of simian immunodeficiency
virus/HIV infection through the anal route. We aimed to measure the eclipse time
after SIVmac251 intrarectal inoculation, and to investigate the factor(s)
associated with early dissemination. DESIGN: Forty macaques were intrarectally
challenged with SIVmac251 3 times at 2-week intervals. METHODS: Plasma viral RNA
was monitored at 4, 7, 11, 14, 21, and 28 days after infection. Rectal/vaginal
tissues were obtained and tissue viral loads (VLs) were measured at day 14
postinfection. RESULTS: Of 40 macaques 26 (65%) had first detectable viral RNAs
in the plasma at day 7 after the challenge that led to productive infection.
Strikingly, 6 animals (15%) had detectable viral RNA in the plasma as early as at
day 4. The Ki67 viral target CD4 T cells in the colorectal tissues were
significantly higher in the early or middle-transmitter groups than those in the
late-transmitter group. The rectal VL did not correlate with plasma VL at 14-day
postinoculation, but did positively correlate with plasma VLs at days 21 and 28
postinfection. CONCLUSIONS: The median eclipse time after intrarectal challenge
was 7 days, with a few early transmitters at 4 days. More rapid viral
dissemination was associated with a high frequency of colorectal Ki67CCR5CD4T
cells, which fuel the local viral replication. Furthermore, local viral
replication in the colorectal tissue during the early stage might affect the
plasma VL in a delayed manner. Therefore, to reduce/limit these target cells at
the portal of viral entry is essential.
PMID- 26545124
TI - Blocking CXCL9 Decreases HIV-1 Replication and Enhances the Activity of
Prophylactic Antiretrovirals in Human Cervical Tissues.
AB - OBJECTIVES: The interferon-gamma-induced chemokine CXCL9 is expressed in a wide
range of inflammatory conditions including those affecting the female genital
tract. CXCL9 promotes immune cell recruitment, activation, and proliferation. The
role of CXCL9 in modulating HIV-1 infection of cervicovaginal tissues, a main
portal of viral entry, however, has not been established. We report a link
between CXCL9 and HIV-1 replication in human cervical tissues and propose CXCL9
as a potential target to enhance the anti-HIV-1 activity of prophylactic
antiretrovirals. DESIGN: Using ex vivo infection of human cervical tissues as a
model of mucosal HIV-1 acquisition, we described the effect of CXCL9
neutralization on HIV-1 gene expression and mucosal CD4 T-cell activation. The
anti-HIV-1 activity of tenofovir, the leading mucosal pre-exposure prophylactic
microbicide, alone or in combination with CXCL9 neutralization was also studied.
METHODS: HIV-1 replication was evaluated by p24 ELISA. HIV-1 DNA and RNA, and
CD4, CCR5, and CD38 transcription were evaluated by quantitative real-time
polymerase chain reaction. Frequency of activated cervical CD4 T cells was
quantified using fluorescence-activated cell sorting. RESULTS: Antibody blocking
of CXCL9 reduced HIV-1 replication by decreasing mucosal CD4 T-cell activation.
CXCL9 neutralization in combination with suboptimal concentrations of tenofovir,
possibly present in the cervicovaginal tissues of women using the drug
inconsistently, demonstrated an earlier and greater decrease in HIV-1 replication
compared with tissues treated with tenofovir alone. CONCLUSIONS: CXCL9
neutralization reduces HIV-1 replication and may be an effective target to
enhance the efficacy of prophylactic antiretrovirals.
PMID- 26545126
TI - ["Give me a pill": the meanings of AIDS in bareback practice in Mexico City and
its implications for HIV prevention].
AB - OBJECTIVE: To analyze and understand the meanings that gay men in Mexico City
associate to unprotected sex such as bareback practices. MATERIALS AND METHODS:
Exploratory qualitative study that uses grounded theory analysis of
semistructured interviews with gay men that practice bareback sex recruited
through the internet. Also a documentary analysis was performed. RESULTS: Gay men
engage in bareback sexual practices because they have access to antiretroviral
therapy. Access to treatment changes the meanings around AIDS and the perceived
risk of infection. The confidence on treatment and the dropback of the Mexican
government on preventive strategies explain these perceptions. CONCLUSIONS: Urban
and middle class gay men in Mexico City have changed their perception with
respect to HIV infection. It is necessary to understand the meanings related to
bareback sexual practices and the use of condoms as a preventive strategy. We
have to retake the discussion on preventive strategies, damage mitigation,
stigma, discrimination, early diagnosis and the impact of antiretroviral therapy.
PMID- 26545125
TI - Correlates of perceived risk of HIV infection among persons who inject drugs in
Tijuana, Baja California, Mexico.
AB - OBJECTIVE: We identified correlates of perceived risk of HIV infection among
persons who inject drugs (PWID) in Tijuana. MATERIALS AND METHODS: PWID >=18
years of age who injected drugs in the past month were recruited between 2006
2007 and completed risk assessment interviews and serologic testing for HIV,
syphilis, and tuberculosis. Logistic regression was used to determine factors
associated with high-perceived risk of HIV infection. RESULTS: Among 974 PWID,
HIV prevalence was 4.4%; 45.0% of participants perceived themselves to be more
likely to become HIV infected relative to other PWID in Tijuana. Participants who
reported high-perceived risk of HIV infection participated in high-risk behaviors
such as injecting with used syringes, transactional sex, and were less likely to
have had an HIV test. CONCLUSIONS: Recognition of HIV infection risk was
associated with high risk behaviors and markers of vulnerability. Findings
support efforts to encourage HIV testing and access to health care for this
vulnerable population.
PMID- 26545127
TI - [AIDS-related early mortality in Mexico between 2008 and 2012].
AB - OBJECTIVE: To describe the distribution of AIDS-related mortality according to
the time of occurrence since entry to the System for the Administration,
Logistics and Surveillance of Antiretrovirals (SALVAR, in Spanish), among users
of Ministry of Health facilities in Mexico. MATERIALS AND METHODS: Descriptive
analysis of AIDS mortality and the related clinical and demographic profile of
41847 patients registered in SALVAR. RESULTS: 3195 patients (8.1%) died within
the study period, 59% of these deaths occurred within six months after treatment
initiation. Among those patients, 87.3% were diagnosed late, given their CD4
levels (CD4cel<200 cel/ml3). CONCLUSION: Our results underscore the need to
strengthen programs aimed to increase opportune HIV diagnosis and linkage to
care, as a key component of universal access policy to antiretroviral treatment
in Mexico.
PMID- 26545128
TI - [Delayed initiation of antiretroviral therapy in Mexico].
AB - OBJECTIVE: To estimate the prevalence of delayed- initiation (DI) of
antiretroviral therapy (ART) for people with HIV attended at the Ministry of
Health (SS, for its initials in Spanish) in Mexico, and to describe its behavior
over time (2008-2013) and differences by gender and age. MATERIALS AND METHODS:
Descriptive and sectional study of people entering ART in the period 2008-2013 in
the SS. The prevalence of DI ART (CD4+ <200 cells/ml) was estimated and
differences according to sex and age were analyzed. RESULTS: The DI ART
prevalence was 49%, having decreased 10% in the period. In men was 4.8% and 24.5%
in women (p<0.01). The 15 to 29 years group also showed a decrease. CONCLUSIONS:
Despite the decrease in ART DI prevalence, it still remains high. It is necessary
to generate comprehensive screening strategies with multisectoral participation.
PMID- 26545129
TI - [Psychosocial factors associated with late HAART initiation in Mexican patients
with HIV].
AB - OBJECTIVE: To explore the association between psychosocial factors and late
highly active antiretroviral therapy (HAART) initiation in a sample of Mexican
patients with HIV. MATERIALS AND METHODS: We conducted a cross-sectional study at
the HIV Clinic of the Instituto Nacional de Ciencias Medicas y Nutricion Salvador
Zubiran (INCMNSZ), and applied structured questionnaires to 150 patients who
initiated HAART between January 2010 and August 2011. Late HAART initiation (LHI)
was considered when patients started HAART with CD4 counts of <200+ cells/mm3.
RESULTS: By multivariate analysis, the strongest psychosocial risk factor for LHI
observed was self-stigma towards HIV/AIDS. In addition, being tested by medical
prescription, not by own initiative, as well as having one or more previous
medical contacts, were associated with greater risk for LH. CONCLUSIONS: Our
findings suggest the need to develop psychosocial interventions to decrease
negative self-image and stigmatizing attitudes and behaviors in risk groups for
HIV in Mexico.
PMID- 26545130
TI - [Correcting for misclassified HIV/aids deaths in Mexico: Retrospective analysis,
1983-2012].
AB - OBJECTIVE: To identify and reassign misclassified AIDS deaths in Mexico,
reconstructing the time series of mortality from 1983 to 2012, by state, sex,
age, and affiliation to social security. MATERIALS AND METHODS: 15.5 million
deaths from 1979 to 2012 were analyzed. The HIV-AIDS mortality correction was
done in three phases: a) those causes directly related to AIDS; b) by miscoded
deaths, and c) AIDS deaths hidden in other underlying causes of death. Age
standardized rates of mortality (SMR) were calculated by sex, affiliation to
social security, and state. RESULTS: 107 981 AIDS deaths from 1983 to 2012 were
accumulated, representing 11% of total deaths observed for the period. The SMR in
men for all age groups begins to decline since 1996, while for women the decline
started in 2008. A similar picture is observed for the population with / without
social security. Heterogeneity is a feature for SMR by state. CONCLUSION: An
easily replicable methodology for the correction of mortality from AIDS, which
generates relevant information for decision making based on the evidence is
presented.
PMID- 26545131
TI - [The role of supply-side characteristics of services in AIDS mortality in
Mexico].
AB - OBJECTIVE: To document the association between supply-side determinants and AIDS
mortality in Mexico between 2008 and 2013. MATERIALS AND METHODS: We analyzed the
SALVAR database (system for antiretroviral management, logistics and
surveillance) as well as data collected through a nationally representative
survey in health facilities. We used multivariate logit regression models to
estimate the association between supply-side characteristics, namely management,
training and experience of health care providers, and AIDS mortality,
distinguishing early and non-early mortality and controlling for clinical
indicators of the patients. RESULTS: Clinic status of the patients (initial CD4
and viral load) explain 44.4% of the variability of early mortality across
clinics and 13.8% of the variability in non-early mortality. Supply-side
characteristics increase explanatory power of the models by 16% in the case of
early mortality, and 96% in the case of non-early mortality. CONCLUSIONS: Aspects
of management and implementation of services contribute significantly to explain
AIDS mortality in Mexico. Improving these aspects of the national program, can
similarly improve its results.
PMID- 26545132
TI - [In-hospital mortality in HIV-infected patients: 10 years after the
implementation of universal access to HAART in Mexico].
AB - OBJECTIVE: To establish the characteristics and causes of death of HIV patients
who die while hospitalized. MATERIALS AND METHODS: We included HIV+ patients who
died during hospitalization, in three hospitals in Mexico City between 2010 and
2013. Sociodemographic and clinical data were collected as well as causes of
death. We identified preventable deaths (defined as deaths that occurred in
patients with less than six months of HAART, or without HAART, with less than 350
CD4 at diagnosis and/or opportunistic events as the cause of hospitalization).
RESULTS: 128 deaths were analyzed. The median of CD4 count was 47 cells/mm3; 18%
of the patients ignored their HIV status at the time of hospitalization, 51% had
less than six months of HAART, 40.5% had never received HAART before. The main
causes of death were AIDS defining events, with 65.6%. We identified 70
preventable deaths (57%). CONCLUSIONS: Despite universal access to HAART, HIV
patients in Mexico are still dying of AIDS defining illnesses, an indicator of
late diagnosis. It is urgent to implement HIV testing programs to allow earlier
diagnosis and make HAART benefit accessible to all.
PMID- 26545133
TI - Antiretroviral purchasing and prescription practices in Mexico: constraints,
challenges and opportunities.
AB - OBJECTIVE: This study examines the antiretroviral (ARV) market characteristics
for drugs procured and prescribed to Mexico's Social Protection System in Health
beneficiaries between 2008 and 2013, and compares them with international data.
MATERIALS AND METHODS: Procurement information from the National Center for the
Prevention and the Control of HIV/AIDS was analyzed to estimate volumes and
prices of key ARV. Annual costs were compared with data from the World Health
Organization's Global Price Reporting Mechanism for similar countries. Finally,
regimens reported in the ARV Drug Management, Logistics and Surveillance System
database were reviewed to identify prescription trends and model ARV expenditures
until 2018. RESULTS: Results show that the first-line ARV market is concentrated
among a small number of patented treatments, in which prescription is clinically
adequate, but which prices are higher than those paid by similar countries. The
current set of legal and structural options available to policy makers to bring
prices down is extremely limited. CONCLUSIONS: Different negotiation policies
were not successful to decrease ARV high prices in the public health market. The
closed list approach had a good impact on prescription quality but was
ineffective in reducing prices. The Coordinating Commission for Negotiating the
Price of Medicines and other Health Supplies also failed to obtain adequate
prices. To maximize purchase efficiency, policy makers should focus on finding
long-term legal and political safeguards to counter the high prices imposed by
pharmaceutical companies.
PMID- 26545134
TI - [Inconsistent condom use among Mexican women living with HIV: a challenge for
health services].
AB - OBJECTIVE: To describe condom use among Mexican women living with HIV and analyze
factors that facilitate or impede its utilization. MATERIALS AND METHODS:
Qualitative analysis of 55 interviews with women of reproductive age living with
HIV. RESULTS: Inconsistent condom use and non-use at last sexual intercourse was
common, and not clearly related to the male partners' HIV-status. Factors that
influenced condom use included perceptions of health benefits, symbolic meaning
assigned to the condom within the relationship, and the transformation or
persistence of inequitable gender norms. CONCLUSIONS: Gender norms and male
partners' attitudes strongly influence condom use among women living with HIV. To
increase consistent condom use the health system must implement counseling and
service delivery with a gender perspective and innovative actions to involve male
partners.
PMID- 26545135
TI - [Discrimination and homophobia associated to the human immunodeficiency virus
epidemic].
AB - OBJECTIVE: To describe a political mapping on discrimination and homophobia
associated to human immunodeficiency virus (HIV) in the context of public
institutions in Mexico. MATERIALS AND METHODS: The political mapping was
conducted in six Mexican states. Stakeholders who were involved in HIV actions
from public and private sectors were included. Semistructured interviews were
applied to explore homophobia and discrimination associated with HIV. Information
was systematized using the Policy Maker software, which is a good support for
analyzing health policies. RESULTS: Discriminatory and homophobic practices in
the public domain occurred, damaging people's integrity via insults, derision and
hate crimes. Most stakeholders expressed a supportive position to prevent
discrimination and homophobia and some of them had great influence on policy
making decisions. It was found that state policy frameworks are less specific in
addressing these issues. CONCLUSIONS: Homophobia and discrimination associated to
HIV are still considered problematic in Mexico. Homophobia is a very sensitive
issue that requires further attention. Also, an actual execution of governmental
authority requires greater enforcement of laws against discrimination and
homophobia.
PMID- 26545136
TI - [Editorial].
PMID- 26545137
TI - HIV prevalence, sociodemographic characteristics, and sexual behaviors among
transwomen in Mexico City.
AB - OBJECTIVE: To present results from HIV testing, knowledge of HIV status and
socioeconomic factors associated with the probability of having a HIV positive
result among transwomen (TW) in Mexico. MATERIALS AND METHODS: In 2012, we
conducted an HIV seroprevalence survey to 585 TW in Mexico City in three strata:
gathering places, the Condesa HIV Clinic and in four detention centers. We
estimated the prevalence of HIV in each strata and applied a probit model to the
overall sample to analyze factors associated with the probability of a HIV
positive result. RESULTS: The prevalence of HIV was 19.8% in meeting places;
31.9% in detention centers and 64% among the participants of the clinic. Age, low
education and number of sexual partners was positively associated with HIV.
CONCLUSIONS: Results from the study provide relevant information to design HIV
prevention interventions tailored to the needs of the TW population.
PMID- 26545138
TI - Controlling shape and position of vascular formation in engineered tissues by
arbitrary assembly of endothelial cells.
AB - Cellular self-assembly based on cell-to-cell communication is a well-known tissue
organizing process in living bodies. Hence, integrating cellular self-assembly
processes into tissue engineering is a promising approach to fabricate well
organized functional tissues. In this research, we investigated the capability of
endothelial cells (ECs) to control shape and position of vascular formation using
arbitral-assembling techniques in three-dimensional engineered tissues. To
quantify the degree of migration of ECs in endothelial network formation, image
correlation analysis was conducted. Positive correlation between the original
positions of arbitrarily assembled ECs and the positions of formed endothelial
networks indicated the potential for controlling shape and position of vascular
formations in engineered tissues. To demonstrate the feasibility of controlling
vascular formations, engineered tissues with vascular networks in triangle and
circle patterns were made. The technique reported here employs cellular self
assembly for tissue engineering and is expected to provide fundamental beneficial
methods to supply various functional tissues for drug screening and regenerative
medicine.
PMID- 26545139
TI - Visual objects speak louder than words: motor planning and weight in tool use and
object transport.
AB - For theories of embodied cognition, reading a word activates sensorimotor
representations in a similar manner to seeing the physical object the word
represents. Thus, reading words representing objects of different sizes interfere
with motor planning, inducing changes in grip aperture. An outstanding issue is
whether word reading can also evoke sensorimotor information about the weight of
objects. This issue was addressed in two experiments wherein participants have
first to read the name of an object (Experiment 1)/observe the object (Experiment
2) and then to transport versus use bottles of water. The objects presented as
primes were either lighter or heavier than the bottles to be grasped. Results
indicated that the main parameters of motor planning recorded (initiation times
and finger contact points) were not affected by the presentation of words as
primes (Experiment 1). By contrast, the presentation of visual objects as primes
induced significant changes in these parameters (Experiment 2). Participants
changed their way of grasping the bottles, particularly in the use condition.
Taken together, these results suggest that the activation of concepts does not
automatically evoke sensorimotor representations about the weight of objects, but
visual objects do.
PMID- 26545140
TI - Development of a human live attenuated West Nile infectious DNA vaccine:
Suitability of attenuating mutations found in SA14-14-2 for WN vaccine design.
AB - Direct attenuation of West Nile (WN) virus strain NY99 for the purpose of vaccine
development is not feasible due to its high virulence and pathogenicity. Instead,
we created highly attenuated chimeric virus W1806 with the serological identity
of NY99. To further attenuate W1806, we investigated effects of mutations found
in Japanese encephalitis virus vaccine SA14-14-2. WN viruses carrying all
attenuating mutations lost infectivity in mammalian, but not in mosquito cells.
No single reversion restored infectivity in mammalian cells, although increased
infectivity in mosquito cells was observed. To identify a subset of mutations
suitable for further attenuation of W1806, we analyzed effects of E138K and K279M
changes on virulence, growth properties, and immunogenicity of derivatized W956,
from which chimeric W1806 inherited its biological properties and attenuation
profile. Despite strong dominant attenuating effect, introduction of only two
mutations was not sufficient for attenuating W1806 to the safety level acceptable
for human use.
PMID- 26545142
TI - Ventilation/perfusion distributions revisited.
AB - PURPOSE OF REVIEW: A major cause of hypoxemia in anesthesia is ventilation
perfusion (VA/Q) mismatch. With more advanced surgery and an aging population,
monitoring of VA/Q is of increasing importance. RECENT FINDINGS: The classic
multiple inert gas elimination technique has been simplified with a new approach
based on mass spectrometry. VA/Q distributions can also be measured, at the
bedside, by varying inspired oxygen concentration. MRI, 3-dimensional single
photon emission computed tomography, positron emission tomography, and electrical
impedance tomography enable imaging of perfusion and ventilation, and in some of
the techniques also the distribution of inflammation. One-lung ventilation with
thoracoscopy and capnothorax require careful monitoring of VA/Q, made possible
bedside by electrical impedance tomography. Carbon dioxide, but not air, for
pneumoperitoneum enhances shift of perfusion to ventilated regions. Ventilatory
support during cardiopulmonary resuscitation causes less VA/Q mismatch when
inspired oxygen concentrations are lower. Mechanisms of redistribution of lung
blood flow by inhaled nitric oxide include endothelin-mediated vasoconstriction
in collapsed lung regions. SUMMARY: Methods are continuously developing to
simplify measurement of VA/Q and also to relate VA/Q to inflammation. The
recording of VA/Q has helped to explain important aspects of gas exchange in
thoracic anesthesiology and in intensive care medicine.
PMID- 26545141
TI - Regulation of inflammatory biomarkers by intravenous methylprednisolone in
pediatric ARDS patients: Results from a double-blind, placebo-controlled
randomized pilot trial.
AB - OBJECTIVE: A double-blind, randomized controlled trial showed that low-dose
glucocorticoid therapy in pediatric ARDS patients is feasible and may improve
both ventilation and oxygenation indices in these patients. However, the
molecular mechanisms underlying potential changes in outcomes remain unclear.
Based on these clinical findings, this study was designed to examine the effects
of intravenous methylprednisolone on circulating inflammatory biomarkers in
pediatric ARDS patients. DESIGN: Double-blind, placebo-controlled randomized
trial with blood collection on study entry and day 7. SETTING: Tertiary care
children's hospital. PATIENTS: Children (0-18years) with ARDS undergoing
mechanical ventilation. INTERVENTIONS: 35 children were randomized within 72h of
mechanical ventilation. The glucocorticoid group received methylprednisolone
2mg/kg loading dose followed by 1mg/kg/day continuous infusion from days 1 to 7.
Both groups were ventilated following the ARDSnet recommendations. WBC and
differential cell counts, plasma cytokines and CRP levels, and coagulation
parameters were analyzed on days 0 and 7. RESULTS: At study entry, the placebo
group had higher IL-15 and basophil levels. On day 7, in comparison to study
entry, the placebo group had lower IL-1alpha, IFN-gamma and IL-10 levels. The
glucocorticoid group had lower INF-alpha, IL-6, IL-10, MCP-1, G-CSF and GM-CSF
levels, and higher IL-17alpha levels on day 7 in comparison to study entry. Total
and differential cell counts remained unchanged within the placebo group between
days 0 and 7, whereas in the glucocorticoid group total WBC and platelets counts
were increased on day 7. Pearson's correlation studies within the placebo and
glucocorticoid groups revealed positive and negative correlations between
cytokine levels, cell counts, coagulation parameters and relevant clinical
parameters of disease severity identified in our previous study. Multiple
regression models identified several cytokines as predictors for alterations in
clinical parameters of disease severity. CONCLUSION: This pilot study shows the
feasibility of simultaneously measuring multiple inflammatory cytokines, cell
counts and coagulation parameters in pediatric ARDS patients. We report
statistical models that may be useful for future, larger trials to predict ARDS
severity and outcomes.
PMID- 26545143
TI - Systolic heart failure: diagnosis and therapy.
AB - PURPOSE OF REVIEW: The present review highlights recent findings on perioperative
systolic heart failure. It briefly summarizes the pathophysiology of heart
failure and provides the reader with new insight in diagnosis and treatment of
systolic heart failure. In addition, we review new therapeutic strategies with
pharmacologic agents and mechanical assist devices to treat systolic heart
failure. RECENT FINDINGS: Left ventricular systolic heart failure is a high-risk
disease for patients undergoing cardiac and noncardiac surgery and poses a high
burden on the anesthesiologist in charge. Perioperative echocardiography is well
established for urgent diagnosis in the operating room and is superior to
biomarker-based diagnosis. Although cardiovascular disease associated mortality
decreases, systolic heart failure related mortality remains at a high of 50%
after 5 years. As a consequence, left ventricular assist device implantation
rates grow rapidly and include approximately 30-40% patients with desperate
clinical situation and destination therapy. Extracorporeal life support for acute
heart failure needs further investigation to document possible indications and
side-effects. SUMMARY: Recent advances in the field of cardiovascular
anesthesiology comprise advanced use of perioperative echocardiography,
mechanical circulatory assist devices, and customized pharmacologic management.
PMID- 26545145
TI - Lung transplantation: from the procedure to managing patients with lung
transplantation.
AB - PURPOSE OF REVIEW: The perioperative management of lung transplantation patients
remains a challenge. The most important goal is the prevention or attenuation of
primary graft failure due to ischemia and reperfusion, operative trauma, and
activation of systemic inflammation; it significantly influences short-long and
long-term outcome. This review focuses on different aspects regarding the
management of these high-risk patients. RECENT FINDINGS: The Lung Allocation
Score was implemented to estimate the survival benefit from a lung transplant. As
scarcity of lung grafts persists new techniques such as the ex-vivo lung
perfusion might allow for expanding the criteria and distribution range of donor
organs. Thoracic anesthesia for lung transplantation faces the challenge to
manage impaired oxygenation, refractory hypercapnia, and severe pulmonary
hypertension in order to attenuate the risk of primary graft failure. Further,
lung protective ventilator strategies to prevent postoperative acute lung injury
might have an impact on outcome. This includes extracorporeal circulation therapy
as rapid advances in this field open up new possibilities. Recent findings
suggest that particular attention should be paid to neurocognitive outcome.
SUMMARY: There is evidence that important key strategies improve outcome after
lung transplantation. An update on the substantial challenges in anesthesia
comprises ventilator strategy and the use of extracorporeal circulation to
minimize inflammation associated with primary graft failure.
PMID- 26545144
TI - Obstructive sleep apnea, pain, and opioids: is the riddle solved?
AB - PURPOSE OF REVIEW: Perioperative opioid-based pain management of patients
suffering from obstructive sleep apnea (OSA) may present challenges because of
concerns over severe ventilatory compromise. The interaction between intermittent
hypoxia, sleep fragmentation, pain, and opioid responses in OSA, is complex and
warrants a special focus of perioperative outcomes research. RECENT FINDINGS:
Life-threatening opioid-related respiratory events are rare. Epidemiologic
evidence suggests that OSA together with other serious renal and heart disease,
is among those conditions predisposing patients for opioid-induced ventilatory
impairment (OIVI) in the postoperative period. Both intermittent hypoxia and
sleep fragmentation, two distinct components of OSA, enhance pain. Intermittent
hypoxia may also potentiate opioid analgesic effects. Activation of major
inflammatory pathways may be responsible for the effects of sleep disruption and
intermittent hypoxia on pain and opioid analgesia. Recent experimental evidence
supports that these, seemingly contrasting, phenotypes of pain-increasing and
opioid-enhancing effects of intermittent hypoxia, are not mutually exclusive.
Although the effect of intermittent hypoxia on OIVI has not been elucidated,
opioids worsen postoperative sleep-disordered breathing in OSA patients. A subset
of these patients, characterized by decreased chemoreflex responsiveness and high
arousal thresholds, might be at higher risk for OIVI. SUMMARY: OSA may complicate
opioid-based perioperative management of pain by altering both pain processing
and sensitivity to opioid effect.
PMID- 26545146
TI - Preoxygenation and intraoperative ventilation strategies in obese patients: a
comprehensive review.
AB - PURPOSE OF REVIEW: Obesity along with its pathophysiological changes increases
risk of intraoperative and perioperative respiratory complications. The aim of
this review is to highlight recent updates in preoxygenation techniques and
intraoperative ventilation strategies in obese patients to optimize gas exchange
and pulmonary mechanics and reduce pulmonary complications. RECENT FINDINGS:
There is no gold standard in preoxygenation or intraoperative ventilatory
management protocol for obese patients. Preoxygenation in head up or sitting
position has been shown to be superior to supine position. Apneic oxygenation and
use of continuous positive airway pressure increases safe apnea duration. Recent
evidence encourages the intraoperative use of low tidal volume to improve
oxygenation and lung compliance without adverse effects. Contrary to nonobese
patients, some studies have reported the beneficial effect of recruitment
maneuvers and positive end-expiratory pressure in obese patients. No difference
has been observed between volume controlled and pressure controlled ventilation.
SUMMARY: The ideal ventilatory plan for obese patients is indeterminate. A
multimodal preoxygenation and intraoperative ventilation plan is helpful in obese
patients to reduce perioperative respiratory complications. More studies are
needed to identify the role of low tidal volume, positive end-expiratory
pressure, and recruitment maneuvers in obese patients undergoing general
anesthesia.
PMID- 26545147
TI - Anaesthesia for thymectomy in adult and juvenile myasthenic patients.
AB - PURPOSE OF REVIEW: Myasthenia gravis, a chronic disease of the neuromuscular
junction, is associated with an interaction with neuromuscular blocking agents
(NMBAs). As thymectomy is often the method of choice for its treatment,
anaesthetic management requires meticulous preoperative evaluation, careful
monitoring, and adequate dose titration. The frequency of video-assisted
thoracoscopic extended thymectomy (VATET) is also increasing, making the use of
NMBA obligatory. The number of cases of the juvenile form has also increased over
years; airway management in juvenile one-lung ventilation is another challenge.
RECENT FINDINGS: Sugammadex appears to be a safe choice to avoid prolonged action
of NMBA also in patients with myasthenia gravis, although this information has to
be confirmed in further series. The number of VATETs is increasing so that the
experience with sugammadex will also increase in time. In non-VATET operations,
use of NMBA should and can be avoided as much as possible. New scoring systems
are defined to predict a postoperative myasthenic crisis. For VATET in juvenile
cases, blockers can be a good option for the airway management. SUMMARY:
Anaesthetic management of thymectomy in myasthenia gravis requires experience
concerning different approaches. Sugammadex should be considered as a possible
further step toward postoperative safety.
PMID- 26545149
TI - Effects of dexmedetomidine on emergence delirium in pediatric cardiac surgery.
AB - BACKGROUND: The present study aimed to investigate the effects of dexmedetomidine
on emergence delirium (ED) in pediatric patients undergoing cardiac surgery.
METHODS: Fifty children of both sexes aged 1-6 years weighing 10-25 kilograms,
with American Society of Anesthesiologists (ASA) physical status grade II,
undergoing sevoflurane-based general anesthesia for elective cardiac surgery,
were randomly assigned to two groups. The dexmedetomidine group (group D, N.=25)
received 0.5 ug/kg of dexmedetomidine over 10 minutes, followed by an infusion at
0.5 ug/kg/h until the end of the surgery, whereas the saline group (group S,
N.=25) received volume-matched normal saline immediately after the induction of
anesthesia. Blood samples were taken preoperatively (T0), at different time
points during surgery (T1-T5), and during the postoperative period (T6-T7) to
determine serum melatonin, cortisol, norepinephrine, tumor necrosis factor-alpha
(TNF-alpha), interleukin-6 (IL-6) and blood glucose levels. In the cardiac
intensive care unit (CICU), the incidence of ED was assessed with a 5-point
scale, and the severity of ED was assessed with the Pediatric Anesthesia
Emergence Delirium scale (PAED) every two hours for the first 24 hours after
surgery. ED was considered when the 5-point scale score was >=4 for more than 5
minutes, or the PAED score was >=10. RESULTS: Based on comparable demographic
profiles, the scores of the 5-point scale and PAED Scale were significantly lower
in group D compared with group S (P=0.028 and P=0.009, respectively). In
addition, the fluctuation in the level of melatonin was significantly less in
group D. Serum cortisol, norepinephrine, IL-6, TNF-alpha and glucose levels were
increased in the two groups, but these increases were significantly less in group
D than in group S. The consumption of sevoflurane during anesthesia was
significantly less in group D (P=0.0002). The postoperative consumption of
fentanyl was less in group D (P=0.04), whereas the pain scores were not
significantly different (P=0.502). Extubation time was significantly delayed in
group D compared with group S (P=0.032), whereas CICU and hospital stay were
comparable between the two groups. CONCLUSIONS: Continuous intraoperative
infusions of dexmedetomidine in pediatric patients undergoing cardiac surgery
reduce sevoflurane requirements and decrease the incidence of ED, which is
associated with decreasing plasma melatonin levels and surgical stress.
PMID- 26545148
TI - Urinary Phthalate Metabolite Concentrations and Reproductive Outcomes among Women
Undergoing in Vitro Fertilization: Results from the EARTH Study.
AB - BACKGROUND: Evidence from both animal and human studies suggests that exposure to
phthalates may be associated with adverse female reproductive outcomes.
OBJECTIVE: We evaluated the associations between urinary concentrations of
phthalate metabolites and outcomes of assisted reproductive technologies (ART).
METHODS: This analysis included 256 women enrolled in the Environment and
Reproductive Health (EARTH) prospective cohort study (2004-2012) who provided one
to two urine samples per cycle before oocyte retrieval. We measured 11 urinary
phthalate metabolites [mono(2-ethylhexyl) phthalate (MEHP), mono(2-ethyl-5
hydroxyhexyl) phthalate (MEHHP), mono(2-ethyl-5-oxohexyl) phthalate (MEOHP),
mono(2-ethyl-5-carboxypentyl) phthalate (MECPP), mono-isobutyl phthalate (MiBP),
mono-n-butyl phthalate (MBP), monobenzyl phthalate (MBzP), monoethyl phthalate
(MEP), monocarboxyisooctyl phthalate (MCOP), monocarboxyisononyl phthalate
(MCNP), and mono(3-carboxypropyl) phthalate (MCPP)]. We used generalized linear
mixed models to evaluate the association of urinary phthalate metabolites with in
vitro fertilization (IVF) outcomes, accounting for multiple IVF cycles per woman.
RESULTS: In multivariate models, women in the highest as compared with lowest
quartile of MEHP, MEHHP, MEOHP, MECPP, SigmaDEHP (MEHP + MEHHP + MEOHP + MECPP),
and MCNP had lower oocyte yield. Similarly, the number of mature (MII) oocytes
retrieved was lower in the highest versus lowest quartile for these same
phthalate metabolites. The adjusted differences (95% CI) in proportion of cycles
resulting in clinical pregnancy and live birth between women in the fourth versus
first quartile of SigmaDEHP were -0.19 (-0.29, -0.08) and -0.19 (-0.28, -0.08),
respectively, and there was also a lower proportion of cycles resulting in
clinical pregnancy and live birth for individual DEHP metabolites. CONCLUSIONS:
Urinary concentrations of DEHP metabolites were inversely associated with oocyte
yield, clinical pregnancy, and live birth following ART. CITATION: Hauser R,
Gaskins AJ, Souter I, Smith KW, Dodge LE, Ehrlich S, Meeker JD, Calafat AM,
Williams PL, for the EARTH Study Team. 2016. Urinary phthalate metabolite
concentrations and reproductive outcomes among women undergoing in vitro
fertilization: results from the EARTH study. Environ Health Perspect 124:831-839;
http://dx.doi.org/10.1289/ehp.1509760.
PMID- 26545150
TI - Synthesis of Nitrogen-Doped Graphene Quantum Dots at Low Temperature for
Electrochemical Sensing Trinitrotoluene.
AB - Nitrogen-doped graphene quantum dots (N-GQDs) are synthesized at low temperature
as a new catalyst allowing electrochemical detection of 2,4,6-trinitrotoluene
(TNT). N-GQDs are made by an oxidative ultrasonication of graphene oxide (GO)
forming nanometer-sized species, which are then chemically reduced and nitrogen
doped by reacting with hydrazine. The as-synthesized N-GQDs have an average
diameter of ~2.5 nm with an N/C atomic ratio of up to ~6.4%. To detect TNT, TNT
is first accumulated on N-GQDs modified glassy carbon (N-GQDs/GC) electrode by
holding the electrode at a 0 V versus Ag/AgCl for 150 s in an aqueous TNT
solution. Next, the N-GQDs/GC electrode with accumulated TNT is transferred to a
fresh PBS solution (0.1 M, pH 7.0, without TNT), where the TNT reduction current
at -0.36 V versus Ag/AgCl in a linear scan voltammogram (LSV) shows a linear
response to TNT concentration in the aqueous solution from 1 to 400 ppb, with a
correlation coefficient of 0.999, a detection limit of 0.2 ppb at a signal/noise
(S/N) of 3, and a detection sensitivity of 363 +/- 7 mA mM(-1) cm(-2). The
detection limit of 0.2 ppb of TNT for this new method is much lower than 2 ppb
set by the U.S. Environmental Protection Agency for drinking water. Therefore, N
GQDs allow an electrochemical method for assaying TNT in drinking water to
determine if levels of TNT are safe or not.
PMID- 26545151
TI - Arrested Phase Separation of Elastin-like Polypeptide Solutions Yields Stiff,
Thermoresponsive Gels.
AB - The preparation of new responsive hydrogels is crucial for the development of
soft materials for various applications, including additive manufacturing and
biomedical implants. Here, we report the discovery of a new mechanism for forming
physical hydrogels by the arrested phase separation of a subclass of responsively
hydrophobic elastin-like polypeptides (ELPs). When moderately concentrated
solutions of ELPs with the pentapeptide repeat (XPAVG)n (where X is either 20% or
60% valine with the remainder isoleucine) are warmed above their inverse
transition temperature, phase separation becomes arrested, and hydrogels can be
formed with shear moduli on the order of 0.1-1 MPa at 20 wt % in water. The
longest stress relaxation times are well beyond 10(3) s. This result is
surprising because ELPs are classically known for thermoresponsive coacervation
that leads to macrophase separation, and solids are typically formed in the bulk
or by supplemental cross-linking strategies. This new mechanism can form gels
with remarkable mechanical behavior based on simple macromolecules that can be
easily engineered. Small angle scattering experiments indicate that phase
separation arrests to form a network of nanoscale domains, exhibiting rheological
and structural features consistent with an arrested spinodal decomposition
mechanism. Gel nanostructure can be modeled as a disordered bicontinuous network
with interdomain, intradomain, and curvature length scales that can be controlled
by sequence design and assembly conditions. These studies introduce a new class
of reversible, responsive materials based on a classic artificial biopolymer that
is a versatile platform to address critical challenges in industrial and medical
applications.
PMID- 26545152
TI - Vitamin D Deficiency and Increased Risk of Bladder Carcinoma: A Meta-Analysis.
AB - BACKGROUND/AIMS: Vitamin D status in relation to bladder carcinoma risk was still
inconsistent. This study was carried out to evaluate the relationship between
vitamin D status and bladder carcinoma risk through a meta-analysis approach.
METHODS: Pubmed, Web of Science, CNKI, and Embase were searched systemically to
find eligible studies from the earliest available date to April 16, 2015. The
search terms "vitamin D", "25-hydroxyvitamin D", "bladder cancer" or "bladder
carcinoma" were used to retrieve relevant studies. The exposure of interest was
intake of vitamin D or serum vitamin D levels, and the outcome of interest was
bladder carcinoma incidence or mortality. The pooled risk ratio (RR) values and
their 95%CIs were calculated through meta-analysis. RESULTS: Seven studies with a
total of 62,141 participants met the inclusion criteria and were finally included
into the meta-analysis. There was no heterogeneity among those included studies
(I2 = 0%, P = 0.53). The pooled RR of bladder carcinoma for the lowest category
versus the highest category of vitamin D was 1.34 (95% CI 1.17-1.53, P < 0.0001).
Sensitivity analysis by omitting one study by turns showed all the pooled RRs
were statistically significant. Meta-analysis of 5 studies reporting outcomes of
serum vitamin D levels also showed that the low serum vitamin D level was
associated with increased risk of bladder carcinoma (RR = 1.32, 95%CI 1.15-1.52,
P = 0.0001). No obvious risk of publication bias was observed. CONCLUSION:
Vitamin D deficiency is associated with increased risk of bladder carcinoma in
present study.
PMID- 26545153
TI - Ultra-Low Carbon Emissions from Coal-Fired Power Plants through Bio-Oil Co-Firing
and Biochar Sequestration.
AB - This study investigates a novel strategy of reducing carbon emissions from coal
fired power plants through co-firing bio-oil and sequestering biochar in
agricultural lands. The heavy end fraction of bio-oil recovered from corn stover
fast pyrolysis is blended and co-fired with bituminous coal to form a bio-oil co
firing fuel (BCF). Life-cycle greenhouse gas (GHG) emissions per kWh electricity
produced vary from 1.02 to 0.26 kg CO2-eq among different cases, with BCF heavy
end fractions ranging from 10% to 60%, which corresponds to a GHG emissions
reduction of 2.9% to 74.9% compared with that from traditional bituminous coal
power plants. We found a heavy end fraction between 34.8% and 37.3% is required
to meet the Clean Power Plan's emission regulation for new coal-fired power
plants. The minimum electricity selling prices are predicted to increase from 8.8
to 14.9 cents/kWh, with heavy end fractions ranging from 30% to 60%. A minimum
carbon price of $67.4 +/- 13 per metric ton of CO2-eq was estimated to make BCF
power commercially viable for the base case. These results suggest that BCF co
firing is an attractive pathway for clean power generation in existing power
plants with a potential for significant reductions in carbon emissions.
PMID- 26545154
TI - Enantioselective Alkyne Addition to Aliphatic, Aromatic, and Vinyl Aldehydes
Using Zn, (i)PrI, H8BINOL, and Ti(O(i)Pr)4.
AB - A new catalytic system based on the readily available Zn, (i)PrI, H8BINOL, and
Ti(O(i)Pr)4 has been developed which avoids the use of pyrophoric ZnEt2. It can
effectively catalyze the reaction of various terminal alkynes with aromatic,
aliphatic, and vinyl aldehydes to generate chiral propargylic alcohols at room
temperature with up to 98% yield and 98% enantiomeric excess. This new system
signifciantly expands the substrate scope of the previously reported system using
Zn, EtI, BINOL, and Ti(O(i)Pr)4.
PMID- 26545156
TI - Additivity of the Specific Effects of Additives on Protein Phase Behavior.
AB - Protein phase behavior and protein-protein interactions can be tuned by
additives. We experimentally determined the phase behavior of lysozyme solutions,
namely, the cloud-point temperature (CPT), in the presence of two additives,
sodium chloride (NaCl) and guanidine hydrochloride (GuHCl). Their concentrations
are chosen to maintain the secondary structure, as verified by CD spectroscopy.
Our data indicate that the salts affect the CPT through electrostatic screening
and salt-specific contributions. At high salt concentrations, the CPT is a linear
function of the additive concentration for the salts NaCl and GuHCl as well as
for a nonionic additive, glycerol, and a solvent, dimethyl sulfoxide (DMSO).
Their molar temperature increments, which rank their specific effects on the CPT
(NaCl > 0 > DMSO > glycerol > GuHCl), are found to be essentially independent of
the protein concentration. In particular, the specific effects of NaCl and GuHCl
in mixtures are found to be additive, indicating the absence of synergies or
suppressions between both salts. Thus, molar temperature increments represent a
characteristic measure for the specific effects of additives on protein
interactions, which is easily accessible in lab experiments and which will help
to characterize the effects of additives on protein interactions and phase
behavior.
PMID- 26545155
TI - Synthesis of Cell-Adhesive Anisotropic Multifunctional Particles by Stop Flow
Lithography and Streptavidin-Biotin Interactions.
AB - Cell-adhesive particles are of significant interest in biotechnology, the
bioengineering of complex tissues, and biomedical research. Their applications
range from platforms to increase the efficiency of anchorage-dependent cell
culture to building blocks to loading cells in heterogeneous structures to clonal
population growth monitoring to cell sorting. Although useful, currently
available cell-adhesive particles can accommodate only homogeneous cell culture.
Here, we report the design of anisotropic hydrogel microparticles with tunable
cell-adhesive regions as first step toward micropatterned cell cultures on
particles. We employed stop flow lithography (SFL), the coupling reaction between
amine and N-hydroxysuccinimide (NHS) and streptavidin-biotin chemistry to adjust
the localization of conjugated collagen and poly-L-lysine on the surface of
microscale particles. Using the new particles, we demonstrate the attachment and
formation of tight junctions between brain endothelial cells. We also demonstrate
the geometric patterning of breast cancer cells on particles with heterogeneous
collagen coatings. This new approach avoids the exposure of cells to potentially
toxic photoinitiators and ultraviolet light and decouples in time the
microparticle synthesis and the cell culture steps to take advantage of the most
recent advances in cell patterning available for traditional culture substrates.
PMID- 26545157
TI - Shape-Controlled Narrow-Gap SnTe Nanostructures: From Nanocubes to Nanorods and
Nanowires.
AB - The rational design and synthesis of narrow-gap colloidal semiconductor
nanocrystals (NCs) is an important step toward the next generation of solution
processable photovoltaics, photodetectors, and thermoelectric devices. SnTe NCs
are particularly attractive as a Pb-free alternative to NCs of narrow-gap lead
chalcogenides. Previous synthetic efforts on SnTe NCs have focused on spherical
nanoparticles. Here we report new strategies for synthesis of SnTe NCs with
shapes tunable from highly monodisperse nanocubes, to nanorods (NRs) with
variable aspect ratios, and finally to long, straight nanowires (NWs). Reaction
at high temperature quickly forms thermodynamically favored nanocubes, but low
temperatures lead to elongated particles. Transmission electron microscopy
studies of reaction products at various stages of the synthesis reveal that the
growth and shape-focusing of monodisperse SnTe nanocubes likely involves
interparticle ripening, while directional growth of NRs and NWs may be initiated
by particle dimerization via oriented attachment.
PMID- 26545158
TI - Advances in Artificial Life: Synthesis and Simulation of Living Systems:
Editorial.
PMID- 26545159
TI - Multi-crease Self-folding by Global Heating.
AB - This study demonstrates a new approach to autonomous folding for the body of a 3D
robot from a 2D sheet, using heat. We approach this challenge by folding a 0.27
mm sheetlike material into a structure. We utilize the thermal deformation of a
contractive sheet sandwiched by rigid structural layers. During this baking
process, the heat applied on the entire sheet induces contraction of the
contracting layer and thus forms an instructed bend in the sheet. To attain the
targeted folding angles, the V-fold spans method is used. The targeted angle
thetaout can be kinematically encoded into crease geometry. The realization of
this angle in the folded structure can be approximately controlled by a
contraction angle thetain. The process is non-reversible, is reliable, and is
relatively fast. Our method can be applied simultaneously to all the folds in
multi-crease origami structures. We demonstrate the use of this method to create
a lightweight mobile robot.
PMID- 26545160
TI - The Search for Candidate Relevant Subsets of Variables in Complex Systems.
AB - We describe a method to identify relevant subsets of variables, useful to
understand the organization of a dynamical system. The variables belonging to a
relevant subset should have a strong integration with the other variables of the
same relevant subset, and a much weaker interaction with the other system
variables. On this basis, extending previous work on neural networks, an
information-theoretic measure, the dynamical cluster index, is introduced in
order to identify good candidate relevant subsets. The method does not require
any previous knowledge of the relationships among the system variables, but
relies on observations of their values over time. We show its usefulness in
several application domains, including: (i) random Boolean networks, where the
whole network is made of different subnetworks with different topological
relationships (independent or interacting subnetworks); (ii) leader-follower
dynamics, subject to noise and fluctuations; (iii) catalytic reaction networks in
a flow reactor; (iv) the MAPK signaling pathway in eukaryotes. The validity of
the method has been tested in cases where the data are generated by a known
dynamical model and the dynamical cluster index is applied in order to uncover
significant aspects of its organization; however, it is important that it can
also be applied to time series coming from field data without any reference to a
model. Given that it is based on relative frequencies of sets of values, the
method could be applied also to cases where the data are not ordered in time.
Several indications to improve the scope and effectiveness of the dynamical
cluster index to analyze the organization of complex systems are finally given.
PMID- 26545161
TI - Indirectly Encoding Running and Jumping Sodarace Creatures for Artificial Life.
AB - This article presents a lightweight platform for evolving two-dimensional
artificial creatures. The aim of providing such a platform is to reduce the
barrier to entry for researchers interested in evolving creatures for artificial
life experiments. In effect the novel platform, which is inspired by the Sodarace
construction set, makes it easy to set up creative scenarios that test the
abilities of Sodarace-like creatures made of masses and springs. In this way it
allows the researcher to focus on evolutionary algorithms and dynamics. The new
indirectly encoded Sodarace (IESoR) system introduced in this article extends the
original Sodarace by enabling the evolution of significantly more complex and
regular creature morphologies. These morphologies are themselves encoded by
compositional pattern-producing networks (CPPNs), an indirect encoding previously
shown effective at encoding regularities and symmetries in structure. The
capability of this lightweight system to facilitate research in artificial life
is then demonstrated through both walking and jumping domains, in which IESoR
discovers a wide breadth of strategies through novelty search with local
competition.
PMID- 26545162
TI - Experiments on and Numerical Modeling of the Capture and Concentration of
Transcription-Translation Machinery inside Vesicles.
AB - Synthetic or semi-synthetic minimal cells are those cell-like artificial
compartments that are based on the encapsulation of molecules inside lipid
vesicles (liposomes). Synthetic cells are currently used as primitive cell models
and are very promising tools for future biotechnology. Despite the recent
experimental advancements and sophistication reached in this field, the complete
elucidation of many fundamental physical aspects still poses experimental and
theoretical challenges. The interplay between solute capture and vesicle
formation is one of the most intriguing ones. In a series of studies, we have
reported that when vesicles spontaneously form in a dilute solution of proteins,
ribosomes, or ribo-peptidic complexes, then, contrary to statistical predictions,
it is possible to find a small fraction of liposomes (<1%) that contain a very
large number of solutes, so that their local (intravesicular) concentrations
largely exceed the expected value. More recently, we have demonstrated that this
effect (spontaneous crowding) operates also on multimolecular mixtures, and can
drive the synthesis of proteins inside vesicles, whereas the same reaction does
not proceed at a measurable rate in the external bulk phase. Here we firstly
introduce and discuss these already published observations. Then, we present a
computational investigation of the encapsulation of transcription-translation (TX
TL) machinery inside vesicles, based on a minimal protein synthesis model and on
different solute partition functions. Results show that experimental data are
compatible with an entrapment model that follows a power law rather than a
Gaussian distribution. The results are discussed from the viewpoint of origin of
life, highlighting open questions and possible future research directions.
PMID- 26545163
TI - Lessons from Speciation Dynamics: How to Generate Selective Pressure Towards
Diversity.
AB - Recent approaches in evolutionary robotics (ER) propose to generate behavioral
diversity in order to evolve desired behaviors more easily. These approaches
require the definition of a behavioral distance, which often includes task
specific features and hence a priori knowledge. Alternative methods, which do not
explicitly force selective pressure towards diversity (SPTD) but still generate
it, are known from the field of artificial life, such as in artificial ecologies
(AEs). In this study, we investigate how SPTD is generated without task-specific
behavioral features or other forms of a priori knowledge and detect how methods
of generating SPTD can be transferred from the domain of AE to ER. A promising
finding is that in both types of systems, in systems from ER that generate
behavioral diversity and also in the investigated speciation model, selective
pressure is generated towards unpopulated regions of search space. In a simple
case study we investigate the practical implications of these findings and point
to options for transferring the idea of self-organizing SPTD in AEs to the domain
of ER.
PMID- 26545164
TI - Cell-Division Behavior in a Heterogeneous Swarm Environment.
AB - We present a system of virtual particles that interact using simple kinetic
rules. It is known that heterogeneous mixtures of particles can produce
particularly interesting behaviors. Here we present a two-species three
dimensional swarm in which a behavior emerges that resembles cell division. We
show that the dividing behavior exists across a narrow but finite band of
parameters and for a wide range of population sizes. When executed in a two
dimensional environment the swarm's characteristics and dynamism manifest
differently. In further experiments we show that repeated divisions can occur if
the system is extended by a biased equilibrium process to control the split of
populations. We propose that this repeated division behavior provides a simple
model for cell-division mechanisms and is of interest for the formation of
morphological structure and to swarm robotics.
PMID- 26545165
TI - Anti-IgE therapy for allergic bronchopulmonary aspergillosis in people with
cystic fibrosis.
AB - BACKGROUND: Cystic fibrosis is an autosomal recessive multisystem disorder with
an approximate prevalence of 1 in 3500 live births. Allergic bronchopulmonary
aspergillosis is a lung disease caused by aspergillus-induced hypersensitivity
with a prevalence of 2% to 15% in people with cystic fibrosis. The mainstay of
treatment includes corticosteroids and itraconazole. The treatment with
corticosteroids for prolonged periods of time, or repeatedly for exacerbations of
allergic bronchopulmonary aspergillosis, may lead to many adverse effects. The
monoclonal anti-IgE antibody, omalizumab, has improved asthma control in severely
allergic asthmatics. The drug is given as a subcutaneous injection every two to
four weeks. Since allergic bronchopulmonary aspergillosis is also a condition
resulting from hypersensitivity to specific allergens, as in asthma, it may be a
candidate for therapy using anti-IgE antibodies. Therefore, anti-IgE therapy,
using agents like omalizumab, may be a potential therapy for allergic
bronchopulmonary aspergillosis in people with cystic fibrosis. This is an updated
version of the review. OBJECTIVES: To evaluate the efficacy and adverse effects
of anti-IgE therapy for allergic bronchopulmonary aspergillosis in people with
cystic fibrosis. SEARCH METHODS: We searched the Cochrane Cystic Fibrosis Trials
Register, compiled from electronic database searches and handsearching of
journals and conference abstract books. We also searched the reference lists of
relevant articles and reviews. Last search: 27 July 2015.We searched the ongoing
trial registry clinicaltrials.gov for any ongoing trials. Latest search for
clinicaltrials.gov: 23 October 2015. SELECTION CRITERIA: Randomized and quasi
randomized controlled trials comparing anti-IgE therapy to placebo or other
therapies for allergic bronchopulmonary aspergillosis in people with cystic
fibrosis. DATA COLLECTION AND ANALYSIS: Two review authors independently
extracted data and assessed the risk of bias in the included study. They planned
to perform data analysis using Review Manager. MAIN RESULTS: Only one study
enrolling 14 participants was eligible for inclusion in the review. The double
blind study compared a daily dose of 600 mg omalizumab or placebo along with
twice daily itraconazole and oral corticosteroids, with a maximum daily dose of
400 mg. Treatment lasted six months but the study was terminated prematurely and
complete data were not available. We contacted the study investigator and were
told that the study was terminated due to the inability to recruit participants
into the study despite all reasonable attempts. One or more serious side effects
were encountered in six out of nine (66.67%) and one out of five (20%)
participants in omalizumab group and placebo group respectively. AUTHORS'
CONCLUSIONS: There is lack of evidence for the efficacy and safety of anti-IgE
(omalizumab) therapy in people with cystic fibrosis and allergic bronchopulmonary
aspergillosis. There is a need for large prospective randomized controlled
studies of anti-IgE therapy in people with cystic fibrosis and allergic
bronchopulmonary aspergillosis with both clinical and laboratory outcome measures
such as steroid requirement, allergic bronchopulmonary aspergillosis
exacerbations and lung function.
PMID- 26545166
TI - Three-Dimensional Porous Aerogel Constructed by g-C3N4 and Graphene Oxide
Nanosheets with Excellent Visible-Light Photocatalytic Performance.
AB - It is curial to develop a high-efficient, low-cost visible-light responsive
photocatalyst for the application in solar energy conversion and environment
remediation. Here, a three-dimensional (3D) porous g-C3N4/graphene oxide aerogel
(CNGA) has been prepared by the hydrothermal coassembly of two-dimensional g-C3N4
and graphene oxide (GO) nanosheets, in which g-C3N4 acts as an efficient
photocatalyst, and GO supports the 3D framework and promotes the electron
transfer simultaneously. In CNGA, the highly interconnected porous network
renders numerous pathways for rapid mass transport, strong adsorption and
multireflection of incident light; meanwhile, the large planar interface between
g-C3N4 and GO nanosheets increases the active site and electron transfer rate.
Consequently, the methyl orange removal ratio over the CNGA photocatalyst reaches
up to 92% within 4 h, which is much higher than that of pure g-C3N4 (12%), 2D
hybrid counterpart (30%) and most of representative g-C3N4-based photocatalysts.
In addition, the dye is mostly decomposed into CO2 under natural sunlight
irradiation, and the catalyst can also be easily recycled from solution.
Significantly, when utilized for CO2 photoreduction, the optimized CNGA sample
could reduce CO2 into CO with a high yield of 23 mmol g(-1) (within 6 h),
exhibiting about 2.3-fold increment compared to pure g-C3N4. The photocatalyst
exploited in this study may become an attractive material in many environmental
and energy related applications.
PMID- 26545168
TI - Surface Charge Transfer Doping of Monolayer Phosphorene via Molecular Adsorption.
AB - Monolayer phosphorene has attracted much attention owing to its extraordinary
electronic, optical, and structural properties. Rationally tuning the electrical
transport characteristics of monolayer phosphorene is essential to its
applications in electronic and optoelectronic devices. Herein, we study the
electronic transport behaviors of monolayer phosphorene with surface charge
transfer doping of electrophilic molecules, including 2,3,5,6-tetrafluoro-7,7,8,8
tetracyanoquinodimethane (F4TCNQ), NO2, and MoO3, using density functional theory
combined with the nonequilibrium Green's function formalism. F4TCNQ shows optimal
performance in enhancing the p-type conductance of monolayer phosphorene. Static
electronic properties indicate that the enhancement is originated from the charge
transfer between adsorbed molecule and phosphorene layer. Dynamic transport
behaviors demonstrate that additional channels for hole transport in host
monolayer phosphorene were generated upon the adsorption of molecule. Our work
unveils the great potential of surface charge transfer doping in tuning the
electronic properties of monolayer phosphorene and is of significance to its
application in high-performance devices.
PMID- 26545167
TI - The In Vitro Evaluation of Tigecycline and the In Vivo Evaluation of RPX-978
(0.5% Tigecycline) as an Ocular Antibiotic.
AB - PURPOSE: The goals of the current study were to determine the in vitro
antibacterial activity of tigecycline against multiple clinically relevant ocular
pathogens and to evaluate the in vivo ocular tolerability and efficacy of 0.5%
tigecycline in a methicillin-resistant Staphylococcus aureus (MRSA) keratitis
model. METHODS: In vitro: Minimum inhibitory concentrations (MICs) were
determined for 110 clinical conjunctivitis isolates, 26 keratitis isolates of
Pseudomonas aeruginosa, and 10 endophthalmitis isolates each of MRSA, methicillin
susceptible S. aureus (MSSA), MR, and MS coagulase-negative Staphylococcus.
TOLERABILITY: Six uninfected rabbits were topically treated in both eyes with
0.5% tigecycline, vehicle, or saline every 15 min for 3 h. EFFICACY: Thirty-two
rabbits were intrastromally injected with 700 Colony Forming Units (CFU) of MRSA
in both eyes and were separated into 4 groups (n = 8): tigecycline 0.5%;
vancomycin 5%; saline; and no treatment (euthanized before treatment for baseline
CFU). Four hours after MRSA challenge, topical treatment of 1 drop every 15 min
for 5 h was initiated. One hour after treatment, the corneas were harvested for
CFU. The data were analyzed nonparametrically. RESULTS: In vitro: Tigecycline
demonstrated lower MICs than the other tested antibiotics against gram-positive
organisms, especially MRSA, while MICs against gram-negative pathogens, including
fluoroquinolone-resistant P. aeruginosa, appeared to be in the treatable range
with aggressive topical therapy. TOLERABILITY: 0.5% tigecycline was graded as
minimally irritating. EFFICACY: 0.5% tigecycline and vancomycin produced similar
reductions in CFU and were less than saline (P < 0.05). Tigecycline and
vancomycin demonstrated 99.9% reductions compared with baseline CFU. CONCLUSIONS:
Tigecycline is a potential candidate for a topical ocular antibiotic.
PMID- 26545169
TI - Detection of Vaccinia Virus in Milk: Evidence of a Systemic and Persistent
Infection in Experimentally Infected Cows.
AB - Bovine vaccinia (BV) is a zoonosis caused by Vaccinia virus (VACV), which affects
lactating cows and milkers. VACV DNA and infectious particles have been detected
in milk of naturally infected cows. However, the period and pattern of VACV
shedding in milk is unknown, as is whether the presence of VACV in milk is due to
a localized or a systemic infection. To address those questions, eight lactating
cows were inoculated with VACV in previously scarified teats. The experiment was
divided in two phases. In Phase 1, milk samples were collected daily for 33 days,
and in Phase 2, four animals from the first phase were immunosuppressed. In both
phases, milk was collected with a sterile catheter on even days and by hand
milking on odd days. All animals showed typical BV lesions in the inoculated
teats. All milk samples were subjected to nested polymerase chain reaction (PCR)
and real-time quantitative PCR to detect VACV DNA. PCR-positive samples were
subjected to virus isolation. VACV DNA was intermittently detected in milk in
both phases and infectious viral particles could be detected only in phase 2, on
the 69th, 73rd, 74th, 77th, 79th, and 81st days postinfection. Despite the
possibility of propagation of VACV through milk, it is known that milk continues
to be drawn and marketed normally during outbreaks of the disease. The detection
of both VACV DNA and infectious particles in milk samples draws attention to the
potential public health risk associated with the consumption of milk from BV
outbreaks. Detection of VACV in the milk from noninfected teats demonstrated that
VACV shedding in milk might be related to a systemic infection. Moreover, it was
shown that VACV DNA and viral infectious particles could be detected in milk even
after healing of the lesions, demonstrating that VACV may cause a persistent
infection in cattle.
PMID- 26545170
TI - Bacillus cereus Adhesion to Simulated Intestinal Mucus Is Determined by Its
Growth on Mucin, Rather Than Intestinal Environmental Parameters.
AB - Adhesion of pathogenic bacteria to intestinal mucus, the protective layer of the
gastrointestinal epithelium, is often considered a virulence factor. The ability
of food-poisoning Bacillus cereus strains to attach to mucus and the factors
affecting this interaction have not yet been investigated. Therefore, the role of
adhesion in pathogenesis of B. cereus still remains unknown. In the present
study, an in vitro assay based on mucin agar was used to simulate adhesion of B.
cereus to mucus. Bacterial-associated factors (e.g., strain specificity and
microbial competition) known to influence adhesion to different surfaces and a
variety of environmental conditions (e.g., pH and oxygen) encountered in the
gastrointestinal tract were investigated. The effect of these parameters on B.
cereus NVH 0500/00 mucin adhesion was generally limited even in the presence of
microbial competition. This suggests that B. cereus NVH 0500/00 is a versatile
pathogen. Inoculation of 4 to 5 log colony-forming units (CFU) per milliliter. B.
cereus NVH 0500/00 resulted in 5-6 log CFU/mL mucin-associated bacteria after a
short incubation period. This indicates that this pathogenic strain could grow in
the presence of mucin agar. This growth may potentially mask the effect of the
studied conditions. Yet, extensive attachment of B. cereus to mucin is not
necessarily a prerequisite for virulence, because other pathogenic strains do not
adhere with the same efficiency to mucin. Nevertheless, adhesion may contribute
to the disease by providing close contact to nutrient sources, such as mucin,
which would not only result in bacterial proliferation, but also in disruption of
the protective host mucus surface.
PMID- 26545171
TI - Molecular diagnostics: techniques and recommendations for 1p/19q assessment.
AB - Several morphology- and polymerase chain reaction (PCR)-based methods for
chromosome 1p 19q deletion status assessment are available. Important
prerequisites for all molecular techniques concern tissue quality and selection
of regions of interest. The most common methods for diagnostic 1p 19q assessment
are fluorescence in situ hybridization and PCR-based microsatellite analysis.
While the latter requires the use of autologous blood samples, more advanced
techniques such as array comparative genomic hybridization, multiplex ligation
dependent probe amplification or real-time PCR are independent from autologous
DNA samples. However, due to high technical demand and experience required their
applicability as diagnostic tests remains to be shown. On the other hand,
chromogenic in situ hybridization evolves as attractive alternative to FISH.
Herein, the available test methods are reviewed and outlined, their advantages
and drawbacks being discussed in detail.
PMID- 26545172
TI - A recurrent germline mutation in the PIGA gene causes Simpson-Golabi-Behmel
syndrome type 2.
AB - Hypomorphic germline mutations in the PIGA (phosphatidylinositol glycan class A)
gene recently were recognized as the cause of a clinically heterogeneous spectrum
of X-linked disorders including (i) early onset epileptic encephalopathy with
severe muscular hypotonia, dysmorphism, multiple congenital anomalies, and early
death ("MCAHS2"), (ii) neurodegenerative encephalopathy with systemic iron
overload (ferro-cerebro-cutaneous syndrome, "FCCS"), and (iii) intellectual
disability and seizures without dysmorphism. Previous studies showed that the
recurrent PIGA germline mutation c.1234C>T (p.Arg412*) leads to a clinical
phenotype at the most severe end of the spectrum associated with early infantile
lethality. We identified three additional individuals from two unrelated families
with the same PIGA mutation. Major clinical findings include early onset
intractable epileptic encephalopathy with a burst-suppression pattern on EEG,
generalized muscular hypotonia, structural brain abnormalities, macrocephaly and
increased birth weight, joint contractures, coarse facial features, widely spaced
eyes, a short nose with anteverted nares, gingival overgrowth, a wide mouth,
short limbs with short distal phalanges, and a small penis. Based on the
phenotypic overlap with Simpson-Golabi-Behmel syndrome type 2 (SGBS2), we
hypothesized that both disorders might have the same underlying cause. We were
able to confirm the same c.1234C>T (p.Arg412*) mutation in the DNA sample from an
affected fetus of the original family affected with SGBS2. We conclude that the
recurrent PIGA germline mutation c.1234C>T leads to a recognizable clinical
phenotype with a poor prognosis and is the cause of SGBS2.
PMID- 26545173
TI - Respiratory variation in aortic blood flow peak velocity to predict fluid
responsiveness in mechanically ventilated children: a systematic review and meta
analysis.
AB - BACKGROUND: Dynamic indices of preload have been shown to better predict fluid
responsiveness than static variables in mechanically ventilated adults. In
children, dynamic predictors of fluid responsiveness have not yet been
extensively studied. AIM: To evaluate the diagnostic accuracy of respiratory
variation in aortic blood flow peak velocity (DeltaVPeak) for the prediction of
fluid responsiveness in mechanically ventilated children. METHOD: PubMed, Embase,
and the Cochrane Database of Systematic Reviews were screened for studies
relevant to the use of DeltaVPeak to predict fluid responsiveness in children
receiving mechanical ventilation. Clinical trials published as full-text articles
in indexed journals without language restriction were included. We calculated the
pooled values of sensitivity, specificity, diagnostic odds ratio (DOR), and
positive and negative likelihood ratio using a random-effects model. RESULTS: In
total, six studies (163 participants) met the inclusion criteria. Data are
reported as point estimate with 95% confidence interval. The pooled sensitivity,
specificity, positive likelihood ratio, negative likelihood ratio, and DOR of
DeltaVPeak to predict fluid responsiveness for the overall population were 92.0%
(84.1-96.7), 85.5% (75.6-92.5), 4.89 (2.92-8.18), 0.13 (0.07-0.25), and 50.44
(17.70-143.74), respectively. The area under the summary receiver operating
characteristic curve was 0.94. Cutoff values for DeltaVPeak to predict fluid
responsiveness varied across studies, ranging from 7% to 20%. CONCLUSION: Our
results confirm that the DeltaVPeak is an accurate predictor of fluid
responsiveness in children under mechanical ventilation. However, the question of
the optimal cutoff value of DeltaVPeak to predict fluid responsiveness remains
uncertain, as there are important variations between original publications, and
needs to be resolved in further studies. The potential impact of intraoperative
cardiac output optimization using goal-directed fluid therapy based on DeltaVPeak
on the perioperative outcome in the pediatric population should be subsequently
evaluated.
PMID- 26545174
TI - A randomized trial comparing multiband mucosectomy and cap-assisted endoscopic
resection for endoscopic piecemeal resection of early squamous neoplasia of the
esophagus.
AB - BACKGROUND AND AIM: Piecemeal endoscopic resection for esophageal high grade
intraepithelial neoplasia (HGIN) or early squamous cell carcinoma (ESCC) is
usually performed by cap-assisted endoscopic resection. This requires submucosal
lifting and multiple snares. Multiband mucosectomy (MBM) uses a modified variceal
band ligator without submucosal lifting. In high-risk areas where ESCC is common
and endoscopic expertise is limited, MBM may be a better technique. We aimed to
compare MBM to the cap-assisted technique for piecemeal endoscopic resection of
esophageal ESCCs. METHODS: Patients with mucosal HGIN/ESCC (2 - 6 cm, maximum two
thirds of esophageal circumference) were included. Lesions, delineated by 1.25 %
Lugol staining, were randomized to MBM or cap-assisted piecemeal resection.
Endpoints were procedure time and costs, complete endoscopic resection, adverse
events, and absence of HGIN/ESCC at 3-month and 12-month follow-up. RESULTS:
Endoscopic resection was performed in 84 patients (59 men, mean age 60) using MBM
(n = 42) or the endoscopic resection cap (n = 42). There were no differences in
baseline characteristics. Endoscopic complete resection was achieved in all
lesions. Procedure time was significantly shorter with MBM (11 vs. 22 minutes, P
< 0.0001). One perforation, seen after using the endoscopic resection cap, was
treated conservatively. Total costs of disposables were lower for MBM (?200 vs.
?251, P = 0.04). At 3-month and 12-month follow-ups none of the patients had
HGIN/ESCC at the resection site. CONCLUSION: Piecemeal endoscopic resection of
esophageal ESCC with MBM is faster and cheaper than with the endoscopic resection
cap. Both techniques are highly effective and safe. MBM may have significant
advantages over the endoscopic resection cap technique, especially in countries
where ESCC is extremely common but limited endoscopic expertise and resources
exist. (Netherlands trial register: NTR 3246.).
PMID- 26545175
TI - Single nucleotide polymorphisms in the D-loop region of mitochondrial DNA is
associated with colorectal cancer outcome.
AB - Single nucleotide polymorphisms (SNPs) in the displacement loop (D-Loop) of
mitochondrial DNA (mtDNA) has been identified for their association with the risk
and outcome in many cancers. We have identified risk associated D-loop SNPs for
colorectal cancer previously, in the present study, we evaluate their prognostic
value for postoperative survival of colorectal cancer (CRC). The minor haplotype
of nucleotides 16290T and frequent haplotype of nucleotide 16298T in the
hypervariable segment 1 (HV1) region of the D-loop were identified for their
association with high survival rate of CRC. After adjusted with COX proportional
hazard model, the nucleotide site of 16290 was identified as independent
predictor for CRC (RR, 0.379; 95% CI, 0.171-0.839; p = 0.017). In conclusion,
SNPs in the mtDNA D-Loop were found to be valuable markers for colorectal cancer
outcome evaluation.
PMID- 26545176
TI - Mitomycin C versus 5-Fluorouracil for wound healing in glaucoma surgery.
AB - BACKGROUND: Raised intraocular pressure is a risk factor for glaucoma. One
treatment option is glaucoma drainage surgery (trabeculectomy). Antimetabolites
are used during surgery to reduce postoperative scarring during wound healing.
Two agents in common use are mitomycin C (MMC) and 5-Fluorouracil (5-FU).
OBJECTIVES: To assess the effects of MMC compared to 5-FU as an antimetabolite
adjunct in trabeculectomy surgery. SEARCH METHODS: We searched CENTRAL (which
contains the Cochrane Eyes and Vision Group Trials Register) (2015 Issue 9), Ovid
MEDLINE, Ovid MEDLINE In-Process and Other Non-Indexed Citations, Ovid MEDLINE
Daily, Ovid OLDMEDLINE (January 1946 to October 2015), EMBASE (January 1980 to
October 2015), Latin American and Caribbean Health Sciences Literature Database
(LILACS) (January 1982 to October 2015), the ISRCTN registry
(www.isrctn.com/editAdvancedSearch), ClinicalTrials.gov (www.clinicaltrials.gov)
and the World Health Organization (WHO) International Clinical Trials Registry
Platform (ICTRP) (www.who.int/ictrp/search/en). We did not use any date or
language restrictions in the electronic searches for trials. We last searched the
electronic databases on 2 October 2015. SELECTION CRITERIA: We included
randomised controlled trials where wound healing had been modified with MMC
compared to 5-FU. DATA COLLECTION AND ANALYSIS: Two review authors independently
selected trials and collected data. The primary outcome was failure of a
functioning trabeculectomy one year after surgery. Secondary outcomes included
mean intraocular pressure at one year. We considered three subgroups: high risk
of trabeculectomy failure (people with previous glaucoma surgery, extracapsular
cataract surgery, African origin and people with secondary glaucoma or congenital
glaucoma); medium risk of trabeculectomy failure (people undergoing
trabeculectomy with extracapsular cataract surgery) and low risk of
trabeculectomy failure (people who have received no previous surgical eye
intervention). MAIN RESULTS: We identified 11 trials that enrolled 687 eyes of
679 participants. The studies were conducted in the United States, Europe, Asia
and Africa. Five studies enrolled participants at low risk of trabeculectomy
failure, five studies enrolled participants at high risk of failure, and one
study enrolled people with both high and low risk of failure. None of the
included trials enrolled participants with combined trabeculectomy/cataract
surgery.We considered one study to be at low risk of bias in all domains, six
studies to be at high risk of bias in one or more domains, and the remaining four
studies to be at an unclear risk of bias in all domains.The risk of failure of
trabeculectomy at one year after surgery was less in those participants who
received MMC compared to those who received 5-FU, however the confidence
intervals were wide and are compatible with no effect (risk ratio (RR) 0.54, 95%
confidence interval (CI) 0.30 to 1.00; studies = 11; I(2) = 40%). There was no
evidence for any difference between groups at high and low risk of failure (test
for subgroup differences P = 0.69).On average, people treated with MMC had lower
intraocular pressure at one year (mean difference (MD) -3.05 mmHg, 95% CI -4.60
to -1.50), but the studies were inconsistent (I(2) = 52%). The size of the effect
was greater in the high-risk group (MD -4.18 mmHg, 95% CI -6.73 to -1.64)
compared to the low-risk group (MD -1.72 mmHg, 95% CI -3.28 to -0.16), but again
the test for interaction was not statistically significant (P = 0.11).Similar
proportions of eyes treated with MMC lost 2 or more lines of visual acuity one
year after surgery compared to 5-FU, but the confidence intervals were wide (RR
1.05, 95% CI 0.54 to 2.06).Adverse events occurred relatively rarely, and
estimates of effect were generally imprecise. There was some evidence for less
epitheliopathy in the MMC group (RR 0.23, 95% CI 0.11 to 0.47) and less hyphaema
in the MMC group (RR 0.62, 95% CI 0.42 to 0.91).None of the studies reported
quality of life.Overall, we graded the quality of the evidence as low largely
because of risk of bias in the included studies and imprecision in the estimate
of effect. AUTHORS' CONCLUSIONS: We found low-quality evidence that MMC may be
more effective in achieving long-term lower intraocular pressure than 5-FU.
Further comparative research on MMC and 5-FU is needed to enhance reliability and
validity of the results shown in this review. Furthermore, the development of new
agents that control postoperative scar tissue formation without side effects
would be valuable and is justified by the results of this review.
PMID- 26545184
TI - All For One, One For All.
PMID- 26545185
TI - Documentation of Coalitions Can Improve the Process, But Will it Lead to Better
Outcomes?
PMID- 26545186
TI - Perspectives From the Assistant Secretary for Preparedness and Response.
PMID- 26545187
TI - Gulf Coast Resilience Coalition: An Evolved Collaborative Built on Shared
Disaster Experiences, Response, and Future Preparedness.
AB - OBJECTIVE: For close to a decade, the Gulf Coast of the United States has been in
almost constant disaster recovery mode, and a number of lessons have been learned
concerning disaster recovery and behavioral health. The purpose of this report
was to describe the natural development of a Gulf Coast Resilience Coalition
(GCRC). METHODS: The GCRC methods began with state-specific recovery goals
following Hurricane Katrina in 2005 and transitioned to a shared multistate and
multidiscipline coalition. The coalition's effectiveness is demonstrated through
continuation, procurement of funding to provide response services, and increased
membership to ensure sustainability. RESULTS: The coalition has enhanced
response, recovery, and resilience by providing strategic plans for dissemination
of knowledge; post-disaster surveillance and services; effective relationships
and communication with local, state, and regional partners; disaster response
informed by past experience; a network of professionals and community residents;
and the ability to improve access to and efficiency of future behavioral health
coordination through an organized response. CONCLUSIONS: The GCRC can not only
improve readiness and response, but work toward a shared vision of improved
overall mental and behavioral health and thus resilience, with beneficial
implications for the Gulf South and other communities as well.
PMID- 26545188
TI - Physician Emergency Preparedness: A National Poll of Physicians.
AB - OBJECTIVE: To provide a more comprehensive view than previously available of US
physician preparedness for public health emergencies, this study examined
physicians' assessments of their preparedness, training, participation in
institutional activities, information practices, and experiences with patient
education. Four kinds of public health emergencies were considered: natural
disasters, major airborne infections, major foodborne illness outbreaks, and
chemical, biological, radiological, nuclear, or explosives (CBRNE) incidents.
METHODS: Between October 19, 2011, and January 11, 2012, researchers conducted a
national poll among 1603 practicing physicians in a range of specialties in
hospital and nonhospital settings. RESULTS: More than one-half of physicians felt
prepared to handle a natural disaster, a major outbreak of an airborne infection,
or a major foodborne illness outbreak, whereas one-third (34%) felt prepared to
handle a CBRNE incident. About one-half of physicians (55%) had participated in
training or a conference related to emergencies in the past 2 years. Sizable
fractions of physicians were unaware of emergency response tools in their care
setting. For example, nearly one-half in hospitals (44%) did not know whether
their care setting had an emergency response plan, and less than one-quarter had
participated in a drill using such a plan in the past 2 years. Less than one
third (31%) of physicians had signed up to receive alerts in the case of future
emergencies. One in 10 reported sharing emergency information with patients at
least "sometimes." CONCLUSIONS: Significant gaps remain in physician preparedness
for public health emergencies, as well as in related training and participation
in institutional activities. New efforts, with a focus on possible collaborations
between public health institutions and health system leaders combined with
effective use of online resources, are needed to bring more physicians on board
and to develop relevant and useful key tools. New approaches, including those
that rely on different types of care providers, may be needed to enhance patient
education regarding emergency preparedness.
PMID- 26545189
TI - Medical Surge Capacity in Atlanta-Area Hospitals in Response to Tanker Truck
Chemical Releases.
AB - OBJECTIVE: We designed and conducted a regional full-scale exercise in 2007 to
test the ability of Atlanta-area hospitals and community partners to respond to a
terrorist attack involving the coordinated release of 2 dangerous chemicals
(toluene diisocyanate and parathion) that were being transported through the area
by tanker truck. METHODS: The exercise was designed to facilitate the activation
of hospital emergency response plans and to test applicable triage,
decontamination, and communications protocols. Plume modeling was conducted by
using the Defense Threat Reduction Agency's (DTRA) Hazard Prediction and
Assessment Capability (HPAC) V4 program. The scenario went through multiple
iterations as exercise planners sought to reduce total injuries to a manageable,
but stressful, level for Atlanta's health care infrastructure. RESULTS: Atlanta
area hospitals rapidly performed multiple casualty triage and were able to take
in a surge of victims from the simulated attack. However, health care facilities
were reticent to push the perceived manageable numbers of victims, and scenarios
were modified significantly to lower the magnitude of the simulated attack.
Additional coordination with community response partners and incident command
training is recommended. Security at health care facilities and decontamination
of arriving victims are two areas that will require continued review. CONCLUSION:
Atlanta-area hospitals participated in an innovative regional exercise that
pushed facilities beyond traditional scopes of practice and brought together
numerous health care community response partners. Using lessons learned from this
exercise coupled with subsequent real-world events and training exercises,
participants have significantly enhanced preparedness levels and increased the
metropolitan region's medical surge capacity in the case of a multiple casualty
disaster.
PMID- 26545190
TI - Contributions of Health Care Coalitions to Preparedness and Resilience:
Perspectives From Hospital Preparedness Program and Health Care Preparedness
Coalitions.
AB - OBJECTIVE: The purpose of this article was to describe how the Hospital
Preparedness Program (HPP) and other health care coalitions conceptualize and
measure progress or success and to identify strategies to improve coalition
success and address known barriers to success. METHODS: We conducted a structured
literature review and interviews with key leaders from 22 HPPs and other
coalitions. Interview transcripts were analyzed by using constant comparative
analysis. RESULTS: Five dimensions of coalition success were identified: strong
member participation, diversity of members, positive changes in members' capacity
to respond to or recover from disaster, sharing of resources among members, and
being perceived as a trendsetter. Common barriers to success were also identified
(eg, a lack of funding and staff). To address these barriers, coalitions
suggested a range of mitigation strategies (eg, establishing formal memoranda of
agreement). Both dimensions of and barriers to coalition success varied by
coalition type. CONCLUSIONS: Currently, the term health care coalition is a one
size-fits-all term. In reality, this umbrella term describes a variety of
different configurations, member bodies, and capabilities. The analysis offered a
typology to categorize health care coalitions by primary function during a
disaster response. Developing a common typology that could be used to specify
capabilities or functions of coalitions may be helpful to advancing their
development.
PMID- 26545191
TI - In Preparation or Response: Examining Health Care Coalitions Amid a Changing
Economic and Political Landscape.
AB - The Office of the Assistant Secretary for Preparedness and Response within the US
Department of Health and Human Services leads the nation in preparing for,
responding to, and recovering from the adverse health effects of public health
emergencies, in part through formal collaborations between hospitals, health
systems, community health centers, public health departments, and community
organizations via health care coalitions (HCCs). HCCs endeavor to meet the
medical surge demands inherent to disasters and to improve health outcomes
before, during, and after public health emergencies. Nevertheless, significant
changes in health economics and policy can impact the operations, capabilities,
and scope of HCCs. Specifically, hospital consolidation and the Affordable Care
Act (ACA) are altering the national health care landscape, as well as the
emergency preparedness sector, and are challenging HCCs to adapt to large-scale,
industry-wide transformations. This article examines HCCs in the context of the
developments of hospital consolidation and the ACA in order to facilitate future
discourse regarding the strategy and policy of HCCs amid a changing economic and
political landscape.
PMID- 26545192
TI - Identifying Indirect Benefits of Federal Health Care Emergency Preparedness Grant
Funding to Coalitions: A Content Analysis.
AB - OBJECTIVE: This study aimed to identify the indirect benefits of health care
preparedness funding as perceived by current and former recipients of the US
Department of Health and Human Services Office of the Assistant Secretary for
Preparedness and Response's Hospital Preparedness Program. METHODS: This was a
qualitative inductive content analysis of telephone interviews conducted with
regional stakeholders from several health care coalitions to identify their
perceptions of the indirect benefits of preparedness funding. RESULTS: Content
analysis of interviewee responses resulted in 2 main categories of indirect
benefits of federal health care preparedness funding: (1) dual-use technology and
programs and (2) impact of relationships on day-to-day operations. Within the
dual-use technology and programs category, 3 subcategories were identified: (1)
information systems, (2) clinical technology, and (3) health care operations.
Similarly, 3 subcategories relating to the indirect benefits in the impact of
relationships on day-to-day operations category were identified: (1) cooperation,
(2) information sharing, and (3) sense of community. CONCLUSION: This study
identified indirect benefits of federal investment in hospital and health care
preparedness in day-to-day operations. Major categories of these benefits
included dual-use technology and programs and impact of relationships on day-to
day operations. Coalition members placed a high value on these benefits, even
though they were not direct outcomes of grant programs. Further research is
needed to quantify the economic value of these indirect benefits to more
accurately measure the total return on investment from federal grant funding.
PMID- 26545193
TI - Transforming Health Care Coalitions From Hospitals to Whole of Community: Lessons
Learned From Two Large Health Care Organizations.
AB - A health care emergency preparedness coalition (coalition) is a group of health
care organizations, public safety agencies, and public health partners that join
forces for the common cause of making their communities safer, healthier, and
more resilient. Coalitions have been characterized as being focused on hospital
systems instead of the health care of the community as a whole. We discuss 2
examples of coalition partners that use a more inclusive approach to planning,
response, and recovery. The first is a large health care system spread across 23
states, and the other is a public safety agency in northeast Pennsylvania that
took the lead to address the preparedness and response toward a large influx of
burn patients and grew to encompass all aspects of community health care.
PMID- 26545194
TI - Optimizing Health Care Coalitions: Conceptual Frameworks and a Research Agenda.
AB - The US health care system has maintained an objective of preparedness for natural
or manmade catastrophic events as part of its larger charge to deliver health
services for the American population. In 2002, support for hospital-based
preparedness activities was bolstered by the creation of the National
Bioterrorism Hospital Preparedness Program, now called the Hospital Preparedness
Program, in the US Department of Health and Human Services. Since 2012, this
program has promoted linking health care facilities into health care coalitions
that build key preparedness and emergency response capabilities. Recognizing that
well-functioning health care coalitions can have a positive impact on the health
outcomes of the populations they serve, this article informs efforts to optimize
health care coalition activity. We first review the landscape of health care
coalitions in the United States. Then, using principles from supply chain
management and high-reliability organization theory, we present 2 frameworks
extending beyond the Office of the Assistant Secretary for Preparedness and
Response's current guidance in a way that may help health care coalition leaders
gain conceptual insight into how different enterprises achieve similar ends
relevant to emergency response. We conclude with a proposed research agenda to
advance understanding of how coalitions can contribute to the day-to-day
functioning of health care systems and disaster preparedness.
PMID- 26545195
TI - The Office of Health Affairs and Our Role in Health Security.
PMID- 26545196
TI - The US Department of Veterans Affairs and Sustainable Health Care Coalitions.
PMID- 26545197
TI - Preparedness 3.0: Addressing the Future.
AB - The last 14 years has taught us that that we are facing a new reality; a reality
in which public health emergencies are a common occurrence. Today, we live in a
world with dangerous people without state sponsorship who are an enormous threat
to our safety; one where emerging and reemerging infectious diseases are waiting
to break out; a world where the benefits of globalization in trade,
transportation, and social media brings threats to our communities faster and
with a greater risk than ever before. Even climate change has entered into the
preparedness equation, bringing with it the forces of nature in the form of
extreme weather and its complications.
PMID- 26545198
TI - MMRS Coalitions: Continuing Their Efforts.
PMID- 26545199
TI - Nasal Levels of Antimicrobial Peptides in Allergic Asthma Patients and Healthy
Controls: Differences and Effect of a Short 1,25(OH)2 Vitamin D3 Treatment.
AB - BACKGROUND: Allergy is often accompanied by infections and lower levels of
antimicrobial peptides (AMPs). Vitamin D has been shown to increase expression of
selected AMPs. In this study we investigated whether antimicrobial peptide levels
in nasal secretions of allergic asthma patients are lower than in healthy
controls, and whether administration of the active form of vitamin D
(1,25(OH)2D3) affects these antimicrobial peptide levels. METHODS: The levels of
antimicrobial peptides in nasal secretions were compared between 19 allergic
asthma patients and 23 healthy controls. The effect of seven days daily oral
treatment with 2 MUg 1,25(OH)2D3 on antimicrobial peptides in nasal secretions
was assessed in a placebo-controlled cross-over clinical study. RESULTS: Levels
of neutrophil alpha-defensins (human neutrophil peptides 1-3; HNP1-3) and
lipocalin 2 (LCN2; also known as NGAL) were significantly lower in asthmatics,
but no differences in LL-37 and SLPI were detected. Treatment with a short-term
1,25(OH)2D3 caused a small increase in HNP1-3, but not when the asthma and
control groups were analyzed separately. LL-37, LCN2 and SLPI did not change
after treatment with 1,25(OH)2D3. CONCLUSION: Levels of the antimicrobial
peptides HNP1-3 and LCN2 are lower in nasal secretions in asthmatics and are not
substantially affected by a short-term treatment with active vitamin D.
PMID- 26545201
TI - Interventions for the treatment of keratocystic odontogenic tumours.
AB - BACKGROUND: The keratocystic odontogenic tumours (KCOTs) account for between
about 2% and 11% of all jaw cysts and can occur at any age. They are more common
in males than females with a male:female ratio of approximately 2:1. Although
they are benign, KCOTs are locally very aggressive and have a tendency to recur
after treatment. Reported recurrence rates range from 3% to 60%. The traditional
method for the treatment of most KCOTs is surgical enucleation. However, due to
the lining of the cyst being delicate and the fact that they frequently recur,
this method alone is not sufficient. Adjunctive surgical treatment has been
proposed in addition to the surgical enucleation, such as removal of the
peripheral bone (ostectomy) or resection of the cyst with surrounding bone (en
bloc) resection. Other adjunctive treatments proposed are: cryotherapy (freezing)
with liquid nitrogen and the use of the fixative Carnoy's solution placed in the
cyst cavity after enucleation; both of which attempt to address residual tissue
to prevent recurrence. OBJECTIVES: To assess the available evidence comparing the
effectiveness of interventions for the treatment of KCOTs. SEARCH METHODS: We
searched the following electronic databases: the Cochrane Oral Health Group
Trials Register (to 17 March 2015), the Cochrane Central Register of Controlled
Trials (CENTRAL) (The Cochrane Library, 2015, Issue 2), MEDLINE via Ovid (1946 to
17 March 2015) and EMBASE via Ovid (1980 to 17 March 2015). We searched the US
National Institutes of Health Trials Register (http://clinicaltrials.gov) and the
WHO Clinical Trials Registry Platform for ongoing trials. No restrictions were
placed on the language or date of publication when searching the electronic
databases. SELECTION CRITERIA: Randomised controlled trials comparing one
modality of intervention with another with or without adjunctive treatment for
the treatment of KCOTs. Adults, over the age of 18 with a validated diagnosis of
solitary KCOTs arising in the jaw bones of the maxilla or mandible. Patients with
known Gorlin syndrome were to be excluded. DATA COLLECTION AND ANALYSIS: Review
authors screened trials for inclusion. Full papers were obtained for relevant and
potentially relevant trials. If data had been extracted, it would have been
synthesised using the fixed-effect model, if substantial clinical diversity were
identified between studies we planned to use the random-effects model with
studies grouped by action provided there were four or more studies included in
the meta-analysis, and we would have explored the heterogeneity between the
included studies. MAIN RESULTS: No randomised controlled trials that met the
inclusion criteria were identified. AUTHORS' CONCLUSIONS: There are no published
randomised controlled trials relevant to this review question, therefore no
conclusions could be reached about the effectiveness or otherwise of the
interventions considered in this review. There is a need for well designed and
conducted randomised controlled trials to evaluate treatments for KCOTs.
PMID- 26545200
TI - Dynamics of Transcription Factor Binding Site Evolution.
AB - Evolution of gene regulation is crucial for our understanding of the phenotypic
differences between species, populations and individuals. Sequence-specific
binding of transcription factors to the regulatory regions on the DNA is a key
regulatory mechanism that determines gene expression and hence heritable
phenotypic variation. We use a biophysical model for directional selection on
gene expression to estimate the rates of gain and loss of transcription factor
binding sites (TFBS) in finite populations under both point and
insertion/deletion mutations. Our results show that these rates are typically
slow for a single TFBS in an isolated DNA region, unless the selection is
extremely strong. These rates decrease drastically with increasing TFBS length or
increasingly specific protein-DNA interactions, making the evolution of sites
longer than ~ 10 bp unlikely on typical eukaryotic speciation timescales.
Similarly, evolution converges to the stationary distribution of binding
sequences very slowly, making the equilibrium assumption questionable. The
availability of longer regulatory sequences in which multiple binding sites can
evolve simultaneously, the presence of "pre-sites" or partially decayed old sites
in the initial sequence, and biophysical cooperativity between transcription
factors, can all facilitate gain of TFBS and reconcile theoretical calculations
with timescales inferred from comparative genomics.
PMID- 26545202
TI - Endoscopic Versus Histological Disease Extent at Presentation of Paediatric
Inflammatory Bowel Disease.
AB - OBJECTIVES: The Paris classification (PC) of paediatric inflammatory bowel
disease categorises disease extent and therefore affects treatment decisions.
Histological (microscopic) disease extent is not incorporated, and endoscopic
(macroscopic) findings may underrepresent disease extent when compared with
histological findings; this study compares disease extent at presentation.
METHODS: Data were obtained of patients <17 years of age diagnosed with
inflammatory bowel disease from 2010 to 2013 at University Hospital Southampton.
Data are presented as percentage of patients undergoing endoscopy. PC was
performed alongside a modified PC by histological disease location. RESULTS: A
total of 172 patients were identified (median age at diagnosis 13.5 years, 115
boys); Crohn disease (CD) 107, ulcerative colitis (UC) 50, inflammatory bowel
disease unclassified (IBDU) 15; 159 had undergone upper gastrointestinal (GI)
endoscopy, 163 had undergone lower GI endoscopy. Histological disease was more
extensive at all points for CD, UC, and IBDU. CD--endoscopic ileal disease in 49%
of patients compared with histological disease in 71.3%. Comparing PC--a 10%
increase in L3 disease (ileocolonic), a 24% increase in L3 + L4a disease
(ileocolonic plus upper GI), and a 27% increase in all of the upper GI
involvement if histological disease extent was used. UC--the most common disease
location was the rectum (endoscopic 91.5% vs histological 93.6%) and descending
colon (endoscopic 89.4% vs histological 95.7%). Comparing PC--a 19% increase in
E4 disease (pancolitis) if histological disease extent was used. CONCLUSIONS:
These data confirm that histological disease extent is greater than endoscopic
disease extent. This should be considered when the PC is used. Further study is
needed to elucidate which classification would better predict disease outcome.
PMID- 26545203
TI - Probiotic Administration in Infants With Gastroschisis: A Pilot Randomized
Placebo-Controlled Trial.
AB - OBJECTIVES: Infants with gastroschisis often require long periods of gastric
suctioning and hospitalization. The impact of these interventions on the
intestinal microbiota and attempts to alter the microbial community have not been
studied. We sought to determine how the intestinal microbiota is influenced by
the current treatment of gastroschisis and whether alteration of the intestinal
microbiota with a probiotic microbe will influence length of hospitalization.
METHODS: We performed a randomized, placebo-controlled pilot study of
administration of probiotic Bifidobacterium longum subsp. infantis in 24 infants
with gastroschisis. The primary outcome was changes in the fecal microbiota, and
the secondary outcome was length of hospital stay. RESULTS: Administration of the
probiotic or placebo was well tolerated, even during the period of gastric
suctioning. The overall microbial communities were not significantly different
between groups, although analysis of the final specimens by family demonstrated
higher Bifidobacteriaceae, lower Clostridiaceae, and trends toward lower
Enterobacteriaceae, Enterococcaceae, Staphylococcaceae, and Streptococcaceae in
the probiotic group. Clinical outcomes, including length of hospital stay, did
not differ between groups. CONCLUSIONS: In this pilot study, there was
significant in infants with gastroschisis that was partially attenuated by the
administration of B longum subsp. infantis.
PMID- 26545204
TI - Use of Placebo in Pediatric Inflammatory Bowel Diseases: A Position Paper From
ESPGHAN, ECCO, PIBDnet, and the Canadian Children IBD Network.
AB - Performing well-designed and ethical trials in pediatric inflammatory bowel
diseases (IBD) is a priority to support optimal therapy and reduce the
unacceptable long lag between adult and pediatric drug approval. Recently,
clinical trials in children have been incorporating placebo arms into their
protocols under conditions that created controversy. Therefore, 4 organizations
(the European Society for Pediatric Gastroenterology, Hepatology, and Nutrition;
European Crohn's and Colitis Organization; the Canadian Children IBD Network; and
the Global Pediatric IBD Network) jointly provide a statement on the role of
placebo in pediatric IBD trials. Consensus was achieved by 94 of 100 (94%) voting
committees' members that placebo should only be used if there is genuine
equipoise between the active treatment and placebo; for example, this may be
considered in trials of drugs with new mechanisms of action without existing
adult data, especially when proven effective alternatives do not exist outside
the trial. Placebo may also be used in situations where it is an "add-on" to an
effective therapy or to evaluate exit-strategies of maintenance therapy after
long-term deep remission. It has been, however, agreed that no child enrolled in
a trial should receive a known inferior treatment both within and outside the
trial. This also includes withholding therapy in children who show clinical
response after a short induction therapy. Given the similarity between pediatric
and adult IBD regarding pathophysiology and response to treatments, drugs
generally cannot be considered being in genuine equipoise with placebo if it has
proven efficacy in adults. Continued collaboration of all stakeholders is needed
to facilitate drug development and evaluation in pediatric IBD.
PMID- 26545205
TI - Short and Long-Term Soil Moisture Effects of Liana Removal in a Seasonally Moist
Tropical Forest.
AB - Lianas (woody vines) are particularly abundant in tropical forests, and their
abundance is increasing in the neotropics. Lianas can compete intensely with
trees for above- and belowground resources, including water. As tropical forests
experience longer and more intense dry seasons, competition for water is likely
to intensify. However, we lack an understanding of how liana abundance affects
soil moisture and hence competition with trees for water in tropical forests. To
address this critical knowledge gap, we conducted a large-scale liana removal
experiment in a seasonal tropical moist forest in central Panama. We monitored
shallow and deep soil moisture over the course of three years to assess the
effects of lianas in eight 0.64 ha removal plots and eight control plots. Liana
removal caused short-term effects in surface soils. Surface soils (10 cm depth)
in removal plots dried more slowly during dry periods and accumulated water more
slowly after rainfall events. These effects disappeared within four months of the
removal treatment. In deeper soils (40 cm depth), liana removal resulted in a
multi-year trend towards 5-25% higher soil moisture during the dry seasons with
the largest significant effects occurring in the dry season of the third year
following treatment. Liana removal did not affect surface soil temperature.
Multiple and mutually occurring mechanisms may be responsible for the effects of
liana removal on soil moisture, including competition with trees, and altered
microclimate, and soil structure. These results indicate that lianas influence
hydrologic processes, which may affect tree community dynamics and forest carbon
cycling.
PMID- 26545206
TI - Team dynamics, clinical work satisfaction, and patient care coordination between
primary care providers: A mixed methods study.
AB - BACKGROUND: Team-based care is essential for delivering high-quality,
comprehensive, and coordinated care. Despite considerable research about the
effects of team-based care on patient outcomes, few studies have examined how
team dynamics relate to provider outcomes. PURPOSE: The aim of this study was to
examine relationships among team dynamics, primary care provider (PCP) clinical
work satisfaction, and patient care coordination between PCPs in 18 Harvard
affiliated primary care practices participating in Harvard's Academic Innovations
Collaborative. METHODOLOGY: First, we administered a cross-sectional survey to
all 548 PCPs (267 attending clinicians, 281 resident physicians) working at
participating practices; 65% responded. We assessed the relationship of team
dynamics with PCPs' clinical work satisfaction and perception of patient care
coordination between PCPs, respectively, and the potential mediating effect of
patient care coordination on the relationship between team dynamics and work
satisfaction. In addition, we embedded a qualitative evaluation within the
quantitative evaluation to achieve a convergent mixed methods design to help us
better understand our findings and illuminate relationships among key variables.
FINDINGS: Better team dynamics were positively associated with clinical work
satisfaction and quality of patient care coordination between PCPs. Coordination
partially mediated the relationship between team dynamics and satisfaction for
attending clinicians, suggesting that higher satisfaction depends, in part, on
better teamwork, yielding more coordinated patient care. We found no mediating
effects for resident physicians. Qualitative results suggest that sources of
satisfaction from positive team dynamics for PCPs may be most relevant to
attending clinicians. PRACTICE IMPLICATIONS: Improving primary care team dynamics
could improve clinical work satisfaction among PCPs and patient care coordination
between PCPs. In addition to improving outcomes that directly concern health care
providers, efforts to improve aspects of team dynamics may also help resolve
critical challenges in workforce planning in primary care.
PMID- 26545207
TI - Work-related factors influencing home care nurse intent to remain employed.
AB - BACKGROUND: Health care is shifting out of hospitals into community settings. In
Ontario, Canada, home care organizations continue to experience challenges
recruiting and retaining nurses. However, factors influencing home care nurse
retention that can be modified remain largely unexplored. Several groups of
factors have been identified as influencing home care nurse intent to remain
employed including job characteristics, work structures, relationships and
communication, work environment, responses to work, and conditions of employment.
PURPOSE: The aim of this study was to test and refine a model that identifies
which factors are related to home care nurse intentions to remain employed for
the next 5 years with their current home care employer organization.
METHODOLOGY/APPROACH: A cross-sectional survey design was implemented to test and
refine a hypothesized model of home care nurse intent to remain employed.
Logistic regression was used to determine which factors influence home care nurse
intent to remain employed. FINDINGS: Home care nurse intent to remain employed
for the next 5 years was associated with increasing age, higher nurse-evaluated
quality of care, having greater variety of patients, experiencing greater
meaningfulness of work, having greater income stability, having greater
continuity of client care, experiencing more positive relationships with
supervisors, experiencing higher work-life balance, and being more satisfied with
salary and benefits. PRACTICE IMPLICATIONS: Home care organizations can promote
home care nurse intent to remain employed by (a) ensuring nurses have adequate
training and resources to provide quality client care, (b) improving employment
conditions to increase income stability and satisfaction with pay and benefits,
PMID- 26545208
TI - Alpha Adrenergic Induction of Transport of Lysosomal Enzyme across the Blood
Brain Barrier.
AB - The impermeability of the adult blood-brain barrier (BBB) to lysosomal enzymes
impedes the ability to treat the central nervous system manifestations of
lysosomal storage diseases. Here, we found that simultaneous stimulation of the
alpha1 and alpha2 adrenoreceptor restores in adult mice the high rate of
transport for the lysosomal enzyme P-GUS that is seen in neonates but lost with
development. Beta adrenergics, other monoamines, and acetylcholine did not
restore this transport. A high dose (500 microg/mouse) of clonidine, a strong
alpha2 and weak alpha1 agonist, was able to act as monotherapy in the stimulation
of P-GUS transport. Neither use of alpha1 plus alpha2 agonists nor the high dose
clonidine disrupted the BBB to albumin. In situ brain perfusion and
immunohistochemistry studies indicated that adrengerics act on transporters
already at the luminal surface of brain endothelial cells. These results show
that adrenergic stimulation, including monotherapy with clonidine, could be key
for CNS enzyme replacement therapy.
PMID- 26545209
TI - Phenotypic- and Genotypic-Resistance Detection for Adaptive Resistance Management
in Tetranychus urticae Koch.
AB - Rapid resistance detection is necessary for the adaptive management of acaricide
resistant populations of Tetranychus urticae. Detection of phenotypic and
genotypic resistance was conducted by employing residual contact vial bioassay
(RCV) and quantitative sequencing (QS) methods, respectively. RCV was useful for
detecting the acaricide resistance levels of T. urticae, particularly for on-site
resistance detection; however, it was only applicable for rapid-acting acaricides
(12 out of 19 tested acaricides). QS was effective for determining the
frequencies of resistance alleles on a population basis, which corresponded to 12
nonsynonymous point mutations associated with target-site resistance to five
types of acaricides [organophosphates (monocrotophos, pirimiphos-methyl,
dimethoate and chlorpyrifos), pyrethroids (fenpropathrin and bifenthrin),
abamectin, bifenazate and etoxazole]. Most field-collected mites exhibited high
levels of multiple resistance, as determined by RCV and QS data, suggesting the
seriousness of their current acaricide resistance status in rose cultivation
areas in Korea. The correlation analyses revealed moderate to high levels of
positive relationships between the resistance allele frequencies and the actual
resistance levels in only five of the acaricides evaluated, which limits the
general application of allele frequency as a direct indicator for estimating
actual resistance levels. Nevertheless, the resistance allele frequency data
alone allowed for the evaluation of the genetic resistance potential and
background of test mite populations. The combined use of RCV and QS provides
basic information on resistance levels, which is essential for choosing
appropriate acaricides for the management of resistant T. urticae.
PMID- 26545211
TI - What about you?
PMID- 26545210
TI - Carotid Artery Longitudinal Displacement, Cardiovascular Disease and Risk
Factors: The Multi-Ethnic Study of Atherosclerosis.
AB - BACKGROUND: Associations between carotid artery longitudinal displacement,
cardiovascular disease risk factors, and events were evaluated in a large, multi
ethnic cohort. MATERIALS AND METHODS: A novel, reproducible protocol was
developed for measuring right common carotid artery longitudinal displacement
using ultrasound speckle-tracking. Total longitudinal displacement was measured
in 389 randomly selected participants from the Multi-Ethnic Study of
Atherosclerosis that were free of cardiovascular disease at baseline. Univariate
analyses and Pearson Correlations were used to define relationships between
longitudinal displacement with traditional cardiovascular risk factors and
traditional measures of arterial stiffness. Hazard ratios of longitudinal
displacement for cardiovascular disease and coronary heart disease events were
compared using Cox proportional hazards models. RESULTS: Participants were a mean
(standard deviation) 59.0 (8.7) years old, 48% female, 39% White, 26% Black, 22%
Hispanic, and 14% Chinese. They had 19 (4.9%) cardiovascular disease and 14
(3.6%) coronary heart disease events over a mean 9.5 years of follow-up. Less
longitudinal displacement was associated with Chinese (beta = -0.11, p = 0.02)
compared to White race/ethnicity and greater longitudinal displacement was
associated with higher carotid intima-media thickness (beta = 0.26, p = 0.004).
Longitudinal displacement was not associated with other cardiovascular disease
risk factors or markers of arterial stiffness. After adjustment for age and sex,
and heart rate, Chinese race/ethnicity (beta = -0.10, p = 0.04) and carotid
intima-media thickness (beta = 0.30 p = 0.003) were associated independently with
longitudinal displacement. Longitudinal displacement predicted coronary heart
disease (Hazard ratio [HR] 3.3, 95% Confidence intervals [CI] 0.96-11.14, p =
0.06) and cardiovascular disease (HR 2.1, 95% CI 0.6-7.3, p = 0.23) events.
CONCLUSIONS: Less longitudinal displacement is associated with Chinese ethnicity
and greater carotid artery longitudinal displacement is associated with thicker
intima-media thickness. Longitudinal displacement may predict adverse coronary
heart disease and cardiovascular disease events.
PMID- 26545212
TI - Staff-led innovations reduce falls in high-acuity patients.
PMID- 26545213
TI - Inverted Nipple With Nipple-Sparing Mastectomy.
AB - BACKGROUND: The inverted nipple is a relatively common aesthetic problem seen by
plastic surgeons. The etiologies of an inverted nipple include insufficiency of
supporting tissues, hypoplasia of the lactiferous ducts, and retraction caused by
fibrous bands at the base of the nipple. Many different surgical techniques have
been described, either individually or in combination, but none represents a
landmark strategy. In our present study, we report our experience of spontaneous
improvement immediately after nipple-sparing mastectomy with simple buried
interrupted sutures to maintain nipple base in inverted nipple patients. METHODS:
We describe our 10 years' experience in using a simple approach to correct
inverted nipples after nipple-sparing mastectomy with pedicled transverse rectus
abdominis myocutaneous flap reconstruction. Between January 2001 and August 2010,
we observed 23 inverted nipples after nipple-sparing mastectomy by using only a
buried baseline suture to tighten the base of the nipple. The follow-up period
ranged from 3 to 13 years. RESULTS: After nipple-sparing mastectomy with
tightening of the base of the nipple, improvements were seen in 18 of the 23
patients. No complications associated with surgery occurred, such as infection,
depigmentation, sensory disturbance, or nipple necrosis. CONCLUSIONS: The simple
method of baseline suturing that only tightens the nipple base with nipple
sparing mastectomy has been used in our center over a 10-year period in patients
with breast cancer and an inverted nipple. The retractile duct or fibrous cord
was completely cut with nipple-sparing mastectomy, and over 70% of inverted
nipples in the patients were improved and maintained with only the tightening of
the base of the nipple. Our results show that inverted nipple is caused by tight
fibrous band or short duct rather than a lack of subareolar tissue.
PMID- 26545214
TI - Bilateral Free Flap Breast Reconstruction After Unilateral Radiation: Comparing
Intraoperative Vascular Complications and Postoperative Outcomes in Radiated
Versus Nonradiated Breasts.
AB - BACKGROUND: Radiation induces vessel damage and impairs tissue healing. To date,
only 1 study has examined radiation's impact in autologous breast reconstruction
on intraoperative vascular complications and postoperative outcomes. In this
follow-up paper, we examine a larger cohort with an improved study design to
better control for patient characteristics. METHODS: A database of 1780 patients
who underwent autologous breast free flap reconstruction at the University of
Pennsylvania's Health System between 2003 and 2014 was searched for patients who
underwent bilateral breast reconstruction after unilateral radiation, returning
199 patients for review. These were then analyzed for intraoperative vascular
complications as well as postoperative complications. McNemar tests were
performed on all variables, comparing between radiated and nonradiated fields.
RESULTS: Fields with prior radiation were significantly more likely to have any
type of intraoperative vascular complication and need for arterial anastomotic
revision compared to fields without prior radiation (14% versus 7%, P = 0.03 and
8% versus 3%, P = 0.04, respectively). Although there was a trend for more
frequent arterial thrombosis in radiated compared to nonradiated fields, this was
nonsignificant (7% versus 3%, P = 0.08). There was no significant difference in
venous thrombosis or need for venous anastomotic revision. Radiated fields were
significantly more likely to have postoperative wound infections compared to
nonradiated fields (4% versus 0.5%, P = 0.04). There was no difference in other
postoperative complications, including postoperative thrombosis, flap loss,
mastectomy flap necrosis, fat necrosis, hematoma, seroma, or delayed wound
healing. CONCLUSIONS: Intraoperative vascular complications and postoperative
wound infections are significantly more likely to occur in autologous breast free
flap reconstruction with previous radiation therapy. It is important to plan for
and counsel patients that fields with previous radiation are at higher risk for
these complications.
PMID- 26545216
TI - Fibula Osteoseptocutaneous Flap: Advantages of Beginning the Harvesting From the
Posterior Approach.
AB - BACKGROUND: The osteoseptocutaneous fibula flap has been widely used for bone and
soft tissue reconstruction from its first description in 1975. Nowadays, this
flap has become the workhorse flap for head and neck reconstruction because of
its suitability for mandible reconstruction. However, the reliability of the skin
paddle is still controversial. We described a modified method for the harvesting
of an osteoseptocutaneous flap to obtain a more reliable skin paddle while
minimizing the donor site morbidity. METHODS: One hundred fifty-one consecutive
patients were enrolled in the current study from January 2005 to December 2013.
All of them underwent a free osteoseptocutaneous flaps for either head and neck
(n = 135) or extremity (n = 16) reconstruction following the posterior approach
harvest technique. Demographics data of all the patients were collected: age,
sex, defect location, and etiology. The variables included for the statistical
analysis were: size of the skin paddle, time of harvesting, reoperation, split
skin paddle, and single or double barrel fashion for the inset. The outcomes
measured were the flap success rate and the skin paddle survival. RESULTS: The
flap success rate was 97.3%. The mean harvesting time was 45 minutes. The mean
width and length of the skin paddle was 7.1 +/- 2.6 and 17.41 +/- 4.4 cm,
respectively. The reexploration rate was 18.5%, and the salvage was achieved
85.7% of the cases. Partial skin paddle necrosis was found in 13.2% of the cases.
The fact of having a reexploration in the postoperative period was the only
variable significantly associated with the skin paddle necrosis (P = .001).
CONCLUSIONS: According to our experience, the posterior approach for the harvest
of the osteoseptocutaneous fibula flap is a safe technique and offers many
advantages, such as a better visualization of the perforators, beneficial for
chimeric flap elevation, preservation of the muscular fascia in the donor site,
and an earlier diagnosis of any anatomical variation. Both the reliable and the
versatility of the skin paddle can substantially improve with this approach.
PMID- 26545215
TI - Professional Burnout Among Plastic Surgery Residents: Can it be Prevented?
Outcomes of a National Survey: Reply.
PMID- 26545217
TI - The Lumbar Artery Perforator Flap: 3-Dimensional Anatomical Study and Clinical
Applications.
AB - BACKGROUND: The lumbar region is a potential donor site for perforator-based
rotational or free flaps or as a recipient site for free flaps to obtain coverage
for deficits in the sacral region. Because of the lack of consensus regarding the
microvascular anatomy of this potential flap site, a robust investigation of the
anatomy of this region is required. METHODS: Three-dimensional reconstructions (n
= 6) of the microvasculature of the lumbar region were generated using MIMICS
software (Materialise, Belgium) for each of the four paired lumbar vessels.
Diameter, course, and pedicle length were recorded for all lumbar artery (LA)
perforators. Statistical analysis was performed using SigmaStat 4.0 and graphs
were generated using GraphPad Prism 6 Software. RESULTS: Perforators arising from
the first pair of LAs are reliably detected along the inferior margin of the 12th
rib, extending inferiorly and laterally from the midline while perforators
arising from the fourth pair of LA perforate the fascia along a horizontal plane
connecting the posterior iliac crests. There are significantly more cutaneous
perforators arising from the first (L1) and fourth (L4) pairs of LA than from the
second (L2) and third (L3) (mean +/- SD: L1, 5.5 +/- 1.2; L2, 1.4 +/- 0.7; L3,
1.3 +/- 0.7; L4, 4.8 +/- 1.0; P < 0.05). The average perforator diameter arising
from L1 is greater than those arising from L4 (diameter +/- SD: L1, 1.2 mm +/-
0.2 >L4, 0.8 mm +/- 0.2; P < 0.0001). L1 and L4 perforators have longer pedicle
lengths than those arising from L2 and L3 (length +/- SD: L1, 98.2 mm +/- 57.8;
L4, 106.1 mm +/- 23.3 >L2, 67.5 mm +/- 27.4; L3, 78.5 mm +/- 30.3; P < 0.05).
CONCLUSIONS: Perforators arising from the first and fourth LAs arise in a
predictable fashion, have adequate pedicle lengths, and are of suitable diameter
to support a perforator flap. We present a case to support the potential use of
this flap for microvascular breast reconstruction.
PMID- 26545218
TI - Completing a Basic Science Research Year Before the Integrated Plastic Surgery
Match.
PMID- 26545219
TI - Perforator Propeller Flap for Oncologic Reconstruction of Soft Tissue Defects in
Trunk and Extremities.
AB - BACKGROUND: Defects after soft tissue sarcoma resection are usually managed by
myocutaneous flaps or free flaps. However, harvesting muscle will cause
functional morbidities, and some regions lack reliable recipient vessel. Our
purpose is to use various perforator propeller flaps for oncologic
reconstruction. METHODS: Between 2008 and 2014, 33 perforator propeller flaps
were performed in 24 patients to reconstruct the defects after tumor resection in
trunk and extremities. Fifteen patients underwent tumor resection previously.
Thirteen patients underwent adjuvant radiotherapy or chemotherapy. Flaps based on
perforators adjacent to the lesions were raised and rotated in propeller fashion
to repair the defects. RESULTS: Twenty-seven flaps were based on perforators of
known source vessels, and 6 were harvested in freestyle fashion. The defects were
repaired with 2 flaps in 4 patients and 3 flaps in 2 patients. The mean skin
paddle dimension was 8.36 cm in width and 20.42 cm in length. The mean degree of
flap rotation was 158.79 degrees . Complications include partial necrosis of 6
flaps in 5 cases and venous congestion of 1 flap. In these 6 patients, 3
underwent adjuvant radiotherapy. The donor sites were primarily closed in 21
patients and skin grafted in 3 patients. No functional loss related to flap
harvesting was recognized. CONCLUSIONS: The perforator propeller flaps can be
used to manage the medium defects in extremities and large defects in torso after
soft tissue sarcoma resection. They avoid the sacrifice of the underlying muscle
and eliminate the concerns of the unavailability of recipient vessels. The
perforator propeller flaps provide flexible options for versatile oncologic
reconstruction in trunk and extremities. However, the impact of radiotherapy on
the viability of the flaps for local reconstruction needs further investigation.
PMID- 26545220
TI - Axillary Lymph Node Dissection Is a Risk Factor for Major Complications After
Immediate Breast Reconstruction.
AB - BACKGROUND: Complications after immediate breast reconstruction pose a
significant challenge to the reconstructive surgeon. Known risk factors include
smoking, obesity, age, and adjuvant oncologic therapies. Less is known about the
association between axillary lymph node dissection (ALND) and the development of
postoperative complications. METHODS: We conducted a retrospective study of all
patients who underwent immediate breast reconstruction after mastectomy at our
institution over a 10-year period. Our outcome was an occurrence of a major
complication within 90 days postoperatively. For each patient, we recorded data
on demographics, smoking status, pertinent medical history, reconstruction type,
adjuvant chemotherapy and radiation, tumor pathology, and whether an ALND was
performed. Odds ratios (OR) were calculated to estimate the risk of a
complication if an ALND was performed. RESULTS: One hundred eighty-four women,
with 270 surgically treated breasts, were identified as having mastectomy with
immediate reconstruction between 2002 and 2012. Mean age was 49.4 years (range,
25-84 years). There were 71 mastectomies with ALND performed, with 22
complications, and 199 mastectomies without ALND, with 20 complications (31%
complication rate vs 10%, respectively; OR, 3.84; P < 0.001). When adjusted for
reconstruction type, smoking history, obesity, age, presence of invasive disease,
chemotherapy, and radiation therapy, the OR for complications was 3.49 (P <
0.01). The most common complication was infection in both groups. CONCLUSIONS:
Mastectomy with ALND is associated with a 3-fold increase in risk of major
complications in women undergoing immediate breast reconstruction, even after
adjustment for known risk factors and confounders. Further studies are warranted
to elucidate how ALND leads to these complications and what measures can reduce
their occurrence.
PMID- 26545221
TI - Geographic Variation in Access to Plastic Surgeons.
AB - INTRODUCTION: While recent studies project a national shortage of plastic
surgeons, there may currently exist areas within the United States with few
plastic surgeons. We conducted this study to describe the current geographic
distribution of the plastic surgery workforce across the United States. METHODS:
Using the 2013 to 2014 Area Health Resource File, we estimated the number of
plastic surgeons at the health service area (HSA) level in 2010 and 2012. The
density of plastic surgeons was calculated as a ratio per 100,000 population. The
HSAs were grouped by plastic surgeon density, and population characteristics were
compared across subgroups. Characteristics of HSAs with increases and decreases
in plastic surgeon density were also compared. RESULTS: The final sample included
949 HSAs with a total population of 313,989,954 people. As of 2012, there were an
estimated 7600 plastic surgeons, resulting in a national ratio of 2.42 plastic
surgeons/100,000 population. However, over 25 million people lived in 468 HSAs
(49.3%) without a plastic surgeon, whereas 106 million people lived in 82 HSAs
(8.6%) with 3.0 or more/100,000 population. Plastic surgeons were more likely to
be distributed in HSAs where a higher percentage of the population was younger
than 65 years, female, and residing in urban areas. Between 2010 and 2012, 11
HSAs without a plastic surgeon increased density, whereas 15 HSAs lost all
plastic surgeons. CONCLUSIONS: Plastic surgeons are asymmetrically distributed
across the United States leaving over 25 million people without geographic access
to the specialty. This distribution tends to adversely impact older and rural
populations.
PMID- 26545222
TI - Exposure to Varying Strain Magnitudes Influences the Conversion of Normal Skin
Fibroblasts Into Hypertrophic Scar Cells.
AB - Mechanical strain is a key contributor in the pathogenesis of hypertrophic
scarring, whose optimal stretch magnitudes to initiate the differentiation of
normal skin fibroblasts into aberrant fibroblasts phenotype remains largely
unresolved. Influence of varying cyclic strain magnitudes on cultured human
normal skin fibroblasts and its transformation into hypertrophic scar fibroblast
like phenotype is investigated in this study. Cultured fibroblasts isolated from
hypertrophic scar and normal skin tissue were subjected to cyclic mechanical
stretching under individual 10%, 15%, and 20% strain magnitudes at a frequency of
0.1 Hz for 24 hours. Stretched normal skin fibroblasts demonstrated significantly
increased rates of cell proliferation, and also apparently oriented away nearly
perpendicular to the applied stretching direction. Interestingly, the applied 10%
strains magnitude resulted in a markedly enhanced cell proliferative ability
compared with that of 20% strain magnitude. Parameters involving the
mechanotransduction signaling, such as integrin beta1 and P130Cas, were
significantly improved at both mRNA and protein levels in the stretched normal
skin fibroblasts, which was demonstrated in a negative magnitude-dependent
manner. In addition, 10% strains magnitude triggered the highest expression
levels of growth factor TGF-beta1 and collagen matrix in stretched normal skin
fibroblasts. Collectively, these results indicate that the 10% stretching
magnitude, of the 3 strain magnitudes studied, is most effective for triggering
the optimal mechanotransduction effects and biological responses inside cultured
skin fibroblasts. The demonstrable conversion of normal skin fibroblasts into
hypertrophic scar fibroblasts was also observed when 10% stretching magnitude was
applied to cultured fibroblasts in vitro.
PMID- 26545224
TI - Direct Transversus Abdominis Plane Blocks With Exparel During Abdominoplasty.
AB - BACKGROUND: Pain control following abdominoplasty is a major source of concern
for the patient and surgeon alike. Pain pumps and opiate medications are
currently the frontline therapies. With the following technique, Exparel
(liposomal bupivacaine, 72-hour duration of action) has been used for transversus
abdominis plane (TAP) blocks under direct visualization during abdominoplasty
with the goal of improving pain control during the early and intermediate
recovery period. MATERIALS AND METHODS: In this pilot study, 13 consecutive
patients were treated with the direct, fascial-splitting technique to reach the
TAP plane. Using a spinal needle, 20 mL of liposomal bupivacaine was injected
deep to the internal oblique fascia bilaterally under direct vision. Primary and
secondary endpoints of total opiate use and patient reported pain scores were
assessed. RESULTS: All 13 patients met inclusion criteria as abdominoplasty
patients with adequate follow-up data. The average visual analog scale pain score
was 2.5 on postoperative day 1 and 1.7 on postoperative day 3. The average total
opiate use (the number of 10 mg oxycodone tabs consumed) was 7.5 or 75 mg per
patient. CONCLUSIONS: This pilot study demonstrates the safety and efficacy of
liposomal bupivacaine TAP blocks under direct vision. Favorable pain control was
demonstrated. This represents an exciting opportunity to decrease postoperative
pain in the early and intermediate recovery period after abdominoplasty.
PMID- 26545225
TI - Do Stem Cells Have an Effect When We Fat Graft?
AB - Fat grafting has become a widely accepted modality of soft tissue restoration and
has found applications in many areas of aesthetic and reconstructive plastic
surgery. Numerous claims have been made regarding the regenerative effects of fat
grafting on the recipient bed. The purpose of this paper is to survey the
available literature to answer the question of whether fat grafting has a
positive effect on the surrounding tissues. It has been convincingly demonstrated
that fat grafts contain viable adipose-derived stem cells (ASCs). The fate of
these cells is determined by the microenvironment of the recipient bed, but
animal studies have shown that a large fraction of ASCs survive engraftment.
Numerous clinical studies have demonstrated the positive effects of fat grafting
on recipient tissues. Improvement in validated scar scores as well as scar
stiffness measurements have been documented after fat grafting of burn scars. Fat
grafting has also been convincingly demonstrated to improve the quality of
irradiated tissues, as measured by validated clinical scales and staged
histology. It is ultimately unclear whether ASCs are responsible for these
effects, but the circumstantial evidence is weighty. Fat grafting is effective
for volumizing and improving skin quality in the setting of radiation, burns, and
other scars. The observed effects are likely due to ASCs, but the evidence does
not support the routine use of ASC-enriched fat grafts.
PMID- 26545226
TI - The Need for Core Outcome Reporting in Autologous Fat Grafting for Breast
Reconstruction.
AB - INTRODUCTION: There is growing interest in autologous fat grafting (AFG) for
breast reconstruction. This systematic review examines the range of outcomes used
across studies of AFG, their definitions and whether there is a need for a core
outcome set to aid reporting. METHODS: Following the protocol of our systematic
review, a search of 20 databases (1986 to March 2014) returned 35 studies which
met the inclusion criteria. These were assessed independently by two authors.
Disagreements were resolved by consensus. RESULTS: Of the 35 studies, 27 (77%)
were case series, 5 (14.3%) were cohort studies, and 3 (8.6%) were case reports.
A total of 51 different outcomes were reported. These studies each reported a
median of five separate outcomes (range, 2-14), of which a median of 3 outcomes
were defined (range, 0-14). A median of 2 outcomes per paper were prespecified in
the study methods (range, 0-12) and a median of only 2 outcomes per paper (range,
0-12) were both defined and prespecified. The most commonly reported outcome in
studies of AFG was that of "operative details," reported by 26 studies, and eight
different outcome definitions were used. "Cancer recurrence" was reported by 20
studies, with the use of 10 different outcome definitions. Overall, there was a
poor proportion of defined and prespecified outcomes that employed a wide range
of different outcome definitions. In addition only 14/35 studies stated the
number of patients lost to follow-up. CONCLUSIONS: There is a need for a core
outcomes set for AFG to the breast to minimise outcome and reporting bias and aid
evidence synthesis. Our future research will focus in this direction, titled
VOGUE or Valid Outcomes for the Grafting of AUtologous Fat to the BrEast study.
We invite all those interested to get in touch with the lead author.
PMID- 26545227
TI - Effectiveness of a Novel Augmented Reality-Based Navigation System in Treatment
of Orbital Hypertelorism.
AB - BACKGROUND: Augmented reality (AR) technology can superimpose the virtual image
generated by computer onto the real operating field to present an integral image
to enhance surgical safety. The purpose of our study is to develop a novel AR
based navigation system for craniofacial surgery. We focus on orbital
hypertelorism correction, because the surgery requires high preciseness and is
considered tough even for senior craniofacial surgeon. METHODS: Twelve patients
with orbital hypertelorism were selected. The preoperative computed tomography
data were imported into 3-dimensional platform for preoperational design. The
position and orientation of virtual information and real world were adjusted by
image registration process. The AR toolkits were used to realize the integral
image. Afterward, computed tomography was also performed after operation for
comparing the difference between preoperational plan and actual operational
outcome. RESULTS: Our AR-based navigation system was successfully used in these
patients, directly displaying 3-dimensional navigational information onto the
surgical field. They all achieved a better appearance by the guidance of
navigation image. The difference in interdacryon distance and the dacryon point
of each side appear no significant (P > 0.05) between preoperational plan and
actual surgical outcome. CONCLUSIONS: This study reports on an effective
visualized approach for guiding orbital hypertelorism correction. Our AR-based
navigation system may lay a foundation for craniofacial surgery navigation. The
AR technology could be considered as a helpful tool for precise osteotomy in
craniofacial surgery.
PMID- 26545228
TI - Correcting the Alar Base Retraction in Crooked Nose by Dissection of Levator
Alaque Nasi Muscle.
AB - BACKGROUND: Nasal base retraction results from cephalic malposition of the alar
base in the vertical plane causing disharmonies in the alar base. In literature,
there are some excisional procedures to correct this deformity, but it may result
to nostril distortion, stenosis, or upper lip elevation. Here, a new technique is
reported for the correction of nasal base retraction in crooked nose by
manipulating the levator labii alaeque nasi muscle. METHODS: Sixteen patients, 6
women and 10 men ranging in age from 21 to 42 years, who have alar retraction
with crooked nose, were operated, with a follow-up period of 12 months.
Preoperative and postoperative frontal, profile, base, and oblique base views in
a standard manner were taken and analyzed with Image software. RESULTS:
Comparison of preoperative and postoperative photographs demonstrated that nasal
base retractions were corrected in all cases without distortion and recurrence.
Nasal obstruction was reduced after surgery, and self-evaluation of nasal patency
scores significantly increased in all patients (P < 0.001). Functional and
aesthetic outcomes were satisfactory for surgeons and the patients. CONCLUSIONS:
Careful analysis to identify the deformity and proper selection of the technique
will ensure a pleasing outcome. The new techniques presented for the correction
of nasal base retraction and prevention of the recurrence of the dorsal deviation
will help rhinoplasty surgeons obtain pleasing outcomes.
PMID- 26545229
TI - Modified Full Thickness Graded Blepharotomy for Upper Eyelid Retraction
Associated With Thyroid Eye Disease in East Asians.
AB - PURPOSE: To evaluate the functional and cosmetic outcomes of modified full
thickness graded blepharotomy when used for East Asian patients with upper eyelid
retraction of thyroid eye disease (TED). METHOD: Medical records of each patient
who underwent modified full-thickness blepharotomy at Korea University Guro
Hospitals from January 2009 to February 2014 to correct upper eyelid retraction
resulting from TED were retrospectively reviewed. RESULTS: Modified full
thickness graded blepharotomies were performed on 22 eyelids of 18 patients. The
most common preoperative upper eyelid retraction-associated symptom was asymmetry
of the upper eyelid (14 patients, 77.7%) followed by discomfort (10 patients,
55.5%), photophobia (5 patients, 27.7%), and epiphora (4 patients, 22.2%). Most
preoperative symptoms improved after blepharotomy (Table 1). Preoperatively,
upper eyelid retraction (MRD1; midpupil marginal reflex distance) ranged from 2.3
mm to 6.8 mm (mean, 5.23 +/- 0.89) in 22 lids; postoperatively, lid retraction
significantly decreased to 3.26 +/- 1.23 mm (P = 0.03 by independent t test)
(Table 2). Lid retraction was divided into 3 groups according to severity; a
severe group (5 eyelids, 27.7%), a moderate group (14 eyelids, 63.6%), and a mild
group (3 eyelids, 13.6%). The MRD1 improved regardless of severity (P = 0.03 in
the severe group, P = 0.02 in the moderate group, and P = 0.04 in the mild group
by independent t test). The MRD1 improvement did not differ significantly among
groups (P = 0.08 by Pearson chi t test). At 6 months postoperatively, the
midpupil marginal reflex distance was the perfect height in 13 of 22 lids
(59.0%), with a mean reduction of 3 mm, whereas 7 of 22 eyelids (31.8%) were at
acceptable height and 2 eyelids (9.0%) showed failure. Overall, 18 eyelids
(90.9%) exhibited objectively satisfactory results (perfect or acceptable) at 6
months after surgery (Table 3). CONCLUSIONS: Modified graded full thickness
eyelid blepharotomy is a reliable and safe method for upper eyelid lengthening
for East Asian patients with upper eyelid retraction of TED that offers excellent
functional and cosmetic results.
PMID- 26545230
TI - John B. Stanbury (May 15, 1915-July 6, 2015).
PMID- 26545232
TI - Comparative Study of Non-Enveloped Icosahedral Viruses Size.
AB - Now, as before, transmission electron microscopy (TEM) is a widely used technique
for the determination of virions size. In some studies, dynamic light scattering
(DLS) has also been applied for this purpose. Data obtained by different authors
and using different methods could vary significantly. The process of TEM sample
preparation involves drying on the substrate, which can cause virions to undergo
morphology changes. Therefore, other techniques should be used for measurements
of virions size in liquid, (i.e. under conditions closer to native). DLS and
nanoparticle tracking analysis (NTA) provide supplementary data about the virions
hydrodynamic diameter and aggregation state in liquid. In contrast to DLS, NTA
data have a higher resolution and also are less sensitive to minor admixtures. In
the present work, the size of non-enveloped icosahedral viruses of different
nature was analyzed by TEM, DLS and NTA: the viruses used were the
encephalomyocarditis virus (animal virus), and cauliflower mosaic virus, brome
mosaic virus and bean mild mosaic virus (plant viruses). The same, freshly
purified, samples of each virus were used for analysis using the different
techniques. The results were compared with earlier published data and description
databases. DLS data about the hydrodynamic diameter of bean mild mosaic virus,
and NTA data for all examined viruses, were obtained for the first time. For all
virus samples, the values of size obtained by TEM were less than virions sizes
determined by DLS and NTA. The contribution of the electrical double layer (EDL)
in virions hydrodynamic diameter was evaluated. DLS and NTA data adjusted for EDL
thickness were in better agreement with TEM results.
PMID- 26545233
TI - Frequency-Dependent Modulation of Regional Synchrony in the Human Brain by Eyes
Open and Eyes Closed Resting-States.
AB - The eyes-open (EO) and eyes-closed (EC) states have differential effects on BOLD
fMRI signal dynamics, affecting both the BOLD oscillation frequency of a single
voxel and the regional homogeneity (ReHo) of several neighboring voxels. To
explore how the two resting-states modulate the local synchrony through different
frequency bands, we decomposed the time series of each voxel into several
components that fell into distinct frequency bands. The ReHo in each of the bands
was calculated and compared between the EO and EC conditions. The cross-voxel
correlations between the mean frequency and the overall ReHo of each voxel's
original BOLD series in different brain areas were also calculated and compared
between the two states. Compared with the EC state, ReHo decreased with EO in a
wide frequency band of 0.01-0.25 Hz in the bilateral thalamus, sensorimotor
network, and superior temporal gyrus, while ReHo increased significantly in the
band of 0-0.01 Hz in the primary visual cortex, and in a higher frequency band of
0.02-0.1 Hz in the higher order visual areas. The cross-voxel correlations
between the frequency and overall ReHo were negative in all the brain areas but
varied from region to region. These correlations were stronger with EO in the
visual network and the default mode network. Our results suggested that different
frequency bands of ReHo showed different sensitivity to the modulation of EO-EC
states. The better spatial consistency between the frequency and overall ReHo
maps indicated that the brain might adopt a stricter frequency-dependent
configuration with EO than with EC.
PMID- 26545235
TI - Diorganyl dichalcogenides as useful synthons for colloidal semiconductor
nanocrystals.
AB - The ability to synthesize colloidal semiconductor nanocrystals in a well
controlled manner (i.e., with fine control over size, shape, size dispersion, and
composition) has been mastered over the past 15 years. Much of this success stems
from careful studies of precursor conversion and nanocrystal growth with respect
to phosphine chalcogenide precursors for the synthesis of metal chalcogenide
nanocrystals. Despite the high level of success that has been achieved with
phosphine chalcogenides, there has been a longstanding interest in exploring
alternate chalcogenide precursors because of issues associated with phosphine
chalcogenide cost, purity, toxicity, etc. This has resulted in a large body of
literature on the use of sulfur and selenium dissolved in octadecene or amines,
thio- and selenoureas, and silyl chalcogenides as alternate chalcogenide
precursors for metal chalcogenide nanocrystal synthesis. In this Account,
emerging work on the use of diorganyl dichalcogenides (R-E-E-R, where E = S, Se,
or Te and R = alkyl, allyl, benzyl, or aryl) as alternate chalcogenide precursors
for the synthesis of metal chalcogenide nanocrystals is summarized. Among the
benefits of these dichalcogenide synthons are the following: (i) they represent
the first and only common precursor type that can function as chalcogen transfer
reagents for each of the group VI elements (i.e., to make metal oxide, metal
sulfide, metal selenide, and metal telluride nanocrystals); (ii) they possess
relatively weak E-E bonds that can be readily cleaved under mild thermolytic or
photolytic conditions; and (iii) the organic substituents can be tuned to affect
the reactivity. These combined attributes have allowed dichalcogenide precursors
to be employed for a wide range of metal chalcogenide nanocrystal syntheses,
including those for In2S3, SnxGe1-xSe, SnTe, Cu2-xSySe1-y, ZnSe, CdS, CdSe,
MoSe2, WSe2, BiSe, and CuFeS2. Interestingly, a number of metastable phases of
compositionally complex semiconductors can be kinetically accessed through
syntheses utilizing dichalcogenide precursors, likely as a result of their
ability to convert at relatively low temperatures. These include the hexagonal
wurtzite phases of CuInS2, CuInSe2, Cu2ZnSn(S1-xSex)4, and Cu2SnSe3 nanocrystals.
The discovery of crystal phases on the nanoscale that do not exist in their bulk
analogues is a developing area of nanocrystal chemistry, and dichalcogenides are
proving to be a useful synthetic tool in this regard. The most recent application
of dichalcogenide synthons for semiconductor nanocrystals is their use as
precursors for surface ligands. While there is a rich history of using thiol
ligands for semiconductor nanocrystals, the analogous selenol and tellurol
ligands have not been studied, likely because of their oxidative instability.
Dichalcogenides have proven useful in this regard, as they can be reduced in situ
with diphenylphosphine to give the corresponding selenol or tellurol ligand that
binds to the nanocrystal surface. This chemistry has been applied to the in situ
synthesis and ligand binding of selenols to PbSe nanocrystals and both selenols
and tellurols to CdSe nanocrystals. These initial studies have allowed the
photophysics of these nanocrystal-ligand constructs to be investigated; in both
cases, it appears that the selenol and tellurol ligands act as hole traps that
quench the photoluminescence of the semiconductor nanocrystals.
PMID- 26545234
TI - On the Adjacency Matrix of RyR2 Cluster Structures.
AB - In the heart, electrical stimulation of cardiac myocytes increases the open
probability of sarcolemmal voltage-sensitive Ca2+ channels and flux of Ca2+ into
the cells. This increases Ca2+ binding to ligand-gated channels known as
ryanodine receptors (RyR2). Their openings cause cell-wide release of Ca2+, which
in turn causes muscle contraction and the generation of the mechanical force
required to pump blood. In resting myocytes, RyR2s can also open spontaneously
giving rise to spatially-confined Ca2+ release events known as "sparks." RyR2s
are organized in a lattice to form clusters in the junctional sarcoplasmic
reticulum membrane. Our recent work has shown that the spatial arrangement of
RyR2s within clusters strongly influences the frequency of Ca2+ sparks. We showed
that the probability of a Ca2+ spark occurring when a single RyR2 in the cluster
opens spontaneously can be predicted from the precise spatial arrangements of the
RyR2s. Thus, "function" follows from "structure." This probability is related to
the maximum eigenvalue (lambda1) of the adjacency matrix of the RyR2 cluster
lattice. In this work, we develop a theoretical framework for understanding this
relationship. We present a stochastic contact network model of the Ca2+ spark
initiation process. We show that lambda1 determines a stability threshold for the
formation of Ca2+ sparks in terms of the RyR2 gating transition rates. We
recapitulate these results by applying the model to realistic RyR2 cluster
structures informed by super-resolution stimulated emission depletion (STED)
microscopy. Eigendecomposition of the linearized mean-field contact network model
reveals functional subdomains within RyR2 clusters with distinct sensitivities to
Ca2+. This work provides novel perspectives on the cardiac Ca2+ release process
and a general method for inferring the functional properties of transmembrane
receptor clusters from their structure.
PMID- 26545236
TI - Balanced bilinguals favor lexical processing in their opaque language and
conversion system in their shallow language.
AB - Referred to as orthographic depth, the degree of consistency of grapheme/phoneme
correspondences varies across languages from high in shallow orthographies to low
in deep orthographies. The present study investigates the impact of orthographic
depth on reading route by analyzing evoked potentials to words in a deep (French)
and shallow (German) language presented to highly proficient bilinguals. ERP
analyses to German and French words revealed significant topographic modulations
240-280 ms post-stimulus onset, indicative of distinct brain networks engaged in
reading over this time window. Source estimations revealed that these effects
stemmed from modulations of left insular, inferior frontal and dorsolateral
regions (German>French) previously associated to phonological processing. Our
results show that reading in a shallow language was associated to a stronger
engagement of phonological pathways than reading in a deep language. Thus, the
lexical pathways favored in word reading are reinforced by phonological networks
more strongly in the shallow than deep orthography.
PMID- 26545237
TI - Mitochondrial Transcription Factor A (TFAM) Binds to RNA Containing 4-Way
Junctions and Mitochondrial tRNA.
AB - Mitochondrial DNA (mtDNA) is maintained within nucleoprotein complexes known as
nucleoids. These structures are highly condensed by the DNA packaging protein,
mitochondrial Transcription Factor A (TFAM). Nucleoids also include RNA, RNA:DNA
hybrids, and are associated with proteins involved with RNA processing and
mitochondrial ribosome biogenesis. Here we characterize the ability of TFAM to
bind various RNA containing substrates in order to determine their role in TFAM
distribution and function within the nucleoid. We find that TFAM binds to RNA
containing 4-way junctions but does not bind appreciably to RNA hairpins,
internal loops, or linear RNA:DNA hybrids. Therefore the RNA within nucleoids
largely excludes TFAM, and its distribution is not grossly altered with removal
of RNA. Within the cell, TFAM binds to mitochondrial tRNAs, consistent with our
RNA 4-way junction data. Kinetic binding assays and RNase-insensitive TFAM
distribution indicate that DNA remains the preferred substrate within the
nucleoid. However, TFAM binds to tRNA with nanomolar affinity and these complexes
are not rare. TFAM-immunoprecipitated tRNAs have processed ends, suggesting that
binding is not specific to RNA precursors. The amount of each immunoprecipitated
tRNA is not well correlated with tRNA celluar abundance, indicating unequal TFAM
binding preferences. TFAM-mt-tRNA interaction suggests potentially new functions
for this protein.
PMID- 26545238
TI - Increased Difficulties in Managing Stairs in Visually Impaired Older Adults: A
Community-Based Survey.
AB - PURPOSE: Managing stairs is a challenging aspect of daily activities of living
for older people. We assessed whether older adults with visual impairment (VI)
have greater difficulties of managing stairs in daily lives. METHODS: The study
was designed as a community-based cross-sectional study based on a Chinese cohort
aged 60 years and older in rural China. Visual acuity (VA) was measured in both
eyes using a retro-illuminated Snellen chart with tumbling-E optotypes. VI
(including blindness) was defined as presenting VA of worse than 20/60 in either
eye. Having any difficulties in managing stairs was self-reported based on a
question drawn from the Barthel Index. Information on participants' socioeconomic
status, lifestyle-related factors, diseases histories and medication intake was
collected using a questionnaire. RESULTS: The Barthel Index, Activities of Daily
Living questionnaire was completed by 4597 (99.7%) participants including 2218
men and 2379 women. The age of the participants ranged from 60 to 93 years with a
mean of 67.6 +/- 6.3 years. In age and gender adjusted models, adults with VI had
a higher likelihood of having difficulties in managing stairs (odds ratio [OR] =
2.7; 95% confidence interval [CI] 2.0, 3.7) compared with those without. The
association of VI with the likelihood of having difficulties in managing stairs
was stronger in older adults who lived alone (OR = 3.2; 95%CI 1.8, 4.5) compared
with those who lived with other family members (OR = 2.0; 95%CI 1.3, 4.3).
Compared with hypertension, diabetes, obesity and cognitive dysfunction, VI had
the greatest impact on people's abilities of managing stairs. CONCLUSION: VI was
associated with an increased likelihood of having difficulties in managing
stairs, especially in those who lived alone. However, whether the finding could
be extrapolated to other populations warrants further studies as different
environmental exposures such as illumination and types of stairs may alter the
association observed in this study.
PMID- 26545239
TI - Competence and Quality in Real-Life Decision Making.
AB - What distinguishes a competent decision maker and how should the issue of
decision quality be approached in a real-life context? These questions were
explored in three studies. In Study 1, using a web-based questionnaire and
targeting a community sample, we investigated the relationships between objective
and subjective indicators of real-life decision-making success. In Study 2 and 3,
targeting two different samples of professionals, we explored if the prevalent
cognitively oriented definition of decision-making competence could be
beneficially expanded by adding aspects of competence in terms of social skills
and time-approach. The predictive power for each of these three aspects of
decision-making competence was explored for different indicators of real-life
decision-making success. Overall, our results suggest that research on decision
making competence would benefit by expanding the definition of competence, by
including decision-related abilities in terms of social skills and time-approach.
Finally, the results also indicate that individual differences in real-life
decision-making success profitably can be approached and measured by different
criteria.
PMID- 26545241
TI - Correction: Identification of Candidate Coral Pathogens on White Band Disease
Infected Staghorn Coral.
PMID- 26545240
TI - Genetic Determinants of Pelvic Organ Prolapse among African American and Hispanic
Women in the Women's Health Initiative.
AB - Current evidence suggests a multifactorial etiology to pelvic organ prolapse
(POP), including genetic predisposition. We conducted a genome-wide association
study of POP in African American (AA) and Hispanic (HP) women from the Women's
Health Initiative Hormone Therapy study. Cases were defined as any POP (grades 1
3) or moderate/severe POP (grades 2-3), while controls had grade 0 POP. We
performed race-specific multiple logistic regression analyses between SNPs
imputed to 1000 genomes in relation to POP (grade 0 vs 1-3; grade 0 vs 2-3)
adjusting for age at diagnosis, body mass index, parity, and genetic ancestry.
There were 1274 controls and 1427 cases of any POP and 317 cases of
moderate/severe POP. Although none of the analyses reached genome-wide
significance (p<5x10-8), we noted variants in several loci that met p<10-6. In
race-specific analysis of grade 0 vs 2-3, intronic SNPs in the CPE gene
(rs28573326, OR:2.14; 95% CI 1.62-2.83; p = 1.0x10-7) were associated with POP in
AAs, and SNPs in the gene AL132709.5 (rs1950626, OR:2.96; 95% CI 1.96-4.48, p =
2.6x10-7) were associated with POP in HPs. Inverse variance fixed-effect meta
analysis of the race-specific results showed suggestive signals for SNPs in the
DPP6 gene (rs11243354, OR:1.36; p = 4.2x10-7) in the grade 0 vs 1-3 analyses and
for SNPs around PGBD5 (rs740494, OR:2.17; p = 8.6x10-7) and SHC3 (rs2209875,
OR:0.60; p = 9.3x10-7) in the grade 0 vs 2-3 analyses. While we did not identify
genome-wide significant findings, we document several SNPs reaching suggestive
statistical significance. Further interrogation of POP in larger minority samples
is warranted.
PMID- 26545242
TI - Population Dynamics of Owned, Free-Roaming Dogs: Implications for Rabies Control.
AB - BACKGROUND: Rabies is a serious yet neglected public health threat in resource
limited communities in Africa, where the virus is maintained in populations of
owned, free-roaming domestic dogs. Rabies elimination can be achieved through the
mass vaccination of dogs, but maintaining the critical threshold of vaccination
coverage for herd immunity in these populations is hampered by their rapid
turnover. Knowledge of the population dynamics of free-roaming dog populations
can inform effective planning and implementation of mass dog vaccination
campaigns to control rabies. METHODOLOGY/PRINCIPAL FINDINGS: We implemented a
health and demographic surveillance system in dogs that monitored the entire
owned dog population within a defined geographic area in a community in
Mpumalanga Province, South Africa. We quantified demographic rates over a 24
month period, from 1st January 2012 through 1st January 2014, and assessed their
implications for rabies control by simulating the decline in vaccination coverage
over time. During this period, the population declined by 10%. Annual population
growth rates were +18.6% in 2012 and -24.5% in 2013. Crude annual birth rates
(per 1,000 dog-years of observation) were 451 in 2012 and 313 in 2013. Crude
annual death rates were 406 in 2012 and 568 in 2013. Females suffered a
significantly higher mortality rate in 2013 than males (mortality rate ratio
[MRR] = 1.54, 95% CI = 1.28-1.85). In the age class 0-3 months, the mortality
rate of dogs vaccinated against rabies was significantly lower than that of
unvaccinated dogs (2012: MRR = 0.11, 95% CI = 0.05-0.21; 2013: MRR = 0.31, 95% CI
= 0.11-0.69). The results of the simulation showed that achieving a 70%
vaccination coverage during annual campaigns would maintain coverage above the
critical threshold for at least 12 months. CONCLUSIONS AND SIGNIFICANCE: Our
findings provide an evidence base for the World Health Organization's empirically
derived target of 70% vaccination coverage during annual campaigns. Achieving
this will be effective even in highly dynamic populations with extremely high
growth rates and rapid turnover. This increases confidence in the feasibility of
dog rabies elimination in Africa through mass vaccination.
PMID- 26545244
TI - Cognitive Reflection and the Diligent Worker: An Experimental Study of
Millennials.
AB - Recent studies have shown that despite crucially needing the creative talent of
millennials (people born after 1980) organizations have been reluctant to hire
young workers because of their supposed lack of diligence. We propose to help
resolve this dilemma by studying the determinants of task performance and
shirking behaviors of millennials in a laboratory work environment. We find that
cognitive ability is a good predictor of task performance in line with previous
literature. In contrast with previous research, personality traits do not
consistently predict either task performance or shirking behaviors. Shirking
behaviors, as measured by the time participants spent browsing the internet for
non-work purposes (Cyberloafing), were only explained by the performance on the
Cognitive Reflection Test (CRT). This finding echoes recent research in cognitive
psychology according to which conventional measures of cognitive ability only
assess a narrow concept of rational thinking (the algorithmic mind) that fails to
capture individuals' capacity to reflect and control their impulses. Our findings
suggest that hiring diligent millennials relies on the use of novel cognitive
measures such as CRT in lieu of standard personality and intelligence tests.
PMID- 26545243
TI - Evaluation of High-Throughput Genomic Assays for the Fc Gamma Receptor Locus.
AB - Cancer immunotherapy has been revolutionised by the use monoclonal antibodies
(mAb) that function through their interaction with Fc gamma receptors
(FcgammaRs). The low-affinity FcgammaR genes are highly homologous, map to a
complex locus at 1p23 and harbour single nucleotide polymorphisms (SNPs) and copy
number variation (CNV) that can impact on receptor function and response to
therapeutic mAbs. This complexity can hinder accurate characterisation of the
locus. We therefore evaluated and optimised a suite of assays for the genomic
analysis of the FcgammaR locus amenable to peripheral blood mononuclear cells and
formalin-fixed paraffin-embedded (FFPE) material that can be employed in a high
throughput manner. Assessment of TaqMan genotyping for FCGR2A-131H/R, FCGR3A
158F/V and FCGR2B-232I/T SNPs demonstrated the need for additional methods to
discriminate genotypes for the FCGR3A-158F/V and FCGR2B-232I/T SNPs due to
sequence homology and CNV in the region. A multiplex ligation-dependent probe
amplification assay provided high quality SNP and CNV data in PBMC cases, but
there was greater data variability in FFPE material in a manner that was
predicted by the BIOMED-2 multiplex PCR protocol. In conclusion, we have
evaluated a suite of assays for the genomic analysis of the FcgammaR locus that
are scalable for application in large clinical trials of mAb therapy. These
assays will ultimately help establish the importance of FcgammaR genetics in
predicting response to antibody therapeutics.
PMID- 26545245
TI - Evaluation of Argos Telemetry Accuracy in the High-Arctic and Implications for
the Estimation of Home-Range Size.
AB - Animal tracking through Argos satellite telemetry has enormous potential to test
hypotheses in animal behavior, evolutionary ecology, or conservation biology. Yet
the applicability of this technique cannot be fully assessed because no clear
picture exists as to the conditions influencing the accuracy of Argos locations.
Latitude, type of environment, and transmitter movement are among the main
candidate factors affecting accuracy. A posteriori data filtering can remove
"bad" locations, but again testing is still needed to refine filters. First, we
evaluate experimentally the accuracy of Argos locations in a polar terrestrial
environment (Nunavut, Canada), with both static and mobile transmitters
transported by humans and coupled to GPS transmitters. We report static errors
among the lowest published. However, the 68th error percentiles of mobile
transmitters were 1.7 to 3.8 times greater than those of static transmitters.
Second, we test how different filtering methods influence the quality of Argos
location datasets. Accuracy of location datasets was best improved when filtering
in locations of the best classes (LC3 and 2), while the Douglas Argos filter and
a homemade speed filter yielded similar performance while retaining more
locations. All filters effectively reduced the 68th error percentiles. Finally,
we assess how location error impacted, at six spatial scales, two common
estimators of home-range size (a proxy of animal space use behavior synthetizing
movements), the minimum convex polygon and the fixed kernel estimator. Location
error led to a sometimes dramatic overestimation of home-range size, especially
at very local scales. We conclude that Argos telemetry is appropriate to study
medium-size terrestrial animals in polar environments, but recommend that
location errors are always measured and evaluated against research hypotheses,
and that data are always filtered before analysis. How movement speed of
transmitters affects location error needs additional research.
PMID- 26545246
TI - Delayed school start times and adolescent sleep: A systematic review of the
experimental evidence.
AB - Many schools have instituted later morning start times to improve sleep,
academic, and other outcomes in response to the mismatch between youth circadian
rhythms and early morning start times. However, there has been no systematic
synthesis of the evidence on the effects of this practice. To examine the impact
of delayed school start time on students' sleep, health, and academic outcomes,
electronic databases were systematically searched and data were extracted using
the preferred reporting items for systematic reviews and meta-analyses (PRISMA)
guidelines. Six studies satisfied selection criteria and used pre-post, no
control (n = 3), randomized controlled trial (n = 2), and quasi-experimental (n =
1) designs. School start times were delayed 25-60 min, and correspondingly, total
sleep time increased from 25 to 77 min per weeknight. Some studies revealed
reduced daytime sleepiness, depression, caffeine use, tardiness to class, and
trouble staying awake. Overall, the evidence supports recent non-experimental
study findings and calls for policy that advocates for delayed school start time
to improve sleep. This presents a potential long-term solution to chronic sleep
restriction during adolescence. However, there is a need for rigorous randomized
study designs and reporting of consistent outcomes, including objective sleep
measures and consistent measures of health and academic performance.
PMID- 26545247
TI - Modelling changes in sleep timing and duration across the lifespan: Changes in
circadian rhythmicity or sleep homeostasis?
AB - Sleep changes across the lifespan, with a delay in sleep timing and a reduction
in slow wave sleep seen in adolescence, followed by further reductions in slow
wave sleep but a gradual drift to earlier timing during healthy ageing. The
mechanisms underlying changes in sleep timing are unclear: are they primarily
related to changes in circadian processes, or to a reduction in the neural
activity dependent build up of homeostatic sleep pressure during wake, or both?
We review existing studies of age-related changes to sleep and explore how
mathematical models can explain observed changes. Model simulations show that
typical changes in sleep timing and duration, from adolesence to old age, can be
understood in two ways: either as a consequence of a simultaneous reduction in
the amplitude of the circadian wake-propensity rhythm and the neural activity
dependent build-up of homeostatic sleep pressure during wake; or as a consequence
of reduced homeostatic sleep pressure alone. A reduction in the homeostatic
pressure also explains greater vulnerability of sleep to disruption and reduced
daytime sleep-propensity in healthy ageing. This review highlights the important
role of sleep homeostasis in sleep timing. It shows that the same phenotypic
response may have multiple underlying causes, and identifies aspects of sleep to
target to correct delayed sleep in adolescents and advanced sleep in later life.
PMID- 26545249
TI - Hymen reconstruction as pragmatic empowerment? Results of a qualitative study
from Tunisia.
AB - Hymen reconstruction surgery (HR), while ethically controversial, is now
available in many countries. Little clinical evidence and hardly any surgical
standards support the intervention. Nearly as scarce is social science research
exploring women's motivations for the intervention, and health care
professionals' justifications for its provision. In order to better understand
decision-making processes, we conducted semi-structured interviews in
metropolitan Tunis, in 2009, with six women seeking the procedure, four friends
who supported such women, four physicians who perform the operation, and one
midwife. Health care professionals and patient companions expressed moral
ambivalence about HR: although they could comprehend the individual situation of
the women, they expressed concern that availability of the procedure might
further entrench the patriarchal norms that compel the motivation for seeking HR
in the first place. Some women seeking HR shared this concern, but felt it was
not outweighed by their personal aims, which were to marry and become mothers, or
to overcome past violent sexual experiences. The women felt HR to be uniquely
helpful in achieving these aims; all made pragmatic decisions about their bodies
in a social environment dominated by patriarchal norms. The link between HR and
pervasive gender injustice, including the credible threat of serious social and
physical harm to women perceived to have failed to uphold the norm of virginity
before marriage, raises questions about health care professionals' responsibility
while facing requests for HR. Meaningful regulatory guidance must acknowledge
that these genuine harms are at stake; it must do so, however, without resorting
to moral double standards. We recommend a reframing of HR as a temporary resource
for some women making pragmatic choices in a context of structural gender
injustice. We reconfirm the importance of factual sexual and reproductive
education, most importantly to counter distorted beliefs that conflate an "intact
hymen" with virginity.
PMID- 26545248
TI - Caffeine-Induced Premature Chromosome Condensation Results in the Apoptosis-Like
Programmed Cell Death in Root Meristems of Vicia faba.
AB - We have demonstrated that the activation of apoptosis-like programmed cell death
(AL-PCD) was a secondary result of caffeine (CF) induced premature chromosome
condensation (PCC) in hydroxyurea-synchronized Vicia faba root meristem cells.
Initiation of the apoptotic-like cell degradation pathway seemed to be the result
of DNA damage generated by treatment with hydroxyurea (HU) [double-stranded
breaks (DSBs) mostly] and co-treatment with HU/CF [single-stranded breaks (SSBs)
mainly]. A single chromosome comet assay was successfully used to study different
types of DNA damage (neutral variant-DSBs versus alkaline-DSBs or SSBs). The
immunocytochemical detection of H2AXS139Ph and PARP-2 were used as markers for
DSBs and SSBs, respectively. Acridine orange and ethidium bromide (AO/EB) were
applied for quantitative immunofluorescence measurements of dead, dying and
living cells. Apoptotic-type DNA fragmentation and positive TUNEL reaction
finally proved that CF triggers AL-PCD in stressed V. faba root meristem cells.
In addition, the results obtained under transmission electron microscopy (TEM)
further revealed apoptotic-like features at the ultrastructural level of PCC-type
cells: (i) extensive vacuolization; (ii) abnormal chromatin condensation, its
marginalization and concomitant degradation; (iii) formation of autophagy-like
vesicles (iv) protoplast shrinkage (v) fragmentation of cell nuclei and (vi)
extensive degeneration of the cells. The results obtained have been discussed
with respect to the vacuolar/autolytic type of plant-specific AL-PCD.
PMID- 26545250
TI - Nutrition, Frailty, Cognitive Frailty and Prevention of Disabilities with Aging.
AB - Older adults can be categorized into three subgroups to better design and develop
personalized interventions: the disabled (those needing assistance in the
accomplishment of basic activities of daily living), the 'frail' (those
presenting limitations and impairments in the absence of disability) and the
'robust' (those without frailty or disability). However, despite evidence linking
frailty with a poor outcome, frailty is not implemented clinically in most
countries. Since many people are not identified as frail, their treatment is
frequently inappropriate in health care settings. Assessing the frail and
prefrail older adults can no longer be delayed, we should rather act preventively
before the irreversible disabling cascade is in place. Clinical characteristics
of frailty such as weakness, low energy, slow walking speed, low physical
activity and weight loss underline the links between nutrition and frailty.
Physical frailty is also associated with cognitive frailty. We need to better
understand cognitive frailty, a syndrome which must be differentiated from
Alzheimer's disease. At the Gerontopole frailty clinics, we have found that
almost 40% of the patients referred to our center by their primary care
physicians to evaluate frailty had significant weight loss in the past 3 months,
83.9% of patients presented slow gait speed, 53.8% a sedentary lifestyle and
57.7% poor muscle strength. Moreover, 43% had a Mini-Nutritional Assessment less
than 23.5 and 9% less than 17, which reflects protein-energy undernutrition. More
than 60% had some cognitive impairment associated with physical frailty.
PMID- 26545251
TI - Melamine Induces Oxidative Stress in Mouse Ovary.
AB - Melamine is a nitrogen heterocyclic triazine compound which is widely used as an
industrial chemical. Although melamine is not considered to be acutely toxic with
a high LD50 in animals, food contaminated with melamine expose risks to the human
health. Melamine has been reported to be responsible for the renal impairment in
mammals, its toxicity on the reproductive system, however, has not been
adequately assessed. In the present study, we examined the effect of melamine on
the follicle development and ovary formation. The data showed that melamine
increased reactive oxygen species (ROS) levels, and induced granulosa cell
apoptosis as well as follicle atresia. To further analyze the mechanism by which
melamine induces oxidative stress, the expression and activities of two key
antioxidant enzymes superoxide dismutase (SOD) and glutathione peroxidase (GPX)
were analyzed, and the concentration of malondialdehyde (MDA) were compared
between control and melamine-treated ovaries. The result revealed that melamine
changed the expression and activities of SOD and GPX in the melamine-treated
mice. Therefore, we demonstrate that melamine causes damage to the ovaries via
oxidative stress pathway.
PMID- 26545252
TI - The Biological Value of Protein.
AB - The biological value of a protein extends beyond its amino-acid composition and
digestibility, and can be influenced by additional factors in a tissue-specific
manner. In healthy individuals, the slow appearance of dietary amino acids in the
portal vein and subsequently in the systemic circulation in response to bolus
protein ingestion improves nitrogen retention and decreases urea production. This
is promoted by slow absorption when only protein is ingested (e.g. casein). When
a full meal is ingested, whey achieves slightly better nitrogen retention than
soy or casein, which is very likely achieved by its high content of essential
amino acids (especially leucine). Elderly people exhibit 'anabolic resistance'
implying that more protein is required to reach maximal rates of muscle protein
synthesis compared to young individuals. Protein utilization in inflammatory or
traumatic conditions increases substantially in the splanchnic tissues containing
most of the immune system, and in wounds and growing tissues. This happens
especially in the elderly, which often suffer from chronic inflammatory activity
due to disease, physical inactivity and/or the aging process itself.
Consequently, the proportion of protein absorbed in the gut and utilized for
muscle protein synthesis decreases in these situations. This compromises dietary
protein-induced stimulation of muscle protein synthesis and ultimately results in
increased requirements of protein (~1.2 g/kg body weight/day) to limit gradual
muscle loss with age. To optimally preserve muscle mass, physical exercise is
required. Exercise has both direct effects on muscle mass and health, and
indirect effects by increasing the utilization of dietary protein (especially
whey) to enhance rates of muscle protein synthesis.
PMID- 26545253
TI - A Novel Canine Model of Acute Vertebral Artery Occlusion.
AB - BACKGROUND: The extended time window and theoretic reduction in hemorrhage make
mechanical strategies an attractive approach for the treatment of patients with
ischemic stroke. However, a limited availability of suitable animal models of
cerebrovascular thrombosis has hampered the study of novel endovascular
interventions. The aim of the present study was to develop a new technique for
site-specific placement of a thrombus in a canine model that would allow for the
evaluation of mechanical thrombectomy and clot retrieval methods and the
visualization of thrombus dislocation or fragmentation during angiographic
manipulation. METHODS: Angiography and embolization with a preformed thrombus
were performed in 12 canines. Under fluoroscopic guidance, an embolism protection
device (EPD) was anchored to the middle segment of the left vertebral artery (VA)
via the left femoral arterial sheath. A preformed radiopaque clot was injected
through the guide catheter into the left VA, via the contralateral femoral
artery, proximal to the EPD. After 15 min of occlusion, the EPD was removed and
persistent occlusion of the VA was documented angiographically. RESULTS:
Angiography performed during the observation period confirmed the persistence of
VA occlusion in each case, and displacement of the radiopaque clots did not occur
during the 3-hour observation period. The technique allowed selective
embolization of targeted vessels without thrombus fragmentation. CONCLUSION: This
study demonstrates, for the first time, a canine model of post-circulation
embolism induced by autologous blood clot placement. This model can be rapidly
formed and easily operated, and the site of thrombosis can be readily controlled.
PMID- 26545254
TI - Cleavage of a Neuroinvasive Human Respiratory Virus Spike Glycoprotein by
Proprotein Convertases Modulates Neurovirulence and Virus Spread within the
Central Nervous System.
AB - Human coronaviruses (HCoV) are respiratory pathogens that may be associated with
the development of neurological diseases, in view of their neuroinvasive and
neurotropic properties. The viral spike (S) glycoprotein is a major virulence
factor for several coronavirus species, including the OC43 strain of HCoV (HCoV
OC43). In an attempt to study the role of this protein in virus spread within the
central nervous system (CNS) and neurovirulence, as well as to identify amino
acid residues important for such functions, we compared the sequence of the S
gene found in the laboratory reference strain HCoV-OC43 ATCC VR-759 to S
sequences of viruses detected in clinical isolates from the human respiratory
tract. We identified one predominant mutation at amino acid 758 (from RRSR? G758
to RRSR?R758), which introduces a putative furin-like cleavage (?) site. Using a
molecular cDNA infectious clone to generate a corresponding recombinant virus, we
show for the first time that such point mutation in the HCoV-OC43 S glycoprotein
creates a functional cleavage site between the S1 and S2 portions of the S
protein. While the corresponding recombinant virus retained its neuroinvasive
properties, this mutation led to decreased neurovirulence while potentially
modifying the mode of virus spread, likely leading to a limited dissemination
within the CNS. Taken together, these results are consistent with the adaptation
of HCoV-OC43 to the CNS environment, resulting from the selection of quasi
species harboring mutations that lead to amino acid changes in viral genes, like
the S gene in HCoV-OC43, which may contribute to a more efficient establishment
of a less pathogenic but persistent CNS infection. This adaptative mechanism
could potentially be associated with human encephalitis or other neurological
degenerative pathologies.
PMID- 26545255
TI - Macrophage Receptor with Collagenous Structure (MARCO) Is Processed by either
Macropinocytosis or Endocytosis-Autophagy Pathway.
AB - The Macrophage Receptor with COllagenous structure (MARCO) protein is a plasma
membrane receptor for un-opsonized or environmental particles on phagocytic
cells. Here, we show that MARCO was internalized either by ruffling of plasma
membrane followed by macropinocytosis or by endocytosis followed by fusion with
autophagosome in CHO-K1 cells stably transfected with GFP-MARCO. The
macropinocytic process generated large vesicles when the plasma membrane
subsided. The endocytosis/autophagosome (amphisome) generated small fluorescent
puncta which were visible in the presence of glutamine, chloroquine, bafilomycin,
ammonia, and other amines. The small puncta, but not the large vesicles, co
localized with LC3B and lysosomes. The LC3-II/LC3-I ratio increased in the
presence of glutamine, ammonia, and chloroquine in various cells. The small
puncta trafficked between the peri-nuclear region and the distal ends of cells
back and forth at rates of up to 2-3 MUm/sec; tubulin, but not actin, regulated
the trafficking of the small puncta. Besides phagocytosis MARCO, an adhesive
plasma membrane receptor, may play a role in incorporation of various
extracellular materials into the cell via both macropinocytic and endocytic
pathways.
PMID- 26545256
TI - Diagnostic Value of T-Cell Interferon-gamma Release Assays on Cerebrospinal Fluid
for Tuberculous Meningitis.
AB - Diagnosis of tuberculous meningitis (TBM) remains a challenge. This study aimed
to evaluate the performance of T-SPOT.TB test on cerebrospinal fluid mononuclear
cells (CSFMCs) for suspected TBM patients. 43 consecutive patients with suspected
TBM were enrolled in the study from June 2011 to September 2014. T-SPOT.TB was
performed on both CSFMCs and peripheral blood mononuclear cells (PBMCs). The
final diagnosis of TBM was independent of the T-SPOT.TB result. The diagnostic
sensitivity, specificity, predictive value, and likelihood ratio of T-SPOT.TB on
CSFMCs and PBMCs were analyzed. Of the 43 patients, 12 (27.9%) were finally
diagnosed with TBM, 28 (65.1%) with non-TBM, and 3 (7.0%) with indeterminate
diagnoses. Of 40 cases with definite diagnoses, the sensitivity and specificity
were 92.0% and 96.0% for T-SPOT.TB on CSFMCs, and 83.0% and 82.0% for T-SPOT.TB
on PBMCs, respectively. The positive predictive value (PPV) and negative
predictive value (NPV) of T-SPOT.TB on CSFMCs were 85.0% and 96.0%, respectively.
The PPV and NPV were 67.0% and 92.0% for T-SPOT.TB on PBMCs. The difference of T
SPOT.TB between CSFMCs and PBMCs was not significant so far as sensitivity,
specificity, PPV, and NPV were concerned (P>0.05 for each). However, T-SPOT.TB on
CSFMC and CSFMC: PBMC in TBM cases seemed higher than that in non-TBM cases. Our
study further showed that T-SPOT.TB on CSFMCs might be a rapid and accurate
diagnostic test for TBM. CSFMC: PBMC T-SPOT.TB ratio might be useful for the
early diagnosis of TBM.
PMID- 26545257
TI - Long-term use of benzodiazepines: Definitions, prevalence and usage patterns - a
systematic review of register-based studies.
AB - BACKGROUND: Numerous treatment guidelines recommend that long-term use of
benzodiazepines (BZD) should be avoided primarily due to development of tolerance
and a risk for BZD dependence. Despite this, long-term BZD use remains a
controversial subject in clinical patient care with "for and against" debates.
However, there is no explicit understanding of what is meant by long-term BZD use
in real world. The aim of this study was to assess different definitions, usage
patterns, prevalence and other characteristics of long-term BZD use based on
published register-based studies. Synthesis of these characteristics is essential
to derive a meaningful definition of long-term BZD. METHODS: Systematic review of
register-based studies on long-term BZD use published in 1994-2014. RESULTS:
Fourty-one studies met our predetermined inclusion criteria. The length of BZD
use defined as "long-term" varied in these studies ranging from one month to
several years. The most common definition was six months or longer during a year.
The prevalence of long-term BZD use in the general population was estimated to be
about 3%. The relative proportion of long-term BZD users (all definitions) in
adult BZD users ranged from 6% to 76% (mean 24%; 95% CL 13-36%). The estimates
were higher in studies only on the elderly (47%; 95% CL 31-64%). Long-term use
involved typically steady treatment with low BZD doses. However, in elderly
patients long-term BZD use and exceeding recommended doses was relatively common.
Several characteristics associated with long-term use were found. CONCLUSIONS:
Long-term BZD use is common and a clinical reality. Uniform definitions for "long
term", which is in line with population-based evidence, is needed to have more
comparable results between studies. Our systematic review suggests that duration
of BZD treatment over six months, the most common definition for long-term BZD
use in the included studies. As also recommended previously, it is a useful
starting point for further analyses on disadvantages but also potential
advantages associated with long-term BZD use.
PMID- 26545258
TI - The End of the One-Child Policy: Lasting Implications for China.
PMID- 26545259
TI - Optic Disc - Fovea Angle: The Beijing Eye Study 2011.
AB - PURPOSE: To determine the optic disc-fovea angle (defined as angle between the
horizontal and the line between the optic disc center and the fovea) and to
assess its relationships with ocular and systemic parameters. METHODS: The
population-based cross-sectional Beijing Eye Study 2011 included 3468
individuals. A detailed ophthalmic examination was carried out. Using fundus
photographs, we measured the disc-fovea angle. RESULTS: Readable fundus
photographs were available for 6043 eyes of 3052 (88.0%) individuals with a mean
age of 63.6+/-9.3 years (range: 50-91 years) and a mean axial length of 23.2+/
1.0 mm (range: 18.96-28.87 mm). Mean disc-fovea angle was 7.76 +/- 3.63 degrees
(median: 7.65 degrees ; range: -6.3 degrees to 28.9 degrees ). The mean inter
eye difference was 4.01 +/- 2.94 degrees (median: 3.49 degrees ; range: 0.00
22.3 degrees ). In multivariate analysis, larger disc-fovea angle was associated
(regression coefficient r2: 0.08) with older age (P = 0.009; standardized
regression coefficient beta: 0.05), thinner RNFL in the nasal superior sector
(P<0.001; beta: -0.17), superior sector (P<0.001; beta: -0.10) and temporal
superior sector (P<0.001; beta: -0.11) and thicker RNFL in the inferior sector
(P<001; beta: 0.13), nasal inferior sector (P<001; beta: 0.13) and nasal sector
(P = 0.007; beta: 0.06), higher prevalence of retinal vein occlusion (P = 0.02;
beta: 0.04), and with larger cylindrical refractive error (P = 0.04; beta: 0.04).
CONCLUSIONS: The optic disc-fovea angle markedly influences the regional
distribution of the RNFL thickness pattern. The disc-fovea angle may routinely be
taken into account in the morphological glaucoma diagnosis and in the assessment
of structure-function relationship in optic nerve diseases. Future studies may
address potential associations between a larger disc-fovea angle and retinal vein
occlusions and between the disc-fovea angle and the neuroretinal rim shape.
PMID- 26545260
TI - Contributions of the surgeon Nikolai Korotkov (1874-1920) to the management of
extremity vascular injury.
AB - The Russian military surgeon Nikolai Korotkov is known worldwide, mainly among
internists and cardiovascular specialists, as the discoverer of the auscultatory
method of measuring arterial blood pressure in 1905. This article reveals him as
one of the first military vascular surgeons to carefully investigate, analyze,
and register cases of vascular injury during his voluntarily trips to the Russian
Far East in 1900 to 1901 and the Russo-Japanese War of 1904 to 1905. Examining 44
patients with extremity arterial and arterial-venous pseudoaneurysms following
war-related injury, he routinely performed a measure termed the "arterial
pressure index" using "Korotkov sounds." This pioneering approach to assessing
extremity perfusion was the precursor to the modern-day ankle-brachial and
injured extremity indices, and it initiated the quantitative assessment of the
compensatory ability of the vascular system to restore circulation following
axial artery ligation. Because of high thrombosis rates following direct vessel
repair during his day, he proposed use of pharmacologic substances such as
digitalis and amyl nitrite to improve extremity perfusion. As evidence of his
innovative nature, Korotkov even proposed the use of "oxygenated nutrient
solutions" in the future to improve extremity circulation. More than 100 years
after his work, as continuous wave Doppler ultrasound, contrast angiography, and
computed tomography are ubiquitous as diagnostic tools, the practice of surgery
would be well served to recall Korotkov's foundational work and the rule of thumb
for any physician: examine the patient.
PMID- 26545261
TI - Novel triazolyl-functionalized chitosan derivatives with different chain lengths
of aliphatic alcohol substituent: Design, synthesis, and antifungal activity.
AB - Chemical modification of chitosan is increasingly studied for its potential of
providing new application for chitosan. Here, we modify chitosan at its primary
hydroxyl via 'click chemistry', and a group of novel water soluble chitosan
derivatives with substituted 1,2,3-triazolyl group were designed and synthesized.
Aliphatic alcohols with different lengths were used as functional dendrons to
improve the antifungal activity of chitosan derivatives. Meanwhile, their
antifungal activity against two kinds of phytopathogens was estimated by hypha
measurement in vitro. All the chitosan derivatives exhibited excellent activity
against tested fungi. It is found that the antifungal activity of chitosan
derivatives against the tested fungi increases with augment in the chain length
of straight aliphatic alcohols. And the hydrophobic moiety (alkyl) at the
periphery of the synthesized chitosan derivatives tends to affect their
antifungal activity.
PMID- 26545262
TI - Substrate-binding specificity of chitinase and chitosanase as revealed by active
site architecture analysis.
AB - Chitinases and chitosanases, referred to as chitinolytic enzymes, are two
important categories of glycoside hydrolases (GH) that play a key role in
degrading chitin and chitosan, two naturally abundant polysaccharides. Here, we
investigate the active site architecture of the major chitosanase (GH8, GH46) and
chitinase families (GH18, GH19). Both charged (Glu, His, Arg, Asp) and aromatic
amino acids (Tyr, Trp, Phe) are observed with higher frequency within
chitinolytic active sites as compared to elsewhere in the enzyme structure,
indicating significant roles related to enzyme function. Hydrogen bonds between
chitinolytic enzymes and the substrate C2 functional groups, i.e. amino groups
and N-acetyl groups, drive substrate recognition, while non-specific CH-pi
interactions between aromatic residues and substrate mainly contribute to tighter
binding and enhanced processivity evident in GH8 and GH18 enzymes. For different
families of chitinolytic enzymes, the number, type, and position of substrate
atoms bound in the active site vary, resulting in different substrate-binding
specificities. The data presented here explain the synergistic action of multiple
enzyme families at a molecular level and provide a more reasonable method for
functional annotation, which can be further applied toward the practical
engineering of chitinases and chitosanases.
PMID- 26545263
TI - Social stress in early adolescents' daily lives: Associations with affect and
loneliness.
AB - Adolescence is characterized by increased social stress due to changes in
interpersonal relationships, but little is known about daily experiences of
social stress. The aim of the present study was to examine daily life predictors
of increases in social stress, how these increases affected adolescents' mood,
and whether loneliness moderated these relations. The Experience Sampling Method
was used to measure positive and negative affect and increases in social stress
in 278 early adolescents from the Netherlands. Results showed that adolescents
were most likely to experience increases in social stress when they were with
classmates, during week days, and in the morning. Lonely adolescents showed
higher increases in social stress and responded more negatively to increases in
social stress, compared to non-lonely adolescents.
PMID- 26545264
TI - Statistical issues in trials of preexposure prophylaxis.
AB - PURPOSE OF REVIEW: We discuss selected statistical issues in the design and
analysis of preexposure prophylaxis (PrEP) trials. The general principles may
inform thinking for other interventions in HIV prevention. RECENT FINDINGS: To
date, four different designs have been used to determine the effectiveness of
PrEP: randomized, double-blind, placebo-controlled; randomized, open-label,
immediate or delayed access; nonrandomized comparison of HIV incidence according
to the level of drug detected; comparison of the observed HIV incidence to the
expected rate using historical control data. Open-label trials of PrEP, which
assess public health effectiveness, complement the placebo-controlled trials
which established the biological efficacy of TDF/FTC. Future trials of PrEP will
be highly challenging to design since a no PrEP group is difficult to justify and
the natural control regimen, TDF/FTC, is highly efficacious. SUMMARY: Standard
statistical paradigms for noninferiority trials should be reconsidered for
evaluating alternative PrEP regimens.
PMID- 26545265
TI - What does preexposure prophylaxis mean for treatment; what does treatment mean
for preexposure prophylaxis?
AB - PURPOSE OF REVIEW: Both preexposure prophylaxis (PrEP) and treatment as
prevention (TaP) have shown promise in contributing to HIV prevention, in models,
observational cohorts and in real-world intervention studies. They share
similarities, in that they use the same drugs, toxicity markers and may be
focused on the same key populations. How to implement PrEP is still the source of
much debate; effective coverage with TaP, with recent data on the positive impact
of treatment at high CD4 counts, is still an ongoing challenge. RECENT FINDINGS:
Treatment has demonstrated individual benefit even at CD4 counts above 350
cells/MUl; PrEP has shown the effectiveness in real-world use. SUMMARY: This
article discusses the intersection of the two interventions, some programmatic
misconceptions and complexities, and argues that PrEP is a nuanced and useful
adjunct to HIV programmes. PrEP can be rolled out in a way that complements
treatment, possibly even within primary health clinics, and may be required for
the many people in whom TaP currently fails. PrEP will need constant adaptation
so as to maintain programmatic and cost-effectiveness, as the epidemiology of HIV
changes with TaP rollout and expansion as CD4 restrictions are lifted. Finally,
the article also argues that so-called ethical concerns around competing
resources are relatively easily resolved.
PMID- 26545266
TI - Constructing the cascade of HIV care: methods for measurement.
AB - PURPOSE OF REVIEW: Although the concept of the HIV treatment cascade has reached
nearly ubiquitous acceptance in international HIV policy and research, methods
for estimating it vary drastically. These variations become increasingly
important as the focus of the HIV response shifts from emergency response to long
term outcomes and financial and organizational sustainability. We review the
history of the cascade and the current literature and develop the first
comprehensive typology of cascade scope and methods. RECENT FINDINGS: We define
the cascade scope in terms of both breadth (range from first to final event) and
depth (given breadth, number of cascade stages that analyzed). We distinguish
cascade measurement according to four dimensions: denominator-denominator linkage
(data used for cascade construction are linked at the individual level across
stages); denominator-numerator linkage (data are linked at the individual level
within each stage); single vs. multiple populations from which data sources are
drawn; and longitudinal vs. cross-sectional design. SUMMARY: Everything else
equal, we would prefer broader and deeper cascades, denominator-denominator
linkage, denominator-numerator linkage, single population, and longitudinal data
over their respective alternatives. Increased investments in population-based
cohorts and data linkage are required to complement clinical cohorts for 'broad'
longitudinal cascade analyses.
PMID- 26545267
TI - Healing with love.
PMID- 26545268
TI - The Academy for Sports Dentistry and the Academy of General Dentistry: a winning
team.
PMID- 26545269
TI - Additive occlusal equilibration.
PMID- 26545270
TI - Do current sports nutrition guidelines conflict with good oral health?
AB - For optimal athletic performance, an athlete requires good oral health to reduce
the risk of oral pain, inflammation, and infection and thereby minimize the use
of analgesics and antimicrobial agents. Increased intake, frequency, and dental
contact time of carbohydrate-rich foods, sports nutrition products, and acidic
carbohydrate-containing sports and energy drinks may contribute to risks of
dental erosion, caries, and inflammatory periodontal conditions in the athlete,
especially when he or she also exhibits dehydration and poor oral hygiene habits.
Examining the athlete before he or she begins participating in a sport allows the
dental care provider to determine the patient's existing oral health, hygiene,
and susceptibility to risk factors for erosion, caries, and inflammatory
periodontal disease. This oral profile, in conjunction with the individual
athlete's dietary needs, can be used to establish a treatment and preventive
program, including oral health education. Good oral hygiene practices and
application of topical fluoride, especially via fluoridated toothpastes and
topical fluoride varnishes, must be available to the athlete. Rinsing with water
or a neutral beverage after exposure to carbohydrates or acidic sports nutrition
products may reduce carbohydrate contact time and bring oral pH levels back to
neutral more quickly, reducing the risk of caries and erosion. Finally, the
dentist should encourage the athlete to consult with an experienced sports
dietitian to ensure that principles of sports nutrition are being appropriately
applied for the type, frequency, and duration of exercise in consideration of the
individual's oral health needs.
PMID- 26545271
TI - Traumatic injuries to athletes.
AB - The timeliness of treatment after dental trauma is crucial to successful tooth
preservation. This article focuses on the emergency treatment of common forms of
dental trauma in athletes, both at the site of the injury and at the dental
office. When dental injuries happen to young patients, saving the tooth is an
absolute priority, because few long-term replacement solutions can be performed
in a growing child. Preserving pulpal vitality of immature teeth is essential to
allow continued root development.
PMID- 26545272
TI - Effects of various mouthpieces on respiratory physiology during steady-state
exercise in college-aged subjects.
AB - Protective mouthpieces have been used in a variety of sports to decrease the risk
of orofacial injury. There are limited data to suggest that mouthpiece use during
exercise may also provide an ergogenic effect. The aim of the present study was
to investigate the effects of 3 different mouthpiece designs-boil-and-bite (BB)
mouthpiece, ethylene vinyl acetate (EVA) custom mandibular mouthpiece, and
polypropylene (Poly) custom mandibular mouthpiece-on respiratory physiology
parameters and compare them with results of a no-mouthpiece (NM) condition.
Sixteen college-aged, recreationally fit subjects ran for 10 minutes in 4
separate trials; mouthpiece conditions were randomly assigned to each trial for
all subjects. Respiratory and cardiorespiratory measures, including oxygen
uptake, carbon dioxide exhalation, ventilation, heart rate, tidal volume, and
respiratory rate (RR), were assessed throughout testing. A repeated-measures
analysis of variance indicated that RR was significantly lower (P = 0.04) in the
BB mouthpiece condition (27.92 breaths per minute [BPM]) than in the NM condition
(30.63 BPM). In paired t tests between conditions, the RR demonstrated in the BB
condition (27.92 BPM) was significantly lower (P = 0.04) than that of each other
condition (NM, 30.63 BPM; EVA, 29.92 BPM; and Poly, 29.92 BPM). The outcomes of
the present study demonstrate that the use of the BB mouthpiece decreased RR
during exercise. The differences cited between conditions may be attributed to
the design of the mouthpiece and its mandibular placement as well as the activity
of the genioglossus muscle. However, future studies should assess these
parameters to determine the plausibility of these theories.
PMID- 26545273
TI - Mandatory mouthguard rules for high school athletes in the United States.
AB - High school athletes seem particularly predisposed to dental injury, but athletic
mouthguards have an excellent track record of success in reducing the severity
and incidence of dental injuries in sports. Therefore, it has been suggested that
mouthguards be made mandatory for high school athletes who participate in sports
with risk of injury. The National Federation of State High School Associations
currently recommends that mouthguards be mandated for high school football,
lacrosse, ice hockey, and field hockey players as well as for wrestlers who are
wearing orthodontic appliances. Different states have tried to mandate additional
sports with varying degrees of success. This article summarizes the process that
leads to rule changes for high school athletes at the national level and
discusses the history of 4 states--Minnesota, Maine, New Hampshire, and
Massachusetts--that have tried to mandate mouthguards for different sports.
Common complaints that lead to the cessation of mouthguard rules, such as speech
considerations, breathing ability, and cleanliness, are discussed.
PMID- 26545274
TI - Effect of clenching with a mouthguard on head acceleration during heading of a
soccer ball.
AB - Concussions are acceleration-deceleration injuries that occur when biomechanical
forces are transmitted to the cerebral tissues. By limiting acceleration of the
head, enhanced cervical muscle activity derived from clenching with a mouthguard
(MG) may reduce the incidence or severity of concussions following impact. The
purpose of this study was to investigate the effect of voluntary clenching with a
proper MG on acceleration of the head during "heading" of a soccer ball. Eleven
male high school soccer players (mean age, 16.8 years) participated in the study.
Each player was given a customized MG. An automated soccer machine was used to
project the ball at the participants at a constant speed. The participants headed
the ball under 3 different oral conditions: drill 1, heading freely performed
without instruction and without the MG; drill 2, heading performed as the subject
was instructed to clench the masseter muscles tightly while not wearing the MG;
drill 3, heading performed as the subject was instructed to clench tightly while
wearing the MG. Each participant repeated each drill 5 times. Linear acceleration
of the head was measured with a 3-axis accelerometer. Activity of the masseter
and sternocleidomastoid muscles was measured by wireless electromyography. Weak
masseter and sternocleidomastoid muscle activity was observed during drill 1.
After the soccer players had been instructed to clench their masseter muscles
(drills 2 and 3), statistically significant decreases in head acceleration and
increases in masseter and sternocleidomastoid muscle activity were observed (P <
0.05; paired t test). The effect was stronger when the players wore the MG.
Dentists should encourage soccer players to habitually clench while wearing a
proper mouthguard to strengthen cervical muscle resistance as a way to mitigate
the damage caused by heading.
PMID- 26545275
TI - Effects of mouthguards on vertical dimension, muscle activation, and athlete
preference: a prospective cross-sectional study.
AB - Mandibular repositioning and subsequent neuromuscular signaling are proposed
mechanisms of action for commercial mouthguards marketed for performance
enhancement. A prospective cross-sectional study of 24 healthy adult
weightlifters with normal occlusal relationships was designed to determine
whether 2 self-fit performance mouthguards; a custom-fabricated, bilaterally
balanced, dual-laminated mouthguard; and no mouthguard (control) differed in
their effects on vertical dimension, muscle activation, and user preference
during a 75% maximum power clean lift. Each subject was tested for each of the
mouthguard categories: Power Balance POWERUP, Under Armour ArmourBite, custom,
and no mouthguard. Interocclusal distance was measured at baseline and with each
mouthguard. Mean and peak activity of the anterior temporalis, masseter,
sternocleidomastoid, and cervical paraspinal muscles was measured during sitting
and during a 75% maximum power clean lift. A mouthguard preference questionnaire
was completed. Analyses were conducted to determine whether interocclusal
distance differed among mouthguard type and to examine the effect of mouthguard
type on mean and peak muscle activation during the clean lift. Interocclusal
distance was affected by mouthguard type (P = 0.01). Mean and peak activity of
the anterior temporalis and masseter muscles and mean activity of the
sternocleidomastoid muscle differed among mouthguards (P < 0.05). Mouthguard type
did not influence muscle activation of the cervical paraspinal muscle group.
Overall, the Power Balance mouthguard produced more muscle activity. Participants
preferred custom mouthguards nearly 2:1 over self-fit performance mouthguards (P
= 0.05). Participants perceived that they were stronger and were less encumbered
when using a custom mouthguard during submaximum power clean lifts.
PMID- 26545276
TI - Splinting rationale and contemporary treatment options for luxated and avulsed
permanent teeth.
AB - The continued growth in athletic participation among children and adults has
increased the potential incidence of sports-related dental injuries. Regardless
of preventive measures, damage and injury to the oral cavity can occur during
participation in sports. Luxations, root fractures, bony fractures, and avulsions
involving 1 or more teeth are a possibility. Many of these injuries require
specific protocols for splinting of the traumatized tooth or teeth to allow the
best possible outcomes. This article identifies luxation and avulsion injuries,
explains the rationale for splinting, reviews guidelines for splint duration, and
discusses contemporary material options available to stabilize affected permanent
dentition.
PMID- 26545277
TI - A survey of attitudes, behaviors, and needs of team dentists.
AB - Elite athletes strive to attain superior levels of health and fitness; however,
many have high levels of oral disease. Oral screenings detect disease and need
for treatment and identify opportunities for preventive interventions. Many
dentists volunteer their time with sports organizations, but their scope of
practice and needs are unknown. The purposes of this study were to gather
baseline data about attitudes, practice behaviors, and needs of team dentists and
to assess dental services provided, including the type and frequency of oral
screenings conducted on athletes and any associated barriers to those screenings.
This descriptive study utilized an original online survey, comprising 37 supplied
response questions, that was pilot tested for face and content validity. All
dentist members of the Academy for Sports Dentistry were invited to participate
(n = 491), and 150 responded, yielding a 31% response rate. The survey format
allowed respondents to skip questions, and some respondents chose not to answer
some questions. Quantitative data collected included level of athletes, league
affiliation, scope of services provided, and types of oral screening performed.
Dentists' attitudes regarding athletes' treatment and preventive needs, practice
behaviors, and self-identified needs were assessed. Descriptive statistics were
used to analyze the data. Results revealed that 116 of 146 respondents (80%) had
a league affiliation. Among 112 dentists who reported providing services to
athletes, the most frequently provided services were emergency treatment (96%),
mouthguards (96%), restorative treatment (79%), oral hygiene instruction (63%),
prophylaxis (61%), periodontal charting (61%), and dental charting (60%). Most
team dentists (80%; n = 90/112) performed oral screenings for the athletes. Among
87 respondents who performed screenings and reported the type of screening they
provided, 36 (41%) screened all athletes prior to the season and then provided
individualized follow-up examinations as needed. The most commonly cited barrier
to screenings was lack of awareness of the importance of oral health. Additional
education for athletes, coaches, owners, schools, and leagues is needed to
increase the value placed on oral health.
PMID- 26545278
TI - Effect of prolonged air drying on the bond strength of adhesive systems to
dentin.
AB - This in vitro study evaluated the effect of air-drying time on degree of solvent
evaporation (DE), dentin microtensile bond strength (uTBS), and degree of
conversion (DC) of 5 adhesive systems: Adper Single Bond 2, XP Bond, Prime & Bond
2.1, OptiBond Solo, and Adper Easy One. For DE testing, 20 uL of each material
was submitted to measurements in a digital balance after an air stream of 3, 5,
10, 20, 30, or 60 seconds; the weight loss was computed and converted to a
percentage (DE). For uTBS testing, 50 sound human molars were divided into groups
(n = 5). The 5 adhesive systems were applied either in accordance with
manufacturers' instructions for solvent drying time (control) or with a prolonged
drying time (20-30 seconds). After composite resin was built up on the hybridized
surfaces, the teeth were stored for 24 hours and then sectioned to obtain beams
that were loaded until fracture. For DC testing, specimens of each adhesive and
air-drying condition (n = 3) were evaluated by means of attenuated total
reflectance Fourier transform infrared spectroscopy. Data were submitted to 2-way
analysis of variance, t test, and Spearman test for correlation analysis.
Prolonged air drying resulted in significantly greater DE than did the time
suggested by the manufacturers. The adhesives XP Bond and Adper Easy One showed
significantly greater uTBS with prolonged air drying. The DC was not affected by
air-drying time. No statistically significant correlation was found between DC
and uTBS values. Depending on the material, bond strength can be improved by
prolonged air-drying times.
PMID- 26545279
TI - Effect of a new local anesthetic buffering device on pain reduction during nerve
block injections.
AB - The purpose of this double-blind, split-mouth, randomized human clinical study
was to evaluate the effectiveness of a new sodium bicarbonate local anesthetic
buffering device (Onset) in reducing pain associated with dental injections.
Twenty patients were given bilateral inferior alveolar (IA) and long buccal (LB)
nerve block injections and asked to quantify the pain experienced during
injection on a visual analog scale (0, no pain; 10, worst possible pain). One
side of the mouth received standard-of-care injections of 2% lidocaine with
1:100,000 epinephrine. On the opposite side, after the buffering device was used
to mix the components within the anesthetic carpule, patients received injections
of 2% lidocaine with 1:100,000 epinephrine buffered 9:1 with 8.4% sodium
bicarbonate. The mean pain scores were 2.7 (SD, 1.3) for buffered and 2.7 (SD,
1.9) for unbuffered IA injections. The mean pain scores were 2.0 (SD, 1.4) for
buffered and 2.7 (SD, 1.8) for unbuffered LB injections. The data were analyzed
with a paired t test (alpha = 0.05), and no statistically significant difference
was found between groups for IA (P = 0.94) or LB (P = 0.17) nerve block
injections. In this study of patients receiving common dental nerve block
injections, local anesthetic buffering technology did not significantly lessen
pain compared to that experienced during a standard unbuffered injection.
PMID- 26545280
TI - Space loss following premature loss of primary second molars.
AB - This study was designed to evaluate the amount of space loss (SL) caused by
premature loss of primary second molars, determine whether the eruption status of
permanent first molars is an important factor in the amount of SL, and evaluate
the effectiveness of space maintainers (SMs) in SL prevention. SL associated with
100 prematurely extracted primary second molars was evaluated in 87 healthy
patients. Teeth were divided into groups based on the use of SMs (36 with SM and
64 without SM). Bitewing and periapical radiographs taken before extraction and
6, 12, 24, 36, and 48 months after extraction were used to determine the amount
of SL. Not every patient attended every recall appointment, so the sample size
varied at different evaluation times. The most significant amount of SL occurred
in the first 12 months after extraction. In patients who did not use an SM, at 6
months there was a mean SL of 2.12 mm (SD, 1.65 mm) and at 12 months there was a
mean of 4.02 mm (SD, 1.65), with significantly more SL in the first 6 months (P <
0.001). There was no statistically significant difference in the amount of SL
found at 12 and 24 months (P > 0.05). When patients without an SM were grouped by
the eruption status of the permanent first molar, there was significantly more SL
in the groups with unerupted first molars than there was in the groups with
erupted first molars at both 6 months (P < 0.001) and 12 months (P < 0.05). At
both 6 and 12 months, the amount of SL in patients who had an SM (n = 13 and n =
14, respectively) was not significantly different from the amount of SL in those
who did not have an SM (n = 33 and n = 23, respectively). SMs should be placed as
soon as possible following tooth extraction to prevent undue SL. Placement of an
SM a year or more after extraction has minimal benefit, since most SL takes place
within the first year. SL does occur even when SMs are used.
PMID- 26545281
TI - Narrow-implant-retained overdenture in an atrophic mandibular ridge: a case
report with 6-year follow-up.
AB - When atrophic jaws compromise oral rehabilitation with conventional implants,
narrow-diameter implants can be used. This case report describes treatment of an
edentulous 75-year-old diabetic woman with a severely resorbed mandibular ridge.
Her mandibular dentition was restored with an overdenture supported by 3 narrow
implants and 1 mini implant. Her maxillary dentition was restored with a
conventional complete denture. A 6-year clinical and radiographic follow-up
confirmed that the narrow implants had provided effective stability for the
overdenture, providing improvements in phonetics and masticatory ability at a low
cost.
PMID- 26545282
TI - What every dentist needs to know about obesity and oral health.
AB - Obesity has an adverse effect on the body as a whole and greatly increases an
individual's risk for numerous diseases, including type 2 diabetes, coronary
artery disease, stroke, and cancer. In addition, the link between diabetes and
periodontitis has been well documented, and possible links between obesity and
other oral health problems, such as caries, are being investigated. As more has
been learned about the association between periodontal disease and other
inflammatory diseases, including obesity, dentists have gained greater
responsibility in the prevention and treatment of chronic diseases. Dentists
should be aware of the early signs of obesity and its oral manifestations and
equipped with the proper knowledge to educate their patients. This article
reviews recent findings on obesity and its relationship to other diseases and in
particular to oral health.
PMID- 26545283
TI - Accuracy of dental torque wrenches.
AB - The aim of this in vitro study was to compare the actual torque of 2 manual
wrench systems to their stated (target) torque. New spring- (Nobel Biocare USA,
LLC) and friction-style (Zimmer Dental, Inc.) manual dental torque wrenches, as
well as spring torque wrenches that had undergone sterilization and clinical use,
were tested. A calibrated torque gauge was used to compare actual torque to
target torque values of 15 and 35 N/cm. Data were statistically analyzed via
mixed-effects regression model with Bonferroni correction. At a target torque of
15 N/cm, the mean torque of new spring wrenches (13.97 N/cm; SE, 0.07 N/cm) was
significantly different from that of used spring wrenches (14.94 N/cm; SE, 0.06
N/cm; P < 0.0001). However, the mean torques of new spring and new friction
wrenches (14.10 N/cm; SE, 0.07 N/cm; P = 0.21) were not significantly different.
For torque measurements calibrated at 35 N/cm, the mean torque of new spring
wrenches (35.29 N/cm; SE, 0.10 N/cm) was significantly different (P < 0.0001)
from the means of new friction wrenches (36.20 N/cm; SE, 0.08 N/cm) and used
spring wrenches (36.45 N/cm; SE, 0.08 N/cm). Discrepancies in torque could impact
the clinical success of screw-retained dental implants. It is recommended that
torque wrenches be checked regularly to ensure that they are performing to target
values.
PMID- 26545284
TI - Toothpastes containing abrasive and chemical whitening agents: efficacy in
reducing extrinsic dental staining.
AB - This in vitro study evaluated the efficacy of toothpastes containing abrasive and
chemical whitening agents in reducing the extrinsic discoloration of dental
enamel. Sixty slabs of dentin from human teeth were sealed so that only the
enamel surface was exposed. The enamel surfaces were photographed for initial
color assessment. Staining was performed by immersing the dental slabs in 0.2%
chlorhexidine solution for 2 minutes and then in black tea for 60 minutes. This
process was repeated 15 times. Photographs were taken at the end of the staining
process, and the slabs were divided into 5 groups (n = 12), 3 to be brushed with
toothpastes containing chemical whitening agents (2 containing phosphate salts
and 1 containing phosphate salts plus hydrogen peroxide) and 2 to represent
control groups (ordinary/nonwhitening toothpaste and distilled water). The dental
slabs were subjected to mechanical toothbrushing with toothpaste slurry or
distilled water, according to each group's specifications. After brushing, more
photographs were taken for color analysis. The results showed a significant
reduction in luminosity after the staining process in addition to an increase in
the colors red and yellow (P < 0.001). After brushing, there was a significant
increase in luminosity and a reduction in both red and yellow (P < 0.001).
However, there was no observed difference between the changes in color values in
dental enamel slabs brushed with whitening toothpastes and the changes found in
slabs brushed with ordinary toothpaste. The whitening toothpastes did not
outperform an ordinary toothpaste in the removal of extrinsic staining.
PMID- 26545285
TI - Digital panoramic radiography versus cone beam computed tomography in the
delineation of maxillomandibular tumors.
AB - This research aimed to compare the efficacy of digital panoramic radiography
(DPR) with that of cone beam computed tomography (CBCT) for delineation of
odontogenic and nonodontogenic tumors. From November 2009 through March 2011, 23
tumors in the maxillomandibular complex were diagnosed by histopathological
examination. All DPRs and CBCTs were obtained and analyzed by a single previously
calibrated radiologist, who considered the following radiographic aspects:
clarity of the lesion edges, relation with dental elements, involvement of
adjacent anatomical structures, cortical bone expansion and disruption, and, if
present, type of involved anatomical structures and site of bone expansion and
disruption. Of 23 patients, 15 (65.2%) were male and 8 (34.8%) were female. The
tumor was classified as odontogenic in 73.9% of patients and nonodontogenic in
26.1% of patients. Analysis revealed that 56.5% of the tumors were located in the
mandible, 34.8% in the maxilla, and 8.7% in both arches. For all analyzed
variables, CBCTs offered more accurate details than did DPRs. Panoramic
radiography should not be the examination of choice to visualize lesions in the
maxillomandibular complex.
PMID- 26545286
TI - Modelling extracellular limitations for mediated versus direct interspecies
electron transfer.
AB - Interspecies electron transfer (IET) is important for many anaerobic processes,
but is critically dependent on mode of transfer. In particular, direct IET (DIET)
has been recently proposed as a metabolically advantageous mode compared with
mediated IET (MIET) via hydrogen or formate. We analyse relative feasibility of
these IET modes by modelling external limitations using a reaction-diffusion
electrochemical approach in a three-dimensional domain. For otherwise identical
conditions, external electron transfer rates per cell pair (cp) are considerably
higher for formate-MIET (317 * 10(3) e(-) cp(-1) s(-1)) compared with DIET (44.9
* 10(3) e(-) cp(-1) s(-1)) or hydrogen-MIET (5.24 * 10(3) e(-) cp(-1) s(-1)).
MIET is limited by the mediator concentration gradient at which reactions are
still thermodynamically feasible, whereas DIET is limited through redox cofactor
(for example, cytochromes) activation losses. Model outcomes are sensitive to key
parameters for external electron transfer including cofactor electron transfer
rate constant and redox cofactor area, concentration or count per cell, but
formate-MIET is generally more favourable for reasonable parameter ranges.
Extending the analysis to multiple cells shows that the size of the network does
not strongly influence relative or absolute favourability of IET modes. Similar
electron transfer rates for formate-MIET and DIET can be achieved in our case
with a slight (0.7 kJ mol(-1)) thermodynamic advantage for DIET. This indicates
that close to thermodynamic feasibility, external limitations can be compensated
for by improved metabolic efficiency when using direct electron transfer.
PMID- 26545287
TI - Luteolin Inhibits Breast Cancer Development and Progression In Vitro and In Vivo
by Suppressing Notch Signaling and Regulating MiRNAs.
AB - BACKGROUND/AIMS: This study aims to investigate the effect of Luteolin on breast
cancer in vitro and in vivo and the interaction between miRNAs and Notch
signaling after Luteolin intervention, and illustrates the possible underlying
mechanism and regulation loop. METHODS: Cell growth/survival assays and cell
cycle analyses were performed to evaluate cell survival in vitro. Scratch tests,
cell invasion assays and tube formation assays were carried out to analyze cell
viability and identify the impact of Luteolin on angiogenesis. Critical
components in the Notch pathway including proteins and mRNAs were detected by
Western blotting analyses, ELISA assays and real-time reverse transcription
polymerase chain reaction. Matrix metalloproteinases activity was evaluated by
gelatin zymography analyses. MiRNAs were analyzed by miRNA expression assays.
After MDA-MB-231 cells were separately transfected with Notch-1 siRNA/cDNA and
miRNA mimics, the above assays were also carried out to examine potential tumor
cell changes. Xenograft models were applied to evaluate the treatment potency of
Luteolin in breast cancer. RESULTS: Luteolin significantly inhibited breast
cancer cell survival, cell cycle, tube formation and the expression of Notch
signaling-related proteins and mRNAs, and regulated miRNAs. After introducing
Notch-1 siRNA and miRNA mimics, MDA-MB-231 cells presented with changes in miRNA
levels, reduced Notch signaling-related proteins, and decreased tumor survival,
invasion and angiogenesis. CONCLUSION: Luteolin inhibits Notch signaling by
regulating miRNAs. However, the effect of miRNAs on the Notch pathway could be
either Luteolin-dependent or Luteolin-independent. Furthermore, Notch-1
alteration may inversely change miRNAs levels. Our data demonstrates that
Luteolin, miRNAs and the Notch pathway are critical in breast cancer development
and prognosis.
PMID- 26545288
TI - Effects of the Anger Coping Programme based on cognitive behavioural techniques
on adolescents' anger, aggression and psychological symptoms.
AB - This study aimed to determine the effects of an Anger Coping Programme based on
cognitive behavioural techniques on adolescents' anger, aggression and
psychological symptoms. In this randomized controlled experimental study, 385
ninth-year high school students in Turkey were administered the State-Trait Anger
Expression Inventory, the Aggression Scale and the Brief Symptom Inventory in
2011-2012. Of the 385 students, 62 who met the inclusion criteria were randomly
assigned to experimental and control groups by gender and the scores they
obtained from the scales. The students in the experimental group met 1 day a week
for 1.5 h, and the study lasted 10 weeks. The scales were administered three
times: before the programme was started, immediately after the programme was
completed and 6 weeks later. The Anger Coping Programme was effective at reducing
students' anger and aggression levels, and a range of psychological symptoms
except for somatization.
PMID- 26545289
TI - Exonic deletions of AUTS2 in Chinese patients with developmental delay and
intellectual disability.
AB - Genomic rearrangements involving dosage change of genes have been implicated in a
range of developmental disorders. Increasing evidences suggest copy number
variations (CNVs) of autism susceptibility candidate gene 2 (AUTS2) are
associated with a syndromic form of developmental delay and intellectual
disability. However, the genetic and clinical profiles involving AUTS2 variations
have not been fully characterized in Asian patients yet, and the outcome of
treatments has not been reported. Here we report de novo exonic deletions of
AUTS2 detected by chromosomal microarray analysis (CMA) in three Chinese children
referred to the clinic for developmental delay, including two deletions involving
only exon 6 (98.4 and 262 kb, respectively) and one deletion involving the C
terminal of AUTS2 (2147 kb). The phenotypic presentations of these three patients
were described and compared with previous cases in literature. In addition, we
presented the outcome of hormonal treatment for short stature in one patient.
PMID- 26545290
TI - Myths and Facts About the Effects of Ischemic Preconditioning on Performance.
PMID- 26545292
TI - Stereolithography of SiOC Ceramic Microcomponents.
AB - The first example of the fabrication of complex 3D polymer-derived-ceramic
structures is presented with micrometer-scale features by a 3D additive
manufacturing (AM) technology, starting with a photosensitive preceramic
precursor. Dense and crack-free silicon-oxycarbide-based microparts with features
down to 200 MUm are obtained after pyrolysis at 1000 degrees C in a nitrogen
atmosphere.
PMID- 26545291
TI - Specialised antenatal clinics for women with a multiple pregnancy for improving
maternal and infant outcomes.
AB - BACKGROUND: Regular antenatal care for women with a multiple pregnancy is
accepted practice, and while most women have an increase in the number of
antenatal visits, there is no consensus as to what constitutes optimal care.
'Specialised' antenatal clinics have been advocated as a way of improving
outcomes for women and their infants. OBJECTIVES: To assess, using the best
available evidence, the benefits and harms of 'specialised' antenatal clinics
compared with 'standard' antenatal care for women with a multiple pregnancy.
SEARCH METHODS: We searched the Cochrane Pregnancy and Childbirth Group's Trials
Register (31 May 2015) and reference lists of retrieved studies. SELECTION
CRITERIA: All published, unpublished, and ongoing randomised controlled trials
with reported data that compared outcomes in mothers and babies with a multiple
pregnancy who received antenatal care specifically designed for women with a
multiple pregnancy (as defined by the trial authors) with outcomes in controls
who received 'standard' antenatal care (as defined by the trial authors). DATA
COLLECTION AND ANALYSIS: Two of the review authors independently assessed trials
for inclusion and trial quality. Both review authors extracted data. Data were
checked for accuracy. We graded the quality of the evidence using GRADEpro
software. MAIN RESULTS: Findings were based on the results of a single study with
some design limitations.Data were available from one study involving 162 women
with a multiple pregnancy. For the only reported primary outcome, perinatal
mortality, we are uncertain whether specialised antenatal clinics makes any
difference compared to standard care (risk ratio (RR) 1.02; 95% confidence
interval (CI) 0.26 to 4.03; 324 infants, very low quality evidence). Women
receiving specialised antenatal care were significantly more likely to birth by
caesarean section (RR 1.38; 95% CI 1.06 to 1.81; 162 women, moderate quality
evidence). Data were not reported in the study on the following primary outcomes:
small-for-gestational age, very preterm birth or maternal death. There were no
differences identified between specialised antenatal care and standard care for
other secondary outcomes examined: postnatal depression (RR 0.48; 95% CI 0.19 to
1.20; 133 women, very low quality evidence), breastfeeding (RR 0.63; 95% CI 0.24
to 1.68; 123 women, very low quality evidence), stillbirth (RR 0.68; 0.12 to
4.04) or neonatal death (RR 2.05; 95% CI 0.19 to 22.39) (324 infants). AUTHORS'
CONCLUSIONS: There is currently limited information available from randomised
controlled trials to assess the role of 'specialised' antenatal clinics for women
with a multiple pregnancy compared with 'standard' antenatal care in improving
maternal and infant health outcomes. The value of 'specialised' multiple
pregnancy clinics in improving health outcomes for women and their infants
requires evaluation in appropriately powered and designed randomised controlled
trials.
PMID- 26545293
TI - Survey of rheumatologists on the use of the Philippine Guidelines on the
Screening for Tuberculosis prior to use of Biologic Agents.
AB - BACKGROUND: The use of biologic agents has become an important option in treating
patients with rheumatoid arthritis. However, these drugs have been associated
with an increased risk of tuberculosis (TB) reactivation. Local guidelines for TB
screening prior to the use of biologic agents were developed to address this
issue. AIM: This study is a survey describing the compliance of Filipino
rheumatologists to these guidelines. METHOD: Eighty-seven rheumatologists in the
Philippines were given the questionnaire and responses from 61 rheumatologists
were included in the analysis. RESULTS: All respondents agree that patients
should be screened prior to giving the biologic agents. Local guidelines
recommend screening with tuberculin skin test (TST) and chest radiograph.
However, cut-off values considered for a positive TST and timing of initiation of
biologic agents after starting TB prophylaxis and treatment varied among
respondents. In addition, screening of close household contacts were only
performed by 41 (69.5%) respondents. There were 11 respondents who reported 16
patients developing TB during or after receiving biologic agents, despite
adherence to the guidelines. CONCLUSION: This survey describes the compliance
rate of Filipino rheumatologists in applying current local recommendations for TB
screening prior to initiating biologic agents. The incidence of new TB cases
despite the current guidelines emphasizes the importance of compliance and the
need to revise the guidelines based on updated existing literature.
PMID- 26545294
TI - Nitrous oxide-based techniques versus nitrous oxide-free techniques for general
anaesthesia.
AB - BACKGROUND: Nitrous oxide has been used for over 160 years for the induction and
maintenance of general anaesthesia. It has been used as a sole agent but is most
often employed as part of a technique using other anaesthetic gases, intravenous
agents, or both. Its low tissue solubility (and therefore rapid kinetics), low
cost, and low rate of cardiorespiratory complications have made nitrous oxide by
far the most commonly used general anaesthetic. The accumulating evidence
regarding adverse effects of nitrous oxide administration has led many
anaesthetists to question its continued routine use in a variety of operating
room settings. Adverse events may result from both the biological actions of
nitrous oxide and the fact that to deliver an effective dose, nitrous oxide,
which is a relatively weak anaesthetic agent, needs to be given in high
concentrations that restrict oxygen delivery (for example, a common mixture is
30% oxygen with 70% nitrous oxide). As well as the risk of low blood oxygen
levels, concerns have also been raised regarding the risk of compromising the
immune system, impaired cognition, postoperative cardiovascular complications,
bowel obstruction from distention, and possible respiratory compromise.
OBJECTIVES: To determine if nitrous oxide-based anaesthesia results in similar
outcomes to nitrous oxide-free anaesthesia in adults undergoing surgery. SEARCH
METHODS: We searched the Cochrane Central Register of Controlled Trials (CENTRAL;
2014 Issue 10); MEDLINE (1966 to 17 October 2014); EMBASE (1974 to 17 October
2014); and ISI Web of Science (1974 to 17 October 2014). We also searched the
reference lists of relevant articles, conference proceedings, and ongoing trials
up to 17 October 2014 on specific websites (http://clinicaltrials.gov/,
http://controlled-trials.com/, and http://www.centerwatch.com). SELECTION
CRITERIA: We included randomized controlled trials (RCTs) comparing general
anaesthesia where nitrous oxide was part of the anaesthetic technique used for
the induction or maintenance of general anaesthesia (or both) with any general
anaesthesia using a volatile anaesthetic or propofol-based maintenance of
anaesthesia but no nitrous oxide for adults undergoing surgery. Our primary
outcome was inhospital case fatality rate. Secondary outcomes were complications
and length of stay. DATA COLLECTION AND ANALYSIS: Two review authors
independently assessed trial quality and extracted the outcome data. We used meta
analysis for data synthesis. Heterogeneity was examined with the Chi2 test and by
calculating the I2 statistic. We used a fixed-effect model if the measure of
inconsistency was low for all comparisons (I2 statistic < 50%); otherwise we used
a random-effects model for measures with high inconsistency. We undertook
subgroup analyses to explore inconsistency and sensitivity analyses to evaluate
whether the results were robust. We assessed the quality of evidence of the main
outcomes using the Grading of Recommendations, Assessment, Development and
Evaluation (GRADE) system. MAIN RESULTS: We included 35 trials (13,872 adult
participants). Seven included studies were at low risk of bias. We identified
eight studies as awaiting classification since we could not obtain the full
texts, and had insufficient information to include or exclude them. We included
data from 24 trials for quantitative synthesis. The results of meta-analyses
showed that nitrous oxide-based techniques increased the incidence of pulmonary
atelectasis (odds ratio (OR) 1.57, 95% confidence interval (CI) 1.18 to 2.10, P =
0.002), but had no effects on the inhospital case fatality rate, the incidence of
pneumonia, myocardial infarction, stroke, severe nausea and vomiting, venous
thromboembolism, wound infection, or the length of hospital stay. The sensitivity
analyses suggested that the results of the meta-analyses were all robust except
for the outcomes of pneumonia, and severe nausea and vomiting. Two trials
reported length of intensive care unit (ICU) stay but the data were skewed so
were not pooled. Both trials reported that nitrous oxide-based techniques had no
effects on the length of ICU stay. We rated the quality of evidence for two
outcomes (pulmonary atelectasis, myocardial infarction) as high, four outcomes
(inhospital case fatality rate, stroke, venous thromboembolism, length of
hospital stay) as moderate, and three (pneumonia, severe nausea and vomiting,
wound infection rate) as low. AUTHORS' CONCLUSIONS: Given the evidence from this
Cochrane review, the avoidance of nitrous oxide may be reasonable in participants
with pre-existing poor pulmonary function or at high risk of postoperative nausea
and vomiting. Since there are eight studies awaiting classification, selection
bias may exist in our systematic review.
PMID- 26545296
TI - Pioneering ambient mass spectrometry imaging in psychiatry: Potential for new
insights into schizophrenia.
PMID- 26545295
TI - A Kinetic Platform to Determine the Fate of Hydrogen Peroxide in Escherichia
coli.
AB - Hydrogen peroxide (H2O2) is used by phagocytic cells of the innate immune
response to kill engulfed bacteria. H2O2 diffuses freely into bacteria, where it
can wreak havoc on sensitive biomolecules if it is not rapidly detoxified.
Accordingly, bacteria have evolved numerous systems to defend themselves against
H2O2, and the importance of these systems to pathogenesis has been substantiated
by the many bacteria that require them to establish or sustain infections. The
kinetic competition for H2O2 within bacteria is complex, which suggests that
quantitative models will improve interpretation and prediction of network
behavior. To date, such models have been of limited scope, and this inspired us
to construct a quantitative, systems-level model of H2O2 detoxification in
Escherichia coli that includes detoxification enzymes, H2O2-dependent
transcriptional regulation, enzyme degradation, the Fenton reaction and damage
caused by *OH, oxidation of biomolecules by H2O2, and repair processes. After
using an iterative computational and experimental procedure to train the model,
we leveraged it to predict how H2O2 detoxification would change in response to an
environmental perturbation that pathogens encounter within host phagosomes,
carbon source deprivation, which leads to translational inhibition and limited
availability of NADH. We found that the model accurately predicted that NADH
depletion would delay clearance at low H2O2 concentrations and that
detoxification at higher concentrations would resemble that of carbon-replete
conditions. These results suggest that protein synthesis during bolus H2O2 stress
does not affect clearance dynamics and that access to catabolites only matters at
low H2O2 concentrations. We anticipate that this model will serve as a
computational tool for the quantitative exploration and dissection of oxidative
stress in bacteria, and that the model and methods used to develop it will
provide important templates for the generation of comparable models for other
bacterial species.
PMID- 26545297
TI - Changes in cholinergic and glutamatergic markers in the striatum from a sub-set
of subjects with schizophrenia.
AB - BACKGROUND/OBJECTIVES: Having separated a sub-group of people with schizophrenia
based on a marked loss of cortical [(3)H]pirenzepine binding (MRDS); we wished to
determine if MRDS had lower levels of [(3)H]pirenzepine and other muscarinic
receptor antagonist binding to the striatum and if this was due to loss of pre-
or post-synaptic neurons or glia measured using surrogate markers (25 kilodalton
synaptosomal-associated protein (SNAP 25), postsynaptic density protein 95 (PSD
95), glial fibrillary acidic protein (GFAP) 41/43) of cell number. METHODS:
[(3)H]pirenzepine, [(3)H]AF-DX 384 and [(3)H]4-DAMP binding to the striatum from
37 subjects with schizophrenia (19 MRDS) and 20 controls as well as SNAP 25, PSD
95 and GFAP 41/43 in crude particulate membrane were measured. RESULTS:
[(3)H]pirenzepine and [(3)H]AF-DX 384 binding to the striatum were significantly
lower in schizophrenia due to lower binding of both radioligands in the striatum
from MRDS. Levels of PSD 95 were higher in schizophrenia, predominantly due to
higher levels in MRDS. CONCLUSIONS: Our data suggest muscarinic M1
([(3)H]pirenzepine) and M2 and/or M4 receptors ([(3)H]AF-DX 384) are lower in the
striatum from MRDS which could mediate inappropriate adaption to internal and
external cues which, in turn, would affect motivation, cognition and motor
control. Increased levels of PSD 95 could indicate increased post-synaptic
boutons or changes in NMDA receptor-mediated signalling in MRDS.
PMID- 26545299
TI - The Community Assessment of Psychic Experiences measures nine clusters of
psychosis-like experiences: A validation of the German version of the CAPE.
AB - AIM: This study examined the factorial and criterion validity of the Community
Assessment of Psychic Experiences (CAPE). We compared the validity of the
original three-dimensional model and a recently proposed multidimensional model,
in which positive symptoms are subdivided into the subfactors hallucinations,
bizarre experiences, paranoia, grandiosity and magical thinking and negative
symptoms are subdivided into social withdrawal, affective flattening and
avolition. METHODS: Eleven community (n=934) and three patient samples (n=112)
were combined and the proposed models were tested using confirmatory factor
analysis. Criterion validity was calculated based on self-report measures for
depression and paranoia as well as observer-based ratings for positive and
negative symptoms. RESULTS: The multidimensional model showed better relative
quality (AIC, BIC) than the original three-dimensional model of the CAPE, but
both models showed acceptable absolute model-fit (RMSEA, SRMR). The criterion
validity was good for the positive symptom scales and negative symptom subfactors
social withdrawal and affective flattening. CONCLUSION: Factorial validity was
found for the three-dimensional and multidimensional model for the CAPE. The
multidimensional model, however, shows better comparative fit and promising
results in regard to criterion validity. Thus, we recommend a hierarchical
multidimensional structure of positive and negative symptoms for future use of
the CAPE.
PMID- 26545298
TI - Gender differences in the treatment of first-episode schizophrenia: Results from
the European First Episode Schizophrenia Trial.
AB - Gender differences in the response to antipsychotic treatment have been detected
in the past, but not studied in great detail. The results of the European First
Episode Schizophrenia Trial (EUFEST) were analyzed with a focus on gender
differences in the response to randomized treatment of first-episode
schizophrenia. A total of 498 patients (298 men and 200 women) were randomly
assigned by a web-based online system to open-label treatment with haloperidol,
amisulpride, olanzapine, quetiapine, and ziprasidone. Treatment response was
evaluated using the positive and negative syndrome scale (PANSS). Data were
collected at baseline and then prospectively for one year. Baseline
characteristics (age and proportion of patients assigned to individual
antipsychotics) were the same between the male and female patients with the
exception of ziprasidone: significantly fewer men, proportionately, were
prescribed ziprasidone. There was no significant difference between genders
between the initial total PANSS and subscale scores. A significant interaction
between time and gender was found, with more robust PPANSS and TPANSS score
improvement in women during the course of treatment. Of all of the antipsychotics
used, only olanzapine led to significantly greater improvement in the total PANSS
score in women during the follow-up period. Gender differences should be given
more attention in research and clinical practice. Their causes require
clarification, and future strategies for dealing with them may be considered in
early intervention programs and guidelines.
PMID- 26545300
TI - Dihydroxylated E,E,Z-docosatrienes. An overview of their synthesis and biological
significance.
AB - Dihydroxylated E,E,Z-docosatrienes are acyclic lipoxygenase metabolites of 22
carbon atom polyunsaturated fatty acids (PUFAs) containing a conjugated E,E,Z
triene flanked by two secondary allylic alcohols. The two main metabolites,
protectin D1 (PD1) and its regioisomer maresin 1 (MaR1), were shown to be
actively involved in the resolution and more specifically the termination of the
inflammation process. Studies directed at the synthesis of E,E,Z-docosatrienes
have been undertaken to resolve stereochemical ambiguities, and provide standards
for biological evaluation and reference samples for in-vivo detection and
lipidomic analyses. In this review we provide a brief update of the literature on
the biological significance of E,E,Z-docosatrienes and the role that synthetic
organic chemists has played in the development of these lipids, providing an
overview and comparison of the different strategies employed to access synthetic
E,E,Z-docosatriene standards.
PMID- 26545301
TI - Feeding response following central administration of mesotocin and arginine
vasotocin receptor agonists in chicks (Gallus gallus).
AB - Mesotocin (MT) and arginine-vasotocin (AVT) are posterior pituitary derived
hormones in birds and are homologous to mammalian oxytocin (OT) and vasopressin
(VP), respectively. We previously reported that intracerebroventricular (ICV)
injection of both MT and AVT inhibit feeding and induce wing-flapping in chicks
(Gallus gallus). Because both peptides cause similar effects suggests that they
might act via common receptors. However, the specific receptors of MT and AVT
which mediate their anorexigenic effect have not been clarified in chicks. Thus,
the purpose of the present study was to identify the receptor subtypes involved
in MT- and AVT-induced anorexia and behavioral patterns by using several
agonists. ICV injection of vasopressin-1 receptor agonist (V1R) (homologous to
chicken AVT receptor-2 and -4 [VT2R and VT4R, respectively]), significantly
decreased food intake while agonists of vasopressin-2 receptor (V2R) and OT
receptor (OTR) (homologues of chicken AVT receptor-1 and MT receptor
respectively) had no effect. In addition, V1R agonist induced wing-flapping
although this was not affected by V2R or OTR agonists. Since VT2R has not been
found in the brain of chicks, the present study suggested that VT4R might be
related to the anorexigenic effect and wing-flapping induced by MT and AVT in
chicks.
PMID- 26545302
TI - In Response to Management of a Pediatric Snake Envenomation After Presentation
With a Tight Tourniquet by Bush and Kinlaw.
PMID- 26545303
TI - Instability of hydrogenated TiO2.
AB - Hydrogenated TiO2 (H-TiO2) is touted as a viable visible light photocatalyst. We
report a systematic study on the thermal stability of H-implanted TiO2 using
nuclear reaction analysis (NRA), Rutherford backscattering spectrometry,
ultraviolet photoelectron spectroscopy, and X-ray photoelectron spectroscopy.
Protons (40 keV) implanted at a ~2 atom % level within a ~120 nm wide profile of
rutile TiO2(110) were situated ~300 nm below the surface. NRA revealed that this
H-profile broadened toward the surface after annealing at 373 K, dissipated out
of the crystal into vacuum at 473 K, and was absent within the beam sampling
depth (~800 nm) at 523 K. Photoemission showed that the surface was reduced in
concert with these changes. Similar anneals had no effect on pristine TiO2(110).
The facile bulk diffusivity of H in rutile at low temperatures, as well as its
interfacial activity toward reduction, significantly limits the utilization of H
TiO2 as a photocatalyst.
PMID- 26545304
TI - Mobile applications for diabetes management: efficacy issues and regulatory
challenges.
PMID- 26545305
TI - Medial Soft-Tissue Realignment Versus Medial Patellofemoral Ligament
Reconstruction for Recurrent Patellar Dislocation: Systematic Review.
AB - PURPOSE: To compare the clinical outcomes between medial soft-tissue surgery and
medial patellofemoral ligament (MPFL) reconstruction for recurrent patellar
dislocation without any evident predisposing factors. METHODS: A literature
search was performed on the established medical databases MEDLINE, EMBASE, and
the Cochrane register. The inclusion criteria were as follows: English-language
papers for recurrent patellar dislocation without any evident predisposing
factors, clinical trial(s) with clear description of surgical technique, adult
subjects, medial soft-tissue surgery or MPFL reconstruction without combined
surgery, and a follow-up longer than 2 years. The methodological quality of all
articles was assessed by 2 authors according to the Coleman methodology score.
RESULTS: Thirteen studies (mean Coleman methodology score value, 74.1; standard
deviation, 11.5) were included in the analysis. Five studies reported the
outcomes of patients undergoing medial soft-tissue surgery, compared with 7
studies reporting MPFL reconstruction. Overall, 109 patients underwent medial
soft-tissue surgery with a minimum 2-years follow-up, compared with 308 patients
of MPFL reconstruction. There was one direct comparative study between medial
soft-tissue surgery and MPFL reconstruction. Of the patients who received medial
soft-tissue surgery, 0 to 9.7% experienced redislocation, compared with 0 to
10.7% of the MPFL reconstruction group. The ranges of differences in Kujala
scores were 23.6 to 31.7 points in patients who underwent medial soft-tissue
surgery and 23.11 to 38.8 points in patients who underwent MPFL reconstruction.
The ranges of postoperative congruence angles were -14.4 degrees to 8.2 degrees
for medial soft-tissue surgery and -7.7 degrees to -5.2 degrees for MPFL
reconstruction. The ranges of postoperative lateral patellofemoral angles were
7.9 degrees to 9.4 degrees for medial soft-tissue surgery and 5 degrees to 5.3
degrees for MPFL reconstruction. CONCLUSIONS: All studies on medial soft-tissue
surgery and MPFL reconstruction for recurrent patellar dislocation without
predisposing factors showed satisfactory outcomes despite the use of numerous
surgical techniques, graft types, and follow-up periods. LEVEL OF EVIDENCE: Level
IV, Systematic Review.
PMID- 26545306
TI - Long-Term Examination of Bone Mineral Density in the Calcanei After Anterior
Cruciate Ligament Reconstruction in Adolescents and Matched Adult Controls.
AB - PURPOSE: The aims were to evaluate the results 10 to 20 years after anterior
cruciate ligament (ACL) reconstruction performed in adolescents in terms of bone
mineral density (BMD) in the calcanei using the dual-energy x-ray absorptiometry
(DXA) technique, activity level, and quality of life. METHODS: A case-control
study of adolescents who underwent ACL reconstruction between 1992 and 2002 was
performed. The inclusion criterion was a unilateral ACL injury. The exclusion
criteria were bilateral ACL injury, contralateral ACL reconstruction, posterior
cruciate ligament injury, and previous or present fractures of either lower
extremity. The BMD was measured in both calcanei using the DXA technique and
compared with a control group of adult ACL-reconstructed patients and with a DXA
reference database. The age of the control group was similar to that of the
patient group at the time of BMD assessment, performed 60 months after
reconstruction. Activity was measured with the Tegner activity scale. The EQ-5D
was used to evaluate quality of life. RESULTS: Thirty-two adolescents (11 boys
and 21 girls), aged 12 to 16 years, with a symptomatic unilateral ACL rupture,
underwent reconstruction at near skeletally mature age. Of these patients, 29
(91%) took part in the follow-up examination. The BMD values for the male
patients were lower on the injured and non-injured sides (-15.2% [P = .02] and
11.8% [P = .05], respectively) compared with the control group. The values for
the female patients were -0.8% (P = .84) and -2.2% (P = .69), respectively.
Correspondingly, the BMD values for the male patients were lower on the injured
and non-injured sides (-8.2% and -4.9%, respectively) compared with the male
reference database. The BMD values for the female patients were higher on the
injured and non-injured sides (4.1% and 4.3%, respectively) compared with the
female reference database. In the control group, female patients had a
significantly lower value for the Tegner activity scale preoperatively (median,
2.0; range, 0 to 5) than the female patients in the study group (median, 3.0;
range, 2 to 8) (P = .006). In the study group, the Tegner activity scale at
follow-up showed a significant correlation with the BMD on the injured side for
male patients (rho = 0.67, P = .03) but not on the non-injured side (rho = 0.50,
P = .14). In the control group, the Tegner activity scale at follow-up showed a
significant correlation with the BMD on the non-injured side for female patients
(rho = 0.61, P = .03) but not on the injured side (rho = 0.34, P = .25). The
Tegner activity scale and EQ-5D showed no significant differences between the
study groups. CONCLUSIONS: In the long-term, the BMD in the calcanei of patients
who were adolescents at the time of ACL reconstruction differed from that of a
control group and a reference database. This study indicates that boys with an
ACL injury and subsequent ACL reconstruction run a subsequent risk of a
significantly lower BMD in their calcanei as adults and, consequently, an
increased future fracture risk. LEVEL OF EVIDENCE: Level III, case-control study.
PMID- 26545307
TI - Comparative Biomechanical Study on Contact Alterations After Lateral Meniscus
Posterior Root Avulsion, Transosseous Reinsertion, and Total Meniscectomy.
AB - PURPOSE: To compare the effects of lateral meniscus posterior root avulsion left
in situ, its repair, and meniscectomy on contact pressure distribution in both
tibiofemoral compartments at different flexion angles. METHODS: Eight cadaveric
knees were tested under compressive 1000 N load for 4 lateral meniscus conditions
(intact, posterior root avulsion, transosseous root repair, and total
meniscectomy) at flexion angles 0 degrees , 30 degrees , 60 degrees , and 90
degrees . Contact area and pressure distribution were registered using K-scan
pressure sensors inserted between menisci and tibial plateau. RESULTS: In the
lateral compartment, root detachment decreased contact area (P = .017, 0 degrees
and 30 degrees ; P = .012, 60 degrees and 90 degrees ) and increased mean (P =
.012, all angles) and maximum (P = .025, 0 degrees and 30 degrees ; P = .017, 60
degrees ; P = .012, 90 degrees ) pressures relative to intact condition. Repair
restored all measured parameters close to intact at 0 degrees , but effectiveness
decreased with flexion angle, yielding no significant effect at 90 degrees .
Meniscectomy produced higher decreases than root avulsion in contact area (P =
.012, 0 degrees and 90 degrees ; P = .05, 30 degrees and 60 degrees ) and
increases in mean (P = .017, 0 degrees and 30 degrees ; P = .018, 90 degrees )
and maximum pressure (P = .012, 0 degrees ; P = .036, 30 degrees ). In the medial
compartment, lesion changed the contact area at high flexion angles only, while
meniscectomy induced greater changes at all angles. CONCLUSIONS: Lateral meniscus
posterior root avulsion generates significant alterations in contact area and
pressures at lateral knee compartment for flexion angles between full extension
and 90 degrees . Meniscectomy causes greater disorders than the avulsion left in
situ. Transosseous repair with a single suture restores these alterations to
conditions close to intact at 0 degrees and 30 degrees but not at 60 degrees
and 90 degrees . CLINICAL RELEVANCE: Altered contact mechanics after lateral
meniscus posterior root avulsion might have degenerative consequences.
Transosseous repair with one suture should be revised to effectively restore
contact mechanics at high flexion angles.
PMID- 26545308
TI - Comorbidity and pathogenic links of chronic spontaneous urticaria and systemic
lupus erythematosus--a systematic review.
AB - Chronic spontaneous urticaria (CSU) is a common mast cell-driven disease
characterized by the development of wheals (hives), angioedema (AE), or both for
> 6 weeks. It is thought that autoimmunity is a common cause of CSU, which is
often associated with autoimmune thyroiditis, whereas the link to other
autoimmune disorders such as systemic lupus erythematosus (SLE) has not been
carefully explored. Here, we systematically reviewed the existing literature for
information on the prevalence of CSU in SLE (and vice versa) and we examined the
possible clinical and pathogenetic relationship between CSU and SLE. The
prevalence of CSU and CSU-like rash in SLE was investigated by 42 independent
studies and comorbidity in adult patients reportedly ranged from 0% to 21.9% and
0.4% to 27.5%, respectively (urticarial vasculitis: 0-20%). In children with SLE,
CSU was reported in 0-1.2% and CSU-like rash in 4.5-12% (urticarial vasculitis: 0
2.2%). In contrast, little information is available on the prevalence of SLE in
patients with CSU, and more studies are needed to determine the rate of
comorbidity. Recent insights on IgG- and IgE-mediated autoreactivity suggest
similarities in the pathogenesis of CSU and SLE linking inflammation and
autoimmunity with the activation of the complement and coagulation system. Future
studies of patients with either or both conditions could help to better define
common pathomechanisms in CSU and SLE and to develop novel targeted treatment
options for patients with CSU and SLE.
PMID- 26545309
TI - Optical diagnostics study of air flow and powder fluidisation in Nexthaler(r)-
Part I: Studies with lactose placebo formulation.
AB - Effective drug delivery to the lungs by a DPI device requires the air-stream
through the device to have sufficient power to aerosolise the powder.
Furthermore, sufficient turbulence must be induced, along with particle-wall and
particle-particle collisions, in order to de-aggregate small drug particles from
large carrier particles. As a result, the emitted and the fine particle doses
produced by many commercially available DPI devices tend to be strongly affected
by the natural inter-patient variability of the inhaled air flow. The
Nexthaler(r) is a multi-dose breath-actuated dry-powder inhaler with minimum drug
delivery-flow rate dependency and incorporating a dose protector. The actuation
mechanism of the dose-protector ensures that the dose is only exposed to the
inhaled air flow if the flow has sufficient power to cause complete
aerosolisation. For this study, a proprietary lactose placebo powder blend was
filled into "transparent" Nexthaler(r) to allow application of high-speed imaging
and particle image velocimetry (PIV) techniques to successfully interrogate and
reveal details of the powder entrainment and emission processes coupled with
characterisation of the flow environment in the vicinity of the mouthpiece exit.
The study showed that fluidisation of the bulk of the powder occurs very quickly
(~20ms) after withdrawal of the dose protector followed by powder emission from
the device within ~50ms thereafter. The bulk of the metered placebo dose was
emitted within 100-200ms. The visualisation study also revealed that a very small
fraction of powder fines is emitted whilst the dose protector still covers the
dosing cup as the flow rate through the device accelerates. The PIV results show
that the flow exiting the device is highly turbulent with a rotating flow
structure, which forces the particles to follow internal paths having a high
probability of wall impacts, suggesting that the flow environment inside the
Nexthaler(r) DPI will be very beneficial for carrier-drug de-aggregation.
PMID- 26545310
TI - Formulation and in-vitro evaluation of directly compressed controlled release
matrices of Losartan Potassium using Ethocel Grade 100 as rate retarding agent.
AB - Current study was aimed to develop 200mg controlled release matrix tablets of
Losartan Potassium using Ethocel 100 Premium and Ethocel 100 FP Premium as rate
controlling polymer. In-vitro studies were performed according to USP Method-I in
phosphate buffer (PH 6.8) using pharma test dissolution apparatus. The
temperature of the dissolution medium was kept constant at 37+/-0.5 degrees C at
100rpm. Flow properties, physical quality control tests, effect of polymer size
and drug-to-polymers ratios were studied using different kinetics models such as
1st-order, zero-order, Hixon Crowell model, Highuchi model and Power law.
Difference factor f1 and similarity factor f2 were applied for dissolution
profiles against Cardaktin(r) tablets used as a reference formulation. The
matrices with polymer ethocel 100 FP Premiums have prolonged the drug release
rate as compared to polymer ethocel 100 Premiums. The n values matrices with
polymer ethocel grade 100 ranged from 0.603 to 0.857 indicating that the drug
release occurred by anomalous non fickian diffusion kinetics while then value of
reference Cardaktin(r) tablet was measured as 0.125 indicating that these tablets
do not follow power law. The dissolution profiles of test formulations were
different than that of reference Cardaktin(r). This suggests the polymer Ethocel
grade 100 can be proficiently incorporated in fabrication and development of once
a day controlled release matrix tablets.
PMID- 26545311
TI - Revision surgery for recurrent and persistent carpal tunnel syndrome: Clinical
results and factors affecting outcomes.
AB - Thirty-eight hands in 36 patients with recurrent or persistent carpal tunnel
syndrome (CTS) were reviewed retrospectively after a mean of 51 months (range 12
86) to identify factors that may lead to poor outcomes after surgical management.
Clinical assessment focused on pain and sensitivity recovery, measured with a VAS
and Weber's two-point discrimination test, respectively. At the latest follow-up,
we found 11 excellent, 15 good, nine fair and three poor results. The risk of
fair or poor results was significantly higher in the presence of intraneural
fibrosis, severe preoperative sensory deficit, neuroma of the palmar cutaneous
branch of the median nerve, workers compensation claims and number of previous
surgeries. This last factor also significantly increased the risk of intraneural
fibrosis. Despite disappointing outcomes, identification of these factors may
improve our prognostic ability for revision surgery in cases of recurrent CTS.
PMID- 26545312
TI - A rare cause of ulnar nerve entrapment at the elbow area illustrated by six
cases: The anconeus epitrochlearis muscle.
AB - Ulnar nerve entrapment is the second most common compressive neuropathy after
carpal tunnel syndrome. The accessory anconeus epitrochlearis muscle - present in
4% to 34% of the general population - is a known, but rare cause of ulnar nerve
entrapment at the elbow. The aim of this article was to expand our knowledge
about this condition based on six cases that we encountered at our hospital
between 2011 and 2015. Every patient had a typical clinical presentation:
hypoesthesia or sensory deficit in the fourth and fifth fingers; potential
intrinsics atrophy of the fourth intermetacarpal space; loss of strength and
difficulty with fifth finger abduction. Although it can be useful to have the
patient undergo ultrasonography or MRI to aid in the diagnosis, only
electromyography (EMG) was performed in our patients. EMG revealed clear
compression in the ulnar groove, with conduction block and a large drop in nerve
conduction velocity. Treatment typically consists of conservative treatment first
(splint, analgesics). Surgical treatment should be considered when conservative
treatment has failed or the patient presents severe neurological deficits. In all
of our patients, the ulnar nerve was surgically released but not transposed. Five
of the six patients had completely recovered after 0.5 to 4years follow-up. Ulnar
nerve entrapment at the elbow by the anconeus epitrochlearis muscle is not
common, but it must not be ignored. Only ultrasonography, MRI or, preferably,
surgical exploration can establish the diagnosis. EMG findings such as reduced
motor nerve conduction velocity in a short segment of the ulnar nerve provides
evidence of anconeus epitrochlearis-induced neuropathy.
PMID- 26545313
TI - Further thoughts on "metaphor blindness": Implications and future avenues of
research.
PMID- 26545314
TI - Diagnostic inexactitude - Reframing and relabelling Disruptive Mood Dysregulation
Disorder for ICD-11 does not solve the problem.
PMID- 26545315
TI - Emergency admissions for major haemorrhage associated with direct oral
anticoagulants.
AB - INTRODUCTION: To describe the population admitted in an emergency department of a
teaching hospital for severe bleeding associated with direct oral anticoagulants
(DOAC). METHOD: During a three-year period (2012-2014) patients older than 16
years were prospectively identified by haemorrhagic symptoms from computerised
requests. At least one of the following criteria defined major haemorrhage:
haemorrhagic shock, unstable haemodynamic, need for transfusion or haemostatic
procedure, or a life threatening location. RESULTS: Fifty four patients, 23
receiving dabigatran, 30 rivaroxaban and one apixaban were included, 2 in 2012,
35 in 2013 and 17 in 2014. Median age was 84 years (range 63-99) with a sex ratio
of 1.16. Haemorrhagic complications were gastrointestinal (n=27), intracranial
(n=12) or miscellaneous (n=15). Indication of DOAC was stroke prevention in
atrial fibrillation in 49 cases and deep vein thrombosis in 5 cases.
Hospitalization was required for 45 patients (83%) with a mean length of stay of
8.5 days. Sixteen patients needed intensive care. Reversal therapy was prescribed
in 11 patients. At 1 month, overall mortality was 24%, reaching 41.7% for
intracranial haemorrhage. Among surviving patients, DOAC was stopped in 10 cases,
continued in 17 patients and switched for other antithrombotic in 17 patients.
CONCLUSION: Our study contributes to the post marketing surveillance of major
haemorrhagic complications associated with DOAC. It takes part to the knowledge
about the course of this severe event in emergencies. Careful awareness in risk
benefit assessment, especially in elderly, is needed.
PMID- 26545316
TI - Visual Outcomes after Proton Beam Irradiation for Choroidal Melanomas Involving
the Fovea.
AB - PURPOSE: To report visual outcomes in patients undergoing proton beam irradiation
of tumors located within 1 disc diameter of the fovea. DESIGN: Retrospective
review. PARTICIPANTS: Patients with choroidal melanoma involving the fovea
treated with proton beam therapy between 1975 and 2009. METHODS: Three hundred
fifty-one patients with choroidal melanomas located 1 disc diameter (DD) or less
from the fovea and more than 1 DD away from the optic nerve were included in this
study. In a subgroup of 203 of the patients with small and medium choroidal
melanomas, the effect of a reduced dose of radiation, 50 Gy (relative biological
effectiveness [RBE]) versus 70 Gy (RBE), on visual outcomes was analyzed. The
Kaplan-Meier method and Cox regression analysis were performed to calculate
cumulative rates of vision loss and to assess risk factors for vision loss,
respectively. MAIN OUTCOME MEASURES: Visual acuity and radiation complications,
which included radiation maculopathy, papillopathy, retinal detachment, and
rubeosis, were assessed. RESULTS: Three hundred fifty-one patients were included
in this study with a mean follow-up time of 68.7 months. More than one-third of
patients (35.5%) retained 20/200 or better vision 5 years after proton beam
irradiation. For those patients with a baseline visual acuity of 20/40 or better,
16.2% of patients retained this level of vision 5 years after proton beam
irradiation. Tumor height less than 5 mm and baseline visual acuity 20/40 or
better were associated significantly with a better visual outcome (P < 0.001).
More than two-thirds (70.4%) of patients receiving 50 Gy (RBE) and nearly half
(45.1%) of patients receiving 70 Gy (RBE) retained 20/200 or better vision 5
years after treatment, but this difference was not significant. Approximately 20%
of patients with these smaller macular tumors retained 20/40 vision or better 5
years after irradiation. CONCLUSIONS: The results of this retrospective analysis
demonstrate that despite receiving a full dose of radiation to the fovea, many
patients with choroidal melanoma with foveal involvement maintain useful vision.
A radiation dose reduction from 70 to 50 Gy (RBE) did not seem to increase the
proportion of patients who retain usable vision.
PMID- 26545317
TI - Natural History of Geographic Atrophy Progression Secondary to Age-Related
Macular Degeneration (Geographic Atrophy Progression Study).
AB - PURPOSE: The Geographic Atrophy Progression (GAP) study was designed to assess
the rate of geographic atrophy (GA) progression and to identify prognostic
factors by measuring the enlargement of the atrophic lesions using fundus
autofluorescence (FAF) and color fundus photography (CFP). DESIGN: Prospective,
multicenter, noninterventional natural history study. PARTICIPANTS: A total of
603 participants were enrolled in the study; 413 of those had gradable lesion
data from FAF or CFP, and 321 had gradable lesion data from both FAF and CFP.
METHODS: Atrophic lesion areas were measured by FAF and CFP to assess lesion
progression over time. Lesion size assessments and best-corrected visual acuity
(BCVA) were conducted at screening/baseline (day 0) and at 3 follow-up visits:
month 6, month 12, and month 18 (or early exit). MAIN OUTCOME MEASURES: The GA
lesion progression rate in disease subgroups and mean change from baseline visual
acuity. RESULTS: Mean (standard error) lesion size changes from baseline,
determined by FAF and CFP, respectively, were 0.88 (0.1) and 0.78 (0.1) mm(2) at
6 months, 1.85 (0.1) and 1.57 (0.1) mm(2) at 12 months, and 3.14 (0.4) and 3.17
(0.5) mm(2) at 18 months. The mean change in lesion size from baseline to month
12 was significantly greater in participants who had eyes with multifocal
atrophic spots compared with those with unifocal spots (P < 0.001) and those with
extrafoveal lesions compared with those with foveal lesions (P = 0.001). The mean
(standard deviation) decrease in visual acuity was 6.2 +/- 15.6 letters for
patients with image data available. Atrophic lesions with a diffuse (mean 0.95
mm(2)) or banded (mean 1.01 mm(2)) FAF pattern grew more rapidly by month 6
compared with those with the "none" (mean, 0.13 mm(2)) and focal (mean, 0.36
mm(2)) FAF patterns. CONCLUSIONS: Although differences were observed in mean
lesion size measurements using FAF imaging compared with CFP, the measurements
were highly correlated with one another. Significant differences were found in
lesion progression rates in participants stratified by hyperfluorescence pattern
subtype. This large GA natural history study provides a strong foundation for
future clinical trials.
PMID- 26545318
TI - Boston Type 1 Keratoprosthesis versus Repeat Donor Keratoplasty for Corneal Graft
Failure: A Systematic Review and Meta-analysis.
AB - PURPOSE: To compare repeat penetrating keratoplasty (PK) with Boston type I
keratoprosthesis (KPro) implantation for full-thickness donor corneal graft
failure. DESIGN: Previous donor graft failure is a common indication for both PK
and KPro implantation. Selection of the surgical procedure is entirely dependent
on the surgeon because there are no studies available for guidance. Therefore, a
systematic review was undertaken to examine vision, device retention, graft
clarity, and postoperative glaucoma and infection outcomes after repeat PK versus
KPro implantation. METHODS: Articles with data regarding repeat PK published
between 1990 and 2014 were identified in PubMed, EMBASE, the Latin American and
Caribbean Health Sciences Literature Database, and the Cochrane Central Register
of Controlled Trials and were reviewed. Results were compared with a
retrospective review of consecutive, nonrandomized, longitudinal case series of
KPro implantations performed at 5 tertiary care centers in the United States.
Visual acuity at 2 years was the primary outcome measure. The proportion of clear
grafts in the repeat PK group, device retention in the KPro group, and the
development of postoperative glaucoma and infection were secondary outcome
measures. RESULTS: The search strategy identified 17 128 articles in the PK
analysis. After screening, 26 studies (21 case series and 5 cohort studies) were
included in the review. Pooled analysis of the 26 unique studies demonstrated a
42% (95% confidence interval [CI], 30%-56%) likelihood of maintaining 20/200 or
better at 2 years after repeat PK, compared with an 80% (95% CI, 68%-88%)
probability with KPro implantation. The probability of maintaining a clear graft
at 5 years was 47% (95% CI, 40%-54%) after repeat PK, whereas the probability of
retention of the KPro at 5 years was 75% (95% CI, 64%-84%). The rate of
progression of glaucoma at 3 years was 25% (95% CI, 10%-44%) after repeat PK and
30% in the KPro cohort. CONCLUSIONS: These results demonstrate favorable outcomes
of KPro surgery for donor corneal graft failure with a greater likelihood of
maintaining visual improvement without higher risk of postoperative glaucoma
compared with repeat donor PK.
PMID- 26545319
TI - Longitudinal Study of Age-Related Cataract Using Dynamic Light Scattering: Loss
of alpha-Crystallin Leads to Nuclear Cataract Development.
AB - PURPOSE: To conduct a longitudinal study on age-related nuclear cataracts using
dynamic light scattering (DLS) to determine if cataract progression is associated
with loss of the unbound form of the lens molecular chaperone protein, alpha
crystallin. DESIGN: Natural history and cohort study. PARTICIPANTS: Patients 30
years of age or older of either gender seeking treatment at the Wilmer Eye
Institute Cornea-Cataract Department. METHODS: All patients underwent a
comprehensive dilated eye examination every 6 months, including slit-lamp grading
of their lenses using the Age-Related Eye Disease Study (AREDS) clinical lens
grading system and obtaining an estimate of unbound alpha-crystallin level in the
nucleus, the alpha-crystallin index (ACI), using the National Aeronautics and
Space Administration-National Eye Institute DLS device. We used a random effects
statistical model to examine the relationship of lens opacity changes over time
with ACI changes. MAIN OUTCOME MEASURES: alpha-Crystallin Index (ACI) and AREDS
nuclear cataract grade. RESULTS: Forty-five patients (66 eyes) 34 to 79 years of
age with AREDS nuclear lens grades of 0 to 3.0 were followed up every 6 months
for a mean of 19 months (range, 6-36 months). We found that lenses with the
lowest baseline levels of ACI had the most rapid progression of cataracts,
whereas lenses with higher ACI at baseline had no or slower cataract progression.
Lenses that lost alpha-crystallin at the highest rates during the study also had
faster progression of nuclear cataracts than lenses with a slower rate of ACI
loss. Kaplan-Meier survival curves showed that lenses with the lowest initial ACI
had the highest risk of undergoing cataract surgery. CONCLUSIONS: This
longitudinal study corroborates our previous cross-sectional study finding that
higher levels of unbound alpha-crystallin as assessed by ACI are associated with
lower risk of cataract formation and that loss of ACI over time is associated
with cataract formation and progression. This study suggested that assessment of
ACI with the DLS device could be used as a surrogate for lens opacity risk in
clinical studies, and for assessing nuclear cataract events in studies where
cataract development may be a side effect of a drug or device.
PMID- 26545321
TI - Factors Associated with Patient Press Ganey Satisfaction Scores for Ophthalmology
Patients.
AB - PURPOSE: To determine which metrics from the Press Ganey patient satisfaction
survey best correlate with "likelihood to recommend" among patients in an
academic tertiary medical center practice setting. DESIGN: Cross-sectional study.
PARTICIPANTS: Over a 3-month period, patients presenting to an academic practice
who agreed to participate were enrolled in the study if they met the following
entry criteria: (1) age >=18 years, (2) ability to read and speak English, and
(3) followed in this practice between 4 months and 4 years. A total of 196
patients were recruited. METHODS: A 26-item abridged version of the Press Ganey
survey typically distributed to patients via mail or e-mail after visiting the
Stanford University Hospital was administered privately to each eligible patient
of 2 different attending clinics at the conclusion of his or her visit. The 26
survey items were not modified for the purposes of the study and were
administered such that participants could not be individually identified. The
arithmetic mean score for the item "Likelihood of your recommending our practice
to others" was calculated by assigning a value (0-100) to the Likert value
associated with survey responses and correlated with the 25 other items using the
differences in the mean scores. MAIN OUTCOME MEASURES: Response to survey items
graded on a 1 to 5 standard Likert scale. RESULTS: The weighted mean patient
survey score for the "likelihood to recommend" item for the junior faculty member
was 95.9% and for the senior faculty member was 94.5%, respectively. For the
remaining 25 items, "Amount of time the care provider spent with you" (Diff[1
2]=1.03; P < 0.0001) and "Ease of scheduling your appointment" (Diff[1-2]=0.99; P
< 0.0001) best correlated with likelihood to recommend. In contrast,
"Friendliness/courtesy of the care provider" (Diff[1-2]=0.29; P = 0.0045)
correlated least with likelihood to recommend. Stratification based on provider
did not affect the study results. CONCLUSIONS: The perception of time spent with
the practitioner and ease of appointment scheduling are the 2 variables that best
correlate with patients recommending their ophthalmologists to other prospective
patients.
PMID- 26545320
TI - Association between Antiplatelet or Anticoagulant Drugs and Retinal or Subretinal
Hemorrhage in the Comparison of Age-Related Macular Degeneration Treatments
Trials.
AB - PURPOSE: To evaluate the association between use of antiplatelet or anticoagulant
drugs and retinal or subretinal hemorrhage in participants with neovascular age
related macular degeneration (AMD) in the Comparison of AMD Treatments Trials
(CATT). DESIGN: Cohort study within CATT. PARTICIPANTS: Participants in CATT with
untreated active neovascular AMD (n = 1185). METHODS: Participants were
interviewed for use of antiplatelet or anticoagulant drugs. Trained readers
evaluated photographs for the presence and size of retinal or subretinal
hemorrhage at baseline and years 1 and 2. Associations between use of
antiplatelet or anticoagulant drugs and hemorrhage were evaluated among all
participants and by baseline hypertension status using multivariate logistic
regression models. MAIN OUTCOME MEASURES: Odds ratio for association with
antiplatelet or anticoagulant use. RESULTS: Among 1165 participants with gradable
photographs, 724 (62.1%) had retinal or subretinal hemorrhage at baseline; 84.4%
of hemorrhages were 1 disc area (DA) or less, 8.1% were 1 to 2 DA, and 7.5% were
more than 2 DA. At baseline, 608 participants (52.2%) used antiplatelet or
anticoagulant drugs, including 514 participants (44.1%) using antiplatelets only,
77 (6.6%) using anticoagulants only, and 17 (1.5%) using both. Hemorrhage was
present in 64.5% of antiplatelet or anticoagulant users and in 59.6% of nonusers
(P = 0.09; adjusted odds ratio [OR], 1.18; 95% confidence interval, 0.91-1.51; P
= 0.21). Neither presence nor size of baseline hemorrhage was associated with the
type, dose, or duration of antiplatelet or anticoagulant use. Forty-four of 1078
participants (4.08%) had retinal or subretinal hemorrhage detected on 1- or 2
year photographs; these hemorrhages were not associated with antiplatelet or
anticoagulant use at baseline (P = 0.28) or during follow-up (P = 0.64). Among
participants with hypertension (n = 807), antiplatelet or anticoagulant use was
associated with a higher rate of hemorrhage at baseline (66.8% vs. 56.4%;
adjusted OR, 1.48; P = 0.01), but not size of retinal or subretinal hemorrhage (P
= 0.41). CONCLUSIONS: Most retinal or subretinal hemorrhages in eyes enrolled in
CATT were less than 1 DA. Among all CATT participants, antiplatelet or
anticoagulant use was not associated significantly with hemorrhage, but it was
associated significantly with hemorrhage in participants with hypertension.
PMID- 26545322
TI - A PCR-based approach to assess genomic DNA contamination in RNA: Application to
rat RNA samples.
AB - Genomic DNA (gDNA) contamination of RNA samples can lead to inaccurate
measurement of gene expression by reverse transcription quantitative real-time
PCR (RT-qPCR). We describe an easily adoptable PCR-based method where gDNA
contamination in RNA samples is assessed by comparing the amplification of
intronic and exonic sequences from a housekeeping gene. Although this alternative
assay was developed for rat RNA samples, it could be easily adapted to other
species. As a proof of concept, we assessed the effects of detectable gDNA
contamination levels on the expression of a few genes that illustrate the
importance of RNA quality in acquiring reliable data.
PMID- 26545323
TI - Rapid method for protein quantitation by Bradford assay after elimination of the
interference of polysorbate 80.
AB - Bradford assay is one of the most common methods for measuring protein
concentrations. However, some pharmaceutical excipients, such as detergents,
interfere with Bradford assay even at low concentrations. Protein precipitation
can be used to overcome sample incompatibility with protein quantitation. But the
rate of protein recovery caused by acetone precipitation is only about 70%. In
this study, we found that sucrose not only could increase the rate of protein
recovery after 1 h acetone precipitation, but also did not interfere with
Bradford assay. So we developed a method for rapid protein quantitation in
protein drugs even if they contained interfering substances.
PMID- 26545324
TI - Fish IRF3 up-regulates the transcriptional level of IRF1, IRF2, IRF3 and IRF7 in
CIK cells.
AB - Interferon Regulatory Factors (IRFs) belong to a family of transcription factor
involved in transcriptional regulation of type I IFN and IFN-stimulated genes
(ISG) in cells. In the present study, an IRF3 full-length cDNA (termed CiIRF3,
JX999055) and its promoter sequence were cloned by homology cloning strategy and
genome walking from grass carp (Ctenopharyngodon idella). The full-length cDNA
sequence of CiIRF3 is comprised of a 5'UTR (195 bp), a 3'UTR (269 bp) and a
largest open reading frame (ORF) of 1377 bp encoding a polypeptide of 458 amino
acids. CiIRF3 has a conservative DNA-binding domain (DBD) at N-terminal and a
relatively conserved interferon regulatory factors association domain (IAD).
Phylogenetic tree analysis indicated that CiIRF3 gathers together with other IRF
3 from higher vertebrates in the same branch. The promoter sequence of CiIRF3
(596 bp) consists of three IRF-E, a C/EBP beta, a NF-kappa B and a TATA-BOX.
CiIRF3 was constitutively expressed at low level in different grass carp tissues
but was rapidly up-regulated with Poly I:C stimulation. To study the molecular
mechanism of CiIRF3 regulating the transcription of IRFs, CiIRF3 was expressed in
Escherichia coli BL21 and purified by affinity chromatography with the Ni-NTA His
Bind Resin. Gel mobility shift assays revealed the affinity of CiIRF3 protein
with promoters of CiIRF1, CiIRF2, CiIRF3 and CiIRF7 respectively. Then, CIK cells
were co-transfected with pcDNA3.1-CiIRF3, pGL3-promotor (pGL3-CiIRF1, pGL3
CiIRF2, pGL3-CiIRF3, pGL3-CiIRF7) and luciferase reporter vector respectively.
The cotransfection experiment showed that CiIRF3 increased the promoter activity
of CiIRF1, CiIRF2, CiIRF3 and CiIRF7. Furthermore, overexpression of CiIRF3 in
CIK cells also up-regulated the expressions of CiIRF1, CiIRF2, CiIRF3 and CiIRF7.
So, CiIRF3 can improve the transcriptional level of CiIRF1, CiIRF2, CiIRF3 and
CiIRF7.
PMID- 26545325
TI - Reconstructing exposures from biomarkers using exposure-pharmacokinetic modeling-
A case study with carbaryl.
AB - Sources of uncertainty involved in exposure reconstruction for short half-life
chemicals were characterized using computational models that link external
exposures to biomarkers. Using carbaryl as an example, an exposure model, the
Cumulative and Aggregate Risk Evaluation System (CARES), was used to generate
time-concentration profiles for 500 virtual individuals exposed to carbaryl.
These exposure profiles were used as inputs into a physiologically based
pharmacokinetic (PBPK) model to predict urinary biomarker concentrations. These
matching dietary intake levels and biomarker concentrations were used to (1)
compare three reverse dosimetry approaches based on their ability to predict the
central tendency of the intake dose distribution; and (2) identify parameters
necessary for a more accurate exposure reconstruction. This study illustrates the
trade-offs between using non-iterative reverse dosimetry methods that are fast,
less precise and iterative methods that are slow, more precise. This study also
intimates the necessity of including urine flow rate and elapsed time between
last dose and urine sampling as part of the biomarker sampling collection for
better interpretation of urinary biomarker data of short biological half-life
chemicals. Resolution of these critical data gaps can allow exposure
reconstruction methods to better predict population-level intake doses from large
biomonitoring studies.
PMID- 26545326
TI - Toxicological analysis and anti-inflammatory effects of essential oil from Piper
vicosanum leaves.
AB - This study assessed the anti-inflammatory effects of the essential oil from Piper
vicosanum leaves (OPV) and evaluated the toxicological potential of this oil
through acute toxicity, genotoxicity and mutagenicity tests. The acute toxicity
of OPV was evaluated following oral administration to female rats at a single
dose of 2 g/kg b.w. To evaluate the genotoxic and mutagenic potential, male mice
were divided into five groups: I: negative control; II: positive control; III:
500 mg/kg of OPV; IV: 1000 mg/kg of OPV; V: 2000 mg/kg of OPV. The anti
inflammatory activity of OPV was evaluated in carrageenan-induced pleurisy and
paw edema models in rats. No signs of acute toxicity were observed, indicating
that the LD50 of this oil is greater than 2000 mg/kg. In the comet assay, OPV did
not increase the frequency or rate of DNA damage in groups treated with any of
the doses assessed compared to that in the negative control group. In the
micronucleus test, the animals treated did not exhibit any cytotoxic or genotoxic
changes in peripheral blood erythrocytes. OPV (100 and 300 mg/kg) significantly
reduced edema formation and inhibited leukocyte migration analyzed in the
carrageenan-induced edema and pleurisy models. These results show that OPV has
anti-inflammatory potential without causing acute toxicity or genotoxicity.
PMID- 26545327
TI - Development of an inhalation unit risk factor for isoprene.
AB - A unit risk factor (URF) was developed for isoprene based on evaluation of three
animal studies with adequate data to perform dose-response modeling (NTP, 1994,
1999; Placke et al., 1996). Ultimately, the URF of 6.2E-08 per ppb (2.2E-08 per
MUg/m(3)) was based on the 95% lower confidence limit on the effective
concentration corresponding to 10% extra risk for liver carcinoma in male B6C3F1
mice after incorporating appropriate adjustment factors for species differences
in target tissue metabolite concentrations and inhalation dosimetry. The
corresponding lifetime air concentration at the 1 in 100,000 no significant
excess risk level is 160 ppb (450 MUg/m(3)). This concentration is almost 4400
times lower than the lowest exposure level associated with statistically
increased liver carcinoma in B6C3F1 mice in the key study (700 ppm in Placke et
al., 1996) and is above typical isoprene breath concentrations reported in the
scientific literature. Continuous lifetime environmental exposure to the 1 in
100,000 excess risk level of 160 ppb would be expected to raise the human blood
isoprene area under the curve (AUC) less than one-third of the standard deviation
of the endogenous mean blood AUC. The mean for ambient air monitoring sites in
Texas (2005-2014) is approximately 0.13 ppb.
PMID- 26545328
TI - [Elaboration and critical evaluation of clinical guidelines].
AB - Clinical guidelines are documents to help professionals and patients select the
best diagnostic or therapeutic option. Elaborating guidelines requires an
efficient literature search and a critical evaluation of the articles found to
select the most appropriate ones. After that, the recommendations are formulated
and then must be externally evaluated before they can be disseminated. Even when
the guidelines are very thorough and rigorous, it is important to know whether
they fulfill all the methodological requisites before applying them. With this
aim, various scales have been developed to critically appraise guidelines. Of
these, the AGREE II instrument is currently the most widely used. This article
explains the main steps in elaborating clinical guidelines and the main aspects
that should be analyzed to know whether the guidelines are well written.
PMID- 26545329
TI - Magnetic resonance imaging for planning intracavitary brachytherapy for the
treatment of locally advanced cervical cancer.
AB - Cervical cancer is the third most common gynecological cancer. Its treatment
depends on tumor staging at the time of diagnosis, and a combination of
chemotherapy and radiotherapy is the treatment of choice in locally advanced
cervical cancers. The combined use of external beam radiotherapy and
brachytherapy increases survival in these patients. Brachytherapy enables a
larger dose of radiation to be delivered to the tumor with less toxicity for
neighboring tissues with less toxicity for neighboring tissues compared to the
use of external beam radiotherapy alone. For years, brachytherapy was planned
exclusively using computed tomography (CT). The recent incorporation of magnetic
resonance imaging (MRI) provides essential information about the tumor and
neighboring structures making possible to better define the target volumes.
Nevertheless, MRI has limitations, some of which can be compensated for by fusing
CT and MRI. Fusing the images from the two techniques ensures optimal planning by
combining the advantages of each technique.
PMID- 26545330
TI - [Persistent tunica vasculosa lentis].
PMID- 26545331
TI - Posterior segment involvement in cat-scratch disease: A case series.
AB - INTRODUCTION: Cat-scratch disease (CSD) is a systemic infectious disease. The
most well-known posterior segment presentation is neuroretinitis with a macular
star. In this study, we present a case series emphasising the heterogeneity of
the disease and the various posterior segment manifestations. MATERIALS AND
METHODS: A retrospective case series of consecutive patients presenting with
posterior segment CSD, over a 5-year period (2010 to 2015), at two
ophthalmological centres in Midi-Pyrenees. RESULTS: Twelve patients (17 eyes)
were included, of whom 11 (92%) presented with rapidly decreasing visual acuity,
with 6 of these (50%) extremely abrupt. CSD was bilateral in 5 (42% of all
patients). Posterior manifestations were: 12 instances of optic nerve edema
(100%), 8 of focal chorioretinitis (67%) and only 6 of the classic macular edema
with macular star (25% at first examination, but 50% later). Other
ophthalmological complications developed in three patients; one developed acute
anterior ischemic optic neuropathy, one a retrohyaloid hemorrhage and one a
branch retinal artery occlusion, all secondary to occlusive focal vasculitis
adjacent to focal chorioretinitis. CONCLUSION: Classical neuroretinitis with
macular star is not the only clinical presentation of CSD. Practitioners should
screen for Bartonella henselae in all patients with papillitis or focal
chorioretinitis.
PMID- 26545332
TI - No publication bias in industry funded clinical trials of degenerative diseases
of the spine.
AB - Industry sponsorship of clinical research of degenerative diseases of the spine
has been associated with excessive positive published results as compared to
research carried out without industry funding. We sought the rates of publication
of clinical trials of degenerative diseases of the spine based on funding source
as a possible explanation for this phenomenon. We reviewed all clinical trials
registered at clinicaltrials.gov relating to degenerative diseases of the spine
as categorized under six medical subject heading terms (spinal stenosis,
spondylolisthesis, spondylolysis, spondylosis, failed back surgery syndrome,
intervertebral disc degeneration) and with statuses of completed or terminated.
These collected studies were categorized as having, or not having, industry
funding. Published results for these studies were then sought within the
clinicaltrials.gov database itself, PubMed and Google Scholar. One hundred sixty
one clinical trials met these criteria. One hundred nineteen of these trials had
industry funding and 42 did not. Of those with industry funding, 45 (37.8%) had
identifiable results. Of those without industry funding, 17 (40.5%) had
identifiable results. There was no difference in the rates of publication of
results from clinical trials of degenerative diseases of the spine no matter the
funding source.
PMID- 26545333
TI - In-Membrane Chemical Modification (IMCM) for Site-Specific Chromophore Labeling
of GPCRs.
AB - We present in-membrane chemical modification (IMCM) for obtaining selective
chromophore labeling of intracellular surface cysteines in G-protein-coupled
receptors (GPCRs) with minimal mutagenesis. This method takes advantage of the
natural protection of most cysteines by the membrane environment. Practical use
of IMCM is illustrated with the site-specific introduction of chromophores for
NMR and fluorescence spectroscopy in the human kappa-opioid receptor (KOR) and
the human A2A adenosine receptor (A2A AR). IMCM is applicable to a wide range of
in vitro studies of GPCRs, including single-molecule spectroscopy, and is a
promising platform for in-cell spectroscopy experiments.
PMID- 26545334
TI - The brain in bone and fuel metabolism.
AB - Obesity and osteoporosis have become major public health challenges worldwide.
The brain is well established as a pivotal regulator of energy homeostasis,
appetite and fuel metabolism. However, there is now clear evidence for regulation
between the brain and bone. Similarly, evidence also indicates that the
involvement of the brain in bone and adipose regulation is both related and
interdependent. The hypothalamus, with its semi-permeable blood brain barrier, is
one of the most powerful regulatory regions within the body, integrating and
relaying signals not only from peripheral tissues but also from within the brain
itself. Two main neuronal populations within the arcuate nucleus of the
hypothalamus regulate energy homeostasis: The orexigenic, appetite-stimulating
neurons that co-express neuropeptide Y and agouti-related peptide and the
anorexigenic, appetite-suppressing neurons that co-express proopiomelanocortin
and cocaine- and amphetamine related transcript. From within the arcuate, these
four neuropeptides encompass some of the most powerful control of energy
homeostasis in the entire body. Moreover, they also regulate skeletal
homeostasis, identifying a co-ordination network linking the processes of bone
and energy homeostasis. Excitingly, the number of central neuropeptides and
neural factors known to regulate bone and energy homeostasis continues to grow,
with cannabinoid receptors and semaphorins also involved in bone homeostasis.
These neuronal pathways represent a growing area of research that is identifying
novel regulatory axes between the brain and the bone, and links with other
homeostatic networks; thereby revealing a far more complex, and interdependent
bone biology than previously envisioned. This review examines the current
understanding of the central regulation of bone and energy metabolism.
PMID- 26545335
TI - Effects of spaceflight on the murine mandible: Possible factors mediating
skeletal changes in non-weight bearing bones of the head.
AB - Spaceflight-induced remodeling of the skull is characterized by greater bone
volume, mineral density, and mineral content. To further investigate the effects
of spaceflight on other non-weight bearing bones of the head, as well as to gain
insight into potential factors mediating the remodeling of the skull, the purpose
of the present study was to determine the effects of spaceflight on mandibular
bone properties. Female C57BL/6 mice were flown 15d on the STS-131 Space Shuttle
mission (n=8) and 13d on the STS-135 mission (n=5) or remained as ground controls
(GC). Upon landing, mandibles were collected and analyzed via micro-computed
tomography for tissue mineralization, bone volume (BV/TV), and distance from the
cemento-enamel junction to the alveolar crest (CEJ-AC). Mandibular mineralization
was not different between spaceflight (SF) and GC mice for either the STS-131 or
STS-135 missions. Mandibular BV/TV (combined cortical and trabecular bone) was
lower in mandibles from SF mice on the STS-131 mission (80.7+/-0.8%) relative to
that of GC (n=8) animals (84.2+/-1.2%), whereas BV/TV from STS-135 mice was not
different from GC animals (n=7). The CEJ-AC distance was shorter in mandibles
from STS-131 mice (0.217+/-0.004mm) compared to GC animals (0.283+/-0.009mm),
indicating an anabolic (or anti-catabolic) effect of spaceflight, while CEJ-AC
distance was similar between STS-135 and GC mice. These findings demonstrate that
mandibular bones undergo skeletal changes during spaceflight and are susceptible
to the effects of weightlessness. However, adaptation of the mandible to
spaceflight is dissimilar to that of the cranium, at least in terms of changes in
BV/TV.
PMID- 26545336
TI - The role of CD40 and CD40L in bone mineral density and in osteoporosis risk: A
genetic and functional study.
AB - Compelling data are revealing that the CD40/CD40L system is involved in bone
metabolism. Furthermore, we have previously demonstrated that polymorphisms in
both genes are associated with bone phenotypes. The aim of this study is to
further characterize this association and to identify the causal functional
mechanism. We conducted an association study of BMD with 15 SNPs in CD40/CD40L
genes in a population of 779 women. In addition, we assessed the functionality of
this association through the study of the allele-dependent expression of CD40 and
CD40L in peripheral blood leukocytes (PBLs) and in human osteoblasts (OBs)
obtained from bone explants by qPCR and by sequencing. When an allelic imbalance
(AI) was detected, studies on allele-dependent in vitro transcription rate and on
CpG methylation in the gene promoter were also performed. Our results confirm the
genetic association between SNP rs116535 (T>C) of CD40L gene with LS-BMD.
Regarding CD40 gene, two SNPs showed nominal P-values<0.05 for FN- and LS-BMD (Z
scores), although the association was not significant after correcting for
multiple testing. Homozygous TT women for SNP rs1883832 (C>T) of CD40 gene showed
a trend to have lower levels of OPG (Q-value=0.059), especially when women of BMD
quartile ends were selected (P<0.05). Regarding functionality, we detected an AI
for rs1883832 with the C allele the most expressed in OBs and in PBLs. Since the
rs116535 of CD40L gene did not show AI, it was not further analyzed. Finally, we
described a differential methylation of CpGs in the CD40 promoter among women of
high in comparison to low BMD. Our results suggest that the CD40/CD40L system
plays a role in regulating BMD. Effectively, our data suggest that a decreased
production of OPG could be the cause of the lower BMD observed in TT women for
rs1883832 of the CD40 gene and that the degree of methylation of CpGs in the CD40
promoter could contribute to the acquisition of BMD. One possibility that
deserves further study is whether the degree of methylation of the CD40 gene
affects the level of CD40 expression and, consequently, the level of OPG.
PMID- 26545337
TI - Gas-phase simulated moving bed: Propane/propylene separation on 13X zeolite.
AB - In the last years several studies were carried out in order to separate gas
mixtures by SMB technology; however, this technology has never been implemented
on an industrial scale. In the present work, a gas phase SMB bench unit was built
and tested for the separation of propane and propylene mixtures, using 13X
zeolite extrudates as adsorbent and isobutane as desorbent. Three experiments
were performed to separate propane/propylene by gas phase SMB in the bench scale
unit with a 4-2-2 configuration, i.e., open loop circuit by suppressing section
IV (desorbent regeneration followed by a recycle). Consequently, all the
experiments were conducted using an external supply of pure isobutane as
desorbent. Parameters such as switching time, extract and raffinate stream flow
rates were changed to improve the efficiency of the process. Experimental results
have shown that it is feasible to separate propylene from propane by gas phase
SMB at a bench scale and that this process is a potential candidate to replace
the conventional technologies for the propane/propylene separation. The
performance parameters obtained are very promising for future development of this
technology, since propylene was obtained in the extract stream with a purity of
99.93%, a recovery of 99.51%, and a productivity of [Formula: see text] . Propane
was obtained in the raffinate stream with a purity of 98.10%, a recovery of
99.73% and a productivity of [Formula: see text] . The success of the above
mentioned bench scale tests is a big step for the future implementation of this
technology in a larger scale.
PMID- 26545338
TI - Residues of neonicotinoids and their metabolites in honey and pollen from
sunflower and maize seed dressing crops.
AB - A study was carried out to evaluate the possible presence of thiamethoxam,
clothianidin and imidacloprid, as well as the metabolic breakdown products of
these three neonicotinoids in pollen and honey obtained from brood chamber combs
of honeybee colonies located next to sunflower and maize crops from coated seeds.
Samples were analyzed by liquid chromatography coupled to quadrupole-time-of
flight mass spectrometry detector, in combination with accurate mass tools such
as diagnostic ions by exact mass, chlorine mass filters, and MS/MS experiments.
The presence of thiamethoxam and clothianidin was confirmed in some of the pollen
samples analyzed. Moreover, different metabolites of neonicotinoids were
tentatively detected in the pollen and honey samples collected. The results
suggested that four metabolites were found in the honey samples, while for pollen
samples eleven metabolites were identified; among these, five were considered for
the first time as metabolic breakdown products in sunflower and maize plants.
PMID- 26545339
TI - Role of vision loss, functional limitations and the supporting network in
depression in a general population.
AB - PURPOSE: Although the prevalence of depression in visually impaired older persons
is high, the association between vision loss and depression seems to be
influenced by factors other than visual impairment. In this study, the role of
vision loss, functional limitations and social network characteristics in
relation to depressive symptoms was investigated. METHODS: Cross-sectional data
(N = 1237) from the Longitudinal Aging Study Amsterdam were used to investigate
the prevalence of depression (Center of Epidemiological Studies-Depression scale)
within subgroups with increasing vision loss. In linear regression models,
functional limitations and social network characteristics were examined as
possible mediators in the association between vision loss and depression. Having
a partner was considered to be a potential moderator. RESULTS: Although a
significant linear trend was found in the presence of depressive symptoms with
14% in normally sighted, 23% in mild and 37% in severe vision loss (chi(2)(1) =
14.9; p < 0.001), vision loss was not an independent determinant of depression.
Mediators were functional limitations (p < 0.001) and social network size (p =
0.009). No interaction with partner status was found. CONCLUSION: In the presence
of depression, a trend was found with increasing severity of vision loss,
indicating the need for more attention in (mental) health care and low-vision
rehabilitation. In the general older population, vision loss was not an
independent determinant of depression but was mediated by functional limitations
and social network size. Rather than receiving actual social support, the idea of
having a social network to rely on when needed seemed to be associated with lower
levels of depression.
PMID- 26545340
TI - Iron neurochemistry in Alzheimer's disease and Parkinson's disease: targets for
therapeutics.
AB - Brain iron homeostasis is increasingly recognized as a potential target for the
development of drug therapies for aging-related disorders. Dysregulation of iron
metabolism associated with cellular damage and oxidative stress is reported as a
common event in several neurodegenerative disorders such as Alzheimer's,
Parkinson's, and Huntington's diseases. Indeed, many proteins initially
characterized in those diseases such as amyloid-beta protein, alpha-synuclein,
and huntingtin have been linked to iron neurochemistry. Iron plays a crucial role
in maintaining normal physiological functions in the brain through its
participation in many cellular functions such as mitochondrial respiration,
myelin synthesis, and neurotransmitter synthesis and metabolism. However, excess
iron is a potent source of oxidative damage through radical formation and because
of the lack of a body-wide export system, a tight regulation of its uptake,
transport and storage is crucial in fulfilling cellular functions while keeping
its level below the toxicity threshold. In this review, we discuss the current
knowledge on iron homeostasis in the brain and explore how alterations in brain
iron metabolism affect neuronal function with emphasis on iron dysregulation in
Alzheimer's and Parkinson's diseases. Finally, we discuss recent findings
implicating iron as a diagnostic and therapeutic target for Alzheimer's and
Parkinson's diseases. Iron plays a fundamental role in maintaining the high
metabolic and energetic requirements of the brain. However, iron has to be
maintained in a delicate balance as both iron overload and iron deficiency are
detrimental to the brain and can trigger neurodegeneration. Here, we discuss the
current knowledge on brain iron homeostasis and its involvement in major aging
related neurodegenerative diseases. This article is part of a special issue on
Parkinson disease.
PMID- 26545341
TI - A Type of Auxiliary for Native Chemical Peptide Ligation beyond Cysteine and
Glycine Junctions.
AB - Native chemical ligation enables the chemical synthesis of proteins. Previously,
thiol-containing auxiliary groups have been used to extend the reaction scope
beyond N-terminal cysteine residues. However, the N-benzyl-type auxiliaries used
so far result in rather low reaction rates. Herein, a new N(alpha) -auxiliary is
presented. Consideration of a radical fragmentation for cleavage led to the
design of a new auxiliary group which is selectively removed under mildly basic
conditions (pH 8.5) in the presence of TCEP and morpholine. Most importantly and
in contrast to previously described auxiliaries, the 2-mercapto-2-phenethyl
auxiliary is not limited to Gly-containing sites and ligations succeed at
sterically demanding junctions. The auxiliary is introduced in high yield by on
resin reductive amination with commercially available amino acid building blocks.
The synthetic utility of the method is demonstrated by the synthesis of two
antimicrobial proteins, DCD-1L and opistoporin-2.
PMID- 26545343
TI - Immediate post-traumatic pulmonary embolism is not associated with right
ventricular dysfunction.
AB - BACKGROUND: Post-traumatic pulmonary embolic events are associated with
significant morbidity. Computed tomographic (CT) measurements can be predictive
of right ventricular (RV) dysfunction after pulmonary embolus. However, it
remains unclear whether these physiologic effects or clinical outcomes differ
between early (<48 hours) vs late (>=48 hours) post-traumatic pulmonary embolism
(PE). METHODS: All patients with traumatic injury and CT evidence of PE between
2008 and 2013 were identified. The study population was divided into 2 groups
based on the time of diagnosis of the PE. The primary outcome was PE-related
mortality. RESULTS: Fifty patients were identified (14 early PE and 36 late PE).
Patients sustaining a late PE had a higher PE-related mortality rate (16.7% vs
0%), larger RV diameters, RV/left ventricular diameter ratios, RV volumes, and
RV/left ventricular volume ratios (all P < .05). CONCLUSIONS: Early post
traumatic PE appears to be associated with fewer RV physiologic changes than late
post-traumatic PE and may be representative of primary pulmonary thrombosis. It
remains to be seen whether early CT findings of PE should be managed according to
previously established guidelines for embolic disease.
PMID- 26545342
TI - Disruption of Spinal Noradrenergic Activation Delays Recovery of Acute Incision
Induced Hypersensitivity and Increases Spinal Glial Activation in the Rat.
AB - Results of clinical studies suggest that descending inhibitory controls from the
brainstem are important for speeding recovery from pain after surgery. We
examined the effects of destroying spinally projecting noradrenergic neurons via
intrathecally administered antibody to dopamine beta-hydroxylase conjugated to
saporin (DbetaH-saporin) on recovery in an acute incisional pain model.
Mechanical and thermal paw withdrawal thresholds and nonevoked spontaneous
guarding scores were tested for several weeks postoperatively and analyzed using
mixed effects growth curve modeling. DbetaH-saporin treatment resulted in a
significant prolongation in the duration of mechanical and to a lesser degree
thermal hypersensitivity in the ipsilateral paw of incised rats but did not
increase the duration of spontaneous guarding. DbetaH-saporin treatment was also
associated with increased microglial and astrocyte activation in the ipsilateral
spinal cord 21 days after incision compared with immunoglobulin G-saporin treated
controls. Chronic intrathecal administration of the alpha2 adrenergic receptor
antagonist atipamezole (50-200 MUg/d) produced similar effects. These data
suggest that spinally projecting noradrenergic pathways and spinal alpha2
adrenergic receptor activation are important for speeding recovery from
hypersensitivity after surgical incision possibly by reducing spinal glial
activation. Interventions that augment the noradrenergic system might be
important to speed recovery from pain after surgery. PERSPECTIVE: Endogenous
descending spinal noradrenergic activation promotes resolution of incision
induced hypersensitivity and inhibits spinal microglial and astrocyte activation
in part through alpha2 adrenergic receptors.
PMID- 26545345
TI - Racial and age disparities persist in immediate breast reconstruction: an updated
analysis of 48,564 patients from the 2005 to 2011 American College of Surgeons
National Surgery Quality Improvement Program data sets.
AB - BACKGROUND: Immediate breast reconstruction (IBR) rates continue to rise, yet
recent patterns based on race, age, and patient comorbidities have not been
adequately assessed. METHODS: Women undergoing mastectomy only or mastectomy with
IBR from 2005 to 2011 were identified in the American College of Surgeons
National Surgical Quality Improvement (NSQIP) data sets. A multivariate logistic
regression was performed to determine factors independently associated with
receipt of IBR. Thirty-day surgical complication rates after IBR were also
assessed. RESULTS: Rates of IBR increased significantly over the study period
from 26% of patients in 2005 to 40% in 2011. Non-Caucasian race, older age (>=45
years), obesity, and presence of comorbid conditions including diabetes mellitus,
current smoking, and cardiovascular disease were all negatively associated with
receipt of IBR. Surgical complication rates after IBR were not predicted by non
Caucasian race, older age, or presence of diabetes mellitus. CONCLUSIONS: This
current assessment of IBR using the American College of Surgeons-National
Surgical Quality Improvement data sets demonstrates that non-Caucasian and older
women (>=45 years) continue to receive IBR at lower rates despite the lack of
association of added risk of surgical morbidity.
PMID- 26545344
TI - Value analysis of postoperative staging imaging for asymptomatic, early-stage
breast cancer: implications of clinical variation on utility and cost.
AB - BACKGROUND: Routine staging imaging for early-stage breast cancer is not
recommended. Despite this, there is clinical practice variation with imaging
studies obtained for asymptomatic patients with a positive sentinel node (SN+).
We characterize the utility, cost, and clinical implications of imaging studies
obtained in asymptomatic SN+ patients. METHODS: A retrospective review was
performed of asymptomatic, clinically node-negative patients who were found to
have a positive sentinel node after surgery. The type of imaging, subsequent
tests/interventions, frequency of additional malignancy detected, and costs were
recorded. RESULTS: From April 2009 to April 2013, a total of 50 of 113 (44%)
asymptomatic patients underwent staging imaging for a positive sentinel node; 11
(22%) patients had at least 1 subsequent imaging study or diagnostic
intervention. No instance of metastatic breast cancer was identified, with a
total cost of imaging calculated at $116,905. CONCLUSIONS: Staging imaging for
asymptomatic SN+ breast cancer demonstrates clinical variation. These tests were
associated with low utility, increased costs, and frequent false positives
leading to subsequent testing/intervention. Evidence-based standardization may
help increase quality by decreasing unnecessary variation and cost.
PMID- 26545346
TI - Comparison of dental and alveolar arch forms between different ethnic groups.
AB - OBJECTIVE: The aims of this study were to evaluate, by means of 3D software, any
correlation between ethnic group and the shape and size of the dental arcade and
its bony support, and to investigate the correspondence between the latter two
variables within each ethnic group. The data gathered were also compared with the
measurements of commercially available pre-formed archwires to determine which
provide the best fit for each arch in each group. MATERIALS AND METHODS: The
shape and size of the dental and alveolar arches of 29 subjects of African origin
and 37 Caucasian subjects were compared in terms of linear inter-canine, inter
premolar and inter-molar measurements, overall arch length, and the distance
between each tooth and the reference occlusal plane. To determine which pre
formed archwires are best suited to each of the two ethnic groups, the in-out of
the brackets was considered, simulating their presence in the oral cavity.
RESULTS: The upper and lower dental and alveolar arches were all wider and longer
in African with respect to Caucasian subjects (P<0.05). In general, "Roth small"
(index value 1.556) and "Ideal Form Medium" (index value 0.645) archwires were
better suited to both upper and lower arcades in the latter group, while "Damon"
(index value 1.447) and "Ideal Form Large" (index value 1.695) conformed better
to the size and shape of both arcades in the former. CONCLUSIONS: There are very
significant differences in arch form between the two ethnic groups considered,
and the range of pre-formed archwires on the market does not provide for the
anatomical variability of patients.
PMID- 26545348
TI - Augmentation of the alveolar ridge compared with shorter implants in atrophic
jaws: a meta-analysis based on randomised controlled trials.
AB - Our aim was to compare two therapeutic options--augmentation of the alveolar
ridge and shorter implants--in the treatment of atrophic jaws. To fulfill the
preset inclusion criteria, we searched the electronic databases PubMed, EMBASE
through OVID, and the Cochrane Central Register of Controlled Trials (CENTRAL).
Two people were responsible for screening, extraction of data, and assessment of
quality. The meta-analysis was made with the aid of Review Manager (RevMan) 5.1.
The quality of evidence was assessed using the Grades of Recommendation,
Assessment, Development, and Evaluation (GRADE). The electronic database and
manual search yielded 1426 studies. After screening the titles, abstract, and the
full text, and cross-matched them with the inclusion criteria, only 6 studies
were eligible. A total of 837 implants were investigated, which included 429 in
the augmentation group and 408 in the shorter implants group. There were
significantly more failed implants (p=0.006), complications (p=0.01), and
marginal bone losses (p=0.0004) in the augmentation group than in the shorter
implants group. According to the GRADE, the levels of evidence were moderate
(failed implants), high (complication rate), and high (loss of alveolar bone). In
atrophic jaws with enough residual bone, shorter implants without augmentation
might be the first choice as they seem to be associated with fewer failed
implants and complications, and less peri-implant bone loss.
PMID- 26545350
TI - Counting the cost of child mortality in the World Health Organization African
region.
AB - BACKGROUND: Worldwide, a total of 6.282 million deaths occurred among children
aged less than 5 years in 2013. About 47.4 % of those were borne by the 47 Member
States of the World Health Organization (WHO) African Region. Sadly, even as we
approach the end date for the 2015 Millennium Development Goals (MDGs), only
eight African countries are on track to achieve the MDG 4 target 4A of reducing
under-five mortality by two thirds between 1990 and 2015. The post-2015
Sustainable Development Goal (SDG) 3 target is "by 2030, end preventable deaths
of new-borns and children under 5 years of age". There is urgent need for
increased advocacy among governments, the private sector and development partners
to provide the resources needed to build resilient national health systems to
deliver an integrated package of people-centred interventions to end preventable
child morbidity and mortality and other structures to address all the basic needs
for a healthy population. The specific objective of this study was to estimate
expected/future productivity losses from child deaths in the WHO African Region
in 2013 for use in advocacy for increased investments in child health services
and other basic services that address children's welfare. METHODS: A cost-of
illness method was used to estimate future non-health GDP losses related to child
deaths. Future non-health GDP losses were discounted at 3 %. The analysis was
undertaken with the countries categorized under three income groups: Group 1
consisted of nine high and upper middle income countries, Group 2 of 13 lower
middle income countries, and Group 3 of 25 low income countries. One-way
sensitivity analysis at 5 % and 10 % discount rates assessed the impact of the
expected non-health GDP loss. RESULTS: The discounted value of future non-health
GDP loss due to the deaths of children under 5 years old in 2013 will be in the
order of Int$ 150.3 billion. Approximately 27.3 % of the loss will be borne by
Group 1 countries, 47.1 % by Group 2 and 25.7 % by Group 3. The average non
health GDP lost per child death will be Int$ 174 310 for Group 1, Int$ 57 584 for
Group 2 and Int$ 25 508 for Group 3. CONCLUSIONS: It is estimated that the
African Region will incur a loss of approximately 6 % of its non-health GDP from
the future years of life lost among the 2 976 000 child deaths that occurred in
2013. Therefore, countries and development partners should in solidarity
sustainably provide the resources essential to build resilient national health
systems and systems to address the determinants of health and meet the other
basic needs such as for clothing, education, food, shelter, sanitation and clean
water to end preventable child morbidity and mortality.
PMID- 26545349
TI - Insm1 promotes neurogenic proliferation in delaminated otic progenitors.
AB - INSM1 is a zinc-finger protein expressed throughout the developing nervous system
in late neuronal progenitors and nascent neurons. In the embryonic cortex and
olfactory epithelium, Insm1 may promote the transition of progenitors from
apical, proliferative, and uncommitted to basal, terminally-dividing and neuron
producing. In the otocyst, delaminating and delaminated progenitors express
Insm1, whereas apically-dividing progenitors do not. This expression pattern is
analogous to that in embryonic olfactory epithelium and cortex
(basal/subventricular progenitors). Lineage analysis confirms that auditory and
vestibular neurons originate from Insm1-expressing cells. In the absence of
Insm1, otic ganglia are smaller, with 40% fewer neurons. Accounting for the
decrease in neurons, delaminated progenitors undergo fewer mitoses, but there is
no change in apoptosis. We conclude that in the embryonic inner ear, Insm1
promotes proliferation of delaminated neuronal progenitors and hence the
production of neurons, a similar function to that in other embryonic neural
epithelia. Unexpectedly, we also found that differentiating, but not mature,
outer hair cells express Insm1, whereas inner hair cells do not. Insm1 is the
earliest known gene expressed in outer versus inner hair cells, demonstrating
that nascent outer hair cells initiate a unique differentiation program in the
embryo, much earlier than previously believed.
PMID- 26545353
TI - Characterization and functional analysis of Trichinella spiralis Nudix hydrolase.
AB - Trichinella spiralis Nudix hydrolase (TsNd) was identified by screening a T7
phage display cDNA library from T. spiralis intestinal infective larvae (IIL),
and vaccination of mice with recombinant TsNd protein (rTsNd) or TsNd DNA vaccine
produced a partial protective immunity. The aim of this study was to identify the
characteristics and biological functions of TsNd in the process of invasion and
development of T. spiralis larvae. Transcription and expression of TsNd gene at
all developmental stages of T. spiralis were observed by qPCR and
immunofluorescent test (IFT). The rTsNd had the Nd enzymatic activity to dGTP,
NAD, NADP and CoA. Its kinetic properties on the preferred substrate dGTP were
calculated, and the Vmax, Km, and kcat/Km values at pH 8.0 were 3.19 MUM min(-1)
MUg(-1), 370 MUM, and 144 s(-1) M(-1), respectively, in reaction matrix
containing 5 mM Zn(2+) and 2 mM DTT. The rTsNd was active from 25 degrees C to
50 degrees C, with optimal activity at 37 degrees C. rTsNd was able to bind
specifically to mouse intestinal epithelial cells (IECs) and promoted the larval
invasion of IECs, whereas anti-rTsNd antibodies inhibited the larval invasion of
IECs in a dose-dependent manner. Anti-rTsNd antibodies could kill T. spiralis
infective larvae by an ADCC-mediated mechanism. Our results showed that the rTsNd
protein was able to interact with host IECs, had the Nudix hydrolasing activity
and the enzymatic activity appeared to be essential indispensable for the T.
spiralis larval invasion, development and survival in host.
PMID- 26545354
TI - Synthesis, characterization, DNA interactions, DNA cleavage, radical scavenging
activity, antibacterial, anti-proliferative and docking studies of new transition
metal complexes.
AB - The compound N-(2-hydroxybenzylidene)-1-ethyl-1, 4-dihydro-7-methyl-4-oxo-1, 8
naphthyridine-3-carbohydrazide (LH) and its Cu (II), Co (II) and Zn (II)
complexes were synthesized and characterized. The absorption spectral titrations
and competitive DNA binding studies depicted those complexes of title compound
bind to CT-DNA through intercalation. Interestingly [Cu (II)-(L2)] showed
relatively high binding constant value (6.61 x 10(5) M(-1)) compared to [Co (II)
(L2)] (4.378* 10(5) M(-1)) and [Zn (II)-(L2)] (3.1x10(5) M(-1)). Ligand and its
complexes were also examined for DNA nuclease activity against pBR-322 plasmid
DNA, which showed that [Cu (II)-(L2)] had the best hydrolytic cleavage property
displaying prominent double-strand DNA cleavage. In addition, antioxidant
activities of the ligand and its metal complexes investigated through scavenging
effects for DPPH radical in- vitro, indicated their potentiality as good
antioxidants. The in vitro anti-bacterial study inferred the better anti
bacterial activity of [Cu (II)-(L2)] and this was also correlated theoretically
by employing docking studies wherein [Cu (II)-(L2)] displayed good Gold score and
Chem score. Finally the in vitro anti- proliferative activity of studied
compounds was tested against HeLa and MCF-7 cell lines. Interestingly [Cu (II)
(L2)] displayed lower IC50 value and lower percentage of viability in both HeLa
and MCF-7 cell lines.
PMID- 26545355
TI - A Chromone-Derived Schiff-Base Ligand as Al(3+) "Turn on" Fluorescent Sensor:
Synthesis and Spectroscopic Properties.
AB - In this study, a novel chromone-derived Schiff-base ligand called 6-Hydroxy-3
formylchromone (2'-furan formyl) hydrazone (HCFH) has been designed and
synthesized as a "turn on" fluorescent sensor for Al(3+). This sensor HCFH showed
high selectivity and sensitivity towards Al(3+) over other metal ions
investigated, and most metal ions had nearly no influences on the fluorescence
response of HCFH to Al(3+). Additionally, the significant enhancement by about
171-fold in fluorescence emission intensity at 502 nm was observed in the
presence of Al(3+) in ethanol, and it was due to the chelation-enhanced
fluorescence (CHEF) effect upon complexation of HCFH with Al(3+) which inhibited
the photoinduced electron transfer (PET) phenomenon from the Schiff-base nitrogen
atom to chromone group. Moreover, this sensor formed a 1 : 1 complex with Al(3+)
and the fluorescence response of HCFH to Al(3+) was nearly completed within 1
min. Thus, this sensor HCFH could be used to detect and recognize Al(3+) for real
time detection.
PMID- 26545356
TI - On the transmission dynamics of Buruli ulcer in Ghana: Insights through a
mathematical model.
AB - BACKGROUND: Mycobacterium ulcerans is know to cause the Buruli ulcer. The
association between the ulcer and environmental exposure has been documented.
However, the epidemiology of the ulcer is not well understood. A hypothesised
transmission involves humans being bitten by the water bugs that prey on
mollusks, snails and young fishes. METHODS: In this paper, a model for the
transmission of Mycobacterium ulcerans to humans in the presence of a preventive
strategy is proposed and analysed. The model equilibria are determined and
conditions for the existence of the equilibria established. The model analysis is
carried out in terms of the reproduction number [Formula: see text]. The disease
free equilibrium is found to be locally asymptotically stable for [Formula: see
text] The model is fitted to data from Ghana. RESULTS: The model is found to
exhibit a backward bifurcation and the endemic equilibrium point is globally
stable when [Formula: see text] Sensitivity analysis showed that the Buruli ulcer
epidemic is highly influenced by the shedding and clearance rates of
Mycobacterium ulcerans in the environment. The model is found to fit reasonably
well to data from Ghana and projections on the future of the Buruli ulcer
epidemic are also made. CONCLUSIONS: The model reasonably fitted data from Ghana.
The fitting process showed data that appeared to have reached a steady state and
projections showed that the epidemic levels will remain the same for the
projected time. The implications of the results to policy and future management
of the disease are discussed.
PMID- 26545357
TI - Non-tuberculous mycobacteria (NTM) in Zambia: prevalence, clinical, radiological
and microbiological characteristics.
AB - BACKGROUND: Non-tuberculous mycobacteria (NTM) infection is an emerging health
problem. We present here the Zambia-specific national level data of prevalence,
symptomatic, radiological and microbiological characteristics of NTM, using
results from a national Tuberculosis (TB) prevalence survey. METHODS: This was a
cross-sectional study of the prevalence of NTM among adults aged 15 years and
above, who were participants in a national TB prevalence survey. Participants who
had either an abnormal chest x-ray or were symptomatic were considered
presumptive TB cases and submitted sputum for smear and culture analysis. HIV
testing was performed on an opt-out basis. Symptomatic NTM prevalence was
estimated from individual level analysis. RESULTS: Of the 6,123 individuals with
presumptive TB, 923 (15.1%) were found to have NTM, 13 (0.2%) were MTB/NTM co
infected and 338 (5.5%) were contaminated (indeterminate). The prevalence of
symptomatic NTM was found to be 1,477/100,000 [95% CI 1010-1943]. Smear
positivity, history of cough or chest pain and HIV positivity were risk factors
for NTM. CONCLUSION: This first study to estimate the national prevalence of NTM
in Zambia indicates that the burden is high. The NTM occurrence in Zambia
constitutes both a public health and ethical issue requiring action from health
managers.
PMID- 26545358
TI - Mycobacterium alsense sp. nov., a scotochromogenic slow grower isolated from
clinical respiratory specimens.
AB - The name 'Mycobacterium alsiense', although reported in 2007, has not been
validly published. Polyphasic characterization of three available strains of this
species led us to the conclusion that they represent a distinct species within
the genus Mycobacterium. The proposed novel species grows slowly and presents
pale yellow-pigmented colonies. Differentiation from other mycobacteria is not
feasible on the basis of biochemical and cultural features alone while genetic
analysis, extended to eight housekeeping genes and one spacer region, reveals its
clear distinction from all other mycobacteria. Mycobacterium asiaticum is the
most closely related species on the basis of 16S rRNA gene sequences (similarity
99.3 %); the average nucleotide identity between the genomes of the two species
is 80.72 %, clearly below the suggested cut-off (95-96 %). The name Mycobacterium
alsense sp. nov. is proposed here for the novel species and replaces the name 'M.
alsiense', ex Richter et al. 2007, given at the time of isolation of the first
strain. The type strain is TB 1906T ( = DSM 45230T = CCUG 56586T).
PMID- 26545359
TI - Partitioning, duality, and linkage disequilibria in the Moran model with
recombination.
AB - The multilocus Moran model with recombination is considered, which describes the
evolution of the genetic composition of a population under recombination and
resampling. We investigate a marginal ancestral recombination process, where each
site is sampled only in one individual and we do not make any scaling assumptions
in the first place. Following the ancestry of these loci backward in time yields
a partition-valued Markov process, which experiences splitting and coalescence.
In the diffusion limit, this process turns into a marginalised version of the
multilocus ancestral recombination graph. With the help of an inclusion-exclusion
principle and so-called recombinators we show that the type distribution
corresponding to a given partition may be represented in a systematic way by a
sampling function. The same is true of correlation functions (known as linkage
disequilibria in genetics) of all orders. We prove that the partitioning process
(backward in time) is dual to the Moran population process (forward in time),
where the sampling function plays the role of the duality function. This sheds
new light on the work of Bobrowski et al. (J Math Biol 61:455-473, 2010). The
result also leads to a closed system of ordinary differential equations for the
expectations of the sampling functions, which can be translated into expected
type distributions and expected linkage disequilibria.
PMID- 26545360
TI - Prognostic significance of classified extramural tumor deposits and extracapsular
lymph node invasion in T3-4 colorectal cancer: a retrospective single-center
study.
AB - BACKGROUND: Extramural tumor deposits (TDs) and extracapsular lymph node
involvement (ECLNI) are considered to be poor prognostic factors in patients with
T3-4, N0-2, M0 colorectal cancer (CRC). Although TDs are known to have multiple
origins and pleomorphic features, the prognostic significances of the different
type of TDs have not yet been established. METHODS: We performed a retrospective
review of 385 consecutive patients with T3-4, N0-2, M0 CRC who received curative
resection at our institution between 2006 and 2012. We classified the TDs into
two groups: invasive-type TD (iTD), which is characterized by the presence of
lymphatic invasion, vascular invasion, perineural invasion, or undefined cancer
cell clusters and nodular-type TD (nTD), which is characterized by a smooth or
irregular-shaped tumor nodule other than an iTD. ECLNI was defined as invasion of
cancer cells into capsular collagen tissues or adipose tissues beyond the
capsular collagen. Multivariate analyses were used to assess the prognostic
significance of iTD, ND, and ECLNI for relapse-free survival (RFS), disease
specific survival (DSS), and sites of recurrence. RESULTS: In patients without
lymph node (LN) metastasis, the incidences of iTD and nTD were both in the range
of 2-3 %. Conversely, in patients with LN metastasis, the incidences of iTD, nTD,
and ECLNI were 31, 22, and 34 %, respectively. iTD, nTD, and ECLNI were all
significant independent adverse factors for RFS in rectal cancer, and were all
associated with pT, pN, and LN ratio. iTD was a significant independent adverse
prognostic factor for DSS in rectal cancer, metastasis to the liver in colorectal
cancer, and distant LN metastasis in colon cancer. ECLNI was a significant
independent prognostic factor for RFS in colon cancer. CONCLUSIONS: Classifying
TDs and assessing ECLNI may help establish significant prognostic factors for
patients with T3-4, N0-2, M0 CRC.
PMID- 26545361
TI - Living scaffolds: surgical repair using scaffolds seeded with human adipose
derived stem cells.
AB - BACKGROUND: Decellularized porcine small intestinal submucosa (SIS) is a
biological scaffold used surgically for tissue repair. Here, we demonstrate a
model of SIS as a scaffold for human adipose-derived stem cells (ASCs) in vitro
and apply it in vivo in a rat ventral hernia repair model. STUDY DESIGN: ASCs
adherence was examined by confocal microscopy and proliferation rate was measured
by growth curves. Multipotency of ASCs seeded onto SIS was tested using
adipogenic, chondrogenic, and osteogenic induction media. For in vivo testing,
midline abdominal musculofascial and peritoneal defects were created in Sprague
Dawley rats. Samples were evaluated for tensile strength, histopathology and
immunohistochemistry. RESULTS: All test groups showed cell adherence and
proliferation on SIS. Fibronectin-treated scaffolds retained more cells than
those treated with vehicle alone (p < 0.05). Fresh stromal vascular fraction
(SVF) pellets containing ASCs were injected onto the SIS scaffold and showed
similar results to cultured ASCs. Maintenance of multipotency on SIS was
confirmed by lineage-specific markers and dyes. Histopathology revealed
neovascularization and cell influx to ASC-seeded SIS samples following animal
implantation. ASC-seeded SIS appeared to offer a stronger repair than plain SIS,
but these results were not statistically significant. Immunohistochemistry showed
continued presence of cells of human origin in ASC-seeded repairs at 1 month
postoperation. CONCLUSION: Pretreatment of the scaffold with fibronectin offers a
method to increase cell adhesion and delivery. ASCs maintain their
immunophenotype and ability to differentiate while on SIS. Seeding freshly
isolated SVF onto the scaffold demonstrated that minimally manipulated cells may
be useful for perioperative surgical applications within the OR suite. We have
shown that this model for a "living mesh" can be successfully used in abdominal
wall reconstruction.
PMID- 26545362
TI - Effects of Resistance Training on Lower-Extremity Muscle Power in Middle-Aged and
Older Adults: A Systematic Review and Meta-Analysis of Randomized Controlled
Trials.
AB - BACKGROUND: Resistance training (RT) has been investigated as a potential
intervention strategy for improving muscle function, but the effects on lower
extremity muscle power in middle-aged and older adults have not been
systematically reviewed. OBJECTIVE: The aim of this meta-analysis is to provide a
quantitative estimate of the effect of RT on lower-extremity muscle power in
middle-aged and older adults and to examine independent moderators of this
relationship. METHODS: Randomized controlled trials that examined the effects of
RT on either leg press (LP) or knee extension (KE) muscle power in adults aged
>=50 years were included. Data were aggregated with meta-analytic techniques, and
multi-level modeling was used to adjust for nesting effects. A total of 52
effects from 12 randomized controlled trials were analyzed with a random-effects
model to estimate the effect of RT on lower-extremity muscle power. A multiple
regression analysis was conducted to examine independent moderators of the mean
effect. RESULTS: The adjusted aggregated results from all studies indicate that
RT has a small-to-moderate effect on lower-extremity muscle power (Hedges' d =
0.34, 95% confidence interval [CI] 0.25-0.43), which translated to 54.90 watts
(95 % CI 40.37-69.43). Meta-regression analyses indicated that high-velocity RT
was superior to traditional RT (Delta = 0.62 vs. 0.20, respectively) for
increasing lower-extremity muscle power. In addition, training volume
significantly moderated the effect of RT on muscle power. CONCLUSION: The
findings from this meta-analysis indicate that RT is an efficacious intervention
strategy for improving LP and KE muscle power in adults aged >=50 years. Training
mode and volume independently moderate the effect of RT on lower-extremity muscle
power, and should be considered when prescribing RT exercise for middle-aged and
older adults.
PMID- 26545363
TI - The Influence of Head Impact Threshold for Reporting Data in Contact and
Collision Sports: Systematic Review and Original Data Analysis.
AB - BACKGROUND: Head impacts and resulting head accelerations cause concussive
injuries. There is no standard for reporting head impact data in sports to enable
comparison between studies. OBJECTIVE: The aim was to outline methods for
reporting head impact acceleration data in sport and the effect of the
acceleration thresholds on the number of impacts reported. METHODS: A systematic
review of accelerometer systems utilised to report head impact data in sport was
conducted. The effect of using different thresholds on a set of impact data from
38 amateur senior rugby players in New Zealand over a competition season was
calculated. RESULTS: Of the 52 studies identified, 42% reported impacts using a
>10-g threshold, where g is the acceleration of gravity. Studies reported
descriptive statistics as mean +/- standard deviation, median, 25th to 75th
interquartile range, and 95th percentile. Application of the varied impact
thresholds to the New Zealand data set resulted in 20,687 impacts of >10 g,
11,459 (45% less) impacts of >15 g, and 4024 (81% less) impacts of >30 g.
DISCUSSION: Linear and angular raw data were most frequently reported. Metrics
combining raw data may be more useful; however, validity of the metrics has not
been adequately addressed for sport. Differing data collection methods and
descriptive statistics for reporting head impacts in sports limit inter-study
comparisons. Consensus on data analysis methods for sports impact assessment is
needed, including thresholds. Based on the available data, the 10-g threshold is
the most commonly reported impact threshold and should be reported as the median
with 25th and 75th interquartile ranges as the data are non-normally distributed.
Validation studies are required to determine the best threshold and metrics for
impact acceleration data collection in sport. CONCLUSION: Until in-field
validation studies are completed, it is recommended that head impact data should
be reported as median and interquartile ranges using the 10-g impact threshold.
PMID- 26545364
TI - Overexpression of the long non-coding RNA PVT1 is correlated with leukemic cell
proliferation in acute promyelocytic leukemia.
AB - BACKGROUND: Acute promyelocytic leukemia (APL) is associated with chromosomal
translocation t(15;17), which results in the proliferation of morphologically
abnormal promyelocytes. Gain of supernumerary copies of the 8q24 chromosomal
region, which harbors MYC and PVT1, has been shown to be the most common
secondary alteration in human APL. Increased MYC can accelerate the development
of myeloid leukemia in APL. However, the role that the expression of the long non
coding RNA (lncRNA) PVT1 plays in the pathogenesis of APL remains largely
unknown. FINDINGS: In this study, we first analyzed the lncRNA PVT1 expression
level in peripheral blood cells from 28 patients with de novo APL, and
significantly upregulated PVT1 was found in APL patients compared with healthy
donors. We then observed significantly lower MYC and PVT1 expression during all
trans retinoic acid (ATRA)-induced differentiation and cell cycle arrest in the
APL cell line. MYC knockdown in NB4 cells led to PVT1 downregulation. Moreover,
PVT1 knockdown by RNA interference led to suppression of the MYC protein level,
and cell proliferation was inhibited. CONCLUSION: Our findings reveal that the
lncRNA PVT1 may play an important role in the proliferation of APL cells and may
be useful for future therapeutic management.
PMID- 26545365
TI - MiR-362-5p promotes the malignancy of chronic myelocytic leukaemia via down
regulation of GADD45alpha.
AB - BACKGROUND: MicroRNAs (miR, miRNAs) play pivotal roles in numerous physiological
and pathophysiological contexts. We investigated whether miR-362-5p act as an
oncogene in chronic myeloid leukaemia (CML) and aimed to understand its potential
underlying mechanisms. METHODS: We compared the miR-362-5p expression levels
between CML and non-CML cell lines, and between fresh blood samples from CML
patients and normal healthy controls using quantitative real-time PCR (qPCR).
Cell counting kit-8 (CCK-8) and Annexin V-FITC/PI analyses were used to measure
the effects of miR-362-5p on proliferation and apoptosis, and Transwell assays
were used to evaluate migration and invasion. A xenograft model was used to
examine in vivo tumourigenicity. The potential target of miR-362-5p was confirmed
by a luciferase reporter assay, qPCR and western blotting. Involvement of the
JNK1/2 and P38 pathways was investigated by western blotting. RESULTS: miR-362-5p
was up-regulated in CML cell lines and fresh blood samples from CML patients, and
was associated with Growth arrest and DNA damage-inducible (GADD)45alpha down
regulation. Inhibition of miR-362-5p simultaneously repressed tumour growth and
up-regulated GADD45alpha expression in a xenograft model. Consistently, the
knockdown of GADD45alpha expression partially neutralized the effects of miR-362
5p inhibition. Furthermore study suggested that GADD45alpha mediated downstream
the effects of miR-362-5p, which might indirectly regulates the activation of the
JNK1/2 and P38 signalling pathways. CONCLUSION: miR-362-5p acts as an oncomiR
that down-regulates GADD45alpha, which consequently activates the JNK1/2 and P38
signalling. This finding provides novel insights into CML leukaemogenesis and may
help identify new diagnostic and therapeutic targets.
PMID- 26545366
TI - Tuscan black kale sprout extract bioactivated with myrosinase: a novel natural
product for neuroprotection by inflammatory and oxidative response during
cerebral ischemia/reperfusion injury in rat.
AB - BACKGROUND: Cerebral ischemia and reperfusion (CIR) is a pathological condition
characterized by a first blood supply restriction to brain followed by the
consequent restoration of blood flow and simultaneous reoxygenation. The aim of
this study was to evaluate the neuroprotective effects of Tuscan black kale
sprout extract (TBK-SE) bioactivated with myrosinase enzyme, assessing its
capability to preserve blood-brain barrier (BBB), in a rat model of CIR. METHODS:
CIR was induced in rats according to a classic model of carotid artery occlusion
for a time period of 1 h and the reperfusion time was prolonged for seven days.
RESULTS: By immunohistochemical evaluation and western blot analysis of brain and
cerebellum tissues, our data have clearly shown that administration of bioactive
TBK-SE is able to restore alterations of tight junction components (claudin-5
immunolocalization). Also, bioactive TBK-SE reduces some inflammatory key-markers
(p-selectin, GFAP, Iba-1, ERK1/2 and TNF-alpha), as well as the triggering of
neuronal apoptotic death pathway (data about Bax/Bcl-2 balance, p53 and cleaved
caspase 3) and the generation of radicalic species by oxidative stress (results
focused on iNOS, nitrotyrosine and Nrf2). CONCLUSION: Taken together, our
findings lead to believe that bioactive TBK-SE exerts pharmacological properties
in protecting BBB integrity through a mechanism of action that involves a
modulation of inflammatory and oxidative pathway as well into control of neuronal
death.
PMID- 26545367
TI - The Role of FEIBA in Reversing Novel Oral Anticoagulants in Intracerebral
Hemorrhage.
AB - BACKGROUND: Activated prothrombin complex concentrates factor eight inhibitor
bypassing activity (FEIBA) has been recommended for reversing novel oral
anticoagulants (NOAC) in the context of intracerebral hemorrhage (ICH), though
few clinical studies report its use. METHODS: A prospective study of patients
with spontaneous ICH was conducted from May 2013 to May 2015. Hospital
complications including hemorrhage (gastrointestinal bleeding, anemia requiring
transfusion, and surgical site bleeding) and thrombosis (pulmonary embolus, deep
vein thrombosis, ischemic stroke, and myocardial infarction) were recorded. All
ICH patients underwent baseline head CT and a follow-up stability scan in 6 h.
NOAC taken within 48 h of presentation was reversed with FEIBA (50 u/kg) per
protocol. Three-month outcomes were assessed using the modified rankin score
(mRS). RESULTS: Of 127 ICH patients enrolled, 6 (5 %) had NOAC-related ICH
including: oral factor XA inhibitor N = 5 (4 %; N = 4 rivaroxaban, N = 1
apixaban] and direct thrombin inhibitor N = 1 (0.8 %; dabigatran). The indication
for NOAC was atrial fibrillation in all patients and the median CHADS2-VASC score
was 4 (range 2-5). The median admission NIHSS was 2 (range 0-14) and the median
ICH volume was 8 mL (range 1-20). Five patients (3 rivaroxaban, 1 apixaban, 1
dabigatran) presented within 48 h and received FEIBA within a median of 13 h
(range 10-29 h) from their last NOAC dose and 8 h (range 4.5-20) from the time
last known well. None of the patients had ICH expansion, hemorrhagic, or
thrombotic complications. Three-month median mRS was 1 (range 0-6). CONCLUSION:
In this small case series, reversal of NOAC with FEIBA was not associated with
ICH expansion or any thrombotic or hemorrhagic complications.
PMID- 26545368
TI - Network analysis of microRNA and mRNA seasonal dynamics in a highly plastic
sensorimotor neural circuit.
AB - BACKGROUND: Adult neurogenesis and the incorporation of adult-born neurons into
functional circuits requires precise spatiotemporal coordination across molecular
networks regulating a wide array of processes, including cell proliferation,
apoptosis, neurotrophin signaling, and electrical activity. MicroRNAs (miRs) -
short, non-coding RNA sequences that alter gene expression by post
transcriptional inhibition or degradation of mRNA sequences - may be involved in
the global coordination of such diverse biological processes. To test the
hypothesis that miRs related to adult neurogenesis and related cellular processes
are functionally regulated in the nuclei of the avian song control circuit, we
used microarray analyses to quantify changes in expression of miRs and predicted
target mRNAs in the telencephalic nuclei HVC, the robust nucleus of arcopallium
(RA), and the basal ganglia homologue Area X in breeding and nonbreeding Gambel's
white-crowned sparrows (Zonotrichia leucophrys gambelli). RESULTS: We identified
46 different miRs that were differentially expressed across seasons in the song
nuclei. miR-132 and miR-210 showed the highest differential expression in HVC and
Area X, respectively. Analyzing predicted mRNA targets of miR-132 identified 33
candidate target genes that regulate processes including cell cycle control,
calcium signaling, and neuregulin signaling in HVC. Likewise, miR-210 was
predicted to target 14 mRNAs differentially expressed across seasons that
regulate serotonin, GABA, and dopamine receptor signaling and inflammation.
CONCLUSIONS: Our results identify potential miR-mRNA regulatory networks related
to adult neurogenesis and provide opportunities to discover novel genetic control
of the diverse biological processes and factors related to the functional
incorporation of new neurons to the adult brain.
PMID- 26545370
TI - Combined effect of proton-pump inhibitors and other drugs with regard to lower
gastrointestinal tract bleeding with special reference to low-dose aspirin.
PMID- 26545369
TI - Targeting inflammation to influence mood following spinal cord injury: a
randomized clinical trial.
AB - BACKGROUND: The purpose of the present study was to examine the efficacy of
targeting inflammation as a means of improving mood following spinal cord injury
(SCI) and explore the potential mechanisms of action. METHODS: The study was a
randomized, parallel-group, controlled, clinical trial (NCT02099890) whereby 20
participants with varying levels and severities of SCI were randomized (3:2) to
either the treatment group, consisting of a 12-week anti-inflammatory diet, or
control group. Outcome measures were assessed at baseline, 1 and 3 months, and
consisted of CES-D scores of depression, markers of inflammation as assessed by
various pro- and anti-inflammatory cytokines and several amino acids related to
depression. RESULTS: A significant group * time interaction was found for CES-D
(Center for Epidemiologic studies Depression Scale) score (p = 0.01), the
TRP/LNAA (tryptophan/large neutral amino acid) ratio (p = 0.04), the composite
score of pro-inflammatory cytokines (p = 0.04), IL-1beta (interleukin-1 beta) (p
= 0.04), and IFN-gamma (interferon gamma) (p = 0.03). Pearson's r correlation
showed significance between the ?IL-1beta and both the ?CES-D score (r = 0.740, p
< 0.01) and the ?KYN/TRP (kynurenine/tryptophan) ratio (r = 0.536, p = 0.02). The
?KYN/TRP ratio was also significantly correlated with the ?CES-D score (r =
0.586, p = 0.01). Mediation analysis showed that the relationship between the
?KYN/TRP ratio and the ?CES-D score was mediated by the ?IL-1beta. Subgroup
analysis showed that participants with high CES-D scores had significantly higher
concentrations of IL-1beta, and all correlations were maintained or strengthened
within this subgroup. CONCLUSIONS: Overall, the results demonstrated the
effectiveness of targeting inflammation as a means of improving mood in SCI, with
potential mechanisms relating to the reduction in IL-1beta and improvements in
levels of neuroactive compounds related to the kynurenine pathway. Due to the
limited sample size, results should be interpreted with caution; however, they
are worthy of further examination due to the potential impact of inflammation on
depression. TRIAL REGISTRATION: ClinicalTrials.gov ID: NCT02099890 .
PMID- 26545371
TI - Assessment of metal contamination in groundwater and soils in the Ahangaran
mining district, west of Iran.
AB - In this study, 28 groundwater and 13 soil samples from Ahangaran mining district
in Hamedan Province, west of Iran were collected to evaluate the level of
contamination. Average concentrations of As, Cu, Pb, Zn, Mn, Sb, and Ni in
groundwater samples were 1.39, 3.73, 2.18, 9.37, 2.35, 4.44, and 5.50 MUg/L (wet
season), and 11.64, 4.92, 4.32, 14.77, 5.43, 4.12, and 0.98 MUg/L (dry season),
respectively. Results of groundwater samples analysis showed that the average of
analyzed metals in the wet and dry seasons were below the permissible limits,
except As in the dry season which displays concentrations that exceed US EPA
water quality criteria recommended for drinking water. Also, the heavy metal
pollution index (HPI) values in each sampling station were less than the critical
index limit and were suitable for drinking. Factor analysis revealed that
variables influential to groundwater quality in one season may not be as
important in another season. Average concentrations of Ag, As, Cd, Cu, Pb, Sb,
and Zn in soil samples were 2.61, 31.44, 0.51, 55.90, 1284.9, 21.26, and 156.04
mg kg(-1), respectively. The results of the geoaccumulation index (I geo) showed
the following decreasing order: Pb > Zn > Cu > As > Sb > Cd > Ag. Potential
ecological risk index (RI) suggests that the contamination in the investigated
area is moderate to very high risk and the ranking of the contaminants in
decreasing order is Ag > Sb > Pb > Cd > As > Cu > Zn.
PMID- 26545372
TI - Assessment of the climate change impacts on fecal coliform contamination in a
tidal estuarine system.
AB - Climate change is one of the key factors affecting the future microbiological
water quality in rivers and tidal estuaries. A coupled 3D hydrodynamic and fecal
coliform transport model was developed and applied to the Danshuei River
estuarine system for predicting the influences of climate change on
microbiological water quality. The hydrodynamic and fecal coliform model was
validated using observational salinity and fecal coliform distributions.
According to the analyses of the statistical error, predictions of the salinity
and the fecal coliform concentration from the model simulation quantitatively
agreed with the observed data. The validated model was then applied to predict
the fecal coliform contamination as a result of climate change, including the
change of freshwater discharge and the sea level rise. We found that the
reduction of freshwater discharge under climate change scenarios resulted in an
increase in the fecal coliform concentration. The sea level rise would decrease
fecal coliform distributions because both the water level and the water volume
increased. A reduction in freshwater discharge has a negative impact on the fecal
coliform concentration, whereas a rising sea level has a positive influence on
the fecal coliform contamination. An appropriate strategy for the effective
microbiological management in tidal estuaries is required to reveal the
persistent trends of climate in the future.
PMID- 26545373
TI - The occurrence and removal of selected fluoroquinolones in urban drinking water
treatment plants.
AB - Fluoroquinolones (FQs) are a widely prescribed group of antibiotics. They enter
the aqueous environment, where they are frequently detected, and can lead to a
threat to human health. Drinking water treatment plants (DWTPs) play a key role
in removing FQs from potable water. This study investigated the occurrence and
removal of four selected FQs (norfloxacin (NOR), ciprofloxacin (CIP),
enrofloxacin (ENR), and ofloxacin (OFL)) in three urban DWTPs in China. The
treatment efficacy for each system was simultaneously evaluated. Two of the
examined DWTPs used conventional treatment processes. The third used conventional
processes followed by additional treatment processes (ozonation-biologically
activated carbon (ozonation-BAC) and membrane technology). The average
concentrations of the four FQs in the source water and the finished water ranged
from 51 to 248 ng/L and from <5 to 46 ng/L, respectively. Based on residual
concentrations, the conventional treatment system had a low removal of FQs. In
contrast, the addition of advanced treatment processes such as the ozonation-BAC
and membranes, substantially improved the removal of FQs. The finding of this
study has important implications: even though coagulation-sedimentation and
chlorination treatment processes can remove most target FQs, the typical practice
of advanced treatment processes is necessary for the further removal.
PMID- 26545374
TI - Malignant Peritoneal Mesothelioma: Characterization of the Inflammatory Response
in the Tumor Microenvironment.
AB - BACKGROUND: Malignant peritoneal mesothelioma (MPM) is a rare cancer arising from
mesothelial cells lining the peritoneal surface. Little is known about the tumor
microenvironment in regulating MPM oncogenesis. The current study defined the
chemokine/cytokine expression profile and inflammatory responses within the MPM
microenvironment. METHODS: Levels of 10 cytokines (Fractalkine, IFNgamma, IL-6,
IL-8, IP-10, MCP-1, MIP-1alpha, MIP-1beta, TNFalpha, VEGF) in matched ascites and
sera from 15 MPM patients were measured using Milliplex immunoassays. Sera from
six normal control sera were included. Statistical analyses included the Wilcoxon
signed-rank test, the Mann-Whitney U test, bivariate analysis, and the R (2)
coefficient of correlation. RESULTS: The median levels of IL-6 (3190 vs 3.18
ng/ml; p < 0.001), IL-8 (118 vs 4.93 ng/ml; p < 0.001), IP-10 (3923 vs 384 ng/ml;
p < 0.001), and MCP-1 (2886 vs 544 ng/ml; p = 0.005) were significantly higher in
the MPM ascites than in the matched MPM serum. In the MPM serum samples, the
levels of IL-8 (4.93 vs 1.52 ng/ml; p = 0.002), MIP-1beta (53.8 vs 22.3; p =
0.016), TNFalpha (9.97 vs 4.5 ng/ml; p = 0.013), and VEGF (277 vs 105.4 ng/ml; p
= 0.036) were significantly higher than in the control sera. CONCLUSION: The
chemokines/cytokines in the MPM tumor microenvironment are distinct from those
associated with inflammatory responses to infection or injury (e.g., IL-1, IL-2,
TNFalpha, IFNgamma). These local changes reflect active reciprocal communication
between tumor and associated stroma, which the authors predict is integral to MPM
oncogenesis. Future studies will test this hypothesis and identify potential
serum biomarkers for MPM.
PMID- 26545375
TI - Cytoreductive Surgery and HIPEC as a Treatment Option for Laparoscopic Resection
of Uterine Leiomyosarcoma with Morcellation: Early Results.
AB - BACKGROUND: A new and frequently utilized treatment option for symptomatic
uterine leiomyoma is laparoscopic resection with morcellation so the specimen can
be extracted through a small abdominal incision or through the vagina. Some of
these tumors (approximately 0.2 %) have malignant foci of uterine leiomyosarcoma
(ULMS) that is widely disseminated in the process of resection. These patients
are in need of effective additional treatments. METHODS: Patients with ULMS were
treated with a standardized cytoreductive surgery (CRS), hyperthermic
perioperative chemotherapy (HIPEC), and early postoperative intraperitoneal
chemotherapy (EPIC) specifically designed for sarcomatosis. Distribution of
disease by Peritoneal Cancer Index was recorded by preoperative computed
tomography or magnetic resonance imaging and at the time of CRS. Completeness of
cytoreduction score was determined after completion of CRS. Morbidity and
mortality, as well as interval to start systemic chemotherapy, were prospectively
recorded. RESULTS: Six patients with disseminated ULMS after morcellation or
slicing underwent CRS and HIPEC plus EPIC. All six patients had complete visible
clearing of sarcoma prior to perioperative chemotherapy. Early intervention after
morcellation was associated with a lesser extent of disease. No serious morbidity
or mortality was observed in early referral patients, and patients eligible for
systemic chemotherapy were treated with perioperative chemotherapy within 6 weeks
of the CRS. CONCLUSIONS: The future use of laparoscopic resection of ULMS with
morcellation is currently under debate. However, patients after laparoscopic
resection and morcellation have CRS and HIPEC plus EPIC as a treatment option.
Results regarding short-term benefit are suggested by these early data,
especially with early referral.
PMID- 26545376
TI - Change of Patient-Reported Aesthetic Outcome Over Time and Identification of
Factors Characterizing Poor Aesthetic Outcome After Breast-Conserving Therapy:
Long-Term Results of a Prospective Cohort Study.
AB - BACKGROUND: We analyzed the change of aesthetic outcome (AO) over time and
explored factors characterizing poor AO after breast-conserving surgery (BCS).
METHODS: This prospective single-center cohort study included 849 patients
preoperatively planned for BCS between September 2007 and December 2011. Long
term follow-up was made once in 2013. AO was measured by the Aesthetic Status
(AS) of the Breast Cancer Treatment Outcome Scale questionnaire. Clinical,
surgical, and pathologic variables were evaluated to identify predictors of poor
AO. We applied single factor variance analyses and univariable logistic
regression analyses for outcome analysis. RESULTS: The long-term follow-up rate
in 2013 was 73 % (621 nonrecurrent with final BCS). A poor or fair AO was
reported in 30 (4.8 %) and 98 (15.8 %) of these 621 patients, respectively.
Single factor variance analysis showed a negative impact of higher specimen
weight on AO (p < 0.001). Univariable logistic regression analysis revealed the
following risk factors for poor AO: radial breast incision [odds ratio (OR)
1.97], periareolar incision (OR 1.85), fishmouth-shaped incision with resection
of the nipple-areola complex (OR 8.12), impaired wound healing (OR 3.14), and
seroma (OR 2.16). No patient rating her AO as fair or poor shortly after BCS
improved in the long-term follow-up. CONCLUSIONS: The incidence of poor AO is
relatively rare but increases in the long-term follow-up. Patients experiencing
poor AO after BCS are likely to remain unsatisfied with the outcome over time.
Factors predicting unfavorable AO can assist preoperative planning with regards
to the choice between simple breast conserving techniques or more complex
oncoplastic procedures.
PMID- 26545377
TI - Novel and recurrent AID mutations underlie prevalent autosomal recessive form of
HIGM in consanguineous patients.
AB - Immunoglobulin class switch recombination deficiencies (Ig-CSR-D) are
characterized by normal or elevated serum IgM level and absence of IgG, IgA, and
IgE. Most reported cases are due to X-linked CD40L deficiency. Activation-induced
cytidine deaminase deficiency is the most frequent autosomal recessive form,
whereas CD40 deficiency is more rare. Herein, we present the first North African
study on hyper IgM (HIGM) syndrome including 16 Tunisian patients. Phenotypic and
genetic studies allowed us to determine their molecular basis. Three CD40LG
mutations have been identified including two novels (c.348_351dup and
c.782_*2del) and one already reported mutation (g.6182G>A). No mutation has been
found in another patient despite the lack of CD40L expression. Interestingly,
three AICDA mutations have been identified in 11 patients. Two mutations were
novel (c.91T>C and c.389A>C found in one and five patients respectively), and one
previously reported splicing mutation (c.156+1T>G) was found in five patients.
Only one CD40-deficient patient, bearing a novel mutation (c.109T>G), has been
identified. Thus, unlike previous reports, AID deficiency is the most frequent
underlying molecular basis (68%) of Ig-CSR-D in Tunisian patients. This finding
and the presence of specific recurrent mutations are probably due to the critical
role played by inbreeding in North African populations.
PMID- 26545379
TI - Branemark's legacy.
PMID- 26545378
TI - Functional outcomes after supracricoid laryngectomy: what do we not know and what
do we need to know?
AB - Supracricoid laryngectomies (SCLs) are conservative organ-sparing surgical
techniques for the treatment of selected T2-T4 laryngeal carcinomas. Although
these procedures allow preserving the larynx and its functions, in several
countries SCLs are not adopted in oncological protocols. One of the possible
reasons to account for this choice is the complexity of post-surgical in-hospital
management and the variability in functional results. The aim of this review is
to analyse the literature on functional results after SCLs as knowledge on
functional results will help in focusing on what is needed in the future to reach
more standardized post-surgical procedures and homogeneous outcomes. The analysis
of the length of hospital stay, feeding-tube removal time and time to eventual
tracheotomy decannulation showed a marked variability across authors and centres.
Several factors may come into play, including health-system organizations in
different countries. In most studies in-depth description of the criteria applied
for discharge, tracheotomy tube removal and commencement of oral feeding were not
reported. Moreover, the review on swallowing functional outcomes showed marked
variability, as well as a lack of consensus on how to assess swallowing after
SCLs. The analysis of voice functional outcomes also revealed a marked
variability; surprisingly, the tools applied in the assessments were very often
not adequate for substitution voice. Literature review showed that voice- and
swallowing-related quality of life are often satisfactory but the variability
among centres is still too large. Therefore, there is a need for clearer clinical
recommendations on early post-surgical management, tracheal-cannula and feeding
tube removal criteria, voice- and swallowing-assessment protocol, rehabilitation
need and timing.
PMID- 26545380
TI - Numerical simulation of humidification and heating during inspiration in nose
models with three different located septal perforations.
AB - Nasal septum perforations (SP) are characterized by nasal obstruction, bleeding
and crusting. The disturbed heating and humidification of the inhaled air are
important factors, which cause these symptoms due to a disturbed airflow.
Numerical simulations offer a great potential to avoid these limitations and to
provide valid data. The aim of the study was to simulate the humidification and
heating of the inhaled air in digital nose models with three different SPs and
without SP. Four realistic bilateral nose models based on a multi-slice CT scan
were created. The SP were located anterior caudal, anterior cranial and posterior
caudal. One model was without SP. A numerical simulation was performed. Boundary
conditions were based on previous in vivo measurements. Heating and
humidification of the inhaled air were displayed, analyzed in each model and
compared to each other. Anterior caudal SPs cause a disturbed decrease of
temperature and humidity of the inhaled air. The reduced temperature and humidity
values can still be shown in the posterior nose. The anterior cranial and the
posterior caudal perforation have only a minor influence on heating and
humidification. A reduced humidification and heating of the air can be shown by
numerical simulations due to SP depending on their localization. The anterior
caudal SP representing a typical localization after previous surgery has the
biggest influence on heating and humidification. The results explain the typical
symptoms such as crusting by drying-out the nasal mucosa. The size and the
localization of the SP are essential for the symptoms.
PMID- 26545381
TI - Concomitant corticosteroid nasal spray plus antihistamine (oral or local spray)
for the symptomatic management of allergic rhinitis.
AB - The purpose of this study was to perform a systematic review and meta-analysis of
randomized controlled trials (RCTs) to compare the symptomatic management of
corticosteroid nasal spray plus antihistamine (oral or local spray) with that of
either therapy given alone, or placebo in patients with allergic rhinitis (AR).
The PRISMA guidelines for meta-analysis reporting were followed. Total nasal
symptom scores and individual nasal symptom scores were pooled after assessing
heterogeneity among studies. The pooled estimates were expressed as weighted mean
differences (WMD) between treatments. A total of ten studies fulfilled
eligibility. Three trials studied the combination therapy of corticosteroid nasal
spray and oral antihistamine. Pooled results of two trials failed to show
significant difference on total nasal symptoms between combination therapy and
intranasal corticosteroid alone (WMD = -0.20, 95 % CI -0.38 to -0.01, P = 0.04).
The qualitative analysis showed that combination therapy has greater efficacy
than oral antihistamines alone or placebo in improving symptoms. Seven trials
investigated corticosteroid nasal spray plus antihistamine nasal spray. The
cumulative meta-analysis of six RCTs revealed that combination therapy was
superior to solo intranasal corticosteroid (WMD = -1.16, 95 % CI -1.49 to -0.83,
P < 0.00001), solo intranasal antihistamine (WMD = -1.73, 95 % CI -2.08 to -1.38,
P < 0.00001), and placebo (WMD = -2.81, 95 % CI -3.16 to -2.47, P < 0.00001) in
improving total nasal symptom scores. Intranasal corticosteroid plus oral
antihistamine have similar efficacy to intranasal corticosteroid alone, greater
efficacy than oral antihistamines alone or placebo in reducing nasal symptoms for
AR patients. Intranasal corticosteroid plus intranasal antihistamine are
significantly superior to either therapy given alone, or placebo.
PMID- 26545382
TI - Clinical and Molecular Diagnostic Evaluation of Systemic Mastocytosis in the
South-Eastern Hungarian Population Between 2001-2013--A Single Centre Experience.
AB - Systemic mastocytosis (SM) is a rare chronic myeloproliferative neoplasm with
only limited epidemiologic data published so far. We aimed to analyze the
clinical and molecular diagnostic features, and the prognosis and cumulative
incidence of SM cases in a cohort of south-eastern Hungarian patients of 13 year
follow up. In the period 2001-2013, 35 consecutive SM cases were diagnosed in our
regional centre. Immunophenotype, KIT D816V mutation frequency and clinical
characteristics, and the prognosis impact of clinical subtypes were tested and
compared with published data. Indolent SM (ISM) was diagnosed in 14 patients, SM
with an associated clonal hematologic non-mast cell lineage disease (SM-AHNMD) in
15 patients and aggressive SM (ASM) in 6 patients. The KIT D816V mutation was
found in 11/14 (78%) of the ISM cases, in 12/15 (80%) of the SM-AHNMD cases and
in 5/6 (83%) of the ASM cases. The life expectancy of ISM patients was better,
whereas the SM-AHNMD and ASM groups exhibited a reduced median survival. The
cumulative incidence for 13 year of the SM was 0.27/10,000. We detected lower 13
year cumulative SM incidence than of published epidemiologic data due to in our
analyses involved only those patients who had bone marrow biopsy and
histopathologically confirmed SM. This clinical overview clearly showed that the
clinical characteristics differ between ISM (UP, anaphylaxis and osteoporosis)
and SM-AHNMD/ASM (cytopenia, eosinophilia and splenomegaly).
PMID- 26545383
TI - L744,832 and Everolimus Induce Cytotoxic and Cytostatic Effects in Non-Hodgkin
Lymphoma Cells.
AB - Non-Hodgkin Lymphoma (NHL) constitutes a very heterogeneous group of diseases
with different aggressiveness. Diffuse large B-cell lymphoma (DLBCL) and
Burkitt's lymphoma (BL) are two clinically aggressive lymphomas from the germinal
center, very heterogeneous and with different genetic signatures. Several
intracellular pathways are involved in lymphomagenesis, being BCR/PI3K/AKT/mTOR
and RAS/RAF pathways the most frequently ones. In this context the therapeutic
potential of a mTOR inhibitor--everolimus--and a RAS/RAF pathway inhibitor-
L744,832--was evaluated in two NHL cell lines. Farage and Raji cells were
cultured in the absence and presence of several concentrations of everolimus and
L744,832 in monotherapy and in combination with each other, as well as in
association with the conventional chemotherapy drug vincristine. Our results show
that everolimus and L744,832 induce antiproliferative and cytotoxic effect in a
time-, dose-, and cell line-dependent manner, inducing cell death mainly by
apoptosis. A potentiation effect was observed when the drugs were used in
combination. In conclusion, the results suggest that everolimus and L744,832,
alone or in combination, could provide therapeutic benefits in these subtypes of
NHL.
PMID- 26545384
TI - Colorectal surgery in a rural setting.
AB - Colorectal surgery is increasingly being concentrated in high-volume tertiary
centers, whereas it has been demonstrated that it can be performed safely and
effectively even in low-volume hospitals. We analyzed data of patients who
underwent major colorectal surgery in 1 year in a "rural" hospital, located on a
small island, where a dynamic colorectal multidisciplinary team (MDT) and an
enhanced recovery programme have been implemented. Primary endpoints were rate of
laparoscopic resections, morbidity, mortality and number of lymph nodes retrieved
and examined. Secondary endpoints were rate of R0 resections and length of
postoperative stay. Seventy-six patients had surgery for a severe colorectal
condition. Fifty-five resections have been performed, 38 by laparoscopy (69.1 %).
Conversion rate was 5 %. Morbidity for resections was 21.8 %. General leak rate
was 1.8 %, no leaks in laparoscopic resections. There was no difference in
morbidity between open and laparoscopic resections. Postoperative stay was
significantly shorter in laparoscopic vs open operations and in elective vs
emergency operations. Number of lymph nodes retrieved was higher in laparoscopic
vs open resections, 85.4 % of patients had 12 or more lymph nodes examined.
Overall rate of R0 resections was 80.5 %, higher in laparoscopic vs open
resections. Major colorectal surgery can be performed safely and effectively also
in low-volume hospitals in the presence of a trained high-volume surgeon, an
effective MDT and an Enhanced Recovery Programme.
PMID- 26545385
TI - Human TLR8 senses UR/URR motifs in bacterial and mitochondrial RNA.
AB - Toll-like receptor (TLR) 13 and TLR2 are the major sensors of Gram-positive
bacteria in mice. TLR13 recognizes Sa19, a specific 23S ribosomal (r) RNA-derived
fragment and bacterial modification of Sa19 ablates binding to TLR13, and to
antibiotics such as erythromycin. Similarly, RNase A-treated Staphylococcus
aureus activate human peripheral blood mononuclear cells (PBMCs) only via TLR2,
implying single-stranded (ss) RNA as major stimulant. Here, we identify human
TLR8 as functional TLR13 equivalent that promiscuously senses ssRNA. Accordingly,
Sa19 and mitochondrial (mt) 16S rRNA sequence-derived oligoribonucleotides (ORNs)
stimulate PBMCs in a MyD88-dependent manner. These ORNs, as well as S. aureus-,
Escherichia coli-, and mt-RNA, also activate differentiated human monocytoid THP
1 cells, provided they express TLR8. Moreover, Unc93b1(-/-)- and Tlr8(-/-)-THP-1
cells are refractory, while endogenous and ectopically expressed TLR8 confers
responsiveness in a UR/URR RNA ligand consensus motif-dependent manner. If TLR8
function is inhibited by suppression of lysosomal function, antibiotic treatment
efficiently blocks bacteria-driven inflammatory responses in infected human whole
blood cultures. Sepsis therapy might thus benefit from interfering with TLR8
function.
PMID- 26545387
TI - Uncoupling protein 2 negatively regulates glucose-induced glucagon-like peptide 1
secretion.
PMID- 26545386
TI - Health-related quality of life after first-line anti-cancer treatments for
advanced non-small cell lung cancer in clinical practice.
AB - PURPOSE: This study attempted to compare changes in the Quality-of-Life (QoL)
scores after three different first-line anti-cancer treatments for advanced non
small cell lung cancer (NSCLC) in a real-world clinical setting. PATIENTS AND
METHODS: From May 2011 to December 2013, we prospectively measured the QoL scores
of patients with locally advanced or metastatic NSCLC using the World Health
Organization Quality-of-Life-Brief (WHOQOL-BREF) questionnaire. Each QoL
measurement was matched by age and sex with one healthy referent from the
National Health Interview Survey. Dynamic changes in patients' QoL scores and
major determinants were repeatedly assessed by construction of a mixed-effects
model to adjust for possible confounders. RESULTS: A total of 336 patients with
577 QoL measurements related to first-line anti-cancer treatments were enrolled.
Performance status was the most important predictor of QoL scores in all domains
after controlling for potential confounders. With age- and sex-matched healthy
subjects as the reference, patients treated with gemcitabine + platinum showed
significantly lower scores in multiple physical and psychological domain items in
the WHOQOL-BREF. However, pemetrexed + platinum and gefitinib/erlotinib affected
patients' QoL scores in 'energy/fatigue' and 'daily activities' with smaller
magnitudes, and the scores appeared to improve after 3-4 months of treatment.
CONCLUSIONS: Patients receiving gemcitabine + platinum as first-line anti-cancer
treatment for advanced NSCLC experienced relatively poor QoL scores throughout
treatment course. Studies to develop a real-time computerized system
automatically updating the mixed-effects model for QoL to facilitate
participatory clinical decision making by physicians, patients, and their
families merit further research.
PMID- 26545388
TI - How to assess quality in primary care.
PMID- 26545389
TI - The Role of Piezoelectric Instrumentation in Rhinoplasty Surgery.
AB - BACKGROUND: In rhinoplasty surgery, management of the bony vault and lateral
walls is most often performed with mechanical instruments: saws, chisels,
osteotomes, and rasps. Over the years, these instruments have been refined to
minimize damage to the surrounding soft tissues and to maximize precision.
OBJECTIVES: This article will present the evolution of the authors' current
operative technique based on 185 clinical cases performed over an 19-month period
using piezoelectric instrumentation (PEI). METHODS: A two-part study of cadaver
dissections and clinical cases was performed using PEI. Evolution of the authors'
clinical technique and the operative sequence were recorded. RESULTS: Thirty
cadaver dissections and 185 clinical cases were performed using PEI, including 82
primary and 103 secondary cases. An extended subperiosteal dissection was
developed to visualize all aspects of the open rhinoplasty including the
osteotomies. Ultrasonic rhinosculpture (URS) was utilized in 95 patients to shape
the bony vault without osteotomies. To date, 11 revisions (6%) have been
performed. There were no cases of bone asymmetry, irregularity, or excessive
narrowing requiring a revision. CONCLUSIONS: Based on the authors' experience,
adoption of PEI is justified and offers more precise analysis and surgical
execution with superior results in altering the osseocartilaginous vault. With
extensive exposure, surgeons can make an accurate diagnosis of bony deformity and
safely contour the bones to achieve narrowing and symmetry of the bony dorsum.
Stable osteotomies can be performed under direct vision with precise mobilization
and control. As a result of PEI, the upper third of the rhinoplasty operation is
no longer shrouded in mystery. LEVEL OF EVIDENCE 4: Therapeutic.
PMID- 26545390
TI - Effect of a Hospital-wide High-Flow Nasal Cannula Protocol on Clinical Outcomes
and Resource Utilization of Bronchiolitis Patients Admitted to the PICU.
AB - OBJECTIVE: To assess the association of the introduction of a high-flow nasal
cannula (HFNC) protocol with clinical outcomes and hospital charges of infants
with bronchiolitis initially admitted to the PICU. METHODS: We conducted a
retrospective, nonrandomized, preintervention-postintervention study of infants
with bronchiolitis initially admitted to the PICU for HFNC. We compared patients
admitted in the 24 months before and after protocol initiation for HFNC use on
the general wards. The primary outcome assessed was length of hospital stay
(LOS), and the secondary outcomes included total hospital charges, intubation,
and 30-day readmission. We conducted bivariate analysis using chi2 test for
categorical variables and Student's t test or Wilcoxon rank sum test for
continuous variables. RESULTS: Two hundred and ninety patients were admitted to
the PICU on HFNC; 120 patients were admitted before and 170 admitted after the
introduction of HFNC use on the general wards. Comparing the 2 groups, the median
LOS was significantly reduced (4 days vs 3 days; P < .001), as was the median
total hospital charges ($12 257 vs $9337; P < .001). After starting HFNC use on
the wards, 30% of patients initially admitted to the PICU were ultimately
transferred to the wards while still on HFNC. There was no difference in
intubation rate or 30-day readmission between the 2 groups. CONCLUSIONS: For
bronchiolitis patients initially admitted to the PICU, initiating a guideline for
HFNC use on the general pediatric wards is associated with reduced total hospital
LOS and total hospital charges, with no difference in intubation rates or 30-day
readmission.
PMID- 26545391
TI - Justify Your Vice.
PMID- 26545392
TI - Randomized comparison trial of gait training with and without compelled weight
shift therapy in individuals with chronic stroke.
AB - OBJECTIVE: To compare the effects of gait training combined with compelled weight
shift therapy and gait training alone on velocity and gait symmetry in patients
with chronic stroke. DESIGN: Single-blind randomized controlled trial.
PARTICIPANTS: Patients ( N=28) with chronic stroke and stance asymmetry toward
the non-paretic side. INTERVENTIONS: Six weeks of gait training combined with
compelled weight-shift therapy via a shoe lift applied under the non-paretic leg
(experimental group, n=14) or gait training alone (control group, n=14). MAIN
MEASURES: Percentage of total body weight carried by the paretic limb, gait
velocity and gait spatiotemporal symmetry ratios including step symmetry, stance
symmetry, swing symmetry and overall temporal symmetry. RESULTS: When comparing
the two groups, weight bearing on the affected side increased more significantly
in experimental group than in control group (40.14+/-3.77, 38.28+/-4.06) after
the end of treatment and also after a three-month follow-up (44.42+/-3.5, 38.5+/
3.77) (P<0.05). Among the experimental and control groups, there were no
significant differences of gait velocity (cm/s) after six weeks of treatment
(49.82+/-16.82, 42.66+/-18.75) and also after a three-month follow-up (50.94+/
16.27, 41.66+/-17.58) ( P>0.05). There were no significant differences of gait
spatiotemporal symmetry ratios including step symmetry, stance symmetry, swing
symmetry and overall temporal symmetry between the two groups after six weeks of
treatment and also at three-month follow-up ( P>0.05). CONCLUSIONS: This study
did not confirm that the effect of gait training combined with compelled body
weight shift therapy was better than gait training alone on improving velocity
and gait symmetry in patients with chronic stroke.
PMID- 26545393
TI - Comment on: A critical analysis of the internal logic in the Life-Space
Assessment (LSA) composite score and suggested solutions.
PMID- 26545394
TI - "You Better Say Your Prayers and Get Ready": Guns Within the Context of Partner
Abuse.
AB - The present study used focus groups to collect qualitative data to better
understand the complexity of how women with domestic violence experiences feel
about gun violence and protections from gun violence within the context of
partner violence. Participants consisted of 42 women who were recruited through
domestic violence shelters and programs in a single U.S. state. Three main themes
were examined in the focus group discussions: (a) guns used within the context of
partner abuse, (b) victims using guns as protection from an abuser, and (c)
mandated gun restrictions as protection in partner abuse. A total of nine
subthemes were organized under the three general themes. Within the first main
theme, participants discussed that although abuse occurs with and without guns,
guns are uniquely dangerous. In the second main theme, participants expressed
concern regarding the dangers of using a gun for self-defense as well as the
individual right to own a gun for self-defense. In the third main theme,
participants expressed their frustrations that victims are not taken seriously by
the justice system and the difficulties of enforcing mandated gun restrictions.
The findings have implications for developing protective strategies against gun
violence for victims of partner violence.
PMID- 26545395
TI - The Potential for Screening for Intimate Partner Violence in Community
Pharmacies: An Exploratory Study of Female Consumers' Perspectives.
AB - Intimate partner violence (IPV) is a substantial public health problem. The U.S.
Preventive Services Task Force recently updated guidelines to recommend IPV
screening for all women of childbearing age. Expansion of screening efforts to
the community pharmacy setting could provide an opportunity to substantially
impact the health of consumers. To date, no research has explored consumers'
perspective on IPV screening in the community pharmacy environment. To address
this gap, a descriptive survey research study was conducted to examine female
consumers' attitudes and preferences for IPV screening in community pharmacies.
Female pharmacy customers ( N = 60) completed an online survey assessing
knowledge of and attitudes about community pharmacies as sources of health care
advice, beliefs about IPV and IPV screening, and perspectives on IPV screening in
the community pharmacy environment. Consumers who utilized pharmacies with more
patient care services were more likely to report interest in IPV screening in the
pharmacy environment. The majority of respondents thought IPV screening is an
important thing to do (85.0%), and 33.3% agreed that it should happen in a
pharmacy. A statistically significant relationship between the belief that the
pharmacy is a good place for health education and preference for IPV screening in
the community pharmacy environment was found, r(58) = .43, p < .001. Concern
regarding the time required to conduct screenings and about the availability of
appropriate space were identified as potential barriers to screening in the
pharmacy environment.
PMID- 26545396
TI - The Situational Context of Adolescent Homicide Victimization in Johannesburg,
South Africa.
AB - Although studies have described the incidence and epidemiology of adolescent
homicide victimization in South Africa, little is known about the situational
contexts in which they occur. This study aimed to describe the victim, offender,
and event characteristics of adolescent homicide and to generate a typology based
on the particular types of situational contexts associated with adolescent
homicide in South Africa. Data on homicides among adolescents (15-19 years) that
occurred in Johannesburg (South Africa) during the period 2001-2007 were obtained
from the National Injury Mortality Surveillance System (NIMSS) and police case
records. Of the 195 cases available for analysis, 81% of the victims were male.
Most of the offenders were male (90%), comprising of strangers (42%) and
friends/acquaintances (37%). Arguments (33%) were the most common precipitating
circumstances, followed by revenge (11%), robbery (11%), and acts of
vigilantism/retribution for a crime (8%). Through the use of cluster analysis,
the study identified three categories of adolescent homicide: (a) male victims
killed by strangers during a crime-related event, (b) male victims killed by a
friend/acquaintance during an argument, and (c) female victims killed by male
offenders. The results can serve to inform the development of tailored and
focused strategies for the prevention of adolescent homicide.
PMID- 26545397
TI - PRIDE Inspector Toolsuite: Moving Toward a Universal Visualization Tool for
Proteomics Data Standard Formats and Quality Assessment of ProteomeXchange
Datasets.
AB - The original PRIDE Inspector tool was developed as an open source standalone tool
to enable the visualization and validation of mass-spectrometry (MS)-based
proteomics data before data submission or already publicly available in the
Proteomics Identifications (PRIDE) database. The initial implementation of the
tool focused on visualizing PRIDE data by supporting the PRIDE XML format and a
direct access to private (password protected) and public experiments in PRIDE.The
ProteomeXchange (PX) Consortium has been set up to enable a better integration of
existing public proteomics repositories, maximizing its benefit to the scientific
community through the implementation of standard submission and dissemination
pipelines. Within the Consortium, PRIDE is focused on supporting submissions of
tandem MS data. The increasing use and popularity of the new Proteomics Standards
Initiative (PSI) data standards such as mzIdentML and mzTab, and the diversity of
workflows supported by the PX resources, prompted us to design and implement a
new suite of algorithms and libraries that would build upon the success of the
original PRIDE Inspector and would enable users to visualize and validate PX
"complete" submissions. The PRIDE Inspector Toolsuite supports the handling and
visualization of different experimental output files, ranging from spectra (mzML,
mzXML, and the most popular peak lists formats) and peptide and protein
identification results (mzIdentML, PRIDE XML, mzTab) to quantification data
(mzTab, PRIDE XML), using a modular and extensible set of open-source, cross
platform libraries. We believe that the PRIDE Inspector Toolsuite represents a
milestone in the visualization and quality assessment of proteomics data. It is
freely available at http://github.com/PRIDE-Toolsuite/.
PMID- 26545398
TI - Integration of Metabolomics and Transcriptomics Reveals Major Metabolic Pathways
and Potential Biomarker Involved in Prostate Cancer.
AB - Prostate cancer is a highly prevalent tumor affecting millions of men worldwide,
but poor understanding of its pathogenesis has limited effective clinical
management of patients. In addition to transcriptional profiling or
transcriptomics, metabolomics is being increasingly utilized to discover key
molecular changes underlying tumorigenesis. In this study, we integrated
transcriptomics and metabolomics to analyze 25 paired human prostate cancer
tissues and adjacent noncancerous tissues, followed by further validation of our
findings in an additional cohort of 51 prostate cancer patients and 16 benign
prostatic hyperplasia patients. We found several altered pathways aberrantly
expressed at both metabolic and transcriptional levels, including cysteine and
methionine metabolism, nicotinamide adenine dinucleotide metabolism, and
hexosamine biosynthesis. Additionally, the metabolite sphingosine demonstrated
high specificity and sensitivity for distinguishing prostate cancer from benign
prostatic hyperplasia, particularly for patients with low prostate specific
antigen level (0-10 ng/ml). We also found impaired sphingosine-1-phosphate
receptor 2 signaling, downstream of sphingosine, representing a loss of tumor
suppressor gene and a potential key oncogenic pathway for therapeutic targeting.
By integrating metabolomics and transcriptomics, we have provided both a broad
picture of the molecular perturbations underlying prostate cancer and a
preliminary study of a novel metabolic signature, which may help to discriminate
prostate cancer from normal tissue and benign prostatic hyperplasia.
PMID- 26545399
TI - Novel N-terminal and Lysine Methyltransferases That Target Translation Elongation
Factor 1A in Yeast and Human.
AB - Eukaryotic elongation factor 1A (eEF1A) is an essential, highly methylated
protein that facilitates translational elongation by delivering aminoacyl-tRNAs
to ribosomes. Here, we report a new eukaryotic protein N-terminal
methyltransferase, Saccharomyces cerevisiae YLR285W, which methylates eEF1A at a
previously undescribed high-stoichiometry N-terminal site and the adjacent
lysine. Deletion of YLR285W resulted in the loss of N-terminal and lysine
methylation in vivo, whereas overexpression of YLR285W resulted in an increase of
methylation at these sites. This was confirmed by in vitro methylation of eEF1A
by recombinant YLR285W. Accordingly, we name YLR285W as elongation factor
methyltransferase 7 (Efm7). This enzyme is a new type of eukaryotic N-terminal
methyltransferase as, unlike the three other known eukaryotic N-terminal
methyltransferases, its substrate does not have an N-terminal [A/P/S]-P-K motif.
We show that the N-terminal methylation of eEF1A is also present in human; this
conservation over a large evolutionary distance suggests it to be of functional
importance. This study also reports that the trimethylation of Lys(79) in eEF1A
is conserved from yeast to human. The methyltransferase responsible for Lys(79)
methylation of human eEF1A is shown to be N6AMT2, previously documented as a
putative N(6)-adenine-specific DNA methyltransferase. It is the direct ortholog
of the recently described yeast Efm5, and we show that Efm5 and N6AMT2 can
methylate eEF1A from either species in vitro. We therefore rename N6AMT2 as eEF1A
KMT1. Including the present work, yeast eEF1A is now documented to be methylated
by five different methyltransferases, making it one of the few eukaryotic
proteins to be extensively methylated by independent enzymes. This implies more
extensive regulation of eEF1A by this posttranslational modification than
previously appreciated.
PMID- 26545402
TI - Partnership Status and Socioeconomic Factors in Relation to Health Behavior
Changes after a Diagnosis of Ductal Carcinoma In Situ.
AB - BACKGROUND: Change in health behaviors can occur among women newly diagnosed with
ductal carcinoma in situ (DCIS). We sought to understand whether partnership
status and socioeconomic status (SES) affected behavioral changes in body weight,
physical activity, alcohol consumption, and smoking. METHODS: The Wisconsin In
Situ Cohort (WISC) study comprises 1,382 women diagnosed with DCIS with
information on demographics, SES factors, and pre- and post-DCIS diagnosis health
related behaviors. Logistic regression models were used to determine the
association between partnership status, education, and income with change in
behavior variables. RESULTS: Higher educational attainment was associated with
lower likelihood of stopping physical activity [OR, 0.45; 95% confidence interval
(CI), 0.32-0.63; college vs. high school degree], or starting to drink alcohol
(OR, 0.34; 95% CI, 0.15-0.80). Results suggested that higher family income was
associated with lower likelihood of gaining >5% body mass index (P = 0.07) or
stopping physical activity (P = 0.09). Living with a partner was not strongly
associated with behavior changes. CONCLUSION: Higher educational attainment and
higher income, but not living with a partner, were associated with positive
health behaviors after a DCIS diagnosis. IMPACT: The associations between higher
educational attainment and, to a lesser extent, higher income with positive
health behaviors underscore the importance of considering SES when identifying
those at risk for negative behavioral change after DCIS diagnosis.
PMID- 26545400
TI - Life Stage-specific Proteomes of Legionella pneumophila Reveal a Highly
Differential Abundance of Virulence-associated Dot/Icm effectors.
AB - Major differences in the transcriptional program underlying the phenotypic switch
between exponential and post-exponential growth of Legionella pneumophila were
formerly described characterizing important alterations in infection capacity.
Additionally, a third state is known where the bacteria transform in a viable but
nonculturable state under stress, such as starvation. We here describe phase
related proteomic changes in exponential phase (E), postexponential phase (PE)
bacteria, and unculturable microcosms (UNC) containing viable but nonculturable
state cells, and identify phase-specific proteins. We present data on different
bacterial subproteomes of E and PE, such as soluble whole cell proteins, outer
membrane-associated proteins, and extracellular proteins. In total, 1368
different proteins were identified, 922 were quantified and 397 showed
differential abundance in E/PE. The quantified subproteomes of soluble whole cell
proteins, outer membrane-associated proteins, and extracellular proteins; 841,
55, and 77 proteins, respectively, were visualized in Voronoi treemaps. 95
proteins were quantified exclusively in E, such as cell division proteins MreC,
FtsN, FtsA, and ZipA; 33 exclusively in PE, such as motility-related proteins of
flagellum biogenesis FlgE, FlgK, and FliA; and 9 exclusively in unculturable
microcosms soluble whole cell proteins, such as hypothetical, as well as
transport/binding-, and metabolism-related proteins. A high frequency of
differentially abundant or phase-exclusive proteins was observed among the 91
quantified effectors of the major virulence-associated protein secretion system
Dot/Icm (> 60%). 24 were E-exclusive, such as LepA/B, YlfA, MavG, Lpg2271, and 13
were PE-exclusive, such as RalF, VipD, Lem10. The growth phase-related specific
abundance of a subset of Dot/Icm virulence effectors was confirmed by means of
Western blotting. We therefore conclude that many effectors are predominantly
abundant at either E or PE which suggests their phase specific function. The
distinct temporal or spatial presence of such proteins might have important
implications for functional assignments in the future or for use as life-stage
specific markers for pathogen analysis.
PMID- 26545403
TI - A GWAS Meta-analysis and Replication Study Identifies a Novel Locus within
CLPTM1L/TERT Associated with Nasopharyngeal Carcinoma in Individuals of Chinese
Ancestry.
AB - BACKGROUND: Genetic loci within the major histocompatibility complex (MHC) have
been associated with nasopharyngeal carcinoma (NPC), an Epstein-Barr virus (EBV)
associated cancer, in several GWAS. Results outside this region have varied.
METHODS: We conducted a meta-analysis of four NPC GWAS among Chinese individuals
(2,152 cases; 3,740 controls). Forty-three noteworthy findings outside the MHC
region were identified and targeted for replication in a pooled analysis of four
independent case-control studies across three regions in Asia (4,716 cases; 5,379
controls). A meta-analysis that combined results from the initial GWA and
replication studies was performed. RESULTS: In the combined meta-analysis,
rs31489, located within the CLPTM1L/TERT region on chromosome 5p15.33, was
strongly associated with NPC (OR = 0.81; P value 6.3 * 10(-13)). Our results also
provide support for associations reported from published NPC GWAS-rs6774494 (P =
1.5 * 10(-12); located in the MECOM gene region), rs9510787 (P = 5.0 * 10(-10);
located in the TNFRSF19 gene region), and rs1412829/rs4977756/rs1063192 (P = 2.8
* 10(-8), P = 7.0 * 10(-7), and P = 8.4 * 10(-7), respectively; located in the
CDKN2A/B gene region). CONCLUSIONS: We have identified a novel association
between genetic variation in the CLPTM1L/TERT region and NPC. Supporting our
finding, rs31489 and other SNPs in this region have been reported to be
associated with multiple cancer sites, candidate-based studies have reported
associations between polymorphisms in this region and NPC, the TERT gene has been
shown to be important for telomere maintenance and has been reported to be
overexpressed in NPC, and an EBV protein expressed in NPC (LMP1) has been
reported to modulate TERT expression/telomerase activity. IMPACT: Our finding
suggests that factors involved in telomere length maintenance are involved in NPC
pathogenesis.
PMID- 26545401
TI - Identification of Evening Complex Associated Proteins in Arabidopsis by Affinity
Purification and Mass Spectrometry.
AB - Many species possess an endogenous circadian clock to synchronize internal
physiology with an oscillating external environment. In plants, the circadian
clock coordinates growth, metabolism and development over daily and seasonal time
scales. Many proteins in the circadian network form oscillating complexes that
temporally regulate myriad processes, including signal transduction,
transcription, protein degradation and post-translational modification. In
Arabidopsis thaliana, a tripartite complex composed of EARLY FLOWERING 4 (ELF4),
EARLY FLOWERING 3 (ELF3), and LUX ARRHYTHMO (LUX), named the evening complex,
modulates daily rhythms in gene expression and growth through transcriptional
regulation. However, little is known about the physical interactions that connect
the circadian system to other pathways. We used affinity purification and mass
spectrometry (AP-MS) methods to identify proteins that associate with the evening
complex in A. thaliana. New connections within the circadian network as well as
to light signaling pathways were identified, including linkages between the
evening complex, TIMING OF CAB EXPRESSION1 (TOC1), TIME FOR COFFEE (TIC), all
phytochromes and TANDEM ZINC KNUCKLE/PLUS3 (TZP). Coupling genetic mutation with
affinity purifications tested the roles of phytochrome B (phyB), EARLY FLOWERING
4, and EARLY FLOWERING 3 as nodes connecting the evening complex to clock and
light signaling pathways. These experiments establish a hierarchical association
between pathways and indicate direct and indirect interactions. Specifically, the
results suggested that EARLY FLOWERING 3 and phytochrome B act as hubs connecting
the clock and red light signaling pathways. Finally, we characterized a clade of
associated nuclear kinases that regulate circadian rhythms, growth, and flowering
in A. thaliana. Coupling mass spectrometry and genetics is a powerful method to
rapidly and directly identify novel components and connections within and between
complex signaling pathways.
PMID- 26545404
TI - Association of Parity and Time since Last Birth with Breast Cancer Prognosis by
Intrinsic Subtype.
AB - BACKGROUND: Parity and time since last birth influence breast cancer risk and
vary by intrinsic tumor subtype, but the independent effects of these factors on
prognosis have received limited attention. METHODS: Study participants were 1,140
invasive breast cancer patients from phases I and II of the population-based
Carolina Breast Cancer Study, with tissue blocks available for subtyping using
immunohistochemical markers. Breast cancer risk factors, including pregnancy
history, were collected via in-person interviews administered shortly after
diagnosis. Vital status was determined using the National Death Index. The
association of parity and birth recency with breast cancer-specific and overall
survival was assessed using Cox proportional hazards models. RESULTS: During
follow-up (median = 13.5 years), 450 patients died, 61% due to breast cancer (n =
276). High parity (3+ births) and recent birth (<5 years before diagnosis) were
positively associated with breast cancer-specific mortality, independent of age,
race, and selected socioeconomic factors [parity, reference = nulliparous,
adjusted HR = 1.76; 95% confidence interval (CI) = 1.13-2.73; birth recency,
reference = 10+ years, adjusted HR = 1.29; 95% CI, 0.79-2.11]. The associations
were stronger among patients with luminal tumors and those surviving longer than
5 years. CONCLUSIONS: Parity and recent birth are associated with worse survival
among breast cancer patients, particularly among luminal breast cancers and long
term survivors. IMPACT: The biologic effects of parity and birth recency may
extend from etiology to tumor promotion and progression.
PMID- 26545405
TI - Body Mass Index at Diagnosis and Breast Cancer Survival Prognosis in Clinical
Trial Populations from NRG Oncology/NSABP B-30, B-31, B-34, and B-38.
AB - BACKGROUND: Body mass index (BMI) has been associated with breast cancer
outcomes. However, few studies used clinical trial settings where treatments and
outcomes are consistently evaluated and documented. There are also limited data
assessing how patient/disease characteristics and treatment may alter the BMI
breast cancer association. METHODS: We evaluated 15,538 breast cancer
participants from four NSABP protocols. B-34 studied early-stage breast cancer
patients (N = 3,311); B-30 and B-38 included node-positive breast cancer patients
(N = 5,265 and 4,860); and B-31 studied node-positive and HER2-positive breast
cancer patients (N = 2,102). We used Cox proportional hazards regression to
calculate adjusted hazards ratios (HR) for risk of death and recurrence, and
conducted separate analyses by estrogen receptor (ER) status and treatment group.
RESULTS: In B-30, increased BMI was significantly related to survival. Compared
with BMI < 25, HRs were 1.04 for BMI 25 to 29.9 and 1.18 for BMI >= 30 (P =
0.02). Separate analyses indicated the significant relationship was only in ER
positive disease (P = 0.002) and the subgroup treated with
doxorubicin/cyclophosphamide (P = 0.005). There were no significant trends across
BMI for the other three trials. Similar results were found for recurrence.
Increased BMI was significantly related to recurrence in B-30 (P = 0.03); and the
significant relationship was only in ER-positive breast cancers (P = 0.001).
Recurrence was also significant among ER-positive disease in B-38 (P = 0.03).
CONCLUSIONS: In our investigation, we did not find a consistent relationship
between BMI at diagnosis and breast cancer recurrence or death. IMPACT: This work
demonstrates that the heterogeneity of breast cancer between different breast
cancer populations and the different therapies used to treat them may modify any
association that exists between BMI and breast cancer outcome.
PMID- 26545408
TI - Synergistic Interaction within Bifunctional Ruthenium Nanoparticle/SILP Catalysts
for the Selective Hydrodeoxygenation of Phenols.
AB - Ruthenium nanoparticles immobilized on acid-functionalized supported ionic liquid
phases (Ru NPs@SILPs) act as efficient bifunctional catalysts in the
hydrodeoxygenation of phenolic substrates under batch and continuous flow
conditions. A synergistic interaction between the metal sites and acid groups
within the bifunctional catalyst leads to enhanced catalytic activities for the
overall transformation as compared to the individual steps catalyzed by the
separate catalytic functionalities.
PMID- 26545407
TI - Longitudinal Change in Mammographic Density among ER-Positive Breast Cancer
Patients Using Tamoxifen.
AB - Tamoxifen-associated mammographic density (MD) reductions are linked to improved
breast cancer survival. We evaluated MD at six time points to determine the
timing of greatest reduction following tamoxifen initiation. We sampled 40 Kaiser
Permanente Northwest estrogen receptor (ER)-positive breast cancer patients from
a prior study of MD change, according to tamoxifen use duration and age at
diagnosis: <4 years tamoxifen and <=50 years (N = 6) or >50 years (N = 10) old;
>=4 years tamoxifen and <=50 years (N = 13) or >50 years (N = 11) old. A single
reader evaluated percent MD in the contralateral breast on baseline (pre
diagnosis) and five approximately yearly post-diagnostic (T1 to T5) mammograms.
Mean MD change was calculated. Interactions with age (<=50 and >50 years),
tamoxifen duration (<4 and >=4 years), and baseline MD (tertiles) were tested in
linear regression models. Overall, the largest MD decline occurred by T1 (mean
4.5%) with little additional decline by T5. Declines differed by tertile of
baseline MD (Pinteraction < 0.01). In the highest tertile, the largest reduction
occurred by T1 (mean 14.9%), with an additional reduction of 3.6% by T5. Changes
were smaller in the middle and lowest baseline MD tertiles, with cumulative
reductions of 3.0% and 0.4% from baseline to T5, respectively. There were no
differences by age (Pinteraction = 0.36) or tamoxifen duration (Pinteraction =
0.42). Among ER-positive patients treated with tamoxifen and surviving >=5 years,
most of the MD reduction occurred within approximately 12 months of tamoxifen
initiation, suggesting that MD measurement at a single time point following
tamoxifen initiation can identify patients with substantial density declines.
PMID- 26545406
TI - Methylated B3GAT2 and ZNF793 Are Potential Detection Biomarkers for Barrett's
Esophagus.
AB - BACKGROUND: Barrett's esophagus (BE) is a preneoplastic condition in which normal
esophageal squamous epithelium (SQ) is replaced by specialized intestinal
metaplasia. It is the presumed precursor for esophageal adenocarcinoma (EAC) as
well as the strongest risk factor for this cancer. Unfortunately, many patients
with BE go undiagnosed under the current BE screening guidelines. The development
of noninvasive and accurate BE detection assays could potentially identify many
of these undiagnosed BE patients. METHODS: DNA methylation is a common epigenetic
alteration in BE. Therefore, we conducted a genome-wide methylation screen to
identify potential BE biomarkers. Samples from SQ (N = 12), stomach (N = 28), and
BE (N = 29) were analyzed and methylation levels at over 485,000 CpG sites were
compared. Pyrosequencing assays were used to validate the results and MethyLight
assays were developed to detect the methylated alleles in endoscopic brushings.
RESULTS: We discovered two genes, B3GAT2 and ZNF793, that are aberrantly
methylated in BE. Clinical validation studies confirmed B3GAT2 and ZNF793
methylation levels were significantly higher in BE samples (median = 32.5% and
33.1%, respectively) than in control tissues (median = 2.29% and 2.52%,
respectively; P < 0.0001 for both genes). Furthermore, gene-specific MethyLight
assays could accurately detect BE (P < 0.0001 for both) in endoscopic brushing
samples. CONCLUSION: B3GAT2 and ZNF793 are hypermethylated in BE, and the
methylation status of these genes can be used to detect BE in tissue samples.
IMPACT: These findings support the development of methylated B3GAT2 and ZNF793 as
biomarkers for noninvasive assays for the detection of BE.
PMID- 26545409
TI - A multi-method review of home-based chemotherapy.
AB - This study summarises research- and practice-based evidence on home-based
chemotherapy, and explores existing delivery models. A three-pronged
investigation was conducted consisting of a literature review and synthesis of 54
papers, a review of seven home-based chemotherapy programmes spanning four
countries, and two case studies within the Canadian province of Ontario. The
results support the provision of home-based chemotherapy as a safe and patient
centred alternative to hospital- and outpatient-based service. This paper
consolidates information on home-based chemotherapy programmes including services
and drugs offered, patient eligibility criteria, patient views and experiences,
delivery structures and processes, and common challenges. Fourteen
recommendations are also provided for improving the delivery of chemotherapy in
patients' homes by prioritising patient-centredness, provider training and
teamwork, safety and quality of care, and programme management. The results of
this study can be used to inform the development of an evidence-informed model
for the delivery of chemotherapy and related care, such as symptom management, in
patients' homes.
PMID- 26545410
TI - Pancreaticoduodenectomy with venous reconstruction using cold-stored vein
allografts: long-term results of a single center experience.
AB - BACKGROUND: The use of cadaveric vein allografts was first described by our group
as a feasible option for venous reconstruction. The aim of this study was to
report long-term results of this innovative technique. METHODS: Cold-stored veins
harvested from donor cadavers were used as homologous grafts for venous
reconstruction after vascular resection during pancreaticoduodenectomy. Surgical
technique included patch closure or segmental interposition. Graft patency was
assessed by computed tomography postoperatively and during follow-up.
Postoperative morbidity and mortality were also analyzed. RESULTS: Eleven
patients underwent venous resection and reconstruction by using fresh vein
allografts for patch closure in four cases, conduit interposition in six cases
and a Y-shaped graft interposition in one case. Median clamping time, operative
time and estimated blood loss were 30 min, 6.6 h, and 337 ml, respectively. One
patient, who had preoperative SMV thrombus, developed early portal vein
thrombosis and died. Among the remaining 10 patients, there were no cases of
graft thrombosis or stenosis during active follow-up (median 9, range 1-23,
months). CONCLUSIONS: Our experience with cold-stored vein allografts suggests
that this technique is a useful option for treating major vascular resections
during pancreaticoduodenectomy with good results on follow-up.
PMID- 26545411
TI - A bead-based assay in the work-up of suspected platelet alloimmunization.
AB - BACKGROUND: Alloantibodies against human platelet antigens (HPAs) are of clinical
significance in immune-mediated thrombocytopenia such as fetal/neonatal
alloimmune thrombocytopenia (FNAIT), posttransfusion purpura, and platelet (PLT)
transfusion refractoriness. The gold standard for the detection of these
antibodies is the monoclonal antibody immobilization of PLT antigens (MAIPA)
assay. Both requirement of typed donor PLT panels and technical expertise often
restrict its use to reference laboratories. STUDY DESIGN AND METHODS: An easy-to
use, bead-based assay (BBA) has been introduced recently. In this study, we
compared MAIPA and BBA test results for 126 serum samples from women who gave
birth to a child with FNAIT including rare HPA specificities (n = 111) and from
patients with PLT transfusion refractoriness (n = 15). RESULTS: For sera with
defined allospecificities, the number of BBA false-negatives was 12 of 126, or
9.5%, and the number of BBA false-positives (i.e., detection of additional
specificities) was two of 126, or 1.6%. BBA had major problems in detecting
antibodies against HPA-3a (3/15 undetected = 20% failure rate) and HPA-3b (5/6
undetected = 83.3% failure rate), but performed well in detecting typical FNAIT-
or PLT transfusion refractoriness-associated antibodies including HPA-1a (35/35 =
100%), HPA-1b (15/15 = 100%), HPA-5b (22/24 = 91.6%), and glycoprotein IV (6/6 =
100%). CONCLUSION: BBA might be a useful and time-saving tool in the initial
laboratory work-up of suspected PLT alloimmunization when an appropriate
algorithm ensures follow-up investigation of BBA-negative sera.
PMID- 26545412
TI - Asymmetric Bronsted Acid Catalyzed Synthesis of Triarylmethanes-Construction of
Communesin and Spiroindoline Scaffolds.
AB - Aza-ortho-quinone methides allow the straightforward asymmetric synthesis of
natural-product-inspired indole scaffolds possessing a quaternary stereocenter.
Our approach provides access to diverse communesin and spiroindoline derivatives
with high enantioselectivity under mild reaction conditions. Predictable
substitution patterns are found to be the key to our regiodivergent protocols.
PMID- 26545414
TI - Short-lived climate pollutants: a focus for hot air.
PMID- 26545413
TI - Diagnostic value of radiological imaging pre- and post-drainage of pleural
effusions.
AB - Patients with an unexplained pleural effusion often require urgent investigation.
Clinical practice varies due to uncertainty as to whether an effusion should be
drained completely before diagnostic imaging. We performed a retrospective study
of patients undergoing medical thoracoscopy for an unexplained effusion. In 110
patients with paired (pre- and post-drainage) chest X-rays and 32 patients with
paired computed tomography scans, post-drainage imaging did not provide
additional information that would have influenced the clinical decision-making
process.
PMID- 26545415
TI - What next for the malaria RTS,S vaccine candidate?
PMID- 26545416
TI - Canada's healthy future?
PMID- 26545417
TI - Re-engaging the health community around peace.
PMID- 26545418
TI - A Lancet Commission on obesity.
PMID- 26545421
TI - Conflicts worsen global hunger crisis.
PMID- 26545422
TI - The proper study of mankind.
PMID- 26545427
TI - J Donald Millar.
PMID- 26545428
TI - Alzheimergate: neither miscommunication nor sensationalism.
PMID- 26545429
TI - Polio vaccination in Pakistan: by force or by volition?
PMID- 26545430
TI - Faith-based organisations and health care: invest, don't proselytise.
PMID- 26545431
TI - Could upright posture be harmful in the early stages of stroke?
PMID- 26545432
TI - Could upright posture be harmful in the early stages of stroke? - Author's reply.
PMID- 26545433
TI - RTS,S/AS01 malaria vaccine and child mortality.
PMID- 26545434
TI - RTS,S/AS01 malaria vaccine and child mortality.
PMID- 26545435
TI - Intracerebral haemorrhage, atrial fibrillation, and anticoagulation.
PMID- 26545436
TI - Late-onset effects of radiation and chronic kidney disease.
PMID- 26545437
TI - Intracerebral haemorrhage, atrial fibrillation, and anticoagulation - Authors'
reply.
PMID- 26545438
TI - Department of Error.
PMID- 26545439
TI - Department of Error.
PMID- 26545440
TI - The Nagasaki Atomic Bomb Survivors' Tumor Tissue Bank.
PMID- 26545441
TI - An open-label, non-randomised, phase 1, single-dose study to assess the
pharmacokinetics of ceftaroline in patients with end-stage renal disease
requiring intermittent haemodialysis.
AB - For patients with normal renal function, the recommended ceftaroline fosamil dose
is a 600 mg 1-h intravenous (i.v.) infusion every 12 h (q12h). In patients with a
creatinine clearance of <=30 mL/min, including those with end-stage renal disease
(ESRD), the recommended dose is a 200 mg 1-h i.v. infusion q12h. This phase 1
study (NCT01664065) evaluated the pharmacokinetics, safety and tolerability of
ceftaroline fosamil 200 mg 1-h i.v. infusion in patients with ESRD. Patients with
ESRD (n=8) participated in two treatment periods (ceftaroline fosamil 200 mg
administered pre- and post-haemodialysis) separated by >1 week. Healthy
volunteers (n=7) received a single 600 mg dose of ceftaroline fosamil. Blood (pre
and post-haemodialysis) and dialysate samples were obtained for pharmacokinetic
analysis. In patients with ESRD, the geometric mean [coefficient of variation
(%CV)] plasma ceftaroline area under the plasma concentration-time curve from
zero to infinity (AUC0-infinity) following post-haemodialysis ceftaroline fosamil
200 mg infusion was 64.8 (38.9)MUg.h/mL, similar to that in volunteers following
a 600 mg infusion [62.7 (9.4)MUg.h/mL]. Ceftaroline AUC0-infinity decreased by
ca. 50% when infusion was initiated pre-haemodialysis. In the pre-haemodialysis
treatment period, 80% of the ceftaroline fosamil dose was recovered in dialysate
as ceftaroline (73%) and ceftaroline M-1 (7%). The frequency of adverse events
was similar across patients with ESRD (pre- and post-haemodialysis) and
volunteers (43%, 50% and 43% of subjects, respectively). Ceftaroline fosamil 200
mg 1-h i.v. infusion q12h, administered post-haemodialysis on dialysis days, is
an appropriate dosage regimen for ESRD patients.
PMID- 26545442
TI - Examining the feasibility and tolerability of a clinically informed multisite,
repetitive transcranial magnetic stimulation protocol.
AB - BACKGROUND: Multi-site repetitive transcranial magnetic stimulation (rTMS) has
been applied experimentally in the treatment of obsessive compulsive disorder
(OCD). NEW METHOD: This study was conducted to systematically evaluate the
safety, tolerability and neurocognitive effects of rTMS applied to three cortical
regions over a period of three months. NEW METHOD: Twenty healthy participants
aged 22-33 years were randomly allocated to receive one session of active or sham
stimulation of low and high frequency rTMS applied sequentially to the pre
supplementary motor area, right-dorsolateral prefrontal cortex and left
orbitofrontal cortex totalling 9 min. Tolerability and safety was evaluated using
a standardised safety questionnaire. Neurocognitive functioning was examined
using the Cambridge Neuropsychological Test Automated Battery and measures of
verbal fluency from the Delis-Kaplan Executive Functioning TestTM at five time
points over three months. RESULTS: The protocol was safe and tolerable.
Frequencies of minor adverse effects were higher in active (17 endorsements) than
sham (1 endorsement) conditions. No between group differences in neurocognitive
functioning were identified over three months. COMPARISON WITH EXISTING METHOD:
This study is the first to evaluate the feasibility of low and high frequency
parameters applied sequentially in a single session to the three selected
cortical regions whilst providing neurocognitive data. CONCLUSIONS: rTMS applied
sequentially over three cortical regions was found to be safe and tolerable in
healthy individuals with no major neurocognitive effects over three months. Such
findings can be used to inform the development of rTMS protocols involving multi
site stimulation for OCD.
PMID- 26545443
TI - Vicarious social defeat stress: Bridging the gap between physical and emotional
stress.
AB - BACKGROUND: Animal models capable of differentiating the neurobiological
intricacies between physical and emotional stress are scarce. Current models rely
primarily on physical stressors (e.g., chronic unpredictable or mild stress,
social defeat, learned helplessness), and neglect the impact of psychological
stress alone. This is surprising given extensive evidence that a traumatic event
needs not be directly experienced to produce enduring perturbations on an
individual's health and psychological well-being. Post-traumatic stress disorder
(PTSD), a highly debilitating neuropsychiatric disorder characterized by intense
fear of trauma-related stimuli, often occurs in individuals that have only
witnessed a traumatic event. NEW METHOD: By modifying the chronic social defeat
stress (CSDS) paradigm to include a witness component (witnessing the social
defeat of another mouse), we demonstrate a novel behavioral paradigm capable of
inducing a robust behavioral syndrome reminiscent of PTSD in emotionally stressed
adult mice. RESULTS: We describe the vicarious social defeat stress (VSDS) model
that is capable of inducing a host of behavioral deficits that include social
avoidance and other depressive- and anxiety-like phenotypes in adult male mice.
VSDS exposure induces weight loss and spike in serum corticosterone (CORT)
levels. A month after stress, these mice retain the social avoidant phenotype and
have an increased CORT response when exposed to subsequent stress. COMPARISON
WITH EXISTING METHOD(S): The VSDS is a novel paradigm capable of inducing
emotional stress by isolating physical stress/confrontation in mice. CONCLUSIONS:
The VSDS model can be used to study the short- and long-term neurobiological
consequences of exposure to emotional stress in mice.
PMID- 26545444
TI - A Review of the DASH Diet as an Optimal Dietary Plan for Symptomatic Heart
Failure.
AB - Despite tremendous focus, effort, drug and device development and resources
dedicated to the care of patients at risk for and with heart failure (HF), the
epidemic continues. The HF patient presents with a widely deranged physiology and
typically at the same time is malnourished adding to the disease complexity and
therapeutic challenges. Most nutritional approaches for patients with HF focus on
dietary restrictions (of salt and water) and lack uniformity or clarity or focus
on meeting nutritional needs, barriers and deficits of the patient with HF.
Finally, it seems reasonable to anticipate that any dietary program
recommendation should contribute in a positive way toward HF management goals and
at its foundation positively contribute to the deranged physiology. In many ways
the "Dietary Approaches to Stop Hypertension" (DASH) dietary program fulfills
these needs and early evidence supports the notion that the DASH diet may be
optimal for patients with HF. This brief review examines some of this evidence
and provides recommendations for the HF community.
PMID- 26545445
TI - Body Composition Indices and Single and Clustered Cardiovascular Disease Risk
Factors in Adolescents: Providing Clinical-Based Cut-Points.
AB - The aims of the present study in adolescents were 1) to examine how various body
composition-screening tests relate to single and clustered cardiovascular disease
(CVD) risk factors, 2) to examine how lean mass and body fatness (independently
of each other) relate to clustered CVD risk factors, and 3) to calculate specific
thresholds for body composition indices associated with an unhealthier clustered
CVD risk. We measured 1089 European adolescents (46.7% boys, 12.5-17.49years) in
2006-2007. CVD risk factors included: systolic blood pressure, maximum oxygen
uptake, homeostasis model assessment, C-reactive protein (n=748), total
cholesterol/high density lipoprotein cholesterol and triglycerides. Body
composition indices included: height, body mass index (BMI), lean mass, the sum
of four skinfolds, central/peripheral skinfolds, waist circumference (WC), waist
to-height ratio (WHtR) and waist-to-hip ratio (WHR). Most body composition
indices are associated with single CVD risk factors. The sum of four skinfolds,
WHtR, BMI, WC and lean mass are strong and positively associated with clustered
CVD risk. Interestingly, lean mass is positively associated with clustered CVD
risk independently of body fatness in girls. Moderate and highly accurate
thresholds for the sum of four skinfolds, WHtR, BMI, WC and lean mass are
associated with an unhealthier clustered CVD risk (all AUC>0.773). In conclusion,
our results support an association between most of the assessed body composition
indices and single and clustered CVD risk factors. In addition, lean mass
(independent of body fatness) is positively associated with clustered CVD risk in
girls, which is a novel finding that helps to understand why an index such as BMI
is a good index of CVD risk but a bad index of adiposity. Moderate to highly
accurate thresholds for body composition indices associated with a healthier
clustered CVD risk were found. Further studies with a longitudinal design are
needed to confirm these findings.
PMID- 26545446
TI - Transdermal potential and anti-arthritic efficacy of ursolic acid from niosomal
gel systems.
AB - The aim of the present study was to optimize niosomes by experimental design for
enhanced transdermal delivery of ursolic acid for the effective treatment of
arthritis. The experimental design (3 factor 3 levels, Box-Behnken design) was
used to study individual and combined effects of different formulation variables.
The variables cholesterol (X1), span 60 (X2) and phospholipid (X3) were taken as
independent factors and their effect was observed on size (Y1) entrapment
efficiency (Y2), and transflux (Y3). The formulation composition with span 60
(85mg), cholesterol (12.3mg), and phospholipid (65mg) was found to fulfil
requisites of optimized ursolic acid niosome formulation (URNF). URNF had shown
vesicle size of 665.45nm, entrapment efficiency of 92.74% with transflux of
17.25MUg/cm(2)/h. The in vivo bioactivity showed that the prepared URNF-gel was
able to provide good anti-arthritic activity due to enhanced permeation of UA
through the skin and results were found to be comparable to standard gel (Omni
gel). The radiographical image confirmed that, the developed URNF-gel was found
to be effective to treat arthritis. Thus niosomal gel of ursolic acid would be a
promising alternative to conventional therapy for safe and efficient treatment of
arthritis and musculoskeletal disorders.
PMID- 26545447
TI - The kindest cut: global need to increase vasectomy availability.
PMID- 26545448
TI - Saving lives through improved use of ACTs.
PMID- 26545449
TI - Optimum population-level use of artemisinin combination therapies: a modelling
study.
AB - BACKGROUND: Artemisinin combination therapies (ACTs) are used worldwide as first
line treatment against confirmed or suspected Plasmodium falciparum malaria.
Despite the success of ACTs at reducing the global burden of malaria, emerging
resistance to artemisinin threatens these gains. Countering onset of resistance
might need deliberate tactics aimed at slowing the reduction in ACT
effectiveness. We assessed optimum use of ACTs at the population level,
specifically focusing on a strategy of multiple first-line therapies (MFT), and
comparing it with strategies of cycling or sequential use of single first-line
ACTs. METHODS: With an individual-based microsimulation of regional malaria
transmission, we looked at how to apply a therapy as widely as possible without
accelerating reduction of efficacy by drug resistance. We compared simultaneous
distribution of artemether-lumefantrine, artesunate-amodiaquine, and
dihydroartemisinin-piperaquine (ie, MFT) against strategies in which these ACTs
would be cycled or used sequentially, either on a fixed schedule or when
population-level efficacy reaches the WHO threshold of 10% treatment failure. The
main assessment criterion was total number of treatment failures per 100 people
per year. Additionally, we analysed the benefits of including a single non-ACT
therapy in an MFT strategy, and did sensitivity analyses in which we varied
transmission setting, treatment coverage, partner-drug half-life, fitness cost of
drug resistance, and the relation between drug concentration and resistance
evolution. FINDINGS: Use of MFT was predicted to reduce the long-term number of
treatment failures compared with strategies in which a single first-line ACT is
recommended. This result was robust to various epidemiological, pharmacological,
and evolutionary features of malaria transmission. Inclusion of a single non-ACT
therapy in an MFT strategy would have substantial benefits in reduction of
pressure on artemisinin resistance evolution, delaying its emergence and slowing
its spread. INTERPRETATION: Adjusting national antimalarial treatment guidelines
to encourage simultaneous use of MFT is likely to extend the useful therapeutic
life of available antimalarial drugs, resulting in long-term beneficial outcomes
for patients. FUNDING: Wellcome Trust, UK Medical Research Council, Li Ka Shing
Foundation.
PMID- 26545450
TI - [Prescription of asthma action plans in the Aquitaine region of France].
AB - INTRODUCTION: Although guidelines recommend the prescription of written asthma
action plans (WAAP), their use remains limited. METHODS: A prospective survey was
performed from 2013 to 2014. We interviewed respiratory physicians, paediatric
respiratory physicians and allergologists taking care of asthmatic patients and
practicing in the Aquitaine region of France, using computerized questionnaires,
regarding their everyday practice in the use of WAAP. RESULTS: A total of 59/143
(41%) clinicians, with a mean age of 47 years, participated in the study. A total
of 41/59 (69.5%) were using a WAAP (12 different models with very inhomogeneous
contents, mostly targeting symptoms only). WAAP prescribers were younger than non
prescribers, were more often female, working mostly in the Gironde area, with
mixed hospital and private-based activity, and were paediatric-respiratory
physicians or respiratory physicians. The severity of asthma had little influence
on WAAP prescriptions. CONCLUSION: In the Aquitaine region, prescription of WAAPs
remains inadequate and shows large disparities. WAAP users are mostly younger
female specialists.
PMID- 26545451
TI - Reply.
PMID- 26545452
TI - Editorial Comment.
PMID- 26545453
TI - Ultrasound Evaluation of Thyroid Gland Pathologies After Radiation Therapy and
Chemotherapy to Treat Malignancy During Childhood.
AB - PURPOSE: The purpose of this study was to evaluate correlations between treatment
of malignancy by radiation therapy during childhood and the occurrence of thyroid
gland pathologies detected by ultrasonography in follow-up examinations. METHODS
AND MATERIALS: Reductions of thyroid gland volume below 2 standard deviations of
the weight-specific mean value, occurrence of ultrasonographically detectable
thyroid gland pathologies, and hypothyroidism were retrospectively assessed in
103 children and adolescents 7 months to 20 years of age (median: 7 years of age)
at baseline (1997-2013) treated with chemoradiation therapy (with the thyroid
gland dose assessable) or with chemotherapy alone and followed by ultrasonography
and laboratory examinations through 2014 (median follow-up time: 48 months).
RESULTS: A relevant reduction of thyroid gland volume was significantly
correlated with thyroid gland dose in univariate (P<.001) and multivariate
analyses for doses above 2 Gy. Odds ratios were 3.1 (95% confidence interval:
1.02-9.2; P=.046) for medium doses (2-25 Gy) and 14.8 (95% confidence interval:
1.4-160; P=.027) for high doses (>25 Gy). Thyroid gland dose was significantly
higher in patients with thyroid gland pathologies during follow-up (P=.03).
Univariate analysis revealed significant correlations between hypothyroidism and
thyroid gland dose (P<.001). CONCLUSIONS: Ultrasonographically detectable
changes, that is, volume reductions, pathologies, and hypothyroidism, after
malignancy treatment during childhood are associated with thyroid gland dose.
Both ultrasonography and laboratory follow-up examinations should be performed
regularly after tumor therapy during childhood, especially if the treatment
included radiation therapy.
PMID- 26545454
TI - Developing an Evidence Review Cycle Model for Canadian Dietary Guidance.
AB - Formulating dietary guidance involves navigating a large volume of substantive,
conflicting evidence. Canada's guidance is determined after periodic evidence
reviews. Health Canada identified the need for a more formal and systematic
process to gather, assess, and analyze evidence. This led to the development of
the Evidence Review Cycle model for Canada's dietary guidance. The Evidence
Review Cycle consists of 5 steps that form a dynamic, iterative process to
promote evidence-based, transparent, and proactive decision making. Resulting
actions may include enhancing the implementation of guidance, revising guidance,
or developing new guidance. Here, the development of this model is described,
including considerations for implementation.
PMID- 26545455
TI - Abnormal time course of low beta modulation in non-fluent preschool children: A
magnetoencephalographic study of rhythm tracking.
AB - Stuttering is a disorder of speech affecting millions of people around the world.
Whilst the exact aetiology of stuttering remains unknown, it has been
hypothesised that it is a disorder of the neural mechanisms that support speech
timing. In this article, we used magnetoencephalography (MEG) to examine activity
from auditory regions of the brain in stuttering and non-stuttering children aged
3-9years. For typically developing children, we found that MEG oscillations in
the beta band responded to rhythmic sounds with a peak near the time of stimulus
onset. In contrast, stuttering children showed an opposite phase of beta band
envelope, with a trough of activity at stimulus onset. These results suggest that
stuttering may result from abnormalities in predictive brain responses which are
reflected in abnormal entrainment of the beta band envelope to rhythmic sounds.
PMID- 26545456
TI - Relationships of peripheral IGF-1, VEGF and BDNF levels to exercise-related
changes in memory, hippocampal perfusion and volumes in older adults.
AB - Animal models point towards a key role of brain-derived neurotrophic factor
(BDNF), insulin-like growth factor-I (IGF-I) and vascular endothelial growth
factor (VEGF) in mediating exercise-induced structural and functional changes in
the hippocampus. Recently, also platelet derived growth factor-C (PDGF-C) has
been shown to promote blood vessel growth and neuronal survival. Moreover,
reductions of these neurotrophic and angiogenic factors in old age have been
related to hippocampal atrophy, decreased vascularization and cognitive decline.
In a 3-month aerobic exercise study, forty healthy older humans (60 to 77years)
were pseudo-randomly assigned to either an aerobic exercise group (indoor
treadmill, n=21) or to a control group (indoor progressive-muscle
relaxation/stretching, n=19). As reported recently, we found evidence for fitness
related perfusion changes of the aged human hippocampus that were closely linked
to changes in episodic memory function. Here, we test whether peripheral levels
of BDNF, IGF-I, VEGF or PDGF-C are related to changes in hippocampal blood flow,
volume and memory performance. Growth factor levels were not significantly
affected by exercise, and their changes were not related to changes in fitness or
perfusion. However, changes in IGF-I levels were positively correlated with
hippocampal volume changes (derived by manual volumetry and voxel-based
morphometry) and late verbal recall performance, a relationship that seemed to be
independent of fitness, perfusion or their changes over time. These preliminary
findings link IGF-I levels to hippocampal volume changes and putatively
hippocampus-dependent memory changes that seem to occur over time independently
of exercise. We discuss methodological shortcomings of our study and potential
differences in the temporal dynamics of how IGF-1, VEGF and BDNF may be affected
by exercise and to what extent these differences may have led to the negative
findings reported here.
PMID- 26545458
TI - Network pharmacology-based prediction of the active ingredients and potential
targets of Mahuang Fuzi Xixin decoction for application to allergic rhinitis.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Certain herbal formulae from Traditional Chinese
Medicine (TCM) are effective for treating and preventing diseases in clinical
practice. Mahuang fuzi Xixin Decoction (MFXD) is a TCM that is used to treat
allergic rhinitis (AR); however, the active ingredients and potential targets of
its action against AR remain unclear. Therefore, further investigation is
required. METHODS: A network pharmacology approach comprising drug-likeness
evaluation, oral bioavailability prediction, multiple drug target prediction, and
network analysis has been used in this study. RESULTS: The comprehensive
systematic approach was successfully to indentify 41 bioactive ingredients in
MFXD, while 37 potential targets hit by these ingredients related to AR.
Moreover, wherein four predicted ingredients possess anti-inflammatory effects
were found by this technique. CONCLUSIONS: Our works successfully predict the
active ingredients and potential targets of MFXD for application to allergic
rhinitis and helps to illustrate mechanism of action on a systematic level. This
study not only provides new insights into the chemical basis and pharmacology of
MFXD but also demonstrates a feasible method for discovering potential drugs from
herbal medicine.
PMID- 26545457
TI - White matter and memory in healthy adults: Coupled changes over two years.
AB - Numerous cross-sectional studies have used diffusion tensor imaging (DTI) to link
age-related differences in white matter (WM) anisotropy and concomitant
decrements in cognitive ability. Due to a dearth of longitudinal evidence, the
relationship between changes in diffusion properties of WM and cognitive
performance remains unclear. Here we examine the relationship between two-year
changes in WM organization and cognitive performance in healthy adults (N=96, age
range at baseline=18-79 years). We used latent change score models (LCSM) to
evaluate changes in age-sensitive cognitive abilities - fluid intelligence and
associative memory. WM changes were assessed by fractional anisotropy (FA), axial
diffusivity (AD), and radial diffusivity (RD) in WM regions that are considered
part of established memory networks and exhibited individual differences in
change. In modeling change, we postulated reciprocal paths between baseline
measures and change factors, within and between WM and cognition domains, and
accounted for individual differences in baseline age. Although baseline cross
sectional memory performance was positively associated with FA and negatively
with RD, longitudinal effects told an altogether different story. Independent of
age, longitudinal improvements in associative memory were significantly
associated with linear reductions in FA and increases in RD. The present findings
demonstrate the sensitivity of DTI-derived indices to changes in the brain and
cognition and affirm the importance of longitudinal models for evaluating brain
cognition relations.
PMID- 26545460
TI - The diagnostic accuracy of CT-guided percutaneous core needle biopsy and fine
needle aspiration in pulmonary lesions: a meta-analysis.
AB - AIM: To determine and compare the diagnostic value of computed tomography (CT)
guided percutaneous core needle biopsy (PCNB) and percutaneous fine-needle
aspiration biopsy (PNAB) in pulmonary lesions. MATERIALS AND METHODS: PubMed,
EMBASE, and the Web of Science were systematically searched for relevant studies
that investigated the diagnostic accuracy of CT-guided PCNB and/or PNAB for
pulmonary lesions up to December 2014. After study selection, data extraction,
and quality assessment, the sensitivity (SEN), specificity (SPE), diagnostic odds
rate (DOR), positive likelihood ratios (PLR), negative likelihood ratios (NLR),
and summary receiver operating characteristic (SROC) curves were calculated using
the Meta-Disc 1.4 software. RESULTS: Nineteen publications, including 21
independent studies, met the inclusion criteria. Of them, 15 studies were
included in the PCNB group and six studies in the PNAB group. The pooled SEN,
SPE, DOR, PLR, NLR, and SROC were 0.95, 0.99, 54.72, 0.06, 821.90, and 0.98 in
the PCNB group and 0.90, 0.99, 24.71, 0.14, 210.72, and 0.98 in the PNAB group,
respectively. CONCLUSION: Based on current evidence, both PCNB and PNAB can be
used as diagnostic methods to distinguish benign and malignant pulmonary lesions;
the difference between PCNB and PNAB regarding diagnostic accuracy of benign or
malignant pulmonary lesions is not obvious.
PMID- 26545459
TI - Evaluation of kudzu root extract-induced hepatotoxicity.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Kudzu root, the root of Pueraria lobata (Willd.)
Ohwi, has been used as food and medicine for centuries, but few studies indicate
that kudzu root may cause liver damage. AIM OF STUDY: We studied the
hepatotoxicity of kudzu root extract in mice, HepG2 cells and mice hepatocytes.
MATERIALS AND METHODS: Mice were administrated with kudzu root extract (10mg/day)
for 4 weeks, and then the biochemical analysis and histopathological changes were
carried out. To explore the potential mechanism by which kudzu root extract
induced hepatotoxicity, HepG2 cells and mice hepatocytes were co-cultured with
kudzu root extract or puerarin, which is a kudzu root isoflavone, for 2h.
RESULTS: The increase of serum ALT and AST and histopathological changes in
treated mice revealed that kudzu root extract was hepatotoxic. The increase of
LDH leakage for HepG2 cells and mice hepatocytes further confirmed hepatotoxicity
of kudzu root extract. Kudzu root extract and puerarin significantly up-regulated
Mt1 mRNA involved in the acute phase response and Bax which is crucial for
apoptosis. Gclc, Nrf2 and Ho-1 mRNA expressions did not change in treatment
group. CONCLUSIONS: Kudzu root extract may be hepatotoxic and caution may be
required for its use.
PMID- 26545461
TI - Use of computed tomography to assess volume change after endoscopic orbital
decompression for Graves' ophthalmopathy.
AB - BACKGROUND: Orbital decompression is frequently performed in the management of
patients with sight-threatening and disfiguring Graves' ophthalmopathy. The
quantitative measurements of the change in orbital volume after orbital
decompression procedures are not definitively known. Furthermore, the
quantitative effect of septal deviation on volume change has not been previously
analyzed. OBJECTIVES: To provide quantitative measurement of orbital volume
change after medial and inferior endoscopic decompression and describe a
straightforward method of measuring this change using open-source technologies. A
secondary objective was to assess the effect of septal deviation on orbital
volume change. METHODS: A retrospective review was performed on all patients
undergoing medial and inferior endoscopic orbital decompression for Graves'
ophthalmopathy at a tertiary care academic medical center. Pre-operative and post
operative orbital volumes were calculated from computed tomography (CT) data
using a semi-automated segmenting technique and OsirixTM, an open-source DICOM
reader. Data were collected for pre-operative and post-operative orbital volumes,
degree of septal deviation, time to follow-up scan, and individual patient Hertel
scores. RESULTS: Nine patients (12 orbits) were imaged before and after
decompression. Mean pre-operative orbital volume was 26.99 cm(3) (SD=2.86 cm(3)).
Mean post-operative volume was 33.07 cm(3) (SD=3.96 cm(3)). The mean change in
volume was 6.08 cm(3) (SD=2.31 cm(3)). The mean change in Hertel score was 4.83
(SD=0.75). Regression analysis of change in volume versus follow-up time to
imaging indicates that follow-up time to imaging has little effect on change in
volume (R=-0.2), and overall mean maximal septal deviation toward the operative
side was -0.5mm. Negative values were attributed to deviation away form the
operative site. A significant correlation was demonstrated between change in
orbital volume and septal deviation distance site (R=0.66), as well as between
change in orbital volume and septal deviation angle (R=0.67). Greater volume
changes were associated with greater degree of septal deviation away from the
surgical site, whereas smaller volume changes were associated with greater degree
of septal deviation toward the surgical site. CONCLUSION: A straightforward, semi
automated segmenting technique for measuring change in volume following
endoscopic orbital decompression is described. This method proved useful in
determining that a mean increase of approximately 6 cm in volume was achieved in
this group of patients undergoing medial and inferior orbital decompression.
Septal deviation appears to have an effect on the surgical outcome and should be
considered during operative planning.
PMID- 26545462
TI - Predictive value of E-cadherin and Ep-CAM in cervical lymph node metastasis of
supraglottic larynx carcinoma.
AB - OBJECTIVE: To evaluate the value of E-cadherin and epithelial cell adhesion
molecule (Ep-CAM) expression in laryngeal biopsy materials for predicting
cervical lymph node metastasis in patients with supraglottic laryngeal carcinoma.
METHODS: All patients participating in the study were selected from among the
surgically treated patients at the department of Otolaryngology, Head and Neck
Surgery, Erciyes University School of Medicine between 1991 and 2005. The study
consisted of thirty patients who had pathologically metastatic lymph nodes (pN+
group) and 30 age-, sex-, T value- and differentiation matched patients without
pathologically metastatic lymph nodes (pN0 group). Immunohistochemical studies
were performed with E-cadherin and Ep-CAM antibodies on representative tumor
sections collected from paraffin sections of laryngeal biopsy materials. The
expression of E-cadherin and Ep-CAM was compared between the pN0 and pN+ groups.
The association between immunostaining of E-cadherin and Ep-CAM was also
evaluated. RESULTS: There was no significant difference between the two groups in
terms of E-cadherin and Ep-CAM expression. There was also a very poor agreement
between the expression of E-cadherin and Ep-CAM. CONCLUSION: Multi-institutional
and multidisciplinary immunohistochemical studies conducted with standardized
methodology and also with more patient participation may help to obtain more
specific results.
PMID- 26545463
TI - A pediatric case of mammary analogue secretory carcinoma within the parotid.
AB - Mammary analogue secretory carcinoma (MASC) is a recently described entity in the
differential diagnosis of salivary gland tumors. It is notable for a
characteristic t(12;15)(p13;q25) translocation that results in a unique fusion
protein, ETV6-NTRK3. While several studies have retrospectively identified this
translocation in cases previously diagnosed as a different salivary malignancy,
there have been relatively few cases where this translocation was identified on
initial pathology results, and fewer still in a pediatric population. We present
a case of a 15 year old female with a slowly enlarging, painless, left facial
mass. MRI demonstrated a cystic mass extending into the deep lobe of the parotid,
and she underwent parotidectomy. The tumor cells stained positive for S100 and
CK19. ETV6 translocation was present, confirming the diagnosis. Mammary analogue
secretory carcinoma is a recently described tumor of the salivary glands, which
often masquerades as more common primary salivary gland tumors and cysts. More
research is needed to characterize the typical behavior of this neoplasm and the
optimal treatment regimen. With identification of its characteristic
translocation, mammary analogue secretory carcinoma can be easily differentiated
from its more prevalent counterparts, and should therefore remain within the
differential of the pathologist and head and neck surgeon.
PMID- 26545464
TI - Is routine pre-operative cardiac evaluation necessary in obese children
undergoing adenotonsillectomy for OSA?
AB - BACKGROUND: Adenotonsillectomy (T&A) is a common surgery performed for
obstructive sleep apnea (OSA) in children. Obese children are at increased risk
for OSA, but are also at increased risk for cardiovascular changes that might
heighten their risk of undergoing a general anesthetic. There is currently no
standard of care recommendation for cardiac workup prior to T&A. PURPOSE: To
ascertain whether a preoperative cardiac workup is predictive of postoperative
complications in obese children undergoing T&A for OSA. DESIGN: Retrospective
cohort review. MATERIAL AND METHODS: 241 children with BMI >= 25 kg/m(2)
underwent T&A for OSA. This cohort was divided into three groups - those who had
no preoperative cardiac evaluation, those who had a preoperative cardiac
evaluation but no significant findings and those who had a preoperative cardiac
evaluation with at least one significant finding. Postoperative cardiac-related
complications were compared between the three groups. RESULTS: There were
significantly more postoperative complications in Group 3, the group with
findings on preoperative cardiac evaluation. However, these were heavily weighted
toward "hospital stay > 24 hours" without clear cardiac sequelae. Notably there
were no incidents of pulmonary edema, re-intubation postoperatively or death.
CONCLUSION: In obese children undergoing T&A at a tertiary care center, a
preoperative cardiac workup was not shown to be beneficial in predicting
postoperative complications.
PMID- 26545465
TI - Trans-tympanic catheter insertion for treatment of patulous eustachian tube.
AB - OBJECTIVES: To evaluate the safety and therapeutic efficacy of trans-tympanic
catheter insertion (TCI) in patients with refractory patulous eustachian tube
(PET). METHODS: TCI was attempted in thirty-six ears of twenty-nine patients with
chronic PET refractory to conservative treatment. The catheter was inserted under
local anesthesia in an operating room through the bony orifice of the eustachian
tube (ET) to occlude the isthmus of the tube via a myringotomy site on the
tympanic membrane. Patients were evaluated postoperatively by nasal endoscopy and
by interview to document symptoms. Successful treatment was defined as complete
relief or significant improvement plus satisfaction with treatment. Patients had
no concurrent disease and did not undergo any additional surgical procedure.
RESULTS: TCI was performed in all except one ear, in which it failed because of
an abnormally narrow tympanic ET orifice. Follow-up durations ranged from 6 to 37
months, with an average of 19.3 months. Successful treatment of subjective
autophony was achieved in twenty-nine (82.4%) of the thirty-five ears.
Ventilation tube (VT) placement was performed in the two ears because of otitis
media with effusion (OME) after TCI. In one ear, the inserted catheter was
finally removed due to additional unilateral mastoiditis after VT extrusion.
CONCLUSION: TCI seems to be a minimally invasive and was used successfully to
treat PET. The procedure had a good overall success rate and complications were
rare in the long-term.
PMID- 26545466
TI - Case presentation and images of a lingual osseous choristoma in a pediatric
patient.
AB - Since its original description in 1913, fewer than 100 lingual osseous
choristomas have been reported in the literature; thus, prevalence is unknown. We
describe a case of an 11 year old male who was seen in consultation after an
incidental left posterior tongue mass was discovered on exam. The patient's
presentation of an asymptomatic, hard, pedunculate posterior tongue lesion is
typical; however, if one is to believe the proposed congenital remnant theory in
regards to the etiology of this benign tumor, it is curious that no mention was
made of a lesion of the tongue on prior evaluations by his pediatrician or on the
otolaryngologic examinations performed 3 and 6 years prior to the most recent
presentation. Included with the case description are interesting radiographs,
intra-operative photos, gross specimen photo and microscopic images.
PMID- 26545467
TI - Survival of T4aN0 and T3N+ laryngeal cancer patients: a retrospective
institutional study and systematic review.
AB - OBJECTIVE: We aim to assess the correlation of tumor and nodal staging to
survival in pT3N+ and T4aN0 laryngeal cancer with subgroup analysis within stage
IVa (pT4N0 and pT3N2). STUDY DESIGN: Retrospective cohort study with systematic
review of the literature. SETTING: Hotel Dieu de France University Hospital
(tertiary referral center). SUBJECTS AND METHODS: Laryngeal cancer patients'
registries were reviewed from 1998 to 2012 selecting pT3N+ and pT4aN0 patients
treated by primary total layngectomy. Overall survivals were compared using Log
rank and Kaplan-Meier analysis. A systematic review was performed by 2 reviewers
including all the articles reporting the outcome of these categories of patients.
Online databases, including PubMed and EMBASE, were used. Reference sections of
identified studies were examined for additional articles. RESULTS: Thirteen T3N+
patients and 19 T4aN0 patients treated by primary total laryngectomy were
included. Five-year overall survival for T3N+, T3N2 and T4aN0 was respectively
33%, 32.1% and 73.7%. Due to the small sample, the difference was not
significant. The systematic review revealed three articles reporting overall
survival outcome for the T4N0 group and 6 articles for the T3N+. At 5years, the
survival ranged from 62.5% to 73% in T4N0 and from 32.2% to 77% in T3N+.
CONCLUSION: In advanced stage laryngeal cancer, T4aN0 tends toward a better
survival than T3N+ especially when compared to T3N2 although they are grouped in
the same TNM stage IVa.
PMID- 26545468
TI - Improved therapeutic effectiveness by combining recombinant p14(ARF) with
antisense complementary DNA of EGFR in laryngeal squamous cell carcinoma.
AB - PURPOSE: The tumor suppressor p14(ARF) and proto-oncogene epidermal growth factor
receptor (EGFR) play important roles in the development of laryngeal squamous
cell carcinoma (LSCC). This study was aimed to determine whether combining
recombinant p14(ARF) with antisense complementary DNA of EGFR could improve the
therapeutic effectiveness in LSCC. MATERIALS AND METHODS: After human larynx
cancer cells (Hep-2) were infected with recombinant adenoviruses (Ad-p14(ARF) and
Ad-antisense EGFR) together or alone in vitro, the proliferation and cell cycle
distribution of Hep-2 cells were detected by MTT assay and flow cytometer
analysis, respectively. Furthermore, the antitumor effects of recombinant
adenoviruses together or alone on Hep-2 xenografts were examined in vivo. The
levels of p14(ARF) and EGFR expressed in Hep-2 cells and xenografts were
determined by western blot assay. RESULTS: Ad-p14(ARF) combining with Ad
antisense EGFR markedly inhibited the Hep-2 proliferation compared with alone
(P=0.001, P=0.002 respectively). Combination of Ad-p14(ARF) and Ad-antisense EGFR
led to the proportion of Hep-2 cells in G0/G1 phases increased by up to 86.9%.
The down-expression of EGFR protein and overexpression of p14(ARF) protein were
observed in vitro and in vivo, and this effect was preserved when Ad-p14(ARF) was
combined with Ad-antisense EGFR. Besides, Ad-p14(ARF) plus Ad-antisense EGFR
significantly (P<0.05) increased the antitumor activity against Hep-2 tumor
xenografts comparing with Ad-p14(ARF) or Ad-antisense EGFR alone. CONCLUSION:
Combination Ad-p14(ARF) with Ad-antisense EGFR significantly increased the
antitumor responses in LSCC. An effectively potential gene therapy to prevent
proliferation of LSCC was provided.
PMID- 26545470
TI - Delayed recurrence of sinonasal rhinosporidiosis.
PMID- 26545469
TI - A rare location for sarcoma metastasis: The temporal bone.
AB - Skeletal sarcoma metastasis is relatively rare; moreover, for this type of
metastasis, the temporal bone is also a rare location. The temporal bone appears
to be affected by metastatic tumors in discrete histopathologic patterns, with
characteristic clinical presentations. In this study, we analyzed the records of
6 patients with skeletal sarcoma metastasis to the temporal bone, with an
emphasis on histopathologic sections of human temporal bones. The most common
site of sarcoma metastasis in the temporal bone was petrous apex in our series.
Physicians should keep in mind that a sarcoma patient may manifest with ear
findings due to temporal bone metastasis.
PMID- 26545471
TI - What is the optimal diagnostic pathway in tuberculous lymphadenitis in the face
of increasing resistance: Cytology or histology?
AB - BACKGROUND: The London Borough of Newham has the highest rates of tuberculosis
(TB) within Europe (116 per 100,000). There is a lack of guidance in lymph node
(LN) TB on how to best obtain a positive culture, which is the gold standard in
the face of increasing mycobacterial resistance. METHODS: An individual cohort
study was carried out via a prospective local TB database capturing 90 cases of
cervical LN TB over 34 months. We compared the diagnostic efficacy of fine needle
aspiration (FNA) and excision biopsy of LN. RESULTS: FNA cytology revealed
granulomata in 49%, acid-fast bacilli (AFB) in 8.6% and a positive culture in
40%. LN excision showed granulomata in 97.6%, AFB in 17.1% and a positive culture
in 70.1%. There was an 18% resistance to first-line antimicrobials. CONCLUSIONS:
We describe our experience and suggest an algorithm for the culture of TB
organisms to avoid a lengthy diagnostic process.
PMID- 26545472
TI - In vitro analysis of a novel controlled release system designed for intratympanic
administration of N-acetylcysteine: a preliminary report.
AB - The aim of this in-vitro experimental study was to design a novel drug delivery
system that may permit controlled release of N-acetylcysteine (NAC) following
intratympanic administration. The system was composed of two different solutions
that attained a hydrogel form within seconds after getting into contact with each
other. The authors performed swelling, pH and temperature tests and analysis of
controlled release of NAC from this novel controlled release system. For the
structure and porosity analysis of the hydrogel, an environmental scanning
electron microscope (SEM) was used. The diameter of designed hydrogel showed an
increase when pH was increased. In addition, in comparison to acidic values, the
pore diameter of the hydrogel increased significantly especially in physiological
level. The increase in the pore diameter was also directly proportional to the
increase in temperature. Spectrophotometric analysis showed that the amount of
NAC released into the medium was statistically significant (p=0.038, t=-2.18, 95%
CI; DF: 27). SEM analysis of the samples revealed a smooth surface topography and
numerous porous structures. The authors are of the opinion that the designed
hydrogel may be used as an alternative method for intratympanic delivery of NAC
for otoprotective purposes. The disadvantages of intratympanic injection of the
drug in its liquid form, including leakage through eustachian tube, restraining
the patient in an uncomfortable position, necessity for repetitive injections and
dose dependent inflammation of the middle ear epithelium, may also be avoided.
Further in vivo studies should be conducted to assess its tolerability and
effectivity.
PMID- 26545473
TI - Utility of basic fibroblast growth factor in the repair of blast-induced total or
near-total tympanic membrane perforations: A pilot study.
AB - OBJECTIVE: A pilot study was performed to investigate the utility of basic
fibroblast growth factor (bFGF) in the repair of blast-induced total or near
total tympanic membrane perforations (TMPs). STUDY DESIGN: Prospective clinical
study. SETTING: Tertiary university hospital. SUBJECTS AND METHODS: Patients who
fulfilled the inclusion criteria were treated with 0.10-0.15 mL of bFGF solution
applied directly to total or near-total TMPs once daily until the perforations
closed or for a maximum of 6 months. The treatment response was monitored via
serial otoendoscopy, and audiometric outcomes were evaluated. RESULTS: Complete
TMP closure was achieved in 16 of 17 patients with a blast-induced total or near
total TMP. The mean closure time was 28.4 +/- 10.9 days. The improvement in
hearing from pre- to post-treatment was statistically significant. There were no
complications or adverse outcomes. CONCLUSIONS: The direct application of bFGF to
blast-induced total or near-total TMPs is a promising, minimally invasive
alternative to conventional tympanoplasty, with a comparable success rate. As
reported in the literature, the closure rate was higher than achieved with
spontaneous healing. There was no effect of the inverted edge on healing outcome.
The use of bFGF in this setting has immediate therapeutic applications for
military personnel with blast-induced TMPs who are stationed in isolated, remote
environments.
PMID- 26545474
TI - High rate of bilaterality in internal auditory canal metastases.
AB - PURPOSE: Presentation of three cases of metastatic carcinoma to the internal
auditory canal bilaterally, as well as a systematic review of the literature
regarding the characteristics of these lesions. MATERIALS AND METHODS: Using a
MEDLINE Ovid search (1946-2015), we identified and reviewed 102 cases of
metastatic carcinoma to the internal auditory canal. Metrics recorded include:
patient age, sex, tumor type, laterality, past oncologic history, co-occurring
metastatic sites, clinical findings, radiographic findings, therapy received, and
outcome. Cases of unilateral versus bilateral IAC were compared. RESULTS:
Remarkably, 52.9% reported cases of internal auditory canal metastases have
bilateral occurrence. The most common primary tumor sites for internal auditory
canal metastases were lung (21.2%), skin (18.6%), and breast (16.7%), with lung
and skin cancers having the highest rates of bilateral metastasis. Meningeal
metastasis occurred at a much higher rate in bilateral cases (47.2%) versus
unilateral cases (8.5%). Brain parenchymal metastasis also occurred at a higher
rate in bilateral cases (38.2%) versus unilateral cases (19.2%). Outcomes for
cases of internal auditory canal metastases are generally poor, with 56.3% of
unilateral cases and 86.1% of bilateral cases reporting patient death within 5
years from diagnosis. CONCLUSIONS: In cases of internal auditory canal
metastasis, clinicians should carefully assess for not only contralateral disease
but also additional metastatic disease of the central nervous system. Rapid-onset
hearing loss, tinnitus, vertigo, or facial palsy should raise suspicion for
internal auditory canal metastasis, particularly in patients with a known
oncologic history.
PMID- 26545475
TI - Delayed facial palsy after tympanomastoid surgery: A report of 15 cases.
AB - OBJECTIVE: To analyze potential etiology and outcomes of delayed facial palsy
(DFP) after tympanomastoid surgery. METHODS: Fifteen cases of DFP out of 1582
cases after tympanomastoid surgery were reviewed, and the potential causes and
outcomes were analyzed. RESULTS: 9 out of 15 patients (60%) had fallopian canal
dehiscence and facial nerve exposure in contrast to 323 of 1567 patients (20.6%)
without DFP, with significant difference (P<0.01). Chorda tympani was cut or
overstretched in 4 cases. There were two cases with herpes labialis and IgM
antibody against varicella-zoster virus. All patients fully recovered within two
months. CONCLUSION: Fallopian canal dehiscence and facial nerve exposure was a
risk factor of DFP after tympanomastoid surgery, and chorda tympani injury and
viral reactivation may be triggering factors of DFP. The outcomes DFP after
tympanomastoid surgery were excellent.
PMID- 26545476
TI - Primary tuberculosis of thyroid.
AB - Tuberculosis (TB) of the thyroid gland, either in its primary or secondary form,
is an extremely rare occurrence. It is infrequent even in countries with high
incidence and prevalence of pulmonary and extrapulmonary TB. We report here a
case of primary tuberculosis of thyroid presenting to us with sudden onset
thyroid swelling since 20 days.
PMID- 26545477
TI - Tinnitus management with percutaneous osseointegrated auditory implants for
unilateral sensorineural hearing loss.
AB - OBJECTIVE: To determine the effects, if any, of percutaneous osseointegrated
auditory implants (OAI) on tinnitus in patients with unilateral SNHL. STUDY
DESIGN: Prospective cohort series. SETTING: Tertiary academic referral center,
single surgeon. PATIENTS: Adult OAI recipients with unilateral severe to profound
sensorineural hearing loss (SNHL) in the implanted ear. INTERVENTION:
Percutaneous OAI. MAIN OUTCOME MEASURE(S): The Tinnitus Reaction Questionnaire
(TRQ) and the Tinnitus Handicap Inventory (THI) were recorded pre-implantation,
and at 6 and 12 months following device activation. RESULTS: Ten eligible
patients were enrolled. The mean pre-operative TRQ and THI scores for all
subjects were 32.80 +/- 23.41 and 37.00 +/- 22.75, respectively. Both scores
decreased 6 months after device activation, with TRQ mean of 19.67 +/- 21.73
(p=0.0012) and THI mean of 27.11 +/- 23.41 (NS). After 12 months, the downward
trend continued with TRQ mean of 17.30 +/- 20.67 (p=0.0008) and THI mean of 21.70
+/- 23.02 (p=0.0116). Subgroup analysis comparing patients with severe SNHL to
those with profound SNHL demonstrated a decrease in TRQ and THI scores at 12
months for both groups, but it was only statistically significant for the severe
SNHL group (n=7). CONCLUSIONS: OAI use in SSD is associated with a statistically
significant decrease in tinnitus as measured by the TRQ and THI. The reasons for
this are likely multifactorial, though possibly due to stimulation of residual
cochlear function in the SSD ear. Further study of a larger cohort is ongoing.
PMID- 26545478
TI - Provider and patient drivers of ototopical antibiotic prescription variability.
AB - OBJECTIVE: To determine if providers prescribe more affordable topical
antibacterial therapy for patients who are economically disadvantaged or come
from economically disadvantaged communities. STUDY DESIGN: Prescription drug
database review. SETTING: Large academic hospital network. SUBJECTS AND METHODS:
Ototopical prescription records of 2416 adults and children presenting with acute
and chronic otologic infections from 2009 to 2013 were reviewed. Prescription,
patient, provider, and institution variables including diagnosis, prescription
type, demographics, health insurance status, healthcare provider type and setting
were analyzed. RESULTS: Otitis externa and acute otitis media were the most
common diagnoses. Non-OHNS (Otolaryngology-Head and Neck Surgery) providers
served 82% of all patients. OHNS providers prescribed proportionally less
fluoroquinolone, and more brand-name antibiotics compared to non-OHNS providers.
Adults were more likely to receive a non-fluoroquinolone antibiotic and a generic
prescription versus pediatric patients. Patients who self-identified as 'white'
ethnicity received proportionally more fluoroquinolone prescriptions than
patients who identified as 'non-white,' but there was no difference in provider
type. The proportion of fluoroquinolone prescriptions was significantly higher in
patients from low-poverty counties, however poverty level was not associated with
patients seeing a particular provider type. The majority of our patients had
commercial insurance, followed by Medicaid. Medicare patients had the lowest
proportion of fluoroquinolone antibiotic prescriptions, and were less likely to
receive fluoroquinolone prescriptions versus commercial insurance. Non-insured
patients received proportionally more generic versus brand prescriptions than
insured patients. CONCLUSION: Our results indicate potential provider, patient
demographic, and financial factors producing considerable variability in the
prescribing patterns for topical antibiotics for common otologic infections.
PMID- 26545479
TI - Removal of the split thickness skin graft from the skin paddle of the donor site:
A single institution's experience.
AB - PURPOSE: Radial forearm free flaps (RFFFs) and fibular osteocutaneous flaps
(FOFs) are mainstays of head and neck reconstruction. Removal of the donor tissue
often leaves a soft tissue defect requiring a split thickness skin graft (STSG)
for coverage. The purpose of this study is to evaluate the potential to reduce
the morbidity of removal of the STSG from a second site. MATERIALS AND METHODS:
We report a series of 9 patients who had the STSG taken from the free flap donor
skin paddle as an alternative to removal from the standard distant sight.
RESULTS: 9/9 (100%) flaps were successfully transferred with no primary or
secondary loss of the flap. 8/9 (89%) of STSGs were successfully harvested from
the donor skin paddle. Postoperative complications included infection and partial
STSG loss (2/9, 22%). CONCLUSIONS: This study demonstrates the feasibility and
reduced morbidity associated with removal of the STSG from the donor flap skin
paddle in addition to the placement of a de-epithelialized free flap in head and
neck reconstruction patients. Given this research, which supports the previously
published research on this topic, this technique could be considered in an effort
to reduce morbidity in patients undergoing head and neck reconstruction using the
RFFF and FOF.
PMID- 26545480
TI - Correlation between the dizziness handicap inventory and balance performance
during the acute phase of unilateral vestibulopathy.
AB - PURPOSE: The dizziness handicap inventory (DHI) is widely used to evaluate self
perceived handicap due to dizziness, and is known to correlate with vestibular
function tests in chronic dizziness. However, whether DHI reflects subjective
symptoms during the acute phase has not been studied. This study aims to
investigate the correlations of subjective and objective measurements to
highlight parameters that reflect the severity of dizziness during the first week
of acute unilateral vestibulopathy. MATERIALS AND METHODS: Thirty-seven patients
with acute unilateral vestibulopathy were examined. Patients' subjective
perceptions of dizziness were measured using the DHI, Vertigo Visual Analog Scale
(VVAS), Disability Scale (DS), and Activity-Specific Balance Scale (ABC).
Additionally, the oculomotor tests, Romberg and sharpened Romberg tests,
functional reach test, and dynamic visual acuity tests were performed. The
correlation between the DHI and other tests was evaluated. RESULTS: DHI-total
scores exhibited a moderately positive correlation with VVAS and DS, and a
moderately negative correlation with ABC. However, DHI-total score did not
correlate with results of the Romberg, sharpened Romberg, or functional reach
tests. When compared among four groups divided according to DHI scores, VVAS and
DS scores exhibited statistically significant differences, but no significant
differences were detected for other test results. CONCLUSION: Our findings
revealed that the DHI correlated significantly with self-perceived symptoms
measured by VVAS and DS, but not ABC. There was no significant correlation with
other balance function tests during the first week of acute vestibulopathy. The
results suggest that DHI, VVAS and DS may be more useful to measure the severity
of acute dizziness symptoms.
PMID- 26545481
TI - Orbital compartment syndrome during endoscopic drainage of subperiosteal orbital
abscess.
AB - BACKGROUND: Orbital compartment syndrome is a rare ocular emergency requiring
immediate intervention to prevent vision loss. It can arise due to a variety of
causes including trauma, neoplasms and retrobulbar hemorrhage during endoscopic
sinus surgery. Lateral canthotomy and inferior cantholysis is a well-known
therapeutic procedure to rapidly relieve raised intraocular pressures. CASE
REPORT: We report a case of a subperiosteal orbital abscess due to acute
maxillary sinusitis that underwent endoscopic drainage. Intraoperatively, he
developed raised intraocular pressure following irrigation of the maxillary sinus
and manual pressure on the malar abscess, necessitating emergent lateral
canthotomy and inferior cantholysis. CONCLUSION: To our knowledge, this is the
first reported case of orbital compartment syndrome following sinonasal
irrigation and malar pressure in the English literature. This case will serve as
a reminder to the sinus surgeon of the potential danger of transmitted pressure
from the paranasal sinus or malar soft tissue into the orbital compartment.
PMID- 26545482
TI - Effect of mastoid drilling on the distortion product otoacoustic emissions in the
non operated ear.
AB - OBJECTIVE: To monitor the effect of mastoid drilling on the non-operated ear
distortion product otoacoustic emissions. MATERIALS AND METHODS: Distortion
product otoacoustic emissions (DPOAEs) were measured at frequencies of f 2=2, 3,
4 and 5 kHz, and a frequency ratio f 1 /f 2=1.22. DPOAEs were measured in 49
cases, pre and post-operatively who underwent mastoid drilling procedures,
compared with each other and with 49 controls who underwent myringoplasty and
myringotomy procedures. RESULTS: Amplitudes of DPOAEs decreased significantly in
those who underwent mastoidectomies over all the measured frequencies in the
immediate post-operative period but had recovered by the seventh post operative
day. CONCLUSION: Drill induced noise can cause temporary decrease in the DPOAEs
postoperatively and hence temporary hearing loss for a period of one week.
PMID- 26545483
TI - A novel vasorelaxant lectin purified from seeds of Clathrotropis nitida: partial
characterization and immobilization in chitosan beads.
AB - A novel lectin from seeds of Clathrotropis nitida (CNA) was purified and
characterized. CNA is a glycoprotein containing approximately 3.3% carbohydrates
in its structure. CNA promoted intense agglutination of rabbit erythrocytes,
which was inhibited by galactosides and porcine stomach mucin (PSM). The lectin
maintained its hemagglutinating activity after incubation in a wide range of
temperatures (30-60 degrees C) and pH (6.0-7.0), and its binding activity was
dependent on divalent cations (Ca(+2) and Mg(+2)). SDS-PAGE showed an
electrophoretic profile consisting of a single band of 28 kDa, as confirmed by
electrospray ionization mass spectrometry, which indicated an average molecular
mass of 27,406 +/- 2 Da and the possible presence of isoforms and glycoforms. In
addition, CNA exhibited no toxicity to Artemia sp. nauplii and elicited
reversible and dose-dependent vasorelaxation in precontracted aortic rings. CNA
was successfully immobilized on chitosan beads and was able to capture PSM in
solution. This study demonstrated that CNA is a lectin that has potential as a
biotechnological tool in glycomics and glycoproteomics applications.
PMID- 26545484
TI - Ethylene vinyl acetate (EVA) as a new drug carrier for 3D printed medical drug
delivery devices.
AB - The main purpose of this work was to investigate the printability of different
grades of ethylene vinyl acetate (EVA) copolymers as new feedstock material for
fused-deposition modeling (FDMTM)-based 3D printing technology in fabrication of
custom-made T-shaped intrauterine systems (IUS) and subcutaneous rods (SR). The
goal was to select an EVA grade with optimal properties, namely vinyl acetate
content, melting index, flexural modulus, for 3D printing of implantable
prototypes with the drug incorporated within the entire matrix of the medical
devices. Indomethacin was used as a model drug in this study. Out of the twelve
tested grades of the EVA five were printable. One of them showed superior print
quality and was further investigated by printing drug-loaded filaments,
containing 5% and 15% indomethacin. The feedstock filaments were fabricated by
hot-melt extrusion (HME) below the melting point of the drug substance and the
IUS and SR were successfully printed at the temperature above the melting point
of the drug. As a result, the drug substance in the printed prototypes showed to
be at least partly amorphous, while the drug in the corresponding HME filaments
was crystalline. This difference affected the drug release profiles from the
filaments and printed prototype products: faster release from the prototypes over
30days in the in vitro tests. To conclude, this study indicates that certain
grades of EVA were applicable feedstock material for 3D printing to produce drug
loaded implantable prototypes.
PMID- 26545485
TI - Roller compaction scale-up using roll width as scale factor and laser-based
determined ribbon porosity as critical material attribute.
AB - Due to the complexity and difficulties associated with the mechanistic modeling
of roller compaction process for scale-up, an innovative equipment approach is to
keep roll diameter fixed between scales and instead vary the roll width. Assuming
a fixed gap and roll force, this approach should create similar conditions for
the nip regions of the two compactor scales, and thus result in a scale
reproducible ribbon porosity. In the present work a non-destructive laser-based
technique was used to measure the ribbon porosity at-line with high precision and
high accuracy as confirmed by an initial comparison to a well-established volume
displacement oil intrusion method. The ribbon porosity was found to be scale
independent when comparing the average porosity of a group of ribbon samples
(n=12) from small-scale (Mini-Pactor(r)) to large-scale (Macro-Pactor(r)). A
higher standard deviation of ribbons fragment porosities from the large-scale
roller compactor was attributed to minor variations in powder densification
across the roll width. With the intention to reproduce ribbon porosity from one
scale to the other, process settings of roll force and gap size applied to the
Mini-Pactor(r) (and identified during formulation development) were therefore
directly transferrable to subsequent commercial scale production on the Macro
Pactor(r). This creates a better link between formulation development and tech
transfer and decreases the number of batches needed to establish the parameter
settings of the commercial process.
PMID- 26545486
TI - Mechanism Matters: A Taxonomy of Cell Penetrating Peptides.
AB - The permeability barrier imposed by cellular membranes limits the access of
exogenous compounds to the interior of cells. Researchers and patients alike
would benefit from efficient methods for intracellular delivery of a wide range
of membrane-impermeant molecules, including biochemically active small molecules,
imaging agents, peptides, peptide nucleic acids, proteins, RNA, DNA, and
nanoparticles. There has been a sustained effort to exploit cell penetrating
peptides (CPPs) for the delivery of such useful cargoes in vitro and in vivo
because of their biocompatibility, ease of synthesis, and controllable physical
chemistry. Here, we discuss the many mechanisms by which CPPs can function, and
describe a taxonomy of mechanisms that could be help organize future efforts in
the field.
PMID- 26545488
TI - A comparative study of proliferative activity and tumor stage of pregnancy
associated melanoma (PAM) and non-PAM in gestational age women.
AB - BACKGROUND: The influence of pregnancy on the development, progression, and
prognosis of melanoma is controversial. OBJECTIVE: We sought to compare clinical
characteristics, histologic features, and proliferative activity in pregnancy
associated melanoma (PAM) and melanoma in nonpregnant women of reproductive age
(non-PAM). METHODS: In this retrospective cohort study, we reviewed medical
records and pathology reports from women given a diagnosis of melanoma between
2006 and 2015. We also examined tumor proliferation rates using mitotic count and
2 immunohistochemical markers of proliferation, phosphohistone H3 and Ki-67.
RESULTS: In 50 PAM and 122 non-PAM cases, a diagnosis of melanoma in situ was
associated with PAM. Among invasive melanomas, there was no difference in
proliferative activity between groups. Pregnancy status was also not associated
with age at diagnosis, tumor site, Breslow depth, Clark level, ulceration, or
overall stage. LIMITATIONS: This was a retrospective study with a small sample
size of mostly patients with early-stage melanoma. CONCLUSIONS: In our study of
primarily early-stage melanoma, pregnancy did not have a significant impact on
tumor proliferation. Particularly for patients given a diagnosis of stage I
melanoma who are undergoing close surveillance, a history of PAM should not
outweigh traditional factors, such as advanced maternal age, in planning future
pregnancies.
PMID- 26545489
TI - Biomarker responses to estrogen and androgen exposure in the brook stickleback
(Culaea inconstans): A new bioindicator species for endocrine disrupting
compounds.
AB - Small-bodied freshwater fish are commonly used in regulatory testing for
endocrine disrupting compounds (EDCs) but most lack a sensitive and quantifiable
androgen-specific biomarker. Brook stickleback (Culaea inconstans) are a North
American freshwater fish whose males produce an androgen-regulated glycoprotein
in the kidney called spiggin. Although spiggin induction in females has been used
as an androgen-specific biomarker of exposure in other stickleback species it has
not been characterized in brook stickleback. Therefore, our objective was to
develop a bioassay using brook stickleback to measure estrogenic and androgenic
responses and establish the sensitivity of traditional and novel biomarkers of
exposure. We first developed and optimized a qPCR assay to measure spiggin and
vitellogenin transcript levels in kidney and liver tissue, respectively. Basal
levels were differentially expressed in mature wild-caught male and female brook
stickleback. To determine their sensitivity to EDCs, fish were exposed to nominal
concentrations of 1, 10 and 100ng/L of 17alpha-methyltestosterone (MT) or 17alpha
ethinylestradiol (EE2) for 21days (sampled at 7 and 21days) under semi-static
renewal conditions. MT and EE2 exposure induced spiggin and vitellogenin
transcripts in female kidneys and male livers, respectively. Exposure to EE2 also
increased hepatosomatic index in both sexes and decreased gonadosomatic index in
females. Histopathological alterations were observed in the kidney of EE2-exposed
fish and an increase in kidney epithelium cell height occurred in MT-exposed
females. Given the sensitivity of these endpoints, the brook stickleback is a
promising new freshwater fish model for EDC evaluation and a potential
bioindicator for EDCs in North American freshwater environments.
PMID- 26545490
TI - The activity of detoxifying enzymes in the infective juveniles of Heterorhabditis
bacteriophora strains: Purification and characterization of two
acetylcholinesterases.
AB - The infectivity and detoxifying enzyme activities including glutathione-S
transferase (GST), acetylcholinesterase (AChE) and carboxylesterase (CaE) are
investigated in the infective juveniles (IJs) of six different strains of
Heterorhabditis bacteriophora as a biocontrol agent against insect pests. The
specific activities ranged from 10.8-29.8 and 50-220units/mg protein for GST and
AChE, respectively; and from 24.7-129 and 22.6-77.3units/mg protein for CaE as
estimated by P-nitrophenyl and alpha-naphthyl acetates, respectively. H.
bacteriophora EM2 strain has the highest infectivity and the highest enzymatic
activities as well. AChE is the predominant detoxifying enzyme that might imply
its major role in the detoxification of insecticide(s). The isoenzyme pattern
demonstrated two major slow-moving isoforms in all EPN strains examined.
Purification of two AChE isoforms, AChEAII and AChEBI, from H. bacteriophora EM2
strain is performed by ammonium sulfate precipitation, gel filtration on
Sephacryl S-200 and chromatography on DEAE-Sepharose. AChEAII and AChEBII have
specific activities of 1207 and 1560unit/mg protein, native molecular weights of
180 and 68kDa, and are found in dimeric and monomeric forms, respectively. Both
isoforms showed optimum activity at pH8.5 and 35 degrees C. AChEBI exhibited
higher thermal stability and higher activation energy than AChEAII. The enzymatic
activities of purified AChEs are completely inhibited by Hg(+2) and Ni(+2) and
greatly enhanced by Mn(+2). The substrate specificity, the relative efficiency of
substrates hydrolysis, substrate inhibition and inhibition by BW284C51, but not
by iso-OMPA, clearly indicated that they are true AChEs; their properties are
compared with those recorded for insects as target hosts for H. bacteriophora
EM2.
PMID- 26545491
TI - HPLC & NMR-based forced degradation studies of ifosfamide: The potential of NMR
in stability studies.
AB - PURPOSE: The aim of this study is to conduct a forced degradation study on
ifosfamide under several stress conditions to investigate the robustness of the
developed HPLC method. It also aims to provide further insight into the stability
of ifosfamide and its degradation profile using both HPLC and NMR. METHODS:
Ifosfamide solutions (20mg/mL; n=15, 20mL) were stressed in triplicate by heating
(70 degrees C), under acidic (pH 1 & 4) and alkaline (pH 10 & 12) conditions.
Samples were analysed periodically using HPLC and FT-NMR. RESULTS AND DISCUSSION:
Ifosfamide was most stable under weakly acidic conditions (pH 4). NMR results
suggested that the mechanism of ifosfamide degradation involves the cleavage of
the PN bond. For all stress conditions, HPLC was not able to detect ifosfamide
degradation products that were detected by NMR. CONCLUSION: These results suggest
that the developed HPLC method for ifosfamide did not detect the degradation
products shown by NMR. It is possible that degradation products co-elute with
ifosfamide, do not elute altogether or are not amenable to the detection method
employed. Therefore, investigation of ifosfamide stability requires additional
techniques that do not suffer from the aforementioned shortcomings.
PMID- 26545487
TI - Modeling mania in preclinical settings: A comprehensive review.
AB - The current pathophysiological understanding of mechanisms leading to onset and
progression of bipolar manic episodes remains limited. At the same time,
available animal models for mania have limited face, construct, and predictive
validities. Additionally, these models fail to encompass recent
pathophysiological frameworks of bipolar disorder (BD), e.g. neuroprogression.
Therefore, there is a need to search for novel preclinical models for mania that
could comprehensively address these limitations. Herein we review the history,
validity, and caveats of currently available animal models for mania. We also
review new genetic models for mania, namely knockout mice for genes involved in
neurotransmission, synapse formation, and intracellular signaling pathways.
Furthermore, we review recent trends in preclinical models for mania that may aid
in the comprehension of mechanisms underlying the neuroprogressive and recurring
nature of BD. In conclusion, the validity of animal models for mania remains
limited. Nevertheless, novel (e.g. genetic) animal models as well as adaptation
of existing paradigms hold promise.
PMID- 26545492
TI - Classification tree analysis of postal questionnaire data to identify risk of
excessive gestational weight gain.
AB - OBJECTIVE: overweight/obese weight status during pregnancy increases risk of a
range of adverse health outcomes for mother and child. Whereas identification of
those who are overweight/obese pre-pregnancy and in early pregnancy is
straightforward, prediction of who will experience excessive gestational weight
gain (EGWG), and thus be at greater risk of becoming overweight or obese during
pregnancy is more challenging. The present study sought to better identify those
at risk of EGWG by exploring pre-pregnancy BMI as well as a range of psychosocial
risk factors identified as risk factors in prior research. METHODS: 225 pregnant
women completed self-reported via postal survey measures of height, weight, and
psychosocial variables at 16-18 weeks gestation, and reported their weight again
at 32-34 weeks to calculate GWG. Classification and regression tree analysis
(CART) was used to find subgroups in the data with increased risk of EGWG based
on their pre-pregnancy BMI and psychosocial risk factor scores at Time 1.
FINDINGS: CART confirmed that self-reported BMI status was a strong predictor of
EGWG risk for women who were overweight/obese pre-pregnancy. Normal weight women
with low motivation to maintain a healthy diet and who reported lower levels of
partner support were also at considerable risk of EGWG. IMPLICATIONS FOR
PRACTICE: present findings offer support for inclusion of psychosocial measures
(in addition to BMI) in early antenatal visits to detect risk of EGWG. However,
these findings also underscore the need for further consideration of effect
modifiers that place women at increased or decreased risk of EGWG. Proposed
additional constructs are discussed to direct further theory-driven research.
PMID- 26545493
TI - GroEL to DnaK chaperone network behind the stability modulation of sigma(32) at
physiological temperature in Escherichia coli.
AB - The stability of heat-shock transcription factor sigma(32) in Escherichia coli
has long been known to be modulated only by its own transcribed chaperone DnaK.
Very few reports suggest a role for another heat-shock chaperone, GroEL, for
maintenance of cellular sigma(32) level. The present study demonstrates in vivo
physical association between GroEL and sigma(32) in E. coli at physiological
temperature. This study further reveals that neither DnaK nor GroEL singly can
modulate sigma(32) stability in vivo; there is an ordered network between them,
where GroEL acts upstream of DnaK.
PMID- 26545494
TI - Roles of LIM kinases in central nervous system function and dysfunction.
AB - LIM kinase 1 (LIMK1) and LIM kinase 2 (LIMK2) regulate actin dynamics by
phosphorylating cofilin. In this review, we outline studies that have shown an
involvement of LIMKs in neuronal function and we detail some of the pathways and
molecular mechanisms involving LIMKs in neurodevelopment and synaptic plasticity.
We also review the involvement of LIMKs in neuronal diseases and emphasize the
differences in the regulation of LIMKs expression and mode of action. We finally
present the existence of a cofilin-independent pathway also involved in neuronal
function. A better understanding of the differences between both LIMKs and of the
precise molecular mechanisms involved in their mode of action and regulation is
now required to improve our understanding of the physiopathology of the neuronal
diseases associated with LIMKs.
PMID- 26545495
TI - Increased miR-374b promotes cell proliferation and the production of aberrant
glycosylated IgA1 in B cells of IgA nephropathy.
AB - The number of B cells is increased and the O-glycans of IgA1 are incompletely
galactosylated in IgA nephropathy (IgAN). Here we report that expression of
phosphatase and tensin homolog (PTEN) and Cosmc is decreased in B cells, and
correlates with B cell number and the aberrant glycosylation of IgA1 in IgAN.
Patients with IgAN exhibit higher miR-374b in B cells compared to controls. We
show that miR-374b targets PTEN and Cosmc by luciferase assays and western blot
analysis. Inhibition of miR-374b increased PTEN and Cosmc expression, and
prevented cell proliferation and aberrant glycosylation of IgA1, thus
representing a new therapeutic approach for IgAN.
PMID- 26545496
TI - PKCbetaII inhibits the ubiquitination of beta-arrestin2 in an autophosphorylation
dependent manner.
AB - GPCR kinase 2 (GRK2)/beta-arrestins and protein kinase A (PKA)/protein kinase C
(PKC) mediate homologous and heterologous regulations of GPCRs, respectively.
Conventional protein kinase C enzymes (PKCs), as exemplified by PKCbetaII,
selectively inhibit internalization of dopamine D2 receptor and beta2
adrenoceptor in a beta-arrestin- but not GRK2-dependent manner. PKCbetaII
interacts with beta-arrestin2 upon autophosphorylation at T250, and inhibits the
receptor internalization by decreasing the ubiquitination of beta-arrestin2.
PKCbetaII interferes with the interaction between beta-arrestin2 and MDM2 in the
cytosol, resulting in the redistribution of MDM2 to the nucleus. Subsequently,
deubiquitination of beta-arrestin2 and inhibition of agonist-induced receptor
internalization follow. Thus, our study suggests that the extent of beta-arrestin
ubiquitination and the autophosphorylation status of PKCs determine PKCbetaII
mediated inhibition of homologous regulatory processes of GPCRs.
PMID- 26545497
TI - Membrane insertion and topology of the amino-terminal domain TMD0 of multidrug
resistance associated protein 6 (MRP6).
AB - The function of the ATP-binding cassette transporter MRP6 is unknown but
mutations in its gene cause pseudoxanthoma elasticum. We have investigated the
membrane topology of the N-terminal transmembrane domain TMD0 of MRP6 and the
membrane integration and orientation propensities of its transmembrane segments
(TMs) by glycosylation mapping. Results demonstrate that TMD0 has five TMs, an
Nout-Cin topology and that the less hydrophobic TMs have strong preference for
their orientation in the membrane that affects the neighboring TMs. Two disease
causing mutations changing the number of positive charges in the loops of TMD0
did not affect the membrane insertion efficiencies of the adjacent TMs.
PMID- 26545498
TI - Exploiting Electrostatics To Generate Unsaturation: Oxidative Ge=E Bond Formation
Using a Non pi-Donor Stabilized [R(L)Ge:](+) Cation.
AB - The two-coordinate germanium cation [(IDipp){(Me3Si)2CH}Ge:](+) has been
synthesized, which lacks pi-donor stabilization of the metal center and
consequently has a very small HOMO-LUMO gap (187 kJ mol(-1)). It undergoes a
variety of facile oxidative bond-forming reactions, most notably allowing access
to the first examples of Group 14 metal cations containing M=E multiple bonds (E
= C, N). The use of an electrostatic (rather than purely steric) strategy to
discourage aggregation means that less bulky systems (for example, containing a
primary alkylidene fragment, =CHR) are accessible.
PMID- 26545499
TI - [TECHNICAL CHARACTERISTICS OF SPECIFIC FOOD PRODUCTS FOR PATIENTS WITH
DYSPHAGIA].
AB - Dysphagia is a common problem among elderly and also in some pathological
conditions such as neurodegenerative diseases or tumors. Making an adequate diet
for this disease may present some difficulties. The aim of this document is to
make a detailed technical report about the characteristics of the products that
are available in Spain to hydrate and to feed patients with dysphagia. Food and
pharmaceutical industries have developed a range of products designed to ensure
homogeneous texture and a suitable viscosity to guaranty an adequate hydration.
An adequate nutritional status is also achieved with these products for patients
with dysphagia, without compromising their safety. The ingredients used to
achieve a suitable viscosity are different types of starches, gums and other
substances. It has been developed thickeners and gellified water for hydratation,
and in case of food there are purees (dehydrated, lyophilized, pasteurized and
sterilized), fruit purees, fruit pudding, and dehydrated cereal. Patients who do
not meet their nutritional needs have also oral supplements with different
viscosities. The industry offers extensive information about the technical
characteristics of the products, except for viscosity. It would be recommended
for the manufacturers to include in detail the technical specifications of the
used methodology and the measurement and the results obtained in the analysis of
viscosity that can be consulted by professionals of the Clinical Nutrition and
Dietetics Units who treat these patients.
PMID- 26545500
TI - [ENTERAL NUTRITION ON THE NUTRITIONAL STATUS OF CANCER].
AB - OBJECTIVE: to identify what effect causes enteral nutrition on nutritional status
of cancer. METHOD: a search was performed using the keywords "Cancer" AND
"Enteral Nutrition" AND "Supplementation" in four document databases: Pubmed,
EBSCO, ProQuest, and Web of Science. INCLUSION CRITERIA: age of the sample, major
than 18 years; submitted to surgery for cancer; that the intervention program was
including diet and employment or not of nutritional Supplementation; clinical
trials published between January 2004 and December 2014, in scientific journals
indexed. RESULTS: we analyzed 660 articles, of which only 2% has been included.
58% of intervention programs are applied outside Spain; 84% of the interventions
was carried out in a hospitable ambient; 58% of the sample is formed by adults
older than 54 years; 33% of the interventions were multidisciplinary and its
duration ranges between 1 and 4 years. DISCUSSION: we found just a few national
interventions in cancer participants and there two types of interventions: by
exclusive polymeric enteral formula or mixed with immunonutrition. CONCLUSIONS:
enteral nutrition shows against the parenteral and its introduction at an early
stage, it helps to improve nutritional status of the patient; polymeric formulas
next immunonutrition, it helps to reduce the time of hospitalization; the
analytical parameters are shown as a measurement pattern when assessing the
improvement in nutritional status in cancer. It is recommended to increase the
research in this field, especially in children.
PMID- 26545501
TI - [EFFECTS OF GREEN TEA ON THE NUTRITIONAL STATUS OF THE EXERCISE].
AB - PURPOSES: assessing the magnitude of the effects of green tea in subjects that do
exercise. METHODOLOGY: a search was been carried out with key words "green tea"
AND "exercise" in four documentary databases: Pubmed, EBSCOHOST, OvidSP and
Proquest. INCLUSION CRITERIA: adult age of the sample (18-65 years, based on
WHO), and the consumption of a quantified amount of green tea or substitutes,
along with doing physical exercise measurable in intensity, in clinical tests
published between January 2010 and December 2014, whose source were indexed
scientific journals. RESULTS: 260 articles were analyzed, of which 13 items were
selected. 69% are studies with a designed workout, and 92% have included an
exercise test to assess parameters. 77% fluctuate between 20-40 years and sample
size between 9 and 36 subjects. 69% are long length. GTE has been the most used
substitute (38%). 92% of studies have obtained some improvement and 92% of them,
were significantly statistic. Interpretation of results: little homogeneity has
been found in results in the analysis of results expression. Sample size is
limited. There is a wide range of GTE substitutes and diversity of doses and
exercise done. It cannot be possible to establish a dose, dosage and physical
activity recommended. CONCLUSIONS: green tea gives us a wide variety of benefits
in combination with physical exercise. There is a little evidence about quality.
It cannot be possible to establish specific recommendations for obtaining
benefits.
PMID- 26545502
TI - [ANTIOXIDANT POTENTIAL OF MELIPONA BEECHEII HONEY AND ITS RELATIONSHIP TO HEALTH:
A REVIEW].
AB - The present article provides a literature review about the biological potential
of Melipona beecheii. The objective is to project some tendecies in research
about nutraceutical aspects related to the bioactive compounds presents in the
honey of this stingless bee species, known for its medicinal properties
traditional, in the Yucatan Peninsula. Currently, there is strong evidence that
M. beecheii honey has bioactive compounds such as proteins, flavonoids and
polyphenols with high antioxidant activity. The scientific evidence allows to
propose to the honey of stingless bee species as a potential alternative for the
obtention of bioactive compounds with antioxidant activity in the Yucatan
Peninsula and natural food being proposed to reduce some diseases associated with
stress oxidative physiological human cells. However, there is still information
that explains such antioxidant activity, therefore, according to the literature
reviewed, sees the need to address nutraceuticals and functional aspects
correlated with the bioactive compounds present in this honey bee.
PMID- 26545503
TI - [GLUTAMINE AS AN AID IN THE RECOVERY OF MUSCLE STRENGTH: SYSTEMATIC REVIEW OF
LITERATURE].
AB - BACKGROUND: after a traumatic injury or post surgical orthopedic, the loss of
skeletal muscle strength is common. In addition to strength training schemes
and/or resistance to treatment, it has been proposed as an additional treatment,
the use of some amino acids such as glutamine (Gln) in isolation or combination
with other nutrients. However, the information on the effectiveness of oral Gln
supplementation during exercise strength schemes and / or endurance in adults
with strength deficit is inconsistent. OBJECTIVE: to evaluate the strength of the
evidence at hand about the effect of oral supplementation on muscle strength Gln
set to strength training schemes and / or resistance in adult muscle strength
deficit. METHODS: a systematic search was conducted in different databases, in
clinical trials reported from the year 1980-2014, both in English and Spanish,
about oral Gln supplementation alone or in combination with other nutrients, with
a control group, in adults with strength deficits under exercise schemes of
strength and / or endurance, tracking under a year and muscle power as the
primary outcome. RESULTS: of 661 articles, six relevant studies were identified.
The study participants in Gln isolation evaluation did not suggest changes
between the groups, only an improvement in the perception of muscle weakness.
Studies evaluating Gln with other nutrients, have reported results in favor of
it. No meta-analysis was possible. CONCLUSIONS: nowadays there are insufficient
data on the effects related to the Gln on the deficit of muscular force during
exercise schemes in adults. It is required more research in this topic to respond
more accurately about this fact.
PMID- 26545504
TI - [THE VIBRATION TRAINING AS SARCOPENIA INTERVENTION: IMPACT ON THE NEUROMUSCULAR
SYSTEM OF THE ELDERLY].
AB - INTRODUCTION: aging is accompanied by a progressive reduction of muscle mass that
contributes to the development of functional limitations, and where vibration
training may be an option for optimal intervention in the prevention and
treatment of sarcopenia. OBJECTIVE: to assess the effectiveness of whole-body
vibration in the neuromuscular system of the elderly. METHODS: systematic review
in Medline, CINAHL, WOS and PEDro data by combining the descriptors of Medical
Subject Headings concerning vibration training, muscle strength, muscle mass and
older adults. RESULTS: a total of 214 studies were found on the vibration
training in older people as either the only intervention or in combination with
other exercises, of which 45 met the selection criteria. Of these, 30 items were
eliminated by not more than 5 points according to the PEDro scale. They were
included 15 clinical trials for final analysis. CONCLUSION: WBV training proves
to be a safe, adequate and effective strength training method in the elderly
population, but results are similar to conventional resistance exercise in the
prevention and treatment of sarcopenia.
PMID- 26545505
TI - BIOACTIVE SUBSTANCES WITH PREVENTIVE EFFECT IN CARDIOVASCULAR DISEASES.
AB - The effect of diet on cardiovascular disease prevention has been widely studied
for many years. Numerous studies have confirmed that diets rich in fruits and
vegetables (Mediterranean diet) are beneficial to the cardiovascular system and
various bioactive food components have preventive effect on chronic diseases such
as cardiovascular disease. In this paper we review the effect of bioactive
substances included in the group of flavonoids (catechins and proanthocyanidins,
anthocyanins and isoflavones), stilbenes such as resveratrol, bioactive peptides,
plant sterols and polyunsaturated fatty acids omega- 3 on the cardiovascular
system.
PMID- 26545506
TI - [BODY ADIPOSITY AND ITS RELATIONSHIP OF METABOLIC SYNDROME COMPONENTS IN
COLOMBIAN ADULTS].
AB - OBJECTIVE: recently, Bergman et al. have introduced a new index of adiposity,
namely, body adiposity index (BAI), as a marker of obesity excess body fat in
clinical practice. We aimed to determine the prevalence of obesity and metabolic
syndrome (MetS) and to assess the predicting ability of BAI in various
atherogenic indices, MetS and its components among adult from Bogota, Colombia.
METHODS: cross-sectional study in 690 male. MetS components (waist circumference
>= 90 cm; fasting plasma glucose >= 100 mg/dL, blood pressure >= 135/85 mm Hg;
triglycerides >= 150 mg/dL and HDL-c <= 40 mg/dL were measured. Atherogenic
indices (cholesterol/HDL-c, LDL-c/HDL-c, triglycerides/HDL-c, lipid-metabolic
index [LMI] and MetS score) were calculated. RESULTS: the prevalence of obesity
by BAI (cut-point > 26.1%) and MetS was 50.1% and 19.1%, respectively. Subjects
with MetS and obesity by BAI, show lower HDL-c levels and more frequently
components of MetS (waist circumference, cholesterol and serum triglycerides).
Predicting ability of BAI with a greater odds for atherogenic indices were 1.78
(95%CI 1.25 to 2.55), 1.46 (95%CI 1.01 to 2.14), 1.97 (95% 1.29 to 3.02), 2.04
(95%CI 1.23 to 3.39) and 1.47 (95%CI 1.03 to 2.11), elevation in LDL-c, LMI, MetS
score and cholesterol/ HDL-c, and triglyceride/HDL-c, respectively (p < 0.05).
CONCLUSION: subjects with higher levels of BAI show raised prevalence of obesity
and positively associated with components of MetS.
PMID- 26545507
TI - [PREVALENCE OF THINNESS, OVERWEIGHT AND OBESITY AMONG 4-TO-6-YEAR-OLD SPANISH
SCHOOLCHILDREN IN 2013; SITUATION IN THE EUROPEAN CONTEXT].
AB - INTRODUCTION AND OBJECTIVES: both, weight excess and thinness, are related with
several health disorders that could continue into adulthood. The objectives of
this study were to estimate the prevalence of thinness, overweight and obesity in
4-to-6-year-old schoolchildren in the provinces of Cuenca and Ciudad Real
(Spain), using both the International Obesity Task Force and the World Health
Organization criteria; and to compare this prevalence with those provided for
other European studies. METHODS: a cross-sectional study was performed in 1 585
schoolchildren in September 2013. Weight and height measurements were performed
by previously trained nurses with standardized procedures. Weight status was
defined according to the International Obesity Task Force and the World Health
Organization criteria. RESULTS: when the International Obesity Task Force
criteria were used prevalence of thinness, overweight and obesity was 20.51%,
11.84% and 8.58%, respectively; and 3.97%, 13.92% and 10.79% when the World
Health Organization criteria were utilized. No statistically significant
differences by sex or province in any category of weight status were found. The
prevalence of thinness decreased as age increases. Conversely, thinness
prevalence decreased and overweight/obesity prevalence was significantly higher
in older schoolchildren. The prevalence of overweight in this study is similar to
other Mediterranean countries. CONCLUSIONS: the prevalence of overweight seems to
level off, although it remains a public health priority. The increase in the
prevalence of thinness has become an emergent problem that could claim for public
health interventions.
PMID- 26545508
TI - [ANTHROPOMETRIC INDICATORS AND CARDIOMETABOLIC EVENTS AMONG SCHOOL-AGED CHILDREN
FROM SONORA, MEXICO].
AB - INTRODUCTION: obesity in childhood is predictive of obesity in adulthood and it
is associated with adverse health effect apparent since childhood; however, the
joint assessment of obesity and adverse events among children in clinical
settings is unusual. OBJECTIVES: to assess the association of overweight and
obesity, abdominal obesity, and excess body fat with systolic [SBP] and diastolic
[DBP] blood pressure, lipid profile and glucose levels; and to identify the best
anthropometric indicator of such events. MATERIAL AND METHODS: we conducted a
cross-sectional study in a sample of 412 schoolchildren. The presence of
overweight and obesity, abdominal obesity and excess body fat was determined
among all participants; levels of total cholesterol, triglycerides, high and low
density lipoproteins, and glucose were measured in a subsample (n = 133). The
associations of interest were assessed using adjusted linear and logistic
regression models. RESULTS: 33% of the children were overweight or obese.
Overall, overweight, obesity, abdominal obesity, and excess body fat were
associated with elevated SBP and DBP and with a lipid profile and glucose levels
that could indicate health risks among these children. Overweight and obesity
were the best predictors of such events. CONCLUSIONS: among these school-aged
children, we observed that obesity was associated with high odds of having
adverse health outcomes such as high blood pressure, lipids and glucose. Such
adverse events can be predicted by the presence of obesity measured by BMI, which
is a noninvasive, inexpensive and easy to implement measure.
PMID- 26545509
TI - SERUM CONCENTRATION OF NITRIC OXIDE IN WOMEN SMOKERS AND NONSMOKERS WITH
OVERWEIGHT.
AB - AIM: to evaluate the serum concentration of NO in overweight women, smokers (SG)
and nonsmokers (NSG). METHODS: blood samples from smokers (n = 20) and nonsmokers
(n = 18) were collected to obtain serum, and stored at -80 degrees C until
analysis. NO was assessed by measuring total nitrite, determined by Greiss
method. It was adopted as reference 24.4 MUmol/L, mean value found in a study
with healthy subjects without excess weight. We used the Student t test to
compare the means of age and waist circumference, and the Mann-Whitney U test to
compare the median of concentrations of nitrite, number of cigarettes/day and
Body Mass Index. We adopted a significance level of p < 0.05. RESULTS: the median
nitrite in SG was 16.53 (2.79 - 69.72) MUmol/L, whereas in NSG was 10.85 (1.44 -
43.25) MUmol/L (p = 0.028). BMI median value to SG and NSG, was respectively
29.50 (25.00 - 38.14) kg/m2 and 30.68 (25.10 - 36.98) kg/m2 (p = 0.530), being
classified as overweight. The data showing that the average nitrite was below the
estimated value for healthy individuals. CONCLUSION: the results indicate a
decrease of NO metabolites in women with excess weight, independently of being
smoker. Despite the significant difference found between groups, these women had
values well below the reference value of NO for healthy women. Therefore, it
seems that smoking does not interfere in nitrite levels in patients already
compromised by obesity.
PMID- 26545510
TI - ASSOCIATIONS BETWEEN ENERGY AND FAT INTAKES WITH ADIPOSITY IN SCHOOLCHILDREN -
THE CUENCA STUDY.
AB - INTRODUCTION: the relationship between changes in energy intake (EI) over the
last few decades and the trends towards of excess weight in children is still
debated. OBJECTIVE: to examine the relationship between energy and macronutrient
intakes with adipostity in children, controlling for cardiorespiratory fitness
(CRF) as a surrogate measure of physical activity. METHOD: we conducted a cross
sectional study of 320 schoolchildren aged 9-11 years (54.5% girls). We collected
data on socio-demographic variables, and measured weight, height, waist
circumference (WC), and fat mass percentage by bioimpedance analysis. Fat mass
index (FMI) was calculated as fat mass (kg) divided by height (m) squared, to
adjust for body size. Energy (kcal) and macronutrient intake (percentages) were
measured by two non-consecutive 24-h recalls (weekday and weekend day), using the
Young Adolescents' Nutrition Assessment on Computer (YANA-C) software program;
CRF was measured by the 20-m shuttle run test. RESULTS: boys in the 4th quartile
of the WC distribution had lower fat intake (34.9%) than boys in the 1st (42.4%;
p = 0.019) and 2nd quartiles (41.6%; p = 0.022). Children in the 1st quartile of
the FMI distribution had higher daily EIs than children in the 4th quartile
(1762.3 kcal vs. 1496.8 kcal; p = 0.023). All macronutrient intakes relative to
weight were lower in children in the more adipose categories for weight status,
WC and FMI (p < 0.001). CONCLUSION: adiposity was inversely related to energy and
fat intakes. Excessive EI and high EI from fats not appears to be directly
associated with the current obesity epidemic among schoolchildren living in
Cuenca (Spain).
PMID- 26545511
TI - [PSYCHOMETRIC PROPERTIES OF A SPANISH ADAPTATION OF THE WEIGHT BIAS
INTERNALIZATION SCALE (WBIS)].
AB - INTRODUCTION: obesity is currently one of the most important international health
problems, and the study of the various aspects related to it has become a
priority. One such aspect is the analysis of the weight bias internalization,
especially its evaluation, for which different instruments hare been designed,
though they are not available for Spanish population. OBJECTIVES: the objective
of this study is the translation and adaptation of the Weight Bias
Internalization Scale (WBIS), analyzing its psychometric characteristics.
METHODOLOGY: fifty-nine people were evaluated by a Spanish adaptation of WBIS,
the Beck Depression Inventory (BDI) in short version, and the General Health
Questionnaire Goldberg (GHQ-28). Internal consistency was explored, as well as
concurrent and construct validity of the adapted instrument. RESULTS: Cronbach's
alpha coefficient was .89, while the concurrent validity showed statistically
significant correlations with the total score of GHQ-28 (r = .39, p < .02) and
the BDI (r = .42, p < .001). The final scale consists of 11 items, which are
grouped into two main factors, and allow a significant explanation of 65.03% of
the variance. CONCLUSIONS: the Spanish adaptation of the WBIS shows good
psychometric values of reliability and validity, so it might be a good scale for
the assessment of the weight bias internalization, which could be confirmed in
larger studies.
PMID- 26545512
TI - GLUTATHIONE PEROXIDASE-1 PRO200LEU POLYMORPHISM (RS1050450) IS ASSOCIATED WITH
MORBID OBESITY INDEPENDENTLY OF THE PRESENCE OF PREDIABETES OR DIABETES IN WOMEN
FROM CENTRAL MEXICO.
AB - INTRODUCTION: obesity affects more than a third of Mexican population. Oxidative
stress participates actively in the etiology of this phenomenon. Glutathione
peroxidase-1 (GPX-1) plays a protective role against oxidative stress. The SNP
Pro200Leu (rs10504050) has been reported to affect the activity of the enzyme.
OBJECTIVE: to determine the frequency of rs10504050 polymorphism in women with
obesity and normal weight control, asses the concentration of peripheral TBARS
and evaluate the consumption of pro and antioxidants. METHODS: 104 women with
obesity and 70 healthy controls (CG) were included in the study. Anthropometric,
biochemical, clinical and dietary features were evaluated. GPx-1 rs10504050 was
determined by PCR/RFLP method. TBARS was assayed spectrophotometrically in
plasma. The subjects were stratified and compared by obesity grades and by
subgroups of prediabetes and diabetes condition. Statistical analysis included
ANOVA of Kruskal Wallis, Xi squared and Pearson correlation. RESULTS: for
rs10504050 polymorphism there were differences (Xi2 = 6; p = 0.01) between
frequency (0.61) of obese carriers (Pro/Leu plus Leu/Leu) and CG carriers (0.42),
and between (Xi2 = 8; p = 0.004) morbid (IMC > 40) obesity (0.74) and CG
carriers. The obese group (OB) showed a prevalence of 66% of prediabetes plus
diabetes. There were no differences in frequencies of rs10504050 in OB with pre
or diabetes versus CG, or versus obese participants without diabetes. TBARS
concentration was greater in all the degrees of OB versus CG. CONCLUSION: GPx-1
Pro200Leu polymorphism was associated with obesity especially with morbid
obesity, but not with obese participants with prediabetes or diabetes. Oxidative
stress is present in all grades of obesity significantly.
PMID- 26545513
TI - [OBESITY MANAGEMENT IN THE PRIMARY CARE SETTING BY AN INTENSIVE LIFESTYLE
INTERVENTION].
AB - OBJECTIVE: the aim of this study was to compare an Intensive Lifestyle
Intervention against Traditional Treatment for obesity management in the primary
care setting. SUBJECTS AND METHODS: interventional randomized controlled study
with participation of 42 obese adults. Subjects assigned to the Intensive
Lifestyle Intervention received a validated behavior change protocol "Group
Lifestyle Balance" in 12 sessions, weekly consultations by a nutritionist and
meal replacements. Traditional Treatment consisted of monthly consultations with
a nutritionist that provided nutritional assessment and physical activity.
RESULTS: almost all study participants (97%) were measured after 3 months of
intervention. Intensive Lifestyle Intervention and Traditional Treatment subjects
showed the following changes in body weight: (Median [25-75th percentile]) (-4.7
kg [-6.5, -3.1]) vs. (+0.4 kg [-0.3, 1.3]). Sixty two percent of Intensive
Lifestyle Intervention group participants lost more than 5 % body weight vs. 0 %
in the traditional treatment group (p < 0.001). CONCLUSIONS: this preliminary
evidence showed that an Intensive Lifestyle Intervention can be an effective
strategy for obesity management in the primary care setting.
PMID- 26545514
TI - DETERMINATION OF CHOLESTEROL IN HUMAN MILK: AN ALTERNATIVE TO CHROMATOGRAPHIC
METHODS.
AB - INTRODUCTION: human milk (HM) is considered the best option for feeding healthy
infants. Cholesterol (CHOL) is important for proper development of the nervous
system, and for hormone and vitamin synthesis in growing infants. Breastfeeding
and dietary CHOL intake during infancy have been suggested to affect blood lipid
levels and the risk of cardiovascular disease in adulthood. Gas chromatography is
the technique most widely used to determine CHOL in HM. Chromatographic methods
are specific for the determination of CHOL and other sterols present in HM, but
are extremely time consuming, and the costs and equipment requirements mean that
they are not accessible to all laboratories. AIM: the present study describes the
optimization and validation of an enzymatic-spectrophotometric method for CHOL
determination in mature HM. METHOD: determination of CHOL involves fat extraction
with chloroform:methanol, hot saponification and extraction of the unsaponifiable
fraction with diethyl ether. CHOL was determined by an enzymatic method in which
the concentration of the lutidine dye formed is stoichiometric to the amount of
CHOL, and is measured by the increase in light absorbance at 405 nm. RESULTS:
human milk fat (mg/mL) (27.5 +/- 1.3) and CHOL (0.113 +/- 0.004) in analyzed HM
were within the ranges reported by others authors. Analytical parameters of the
proposed method were assessed: The precision values (%) (expressed as the
relative standard deviation (RSD)) were: 3.5 and 6.7 for intra- and inter-day,
respectively. Accuracy, estimated by recovery assays, was 110 +/- 1.6%.
CONCLUSION: the validated enzymatic-spectrophotometric method for determining
CHOL in HM constitutes an alternative for fast and simple analysis of CHOL with
equipment requirements accessible to any laboratory.
PMID- 26545515
TI - [SOCIODEMOGRAPHIC AND HEALTH CHARACTERISTICS ASSOCIATED WITH LOW BIRTH WEIGHT IN
THE CANARY ISLANDS].
AB - INTRODUCTION AND OBJECTIVE: since 1976, the term low birth weight (LBW) has been
applied to all infants weighing less than 2 500 g and it constitutes the most
important factor affecting neonatal mortality, morbidity in childhood. The aim of
this study is to identify associations between biological, socioeconomic and
health factors and underweight newborns in the Canary Islands. MATERIALS AND
METHODS: a cross-sectional epidemiological study was conducted, with the files
belonging to pregnant women in 2011 and 2012 (n = 11.768) at the Hospital
Universitario Insular Materno of Gran Canaria, which accounted for 66.3% of all
births in the province for those years, excluding from the analysis the data
pertaining to multiple births (393) (3.3%). The distribution and frequency of
weight by sociodemographic characteristic were analyzed. Percentages were
compared using the chi2 test, means with the t-test and medians with the Wilcoxon
test for independent data. Those variables that were associated with low birth
weight in the univariate analysis were entered into a logistic multidimensional
analysis. RESULTS: the distribution of birth weight revealed an of excess
underweight children (9.3%), of which (62.1%) were pretermature. Mothers of
children with LBW are thinner, of a smaller size and BMI (< 18.5 kg/m2), and an
average age of 31.5. It was also noted that there is an increase between the age
of the mothers and low weight although the effect is not linear; in fact, the
increases in the risk of LBW accelerate as age increases. When maternal BMI
decreases, to approximately below 25, the risk of low birth weight increases
linearly. The highest OR correspond to fetal intrauterine growth retardation
(CIR) (OR = 6.3; 95% CI = 5.3, 7.4), hypertension/eclampsia (OR = 3.2; 95% CI =
2.1; 5.1), in gestations of less than 37 weeks (OR = 2.5; 95% CI = 2.0; 3.3) and
the consumption of tobacco by the mother (OR = 1.9; 95% CI = 1.6, 2.3).
CONCLUSIONS: smoking during pregnancy appears to be the most important mediating
factor in IUGR mediating factor. An overweight or obese mother did not appear to
constitute a risk factor for a child's low birth weight. Intrauterine growth
restriction (IGR) is the variable health that most affects low weight and high
blood pressure in the mother, also associated with fetal growth retardation.
While it is true that lack of antenatal care cannot be considered as a causal
factor of underweight babies, 47.1% of pregnant women in the Canaries had
insufficient controls (< 3 controls) with an increase of said controls would make
it possible to reduce the frequency of the low weight in newborns.
PMID- 26545516
TI - CHANGES IN C-REACTIVE PROTEIN AND BIOCHEMICAL PROFILE IN PRESCHOOL CHILDREN WITH
OBESITY.
AB - INTRODUCTION: the childhood obesity is a serious public health problem because if
weight gain continues, complications such as insulin resistance, dyslipidemia,
orthopedic disorders, sleep apnea, and metabolic syndrome may occur in other
stages of child development. OBJECTIVE: the objective of this study was to
evaluate C-reactive protein (CRP) concentrations, lipid profiles, and glucose
levels in obese children three to five years of age and compare them to eutrophic
children. METHODS: in this study, 100 children aged three to five years were
selected and divided into two groups (n = 50 per group): a group of eutrophic
children (< 84th percentile) and a group of obese children (> 95th percentile).
An anthropometric evaluation and quantification of plasma levels of
ultrasensitive CRP, triglycerides, cholesterol, LDL-C, HDL-C, and glucose were
performed. RESULTS: the data showed a significant increase in obese children with
regard to height (p < 0.005), weight (p < 0.0001), body mass index (p < 0.0001),
ultrasensitive CRP (p < 0.0001), triglycerides (p < 0.0001), LDL (p < 0.0001),
and glucose levels (p < 0.0001) as well as decreased levels of HDL (p < 0.0001)
compared to eutrophic children. CONCLUSIONS: metabolic changes that occur in
obese preschool children are characterized by increases in inflammatory markers
and lipid profiles. Considering that during preschool age the programming and
number of adipocytes that will remain with the individual for the rest of their
life are determined, this stage is crucial in the development of complications
associated with obesity.
PMID- 26545517
TI - BEHAVIOR OF ADIPOKINES AFTER A YEAR FOLLOW-UP IN THE OBESITY OUTPATIENT CLINIC
FOR CHILDREN AND ADOLESCENTS.
AB - OBJECTIVE: demonstrate adipokines progression, along 12 months, in obese children
and adolescents who attend the Obesity Outpatient Clinic for Children and
Adolescents of the HCPA. METHODS: children and adolescents in medical treatment
for obesity were followed for 12 months, assessing anthropometry, blood pressure,
waist circumference, lipid profile, fasting blood sugar and insulin, inter
leukine- 6, tumor necrosis factor alpha, and adiponectin in two points in time:
at inclusion and after 12 months follow-up in the Obesity Outpatient Clinic for
Children and Adolescents. RESULTS: 27 children and adolescents were assessed with
median age of 10.3 years. The mean BMI z-scores lowered during this period (p <
0.01), HDL-c increased in the period (p = 0.025). The medians of adipokines did
not vary during the period: IL-6 (p = 0.470), TNF-alpha (p = 0.753) and
adiponectin (p = 0.943). There was no correlation of IL-6 and TNF-alpha with
central and global obesity along the 12-months follow-up. Adiponectin increased
in 45% of the sample, the increase being more pronounced in females. CONCLUSION:
children and adolescents in medical treatment for obesity, after one-year follow
up, did not improve their adiponectin profile.
PMID- 26545518
TI - [ASSOCIATION BETWEEN MUSCULAR FITNESS AND PHYSICAL HEALTH STATUS AMONG CHILDREN
AND ADOLESCENTS FROM BOGOTA, COLOMBIA].
AB - OBJECTIVE: epidemiological and experimental evidence suggest the role of muscular
strength has been increasingly recognized in the prevention of chronic disease in
early life, and features of the cardiometabolic disease have also been negatively
associated with muscle strength in adulthood. The aim of this study was to
examine whether the association between muscular fitness and physical health
status among children and adolescents from Bogota, Colombia. METHODS: cross
sectional study in 921 schoolchildren aged 8-11 years from Bogota, Colombia. A
muscular fitness score (MFS) was measured using handgrip strength and standing
long jump and vertical jump. Each of these variables was standardized as follows:
standardized value = (value = mean)/SD. The muscle fitness score was calculated
as the mean of the three standardized scores. MFS was recoded into quartiles Q1
(low fitness) to Q4 (high fitness). The body mass index (BMI), skinfold
thickness, waist and hip circumference, body composition by bioimpedance (BIA),
blood pressure and self-declaration sexual maturation were measured such as
indicators associated with future cardiovascular events. RESULTS: the average age
was 13.0 +/- 2.6 years. Participants with Q4 (high fitness), show a better
physical health status (BMI, blood pressure, body fat and waist circumference
(BMI, blood pressure, body fat and waist circumference, p[linear X2] = 0.01).
Individuals with Q1 and Q3 (low fitness) had 4.06 times (95%CI 2.60 to 6.34; p =
0.043) risk of excess body fat and 1.57 times (95%CI 1.02 -1.89; p = 0.020) risk
of abdominal obesity. CONCLUSION: our results show that muscle fitness is
associated with better physical health status. The testing of muscle strength at
early ages should be included in health- monitoring systems.
PMID- 26545519
TI - [RELATIONSHIP BETWEEN PATTERNS OF BREASTFEEDING AND BLOOD PRESSURE IN BRAZILIAN
AND SPANISH SCHOOLCHILDREN].
AB - INTRODUCTION: the relationship between early feeding and blood pressure in later
life is still uncertain and sometimes contradictory. Some studies point to the
protective effect of breastfeeding on cardiovascular disease, while others do not
obtain conclusive results. OBJECTIVES: the aim of this study was to analyze the
relationship between breastfeeding patterns during the first months of life and
blood pressure in childhood, in two samples of children from two populations with
different socio-cultural characteristics, controlling for quality feeding in
infancy. METHODS: the study, with a transversal and retrospective design, was
conducted with 492 schoolchildren aged between 8 and 10 years, it consists of two
samples, both collected from public schools, one in Madrid (Spain) and one in
Vitoria, Espirito Santo (Brazil). RESULTS: ninety percent of the sample was
breastfed, 196 (44.2%) from Madrid and 247 (55.7%) from Vitoria/ES. The average
duration of exclusive breastfeeding (EBF) in Madrid was 12.89 weeks (std = 9.6)
and in Vitoria/ES, 22.00 weeks (std = 13.4), with statistically significant
differences. The mean values of systolic and dyastolic blood pressure, were
significantly higher in the Brazilian sample. The prevalences of borderline
hypertension and hypertension were also higher in Brazil than in Spanish, 68% vs
32% and 60% vs 40%, respectively. Association between shorter duration of EBF and
higher prevalence of hypertension in childhood, was observed in the two samples.
Regarding the association between diet quality and blood pressure values, this
was only significant in the Brazilian sample, but not in Spanish. DISCUSSION: in
both samples a protective effect of breastfeeding on blood pressure was observed,
however when comparing the two samples appear to be a contradiction since the
Brazilian children were breastfed for longer than the Spaniards but their
Pressure Arterial values and the Hypertension prevalence were higher in the
Spanish sample. This contradiction is resolved by controlling the effect of
feeding in infancy. The food quality of Brazilian children is worse than that of
Spaniards, mainly due to a higher consumption of processed foods very high in
sodium content. CONCLUSION: the possible protective effect of breastfeeding, is
reduced at later stages of the life cycle if food habit are not appropriate or do
not meet minimum quality requirements. Therefore, although breastfeeding plays an
important role in preventing the development of hypertension in children is not
enough to support the practice of breastfeeding but prevention work is ongoing
and should insist on eating habits and promote healthy lifestyles throughout the
entire life cycle of people.
PMID- 26545520
TI - MOTOR SKILLS AND NUTRITIONAL STATUS OUTCOMES FROM A PHYSICAL ACTIVITY
INTERVENTION IN SHORT BREAKS ON PRESCHOOL CHILDREN CONDUCTED BY THEIR EDUCATORS:
A PILOT STUDY.
AB - INTRODUCTION: childhood obesity is a worldwide health concern. For this issue
different intervention have being planned to increase physical activity patterns
and reduce the excess of weight in children with limited or no success.
OBJECTIVE: the aim of this study is to evaluate the results of a pilot
intervention consisting in three 15-minute breaks conducted by educators and
supervised by physical education teachers on motor skills and nutritional status
in preschool children. METHODS: sample was 70 preschool children (32 boys and 38
girls), age 4 +/- 0,6 years. The physical activity classes were performed three
times a week, 45 minutes daily, distributed in three 15 minutes breaks. The
circuits were planned to have; jumps, sprints, carrying medicinal balls, gallops
and crawling. Motor skill tests that were performed Standing long jump (SLJ) and
Twelve meter run. RESULTS: with the intervention no significant differences in
nutritional status where found on mean Z score (boys p = 0.49, girls p = 0.77).
An increment on weight and height was fount after the intervention (p < 0.0001).
Regarding the 12 meter run test, we found significant changes after the
intervention when we normalize by weight in boys (p = 0.002) and girls (p <
0.0001). Our results have shown than boys significantly increased their SLJ and
SLJ normalized by weight (p < 0.0001); a similar result was found in girls after
the intervention (p < 0.0001) suggesting the increment of power independent of
weight gain. CONCLUSIONS: in conclusion, this pilot study found that an
intervention with more intense activities in small breaks (15 minutes), and
guided by the educators could improve essential motor skills (running and
jumping) in preschool children of a semi-rural sector independent of nutritional
status. This gaining in motor skills is the first step to increase physical
activity levels in preschool children.
PMID- 26545521
TI - EVALUATION OF THE EFFECTS OF A PROGRAMME PROMOTING ADEQUATE AND HEALTHY EATING ON
ADOLESCENT HEALTH MARKERS: AN INTERVENTIONAL STUDY.
AB - AIM: to evaluate the effects of a protocol promoting adequate and healthy eating
on adolescent health parameters. METHODS: this controlled intervention study was
conducted for 9 months, with the participation of adolescents enrolled in two
schools (intervention/control) located in a poor neighbourhood in the city of
Salvador (Bahia), Brazil. For the intervention school, activities promoting
adequate and healthy eating were designed based on the Food Guide for the
Brazilian Population (Guia Alimentar para a Populacao Brasileira). Students
underwent biochemical, sexual maturation and anthropometric tests at baseline and
at the end of the 9-month period. In addition, students answered a questionnaire
on food consumption, physical activity and sedentary behaviour. Information on
the socioeconomic status of their family was also obtained. Generalized
Estimating Equation (GEE) analysis was chosen to evaluate the associations of
interest. RESULTS: students under intervention presented decreases of 7.64 mg/dL
in mean total cholesterol (TC) (p = 0.009) and 7.77 mg/dL in mean low-density
lipoprotein cholesterol (LDLc) (p = 0.003) and increases of 18% in legume
consumption (odds ratio [OR] = 1.18; 95% confidence interval [CI] 1.03-1.37) and
17% in vegetable consumption (OR = 1.17; 95%CI 1.01-1.35) compared with students
who did not undergo intervention. No differences were observed in the
anthropometric parameters analysed. CONCLUSION: the results showed a positive
effect of activities promoting adequate and healthy eating on reducing TC and
LDLc and on increasing the consumption of vegetables and legumes, evidencing that
the intervention model was able to prevent and/or treat cardiovascular risk
factors in adolescents.
PMID- 26545522
TI - CORRELATION OF SERUM VITAMIN D LEVEL WITH TYPE 1 DIABETES MELLITUS IN CHILDREN: A
META-ANALYSIS.
AB - OBJECTIVE: to assess the relationship between serums vitamin D level and type 1
diabetes mellitus (T1DM) in children. METHODS: the following electronic databases
were searched until Sep 2014 to identify relevant studies that assessed the
relationship between serum vitamin D with T1DM: PubMed?EMbase?Medline?Central
Register of Controlled Trials?CBM?Chinese National Knowledge Infrastructure
(CNKI)?wangfang; The NOS scale was used to evaluate the quality of studies, and
the statistical tests were performed by Stata 11.0 software. RESULT: a total of
10 studies were included in this study. Our results showed that serum vitamin D
was significantly lower in children with T1DM than in healthy controls (MD =
0.60, P < 0.05). No evidence support publication bias in present study.
CONCLUSION: the meta-analysis suggests that serum vitamin D level is associated
with T1DM in children.
PMID- 26545523
TI - NORMATIVE VALUES OF EQ-5D-5L FOR DIABETES PATIENTS FROM SPAIN.
AB - INTRODUCTION: diabetes is a metabolic disease that can lead a reduction in health
related quality of life. The EQ-5D is a generic preference-based health-related
quality of life questionnaire widely used in patients with diabetes. OBJECTIVE:
the aim of the current manuscript is to provide normative values of EQ-5D-5L for
Spanish people suffering from diabetes. METHODS: data from the Spanish Health
Survey (2011/2012) was utilized. A total of 1 857 people suffering from diabetes
participated in the survey. EQ-5D-5L scores were defined by sex, region
(including the 17 Autonomous regions and 2 Autonomous cities of Spain), and 8 age
groups. RESULTS: mean EQ-5D-5L utility index for the whole sample was 0.742. It
was better for men (0.826) than for women (0.673). Similar results were observed
in the VAS. The ceiling effect was much higher for men (44.83%) than for women
(24.41%). CONCLUSIONS: the current study provides EQ-5D-5L normative and
representative data for Spanish people suffering from diabetes.
PMID- 26545524
TI - OVERWEIGHT OBESITY AND CARDIOVASCULAR RISK IN MENOPAUSAL TRANSITION.
AB - INTRODUCTION: the hormonal decline that is characteristic of the menopause, in
conjunction with the associated weight gain, is considered a determinant factor
of cardiovascular risk. OBJETIVE: to examine weight status in relation to
clinical symptoms during the menopausal transition, in women referred from
primary care to an endocrinology specialist, to determine potential
cardiovascular risk profiles. METHOD: observational analytic cross-sectional
study, conducted with data from medical records created at time of referral.
STUDY POPULATION: 805 women aged 40 years or older, a sufficient number of
subjects and medical records for cardiovascular risk to be estimated. RESULTS:
hierarchic cluster analysis distinguished four clusters. The prevalence of
obesity in each one exceeded 60%. The highest mean cardiovascular risk was
observed in women who were older and presented obesity and hypertension. In
younger age groups, the risk was low, rising to levels similar to those of the
older women by the age of 65 years. CONCLUSION: these results suggest that
preventive and therapeutic monitoring of obesity and modifiable risk factors
should be conducted during the menopausal transition, to reduce the risk
attributable to these factors, a risk that increases with time.
PMID- 26545525
TI - APPLICATILITY OF THE VISCERAL ADIPOSITY INDEX (VAI) IN THE PREDICTION OF THE
COMPONENTS OF THE METABOLIC SYNDROME IN ELDERLY.
AB - The nutritional assessment may detect a state of malnutrition, overweight and
cardiometabolic risk in the elderly. Easy to apply instruments enable the
identification of risk factors for cardiovascular diseases (CVD). OBJECTIVE: to
analyze the applicability of Visceral Adiposity Index (VAI) in the prediction of
MS components in the elderly. METHODS: cross-sectional study with 221 elderly at
a mean age of 70.65 +/- 7.34 years; 53.4% female and 46.4% male. Weight, height,
waist circumference (WC), fasting glucose, triglycerides (TG), total cholesterol
(TC), HDL cholesterol (HDL-C), LDL cholesterol (LDL-C), and blood pressure (BP),
data was obtained, as well as information about lifestyle. There were calculated
the Body Mass Index (BMI), the Waist-Hip Ratio (WHR), and the VAI. The adiposity
measures were compared with the components of MS, and for the VAI there was
determined the capability of predicting the occurrence of MS components. RESULTS:
by analyzing the association among the biochemical and pressoric variables and MS
components with the anthropometric indicators of obesity, there was a direct and
significant correlation of the BMI, the weight and the VAI with blood glucose,
HDL and TG (p.
PMID- 26545526
TI - ACCEPTANCE OF FUNCTIONAL FOOD AMONG CHILEAN CONSUMERS: APPLE LEATHER.
AB - AIM: the aim of this study is to measure acceptance of a specific functional
food: apple (fruit) leather, based on organoleptic characteristics and to
identify consumer types and preferences for natural additives which increase the
product's functionality and meet current nutritional needs. MATERIALS AND
METHODS: a sample of 800 consumers provided an evaluation of apple leather in
terms of acceptance (liking). A sensorial panel was carried out using a 9-point
hedonic scale. Cluster analysis was used to identify different acceptance-based
consumer types. In addition, a conjoint analysis was carried out to determine
preference for different additives. RESULTS: the cluster analysis resulted in
four groups with significant differences in the average likings obtained from the
sensory panel. Results indicate that the sweetness of the tested apple leather
was evaluated best among all groups and, on average, color was rated as the worst
attribute. However, overall likings differ significantly between groups. Results
from the conjoint analysis indicate that, in general, consumers prefer natural
additives included in the product which enhance functionality. CONCLUSIONS:
although there is a "global acceptance" of the product, there are significant
differences between groups. The results of the conjoint analysis indicate that,
in general, consumers prefer the aggregation of natural additives which increase
the product's functionality. Apple leather with natural additives, such as
anticariogenics and antioxidants, can be considered a functional substitute of
unhealthy snacks and/or sweets.
PMID- 26545527
TI - [SNACK HIGH WHEY PROTEIN IMPROVES THE LEVEL OF SATIETY AND REDUCES APPETITE
HEALTHY WOMEN].
AB - BACKGROUND: the nutritional content and energy density of foods is related to
greater control of appetite, satiety and reducing food intake. METHODS/SUBJECTS:
the randomized crossover study included 20 healthy women, aged 20 and 30 years
with a BMI of 20 to 24.9 kg/m2 and who completed that included 3 day trial
comparing 8 hours 130 kcal snacks consumed afternoon: yoghurt with added whey
protein (PSL), biscuits and chocolate. Participants consumed a standardized menu;
snack was consumed 3 hours after lunch. Perceived hunger and fullness were
evaluated during the afternoon until dinner voluntary intake ad libitum. They
repeat the same snack 3 times. RESULTS: consumption of yogurt with PSL led to a
further reduction of appetite in the afternoon in front of the snack of chocolate
and biscuits (p < 0.001). No differences of appetite in the afternoon between
chocolate vs cookies but significant difference between yogurt with PSL and other
treatments (p < 0.001) were detected. At snack, yogurt there was a significant
reduction in caloric intake compared to other snacks (p < 0.001) and a later
request for dinner with about 45 minutes apart. CONCLUSIONS: snacks with less
energy density and rich in protein (yogurt with PSL) improve the control of
appetite, satiety and reduces food intake in healthy women later.
PMID- 26545528
TI - [VITAMIN D AND CHRONIC LUNG COLONIZATION IN PEDIATRIC AND YOUNG ADULTS CYSTIC
FIBROSIS PATIENTS].
AB - INTRODUCTION AND OBJECTIVES: evaluate vitamin D status and its association with
chronic lung colonisation in Cystic Fibrosis patients. MATERIAL AND METHODS:
descriptive cross-sectional multicenter study. From November 2012 to April 2014,
at 12 national hospitals, 377 patients with Cystic Fibrosis were included.
Vitamin D levels < 30 ng/ml were classified as insufficient. Chronic colonisation
was considered if they had at least two positive cultures in the past year.
RESULTS: the median age was 8.9 years (2 months to 20 years). 65% had
insufficient levels of vitamin D. There was an inverse correlation between age
and vitamin D levels (r = -0.20 p < 0.001). Those diagnosed by screening, were
younger and had higher levels of vitamin D. There was an inverse correlation
between the number of colonisations and vitamin D levels (r = -0.16 p = 0.0015).
Adjusting for age, pancreatic status and diagnosis by screening, colonization by
S. aureus in 6 years, increased the risk of insufficient levels of vitamin D: OR
3.17 (95% CI 1.32 to 7.61) (p = 0.010) and OR 3.77 (95% CI 1.37 to 10 , 37) (p =
0.010), respectively. CONCLUSIONS: despite adequate supplementation, more than
half of our patients did not achieve optimal levels of vitamin D. Regardless of
age, diagnosis by screening or pancreatic status, chronic colonization by
Pseudomonas sp. in children and adolescents and S. Aureus in infants and
preschoolars increases the risk of developing vitamin D deficiency in these
patients.
PMID- 26545529
TI - THE EFFECT OF DIETARY WHEAT BRAN ON SUCROSE-INDUCED CHANGES OF SERUM GLUCOSE AND
LIPIDS IN RATS.
AB - INTRODUCTION: wheat bran has been known for many health benefits, but its glucose
and lipid-lowering activity still remains unresolved. OBJECTIVE: to investigate
effects of varying amounts of wheat bran and feeding period on serum glucose and
lipids in sucrose-fed rats. METHODS: eighty male Sprague-Dawley rats were
assigned into 4 sucrose-based diets containing either 0, 5, 10 or 20% wheat bran
(WB) and given ad libitum to rats for 4, 8, 12 or 16 weeks. Serum glucose, total
cholesterol (TC), low- and high-density lipoprotein cholesterol (LDL-C and HDL
C), triglycerides (TG), phospholipids (PL) and total lipids (TL) were quantified
at end of each feeding period and other biological parameters were assessed.
RESULTS: in all feeding periods, food intake showed ascending linear trend (p <
0.05), whereas body weight did not respond to WB. Compared to 0%, 10 or 20% WB
induced decrease (p < 0.05) in TC (16 weeks) and HDL-C (12 or 16 weeks), whereas
5, 10 or 20% WB induced similar decrease in PL (4 or 12 weeks), TL (all periods)
and glucose (4 or 8 weeks). This glucose- and lipid- lowering effect was
substantiated by descending linear responses (p < 0.05) to WB. PL and TL
descending responses to WB were seen in all feeding periods. TG exhibited no
change with WB, but linearly responded (4 or 8 weeks). Differences in glucose or
lipid variables of rats fed WB diets for all feeding periods were less evident.
CONCLUSIONS: results suggest that wheat bran reduces serum glucose and lipids
mainly phospholipids in sucrose fed rats in an interaction that is likely to have
clinical implications in cardiometabolic conditions.
PMID- 26545530
TI - [IN VIVO EFFECT OF RED WINE UNDILUTED, DILUTED (75%) AND ALCOHOL-FREE ON THE
GENOTOXIC DAMAGE INDUCED BY POTENTIAL CARCINOGENIC METALS: CHROMIUM [VI]].
AB - INTRODUCTION: the carcinogenesis may be initiated and promoted by the oxidative
DNA damage. The compounds of chrome (Cr [VI]) cause oxidative stress (EOx) and
are recognized as carcinogens in humans. In this sense, it is proposed that
drinks with a high antioxidative potential, such as red wine, may have protective
or modulatory effects on the oxidative DNA damage. OBJECTIVE: to study the
effects of the administration in vivo of undiluted, diluted (75%) and alcohol
free red wine on the genotoxic damage induced by carcinogenic metals (Cr [VI]),
by evaluating the micronucleus (MN) in polychromatic erythrocytes (EPC) in mice
(CD-1). MATERIAL AND METHOD: it was randomly organized the follow groups: (i)
control, (ii) undiluted, diluted and alcohol-free red wine (free access), (iii)
CrO3 (20 mg/kg by intraperitoneal route) and (iv) CrO3-red wine. The evaluations
were made in blood samples obtained from the caudal vein, in which it was
identified the MN and EPC before, during and after treatments. RESULTS AND
DISCUSSION: the red wine (diluted and alcohol-free) was capable of decreasing the
averages of MN induced by CrO3, demonstrating its modular capacity in vivo in the
oxidative DNA damage caused by EOx-induced carcinogens. The administration of
only undiluted red wine presented toxic effects. CONCLUSIONS: our results raises
expectations on the use of substances like the red wine for the protection or
modulation of genotoxic damage, encouraging its application in the carcinogenic
and mutagenic processes.
PMID- 26545531
TI - MATERNAL AND POST-WEANING EXPOSURE TO A HIGH FAT DIET PROMOTES VISCERAL OBESITY
AND HEPATIC STEATOSIS IN ADULT RATS.
AB - AIM: considering the frequent consumption of fat-rich diets by women of
reproductive age, the aim of the present study was to investigate the effects of
maternal consumption of a high-fat diet during the perinatal and/ or post-weaning
period on the liver parameters and lipid metabolism of young rats. METHODS:
Wistar female rats were fed a high-fat (H) or control (C) diet during pregnancy
and lactation. The offspring were allocated to four groups: Control Control (CC,
n = 11), offspring fed a control diet after weaning; Control High-fat (CH, n =
10), offspring fed a high-fat diet after weaning; High-fat High-fat (HH, n = 10),
offspring of mothers H fed a high-fat diet after weaning; and High-fat Control
(HC, n = 9), offspring of mothers H fed with control diet after weaning. RESULTS
AND DISCUSSION: the food intake did not differ among the groups, however, the
relative weight of the adipose tissue was higher in animals from the HC, HH and
CH groups (p <= 0.005). Liver steatosis was found in the CH and HH animals, which
also exhibited hypercholesterolemia (p <= 0.05). The levels of the liver enzymes
alanine aminotransferase (ALT) and gamma-glutamyl transpeptidase (GGT) were
higher in the HH group, and the LDL level was higher in the CH group compared to
the CC. The consumption of an obesogenic diet during critical periods of
development may contribute to the occurrence of visceral obesity, liver steatosis
and hypercholesterolemia in adult rats, even in the absence of changes in dietary
intake.
PMID- 26545532
TI - FACTORS RELATED TO TOTAL ENERGY EXPENDITURE IN OLDER ADULTS (CHILE).
AB - AIM: to asses Total Energy Expenditure (TEE) in healthy Chilean institutionalized
or independently older people Methods: twenty seven young (27-30 years), 27
institutionalized (> 65 years old) and 27 free-living older (> 65 years old)
participants were studied. Body composition was estimated by dual energy X-ray
absorptiometry. Physical activity energy expenditure (AEE) and TEE were assessed
using Actiheart accelerometers. The Mini Nutritional Assessment (MNA) was applied
and Timed Up and Go (TUG) was measured. RESULTS: AEE was 171, 320 and 497
kcal/day in institutionalized, free living older and young participants,
respectively (p < 0.01 between young and older participants). Both absolute TEE
and TEE/RMR was higher in young people. Multiple regression analysis accepted
age, MNA and TUG as significant predictors of AEE (r2 = 0.24 p < 0.01).
CONCLUSION: AEE and PAL were lower among older people, with no differences by
institutionalization.
PMID- 26545533
TI - [BARRIERS ASSOCIATED WITH BREAST SELF-EXAMINATION AMONG WOMEN 18 TO 50 YEARS OLD:
A CROSS SECTIONAL STUDY].
AB - INTRODUCTION: breast cancer is the most common female malignancy and is commonly
associated with high levels of morbidity and mortality in developing countries.
Breast self-examination (BSE) it is a fundamental method for early detection of
the disease. The purpose of the study was to determine the prevalence and
barriers associated to BSE in women between 18 to 50 years old. METHODS: a cross
sectional study in 627 healthy women between 18 50 years old from Pamplona,
Colombia, was performed. Barriers to the practice of BSE (age, marital status,
religion, family history for cancer disease, healthcare professionals counseling
and social media), were collected by a structured questionnaire. Prevalence was
calculated for each factor and associated barriers were established through a
multivariate logistic regression models. RESULTS: at the time of the survey,
about 72% of women stated they did not practice BSE in the last month and 45% in
the last six months. Regression models show that women who belong to the age
group between 30 and 39 years old, women who did not have any counseling from a
health professional and those who did not report breast cancer in their family
history; were associated as barriers for practicing BSE. CONCLUSIONS: the BSE in
the study population was low. These data could be used to provide educational
intervention programs about the importance of early diagnosis of breast cancer.
PMID- 26545534
TI - [FACTORS WHICH INFLUENCE THE LEAN MASS LOSS IN CANCER PATIENTS].
AB - INTRODUCTION: cancer is an important illness in the sanitary field due to phisic
and functional consequences involved in it. Among these consequences there is the
malnutrition which can cause a loss of lean mass and with it a decrease in the
Quality of Life, an increase in hospital stays, social and health costs and so
on. The aim of this research is to know which factors can influence in the loss
of the lean mass. MATERIAL AND METHODS: it is a cross-sectional study in a sample
of 72 patients who receive a radiotherapy with curative intent during a period
from February 07th and May 14th, 2014. RESULTS: from this pattern Of the total 64
patients were studied, of which 43.7% of the patients presented loss of lean
mass, with 21.8% the percentage of patients losing < 2%, 4.7% those with a loss
between 2-5% and > 5%, 17.2% of patients. Among the factors studied that can
influence the loss of lean mass, only the presence of digestive symptoms have
statistical significance (OR = 3.3 or 6.6, as we take as a reference the
percentage loss). CONCLUSIONS: the aim of the health staff who are working with
these patients is to avoid the consequences that accompanies the loss of lean
mass. For all this, it is very important to act before the digestive symptoms, by
anticipating to them, or early implementation of an individualized nutritional
intervention.
PMID- 26545535
TI - EFFECTIVENESS OF IMMUNONUTRITION ON INFLAMMATORY MARKERS IN PATIENTS WITH CANCER;
RANDOMIZED CLINICAL TRIAL.
AB - BACKGROUND: malnutrition is a common complication in patients with cancer and is
associated with immunosuppression and alterations with inflammatory response.
OBJECTIVE: the aim of our study was to evaluate the effect of enteral nutrition
supplemented with two enteral formulas on inflammatory markers (CRP, IL-6 and
FNTalpha) in cancer patients undergoing chemotherapy. DESIGN AND METHODS:
randomized control trial, conducted at the Hospital Juarez of Mexico in patients
with cancer undergoing chemotherapy with IRN < 97.5 and SGA B/C. Patients were
randomly allocated to two groups: group I (immunomodulatory), group II (high
omega3). The intervention began on the first day of chemotherapy until day 10
after. We evaluated nutritional status and an inflammatory marker on days 0, +5,
+10 QT. Statistical analysis was performed with T Student, x2 and analysis of
variance for repeated measurements. P < 0.05 was considered statistically
significant. RESULTS: a total of 29 patients were analyzed, 27 (62.8%) females
and 16 (37.2%) males. Mean age 43.91 + 11.3 years old. Malnutrition prevalence
was 48.8% moderate and 51.2% severe. Prealbumin levels significantly increase in
group II vs group I (p < 0.05). Both groups maintenance body weight, lean mass
and fat mass. No decrease levels of CRP, IL-6 and FNTalpha. CONCLUSIONS: enteral
supplementation during chemotherapy inhibits nutritional deterioration and
maintenance body weight and lean mass. No decreased levels of inflammatory
markers.
PMID- 26545536
TI - TEST-RETEST RELIABILITY OF A FIELD-BASED PHYSICAL FITNESS ASSESSMENT FOR CHILDREN
AGED 3-6 YEARS.
AB - OBJECTIVE: the present study aims to determine the test-retest reliability of the
Fitness Test Battery in children aged 3-6 years. METHODS: a total of 553 children
voluntarily participated in the current study; all children were aged 3 to 6
years. Demographic characteristics reveal that 274 children were male (age: 4.63
+/- 0.94 years old, Body max index [BMI] = 16.30 +/- 2.07 kg/m2), and 279 were
female (age 4.70 +/- 0.97 years old, BMI = 16.28 +/- 2.09 kg/m2), and they were
selected from 8 schools in southern Spain. All selected tests for the Fitness
Test Battery, except the 10 x 20 metres (m) test that was designed ad hoc for
this study, have been used in previous studies and are focused on testing basic
components of physical condition and motor development such as endurance,
strength, speed, reaction time and balance (10 x 20 m, Standing Broad Jump, 20 m
running speed, Ruler drop test and Balance). RESULTS: the results obtained in
this study indicate that the Fitness Test Battery has obtained adequate
reliability parameters, and is able to discriminate with age among the different
tests in healthy children between 3 and 6 years old. The tests used were safe,
easy to perform, very acceptable and understandable by children. CONCLUSION: the
Fitness Test Battery is a valid, reliable and easy to assess the physical fitness
of pre-schoolers children.
PMID- 26545537
TI - [ANTHROPOMETRIC CHILEAN TABLE TENNIS PLAYERS OF COMPETITIVE FEATURES].
AB - The aim of the study was to characterize the anthropometric profile and
somatotype of a sample of 50 players table tennis competitive with an average age
21.6 (+/- 3.1) years belonging to the Chilean team and institutions of higher
education in the region of Valparaiso. The evaluation was conducted under the
protocol marking the International Society for the Advancement of
Kinanthropometry (ISAK) for the measurement procedure 25 restricted profile
variables described by Drinkwater, Norton and Olds. Order to determine the body
composition, fat, muscle, bone, skin and tissue residual was considered, using
the equations proposed by Kerr. The body shape is characterized through
somatotype method proposed by Carter. The sample was divided into 4 groups;
Chilean Selection, Traditional Private Universities, State Universities and
Private Universities Traditional Nontraditional. Regarding body composition; the
Chilean team has the highest values of muscle tissue (45.6 +/- 1.7%) and the
lowest values of adipose tissue (25.2 +/- 1.8%), also presenting lesser value in
the Sigma 6 skinfolds (mm) . The results showed no significant differences
between groups in the aforementioned variables. In general somatotype compared by
analyzing SANOVA no significant differences between groups (p = 0.409) was
observed. The results show a biotype with such a characterization of endo
mesomorph with average values (4,1-4,9-1,8). This study provides updated data
biotypological reference for this sport that can be used for decision-making.
PMID- 26545538
TI - [ASSOCIATION BETWEEN HEALTH RELATED QUALITY OF LIFE, BODYWEIGHT STATUS (BMI) AND
PHYSICAL ACTIVITY AND FITNESS LEVELS IN CHILEAN ADOLESCENTS].
AB - AIM: the objective of this study was to analyze the potential relationships
between Health Related Quality of Life (HRQoL) with weight status, physical
activity (PA) and fitness in Chilean adolescents in both, independent and
combined analysis. METHOD: a sample of 767 participants (47.5% females) and aged
between 12 and 18 (mean age 15.5) was employed. All measurements were carried out
using selfreported instruments and Kidscreen-10, iPAQ and IFIS were used to
assess HRQoL, PA and Fitness respectively. One factor ANOVA and linear regression
models were applied to analyze associations between HRQoL, weight status, PA and
fitness using age and sex as confounders. RESULTS: body mass index, level of PA
and fitness were independently associated with HRQoL in Chilean adolescents.
However, the combined and adjusted by sex and age analysis of these associations
showed that only the fitness was significantly related with HRQoL. CONCLUSION:
general fitness is associated with HRQoL independently of sex, age, bodyweight
status and level of PA. The relationship between nutritional status and weekly PA
with HRQoL are mediated by sex, age and general fitness.
PMID- 26545539
TI - [CAFFEINE INTAKE AND ITS EFFECT ON THE MAXIMAL AEROBIC SPEED CORRIDORS 800 -METER
ATHLETES].
AB - OBJECTIVES: to check the effects of caffeine intake (3 mg/kg-1) and improved time
to exhaustion limit of maximum aerobic speed and could modify blood lactate
levels in 800-meter runners. METHODS: the study is based on an experimental
design, crossover, randomized and blind. 7 subjects (20 +/- 3 years, 63 +/- 6 kg,
and 169.1 +/- 7 cm) 800-meter runners, they should be competing for at least
three years and be among the top 10 national ranking. They conducted a test
timeout to exhaustion on a treadmill at maximum aerobic speed, where they
ingested a capsule could contain caffeine (3 mg/kg-1) or placebo (sucralose).
Lactate concentration at the rest and end of each test was measured. RESULTS:
caffeine intake showed a significant increase in the duration of the timeout
relative to placebo (376 +/- 137-457 +/- 182 sec, respectively, p < 0.05).
Regarding the blood lactate, no significant difference between the rest
condition, the placebo and caffeine intake (p < 0.05). CONCLUSIONS: the use of
caffeine as an ergogenic aid, in doses of 3 mg/kg-1 significantly increased (p <
0.05) TLIM of VAM to exhaustion. This effect is an improvement in the performance
of 800-meter runners. In turn, a significant increase in the concentrations of
lactate, which could refer to the increase in intensity after caffeine intake
work is appreciated.
PMID- 26545540
TI - EATING DISORDERS AND DIET MANAGEMENT IN CONTACT SPORTS; EAT-26 QUESTIONNAIRE DOES
NOT SEEM APPROPRIATE TO EVALUATE EATING DISORDERS IN SPORTS.
AB - INTRODUCTION: there is a growing concern in the appearance of eating disorders in
athletes, especially those that practice sports grouped into weight categories.
This affects the way athletes eat, using frequently unhealthy strategies to
control weight, especially during the pre-competition period. AIM: this study
analyses the prevalence of contact sports athletes in developing eating
disorders, and how a controlled diet plan can reduce this risk. At the same time,
it evaluates the use of the EAT-26 questionnaire to detect such disorders.
METHODS: a randomized frequency study was performed on 244 athletes (158 men, 86
women), who were separated into two groups: those that followed a diet plan given
by a nutritionist, and a control group on a free diet. The athletes completed an
EAT-26 questionnaire while participating in the University-level National
Championships. RESULTS: the free diet group scored significantly higher on the
questionnaire. Also, the female athletes controlled diet group scored
significantly higher than their male counterparts. DISCUSSION: the results of the
questionnaire indicate that an adequate nutritional program circumvents the use
of unhealthy habits to control body weight and therefore avoids developing
particular eating disorders. EAT-26 questionnaire does not seem the most
appropriate tool to detect these disorders.
PMID- 26545541
TI - A PHYSICAL EDUCATION-BASED STRETCHING PROGRAM PERFORMED ONCE A WEEK ALSO IMPROVES
HAMSTRING EXTENSIBILITY IN SCHOOLCHILDREN: A CLUSTER-RANDOMIZED CONTROLLED TRIAL.
AB - INTRODUCTION: physical education teachers are required to carry out intervention
programs for students to achieve health-enhancing flexibility levels.
Unfortunately, to our knowledge, there are no studies examining the effect of a
stretching program carried out only once a week on schoolchildren. OBJECTIVES:
the purpose of the present study was to compare the effects of a short-term
stretching intervention program performed once and twice a week on hamstring
extensibility among schoolchildren in the physical education setting. METHODS: a
sample of 180 high school students aged 12-14 years old was randomly assigned (by
natural groups) to a control group, experimental group 1 and experimental group
2. During physical education classes, experimental group students performed a
stretching program for eight weeks. The experimental group 1 and 2 performed the
stretching program once and twice a week, respectively. RESULTS: the analysis of
variance results showed that the students of both experimental groups improved
statistically significantly their hamstring extensibility when compared with the
control group students (p < 0.01). Nevertheless, no statistically significant
differences between the two experimental groups were found (p > 0.05).
CONCLUSIONS: a short-term stretching program performed only once a week improves
hamstring extensibility in schoolchildren. When the stretching program is
performed twice a week, the improvement in students'hamstring extensibility is
not statistically higher.
PMID- 26545542
TI - [ASSOCIATION BETWEEN FITNESS, NUTRITIONAL STATUS AND ACADEMIC PERFORMANCE IN
PHYSICAL EDUCATION STUDENTS].
AB - INTRODUCTION: several studies demonstrated that regular physical exercise would
impact positively on the academic performance of students. OBJECTIVE: to
determine the association between physical fitness, nutritional status and
academic performance of students of Pedagogy in Physical Education from Temuco,
Chile. METHODS: the sample was selected on a non-probabilistic approach, which
included 208 subjects (n = 153 women and n = 55 women). The variables studied
were physical fitness (short Abs, long jump with feet together, forward trunk
flexion, elbow flexion and extension and "course navette" test), nutritional
status (BMI) and academic performance (classified as up and down the academic
average). RESULTS: 87.5% of students have a satisfactory fitness and a BMI of
23.8 +/- 2.9 kg/m2. The students with the best academic performance were those
with the higher proportion of satisfactory physical condition (92.5 %). No
association between academic performance and nutritional status was determined,
but it was observed between low fitness and a great risk of low academic
performance (OR = 2.8, 95% CI 1.0 to 8 1; p < 0.05). CONCLUSION: a relationship
between academic achievement and physical fitness among students is observed, but
no for the nutritional status and the academic performance.
PMID- 26545543
TI - EFFECTS OF TRAINING AND DETRAINING ON GLYCOSYLATED HAEMOGLOBIN, GLYCAEMIA AND
LIPID PROFILE IN TYPE-II DIABETICS.
AB - OBJECTIVE: the aim of the present study was to determine the effect of training
and the consequences of detraining, comparing an aerobic training (AT) protocol
with a resistance training (RT) in people with type-II Diabetes Mellitus (DMII).
METHODS: a total of 30 individuals participated in the study, with ages ranging
from 45 to 50 years, all diagnosed with DMII and not currently receiving
pharmacological treatment. Participants were divided at random into an AT group
(65% of their maximum aerobic capacity) and a RT group (1 x 2 x 3 protocol at 65%
of 1RM). Measurements were taken of weight, body mass index, total cholesterol,
HDL-C, LDL-C, triglycerides, glycaemia in a fasted state and glycosylated
haemoglobin (HbA1C) at the beginning and at the end of the 6-week training
period, and after a further 6 weeks of detraining. RESULTS: the results show that
both physical training protocols are capable of inducing significant
modifications in lipid profile, glycaemia in a fasted state and levels of HbA1C;
however, after stopping the training programme only the RT group maintained the
benefits of the reduction in LDL-C, HbA1C and the increase in HDL-C. CONCLUSIONS:
resistance exercise in individuals with DMII has an important influence on health
and their effects could be maintained even if the training program is interrupted
short-term.
PMID- 26545544
TI - NUTRITIONAL INTAKE AND NUTRITIONAL STATUS IN ELITE MEXICAN TEENAGERS SOCCER
PLAYERS OF DIFFERENT AGES.
AB - INTRODUCTION: nutritional intake and status of soccer players has attracted not
much research attention. Many soccer players follow an inadequate nutritional
intake and have a poor nutritional status. This is relevant in youngsters soccer
players, in order to improve performance and promote healthy dietary practices.
AIMS: analyze anthropometric characterizes, evaluate nutritional intake and
status, dietary habits and pre- and post-exercise meals in elite teenagers soccer
players. METHODS: seventy-two young male soccer players (15-20 years) from four
junior teams of a soccer Club from the Mexican National Soccer League were
measured for height, seat height, weight, 6 skinfolds, 6 diameters and 7
circumferences, height-for-age and BMI-for-age values. Skin, adipose, muscle,
bone and residual tissue masses were calculated with the Ross and Kerr equation.
Resting energy expenditure and intake was also measured. Daily dietary intake was
self-recorded for 4 consecutive days (excluding the match day) using a digital
food-weighing scale and a food record questionnaire. Dietary analysis was
performed using the NutriBase 7 Clinical software. Several biochemical values
were determined. One-way analysis of variance (ANOVA) and post hoc testing was
performed using t-tests with a Bonferroni correction. RESULTS: all soccer players
were within the normal range values for anthropometric parameters studies, when
compared with other adolescent elite soccer teams. Values of plasma glucose,
urea, creatinine, uric acid, lipid profile and total proteins were within normal
range for young adult population, although albumin levels were high. Moreover,
14% and 20% of soccer players presented hyperuricemia and elevated total
cholesterol levels respectively. Energy expenditure and intake were within normal
range for all teenager elite soccer players. However, two teams shower
significant lower intakes than demands. All macronutrient intakes were within
recommendations, except protein that was higher. Micronutrient intake exceeded
the recommendations for general population. Soccer players had pre- and post
exercise meals with an appropriate range of carbohydrates. Food intake was mainly
based on cereals, derivatives and potatoes; meat, poultry, fish, shellfish and
eggs and biscuits and confectionery and poor in fruit, vegetables and milk and
dairy products. CONCLUSIONS: the population of soccer players did not have
optimal nutritional habits. However, their nutritional intake and status was
better than in other published studies. The main problems of these teams were
that they had a high protein diet and that in some teams the nutritional intake
was not enough to cover the demands. Finally, nutritional intake was found to be
of poor quality. Thus, we recommend nutritional education for soccer players of
these teams.
PMID- 26545545
TI - [CONSUMPTION OF OMEGA- 3 FATTY ACIDS AND DEPRESSIVE SYMPTOMS IN COLLEGE STUDENTS
FROM SONORA, MEXICO].
AB - INTRODUCTION: recent studies suggest that low serum levels of polyunsaturated
fatty acids omega-3 are associated with a higher prevalence of depression.
OBJECTIVE: to evaluate whether low consumption of Omega-3 fatty acids is
associated with a higher prevalence of depressive symptoms in a sample of college
students from the Northwest of Mexico, and to assess the potential effect
modification by alcohol consumption. METHODS: we conducted a cross-sectional
study in a sample of 706 college students (males and females) aged 18 to 24. The
presence of depressive symptoms was identified with the Depression Scale of the
Center for Epidemiologic Studies (CES-D), using a cutoff point of >= 24. The
intake of omega-3 was obtained by a food frequency questionnaire validated for
Mexican population. We estimated the weekly intake of alpha-linolenic fatty acid
(ALA), eicosapentaenoic (EPA) and docosahexaenoic (DHA) derived from the diet in
mg/g of food. The association between omega-3 from diet and the presence of
depressive symptoms was assessed using logistic regression models adjusted for
potential confounders. RESULTS: 67% of the participants were females; 16.6% were
classified as having depressive symptoms. A low intake of ALA and EPA + DHA was
not associated with depressive symptoms before and after adjusting for
confounders. Median levels of ALA (from nuts only) were significantly lower among
those with depressive symptoms compared to those without these symptoms.
CONCLUSIONS: in this population of Mexican college students, a low intake of
omega-3 fatty acids was not associated with depressive symptoms. The potential
association between nut consumption and depressive symptoms deserve more
attention.
PMID- 26545546
TI - PREVALENCE OF DISORDERED EATING ATTITUDES AMONG UNIVERSITY STUDENTS IN WUHU,
CHINA.
AB - OBJECTIVE: the purpose of this study is to assess the current status of
disordered eating attitudes and its related factors among University students in
Anhui province, and to provide a basis for health intervention. METHODS: this is
a cross-sectional study. The University students admitted to the routine health
exams were included in current study, and completed self-administered
questionnaires which consist of general information and the Eating Attitudes Test
26 (EAT-26). An EAT-26 score of 20 or higher indicated that a person has
disordered eating attitudes. Data were analyzed using the SPSS13.0 software.
RESULTS: a total of 1 328 subjects (469 male and 859 female), aged 16-24 years
from a university in Wuhu were enrolled in this study. In our survey, the
proportion of disordered eating attitudes among male, female, total students were
5.3%, 4.0% and 4.5%, respectively. The proportion of disordered eating attitudes
among the students whose family annual income < 10000 RMB, 10,000-30,000 RMB,
30,000-60,000 RMB and > 60,000 were 4.2%, 3.9%, 4.3% and 6.9%, respectively. An
interesting finding was that the female students are more likely to have
disordered eating attitudes if their parents have more education. CONCLUSIONS:
our research reveals that the current status of disordered eating attitudes in
Anhui province is relatively low. Parents' education level may be related to
eating attitudes among University students. It is essential to increase awareness
and understanding of eating disorders and its associated risk factors in
University students whose parents have higher education.
PMID- 26545547
TI - [FOOD CONSUMPTION PATTERN AT A FAMILY LEVEL OF URBAN AREAS OF ANZOATEGUI,
VENEZUELA].
AB - In order to assess the qualitative pattern of food consumption in urban families
of Anzoategui, Venezuela, 300 domestic groups that combined a total of 1 163
people were studied. The domestic dietary pattern was addressed by the method of
qualitative frequency of food consumption, which applied a structured survey that
yielded the usual frequency of intake of a food or food group over a given
period. The information was obtained through an interview with the person
responsible for the procurement of food in every home, and included basic data
for the socioeconomic and nutritional profile of the families studied. The
qualitative analysis of the diet was obtained by comparing the different food
groups that constitute the actual consumption pattern of the population studied,
with official feeding guidelines suggested for the Venezuelan population. The
present study showed that the qualitative pattern of food consumption in the
urban population evaluated is characterized by slightly adjusted to the promotion
of health and control of diet-related diseases. The family food proved to be far
from the guidelines established by the dietary guidelines for Venezuela and
consumption patterns are fairly homogeneous in the different socioeconomic
strata. The foods most consumed daily were salt, coffee, dressing and precooked
corn flour as well as beef, chicken and the higher weekly food consumption
pastas. 90% of the food consumed daily is technologically processed. The results
contribute to increase knowledge about the food situation of the Venezuelan
population, and technically could direct the efforts of the authorities to
reconcile the development of the productive sector and food supply, whereas a
pattern qualitatively inadequate intake directly affects the individual
biological functioning, and results in the collective conditioning of unfavorable
health states.
PMID- 26545548
TI - [SATISFACTION WITH HOSPITAL MENU AND INCLUSION OF GOAT CHEESE AS DESSERT COMPARED
WITH COW CHEESE].
AB - INTRODUCTION: the evaluation of hospital menus should be performed periodically
to suit the needs of patients. Goat cheese may have nutritional benefits,
compared to cow cheese. OBJECTIVES: to evaluate patient satisfaction with the
hospital menu and with the inclusion of goat cheese, given like dessert in
hospital vs menu with cow cheese. METHODS: a survey of hospital menu satisfaction
and dessert (goat cheese (GC) vs cow cheese (CC)) in patients with basal diets
was conducted. RESULTS: 334 surveys were analyzed. 46.7% were women. The average
age was 58.16 +/- 15.15 years and the average stay of 11.21 +/- 11.53. Acceptance
of the hospital menu was rated as "good" in a high percentage of respondents (91%
Temperature, presentation 94%, humidity 75% and 84% schedule). Overall
satisfaction lunch (1 to 10) was 7.31 +/- 2.10 en CC vs 7.39 +/- 1.75 GC (ns) and
dessert satisfaction was 6.93 +/- 2.89 vs 6.88 +/- 3.52 (ns) (respectively).
CONCLUSIONS: the overall satisfaction with the hospital menu is high and the
acceptance of new dessert (QC) is equivalent to acceptance obtained with cow
cheese, which could be included in the code of diets.
PMID- 26545549
TI - VALIDITY OF A FOOD-FREQUENCY QUESTIONNAIRE FOR ESTIMATING CALCIUM INTAKE IN
ADOLESCENT SWIMMERS.
AB - INTRODUCTION: accurate estimates of the intake of specific nutrients such as
calcium (Ca) are crucial to correctly rank or classify subjects in the
distribution of intakes. Therefore, we aimed to estimate the relative validity of
a food frequency questionnaire (FFQ) for measuring Ca intake in two different
groups of healthy adolescents, a normoactive control group and a physically
active group of swimmers with 2 non-consecutive 24h recalls (2 x 24HR) collected
as the reference method. METHODS: Pearson correlations, agreement between the
24HR and the FFQ at an individual level and questionnaire's ability to assign
individuals to the same quartile of intake as the 24HR were calculated. RESULTS:
mean daily Ca intakes were 564.6 mg (SD 232.0) and 895.9 mg (SD 343.1) for the 2
x 24HR and FFQ respectively in controls (P < 0.001); and 731.9 mg (SD 299.8) and
979.8 mg (SD 408.5) for the 2 x 24HR and FFQ respectively in swimmers (P <
0.001). Pearson correlations were 0.52 for controls and 0.47 for swimmers after
correcting for intra-variability. Cross-classification analysis indicated that
73.7% of controls and 63.1% of swimmers were classified correctly or in the
adjacent category. Also, the 89% of the control group and 79% of swimmers were
classified correctly with the FFQ according to the dietary reference intake (DRI)
of 1300 mg/d. CONCLUSIONS: for both groups, the FFQ tended to overestimate Ca
intake, however it demonstrated fairly good ability to classify subjects into
extremes of Ca intake and identified adolescents having Ca intakes lower than the
dietary reference intake.
PMID- 26545550
TI - [FOOD PATTERNS ASSOCIATED WITH A HEALTY BODY WEIGHT IN CHILEAN STUDENTS OF
NUTRITION AND DIETETICS].
AB - OBJETIVE: to determine food patterns and its association with the consumption of
various foods with nutritional status of Chilean university students of Nutrition
and Dietetics. MATERIAL AND METHODS: cross-sectional study, 634 students were
evaluated Nutrition and Dietetics at the Universidad San Sebastian, of Santiago,
Concepcion, Valdivia and Puerto Montt. Each student a food survey was applied and
an anthropometric assessment. RESULTS: 68% of students eat breakfast daily, 36.1%
and 37.1% consume the recommended servings of fruits and vegetables, 64.9%
consume soft drinks frequently. A positive association was observed between an
adecuated weight and the fact of eat vegetables (>= 2 servings/day) OR = 0.662
(0.440 to 0.996), whole grains OR = 0.474 (0.224 to 1.002), low consumption of
fried and sweet snack OR = 0.643 (0.406 to 1.019) and OR = 0.545 (0.360 to 0.825)
respectively. CONCLUSIONS: students have an insufficient intake of healthy foods
and a high intake of unhealthy foods, also shows that the intake of vegetables,
whole grains, low consumption of fried foods and sweet snacks are associated with
a normal nutritional status among students evaluated.
PMID- 26545551
TI - [FAMILY EATING HABITS AND PERCEPTION OF RISK IN EATING DISORDERS].
AB - INTRODUCTION: factors related to food, shape, weight and exercise, transmitted
from parents to children, and media sociocultural factors, such as social
networks, also influence the development of Eating Disorders (ED). OBJECTIVES: to
analyse the influence of family eating habits and the parents perception about
the influence of social networks on the development and maintenance of ED.
METHOD: 30 parents of ED patients participated voluntarily in this study
fulfilling a series of questionnaires, as well as reporting their weight and
height. RESULTS: it is observed an underestimation of weight in the case of
overweight (33.33%) and obesity (35%) without considering the fact of going on
diet in the future (chi2 = 11.31; p < 0.01). It must be noted that it exists an
excessive consumption of meats, snacks and sweets. During weekends it is observed
an increased intake of calories and sugar (p < 0.01) and cholesterol (p < 0.05),
while the intake of fibre, iron, zinc and magnesium is reduced (p < 0.01). In
addition, the consumption of water and bread decreases and other habits seem to
be more relevant (e.g. snacking, intake of a single dish) (p < 0.05). DISCUSSION
AND CONCLUSIONS: eating habits of ED patients' families improve by means of the
nutrition education included in the treatment. Relatives do not perceive
adequately the risk of the social networks in their children, which might
contribute to the maintenance and future relapses of ED.
PMID- 26545552
TI - ASSESSMENT OF GOOD PRACTICES IN HOSPITAL FOOD SERVICE BY COMPARING EVALUATION
TOOLS.
AB - INTRODUCTION: since food service in hospitals complements medical treatment, it
should be produced in proper hygienic and sanitary conditions. It is a well-known
fact that food-transmitted illnesses affect with greater severity hospitalized
and immunosuppressed patients. AIMS: good practices in hospital food service are
evaluated by comparing assessment instruments. METHODS: good practices were
evaluated by a verification list following Resolution of Collegiate Directory n.
216 of the Brazilian Agency for Sanitary Vigilance. Interpretation of listed
items followed parameters of RCD 216 and the Brazilian Association of Collective
Meals Enterprises (BACME). Fisher's exact test was applied to detect whether
there were statistically significant differences. Analysis of data grouping was
undertaken with Unweighted Pair-group using Arithmetic Averages, coupled to a
correlation study between dissimilarity matrixes to verify disagreement between
the two methods. RESULTS AND DISCUSSION: Good Practice was classified with mean
total rates above 75% by the two methods. There were statistically significant
differences between services and food evaluated by BACME instrument. Hospital
Food Services have proved to show conditions of acceptable good practices.
CONCLUSION: the comparison of interpretation tools based on RCD n. 216 and BACME
provided similar results for the two classifications.
PMID- 26545553
TI - [MEDERI MODEL NUTRITIONAL CARE HOSPITAL].
AB - INTRODUCTION: the need for nutritional care models, to address the problem of
malnutrition in hospitals, involves developing mederi Nutrition Care Model in
order to raise the quality of health care, and promote good practices of Clinical
Nutrition. To describe the process of nutrition and metabolic support, aimed at
measuring the effectiveness of the model, which is currently a center of national
and international reference. MATERIALS AND METHODS: descriptive, evaluative,
transversal and observational. Includes analysis of consolidated since the
implementation of the model in 2008 through 2014. Information The number of study
subjects was 163 575, variables to test the efficacy measures were: productivity
and perceived quality of nutritional care. RESULTS: made analysis of the key
processes in which the model is based, nutritional adult and neonatal hospital
care, nutritional support, supervision of food services, and teaching and
research, is an increase in productivity of the service 591% , increasing the
percentage of patient satisfaction from 50% to 95.8%. CONCLUSION: the success of
a model of nutritional care lies in the consolidation of administrative,
healthcare facilities, which in turn promotes the development of human talent,
teaching and research in nutrition.
PMID- 26545554
TI - EFFECT OF SELENIUM SUPPLEMENTATION VIA BRAZIL NUT (BERTHOLLETIA EXCELSA, HBK) ON
THYROID HORMONES LEVELS IN HEMODIALYSIS PATIENTS: A PILOT STUDY.
AB - BACKGROUND: thyroid function depends on trace mineral selenium (Se), being at the
active center of the iodothyronine deiodinase that catalyzes the conversion of
the thyroxine (T4) to the active form of thyroid hormone, triiodothyronine (T3).
Hemodialysis (HD) patients have reduced T3 levels partly due to impaired hormonal
conversion that can be related to Se deficiency, a common feature in these
patients. This study evaluated the effect of Brazil nuts (richest Se source) on
thyroid hormone levels in HD patients. METHODS: we performed an uncontrolled
intervention with 40 HD patients (53.3 ?+/- 16.1 yrs, dialysis vintage 62.0 (8.0
207.0) months) that received one nut (~5g, average 58.1 MUg Se/g) per day for
three months. Se plasma levels were determined by atomic absorption
spectrophotometry with hydride generation and, serum T3, free T4 (FT4), TSH as
well as glutathione peroxidase (GPx) activity were measured by ELISA. RESULTS:
all patients were Se deficient and presented low T3 levels at baseline. After
intervention, Se plasma levels (from 17.6 ?+/- 11.6 to 153.4 ?+/- 86.1 MUg/L),
GPx activity (from 33.7 ?+/- 5.9 to 41.4 ?+/- 11.2 nmol/min/mL), T3 (from 27.3
?+/- 8.8 to 50.2 +/- 4.8ng/dL) and FT4 levels (0.87 ?+/- 0.2 to 0.98 ?+/- 0.4
ng/dL) were significantly increased (p < 0.05), while TSH levels were reduced
(from 2.17 ?+/- 1.3 to 1.96 ?+/- 1.1 uUI/mL), but not significantly. CONCLUSION:
in conclusion, increasing Se levels via Brazil nut supplementation was associated
with improvement in thyroid hormone levels in HD patients, although the amount of
Se given was not able to restore T3 to normal levels.
PMID- 26545555
TI - [EFFICIENCY OF HAEMOGLOBIN REGENERATION IN THE NUTRITIONAL FERROPENIC ANAEMIA
RECOVERY WITH GOAT MILK-BASED DIETS].
AB - OBJECTIVE: in spite of the high incidence/prevalence of iron deficiency anemia
(IDA) and the beneficial effects derived from the consumption of goat milk,
scarce is known about the recovery of the anemia following a balanced diet
accompanied by the intake of goat milk of goat. The aim of the current study is
to assess, in rats with experimentally induced nutritional iron deficiency
anemia, the effects of goat or cow milk-based diets, supplied during 30 days, on
the recovery of the anemia and the efficiency of regeneration of the hemoglobin.
MATERIAL AND METHODS: 40 male Wistar albino rats newly weaned were divided at
random in two experimental groups and they were fed ad libitum for 40 days with
AIN-93G diet, either with normal iron content (control group, 45 mg/kg diet), or
low iron content (anaemic group, 5 mg/kg diet). Samples of blood form the caudal
vein were collected for the hematologic control of the anemia. Later, both
experimental groups (control and iron deficient) were fed for 30 days with goat
or cow milk- based diets. After finishing the experimental period and previous
anesthesia the animals were withdrawn by canulation of the abdominal aorta, and
the obtained blood was gathered in tubes with EDTA as anticoagulant for the later
determination of hematologic parameters and the efficiency of regeneration of the
hemoglobin. RESULTS AND DISCUSSION: after the consumption of a diet with low iron
content during 40 days, the rats were anaemic, with a concentration of
hemoglobin, hematocrit, serum iron, mean corpuscular volume (MCV), serum ferritin
and low transferrin (p < 0.001), whereas the levels of platelets and the total
iron binding capacity (TIBC) were raised (p < 0.001), findings consistent with
the anemia induced experimentally in the animals. The efficiency of regeneration
of the hemoglobin was higher in control and anaemic rats fed goat milk-based diet
in comparison with those fed cow milk-based diet (p < 0.001) due to, partly, to
the major levels of serum iron and hemoglobin, and to the best nutritive
utilization of iron in the animals that consumed the goat milk-based diet thanks
to the excellent nutritional characteristics of this type of milk. CONCLUSION:
the consumption during 30 days of goat or cow milk-based diets favors the
recovery of the iron deficiency anemia, especially with the goat milk, due to the
major efficiency of regeneration of the hemoglobin, index that shows the quantity
of iron of the diet used for the synthesis of hemoglobin. Therefore, it would be
recommendable the consumption of goat milk in the context of a balanced diet in
healthy populations and, especially in those at risk of suffering iron
deficiency.
PMID- 26545556
TI - [ANALYSIS OF CARDIOVASCULAR RISK FACTORS IN YOUNG UNIVERSITY STUDENTS ACCORDING
TO THEIR NUTRITIONAL STATUS].
AB - BACKGROUND: obesity is one of the most serious not transmissible illnesses and
prevalent at present, it is considered a major risk factor for the cardiovascular
disease and is associated with the development of insulin resistance, intolerance
to the glucose, arterial hypertension and metabolic syndrome. OBJECTIVE: the
purpose of the study is to analyze the nutritional status in young university
students and its association with cardiovascular risk factors. PATIENTS AND
METHODS: there were evaluated 153 university students, 51 men and 102 women
between 17 and 33 years old. Anthropometric measurements were made by BMI and
waist circumference, systolic and diastolic pressure, cholesterol, HDL
cholesterol (HDL), LDL cholesterol (LDL), triglycerides and blood glucose.
RESULTS: the variables HDL cholesterol (p = 0.000) and systolic pressure (p =
0.043) showed significant differences in comparison by gender. The 35.29%
presented overweight or obesity. In the comparison by nutritional status; the
contour waist, systolic and diastolic pressure showed significant differences (p
< 0.05). To relate the state nutritional variables with the plasma and the blood
pressure, this presented association with cholesterol levels, LDL-cholesterol,
systolic and diastolic blood pressure (p < 0.05). The Contour waist presented
partnership with the pressure systolic and diastolic (p < 0.05). CONCLUSIONS:
there is a high prevalence of malnutrition in the sample of young university
students; in addition it is associated with cardiovascular risk factors, being
the obese students those who present major deterioration in all the evaluated
variables.
PMID- 26545557
TI - [CHRONIC KIDNEY DISEASE AND ITS RELATIONSHIP WITH INTAKE OF TURMERIC, CATECHINS,
PROANTHOCYANIDINS AND OMEGA-3].
AB - Chronic renal disease is characterized by decreased glomerular filtration rate
(GFR) < 60 ml/min/ 1.73m2 and/or the presence of kidney damage independent of the
cause for a period of 3 months or more. The treatment of more advanced stages of
chronic kidney disease is dialysis, and most common form of hemodialysis. This
treatment is costly in our country reaching USD 900 per person. The main cause of
admission to dialysis, diabetic nephropathy remains with 34% of all revenue. This
alone makes any improvement in the treatment of CKD is highly desirable. There is
evidence available about the fundamental role of turmeric, proanthocyanidins,
catechins and omega-3 on how these compounds are related to the response to
treatment of chronic kidney disease for various reasons.
PMID- 26545558
TI - PREVALENCE AND COSTS OF MALNUTRITION IN HOSPITALIZED DYSPHAGIC PATIENTS: A
SUBANALYSIS OF THE PREDYCES STUDY.
AB - INTRODUCTION: dysphagia and malnutrition are conditions that frequently appear
together in hospitalized patients. OBJECTIVES: the main purpose of this study was
to analyze the prevalence of malnutrition in patients with dysphagia included in
the PREDyCES study as well as to determine its clinical and economic
consequences. METHODS: this is a substudy of an observational, cross-sectional
study conducted in 31 sites all over Spain. RESULTS: 352 dysphagic patients were
included. 45.7% of patients presented with malnutrition (NRS-2002 >= 3) at
admission and 42.2% at discharge. In elderly patients (>= 70 years old)
prevalence of malnutrition was even higher: 54.6% at admission and 57.5% at
discharge. Also, prevalence of malnutrition was higher in urgent admissions
versus those scheduled (45.7% vs 33.3%; p < 0.05) and when admitted to small
hospitals vs. large hospitals (62.8% vs 43.9%; p < 0.001). In-hospital length of
stay was higher in malnourished patients compared to those well-nourished (11.5
+/- 7.1 days vs. 8.8 +/- 6.05 days; p < 0.001), and in malnourished patients a
tendency towards increase related-costs was also observed, even though it was not
statistically significant (8 004 +/- 5 854 ? vs. 6 967 +/- 5 630 ?; p = 0.11).
Length of stay was also higher in elderly patients (>= 70 y/o) vs adults (< 70
y/o). 25% of dysphagic patients and 34.6% of malnourished patients with dysphagia
received nutritional support during hospitalization. DISCUSSION: these results
confirm that in patients with dysphagia, malnutrition is a prevalent and under
recognized condition, that also relates to prolonged hospitalizations.
PMID- 26545559
TI - [TOTAL PARENTERAL NUTRITION IN A PREGNANT PATIENT WITH ACUTE PANCREATITIS AND
LIPOPROTEIN LIPASE DEFICIENCY].
AB - We present a case of severe acute pancreatitis induced by hypertriglyceridemia
secondary to lipoprotein lipase (LPL) deficiency in a pregnant patient with
gestational diabetes, initially maneged with diet but it was later necessary to
carry out artificial nutricional support measures: total parenteral nutrition.
LPL deficiency might cause severe hypertriglyceridemia, repetition acute
pancreatitis which is an unwieldy and severe situation during pregnancy. Acute
familial hypertriglyceridemia pancreatitis accounts for 5% of cases, including
LPL deficiency. The goal of treatment is to reach triglycerides levels below 500
mg/dl, being very low fat diet the treatment of choice, drugs or plasmapheresis
techniques can also be associated. TPN enriched in omega3 fatty acids and
glutamine was safe and effective in our patient with significant decrease in
triglyceride levels.
PMID- 26545560
TI - [IN MEMORIAM Victor Jimenez Torres].
PMID- 26545561
TI - Different disulfide bridge connectivity drives alternative folds in highly
homologous Brassicaceae trypsin inhibitors.
AB - Low-molecular-mass trypsin inhibitors from Arabidopsis thaliana, Brassica napus
var. oleifera, and Sinapis alba L. (ATTI, RTI, and MTI, respectively) display
more than 69% amino acid sequence identity. Among others, the amino acid sequence
Cys-Ala-Pro-Arg-Ile building up the inhibitor reactive site, and the eight Cys
residues forming four disulfide bridges are conserved. However, the disulfide
bridge connectivity of RTI and MTI (C1-C3, C2-C4, C5-C6, and C7-C8) is different
from that of ATTI Cys (C1-C8, C2-C5, C3-C6, and C4-C7). Despite the different
disulfide bridge connectivity, the reactive site loop of ATTI, RTI, and MTI is
solvent exposed permitting trypsin recognition. Structural considerations here
reported suggest that proteins showing high amino acid sequence identity and
common functional properties could display different three-dimensional
structures. This may reflect high inhibitor plasticity in relation to plant
pathogen interactions, plant tissue development as well as the different redox
potential of cell compartments.
PMID- 26545562
TI - Women with abnormal Pap smear result: a qualitative study of Swedish healthcare
professionals' experiences.
AB - A Papanicolaou (Pap) smear can be used to detect pre-cancerous cellular changes,
so that they can be treated before they develop into cervical cancer. When the
results of a Pap smear test are abnormal, women need further investigation,
treatment and follow-up. Healthcare professionals (HCPs) are in a position to
care for these women with abnormalities. The aim of this study was to explore the
experiences of HCPs in caring for women with abnormal Pap smear results. In
total, 20 HCPs from two counties in south-eastern Sweden participated in
individual interviews, based on two open-ended questions. Interviews were
recorded, transcribed verbatim and analysed using content analysis. The results
showed that HCPs experienced that abnormal Pap smear results created anxiety in
women, who often sought information from the Internet as a way to cope.
Furthermore, the HCPs thought that it was a problem that women chose not to
attend investigation, treatment and follow-ups. However, information about the
seriousness of abnormal Pap smear results causes women to participate. It is a
challenge for HCPs to inform in a reassuring manner. Finally, HCPs should
collaborate with women to meet their information needs and to also provide
support regarding finding and filtering reliable information on the Internet.
PMID- 26545563
TI - Up-regulated expression of PTEN after splenetomy may prevent the progression of
liver fibrosis in rats.
AB - BACKGROUND/PURPOSE: To investigate the mechanisms of delaying progression of
liver fibrosis by splenectomy. METHODS: Liver fibrosis was induced by common bile
duct ligation. Rats were divided into 3 groups randomly: group A with common bile
duct ligation and splenectomy (n = 45), group B with common bile duct ligation
and spleen sham operation (n = 45), group C with sham common bile duct ligation
and spleen sham operation (n = 45). Liver samples were collected at the 1st, 3rd
and 5th week. H&E staining and Sirius staining were used to evaluate the degree
of liver fibrosis, immunohistochemical staining was used to measure the
expression of alpha-SMA and PTEN. PTEN mRNA and protein expression was measured
by real-time PCR and Western-blot. RESULTS: Over time, liver fibrosis developed
gradually in group A and B. The expression of PTEN mRNA and protein in group A
was higher than that in group B (P < 0.05), while the expression of alpha-SMA was
higher in group B (P < 0.05). The expression of PTEN was negatively correlated
with alpha-SMA (r = -0.86, P < 0.05). CONCLUSIONS: In this study, splenectomy can
up-regulate the expression of PTEN and reduce the secretion of alpha-SMA, thereby
deterring the progression of liver fibrosis.
PMID- 26545564
TI - How do I interpret a p value?
AB - A p-value is a number between 0 and 1 that is extremely useful in interpreting
research results. Using comparison of the means of two samples as an example, a p
value <0.05 suggests that there is enough evidence to presume a real difference
between groups from which the samples were drawn (that the "null hypothesis" can
be rejected). We say that the difference between the means is statistically
significant. However, it isn't iron clad proof and there is still a chance that
there is really no difference. Furthermore, a statistically significant
difference may not be clinically significant if it is not enough to appreciably
affect patient outcomes. We describe the theory behind p-values and some common
errors in interpretation.
PMID- 26545565
TI - Clinical utility of rapid pathogen identification using matrix-assisted laser
desorption/ionization time-of-flight mass spectrometry in ventilated patients
with pneumonia: A pilot study.
AB - BACKGROUND AND OBJECTIVE: We evaluated the clinical utility of rapid
identification of microorganisms in bronchoalveolar lavage (BAL) fluid using
matrix-assisted laser desorption/ionization time-of-flight mass spectrometry
(MALDI-TOF MS) in terms of the clinical outcomes of ventilated patients with
pneumonia. METHODS: Patients for whom microorganisms were identified via MALDI
TOF MS (from March 2013 to February 2014; post-intervention group) were compared
with patients for whom microorganisms were identified using conventional methods
(from March 2012 to February 2013; pre-intervention group). All pneumonia types
(community-acquired, hospital-acquired, healthcare-associated and ventilator
associated pneumonia) were included in the analysis. RESULTS: In total, 77
patients (50 men, mean age 67.2 +/- 12.5 years) were included (40 patients in the
pre-intervention group and 37 in the post-intervention group). The time from BAL
fluid collection to microorganism identification and the availability of
antimicrobial susceptibility results was shorter in the post- compared with the
pre-intervention group (51.9 +/- 11.3 vs 67.3 +/- 17.4 h, P < 0.001). Also, the
time from BAL fluid collection to adjustment of antibiotic therapy was shorter in
the post-intervention group (56.5 +/- 10.9 vs 73.2 +/- 18.5 h, P < 0.001).
Microorganism identification via MALDI-TOF MS was independently associated with a
shorter intensive care unit (ICU) stay after BAL fluid was drawn (hazard ratio =
2.324, P = 0.007). CONCLUSION: Rapid identification of microorganisms in BAL
fluid via MALDI-TOF MS was associated with adjustment of antibiotic therapy and a
shorter ICU stay after BAL fluid was collected from ventilated patients with
pneumonia.
PMID- 26545566
TI - Designed Synthesis of van der Waals Heterostructures: The Power of Kinetic
Control.
AB - Selecting specific 2D building blocks and specific layering sequences of van der
Waals heterostructures should allow the formation of new materials with designed
properties for specific applications. Unfortunately, the synthetic ability to
prepare such structures at will, especially in a manner that can be manufactured,
does not exist. Herein, we report the targeted synthesis of new metal
semiconductor heterostructures using the modulated elemental-reactant technique
to nucleate specific 2D building blocks, control their thickness, and avoid
epitaxial structures with long-range order. The building blocks, VSe2 and GeSe2 ,
have different crystal structures, which inhibits cation intermixing. The precise
control of this approach enabled us to synthesize heterostructures containing
GeSe2 monolayers alternating with VSe2 structural units with specific sequences.
The transport properties systematically change with nanoarchitecture and a charge
density wave-like transition is observed.
PMID- 26545567
TI - A benzenediamine derivative fc-99 attenuates lupus-like syndrome in MRL/lpr mice
related to suppression of pDC activation.
AB - Systemic lupus erythematosus (SLE) is an autoimmune disease with prominent
chronic inflammatory aspects. Plasmacytoid dendritic cells (pDCs), which are the
principal interferon-alpha (IFN-alpha)-producing cells, have known to be
critically involved in SLE pathogenesis. Our previous research demonstrated that
a benzenediamine derivative FC-99 possessed anti-inflammatory activities.
However, the effects of FC-99 on SLE have not been investigated to date. In this
study, we found that FC-99 attenuated lupus-like pathological symptoms and lupus
nephritis as well as the expression of pro-inflammatory cytokines in kidneys of
MRL/lpr mice. FC-99 also decreased both the total IgM, total IgG and anti-dsDNA
IgG levels in sera and the activation of B cells in the PBMCs and spleens of
MRL/lpr mice. Moreover, FC-99 inhibited the abnormal activation and number of
pDCs from PBMCs and spleens and levels of IFN-alpha in MRL/lpr mice. Notably, FC
99 significantly suppressed the expression of IFN-inducible genes in peripheral
blood mononuclear cells (PBMCs) and spleens from MRL/lpr mice. As expected, in
vitro experiments demonstrated that FC-99 decreased both the activation and IFN
alpha production of pDCs and inhibited IRAK4 phosphorylation in pDCs upon TLR7
and TLR9 stimulation. We further confirm that the inhibition of FC-99 on B cell
activation depended on level of pDCs-secreting IFN-alpha. These data indicate
that FC-99 attenuated lupus-like syndrome in MRL/lpr mice related to suppression
of pDC activation, especially pDCs-secreting IFN-alpha. This study suggests that
FC-99 may be a potential therapeutic candidate for the treatment of SLE.
PMID- 26545568
TI - Evaluation of T, B and natural killer lymphocyte in the cervical stroma of HIV
positive and negative patients with cervical intraepithelial neoplasia.
AB - Cervical intraepithelial neoplasias (CIN) are closely associated with oncogenic
subtypes of the human papillomavirus (HPV). In the presence of this virus, it is
known that the activation or suppression of immune system is the key to the
development, progression and/or regression of cervical lesions. Therefore, the
objective of this study is to compare the local immune response among HIV
seropositive and seronegative patients with cervical intraepithelial neoplasia
regarding the expression of T lymphocytes (CD3+, CD4+ and CD8+), B lymphocytes
(CD20+) and natural killers cells (CD56+) in the cervical stroma. A cross
sectional study of paraffin blocks containing cervical tissue after conization by
the Loop Electrosurgical Excision Procedure (LEEP) from 47 HIV-seropositive and
38 seronegative patients with CIN. Cervical stroma immunohistochemistry was
performed in the CIN area. The Fisher's exact test was used for the statistical
analysis. When HIV-seropositive and seronegative women were compared, the
seropositive women had a higher count of CD8+ T lymphocytes (52.1% versus 28.9%,
P<0.04). Considering CIN degree (CIN 1 and CIN 2/3), the HIV-seronegative
patients with CIN 1 had a low count of CD20+B-lymphocytes (7.1%) in comparison
with CIN 1 HIV seropositive and with CIN 2/3 HIV-seronegative patients,
respectively 50% (P<0.018) and 54.5% (P<0.0048). The HIV infection and degree of
CIN influenced the cytotoxic lymphocytes inducing an increase in the number of
cells high count of CD20+ lymphocytes with CIN 1.
PMID- 26545569
TI - Words of Wisdom. Re: Androgen Deprivation Therapy plus Docetaxel and Estramustine
Versus Androgen Deprivation Therapy Alone for High-risk Localised Prostate Cancer
(GETUG 12): A Phase 3 Randomised Controlled Trial.
PMID- 26545570
TI - Words of Wisdom. Re: Predicting Life Expectancy in Men Diagnosed with Prostate
Cancer.
PMID- 26545571
TI - Words of Wisdom. Re: The Relationship Between Irritable Bowel Syndrome,
Functional Dyspepsia, Chronic Fatigue and Overactive Bladder Syndrome: A
Controlled Study 6 Years After Acute Gastrointestinal Infection.
PMID- 26545572
TI - Words of Wisdom. Re: Risk of Damage to the Somatic Innervation of the Penis
during the AdVanceTM Procedure: An Anatomical Study.
PMID- 26545573
TI - Words of Wisdom. Re: X-Linked TEX11 Mutations, Meiotic Arrest, and Azoospermia in
Infertile Men.
PMID- 26545574
TI - Words of Wisdom. RE: Use of Phosphodiesterase Type 5 Inhibitors for Erectile
Dysfunction and Risk of Malignant Melanoma.
PMID- 26545575
TI - Primary cutaneous extranodal marginal zone B-cell lymphoma of the eyelid skin:
Diagnostic clues and distinction from other ocular adnexal diseases.
AB - A 60-year-old man developed a rubbery thickening and erythema of his left lateral
upper and lower eyelids and lateral canthus over several months. He was treated
for an extended period of time for blepharitis and chalazia. Incisional biopsy
eventually disclosed microscopically a hypercellular lymphoid population sparing
the epidermis that surrounded adnexal structures and infiltrated between
orbicularis muscle fibers. Immunohistochemically, the lesion was found to be
composed of neoplastic, kappa-restricted B cells with an equal number of reactive
T cells and small reactive follicles. The diagnosis was a primary cutaneous
extranodal marginal zone B-cell lymphoma of the eyelid skin (EMZL). We review the
distinguishing clinical, histopathologic, and immunohistochemical features of
cutaneous EMZL and contrast those with EMZL of other ocular adnexal sites. Also
offered is a differential diagnosis of cutaneous lymphomas of the eyelid skin,
which are predominately T-cell lesions.
PMID- 26545576
TI - A major trauma course based on posters, audio-guides and simulation improves the
management skills of medical students: Evaluation via medical simulator.
AB - BACKGROUND: Medical competence requires the acquisition of theoretical knowledge
and technical skills. Severe trauma management teaching is poorly developed
during internship. Nevertheless, the basics of major trauma management should be
acquired by every future physician. For this reason, the major trauma course
(MTC), an educational course in major traumatology, has been developed for
medical students. Our objective was to evaluate, via a high fidelity medical
simulator, the impact of the MTC on medical student skills concerning major
trauma management. METHODS: The MTC contains 3 teaching modalities: posters with
associated audio-guides, a procedural workshop on airway management and a
teaching session using a medical simulator. Skills evaluation was performed 1
month before (step 1) and 1 month after (step 3) the MTC (step 2). Nineteen
students were individually evaluated on 2 different major trauma scenarios. The
primary endpoint was the difference between steps 1 and 3, in a combined score
evaluating: admission, equipment, monitoring and safety (skill set 1) and
systematic clinical examinations (skill set 2). RESULTS: After the course, the
combined primary outcome score improved by 47% (P<0.01). Scenario choice or the
order of use had no significant influence on the skill set evaluations.
CONCLUSION: This study shows improvement in student skills for major trauma
management, which we attribute mainly to the major trauma course developed in our
institution.
PMID- 26545577
TI - alpha-Defensin Accuracy to Diagnose Periprosthetic Joint Infection-Best Available
Test?
AB - BACKGROUND: The purpose of this study was to test the accuracy of a single
synovial fluid biomarker, alpha-defensin, in diagnosing periprosthetic joint
infection in revision total hip and revision total knee arthroplasty. METHODS: A
total of 102 patients comprising 116 revision total hip arthroplasty and revision
total knee arthroplasty procedures performed between May 2013 and March 2014 were
prospectively evaluated. Cases were categorized as infected or notinfected using
Musculoskeletal Infection Society criteria. Synovial fluid was obtained and
tested for alpha-defensin using a commercially available kit (Synovasure [CD
Diagnostics, Baltimore, Maryland]). RESULTS: For first-stage and single-stage
revisions, the alpha-defensin test had a sensitivity of 100% (95% confidence
interval [CI], 86%-100%) and a specificity of 98% (95% CI, 90%-100%) with a
positive predictive value of 96% (95% CI, 80%-99%) and negative predictive value
of 100% (95% CI, 93%-100%). CONCLUSION: A positive alpha-defensin test result was
significantly more sensitive and specific for predicting infection than current
diagnostic testing and should be considered when managing periprosthetic joint
infection. LEVEL OF EVIDENCE: Level III, Study of Diagnostic Test.
PMID- 26545578
TI - Multi-Level Light Capture Control in Plants and Green Algae.
AB - Life on Earth relies on photosynthesis, and the ongoing depletion of fossil
carbon fuels has renewed interest in phototrophic light-energy conversion
processes as a blueprint for the conversion of atmospheric CO2 into various
organic compounds. Light-harvesting systems have evolved in plants and green
algae, which are adapted to the light intensity and spectral composition
encountered in their habitats. These organisms are constantly challenged by a
fluctuating light supply and other environmental cues affecting photosynthetic
performance. Excess light can be especially harmful, but plants and microalgae
are equipped with different acclimation mechanisms to control the processing of
sunlight absorbed at both photosystems. We summarize the current knowledge and
discuss the potential for optimization of phototrophic light-energy conversion.
PMID- 26545579
TI - The Relative Value Unit: History, Current Use, and Controversies.
AB - The relative value unit (RVU) is an important measuring tool for the work
performed by physicians, and is currently used in the United States to calculate
physician reimbursement. An understanding of radiology RVUs and current
procedural terminology codes is important for radiologists, trainees, radiology
managers, and administrators, as this knowledge would help them to understand
better their current productivity and reimbursement, as well as controversies
regarding reimbursement, and permit them to adapt to reimbursement changes that
may occur in the future. This article reviews the components of the RVU and how
radiology payment is calculated, highlights trends in RVUs and resultant payment
for diagnostic and therapeutic imaging and examinations, and discusses current
issues involving RVU and current procedural terminology codes.
PMID- 26545580
TI - Combined immunodeficiency due to JAK3 mutation in a child presenting with skin
granuloma.
PMID- 26545581
TI - Development and characterization of microsatellite loci for common raven (Corvus
corax) and cross species amplification in other Corvidae.
AB - BACKGROUND: A priority for conservation is the identification of endemic
populations. We developed microsatellite markers for common raven (Corvus corax),
a bird species with a Holarctic distribution, to identify and assess endemic
populations in Alaska. RESULTS: From a total of 50 microsatellite loci, we
isolated and characterized 15 loci. Eight of these loci were polymorphic and
readily scoreable. Eighteen to 20 common ravens from Fairbanks, Alaska were
genotyped showing the following variability: 3-8 alleles per locus, 0.25-0.80
observed heterozygosity (Ho), and 0.30-0.80 expected heterozygosity (He). All
loci were in Hardy-Weinberg equilibrium and linkage equilibrium and many loci
amplified and were polymorphic in related taxa. CONCLUSIONS: These loci will be
used to identify endemic populations of common raven and assess their genetic
diversity and connectivity.
PMID- 26545582
TI - Mixed method evaluation of a community-based physical activity program using the
RE-AIM framework: practical application in a real-world setting.
AB - BACKGROUND: Communities are a pivotal setting in which to promote increases in
child and adolescent physical activity behaviours. Interventions implemented in
these settings require effective evaluation to facilitate translation of findings
to wider settings. The aims of this paper are to i) present findings from a RE
AIM evaluation of a community-based physical activity program, and ii) review the
methodological challenges faced when applying RE-AIM in practice. METHODS: A
single mixed-methods case study was conducted based on a concurrent triangulation
design. Five sources of data were collected via interviews, questionnaires,
archival records, documentation and field notes. Evidence was triangulated within
RE-AIM to assess individual and organisational-level program outcomes. RESULTS:
Inconsistent availability of data and a lack of robust reporting challenged
assessment of all five dimensions. Reach, Implementation and setting-level
Adoption were less successful, Effectiveness and Maintenance at an individual and
organisational level were moderately successful. Only community-level Adoption
was highly successful, reflecting the key program goal to provide community-wide
participation in sport and physical activity. CONCLUSIONS: This research
highlighted important methodological constraints associated with the use of RE
AIM in practice settings. Future evaluators wishing to use RE-AIM may benefit
from a mixed-method triangulation approach to offset challenges with data
availability and reliability.
PMID- 26545584
TI - Automatic detection of abnormalities in mammograms.
AB - BACKGROUND: In recent years, an increased interest has been seen in the area of
medical image processing and, as a consequence, Computer Aided Diagnostic (CAD)
systems. The basic purpose of CAD systems is to assist doctors in the process of
diagnosis. CAD systems, however, are quite expensive, especially, in most of the
developing countries. Our focus is on developing a low-cost CAD system. Today,
most of the CAD systems regarding mammogram classification target automatic
detection of calcification and abnormal mass. Calcification normally indicates an
early symptom of breast cancer if it appears as a small size bright spot in a
mammogram image. METHODS: Based on the observation that calcification appears as
small bright spots on a mammogram image, we propose a new scale-specific blob
detection technique in which the scale is selected through supervised learning.
By computing energy for each pixel at two different scales, a new feature "Ratio
Energy" is introduced for efficient blob detection. Due to the imposed simplicity
of the feature and post processing, the running time of our algorithm is linear
with respect to image size. RESULTS: Two major types of calcification,
microcalcification and macrocalcification have been identified and highlighted by
drawing a circular boundary outside the area that contains calcification. Results
are quite visible and satisfactory, and the radiologists can easily view results
through the final detected boundary. CONCLUSIONS: CAD systems are designed to
help radiologists in verifying their diagnostics. A new way of identifying
calcification is proposed based on the property that microcalcification is small
in size and appears in clusters. Results are quite visible and encouraging, and
can assist radiologists in early detection of breast cancer.
PMID- 26545583
TI - MicroRNA-363 targets myosin 1B to reduce cellular migration in head and neck
cancer.
AB - BACKGROUND: Squamous cell carcinoma of the head and neck (SCCHN) remains a
prevalent and devastating disease. Recently, there has been an increase in SCCHN
cases that are associated with high-risk human papillomavirus (HPV) infection.
The clinical characteristics of HPV-positive and HPV-negative SCCHN are known to
be different but their molecular features are only recently beginning to emerge.
MicroRNAs (miRNAs, miRs) are small, non-coding RNAs that are likely to play
significant roles in cancer initiation and progression where they may act as
oncogenes or tumor suppressors. Previous studies in our laboratory showed that
miR-363 is overexpressed in HPV-positive compared to HPV-negative SCCHN cell
lines, and the HPV type 16-E6 oncoprotein upregulates miR-363 in SCCHN cell
lines. However, the functional role of miR-363 in SCCHN in the context of HPV
infection remains to be elucidated. METHODS: We analyzed miR-363 levels in SCCHN
tumors with known HPV-status from The Cancer Genome Atlas (TCGA) and an
independent cohort from our institution. Cell migration studies were conducted
following the overexpression of miR-363 in HPV-negative cell lines. Bioinformatic
tools and a luciferase reporter assay were utilized to confirm that miR-363
targets the 3'-UTR of myosin 1B (MYO1B). MYO1B mRNA and protein expression levels
were evaluated following miR-363 overexpression in HPV-negative SCCHN cell lines.
Small interfering RNA (siRNA) knockdown of MYO1B was performed to assess the
phenotypic implication of reduced MYO1B expression in SCCHN cell lines. RESULTS:
MiR-363 was found to be overexpressed in HPV-16-positive compared to the HPV
negative SCCHN tumors. Luciferase reporter assays performed in HPV-negative
JHU028 cells confirmed that miR-363 targets one of its two potential binding
sites in the 3'UTR of MYO1B. MYO1B mRNA and protein levels were reduced upon miR
363 overexpression in four HPV-negative SCCHN cell lines. Increased miR-363
expression or siRNA knockdown of MYO1B expression reduced Transwell migration of
SCCHN cell lines, indicating that the miR-363-induced migration attenuation of
SCCHN cells may act through MYO1B downregulation. CONCLUSIONS: These findings
demonstrate that the overexpression of miR-363 reduces cellular migration in head
and neck cancer and reveal the biological relationship between miR-363, myosin
1b, and HPV-positive SCCHN.
PMID- 26545585
TI - Cost-effectiveness of using the Cervex-Brush (broom) compared to the elongated
spatula for collection of conventional cervical cytology samples within a high
burden HIV setting: a model-based analysis.
AB - BACKGROUND: From 2010 to 2014, approximately 2 million Pap smears from HIV
infected women were submitted to the South African National Health Laboratory
Services (NHLS) through the national cervical cancer screening programme. The
objective of this analysis was to determine whether using the plastic Cervex
brush ("broom") would be a cost-effective approach to improve cytology specimen
quality as compared to the wooden spatula used currently. METHODS: A decision
analysis model was built using the expected adequacy rates for samples collected
with the spatula (<$0.02) and broom ($0.23) and the probability of detecting
cervical dysplasia. NHLS data was used for testing volumes and rates of HIV
positivity, suitability of specimens, and presence of endocervical cells.
Expected positivity of Pap smears in HIV-infected women (73 %), odds ratios of
the effectiveness of the broom (OR: 1.57), and improved sensitivity when
endocervical cells present (OR: 1.89) are from literature. NHLS costs were used
for the collection devices and conventional cytology ($4.89). Cost of clinic
visit is from WHO CHOICE ($8.36). RESULTS: In 2010, 80 % of specimens submitted
to NHLS were adequate for evaluation; in 2014, only 54 % met the same criteria.
For HIV-infected women, according to the guidelines model, using the wooden
spatula costs $6.25 million per year, $16.79 per woman tested. Under intended
practice, for each additional HSIL case detected among HIV-infected women, the
South African cervical cancer screening programme could save $13.64 (95 % CI:
$13.52 to $13.76) by using the broom as its standard of care collection device
through increased collection of endocervical cells and consequent reduction in
repeat Pap smears. CONCLUSION: Under a wide range of parameters tested using a
simulation model, the more expensive plastic broom could save the South African
cervical cancer screening programme money and increase detection of high-grade
cervical dysplasia in HIV-infected women compared to the current wooden spatula.
PMID- 26545586
TI - Identification and characterization of a new type of inhibitor against the human
immunodeficiency virus type-1 nucleocapsid protein.
AB - BACKGROUND: The human immunodeficiency virus type-1 (HIV-1) nucleocapsid protein
(NC) is an essential and multifunctional protein involved in multiple stages of
the viral life cycle such as reverse transcription, integration of proviral DNA,
and especially genome RNA packaging. For this reason, it has been considered as
an attractive target for the development of new anti-HIV drugs. Although a number
of inhibitors of NC have been reported thus far, the search for NC-specific and
functional inhibitor(s) with a good antiviral activity continues. RESULTS: In
this study, we report the identification of A1752, a small molecule with
inhibitory action against HIV-1 NC, which shows a strong antiviral efficacy and
an IC50 around 1 MUM. A1752 binds directly to HIV-1 NC, thereby inhibiting
specific chaperone functions of NC including Psi RNA dimerization and
complementary trans-activation response element (cTAR) DNA destabilization, and
it also disrupts the proper Gag processing. Further analysis of the mechanisms of
action of A1752 also showed that it generates noninfectious viral particles with
defects in uncoating and reverse transcription in the infected cells.
CONCLUSIONS: These results demonstrate that A1752 is a specific and functional
inhibitor of NC with a novel mode of action and good antiviral efficacy. Thus,
this agent provides a new type of anti-HIV NC inhibitor candidate for further
drug development.
PMID- 26545587
TI - Transcriptome-wide analysis supports environmental adaptations of two Pinus
pinaster populations from contrasting habitats.
AB - BACKGROUND: Maritime pine (Pinus pinaster Aiton) grows in a range of different
climates in the southwestern Mediterranean region and the existence of a variety
of latitudinal ecotypes or provenances is well established. In this study, we
have conducted a deep analysis of the transcriptome in needles from two P.
pinaster provenances, Leiria (Portugal) and Tamrabta (Morocco), which were grown
in northern Spain under the same conditions. RESULTS: An oligonucleotide
microarray (PINARRAY3) and RNA-Seq were used for whole-transcriptome analyses,
and we found that 90.95% of the data were concordant between the two platforms.
Furthermore, the two methods identified very similar percentages of
differentially expressed genes with values of 5.5% for PINARRAY3 and 5.7% for RNA
Seq. In total, 6,023 transcripts were shared and 88 differentially expressed
genes overlapped in the two platforms. Among the differentially expressed genes,
all transport related genes except aquaporins were expressed at higher levels in
Tamrabta than in Leiria. In contrast, genes involved in secondary metabolism were
expressed at higher levels in Tamrabta, and photosynthesis-related genes were
expressed more highly in Leiria. The genes involved in light sensing in plants
were well represented in the differentially expressed groups of genes. In
addition, increased levels of hormones such as abscisic acid, gibberellins,
jasmonic and salicylic acid were observed in Leiria. CONCLUSIONS: Both
transcriptome platforms have proven to be useful resources, showing complementary
and reliable results. The results presented here highlight the different
abilities of the two maritime pine populations to sense environmental conditions
and reveal one type of regulation that can be ascribed to different genetic and
epigenetic backgrounds.
PMID- 26545588
TI - Stillbirth and congenital anomalies in migrants in Europe.
AB - The risk of giving birth to a stillborn child or a child with severe congenital
anomaly is higher for women who have immigrated to Europe as compared to the
majority population in the receiving country. The literature, however, reveals
great differences between migrant groups, even within migrants from low-income
countries, although there is no clear pattern regarding refugee or non-refugee
status. This heterogeneity argues against a particular migration-related
explanation. There are social disparities in stillbirth risk worldwide, and it
has been suggested that the demonstrated ethnic disparity is a result of the
socioeconomic disadvantage most migrants face. Consanguinity has been considered
as another cause for the increased stillbirth risk and the high risk of
congenital anomaly observed in many migrant groups. Utilization and quality of
care during pregnancy and childbirth is the third major aspect. All three factors
seem to contribute to stillbirth risk, and they should be considered in clinical
practice and public health.
PMID- 26545589
TI - Patient-centered outcomes research in appendicitis in children: Bridging the
knowledge gap.
AB - PURPOSE: Patient-centered outcomes research (PCOR) aims to give patients a better
understanding of the treatment options to enable optimal decision-making. As
nonoperative alternatives are now being evaluated in children for acute
appendicitis, we surveyed patients and their families regarding their knowledge
of appendicitis and evaluated whether providing basic medical information would
affect their perception of the disease and allow them to more rationally consider
the treatment alternatives. METHODS: Families of children aged 5-18 presenting to
the Emergency Department with suspected appendicitis were recruited for a tablet
based interactive educational survey. One hundred subjects (caregivers and
patients >= 15 years) were questioned before and after an education session about
their understanding of appendicitis, including questions on three hypothetical
treatment options: urgent appendectomy, antibiotics alone, or initial antibiotics
followed by elective appendectomy. Subjects were clearly informed that urgent
appendectomy is currently the standard of care. RESULTS: Only 14% of respondents
correctly identified the mortality rate of appendicitis (17 deaths/year according
to the 2010 US census) when compared with other extremely rare causes of death.
Fifty-four and 31% thought it was more common than death from lightning (40/year)
and hunting-associated deaths (44/year), respectively. Eighty-two percent of
respondents believed it "likely" or "very likely" that the appendix would rupture
if operation was at all delayed, and 81% believed that rupture of the appendix
would rapidly lead to severe complications and death. In univariate analysis,
this perception was significantly more prevalent for mothers (odds ratio, (OR)
5.19, confidence interval (CI) 1.33-21.15), and subjects who knew at least one
friend or relative who had a negative experience with appendicitis (OR 5.53, CI
1.40-25.47). Following education, these perceptions changed significantly (53%
still believed that immediate operation was necessary, and 47% believed
perforation led to great morbidity and potential mortality, P<0.001). In a survey
of potential appendicitis treatment options, urgent appendectomy was considered a
"good" or "very good" option by 74% of subjects, compared with 68% for
antibiotics only without appendectomy and 49% for initial antibiotic therapy
followed by elective outpatient appendectomy. CONCLUSION: There was a striking
knowledge gap in the participant perception of appendicitis. Appropriate
education can correct anecdotally supported misconceptions. Adequate education
may empower patients to make better-informed decisions about their medical care
and may be important for future studies in alternative treatments for
appendicitis in children.
PMID- 26545590
TI - Intermittent bout exercise training down-regulates age-associated inflammation in
skeletal muscles.
AB - Aging is characterized by the progressive decline in mass and function of the
skeletal muscle along with increased susceptibility to inflammation, oxidative
stress, and atrophy. In this study, we investigate the effect of intermittent
bout and single bout exercise training on inflammatory molecules in young (3
months) and old (22 months) male Sprague-Dawley rats. The rats were divided into
6 groups. Young and old rats were randomly assigned for control and two exercise
training groups, single bout (S type): 30 min/day, 5 days/week for 6 weeks and
intermittent bout (I type): three times for 10 min/day, 5 days/week for 6 weeks
respectively. The exercise training was carried out by a treadmill at a speed of
15m/min (young) or 10 m/min (old) with a slope of 5 degrees . After 48 h of the
final exercise bout, muscle samples were collected for biochemical assay. I type
exercise training reduced the serum levels of inflammatory molecules such as
interleukin-1beta (IL-1beta), tumor necrosis factor-alpha (TNF-alpha),
interleukin-6 (IL-6), and malondialdehyde (MDA) in old rats. By contrast,
interleukin-4 (IL-4) and superoxide dismutase (SOD) were elevated. Consequently
in skeletal muscles, inducible nitric oxide synthase (iNOS) and cyclooxygenase-2
(COX-2) were decreased significantly in the old group of I type. However, the
matrix metalloproteinase-2 (MMP-2) level had no positive effects. Also,
phosphorylation of mammalian target of rapamycin (p-mTOR) and myogenic
differentiation (MyoD) were increased markedly in S and I types of old rats.
These results suggest that I type exercise training appears more effective to
reduce age-associated inflammatory molecules, and may recommend in regulating
against chronic complicated disease induced by aging.
PMID- 26545591
TI - [Aborted sudden death in a cocaine consumer].
PMID- 26545592
TI - Phylogeny of the Ampelocissus-Vitis clade in Vitaceae supports the New World
origin of the grape genus.
AB - The grapes and the close allies in Vitaceae are of great agronomic and economic
importance. Our previous studies showed that the grape genus Vitis was closely
related to three tropical genera, which formed the Ampelocissus-Vitis clade
(including Vitis, Ampelocissus, Nothocissus and Pterisanthes). Yet the
phylogenetic relationships of the four genera within this clade remain poorly
resolved. Furthermore, the geographic origin of Vitis is still controversial,
because the sampling of the close relatives of Vitis was too limited in the
previous studies. This study reconstructs the phylogenetic relationships within
the clade, and hypothesizes the origin of Vitis in a broader phylogenetic
framework, using five plastid and two nuclear markers. The Ampelocissus-Vitis
clade is supported to be composed of five main lineages. Vitis includes two
described subgenera each as a monophyletic group. Ampelocissus is paraphyletic.
The New World Ampelocissus does not form a clade and shows a complex phylogenetic
relationship, with A. acapulcensis and A. javalensis forming a clade, and A.
erdvendbergiana sister to Vitis. The majority of the Asian Ampelocissus species
form a clade, within which Pterisanthes is nested. Pterisanthes is polyphyletic,
suggesting that the lamellate inflorescence characteristic of the genus
represents convergence. Nothocissus is sister to the clade of Asian Ampelocissus
and Pterisanthes. The African Ampelocissus forms a clade with several Asian
species. Based on the Bayesian dating and both the RASP and Lagrange analyses,
Vitis is inferred to have originated in the New World during the late Eocene
(39.4Ma, 95% HPD: 32.6-48.6Ma), then migrated to Eurasia in the late Eocene
(37.3Ma, 95% HPD: 30.9-45.1Ma). The North Atlantic land bridges (NALB) are
hypothesized to be the most plausible route for the Vitis migration from the New
World to Eurasia, while intercontinental long distance dispersal (LDD) cannot be
eliminated as a likely mechanism.
PMID- 26545593
TI - Body size perception and ideal body size in overweight and obese young adult
women.
AB - PURPOSE: The purpose of this study was to examine the differences among actual
body size, perceived body size, and ideal body size in overweight and obese young
adult women. METHODS: Actual body size was assessed by body mass index (BMI),
while self-perceived and ideal body sizes were assessed by the Body image
assessment tool-body dimension. Descriptive statistics were calculated and
analysis of variance (ANOVA) was performed on actual BMI as a function of
perceived BMI. RESULTS: Of the 42 participants included in the study, 12 were
overweight (25 <= BMI < 30), 18 were obese 1 (30 <= BMI < 35), and 12 were obese
2 (35 <= BMI <= 39.48). The mean ideal body size of participants was 25.34 +/-
1.33. Participants in general perceived their body size (BMI: 35.82 +/- 1.06) to
be higher than their actual body size (32.84 +/- 0.95). Overweight participants
had a significantly higher mean body size misperception than obese 2 individuals
(u dif = -6.68, p < .001). CONCLUSION: Perception accuracy of body size differs
in women by BMI. Weight loss programs need to be tailored to consider body size
misperception in order to improve treatment outcomes for overweight and obese
young women.
PMID- 26545594
TI - My mother told me: the roles of maternal messages, body image, and disordered
eating in maladaptive exercise.
AB - PURPOSE: The current study examined the relevance of familial environment
(negative maternal messages) to the phenomenon of maladaptive (obligatory)
exercise, defined as exercise fixation. Weight/shape concerns and exercise
frequency were examined as potential mediators, evaluated both with and without
eating disorder symptoms as a covariate. METHOD: Self-report data comprising
sociodemographic details and measures of parental weight messages, body image,
obligatory exercise, and disordered eating symptoms were completed by 298 young
female attendees of health and fitness centres. RESULTS: The frequency of
negative maternal messages demonstrated significant associations with all of
weight/shape concerns, exercise frequency, exercise fixation, and eating disorder
symptoms. In the initial model, partial mediation of maternal messages to
exercise fixation was evident as negative maternal messages continued to have a
direct effect on exercise fixation. In the second model, with the inclusion of
eating disorder symptoms as a covariate, this direct effect was maintained while
mediation was no longer evident. CONCLUSIONS: The data provide further support
for the association between disordered eating symptoms and maladaptive exercise,
as defined by exercise fixation. Nevertheless, the importance of negative
maternal messages as a key environmental enabler of exercise fixation has been
demonstrated, even after the effects of weight/shape concerns and exercise
frequency were accounted for. Clinically, addressing weight-related talk in the
family home may reduce the incidence of problematic cognitions and behaviours
associated with both maladaptive exercise and disordered eating symptoms.
PMID- 26545596
TI - [Report on the 2015 meeting of the working group on hematopathology in
Frankfurt].
PMID- 26545595
TI - The use of a numerical model to simulate the cavo-pulmonary assistance in Fontan
circulation: a preliminary verification.
AB - The lack of an established experience on the use of VAD for the cavo-pulmonary
assistance leads to the need of dedicated VADs development and animal
experiments. A dedicated numerical model could support clinical and experimental
strategies design and new VADs testing. The aim of this work is to perform a
preliminary verification of a lumped parameter model of the cardiovascular system
to simulate Fontan physiology and the effect of cavo-pulmonary assistance.
Literature data of 4 pigs were used to simulate animals' baseline, and then the
model was tested in simulating Fontan circulation and cavo-pulmonary-assisted
condition comparing the simulation outcome (Sim) with measured literature data
(Me). The results show that the numerical model can well reproduce experimental
data in all three conditions (baseline, Fontan and assisted Fontan) [cardiac
output (l/min): Me = 2.8 +/- 1.7, Sim = 2.8 +/- 1.8; ejection fraction (%): Me =
57 +/- 17, Sim = 54 +/- 17; arterial systemic pressure (mmHg): Me = 41.8 +/-
18.6, Sim = 43.8 +/- 18.1; pulmonary arterial pressure (mmHg): Me = 15.4 +/- 8.9,
Sim = 17.7 +/- 9.9; caval pressure (mmHg): Me = 6.8 +/- 4.1, Sim = 7 +/- 4.6].
Systolic elastance, arterial systemic and arterial pulmonary resistances increase
(10, 69, and 100 %) passing from the biventricular circulation to the Fontan
physiology and then decrease (21, 39, and 50 %) once the VAD was implanted. The
ventricular external work decreases (71 %) passing from the biventricular
circulation to the Fontan physiology and it increases three times after the VAD
implantation in parallel with the VAD power consumption. A numerical model could
support clinicians in an innovative and challenging field as the use of VAD to
assist the Fontan physiology and it could be helpful to personalize the VAD
insertion on the base of ventricular systo-diastolic function, circulatory
parameters and energetic variables.
PMID- 26545597
TI - [Laudation for Prof. Dr. Dr. h.c. Manfred Dietel: Awarding of the Rudolf Virchow
Medal 2015 of the German Society of Pathology].
PMID- 26545599
TI - The interaction of two-spotted spider mites, Tetranychus urticae Koch, with Cry
protein production and predation by Amblyseius andersoni (Chant) in Cry1Ac/Cry2Ab
cotton and Cry1F maize.
AB - Crops producing insecticidal crystal (Cry) proteins from the bacterium, Bacillus
thuringiensis (Bt), are an important tool for managing lepidopteran pests on
cotton and maize. However, the effects of these Bt crops on non-target organisms,
especially natural enemies that provide biological control services, are required
to be addressed in an environmental risk assessment. Amblyseius andersoni (Acari:
Phytoseiidae) is a cosmopolitan predator of the two-spotted spider mite,
Tetranychus urticae (Acari: Tetranychidae), a significant pest of cotton and
maize. Tri-trophic studies were conducted to assess the potential effects of
Cry1Ac/Cry2Ab cotton and Cry1F maize on life history parameters (survival rate,
development time, fecundity and egg hatching rate) of A. andersoni. We confirmed
that these Bt crops have no effects on the biology of T. urticae and, in turn,
that there were no differences in any of the life history parameters of A.
andersoni when it fed on T. urticae feeding on Cry1Ac/Cry2Ab or non-Bt cotton and
Cry1F or non-Bt maize. Use of a susceptible insect assay demonstrated that T.
urticae contained biologically active Cry proteins. Cry proteins concentrations
declined greatly as they moved from plants to herbivores to predators and protein
concentration did not appear to be related to mite density. Free-choice
experiments revealed that A. andersoni had no preference for Cry1Ac/Cry2Ab cotton
or Cry1F maize-reared T. urticae compared with those reared on non-Bt cotton or
maize. Collectively these results provide strong evidence that these crops can
complement other integrated pest management tactics including biological control.
PMID- 26545600
TI - Perceptions of Secondhand and Thirdhand Smoke Among Hispanic Residents of
Multiunit Housing.
AB - Despite the progressive adoption of smoking bans in public spaces, children
living in multi-unit housing remain at risk of exposure to secondhand smoke (SHS)
and thirdhand smoke (THS). Hispanic populations in California are particularly
vulnerable to the harmful effects of SHS and THS because a large proportion of
Hispanics live in multi-unit housing. Three focus groups were conducted in the
fall of 2012 (in Spanish and English, N = 24) to understand Hispanics' knowledge
of and experiences with SHS and THS, including barriers to avoiding smoke
exposure and strategies for protecting their homes from smoke. Hispanic residents
reported unpleasant experiences with SHS and THS and were generally knowledgeable
about the adverse health effects, although they were not familiar with the term
"thirdhand smoke." Some participants also mentioned marijuana smoke as a
potential health hazard. Hispanic cultural values made participants reluctant to
confront their neighbors but also motivated them to find ways to protect their
families from smoke. Potential solutions included working with the smokers to
designate a smoking area and gaining support from the building owners. Broad
smoking policies should be implemented to help Hispanic residents overcome
cultural and social barriers to smoke free air.
PMID- 26545601
TI - Relationship between neutrophil-lymphocyte ratio and in-hospital mortality.
PMID- 26545598
TI - Linking Genes to Cardiovascular Diseases: Gene Action and Gene-Environment
Interactions.
AB - A unique myocardial characteristic is its ability to grow/remodel in order to
adapt; this is determined partly by genes and partly by the environment and the
milieu interieur. In the "post-genomic" era, a need is emerging to elucidate the
physiologic functions of myocardial genes, as well as potential adaptive and
maladaptive modulations induced by environmental/epigenetic factors. Genome
sequencing and analysis advances have become exponential lately, with escalation
of our knowledge concerning sometimes controversial genetic underpinnings of
cardiovascular diseases. Current technologies can identify candidate genes
variously involved in diverse normal/abnormal morphomechanical phenotypes, and
offer insights into multiple genetic factors implicated in complex cardiovascular
syndromes. The expression profiles of thousands of genes are regularly
ascertained under diverse conditions. Global analyses of gene expression levels
are useful for cataloging genes and correlated phenotypes, and for elucidating
the role of genes in maladies. Comparative expression of gene networks coupled to
complex disorders can contribute insights as to how "modifier genes" influence
the expressed phenotypes. Increasingly, a more comprehensive and detailed
systematic understanding of genetic abnormalities underlying, for example,
various genetic cardiomyopathies is emerging. Implementing genomic findings in
cardiology practice may well lead directly to better diagnosing and therapeutics.
There is currently evolving a strong appreciation for the value of studying gene
anomalies, and doing so in a non-disjointed, cohesive manner. However, it is
challenging for many-practitioners and investigators-to comprehend, interpret,
and utilize the clinically increasingly accessible and affordable cardiovascular
genomics studies. This survey addresses the need for fundamental understanding in
this vital area.
PMID- 26545602
TI - Patient satisfaction in cardiology after cardiac catheterization : Effects of
treatment outcome, visit characteristics, and perception of received care.
AB - BACKGROUND: Patient satisfaction is a key indicator for quality of care. However,
recent data on determinants of satisfaction in invasive cardiology are lacking.
Hence this study was conducted to identify determinants of patient satisfaction
after hospitalization for cardiac catheterization. PATIENTS AND METHODS: Data
were obtained from 811 randomly selected patients discharged from ten hospitals
responding to a mailed post-visit questionnaire. The satisfaction dimension was
measured with a validated 42-item inventory assessing demographic and visit
characteristics as well as medical, organizational, and service aspects of
received care. Bivariate and multivariate statistical analyses were performed to
identify predictors of satisfaction. RESULTS: Patients were most satisfied with
the kindness of medical practitioners and nurses. The lowest ratings were
observed for discharge procedures and instructions. Multivariate analysis
revealed five predictors of satisfaction: treatment outcome (OR, 2.14),
individualized medical care (OR, 1.64), clear reply to patient's inquiries by
physicians (OR, 1.63), kindness of nonmedical professionals (OR, 3.01), and room
amenities (OR, 2.02). No association between demographic data and overall
satisfaction was observed. CONCLUSION: Five key determinants that can be
addressed by health-care providers in order to improve patient satisfaction were
identified. Our findings highlight the importance of the communicational behavior
of health-care professionals and the transparency of discharge management.
PMID- 26545603
TI - Left ventricular end diastolic pressure for detection of intracoronary ergonovine
induced myocardial ischemia.
AB - BACKGROUND: Recent consensus on variant angina defines significant spasm as total
or subtotal occlusion of a coronary artery. However, the clinical significance of
"less-than-subtotal" spasm needs to be reappraised, especially if the coronary
spasm is combined with chest pain. Therefore, we evaluated the feasibility of
left ventricular end diastolic pressure (LVEDP) as a tool to detect myocardial
ischemia during ergonovine provocation testing. METHODS: After achieving two
access sites, 29 patients underwent successful LVEDP monitoring using 5-Fr
pigtail catheters during ergonovine provocation tests. Patients were divided into
two groups based on the occurrence of anginal symptoms. RESULTS: Of the 29
patients, 16 (55 %) patients had anginal symptoms. LVEDP was significantly
increased in the symptomatic group compared with the nonsymptomatic group (?LVEDP
5.6 +/- 4.2 vs. 1.2 +/- 2.0 mmHg, p = 0.002). However, of the 16 patients with
anginal symptoms, positive provocation test results were confirmed in only six
patients (38 %) as per the traditional standard (> 90 % inducible spasm of the
epicardial coronary artery). CONCLUSION: Compared with the traditional standard,
LVEDP may have advantages in terms of elucidating anginal symptoms in patients
suspected of having coronary vasospasm when performing ergonovine provocation
tests.
PMID- 26545604
TI - [ESC guidelines 2015. Non-ST-elevation acute coronary syndrome].
AB - In August 2015 the European Society of Cardiology (ESC) published new guidelines
for the management of acute coronary syndrome in patients presenting without
persistent ST-segment elevation, which incorporate the scientific progress since
2011. The innovation with probably the most impact on the clinical practice is
the introduction of 0 h/1 h protocols for exclusion or inclusion of myocardial
infarction without ST-segment elevation. These 0 h/1 h protocols are equally
recommended to the established 0 h/3 h protocol. For these protocols blood is
drawn on admission and 1 h later and the troponin level is analyzed by means of
highly sensitive troponin assays. Troponin cut-off values were validated in
several large studies, therefore, now facilitating a faster exclusion of
myocardial infarction with an equal negative predictive value to 3 h protocols.
Additionally, access via the radial artery is recommended over a femoral artery
access for coronary angiography and when necessary the subsequent coronary
intervention. Other novel aspects apply to the anti-ischemic and anti-thrombotic
medication.
PMID- 26545605
TI - Formulation and comparative evaluation of HPMC and water soluble chitosan-based
sparfloxacin nanosuspension for ophthalmic delivery.
AB - Ophthalmic nanosuspensions (ONS) have shown a potential for ophthalmic delivery
over the conventional ocular formulations. The objective of the study was to
assess the effect of surfactants and polymers on particle size and drug release.
Sparfloxacin ONS were prepared by optimizing the concentration of HPMC E5 and
water soluble chitosan by using solvent diffusion method followed by probe
sonication. The Poloxamer 407 and Kolliphor P188 were used as a surfactant. The
produced nanosuspensions were characterized for particle size, shape, zeta
potential and drug release. The average particle size of the nanosuspension was
300 to 500 nm. The in vitro drug release study showed that the optimized
nanosuspension of water soluble chitosan sustained drug release up to 9 h
compared to 6 h for the hydroxypropylmethylcellulose (HPMC) nanosuspension.
Further, the sparfloxacin ONS formulation showed excellent ocular tolerance and
biocompatibility as determined by hen's egg test chorioallantoic membrane (HET
CAM) and resazurin assay on Vero cell lines. Moreover, optimized formulation was
found to be stable, isotonic, non-toxic with higher in vitro and in vivo
antimicrobial potential.
PMID- 26545606
TI - Completion pancreatectomy for recurrent pancreatic cancer in the remnant
pancreas: report of six cases and a review of the literature.
AB - PURPOSE: There are no accepted surgical strategies for the treatment of
pancreatic cancer recurrence in the remnant pancreas after initial resection. We
retrospectively analyzed our experiences with patients undergoing completion
pancreatectomy for recurrent pancreatic cancer in the remnant pancreas. METHODS:
Six patients with recurrent pancreatic cancer in the remnant pancreas underwent
completion pancreatectomy between March 2005 and December 2012. Operative,
postoperative, and pathological data and long-term outcomes for these six
patients were analyzed retrospectively. RESULTS: There was no operative morbidity
or mortality associated with completion pancreatectomy. The median survival times
were 49.0 and 27.5 months after initial resection and second pancreatectomy,
respectively. However, all six patients died during follow-up. Five patients had
recurrent pancreatic cancer at the time of death. One patient had no recurrence
but had poor blood sugar control and eventually died after repeated bouts of
cholangitis. CONCLUSIONS: Completion pancreatectomy is a safe and effective
option in select patients with local pancreatic cancer recurrence in the remnant
pancreas after initial pancreatectomy. It is essential to select patients who
have a good performance status and can tolerate major surgery and the resultant
apancreatic state.
PMID- 26545607
TI - Effect of perioperative dexamethasone on subjective voice quality after
thyroidectomy: a meta-analysis and systematic review.
AB - BACKGROUND: Prophylactic dexamethasone has been shown to reduce postoperative
pain, nausea, and vomiting in patients undergoing thyroidectomy. However, its
effects on postoperative voice outcomes remain uncertain. METHODS: A systematic
review and meta-analysis of the literature was conducted. Cochrane database,
MEDLINE, EMBASE, and CINAHL were thoroughly searched. Studies that compared
intravenous dexamethasone administration with no dexamethasone in patients
undergoing thyroidectomy were included. Main outcome measure was the difference
in postoperative voice assessment between groups. Standardized mean difference
(SMD) and 95 % confidence intervals (CIs) were estimated using fixed and random
effects models. RESULTS: Four studies with a total of 313 patients met inclusion
criteria. Significant heterogeneity of study results was noted. Using random
effects models, pooled data showed no difference in subjective voice quality
between groups preoperatively (SMD, 0.29; 95 % CI -0.37 to 0.96; P = 0.39), 24 h
after thyroidectomy (SMD, -1.02; 95 % CI -2.36 to 0.31; P = 0.13), or at 48 h
(SMD, -0.05; 95 % CI -0.30 to 0.21; P = 0.72). A sensitivity analysis excluding
one observational study yielded similar results. CONCLUSION: There are
insufficient data for definite conclusions to be drawn regarding the
effectiveness of a single perioperative administration of dexamethasone to reduce
short-term voice disturbances after thyroidectomy. Further prospective trials
using objective voice analysis are warranted to evaluate the efficacy of
dexamethasone.
PMID- 26545609
TI - Thylakoid membrane function in heterocysts.
AB - Multicellular cyanobacteria form different cell types in response to
environmental stimuli. Under nitrogen limiting conditions a fraction of the
vegetative cells in the filament differentiate into heterocysts. Heterocysts are
specialized in atmospheric nitrogen fixation and differentiation involves drastic
morphological changes on the cellular level, such as reorganization of the
thylakoid membranes and differential expression of thylakoid membrane proteins.
Heterocysts uphold a microoxic environment to avoid inactivation of nitrogenase
by developing an extra polysaccharide layer that limits air diffusion into the
heterocyst and by upregulating heterocyst-specific respiratory enzymes. In this
review article, we summarize what is known about the thylakoid membrane in
heterocysts and compare its function with that of the vegetative cells. We
emphasize the role of photosynthetic electron transport in providing the required
amounts of ATP and reductants to the nitrogenase enzyme. In the light of recent
high-throughput proteomic and transcriptomic data, as well as recently discovered
electron transfer pathways in cyanobacteria, our aim is to broaden current views
of the bioenergetics of heterocysts. This article is part of a Special Issue
entitled Organization and dynamics of bioenergetic systems in bacteria, edited by
Conrad Mullineaux.
PMID- 26545610
TI - Distribution and dynamics of OXPHOS complexes in the bacterial cytoplasmic
membrane.
AB - Oxidative phosphorylation (OXPHOS) is an essential process for most living
organisms mostly sustained by protein complexes embedded in the cell membrane. In
order to thrive, cells need to quickly respond to changes in the metabolic demand
or in their environment. An overview of the strategies that can be employed by
bacterial cells to adjust the OXPHOS outcome is provided. Regulation at the level
of gene expression can only provide a means to adjust the OXPHOS outcome to long
term trends in the environment. In addition, the actual view is that bioenergetic
membranes are highly compartmentalized structures. This review discusses what is
known about the spatial organization of OXPHOS complexes and the timescales at
which they occur. As exemplified with the commensal gut bacterium Escherichia
coli, three levels of spatial organization are at play: supercomplexes, membrane
microdomains and polar assemblies. This review provides a particular focus on
whether dynamic spatial organization can fine-tune the OXPHOS through the
definition of specialized functional membrane microdomains. Putative mechanisms
responsible for spatio-temporal regulation of the OXPHOS complexes are discussed.
This article is part of a Special Issue entitled Organization and dynamics of
bioenergetic systems in bacteria, edited by Conrad Mullineaux.
PMID- 26545608
TI - CYP1A1 and GSTP1 gene variations in breast cancer: a systematic review and case
control study.
AB - In first part of this study, a systematic review was designed to explore the
involvement of CYP1A1 and GSTP1 genes in breast cancerogenesis. Based on
systematic review, we designed a study to screen CYP1A1 and GSTP1 genes for
mutation and their possible association with breast carcinogenesis. A total of
400 individuals were collected and analyzed by PCR-SSCP. After sequence analysis
of coding region of CYP1A1 we identified eleven mutations in different exons of
respective gene. Among these eleven mutations, ~3 folds increased breast cancer
risk was found associated with Asp82Glu mutation (OR 2.99; 95% CI 1.26-7.09),
with Ser83Thr mutation (OR 2.99; 95% CI 1.26-7.09) and with Glu86Ala mutation (OR
3.18; 95% CI 1.27-7.93) in cancer patients compared to controls. Furthermore, ~4
folds increase in breast cancer risk was found associated with Asp347Glu,
Phe398Tyr and 5178delT mutations (OR 3.92; 95% CI 1.35-11.3) in patients compared
to controls. The sequence analysis of GSTP1 resulted in identification of total
five mutations. Among these five mutations, ~3 folds increase in breast cancer
risk was observed associated with 1860G>A mutation, with 1861
1876delCAGCCCTCTGGAGTGG mutation (OR 2.70; 95% CI 1.10-6.62) and with 1861C>A
mutation (OR 2.97; 95% CI 1.01-8.45) in cancer patients compared to controls.
Furthermore, ~5 folds increase in breast cancer risk was associated with 1883G>T
mutation (OR 4.75; 95% CI 1.46-15.3) and ~6 folds increase in breast cancer risk
was found associated with Iso105Val mutation (OR 6.43; 95% CI 1.41-29.3) in
cancer patients compared to controls. Our finding, based on systematic review and
experimental data suggest that the polymorphic CYP1A1 and GSTP1 genes may
contribute to risk of developing breast cancer.
PMID- 26545611
TI - Metastatic Sarcomatoid Carcinoma of the Small Intestine: a Case Report of Rare
Tumor with Literature Review.
PMID- 26545612
TI - Treatment of Pancreatic Adenocarcinoma in Elderly Patients over 75 Years of Age:
A Retrospective Series of 129 Patients.
AB - PURPOSE: To better know the presentation and outcome of pancreatic adenocarcinoma
in patients above 75 years of age. METHOD: Retrospective analysis of consecutive
patients with a pancreatic adenocarcinoma seen in the Comprehensive Cancer Center
of Marseille between January 2002 and January 2012 was used. RESULTS: During
these 10 years, 129 patients older than 75 years of age were seen, 61 females and
68 males, median age 78. At diagnosis, the tumor was metastatic in 45%. First
line treatments were: surgical resection in 22 cases, radio-chemotherapy in 20
cases (1 operated on later), systemic chemotherapy in 59 cases, and best
supportive care alone in 28 cases. Resection was possible in 19 cases and was R0
in 17; post-operative mortality was 0%, and half received adjuvant chemotherapy.
Median overall survival was 43 months with a 2-year overall survival of 64%. For
locally advanced tumor, 16 received best supportive care and 33 a specific
treatment (20 cases of radio-chemotherapy). Median overall survival was 9.1
months and 2-year overall, survival was 6.1%. Among the 58 metastatic patients,
79% received systemic chemotherapy (most by gemcitabine); tolerance was correct
in half. Median overall survival was 4.7 months, with a 2-year overall survival
of 5.3%. CONCLUSIONS: Surgery of pancreatic adenocarcinoma is feasible and safe
in elderly patients with good outcomes. In advanced and metastatic patients, the
outcome is poor despite a correct tolerance of systemic chemotherapy. Randomized
trials specially designed for this population are urgently needed.
PMID- 26545613
TI - Unipolar and bipolar patient responses to a new scale measuring the consequences
of depression.
AB - There are generic measures available to assess functional impairment associated
with clinical conditions, but no measure has been developed to specifically
evaluate consequences of differing mood disorders, our current objective. In this
study, 208 participants took part in a research interview which aimed to
differentiate clinical depression from non-clinical mood states. The 126
participants who met diagnostic criteria for clinical depression (i.e., bipolar
disorder, melancholic depression or non-melancholic depression) were asked to
judge whether they had experienced any of 24 consequences of their depressive
episodes with the measure focusing on occupational, personal and interpersonal
functioning. Such consequences were affirmed by 100% of participants diagnosed
with bipolar disorder, 84% of those experiencing melancholic depression and 74%
of those who had experienced a non-melancholic depressive episode. Results from a
three-factor solution were consistent with the expected domains (i.e. work and
relationships; self-care and daily functioning; intimate relationships and
coping), and had sound goodness of fit properties. Participants with bipolar
disorder were more likely to affirm each item compared to participants with
unipolar depression, and participants with melancholic depression affirmed each
item at a higher rate than participants who had experienced non-melancholic
episodes. The new measure (the Consequences of Depression Scale; CODS) could be
utilised in research and clinical activities seeking to identify and quantify the
personal and economic burden of mood disorders, and provides an additional
perspective for evaluating the impact of mood disorders on interpersonal,
personal and occupational functioning.
PMID- 26545614
TI - Therapists' and patients' stress responses during graduated versus flooding in
vivo exposure in the treatment of specific phobia: A preliminary observational
study.
AB - Exposure therapy is considered an effective treatment strategy for phobic
anxiety, however, it is rarely applied in clinical practice. The under-usage
might be due to various factors of which heightened stress levels not only in
patients but also in therapists are presumed to be of particular relevance. The
present study aimed to investigate whether different forms of exposure might lead
to varying physiological and psychological stress responses in therapists and
phobic patients. 25 patients with specific phobia underwent individual cognitive
behavioural therapy, performed by 25 psychotherapist trainees, applying exposure
sessions in graduated form or the flooding technique. Patients and therapists
provided subjective evaluations of stress and five saliva samples for analysis of
salivary cortisol and alpha-amylase either during two graduated exposure sessions
or during one flooding session, while a regular therapy session served as control
condition. Therapists displayed heightened salivary alpha-amylase release during
exposure of the flooding, but not the graduated, type. Patients showed elevated
salivary cortisol during flooding exposure numerically, however, not on a
statistically significant level. Therapists reported more pronounced subjective
stress during flooding compared to graduated exposure. Elevated stress levels
should be addressed in clinical training in order to improve application of
exposure in routine practice.
PMID- 26545615
TI - CD73 on B16F10 melanoma cells in CD73-deficient mice promotes tumor growth,
angiogenesis, neovascularization, macrophage infiltration and metastasis.
AB - Ecto-5'-nucleotidase (CD73), an enzyme providing interstitial adenosine, mediates
diverse physiological and pathological responses. In tumor progression, it has
primarily an immunosuppressive role but is also thought to regulate
neovascularization. However, the latter role is still in debate. When B16F10
melanoma was subcutaneously injected into CD73 knockout mice, changes in the
tumor vasculature were not always observed. However, we demonstrated earlier that
the growth and vascularization of B16F10 melanoma in CD73 knockout mice depend on
the low presence of CD73 on tumor cells. To further analyze the role of CD73 on
tumor growth and vascularization, we compared the changes in B16F10 melanoma
subcutaneously injected into right flank of wild-type mice, CD73 knockout mice
lacking host CD73 only, and CD73 knockout mice with tumor cell CD73 either
inhibited with AOPCP (adenosine alpha,beta-methylene 5'-diphosphate) or
permanently knocked down through genetic modification. We report here that both
inhibition and knockdown of tumor CD73 further inhibited tumor growth compared to
host CD73 knockout alone. MAP-kinase signaling pathway activation also decreased
more strongly in the stable knockdown. There was a significant reduction in the
angiogenic activation of blood microvessels as observed by decreased anti-VEGFR2
staining. Stable CD73 knockdown also reduced endothelial cell proliferation as
measured by anti-CD105 staining. However, only chemical inhibition with AOPCP
significantly augmented the reduction in intratumoral microvessel density induced
by host CD73 knockout. Such reduction was not observed when tumor CD73 was
knocked down due to the much slower tumor growth and decreased oxygen demand as
indicated by the low expression of Bad, a hypoxia marker. Decreased CD73 activity
also led to the decreased expression of angiogenic factors, including VEGF and
bFGF that was only partially reversed by hypoxia in tumors treated with AOPCP.
Both inhibition and knockdown of tumor CD73 significantly decreased tumor
macrophage infiltration and induced microenvironment changes, thereby influencing
MI or MII macrophage polarization. Additionally, tumor cell CD73 is important in
metastasis formation through adenosine-independent attachment to endothelium. We
conclude that even low tumor cell CD73 expression has an undeniable role in
melanoma progression, including the regulation of many aspects of angiogenesis.
CD73 is thus a viable target in anti-angiogenic melanoma therapy.
PMID- 26545616
TI - Acute surgical management of traumatic knee dislocations--Average follow-up of 10
years.
AB - BACKGROUND: Traumatic knee dislocations have been managed historically by means
of either delayed reconstruction or non-operative methods. More recently, there
has been a trend towards early reconstruction. There is no clear consensus in the
literature as to how such patients should be managed and in what time frame.
OBJECTIVE: The aim of this study was to establish the long-term outcome of
patients who underwent acute surgical management of their traumatic knee
dislocation. METHODS: Thirty-six patients with traumatic knee dislocations were
treated by multi-ligament reconstruction. All surgical interventions occurred
within 21 days of presentation. The collateral ligament complexes were primarily
repaired where possible and reconstructions were performed with either autograft,
allograft or the ligament augmentation and reconstruction system (LARS) synthetic
graft. RESULTS: The mean time to surgery was 12 days (1 to 21) with a mean follow
up of 10.1 years (7 to 19). The International Knee Documentation Committee (IKDC)
assessment demonstrates that 56% of patients went on to have "nearly normal" knee
function and the average Tegner-Lysholm score of 80 (57 to 91), is consistent
with good function. The Knee Outcome score (KOS) was 84% for Activities of Daily
Living and 74% for Sports. CONCLUSION: This study demonstrates a high level of
overall knee function following the acute surgical reconstruction of traumatic
knee dislocations. LEVEL OF EVIDENCE: Level 2B: Cohort Study with Outcome
Measures.
PMID- 26545617
TI - Effect of exosome isolation methods on physicochemical properties of exosomes and
clearance of exosomes from the blood circulation.
AB - Exosomes, which are expected to be delivery systems for biomolecules such as
nucleic acids, are collected by several methods. However, the effect of exosome
isolation methods on the characteristics of exosomes as drug carriers, such as
recovery efficiency after sterile filtration and pharmacokinetics, has not been
investigated despite the importance of these characteristics for the development
of exosome-based delivery systems. In the present study, exosomes collected from
murine melanoma B16-BL6 cells by several methods were compared with respect to
dispersibility, recovery rate after filtering, and clearance from the blood
circulation in mice. The exosomes were collected by three ultracentrifugation
based methods: simple ultracentrifugation/pelleting (pelleting method),
ultracentrifugation with an iodixanol cushion (cushion method), and
ultracentrifugation on an iodixanol density gradient (gradient method). The
isolation methods had little effect on the particle number of exosomes. In
contrast, transmission electron microscopy observation and size distribution
measurement using tunable resistive pulse sensing indicated that the exosomes of
the gradient method were more dispersed than the others. The exosomes were
labeled with Gaussia luciferase and intravenously injected into mice. Clearance
of injected exosomes from the blood circulation did not significantly change with
isolation methods. When the exosomes were filtered using a 0.2-MUm filter, the
recovery rate was 82% for the exosomes of the gradient method, whereas it was
less than 50% for the others. These results indicate that the exosome isolation
method markedly affects the dispersibility and filtration efficiency of the
exosomes.
PMID- 26545618
TI - Opponent Coding of Sound Location (Azimuth) in Planum Temporale is Robust to
Sound-Level Variations.
AB - Coding of sound location in auditory cortex (AC) is only partially understood.
Recent electrophysiological research suggests that neurons in mammalian auditory
cortex are characterized by broad spatial tuning and a preference for the
contralateral hemifield, that is, a nonuniform sampling of sound azimuth.
Additionally, spatial selectivity decreases with increasing sound intensity. To
accommodate these findings, it has been proposed that sound location is encoded
by the integrated activity of neuronal populations with opposite hemifield tuning
("opponent channel model"). In this study, we investigated the validity of such a
model in human AC with functional magnetic resonance imaging (fMRI) and a phase
encoding paradigm employing binaural stimuli recorded individually for each
participant. In all subjects, we observed preferential fMRI responses to
contralateral azimuth positions. Additionally, in most AC locations, spatial
tuning was broad and not level invariant. We derived an opponent channel model of
the fMRI responses by subtracting the activity of contralaterally tuned regions
in bilateral planum temporale. This resulted in accurate decoding of sound
azimuth location, which was unaffected by changes in sound level. Our data thus
support opponent channel coding as a neural mechanism for representing acoustic
azimuth in human AC.
PMID- 26545619
TI - Single-compound and cumulative risk assessment of mycotoxins present in breakfast
cereals consumed by children from Lisbon region, Portugal.
AB - Humans can be exposed to multiple chemicals, but current risk assessment is
usually carried out on one chemical at a time. Mycotoxins are commonly found in a
variety of foods including those intended to consumption by children namely
breakfast cereals. The present study aims to perform, the risk assessment of
single and multiple mycotoxins present in breakfast cereals consumed by children
(1-3 years old) from Lisbon region, Portugal. Daily exposure of children to
ochratoxin A, fumonisins and trichothecenes showed no health risks to the
children population considering individual mycotoxins, while exposure to
aflatoxin B1 (AFB1) suggested a potential health concern for the high percentiles
of intake (P90, P95 and P99). The combined exposure to fumonisins and
trichothecenes are not expected to be of health concern. The combined margin of
exposure (MoET) for the aflatoxins group could constitute a potential health
concern and AFB1 was the main contributor for MoET. Legal limits and control
strategies regarding the presence of multiple mycotoxins in foodstuffs is an
urgent need. To the best of our knowledge, this is the first time a cumulative
risk assessment was performed on multiple mycotoxins present in breakfast cereals
consumed by children.
PMID- 26545620
TI - Functional characterization of multiple DICER1 mutations in an adolescent.
PMID- 26545621
TI - Secondhand smoke and incidence of dental caries in deciduous teeth among children
in Japan: population based retrospective cohort study.
PMID- 26545622
TI - Absence or presence? Complexities in the donor narratives of single mothers using
sperm donation.
AB - STUDY QUESTION: How do single mothers who have conceived a child via anonymous or
identity-release sperm donation represent the donor? SUMMARY ANSWER: While the
majority of mothers described their anonymous and identity-release donors as
symbolically significant to their families, others were more likely to emphasize
that their lack of information limited their thoughts about him. WHAT IS KNOWN
ALREADY: There is limited understanding of the factors that impact upon how
single mothers represent the donor, and whether or not they are determined by
specific donor programmes (anonymous or identity-release). STUDY DESIGN, SIZE,
DURATION: Qualitative interviews were conducted with 46 women who had treatment
at a UK licensed fertility clinic during the years 2003-2009. Twenty mothers
(43%) had used an anonymous donor, and 26 (57%) had used an identity-release
donor. PARTICIPANTS/MATERIALS, SETTING, METHODS: Among the 46 mothers
interviewed, all had at least one child conceived via donor insemination who was
between the ages of 4 and 9 years. Mothers were heterosexual and were currently
without a live-in and/or long-term partner. Interview data were analysed
qualitatively according to the principles of thematic analysis. MAIN RESULTS AND
THE ROLE OF CHANCE: Findings indicated marked diversity in single mothers'
representations of the donor. Most (n = 27) mothers talked about the donor as
symbolically significant to family life and were likely to describe the donor as
(i) a gift-giver, (ii) a gene-giver and (iii) a potential partner. Others (n =
16) talked about the donor as (i) unknown, (ii) part of a process and (iii) out
of sight and out of mind. There were mothers with anonymous and identity-release
donors in each group. Several mothers explained that their feelings about the
donor had changed over time. LIMITATIONS, REASONS FOR CAUTION: All mothers
conceived at a licensed fertility clinic in the UK. Findings are limited to
individuals willing and able to take part in research on donor conception. WIDER
IMPLICATIONS OF THE FINDINGS: The study offers greater insight into the factors
influencing the donor narratives produced in single-mother families. It has
implications for the counselling and treatment of single women seeking fertility
treatment with donor gametes in both anonymous and identity-release programmes.
Given that the number of clinics offering identity-release programmes worldwide
seems to be increasing, the finding that single women may have varying
preferences with regard to donor type, and varying interest levels with regard to
donor information, is important. It is recommended that clinicians and other
fertility clinic staff guard against making assumptions about such preferences
and any thoughts and feelings about the donor or donor information on the basis
of marital status. STUDY FUNDING/COMPETING INTERESTS: This study was funded by
the Wellcome Trust [097857/Z/11/Z]. The authors have no conflicts of interest to
declare.
PMID- 26545623
TI - Mitral Valve Surgery in Patients With Severe Mitral Annular Calcification.
AB - BACKGROUND: Mitral valve surgery in patients with severe mitral annular
calcification can be challenging. We investigated surgical outcomes of mitral
valve surgery with complete annular decalcification and reconstruction. METHODS:
Between January 2004 and December 2013, 2,104 patients underwent mitral valve
surgery at our institution. Of these, 61 patients (mean age 70 years) with severe
mitral annular calcification were reviewed. Valve lesions were stenosis in 20
patients (32.8%), regurgitation in 16 (26.2%), mixed in 19 (31.1%), and
prosthetic valve dehiscence in 6 (9.8%). Calcified annulus was resected
completely and reconstructed with equine pericardium in 48 patients (78.7%),
autologous pericardium in 10 (16.4%), and polytetrafluoroethylene felt pledgets
in 3 (4.9%). Mitral valve repair was attempted in 4 patients (6.6%) and mitral
valve replacement in 57 (93.4%). One patient (1.6%) had conversion from repair to
replacement due to cardiac rupture. Concomitant procedures included aortic valve
replacement in 36 patients (56.3%), tricuspid valve surgery in 28 (43.8%), and
coronary artery bypass graft surgery in 18 (28.1%). Mean follow-up was 3.5 +/-
2.5 years. RESULTS: There was no 30-day hospital death. Early complications were
left ventricular pseudoaneurysm in 1 patient, pericardial patch dehiscence in 1,
severe arrhythmia in 6, and stroke in 2. At 5 years, rates of survival and
freedom from cardiac death and major adverse valve-related events were 75.6%,
79.7%, and 72.7%, respectively. Multivariate analysis indicated coronary artery
disease as an independent predictor of cardiac death. CONCLUSIONS: In patients
with severe mitral annular calcification undergoing mitral valve surgery,
complete annular decalcification and reconstruction yields favorable outcomes.
PMID- 26545624
TI - Beyond the Aortic Root: Staged Open and Endovascular Repair of Arch and
Descending Aorta in Patients With Connective Tissue Disorders.
AB - BACKGROUND: Improvements in care have prolonged survival of patients with
connective tissue disorders (CTDs), but their entire native aorta remains at
risk. Little data are available to guide treatment. Objectives were to
characterize patients, describe repair methods, and assess outcomes. METHODS:
From 1996 to 2012, 527 patients with CTDs underwent cardiovascular operations.
Beyond the root, arch and descending repair was performed in 121 patients (23%)
for aneurysm (n = 17), acute complicated dissection (n= 5), or chronic dissection
with aneurysmal degeneration (n = 99). CTD diagnoses included Marfan (n = 107),
marfanoid (n = 7), Ehlers-Danlos (n = 4), and Loeys-Dietz (n = 3) syndromes.
Eighty-seven (72%) had a previous ascending aorta repair, including 51 (57%) for
type A dissection. Median interval to distal operation was 8.4 years. Index
procedures for repair beyond the root were elephant trunk (ET) stage I (n = 63),
open descending repair (n = 26), thoracoabdominal repair (n = 13), total arch
replacement (n = 13), and stent-grafting (n = 6: frozen ET 3, thoracic
endovascular aortic repair [TEVAR] 3). Median follow-up was 4.4 years. RESULTS:
Operative mortality was 2.5% (3 of 121). No paralysis occurred, but 3 patients
(2.5%) had nonpermanent stroke, 4 (3.3%) required dialysis, 12 (10%) required
tracheostomy, and 13 (11%) underwent reoperation for bleeding. During follow-up,
67 patients underwent 85 additional distal aortic procedures (58 open, 27
endovascular, 49 of which were stage II ET). By 10 years, probability of at least
1 reintervention was 61%. At 1, 5, and 10 years, estimated survival was 91%, 79%,
and 62%, and event-free survival was 52%, 35%, and 24%, respectively.
CONCLUSIONS: Most patients with CTDs who require operations beyond the aortic
root have aortic dissection and require multiple reinterventions. Staged repair
strategies, including open repair in combination with TEVAR, are feasible, and
benefits outweigh risks. These patients require lifelong imaging surveillance.
PMID- 26545625
TI - Endoscopic Mediastinal Staging in Lung Cancer Is Superior to "Gold Standard"
Surgical Staging.
AB - BACKGROUND: The objective was to evaluate whether endobronchial ultrasonography
(EBUS) or endoscopic ultrasonography (EUS) staging techniques of the mediastinum
for lung cancer can change the treatment plan compared with the "gold standard"
of surgical staging. METHODS: Patients were retrospectively identified from a
prospectively collected database. Endoscopic staging was compared with the "gold
standard" cervical mediastinoscopy (CM). In cases where mediastinoscopy was not
performed, EBUS/EUS was compared with "ideal" CM, a virtual procedure, which was
assumed to have 100% rates of sensitivity and specificity. RESULTS: EBUS was
performed in 324 patients (99%), EUS in 295 patients (90%), and CM in 101
patients (31%); 226 patients (69%) were assumed to have undergone a virtual ideal
CM and a virtual surgical mediastinal staging; 108 positive biopsies (33.0%) with
endosonography had sampling of targets that were out of the scope of CM. Distant
metastatic disease was diagnosed by EBUS/EUS in 7 patients (2.1%); 22 patients
(6.7%) had positive targets outside the reach of the CM or virtual CM. If the 14
patients who had positive stations 5, 6, 10, and 11 are excluded (accessible with
anterior mediastinotomy or extended cervical mediastinoscopy), there were 6
patients (1.8%) in whom endosonography upstaged the patient over ideal surgical
mediastinal staging. In 20 patients (6.1%), ultrasound-guided biopsy made the
diagnoses, which changed the treatment plan over CM and ideal CM. CONCLUSIONS:
Combined EBUS- and EUS-guided biopsies can access more targets, including lung
and distant metastasis, and thus have the potential to upstage patients compared
with mediastinoscopy and change the treatment plan.
PMID- 26545626
TI - Lipoproteins as modulators of atherothrombosis: From endothelial function to
primary and secondary coagulation.
AB - Atherothrombosis is a complication of atherosclerosis that causes acute
cardiovascular events such as myocardial infarction and stroke. Circulating lipid
levels are highly correlated with atherosclerotic plaque development. In
addition, experimental evidence suggests that lipids also directly influence
thrombosis and influence the risk and the outcome of acute cardiovascular events.
Plasma lipoproteins influence three aspects important to atherothrombosis:
endothelial function, platelet aggregation (primary coagulation) and secondary
coagulation. Overall, VLDL, LDL and oxLDL promote thrombus formation, whereas HDL
shows antithrombotic actions. In this review we will address the current
knowledge about modulation of atherothrombosis by lipoproteins, summarizing
findings from in vitro and in vivo animal studies, as well as from observational
and interventional studies in humans. We will conclude with future perspectives
for lipid modulation in the prevention of atherothrombosis.
PMID- 26545627
TI - The ERK1/2 pathway participates in the upregulation of the expression of
mesenteric artery alpha1 receptors by intravenous tail injections of mmLDL in
mice.
AB - Minimally modified low density lipoprotein (mmLDL) is a risk factor for
cardiovascular diseases. However, no studies examining the effect of mmLDL on
vascular smooth muscle receptors have been released. The current study
investigated the effect of mmLDL on the mesenteric artery alpha1 adrenoceptor and
the molecular mechanisms. Mice were divided into the normal saline (NS), mmLDL,
and mmLDL+U0126 groups. In the mmLDL+U0126 group, the animals were subjected to
an intravenous tail injection of mmLDL and an intraperitoneal injection of U0126.
Vascular tension caused by noradrenaline (NA) in mesenteric arteries was measured
with a sensitive myograph system. The serum levels of oxLDL, TNF-alpha, and IL
1beta were detected using enzyme-linked immunosorbent assays. The expressions of
the alpha1 adrenoceptor, the alpha2 adrenoceptor, TNF-alpha, IL-1beta, and
pERK1/2 were detected using real-time polymerase chain reactions and Western blot
analysis. Compared with the NS group, the mmLDL group exhibited a noticeably
enhanced NA shrinkage dose-response curve and a significantly increased Emax
value (P<0.01). Prazosin (alpha1 adrenoceptor antagonist) caused a noticeable
right shift of the dose-response curve. U0126 inhibited the increases in the
serum levels and vessel wall expression of IL-1beta and TNF-alpha and enhanced
the NA shrinkage dose-response curve caused by mmLDL, as observed by a
significantly decreased Emax value (P<0.01). It inhibited the increased alpha1
adrenoceptor expression caused by mmLDL. The serum levels of IL-1beta and TNF
alpha demonstrated a positive correlation with the NA-induced maximum shrinkage
percentage. U0126 inhibited the mmLDL-induced increase in the pERK1/2 protein
level in the vessel wall. In conclusion, mmLDL increased the serum levels of IL
1beta and TNF-alpha in vivo by activating the ERK1/2 pathway, which resulted in
alpha1 receptor-mediated vasoconstriction and an increase in the expression of
alpha1 adrenoceptor. The results of this study may provide new ideas for the
prevention and cure of cardiovascular diseases in the future.
PMID- 26545628
TI - Chemerin in renal dysfunction and cardiovascular disease.
AB - The potential involvement of chemerin in cardiovascular and renal dysfunction has
recently been acknowledged. There are indeed many links between this protein and
inflammation, atherosclerosis, and multiple obesity- and diabetes-related
parameters such as body mass index, insulin resistance, and blood levels of
insulin, cholesterol, triglycerides, and glucose. In addition, in the last few
years, several reports have investigated the circulating chemerin levels and
their pathophysiologic significance in chronic kidney disease populations.
However, there are still gaps in our understanding of this matter, in particular
as to whether elevated chemerin might be the cause behind, or simply mirror, a
reduced renal function. The limitations of the present knowledge on chemerin may
partly relate to the lack of specific antibodies for assessing the different
active isoforms of the protein. Measuring its bioactive serum concentration, and
achieving a precise overall pattern of the tissue-specific formation of different
isoforms, with the use of suitable technology, will ultimately help define the
role of chemerin in disease pathophysiology, or as a diagnostic or therapeutic
marker.
PMID- 26545629
TI - Gray and white matter structural changes in corticobasal syndrome.
AB - We investigated gray matter and white matter (WM) changes in corticobasal
syndrome (CBS). T1-weighted and diffusion tensor images (3T-magnet) were obtained
in 11 patients and 11 healthy subjects (HS). Magnetic resonance imaging data were
analyzed using FreeSurfer and Tracts Constrained by Underlying Anatomy to
evaluate cortical thickness (CTh), surface area, and subcortical volumes as well
as diffusion tensor image parameters along the major WM tracts. Compared with HS,
the whole patient group showed decreased CTh in the prefrontal cortex, precentral
gyrus, supplementary motor area, insula, and temporal pole bilaterally. When we
divided patients into 2 subgroups (left: L-CBS, right: R-CBS) on the basis of the
clinically more affected upper limb, the most prominent decrease in CTh occurred
in the hemisphere contralateral to the more affected side. The whole patient
group also had volume loss in the putamen, hippocampus, and accumbens
bilaterally, in the corpus callosum and right amygdala. Finally, we found
diffusion changes in several WM tracts with axial diffusivity being altered more
than radial diffusivity. The upper limb motor severity negatively correlated with
the contralateral CTh in the precentral and/or postcentral gyri and contralateral
volumes of putamen and accumbens. The CTh asymmetry in postcentral and/or
paracentral gyri also negatively correlated with disease duration. Cortical
thinning, volume loss, and fiber tract degeneration in specific brain regions are
important pathophysiological abnormalities in CBS.
PMID- 26545630
TI - A potential endophenotype for Alzheimer's disease: cerebrospinal fluid clusterin.
AB - Genome-wide association studies have associated clusterin (CLU) variants with
Alzheimer's disease (AD). However, the role of CLU on AD pathogenesis is not
totally understood. We used cerebrospinal fluid (CSF) and plasma CLU levels as
endophenotypes for genetic studies to understand the role of CLU in AD. CSF, but
not plasma, CLU levels were significantly associated with AD status and CSF
tau/amyloid-beta ratio, and highly correlated with CSF apolipoprotein E (APOE)
levels. Several loci showed almost genome-wide significant associations including
LINC00917 (p = 3.98 * 10(-7)) and interleukin 6 (IL6, p = 9.94 * 10(-6), in the
entire data set and in the APOE epsilon4- individuals p = 7.40 * 10(-8)). Gene
ontology analyses suggest that CSF CLU levels may be associated with wound
healing and immune response which supports previous functional studies that
demonstrated an association between CLU and IL6. CLU may play a role in AD by
influencing immune system changes that have been observed in AD or by disrupting
healing after neurodegeneration.
PMID- 26545632
TI - A novel histone deacetylase 1 and 2 isoform-specific inhibitor alleviates
experimental Parkinson's disease.
AB - With increased histone deacetylase (HDAC) activity and histone hypoacetylation
being implicated in neurodegeneration, HDAC inhibitors have been reported to have
considerable therapeutic potential. Yet, existing inhibitors lack specificity and
may show substantial adverse effect. In this study, we identified a novel HDAC1/2
isoform-specific inhibitor, K560, with protective effects against 1-methyl-4
phenylpyridinium (MPP(+))- and/or 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine
(MPTP)-induced neuronal death in both in vitro and in vivo Parkinson's disease
model. K560 attenuated cell death induced by MPP(+) in differentiated SH-SY5Y
cells through the sustained expression of an antiapoptotic protein, X-linked
inhibitor of apoptosis (XIAP). Inhibition of XIAP expression by locked nucleic
acid antisense oligonucleotides abolished the protective effect of K560.
Inactivation of mitogen-activated protein kinase cascades, reduced p53
phosphorylation, and down-regulation of p53-upregulated modulator of apoptosis on
K560 treatment were also observed. Furthermore, pre- and post-oral administration
of K560 to mice prevented MPTP-induced loss of dopaminergic neurons in substantia
nigra, suggesting that selective inhibition of HDAC1 and HDAC2 by K560 may pave
the way to new strategies for Parkinson's disease treatment.
PMID- 26545631
TI - MRI-based brain atrophy rates in ADNI phase 2: acceleration and enrichment
considerations for clinical trials.
AB - The goal of this work was to assess statistical power to detect treatment effects
in Alzheimer's disease (AD) clinical trials using magnetic resonance imaging
(MRI)-derived brain biomarkers. We used unbiased tensor-based morphometry (TBM)
to analyze n = 5,738 scans, from Alzheimer's Disease Neuroimaging Initiative 2
participants scanned with both accelerated and nonaccelerated T1-weighted MRI at
3T. The study cohort included 198 healthy controls, 111 participants with
significant memory complaint, 182 with early mild cognitive impairment (EMCI) and
177 late mild cognitive impairment (LMCI), and 155 AD patients, scanned at
screening and 3, 6, 12, and 24 months. The statistical power to track brain
change in TBM-based imaging biomarkers depends on the interscan interval, disease
stage, and methods used to extract numerical summaries. To achieve reasonable
sample size estimates for potential clinical trials, the minimal scan interval
was 6 months for LMCI and AD and 12 months for EMCI. TBM-based imaging biomarkers
were not sensitive to MRI scan acceleration, which gave results comparable with
nonaccelerated sequences. ApoE status and baseline amyloid-beta positron emission
tomography data improved statistical power. Among healthy, EMCI, and LMCI
participants, sample size requirements were significantly lower in the
amyloid+/ApoE4+ group than for the amyloid-/ApoE4- group. ApoE4 strongly
predicted atrophy rates across brain regions most affected by AD, but the
remaining 9 of the top 10 AD risk genes offered no added predictive value in this
cohort.
PMID- 26545633
TI - Electroencephalogram slowing predicts neurodegeneration in rapid eye movement
sleep behavior disorder.
AB - A large proportion of patients with idiopathic rapid eye movement sleep behavior
disorder (iRBD) develop a synucleinopathy, mostly Parkinson's disease, dementia
with Lewy bodies, and multiple system atrophy. Therefore, identifying markers of
neurodegeneration in iRBD could have major implications. We aimed to assess the
usefulness of electroencephalography (EEG) spectral analysis performed during
wakefulness for predicting the development of a neurodegenerative disease in
iRBD. Fifty-four iRBD patients, 28 of whom developed Parkinson's disease,
multiple system atrophy, or dementia with Lewy bodies (mean follow-up: 3.5
years), and 30 healthy controls underwent at baseline a resting-state waking EEG
recording, neurological exam, and neuropsychological assessment. Absolute and
relative spectral powers were analyzed for 5 frequency bands in frontal, central,
parietal, temporal, and occipital regions. The slow-to-fast [(delta +
theta)/(beta1 + beta2)] power ratio for each of the 5 cortical regions and the
dominant occipital frequency were calculated as an index of cortical slowing.
Patients who developed disease showed higher absolute delta and theta power in
all 5 cortical regions compared to disease-free patients and controls. The slow
to-fast power ratio was higher in all regions in patients who developed disease
than in the 2 other groups. Moreover, patients who developed disease had a slower
dominant occipital frequency compared to controls. The only significant
difference observed between disease-free iRBD patients and controls was higher
absolute delta power in frontal and occipital regions in iRBD patients. Specific
EEG abnormalities were identified during wakefulness in iRBD patients who later
developed a synucleinopathy. EEG slowing is a promising marker of
neurodegeneration in iRBD patients.
PMID- 26545634
TI - Relationship between sleep-disordered breathing and metabolic syndrome after
adjustment with cardiovascular risk factors.
AB - AIMS: It is important to identify the risk factors for metabolic syndrome (MetS)
in order to prevent the development of cardio-/cerebrovascular diseases. The
authors estimated the risk factors for the development of MetS with special
emphasis on the severity of sleep-disordered breathing (SDB). METHODS: We
conducted as a cross-sectional study in subjects undergoing intensive health
examination (581 men aged 33-84 years). Diagnosis of MetS was based on the
criteria of the National Cholesterol Education Program Expert Panel. RESULTS: The
prevalence of MetS in subjects with severe SDB, which was defined as an apnea
hypopnea index (AHI) of 30 or higher, was 40.7%, which was significantly higher
than that in the subjects without severe SDB (29.3%). The odds ratio (OR) (95%
confidence interval [CI]) of the logarithmic-transformed AHI for MetS was 1.6
(1.1-2.4) after adjustments for age, serum uric acid, logarithmic-transformed
serum C-reactive protein, smoking history, exercise history and alcohol history.
When the subjects were categorized by the severity of SDB, the OR (95% CI) of
severe SDB, which was the only category that showed significant association, was
2.2 (1.2-4.0). CONCLUSION: A significant association was observed between severe
SDB and the presence of MetS in the subjects (all male) of this study.
PMID- 26545635
TI - Clinical Characteristics and Outcomes of Renal Infarction.
AB - BACKGROUND: Renal infarction is a rare condition resulting from an acute
disruption of renal blood flow, and the cause and outcome of renal infarction are
not well established. STUDY DESIGN: Case series. SETTING & PARTICIPANTS: 438
patients with renal infarction in January 1993 to December 2013 at 9 hospitals in
Korea were included. Renal infarction was defined by radiologic findings that
included single or multiple wedge-shaped parenchymal perfusion defects in the
kidney. PREDICTOR: Causes of renal infarction included cardiogenic (n=244
[55.7%]), renal artery injury (n=33 [7.5%]), hypercoagulable (n=29 [6.6%]), and
idiopathic (n=132 [30.1%]) factors. OUTCOMES: We used recurrence, acute kidney
injury (AKI; defined as creatinine level increase >= 0.3mg/dL within 48 hours or
an increase to 150% of baseline level within 7 days during the sentinel
hospitalization), new-onset estimated glomerular filtration rate
(eGFR)<60mL/min/1.73m(2) (for >3 months after renal infarction in the absence of
a history of decreased eGFR), end-stage renal disease (ESRD; receiving
hemodialysis or peritoneal dialysis because of irreversible kidney damage), and
mortality as outcome metrics. RESULTS: Treatment included urokinase (n=19),
heparin (n=342), warfarin (n=330), and antiplatelet agents (n=157). 5% of
patients died during the initial hospitalization. During the median 20.0 (range,
1-223) months of follow-up, 2.8% of patients had recurrent infarction, 20.1% of
patients developed AKI, 10.9% of patients developed new-onset
eGFR<60mL/min/1.73m(2), and 2.1% of patients progressed to ESRD. LIMITATIONS:
This was a retrospective study; it cannot clearly determine the specific causal
mechanism for certain patients or provide information about the causes of
mortality. 16 patients were excluded from the prognostic analysis. CONCLUSIONS:
Cardiogenic origins were the most important causes of renal infarction. Despite
aggressive treatment, renal infarction can lead to AKI, new-onset
eGFR<60mL/min/1.73m(2), ESRD, and death.
PMID- 26545636
TI - Collective Total Syntheses of Atisane-Type Diterpenes and Atisine-Type
Diterpenoid Alkaloids: (+/-)-Spiramilactone B, (+/-)-Spiraminol, (+/-)
Dihydroajaconine, and (+/-)-Spiramines C and D.
AB - The first total syntheses of the architecturally complex atisane-type diterpenes
and biogenetically related atisine-type diterpenoid alkaloids (+/-)
spiramilactone B, (+/-)-spiraminol, (+/-)-dihydroajaconine, and (+/-)-spiramines
C and D are reported. Highlights of the synthesis include a late-stage biomimetic
transformation of spiramilactone B, a facile formal lactone migration from the
pentacyclic skeleton of spiramilactone E, a highly efficient and
diastereoselective 1,7-enyne cycloisomerization to construct the functionalized
tetracyclic atisane skeleton, and a tandem retro-Diels-Alder/intramolecular Diels
Alder sequence to achieve the tricyclo[6.2.2.0] ring system.
PMID- 26545637
TI - Role of the cold biopsy technique in diminutive and small colonic polyp removal:
a systematic review and meta-analysis.
AB - BACKGROUND AND AIMS: The most commonly detected polyps during screening
colonoscopy are diminutive and small polyps, and therefore endoscopic treatment
of those polyps is a daily routine for every colonoscopist. The primary aim of
this study was to compare the complete eradication rate of diminutive and small
colorectal polyps using cold biopsy versus other techniques, because randomized
controlled trials have shown conflicting results. METHODS: In March 2015 we
searched for randomized controlled trials in Medline, EMBASE, and ISI the Web of
Science, starting with their dates of inception, and abstracts of pertinent
scientific meetings (eg, American College of Gastroenterology, Digestive Disease
Week). The primary outcome was complete removal of diminutive and small polyps
(<=7 mm) by histologic eradication rates. The secondary outcome was total
procedure time. Using RevMan (Cochrane), we used the Mantel-Haenszel random
effects model for binary endpoints and the inverse variance method for continuous
outcomes. Grading of Recommendations Assessment, Development and Evaluation was
used to rate the quality of evidence for each outcome. RESULTS: Five randomized
controlled trials included a total of 668 patients and 721 polyps. Removal
techniques included cold biopsy, jumbo biopsy, and cold snare polypectomy. Based
on histologic criteria, incomplete polyp removal was significantly lower with
cold snare/jumbo forceps biopsy technique than with the cold biopsy technique
(relative risk, .40; 95% CI, .26-.62), with no heterogeneity (I(2), 0%). Total
procedure time was an average of 2.66 minutes shorter for the cold snare/jumbo
forceps biopsy techniques compared with the cold biopsy technique (95% CI, -5.14
to -.18). The quality of evidence was rated moderate. CONCLUSIONS: There is
moderate quality evidence that cold snare or jumbo biopsy techniques reduce the
risk of incomplete diminutive polyp removal by 60% without increasing the total
procedure time. Adequately powered randomized clinical trials are warranted to
confirm these findings.
PMID- 26545638
TI - Endoscopic treatment of a chronic fistula by resection and sutured closure.
PMID- 26545639
TI - [THE DEVELOPMENT OF CLINICAL NUTRITION IN SPAIN (1): INTEGRATING ARTIFICIAL
NUTRITION INTO THE SPANISH CLINICAL SETTING].
PMID- 26545640
TI - [THE DEVELOPMENT OF CLINICAL NUTRITION IN SPAIN (2): THE CONTRIBUTION OF SENPE
AND "NUTRICION HOSPITALARIA" JOURNAL].
PMID- 26545641
TI - DESCRIPTION OF INDEXES BASED ON THE ADHERENCE TO THE MEDITERRANEAN DIETARY
PATTERN: A REVIEW.
AB - INTRODUCTION: diet quality indexes are tools are aimed at quantifying the
compliance to a defined dietary pattern. These indexes are a combined measure of
dietary factors (food groups, foods, nutrients and ratios) and/ or lifestyles
factors. The Mediterranean Diet (MD) is a dietary pattern characterized by their
positive effects against chronic diseases. There have been many indexes proposed
for the assessment of this dietary pattern. An evaluation of their composition
and health benefits is therefore convenient. OBJECTIVE: the objective is to
evaluate indexes of adherence to the MD with regard to their definition,
methodological issues and validation as reported in epidemiological studies.
METHODS: we searched in PubMed for studies that developed MD Indexes up to
October 2014. RESULTS: a total number of 22 indexes were identified, with
differences regarding the number of components (7-28), scoring (0, 1, 2, 3, 4, 5,
8 or 10, in case of compliance), range (0-100) and type of components (which
could be food groups/foods or their combination, with nutrients). Among the
positive components, fruits and vegetables were the most common and meats, among
the negative components. There were also differences with regard to their
composition and evaluation (e.g. criteria of moderate alcohol consumption), as
well as with the scoring system (in medians, terciles or established servings).
CONCLUSIONS: this review suggests that since there is great heterogeneity in the
definition of MD. It would be therefore convenient to establish more clearly the
components to be included and to establish commonly defined criteria to quantify
this dietary pattern.
PMID- 26545642
TI - CONSUMPTION OF CHERRIES AS A STRATEGY TO ATTENUATE EXERCISE-INDUCED MUSCLE DAMAGE
AND INFLAMMATION IN HUMANS.
AB - BACKGROUND: exercise-induced muscle damage (EIMD) is a multifactorial phenomenon
that induces muscle function loss because of mechanical and immune stressor
stimuli. This immunological stress is mostly caused by inflammation and increased
oxidative status. Cherries are fruits that contain a phenolic compound known as
anthocyanin, which serves as a pigment in natura. However, research suggests this
pigment might provide a potent antioxidant and anti-inflammatory strategy when
consumed by humans. OBJECTIVES: the aim of this study was to critically review
the literature on cherry consumption focusing on identifying protective
strategies against EIMD conferred by it. METHODS: a research was performed in
PubMed database. This review presents the results about cherry consumption and
EIMD. RESULTS: the articles identified in this review support the notion that
tart cherry consumption attenuates EIMD symptoms after intense exercise bouts.
This attenuation seems to be related to the antioxidant and anti-inflammatory
properties of anthocyanins and other phenolic compounds present in tart cherries.
CONCLUSION: daily consumption of tart cherries may attenuate inflammatory and
oxidative responses to EIMD, leading to faster recovery after exercise bouts.
PMID- 26545643
TI - [METHODOLOGY REVIEW FOR WRITING AND PUBLISHING CASE REPORT: APPLICATIONS ON THE
NUTRITION FIELD].
AB - INTRODUCTION: currently, case reports are an important teaching tool with
practical applications and contribute to the expansion of knowledge of health
professionals. OBJECTIVE: to review the methodology of developing case reports,
showing similarities and differences in format and content criteria that are
requested for case reports to be published. METHODOLOGY: literature review in
PubMed and Scielo between 2005-2015 and manual tracing of the most relevant
references of selected articles. INCLUSION CRITERIA: original articles /
literature reviews in English / Spanish / Portuguese published in any country and
include guidelines or recommendations for the drafting of clinical cases.
RESULTS: we found 131 articles, 20 met the inclusion criteria, adding 5 articles
by manually tracing. The variables were described: objective, conclusions and
recommendations presented in 3 tables; 1. International guide publication; 2.
International journals and 3. Latin American journals. DISCUSSION: there are
international benchmarks that provide when required to write and publish a case
report very specific guidelines. Both international benchmarks analyzed the
recommendations are consistent in their usefulness but there is no
standardization in its methodology. Very few guidelines mentioned include dietary
management, monitoring and evolution in the clinical situation.
PMID- 26545644
TI - EFFECT OF CHIA SEED (SALVIA HISPANICA L.) CONSUMPTION ON CARDIOVASCULAR RISK
FACTORS IN HUMANS: A SYSTEMATIC REVIEW.
AB - INTRODUCTION: chia is a seed rich in such nutrients as proteins, n-3 fatty acids
and especially alpha-linolenic acid (ALA), minerals, fibers and antioxidants.
Efforts have been made to assess whether human consumption of chia can reduce
cardiovascular risk factors; however, it has not been established as effective
and the findings of the few studies to have looked into the matter are
inconsistent. AIM: to systematize the findings of studies assessing the effect
the consumption of chia seed, either milled or whole, has in the
prevention/control of cardiovascular risk factors in humans. METHODS: this is a
systematic literature review (SLR) with no meta-analysis. The articles
scrutinizedwere identified in the electronic databases Lilacs, Medline (Pub- Med
version), Cochrane, Scielo, Scopus, and Web of Science under the
keywords"dyslipidemia" or "dislipidemia", "hyperlipidemia" or "hiperlipidemia",
"obesity" or "obesidade", "salvia"or"salviahispanica", "Lamiaceae" or "chia",
"hypertension" or "hipertensao", "hypertrygliceridemia" or
"hipertrigliceridemia", and "riscocardiovascular" or "cardiovascularrisk." We
chose for our selection English-, Portuguese- or Spanish-language articles about
clinical trials on humans and published within the last ten years. The biases of
risk analysis were carried out considering 6 of the 8 criteria of the Cochrane
Handbook for Systematic Reviews of Interventions Version 5.1. FINDINGS: seven
studies (n = 200) fit our inclusion criteria. Of the chosen clinical trials, only
one was not randomized. Five of the studies were blind experiments. Two of the
studies were acute trials, both of them randomized. Of the chia seed
interventions, one study showed a significant drop in systolic blood pressure
(SBP) and inflammatory markers, yet there was no change in body mass, lipid
profile or blood sugar. In four of the studies reviewed there was a significant
spike in ALA and eicosapentaenoic acid (EPA), with no significant change to other
parameters. In the acute trials, post-prandial blood sugar was significantly
lower. Only one study showed a significant drop in triglycerides (TG), body mass
and inflammatory markers; however, the chia seed in that case was mixed with
other foods. Most of the studies showed unclear or low risk of bias. Two studies
showed a high risk of bias because not all the pre-specified primary outcomes
were reported in the findings. CONCLUSION: most of the studies did not
demonstrate statistically significant results in relation to cardiovascular
disease (CVD) risk factors. The evidence regarding the relationship between chia
seed consumption and cardiovascular risk factors are insufficient, and the
studies included in this review present numerous limitations. Further research is
hence needed.
PMID- 26545645
TI - [DO WEIGHT LOSS INCREASE LIFE EXPECTANCY?: SYSTEMATIC REVIEW].
AB - BACKGROUND: prospective trials that assess the effect of weight loss on all-cause
mortality have shown controversial results. We conducted a systematic review of
prospective studies, with a follow up >=10y, assessing the association of weight
loss and weight cycling with all cause- mortality. METHODS: we searched Pubmed of
prospective studies with a follow up of >=10y, published from January 1st 2004 to
December 31th 2014. RESULTS: nine studies met the inclusion criteria. Five
studies assessed the association between weight loss and mortality, two of the
studies examined weight cycling and mortality, and two other weight loss and
weight cycling with mortality. Weight loss increased all-cause mortality in those
that assessed weight loss and mortality. In the two studies evaluating the
association between weight cycling and mortality, weight cycling made no
difference on mortality. In the two studies assessing weight loss and weight
cycling, results showed weight cycling increased mortality in both of them, and
weight loss increased mortality in one study. CONCLUSION: six out of seven (>=10
y of follow up) prospective studies showed that weight loss was associated with
increased mortality; the results from studies assessing weight cycling were
inconsistent. None of the studies found evidence showing that weight loss
improved life expectancy.
PMID- 26545646
TI - [EFFECTS OF INGESTING CARBOHYDRATE-PROTEIN SUPPLEMENTS DURING EXERCISE ON
ENDURANCE PERFORMANCE: A SYSTEMATIC REVIEW].
AB - INTRODUCTION: sports drinks aid to improve physical performance significantly
because of its content of carbohydrate, electrolytes and water. However, in
recent decades it has been found that drinking a sports drink with protein during
exercise improves endurance performance, produces lower losses of body weight
induced by dehydration and helps to reduce post-exercise muscle damage compared
to a drink only with carbohydrate and electrolytes. PURPOSE: the aim of this
study was to analyze the main studies about the effectiveness of a supplement
intake with carbohydrate, protein and electrolytes during exercise. METHODS:
studies were identified by searching Google Scholar, EBSCO, PubMed and Scopus
using the following search terms: Carbohydrate-protein and performance and Added
protein and sports drink. The methodological quality of the trials was evaluated,
and It was considered that the intake of the supplement has been during exercise.
RESULTS: twenty articles were included in this study. Thirteen obtained results
were the intake of sports drinks with protein produced significant improvements
on endurance performance compared to beverages with carbohydrates and
electrolytes alone, or a placebo. DISCUSSION: increase the caloric content of
sports drinks to add protein was probably a better strategy than reduce the
carbohydrate content to match the amount of calories. CONCLUSIONS: protein intake
during exercise demonstrated an ergogenic effect on endurance performance when
assessed by time to exhaustion. However, we need more evidence to prove this
possible ergogenic effect of protein.
PMID- 26545647
TI - [ENERGY AND MACRONUTRIENT INTAKE IN FEMALE ATHLETES].
AB - Objetive: to determine the adequate intake of macronutrients to improve the
nutritional status of women athletes and their sports performance. METHODS: a
search was performed in four databases: EBSCO, Proquest, Pubmed and OvidSP, using
the keywords "protein intake" AND "athletes", "endurance athletes" AND
"nutrition". SELECTION CRITERIA: original articles about protein intake in female
athletes, published between 2009 and 2014, and whose source are indexed
scientific journals. RESULTS: 722 articles were identified, of which only 1.4%
were considered to be included. 100% were completed clinical trials and published
abroad, 50% in the US. 20% were exclusive studies of female athletes and 80%
included men and women in the sample. In 70% of studies female athletes presented
energy deficiencies; in protein intake, 70% met the dietary recommendations;
carbohydrate intake was inadequate in 90% of clinical trials and in 50% of cases
women had a high intake of fat. CONCLUSION: there is lack about nutrition in
female athletes in Europe and internationally. Female athletes consume less
energy and macronutrients that male athletes. There is no consensus on the
recommended protein range and there are differences in consumption depending on
the type of exercise being performed. It is recommended to carry out an agreement
between scientific institutions about energy intake and macronutrient in sport,
with particular emphasis on women.
PMID- 26545648
TI - [EFFECTS OF WHOLE-BODY VIBRATION TRAINING ON BODY COMPOSITION AND PHYSICAL
FITNESS IN RECREATIONALLY ACTIVE YOUNG ADULTS].
AB - In the last decade, it has been suggested that whole- body vibration training
(WBV) may increase neuromuscular performance and consequently affect the muscular
improvement as either acute response to vibration or chronic adaptation training.
Vibrating platforms generate frequencies from 5-45 Hz and vertical oscillations
of 1-11 mm peak to peak, affecting more or less intensity acceleration changing
by combining frequency and amplitude. Vibration training, in a session as various
offers different results in regard to changes in body composition and in
increasing the vertical jump, sprint, and the different manifestations of force
development. These promising results await further research to establish
parameters (duration, frequency and amplitude) with vibration stimulation in
young active subjects. This literature review provides an update on the
scientific evidence on the body vibrations in order to answer the question
whether WBV, meaning the exercise by increasing the gravitational load
collection, is a treatment option if the aim is to improve neuromuscular
function, flexibility, balance, agility, coordination and body composition.
PMID- 26545649
TI - [EFFECT OF LOW CARBOHYDRATE DIETS ON WEIGHT LOSS AND GLYCOSILATED HEMOGLOBIN IN
PEOPLE WITH TYPE 2 DIABETES: SYSTEMATIC REVIEW].
AB - INTRODUCTION: some experts have suggested out that low carbohydrate diets (LCD)
are more effective for weight loss and glycemic control. However, long term
results are controversial. OBJETIVE: to review and analyze randomized control
studies that evaluate the effect of LCD on weight and metabolic control in
individuals with type 2 diabetes for a period equal to or greater than 10 months.
METHODS: a systematic review was conducted on randomized trials registered in
PubMed, EBSCOhost and Scielo to May 15th 2015, published in English and Spanish,
with the following search data: "diabetes mellitus" AND "carbohydrate restricted
diet" OR "restricted carbohydrate diet" OR "low carbohydrate diet" AND "weight
loss". RESULTS: four studies met the inclusion criteria. There were 444
participants between 18-70yo. Follow-up time ranged between 10 to 24 months.
Three out of the four studies reported weight reduction with LCD. However, when
LCD were compared with other diets no significant differences in weight loss or
A1C levels were observed. CONCLUSIONS: this review showed that three of four
studies on the LCD were effective for weight loss over a period of 10 to 24
months. However, there was no evidence showing better results than those observed
with other diets. Nor, difference in A1C.
PMID- 26545650
TI - [THE EFFECTS OF THE PILATES METHOD ON HAMSTRING EXTENSIBILITY, PELVIC TILT AND
TRUNK FLEXION].
AB - INTRODUCTION: Pilates includes a high volume of hamstring stretching and maximal
trunk flexion with knees extended exercises. OBJECTIVE: to perform a systematic
review about Pilates practice effects and a detraining period on hamstring
extensibility, pelvic tilt and trunk flexion in maximal trunk flexion with knees
extended. METHOD: it was analysed all the experimental or quasi-experimental
designs written in English, Spanish or Portuguese and included in the following
databases: Pubmed, Sports Discus, ISI Web of Knowledge, Dialnet and Research
Gate. RESULTS AND DISCUSSION: twenty-one papers were analysed. Most of them used
a pre-test-post-test design with control group. The intervention programs applied
were heterogeneous. Samples were composed mainly of women, both young and old. It
was found that the Pilates practice, with different volume, significantly
increased hamstring muscle extensibility and pelvic tilt in maximal trunk
flexion. At least three training sessions peer week during six weeks were
necessary in order to obtain a high trunk inclination. Studies which involved
athletes showed contradictory results. By inducing a detraining period it was
noticed a decrease in hamstring extensibility and trunk flexion from the second
week. CONCLUSIONS: there is a moderate evidence that Pilates is an effective
method to increase hamstring extensibility, pelvic tilt and the degree of trunk
flexion in maximal flexion positions in sedentary and recreational active people
and also to increase hamstring extensibility in athletes.
PMID- 26545651
TI - EVOLUTION OF THE INTAKE AND NUTRITIONAL RECOMMENDATIONS OF CALCIUM AND VITAMIN D
FOR THE LAST 14 YEARS IN SPAIN.
AB - INTRODUCTION: calcium and vitamin D are essential for the human being. Scientific
evidence supports the correlation between both nutrients and their essential
processes in the correct functioning of the human's organism, which is what
justifies the increase of the recommended ingestion in Spain, since 2001 until
nowadays. OBJECTIVES: to analyse the evolution on calcium and vitamin D ingestion
related to the evolution of the Dietary Reference Intake, as well as its
repercussion, since 2001 until nowadays. DESIGN/SETTING: a bibliographical search
in major scientific data bases, PubMed, SciELO and EMBASE was conducted.
SUBJECTS: a review focused on the scientific literature on vitamin D and calcium,
the evolution of its intake, changes in nutritional recommendations and the
importance and consequences of these aspects. RESULTS: actual ingestion of
calcium and vitamin D, during this period of time, has decreased in Spain,
reaching average consumption values below recommended. CONCLUSION: it may be
necessary to increase the consumption of supplements and fortified foods, in some
specific cases, in order to achieve the Dietary Reference Intake.
PMID- 26545652
TI - [EARLY MOTHER-CHILD BONDING FACTORS ASSOCIATED WITH CHILDREN OBESITY].
AB - The aim of this study is to describe the experience of a group of mothers with
obese children, regarding how early bond affects the relationship that both have
with food and this, in turn, impacts on childhood obesity. The present study has
a qualitative, exploratory and descriptive design. The sample consists of five
chilean women between 22 and 39 years old, with obese children between 2 and 4
years old. In-depth interviews were carried out and open coding strategy was used
as method of analysis. Results show a tendency of mothers to establish insecure
attachment relations, difficulties of tuning and expression of affection, and a
predominance of a permissive parenting style around food. This has important
implications for prevention and treatment of obesity, focusing on the attachment
bond between mother and child.
PMID- 26545653
TI - [LEVELS OF OBESITY, METABOLIC PROFILE, CONSUMPTION OF TABACO AND BLOOD PRESSURE
IN SEDENTARY YOUTHS].
AB - BACKGROUND: in Chile, the National Health Survey (ENS) conducted in 2009-2010
reported high prevalence of overweight, sedentary lifestyle, high cholesterol and
metabolic syndrome in the population. OBJECTIVE: to determine the prevalence in
young sedentary obesity and consumption of tabaco and analyze their association
with the metabolic profile, body fat percentage and blood pressure. PATIENTS AND
METHODS: 125 young sedentary, 26 men and 99 women, aged between 17 and 29 years
old were evaluated. Body mass index (BMI), percent body fat (% fat), waist
contour (CC), systolic and diastolic blood pressure, total cholesterol, HDL
cholesterol (HDL-C), LDL cholesterol (LDL-C), triglycerides: measurements were
performed, glycemia and consumption of snuff. RESULTS: HDL-C (p = 0.000) and% MG
(p = 0.043) were higher in women. 37.6% of young people turned smoker. 35, 2% of
the sample showed excessive malnutrition. Obese subjects had higher levels: waist
contour (p = 0.000) and% FM (p = 0.000). When analyzing obesity DC, this showed
significant differences in BMI,% fat, systolic and diastolic blood pressure. BMI
presented positive association with CC,% fat, total cholesterol, triglycerides,
LDL, systolic and diastolic blood pressure (p < 0.05). The CC presented
association with MG%, triglycerides, systolic blood pressure and diastolic (p <
0.05). The MG% did not present association with other variables CONCLUSIONS: a
high prevalence of malnutrition by excess consumption of tabaco in the study
sample, while other variables are not high-risk categories, it is an opportune
time to intervene and reverse these negative health trends now.
PMID- 26545654
TI - [TWELVE WEEKS OF PHYSICAL EXERCISE INTERVAL WITH SURCHARGE IMPROVES THE
ANTHROPOMETRIC VARIABLES OF OBESE MORBID AND OBESE WITH COMORBIDITIES CANDIDATES
TO BARIATRIC SURGERY].
AB - INTRODUCTION: for the morbid obesity the bariatric surgery is effective, but to
major preoperative weight it might increase morbidity and mortality, for such a
motive it is necessary to implement programs that improve this condition.
OBJECTIVE: to determine the effects of a program of physical exercise interval on
the anthropometric profile of the morbidly obese and obese with comorbidities
candidates to bariatric surgery. MATERIAL AND METHODS: three men and twenty-five
women between 18 and 60 years old candidates to bariatric surgery, with morbid
obesity (n = 16) or obese and comorbidities (type-II diabetes mellitus,
hypertension, dyslipidemia, insulin resistance) (n = 12), they were subjected to
a program of physical exercise with interval overload of three months duration
(36 sessions). Before and 72 hours after the last intervention session was
evaluated on fasting (>= 12 hours): body weight, body mass index (BMI), waist
contour (WC) and contour hip. RESULTS: the average age corresponds to 36.96
years, prior to intervention the weight had an average of 102.66 +/- 15.96 kg,
BMI of 40.84 +/- 4.94, the WC 114.22 +/- 10.35 cm and the contour hip 126.84 +/-
10.65 cm. After twelve weeks of intervention showed significant decreases in
weight variables (p = 0.000), BMI (p = 0.001), WC (p = 0.000) and hip contour
(0.000). CONCLUSIONS: twelve weeks of interval exercise improved preoperative
anthropometric conditions, without presenting risks in health and with high
adherence to the program, which is why it may be recommended for this type of
treatment.
PMID- 26545655
TI - EFFECT OF HYPOENERGETIC DIET COMBINED WITH CONSUMPTION OF COCONUT FLOUR IN
OVERWEIGHT WOMEN.
AB - INTRODUCTION: the prevalence of obesity has increased, especially among women.
AIM: the aim of this study was to assess the effect of a hypoenergetic diet
combined with coconut flour on anthropometric and biochemical data and the
quality of the diet. METHODS: we carried out a crossover clinical trial involving
a step with hypoenergetic diet only and another with the diet associated with
coconut flour consumption (26 g) over the course of nine months. The volunteers
were recruited from the Sao Goncalo city of Rio de Janeiro. Anthropometric,
biochemical and dietary data were collected monthly. The diet quality index
revised for the Brazilian population (DQI-R) and the consumption of ultra
processed foods and additives were assessed. The Wilcoxon and Mann-Whitney tests
were performed, with p < 0.05 considered statistically significant. RESULTS:
forty-two women of an average 47.5 +/- 9.5 years of age participated. The
hypoenergetic diet promoted a decrease in body fat, body mass index, waist
circumference, waist-to-height ratio, visceral adiposity index, diastolic blood
pressure, triglycerides and VLDL. The consumption of coconut flour promoted a
drop in glucose and total cholesterol levels when supplementing the hypoenergetic
diet. The improvement to diet quality can be noted in the decrease in consumption
of ultra-processed foods like vegetable oil, chocolate and soft drinks.
CONCLUSION: the hypoenergetic diet promoted a decrease in the anthropometric
parameters, blood pressure and triglycerides. The consumption of coconut flour
promoted a decrease in glucose and total cholesterol levels when supplementing
the hypoenergetic diet. The improved diet quality can be seen in the decrease in
consumption of ultra- processed foods.
PMID- 26545656
TI - [THE MANAGEMENT OF QUALITATIVE AND QUANTITATIVE DIETARY TREATMENT FOR OVERWEIGHT
AND OBESITY: METHODOLOGY AND A NEW PERSPECTIVE ON INDIVIDUALISED ASSESSMENT].
AB - %WL: percentage of weight loss; % FL: percentage of fat loss. OBJECTIVE: to
describe the management methodology of a qualitative and quantitative dietary
treatment for overweight and obesity. METHOD: 4,625 consultations were conducted
with 616 overweight and obese patients over the age of 25 in the south-east of
Spain between 2006-12. A balanced, low-calorie, qualitative and quantitative diet
based on locally grown food was employed. The weight loss and maintenance dietary
treatment methodology is described, as are the units of measurement considered
suitable for the expression of successful weight loss; a new vision of
individualised counselling and multidisciplinary treatment. RESULTS: 80% of
patients obtained a % FL >= 5% (22.6+/-11.8-11.2+/-7.4), and attended
appointments for more than a month and a half. CONCLUSION: the dietary treatment
methodology is described; units of measurement are recommended for use in
consultations and in clinical trial publications, creating a precedent with a
degree of evidence on how to determine successful weight loss; it is recommended
to measure hip and waist perimeters and incorporate a study of body image; a new
vision of individualised assessment and advanced multidisciplinary care is
presented, independent of age, pregnancy and physical disabilities. The health
care professional's position should be seen as that of the person responsible for
determining which techniques might be most effective in such loss.
PMID- 26545657
TI - NUTRITIONAL AND METABOLIC ASSESSMENT IN OVERWEIGHT PATIENTS WITH AND WITHOUT
HYPERPROLACTINEMIA CAUSED BY PROLACTINOMA.
AB - INTRODUCTION: prolactinomas are pituitary adenomas that express and secrete
prolactin. These patients are overweight and the mechanisms are being studied.
GOALS: assess nutritional and metabolic status of overweight patients with and
without hyperprolactinemia caused by prolactinoma and compare them. MATERIALS AND
METHODS: cross-sectional study, patients with body mass index (BMI) >= 25 kg/m2
with and without prolactinoma: 1) 20 normoprolactinemic (NPrl) with prolactinoma;
2) 23 hyperprolactinemic (HPrl) with prolactinoma; 3) 28 controls without
prolactinoma or alterations in prolactin levels. Evaluated through
anthropometric, dietetics, and biochemical assessment. RESULTS: of the 71
patients evaluated, most were obese women with macroprolactinomas. All three
groups had diets with low caloric and monounsaturated fatty acid (MUFA) intake,
the NPrl group had low carbohydrate (CHO) intake and high lipid (LIP) and
saturated fatty acid (SFA) intake, and the NPrl and HPrl groups had appropriate
intake of polyunsaturated fatty acids (PUFA). The HPrl group had elevated total
cholesterol. HDL cholesterol was below the recommended threshold for most
patients. No statistically significant differences were found in anthropometric
and biochemical variables among the groups. CONCLUSIONS: most patients with
prolactinomas and controls are obese and metabolically similar regardless of
prolactin levels. All groups presented low caloric and MUFA intake. Protein, LIP,
SFA, and cholesterol were significantly different among the groups, the NPrl
group ingested less amount of protein and greater of fat. Snacking between meals
and changes of food consumption on weekends was reported by most patients. This
is the first study comparing patients with prolactinomas and controls, both with
overweight, regarding food consumption and feeding behavior.
PMID- 26545658
TI - NUTRITIONAL STATUS, DIET AND NON-ALCOHOLIC FATTY LIVER DISEASE IN ELDERS.
AB - OBJECTIVE: evaluate the inter-relations between non-alcoholic fatty liver disease
(NAFLD) and dietary factors in a population of hypertensive elders. METHODS: 229
hypertensive elder patients were evaluated, from June to December 2009. All the
patients that accepted to participate in the study signed a free consent term. An
anthropometric evaluation was carried out and the body composition was evaluated.
The diagnosis of NAFLD was determined by the American guidelines. The regular
food intake was estimated through a 24 hour questionnaire. RESULTS: the weighted
excess, by the body mass index and excess of abdominal fat, were associated with
NAFLD (p < 0.001). An inverse profile was found with the diet variables.
CONCLUSION: the studied group presents a health risk situation, considering the
nutritional status markers. The regular diet appeared to be inadequate, showing
excess of sodium and low fiber and vegetables intake.
PMID- 26545659
TI - [ACCURACY PARAMETERS AS INDICATORS OF ANTHROPOMETRIC ADIPOSITY VISCERAL SCHEDULED
FOR TWO-DIMENSIONAL EQUATION].
AB - INTRODUCTION: anthropometric indicators are easy to apply and can help identify
the accumulation of visceral fat, which favors the occurrence of cardiovascular
events, increasing morbidity and mortality from chronic degenerative diseases.
OBJECTIVE: to evaluate the accuracy of anthropometric indicators for the location
of abdominal fat in determining visceral adiposity. SUBJECTS/METHODS: cross
sectional study conducted among patients attending outpatient, of both sexes,
aged over 20 years. Evaluated: Waist Circumference (WC), Waist-Hip Ratio (WHR),
waist-to- stature ratio (CER), conicity index (CI); Sagittal Abdominal Diameter
(DAS); Coronal Diameter (DC); Mass Index (BMI), percentage of body fat (% BF);
Visceral Adiposity (AV/AS) predicted by the formula , fasting glucose , total
cholesterol (TC), Low Density Lipoprotein (LDL), high density lipoprotein (HDL),
Very Low Density lipoprotein (VLDL) and Triglycerides (TG). RESULTS: 129 patients
were included, mean age 51, 06 + 14.02 years and a higher prevalence of adults
(72,9%) and female (75,2%). CC 102,9 (+ 10,82 ), CI 2,08 (+ 0,13), and the AV/AS
1,03 (+ 0,16), showed high values with statistical significance among men, p.
PMID- 26545660
TI - [VALUES OF WAIST/HIP RATIO AMONG CHILDREN AND ADOLESCENTS FROM BOGOTA, COLOMBIA:
THE FUPRECOL STUDY].
AB - OBJECTIVE: the aim was to establish reference standards for waist/hip ratio among
Colombia children and adolescent aged 9 to 17.9 years who participated in "The
FUPRECOL Study". METHODS: cross-sectional study. A sample of 3 005 children and 2
916 adolescents healthy Colombian youth (boys n = 2 542 and girls n = 3 384)
participated in the study. Height, weight, waist circumference, hip circumference
and sexual maturation status were measured. Reference curves were fitted with the
LMS method (L [curve Box- Cox], M [curve median] and S [curve coefficient of
variation]), for boys and girls, stratified by age group, and to compare them to
international references. RESULTS: in all ages, the waist/hip ratio was higher in
boys than in girls. Subjects whose waist/hip ratio was above the 90th percentile
of the standard normal distribution were considered to have high cardiovascular
risk (boys range 0.87 to 0.93 and girls range 0.85 to 0.89). Overall, our
waist/hip ratio values were lower than Europe, Asia and Africa values and similar
to those of some Latin American references. CONCLUSIONS: values reference charts
for waist/hip ratio values specific for age and sex, obtained from children and
adolescents from Bogota, Colombia, are provided. They may be used regionally,
both for nutritional assessment and to predict cardiovascular risks in early age.
PMID- 26545661
TI - [BREASTFEEDING PROBLEMS AND OTHER FACTORS ASSOCIATED WITH EXCESSIVE NEONATAL
WEIGHT LOSS IN A SOCIAL SECURITY HOSPITAL IN LIMA, PERU].
AB - INTRODUCTION: during the first days of life the mother is the main source of
nutrients for the newborn. However, breastfeeding difficulties are common and may
generate excessive neonatal weight loss. OBJECTIVE: estimate the magnitude and
association between breastfeeding problems and excessive neonatal weight loss
beyond the physiological norm in the neonatology ward in a social security
hospital in Lima, Peru. METHODS: we conducted a cross sectional analysis of the
recorded neonatal weight in a routine evaluation (between 24 and 72 hours of
life) and compared it against birth weight. Excessive weight loss was defined as
a difference greater than or equal to 7 %. Breastfeeding problems (defined as
problems with breastfeeding initiation, mouth position, breastfeeding duration,
breastfeeding frequency, too much clothing, nipple pain and C form) were
investigated using a survey and visual verification. The association between
excessive weight loss and breastfeeding problems, adjusted by other factors, was
quantified using a multiple generalized linear model. RESULTS: excessive weight
loss was present in 18.8% (74/393) of the newborns. Improper positioning of the
mouth on the nipple was present in 53.7% (211/393) of neonates while nipple pain
was reported by 44.0% (173/393) of mothers. In the adjusted analysis, nipple pain
[PR = 1.50 (95% CI: 1.02 to 2.22)] and improper positioning of the mouth [PR =
1.67 (95% CI: 1.09- 2.57)] were associated with an increased occurrence of
excessive neonatal weight loss. CONCLUSIONS: breastfeeding problems are common.
These difficulties are significantly associated with an increased occurrence of
excessive neonatal weight loss. Improvements in breastfeeding practices, for
example through educational programs, may decrease the occurrence of excessive
neonatal weight loss.
PMID- 26545662
TI - ANEMIA IN PREGNANCY: IMPACT ON WEIGHT AND IN THE DEVELOPMENT OF ANEMIA IN
NEWBORN.
AB - INTRODUCTION: nutritional deficiencies are still a common problem during
pregnancy causing anemia. Gestational anemia is still considered a public health
problem in Brazil, because it is hazardous to both mother and fetus, and is
associated with increased risk of maternal-fetal morbidity, as well as the
nutritional status of child. OBJECTIVE: to evaluate the frequency of maternal
gestational anemia in newborns and its relation to the nutritional status of the
child at birth. METHODS: anthropometric data of pregnant women and their newborns
were obtained. Blood was collected from pregnant women and the umbilical cord of
newborns for analysis of hemoglobin, hematocrit, RDW, iron, ferritin and
transferrin saturation index in automatic devices. The results are presented such
as the arithmetic mean and the standard deviation. GraphPadinStat Software
version 3.0 was used, with a maximum significance level of 5%. RESULTS: the
frequency of maternal anemia was 53.7%, and 32.6% in newborns. Half the newborns
were anemic children of anemic mothers. 79.3% of the anemic pregnant women had
mild anemia and in 20.7% moderate. The average concentration of hemoglobin and
hematocrit was lower in anemic pregnant women (9.7 +/- 0.9 g/dL and 29.8 +/-
3.2%) compared with non-anemic (11.9 +/- 0.7 g/ dL and 36.5 +/- 2.7%). The
maternal iron was positively correlated with ferritin (r = 0.3889, p = 0.01) from
umbilical cord blood. The newborns' weight, length and head circumference of
anemic mothers were 3 375.9 +/- 506,9 g, 51.2 +/- 1.7 cm and 34.5 +/- 1.5 cm,
respectively, while of nonanemic mothers were 3 300.2 +/- 458,4 g, 50.3 +/- 2.0
cm and 34.2 +/- 2.0 cm, respectively. There were no significant correlations
between maternal hemoglobin, iron and ferritin with weight, length and head
circumference of newborns. CONCLUSION: the results of this study show that
maternal iron deficiency anemia (mild to moderate) can affect the blood profile
and iron concentrations in umbilical cord blood of newborns, but without
interfering with the child's anthropometric parameters.
PMID- 26545663
TI - DEVELOPMENT AND VALIDATION OF TWO FOOD FREQUENCY QUESTIONNAIRES TO ASSESS GLUTEN
INTAKE IN CHILDREN UP TO 36 MONTHS OF AGE.
AB - BACKGROUND AND OBJECTIVE: precise information on gluten consumption is crucial
for specifically studying the impact of gluten introduction and gluten intake in
celiac disease development. Our aim was to develop and validate tools (food
frequency questionnaires, FFQs) for the assessment of gluten consumption in
Spanish children aged 7-36 months. METHODS: a total of 342 children, who attended
primary healthcare centers for routine health surveys or La Fe Hospital for minor
health problems as well as healthy children (recruited in nurseries and primary
schools) participated in this survey. We have developed two different FFQs (one
for 7-12 months and other for 13-36 months). For validation, results from two
FFQs were compared with results of 2-day food records and also with the gold
standard 7-day records. The mean gluten intake obtained by the 2DR vs. FFQ and
the 7DR vs. FFQ, were compared using the Bland Altman plot method and also Lin's
concordance correlation coefficient. RESULTS: we found a good agreement between
our FFQs and the 2DR and 7DR according to the results of both the Bland-Altman
plots and Lin's concordance correlation coefficient. CONCLUSIONS: our two new
FFQs are therefore the only validated questionnaires available to determine
gluten consumption in Spanish children. They are user-friendly and offer
excellent instruments to assess gluten intake in children up to 36 months of age.
PMID- 26545664
TI - [EATING DISORDERS IN PEDIATRIC AGE: A BOOM PATHOLOGY].
AB - AIMS: to determine the characteristics of pediatric patients suffering from
eating disorders that were hospitalized at Hospital Universitario de Canarias.
MATERIALS AND METHODS: a retrospective study in a cohort of pediatric patients
diagnosed with eating disorders and admitted in our area was developed during the
last seven years. RESULTS: out of 35 patients in our study, 85.7 % were women,
onset average age 13.5. 77.1% of the cases were diagnosed as anorexia nervosa-
restrictive type. The most frequent analytical alterations, detected when
patients were in hospital, consisted in a drop in plasma levels in retinol
binding protein (RBP)- in 57.6% of the cases- and D hypovitaminosis- in 46.9 % of
them: the use of high - calories supplements was required in 71.4% of patients
during hospitalization. The average weight gain was higher when the body mass
index (BMI) was smaller at patient's admission to hospital (p = 0,006).
Conclussions: eating disorders are increasing in pediatric age: puberty is a
special vulnerable period for its development, as well as medical complications
secondary to malnutrition. Admission to hospital is an essential tool for
handling many cases; taking the necessary monitoring leading to a weight
increase, preventing complications in nutritional support and tackling the
underlying psychopathology. Diagnosis and a precocious treatment are crucial to
avoid an excessive weight loss and more complications.
PMID- 26545665
TI - [UNHEALTHY FOOD INTAKE IS LINKED TO HIGHER PREVALENCE OF METABOLIC SYNDROME IN
CHILEAN ADULT POPULATION: CROSS SECTIONAL STUDY IN 2009-2010 NATIONAL HEALTH
SURVEY].
AB - INTRODUCTION: metabolic syndrome (MS) is a clustering of risk factors known to
promote cardiovascular disease and diabetes. Environmental factors, such as
unhealthy diet, play a major role in the development of this condition. In this
study, we evaluated the prevalence of MS and its association with food intake
quality among Chilean adults. METHODS: we analyzed data of 2 561 adults (>= 18
years-old) included in the last National Health Survey (NHS 2009-2010) who had
appropriate information to diagnose MS based on ATP III-NCEP guidelines.
Consumption frequency of fish, whole grains, dairy, fruits and vegetables was
also analyzed and associated with MS prevalence. Using a healthy diet score
(HDS), we described the overall diet quality and further correlated it with MS
prevalence. RESULTS: we found that lower whole grain intake was associated with
greater MS prevalence (OR = 1.78; 95% CI: 1.088-2.919; p = 0.022). HDS showed
better diet quality among women and in subjects with increasing age and higher
educational level. A HDS < 3 points was associated with an increased risk of MS
(OR HDS < 3 / HDS >= 3 = 3.69; 95% CI 1.884-7.225, p < 0.001). CONCLUSION:
Chilean adult population exhibits a high prevalence of MS linked to a poor diet
quality.
PMID- 26545666
TI - DIAGNOSIS OF METABOLIC SYNDROME IN CHILDREN AS A POTENTIAL INDICATOR OF TECHNICAL
ABILITY IN MEDICAL AND NUTRITIONAL CARE.
AB - BACKGROUND: currently, there is an increase in the incidence of obesity in the
pediatric population, which is associated with an increase in metabolic syndrome
(MetS). Thus, an early diagnosis of MetS is needed in this population to improve
the prevention of cardiovascular disease and type 2 diabetes in adulthood.
OBJECTIVE: the aim of this study was to explore the ability of health
professionals to identify the main criteria for MetS in children, which is
required for establishing the conditions for early diagnosis and timely
treatment. METHODS: a cross-sectional study was conducted using a survey and
conducted in two states of the republic (Aguascalientes and Hidalgo) and in the
Federal District from January to December 2014. RESULTS: the questionnaire was
applied to 274 health professionals. Most of these professionals (61.7%) reported
knowledge of the criteria for MetS diagnosis in children and adolescents.
Additionally, it was observed that 63.1% of professionals did not perform the
needed measurements the waist of circumference in preschool children and that
46.4% did not measure them in school children. However, 64.6% of professionals
performed the measurements in adolescents. CONCLUSIONS: this study found that
health professionals providing care to pediatric patients do not know the
parameters and cutoff points for MetS diagnosis. They do not conduct a deliberate
search for MetS risk factors, which is a major limitation for diagnosis and early
treatment. Therefore, we suggest a systematic approach for maintaining the
standards of health professionals and to make determining the criteria for proper
diagnosis of MetS a target of clinical practice.
PMID- 26545667
TI - EFFECTS OF OATS ON LIPID PROFILE, INSULIN RESISTANCE AND WEIGHT LOSS.
AB - INTRODUCTION: cardiovascular diseases are the main cause of morbidity worldwide.
Such prevalence justifies the importance of functional foods that promote
cardiovascular health, like ?-glucan present in oats, with potential
hypocholesterolemic and hypoglycemic effects. OBJECTIVE: to evaluate the effects
of an intervention with oats in blood glucose levels, HOMA-IR index, lipid
profile, weight and Body Mass Index (BMI) of adult users of a health service in
the interior of Rio Grande do Sul, Brazil. METHODS: longitudinal study, case
control type with before and after experiment, conducted with individuals that
are 22-60 years old, users of a health service. The individuals were distributed
in Control (usual diet) and Case (usual diet + 40 g oats/day) groups. There was
performed, at the beginning of the study and after eight weeks of monitoring,
measuring of height and weight, calculation of BMI (kg/m2), blood collection for
measurement of fasting glucose, insulin, total cholesterol, triglycerides and HDL
cholesterol; and there were calculated the LDL cholesterol and HOMA-IR index. The
data was expressed as mean +/- standard deviation and percentages. The Kolmogorov
Smirnov test, Student t test, Mann-Whitney and Wilcoxon tests were applied. A
significance level of 5% was adopted (p < 0.05). RESULTS: the sample consisted of
82 subjects, divided into cases (n = 38) and controls (n = 44), mean age 40.07 +/
10.49 years old, 58.5% were women. Comparing the results of all measured
parameters at baseline and after eight weeks of monitoring, the Control group did
not achieve a significant reduction in any parameter, showing a significant
increase in blood glucose and HOMA- IR (p < 0.05). The Intervention group had a
significant reduction of all anthropometric and biochemical parameters analyzed
(p < 0.001). CONCLUSION: the findings demonstrate the beneficial effects of oats
to cardiovascular health through significantly improving of the lipid and
glycemic profiles, being a potential adjuvant in the prevention and treatment of
metabolic disorders.
PMID- 26545668
TI - [STUDIES IN VITRO INHIBITION OF THE ANGIOTENSIN-CONVERTING ENZYME-I, HYPOTENSIVE
AND ANTIHYPERTENSIVE EFFECTS OF PEPTIDE FRACTIONS OF V. UNGUICULATA].
AB - Inhibition of angiotensin-converting enzyme I (ACE-I) in vitro and in vivo from
peptide fractions by enzymatic hydrolysis of the Vigna unguiculata protein
concentrate was evaluated. Hydrolysis was done with Pepsin-Pancreatin and
Flavourzima in two separate systems. The resulting hidrolysates were
ultrafiltrated to obtain fractions with different molecular weight. The fractions
with better inhibition Flavourzima were size > 1 kDa (> 1 kDa-F) and < 1 kDa (< 1
kDa-F), with an IC50 of 1222.84 and 1098.6 MUg/ml respectively. Pepsin-Pancreatin
fraction.
PMID- 26545669
TI - GANODERMA LUCIDUM IMPROVES PHYSICAL FITNESS IN WOMEN WITH FIBROMYALGIA.
AB - INTRODUCTION: fibromyalgia is a chronic disease characterized by generalized
pain, stiffness, poor physical conditioning, non-restorative sleep and poor
health-related quality of life. Ganoderma lucidum a type of mushroom that has
demonstrated several benefits in different populations. Ceratonia siliqua is a
natural therapy rich in antioxidants with potential benefits on health.
OBJECTIVE: to evaluate the effects of 6-week treatment of Ganoderma lucidum and
Ceratonia siliqua on physical fitness in patients suffering from fibromyalgia.
METHODS: sixty-four women with fibromyalgia participated in the study. They took
6 g of Ganoderma lucidum or Ceratonia siliqua per day for 6 weeks. Different
fitness tests were selected in order to evaluate functional capacity. RESULTS:
after the 6-week treatment period, Ganoderma lucidum significantly improved
aerobic endurance, lower body flexibility, and velocity (p < .05). No significant
improvement in any physical test was observed in the Ceratonia siliqua group.
DISCUSSION AND CONCLUSION: Ganoderma lucidum may improve physical fitness in
women with fibromyalgia, whereas, Ceratonia siliqua seemed to be ineffective at
increasing physical fitness. These results may indicate that Ganoderma lucidum
might be a useful dietary supplement to enhance physical performance of the
patients suffering from fibromyalgia.
PMID- 26545670
TI - NOVEL INSIGHTS ON INTAKE OF MEAT AND PREVENTION OF SARCOPENIA: ALL REASONS FOR AN
ADEQUATE CONSUMPTION.
AB - INTRODUCION: sarcopenia is defined as a syndrome characterized by progressive and
generalized loss of muscle mass and strength. The main cause of sarcopenia is the
alteration of protein metabolism, in which the proteolytic processes are not
accompanied by an appropriate protein synthesis and muscle cells lose
progressively the sensitivity to the anabolic stimulus. The most rational
approach to delay the progression of sarcopenia and counteract the anabolic
resistance is proper nutrition. Meat contains biologically active compounds, such
as creatine, carnitine, Conjugated Linoleic Acid (CLA) which have significant
impacts upon human protein metabolism. METHODS: we performed a narrative
literature review to evaluate the till-now evidence regarding: 1. adequate intake
of meat in elderly as a topic for prevention of sarcopenia; 2. the correct intake
of biologically active compounds contain in meat, which have significant impacts
upon human protein metabolism and so have beneficial effects on prevention of
sarcopenia. This review included 62 eligible studies. RESULTS: the results
demonstrated that in elderly the optimum diet therapy for the sarcopenia
prevention and treatment, which must aim at achieving specific metabolic goals,
must recommend the consumption of 113 g of meat (220 kcal; 30 g protein) five
time a week. CONCLUSION: in a varied and balanced diet, for preventing
sarcopenia, it is recommended to assume meat 4-5 times a week (white meat 2 times
per week, lean red meat less than 2 times per week, processed meat less than 1
time per week), as suggested in the diet pyramid for elderly.
PMID- 26545671
TI - A COCONUT EXTRA VIRGIN OIL-RICH DIET INCREASES HDL CHOLESTEROL AND DECREASES
WAIST CIRCUMFERENCE AND BODY MASS IN CORONARY ARTERY DISEASE PATIENTS.
AB - INTRODUCTION: saturated fat restriction has been recommended for coronary
arterial disease, but the role of coconut oil (Cocos nucifera L.) extra virgin,
lauric acid source in the management of lipid profile remains unclear. OBJECTIVE:
to evaluate the effect of nutritional treatment associated with the consumption
of extra virgin coconut oil in anthropometric parameters and lipid profile.
METHODS: we conducted a longitudinal study of 116 adults of both sexes presenting
CAD. Patients were followed in two stages: the first stage (basal-3 months),
intensive nutritional treatment. In the second stage (3-6 months), the subjects
were divided into two groups: diet group associated with extra virgin coconut oil
consumption (GDOC) and diet group (DG). Held monthly anthropometric measurements:
body mass, waist circumference (WC), neck circumference (PP), body mass index
(BMI). Gauged to collected blood pressure and blood samples were fasted for 12
hours, for total cholesterol analysis and fractions apoproteins (Apo A-1 and B),
glucose, glycated hemoglobin (HbA1C), insulin (I). Comparing the averages at the
beginning and end of the study employing the paired Student t-independent. And
set the diastolic blood pressure by BMI using ANOVA. Analyses were performed
using the SPSS statistical package, being significant p < 0.05. RESULTS: the mean
age of the population was 62.4 +/- 7.7 years, 63.2% male, 70% elderly, 77.6%
infarcted, 52.6% with angina, hypertension and dyslipidemia 100%. In the first
stage the nutritional treatment reduced body weight, WC, BMI and PP and insulin
concentrations, HbA1C, HOMA-IR and QUICK, without changing the other parameters.
In the second stage of the study, it was observed that the GDOC maintained the
reduction of body mass, BMI, WC, with a significant difference between groups for
DC (-2.1 +/- 2,7 cm; p < 0.01). In addition, there was an increase in HDL-C
concentrations, Apo A, with significant difference in GD, only for HDL-C (3.1 +/-
7.4 mg/dL; p = 0.02). CONCLUSION: it was observed that the nutritional treatment
associated with extra virgin coconut oil consumption reduced the CC and increased
HDL-C levels in patients with CAD.
PMID- 26545672
TI - IN VITRO AND IN VIVO ANTIOXIDANT ACTIVITY OF BURITI FRUIT (MAURITIA FLEXUOSA
L.F.).
AB - INTRODUCTION: studies have shown high concentration of monounsaturated fatty
acids, carotenoids, polyphenols and ascorbic acid in Buriti fruit (Mauritia
flexuosa L.f.). This study evaluated the in vitro and in vivo antioxidant
activities of buriti fruit (Mauritia flexuosa L.f.). METHODS: the chemical
composition and total phenolic and carotenoid contents of the buriti pulp and the
feed rations were determined, and the in vitro antioxidant activity was analyzed
using the 2,2-diphenyl-1-picrylhydrazyl (DPPH) radical assay. Wistar rats (21
days old) were randomly allocated (n=10) into a control groups and experimental
groups (feed enriched with buriti pulp). After 60 days, the in vivo antioxidant
activity was evaluated through the determination of the catalase activity and non
protein sulfhydryl (NPSH) groups in the liver and quantification of
malondialdehyde (MDA) in the plasma and tissues. RESULTS: high contents of oleic
fatty acids (73.3%), phenolic compounds (192 +/- 0.3 mg/100 g) and carotenoids
(23.9 +/- 0.5 mg/100 g) as well as elevated in vitro antioxidant activity were
found in the buriti pulp. The enriched diet had higher contents of phenols and
carotenoids as well as higher antioxidant activity compared with the standard
feed (p < 0.05). There were no differences between the groups regarding catalase
activity in the liver and MDA concentrations in the plasma, liver and kidneys.
The male rats of the experimental group had higher liver concentrations of NPSH
compounds (p < 0.05). CONCLUSION: these results may corroborate the claim that
buriti fruit is an antioxidant functional food and support its utilization in a
nutritionally balanced diet.
PMID- 26545673
TI - PREVALENCE AND FACTORS ASSOCIATED WITH VITAMIN B12 DEFICIENCY IN ELDERLY FROM
VICOSA/MG, BRASIL.
AB - The prevalence of vitamin B12 nutritional deficiency increases with age and it is
particularly common in elderly people. The objective this study was determining
its prevalence and the factors associated with this condition in non
institutionalized elderly from Vicosa, Minas Gerais State, Brazil. METHODS: a
cross-sectional, population-based study was conducted in order to identify the
prevalence and the factors associated with vitamin B12 deficiency among the
elderly population in Vicosa (MG). Data were collected from August 2011 to June
2012, by means of a household survey and hematological and biochemical tests
performed in 340 elderly. RESULTS: the prevalence of vitamin B12 deficiency in
this group was 17.4% (95% CI, 13.4% - 21.4%). Cognitive impairment appears to be
an important factor related to vitamin B12 deficiency. CONCLUSIONS: the current
paper contributes to studies that emphasize some factors that may affect elderly
performance in their natural aging process, especially when these factors are
associated with cognitive impairment and lead to significant disability and loss
of quality of life. Thus, the herein presented results were able to provide more
comprehensive knowledge on the relation between B12 deficiency and its impact on
this population. They also proved to be relevant for planning public health
programs and initiatives that target on this age group.
PMID- 26545674
TI - [MALNUTRITION IN THE ELDERLY PATIENT TO HOSPITAL ADMISSION, AN OLD PROBLEM
UNSOLVED].
AB - OBJETIVE: to determine the prevalence of malnutrition in patients aged 65 years
or more at admission and factors associated with its presence. Analyze excess
hospital stay (EHS), economic impact and premature readmission rate associated
with hospital malnutrition in elderly patient. MATERIAL AND METHOD: retrospective
study conducted at the University Hospital Reina Sofia. All patients aged 65
years or older admitted to internal medicine in 2011. The sample size was
calculated taking into account the income of the previous year, and considering a
prevalence of malnutrition of 50% with a 95% and included error of 5%. To define
the degree of malnutrition nutritional control tool (CONUT), which establishes a
score based on albumin, total cholesterol and lymphocyte determination was used.
To determine the factors associated with the presence of moderate to severe
malnutrition analysis of multivariate logistic regression was performed. For each
patient the EHS, premature readmissions and the associated cost to EHS was
calculated. A threshold of statistical significance of 0.05 was used for all
analyzes and were performed with SPSS v15.0. RESULTS: 310 patients, of whom 54.2%
were women were included, the mean age was 80.1 years (SD: 6.8), ranging between
65 and 95 years. Regarding diagnosis at admission 27.4% were respiratory
diseases, 22.6% of the circulatory and digestive 11.6%. The median Charlson index
was 2.0, found that 36.8% of patients had high comorbidity. The most prevalent
chronic diseases were diabetes mellitus (44.2%), chronic kidney disease (25.2%)
and dementia (10.6). Regarding the CONUT, 75.8% of patients met the criteria of
malnutrition: 42.6% mild, 28.7% moderate and severe 4.5%, of which only 46.6% had
some nutritional support during admission. Factors associated with the presence
of moderate to severe malnutrition were female gender (OR: 1.7; 95%: 1.1 - 2.8),
age over 80 years (OR: 2.0, IC 95%: 1.2 - 3.5), and dementia (OR: 2.4; IC 95%:1.2
- 5.2). No association with comorbidity or with other chronic diseases was found.
Regarding the EHS (days) differences between patients with moderate to severe
malnutrition (4.7; IC 95%: 2.3 - 7.1) and normally nourished (-0.1; IC 95%: -1.4
1.2) (p = 0.001) were found, but were not for cases of mild malnutrition (1.6,
95%: 0.5-2.8) (p = 0.07).Regarding the rate of premature readmission in
malnourished patients was 28/235 (11.9%). The cost associated with EHS / 100
patients was ? 195 479.4 for moderate malnutrition, mild malnutrition ? 73 484.8,
and normally nourished patients represented a saving of ? 12 353. CONCLUSIONS:
hospital malnutrition in elderly patients remains an unsolved problem, given the
high prevalence found, associated to an excess of hospital stay and increased
hospital costs, especially in patients with moderate to severe malnutrition. The
CONUT is a nutritional screening tool very useful for the speed and validity of
their results, and allows detecting patients at risk or nutritional alert without
lead to increased costs.
PMID- 26545675
TI - CHRONIC ENTERITIS IN PATIENTS UNDERGOING PELVIC RADIOTHERAPY: PREVALENCE, RISK
FACTORS AND ASSOCIATED COMPLICATIONS.
AB - INTRODUCTION: the radiation of tumours located in pelvic organs can cause
mucositis in the bowel. The aim of this study was to determine the prevalence,
risk factors, and complications of chronic radiation enteritis in patients who
had received pelvic radiotherapy. PATIENTS AND METHODS: cross-sectional study
recruiting 150 patients that had been treated with radiation therapy during the
year 2008 because of a prostate, cervical, endometrial or rectal cancer. The
patients were asked about symptoms related to enteritis, and about changes in
body weight and in dietary patterns. Sex, age, treatment modalities, acute
enteritis, and type of cancer were considered possible risk factors, and were
analysed with univariate and multivariate methods. RESULTS: the study included
100 patients, 84% males, median age 72.3 years. Chronic radiation enteritis was
found in 20% of the patients, most of them grade 1 (45%). Furthermore, 10% had
lost >= 5 kg of weight, 3% had been hospitalized due to diarrhoea or bowel
obstruction, and 11% had changed their diet, mainly by removing vegetables,
legumes and pastry. Male gender, age, previous acute radiation enteritis, and
chemotherapy were associated with chronic enteritis, but only chemotherapy
remained independently related to bowel toxicity after multivariate analysis (OR
= 3.59 [95% CI 1.20-10.73]). CONCLUSION: chronic enteritis is common among
patients treated with pelvic radiotherapy, especially if chemotherapy is
associated. The complication rate is low, but a significant number of patients
change their usual diet in order to prevent symptoms.
PMID- 26545676
TI - [PHYSICAL ACTIVITY LEVELS, PHYSICAL FITNESS AND SCREE TIME AMONG CHILDREN AND
ADOLESCENTS FROM BOGOTA, COLOMBIA].
AB - OBJECTIVE: to investigate the association between objective measures of physical
activity levels, physical fitness and screen time in Colombia children and
adolescents from Bogota, Colombia. METHODS: a sample of 149 healthy Colombian
youth, children and adolescents (9-17.9 years old) participated in the study.
Physical activity level was assessed over 7 days using an accelerometer. Weight,
height, waist circumference, hip waist, subscapular/ triceps skinfold thicknesses
and self-reported screen time (television/internet and videogame-viewing time)
were measured. Aerobic capacity, handgrip strength, standing broad jump, vertical
jump, speed/agility and flexibility were used as indicators of physical fitness.
RESULTS: in girls with a high level of physical activity had favorable aerobic
capacity (r = 0.366) and inverse relationship with subscapular/triceps skinfold
thicknesses (r = -0.257) and (r = -0,237) p < 0.05, respectively. In boys,
vigorous physical activity were associated with higher values of flexibility (r =
0.277) and aerobic capacity (r = 0.347), p < 0.05. Finally, the participants who
watched 2 h or less of television per day showed 1.81 times (95%CI 1.401 to
2.672) that met physical activity guidelines. CONCLUSIONS: the healthy Colombian
youth who reported moderate to vigorous objective measures of physical activity
levels, presented higher levels in physical fitness especially in aerobic
capacity and flexibility and lower values in subscapular/triceps skinfold
thicknesses.
PMID- 26545677
TI - A SHORT-TERM CIRCUIT RESISTANCE PROGRAMME REDUCED EPICARDIAL FAT IN OBESE AGED
WOMEN.
AB - INTRODUCTION: this study was conducted to ascertain the effects of resistance
circuit training on epicardial adipose tissue (EAT) in obese aged women. A
secondary objective was to assess muscle damage induced by supervised resistance
training to confirm the intervention program was effective and safe. METHODS: in
the present interventional study, a total of 48 obese aged women were recruited
from the community. Twenty-four of them were randomly assigned to perform a 12
week resistance circuit training programme, 3-days per week. This training was
circularly performed in 6 stations: arm curl, leg extension, seated row, leg
curl, triceps extension and leg press. The Jamar handgrip electronic dynamometer
was used to assess maximal handgrip strength of the dominant hand. Two
experienced observers assessed EAT by transthoracic two-dimensional
echocardiography. Lastly, serum samples were analysed using one-step sandwich
assays for creatine kinase activity (CK) and myoglobin (MB) concentration.
RESULTS: as was hypothesized, resistance training significantly reduced EAT
thickness (8.4 +/- 1.0 vs. 7.3 +/- 1.3 mm; p = 0.014; d = 0.76) in the
experimental group. Resistance training induced no significant changes in markers
of muscle damage such as CK (181.6 +/- 36.9 vs. 194.2 +/- 37.8 U/l; p = 0.31) and
MB (62.4 +/- 7.1 vs. 67.3 +/- 7.7 ng/ml; p = 0.26). No significant changes in any
of the tested outcomes were found in the control group. CONCLUSION: resistance
training reduced EAT in aged obese women. A secondary finding was that the
training program was effective and safe. While current results are promising,
future studies are still required to consolidate this approach in clinical
application.
PMID- 26545678
TI - [EFFECTS OF VOLUNTARY INTAKE OF FLUIDS (WATER AND SPORTS DRINK) IN AMATEUR
MOUNTAIN RUNNERS].
AB - INTRODUCTION: 21 K and 1 000 m ascent trail-running races can be dangerous if an
appropriate liquid replacement isn't maintained. OBJECTIVES: know trail-runners
level of dehydration and assess the differences between ad libitum water (CcA)
and sport drink (CcB) hydration after a trail running race. METHODS: 18 subjects,
mean age 31.9 (+/-2.8) and %MG 10.53 (+/-2.8), completed CcA or CcB. CcA and CcB
effects on Weight (P), Fat Mass (MG), Glucose (GL), Lactate (LT), Systolic (TAS)
and Diastolic Arterial Tension (TAD), Heart Rate (PPM) and Tympanic Temperature
(Ta) were assessed. All measurements were taken at the start (T1) and the finish
(T2) of each race. A Friedman ANOVA test was used to calculate the effect of CcA
and CcB on each variable. Differences between race time (TC), % bodyweight loss
(%PP) and liquid ingestion (LI) were assessed with a Wilcoxon test. All
statistical analysis were conducted with SPSS version 20.0 (IBM, Somers, NY,
USA). RESULTS: mean %PP was 2.967 (+/- 0.969) and 2.883 (+/- 0.730) for CcA and
CcB respectively. No significant differences were found between %PP and LI (p >
.05) between races. Significant changes were found in P, %MG, GL, L, TAS and PPM
between T1 and T2 in CcA condition. While only significant changes in P and PPM
were stabilised between T1 and T2 in CcB condition. CONCLUSIONS: neither water or
sport drink ingestion avoid trail-runners to reach a dehydrated state. However,
dehydration effects seems to be more serious when only water is ingested.
PMID- 26545679
TI - BODY COMPOSITION AND SOMATOTYPE OF PROFESSIONAL AND U23 HAND BASQUE PELOTA
PLAYERS.
AB - INTRODUCTION: there is hardly any reference in scientific literature regarding
anthropometric characteristics, body composition and somatotype of hand Basque
pelota players (pelotaris). OBJECTIVES: the aim of this research was to analyze
and compare the anthropometry features and body composition of professional
pelotaris and under-23 (U23) pelotaris, to create an anthropometric profile of
this sport. METHODS: the participants were ten U23 pelotaris, and eight
professional pelotaris. Anthropometric measurements were taken following the
International Society of Advancement of Kinanthropometry (ISAK) protocol. Fat
mass (FM) was calculated using the Yushasz equation modified by Carter and muscle
mass (MM) using Lee equation. For the somatotype components, the Carter y Heath
equation was applied. The hydration level (kg of body water) of the players was
measured with a four-pole kind bioelectrical impedance (BIA) analyzer. Spss was
used for the statistical analysis. RESULTS: professional pelotaris have
significantly lower FM (p < 0.05) and lower sum of 4, 6 and 8 skinfolds (p =
0.001), higher MM (p = 0.015), and a less endomorphic somatotype (p < 0.001) than
U23 pelotaris. Professionals have a greater amount of body water (p = 0.001) and
a larger bistyloid diameter (p = 0.014). Professional pelotaris have a morphotype
characterized by a low FM 8.9 +/- 1.1% and medium MM 47 +/- 1.7%, height of 183.0
+/- 7.1 cm and BM of 85.9 +/- 7.6 kg. CONCLUSION: The main results of the present
study show that non-modifiable anthropometric features by training (e.g. height,
arm span and wrist breadth) are important to become a professional pelotaris.
Moreover, training and diet related features have been showed to be better in
professional pelotaris (low FM, higher MM and high body water amount) than U23
pelotaris.
PMID- 26545680
TI - ASSESSMENT OF SOMATIC MATURATION OF VENEZUELAN ADOLESCENTS.
AB - INTRODUCTION: beginning of adolescence comprises important physical
modifications, which affects growth and changes in body composition, therefore it
is important to consider maturation assessment. OBJECTIVE: to develop a non
invasive method to assess maturity status in Venezuelan adolescents from peak
height velocity (PHV) by means of anthropometric variables in a cross-sectional
sample. METHODS: data comprised 681 Venezuelan adolescents 9 up to 18 years of
age. Mirwald equation was applied to derived PHV. Regression analysis was used in
order to build a model for Venezuelan sample as well as, ROC curves to assess
sensitivity and specificity of anthropometric variables. RESULTS: the predictive
equations for both sexes, exhibited a high determination coefficient (< 0.99) and
a minimal estimation error (0.06). Mean decimal age at categories of PHV obtained
by both equations: criterion and model, were very similar (13.27 vs. 13.39) for
boys, and (11.62 vs. 11.77) for girls. Bland-Altman plot showed a tight
concordance between the two equations and all anthropometric indices, exhibited
high area under the curve > 0.75, specially sitting height. DISCUSSION AND
CONCLUSION: in Venezuela, there is little work based on longitudinal studies of
the maturation status. Giving the difficult faced in the longitudinal studies
recent works have been used cross-sectional methodology in the assessment of the
somatic maturation, both in non athletic and athletic populations. This study
provides predicting equations for the assessment of the somatic maturation
adjusted to Venezuelan population developed from Mirwald equation, which may be
used to aid in evaluation of nutritional and general health, as well as, a
reduction of risks associated with miss-classification for chronological age.
PMID- 26545681
TI - [BODY COMPOSITION PARAMETERS AND RELATIONSHIP WITH THE MAXIMAL AEROBIC POWER IN
RECREATIONAL CYCLISTS].
AB - OBJECTIVE: the aim of this study is to relate body composition parameters on
performance in recreational cyclists using fat mass (MG), total muscle mass (MM)
and the appendix of the lower extremity (MMEI) with maximal aerobic power (PAM).
METHODS: 11 male cyclists (27.7 +/- 4.5 years; 72.4 +/- 12.4 kg; 173.2 +/- 6.7
cm.) were recruited. The anthropometric measurements were performed according to
the protocols of the International Society for the Advancement of
Kineanthropometry (ISAK) and testing of PAM were held following a ramp protocol,
which began with an initial load of 150 W and then increments 30 W at 1-minute
intervals until exhaustion. RESULTS: there is dependence between variables and
the VO2max MG that correlate negatively and significantly (p < 0.05). MM respect
to variables and the VO2max significantly negatively correlated (p < 0.05). In
correlation with the PAM, MMEI it can be seen a positive and significant
correlation (p < 0.01) indicating that individuals who have greater muscle
component in the lower limbs (EEII) are able to generate more power. CONCLUSIONS:
we conclude that the results obtained, both the MG and the MM are not a good
reference as performance parameters regarding the VAM. The MMEI was the only
parameter that showed a positive relationship as a marker of performance in
recreational cyclists.
PMID- 26545682
TI - [DIFFERENCES IN PHYSICAL ACTIVITY AND IN PHYSICAL CONDITION BETWEEN SCHOOL AGE
STUDENTS OF TWO PUBLIC CURRICULUM PROGRAMS IN BOGOTA, COLOMBIA].
AB - During the past five decades there has been an increased in the prevalence of
obesity and over weight, also in physical inactivity and /or low
cardiorespiratory fitness within the population in school age from diverse
regions of the planet, including Bogota-Colombia. The general objective of this
study was to compare the physical condition and the levels of physical activity
from students who belonged to two curriculum programs of the Public Schools
Network from Bogota, one of which includes two sessions per week, each session of
90 minutes of physical activity. We developed a research of unlike cross
sectional groups. There were 178 children evaluated from the regular curriculum
and 170 kids belonging to the program 40 x 40. The physical condition was
evaluated applying the protocol of high priority from the ALPHA -Fitness test
Battery. The weight, height, body mass index, the waist circumference, the
standing long jump, the handgrip in both hands and the motor fitness 20 meter
shuttle run test were developed under standardized conditions. The Global School
Health Survey (GSHS) was used to evaluate the levels of AF. No significant
statistical differences were founded between P-40x40 and the regular curriculum
regarding: weight, height, the body mass index, the waist circumference, the
handgrip in both hands and the explosive strength in lower limbs. Nevertheless
the cardiorespiratory fitness was significantly lower within de P-40x40. In
conclusion the participation in the curricular program 40 x 40 was not associated
with better levels of physical condition.
PMID- 26545683
TI - [TRAINING HABITS OF FEMALE INTERNATIONAL ELITE MOTORCYCLIST].
AB - INTRODUCTION: there are few studies about the professional Motorcycle. OBJECTIVE:
the aim of this work is to analyze the training habits of 18 female motorcyclists
of international level and to know the history about the practice of sport, the
medical history of injuries and their ailments. METHOD: data collection was
performed using a 20-item instrument designed for women riders. It was collected
about age, performance level of motor sport, frequency, duration and type of
training, injuries and muscle pain. RESULTS: the results show that despite a few
hours of training, the riders conceived that they have a normal or even a good
physical condition. Particularly striking are the absence of mental or tactical
training and the lack of medical checks and reviews. Positive correlations were
found in the variables of pain. CONCLUSIONS: the motorcycling requires a
specific, controlled and planned training and medical monitoring and dietetic
through multidisciplinary team training.
PMID- 26545684
TI - [PRESSURE ULCER: INCIDENCE AND DEMOGRAPHIC, CLINICAL AND NUTRITION FACTORS
ASSOCIATED IN INTENSIVE CARE UNIT PATIENTS].
AB - Pressure ulcer (PU) is a lesion in the skin and/or underlying tissue, usually
over bony prominences caused by pressure and / or shear associated. Although
preventable, is still very prevalent, and pointed out that multiple factors are
involved in its etiology. OBJECTIVE: to identify the incidence of pressure
ulcers, clinical and nutritional factors associated in patients admitted to the
Intensive Care Unity (ICU) of a university hospital. METHODS: a prospective,
observational study, with patients admitted to an ICU from June to November 2014.
The UP was determined by inspection body three times a week during the morning
bath, based on the characteristics established by the National Pressure Ulcer
Advisory Panel, 2014. We collected demographic, clinical, biochemical and
nutritional. The Braden Scale was used to verify individuals at risk of PU
development. RESULTS: the sample consisted of 51 patients with a mean age of 57.7
(+/- 16.4) years. There was an incidence of UP 52.9%, and the factors associated
with its development were: use of vasoactive drugs (p = 0.029), length of
hospital stay > 10 days (p <= 0.001) and absence of anemia (p = 0.011).
CONCLUSION: the high incidence of UP highlights the vulnerability of patients in
intensive care. Although characterized by being a multifactorial condition only
the use of vasoactive drugs, length of hospital stay and the absence of anemia
were associated with the appearance of refs. Nutritional and clinical factors
often related to trauma were not associated with their development.
PMID- 26545685
TI - CUTOFF POINT OF THE PHASE ANGLE IN PRE-RADIOTHERAPY CANCER PATIENTS.
AB - INTRODUCTION: malnutrition is a common complication for cancer patients. The
phase angle (PA), direct measurement of bioelectrical impedance analysis (BIA),
has been considered a predictor of body cell mass and prognostic indicator.
Cutoff points for phase angle (PA) associated with nutritional risk in cancer
patients have not been determined yet. OBJECTIVES: assess the possibility of
determining the cutoff point for PA to identify nutritional risk in pre
radiotherapy cancer patients. METHODS: sample group: Patients from both genders
diagnosed with cancer and sent for ambulatory radiotherapy. VARIABLES STUDIED:
body mass index (BMI), percentage of weight loss (% WL), mid-arm circumference
(MAC), triceps skinfold thickness (TST), mid-arm muscle circumference (MAMC), mid
arm muscle area (MAMA), score and categorical assessment obtained using the
Patient-Generated Subjective Global Assessment (PG-SGA) form, PA and standardized
phase angle (SPA). Kappa coefficient was used to test the degree of agreement
between the diagnoses of nutritional risk obtained from several different methods
of nutritional assessment. Cutoff points for the PA through anthropometric
indicators and PG-SGA were determined by using Receiver Operating Characteristic
(ROC) curves, and patient survival was analyzed with the Cox regression method.
RESULTS: the cutoff points with the greatest discriminatory power were those
obtained from BMI (5.2) and the categorical assessment of PG-SGA (5.4). The
diagnosis obtained using these cutoff points showed a significant association
with risk of death for the patients in the sample group. CONCLUSION: we recommend
using the cutoff point 5.2 for the PA as a criterion for identifying nutritional
risk in pre-radiotherapy cancer patients.
PMID- 26545686
TI - [TOXIC RISK ASSESSMENT OF FLUORIDE PRESENCE IN BOTTLED WATER CONSUMPTION IN THE
CANARY ISLANDS].
AB - INTRODUCTION: fluorine, as an hormetin, is necessary in the organism to avoid
caries; but large amounts can produce toxic side effects such as dental fluorosis
or skeletal fluorosis. Thus, it is important not to exceed chronically the RDIs
(Recommended Daily Intakes) per each age and sex range. It is assumed that the
main fluoride source is water. OBJECTIVES: to establish fluoride concentrations
at certain bottled water brands being consumed in the Canary Islands for
renovating the outdated data, and to evaluate the subsequent toxic risk. METHOD:
25 samples have been used from 7 different registered and commercialized brands,
being analyzed by a potentiometer with a fluoride ion selective electrode.
RESULTS: all analyzed water brands satisfied quality criteria according to the
Spanish law, no one could be considered "fluorinated water" and all of them could
be used to prepare baby food. Moreover, according to the recommended daily water
intake by the EFSA per each age range, no water analyzed brand could exceed the
RDI for no one over 4 years old. CONCLUSIONS: the bottled waters that are
produced in the Canary Islands have similar fluoride concentrations than those
that are produced in the Peninsula (all of them have a data range between 0.24
and 0.62 mg/L). The individuals who have more water restrictions are those under
1 year old; but in any case, while the child is growing up, the levels of
fluoride consumption can be higher (until 19 years old) and therefore the water
brands variety that can be drunk, without exceeding the RDI, is also higher. In
some places in the Canary Islands, it would be advisable to consume bottled water
in place of tap water.
PMID- 26545687
TI - EFFECTS OF FOOD AND DRINK INGESTION ON BODY COMPOSITION VARIABLES OF ABDOMINAL
BIOELECTRICAL IMPEDANCE.
AB - OBJECTIVE: to know the changes in trunk fat and visceral fat level determined by
abdominal bioelectrical impedance (BIA) as well as other anthropometric measures
related to the central or abdominal fat after the ingestion of a lunch. METHODS:
the experimental study was conducted to assess a longitudinal intervention
descriptive study. PARTICIPANTS: 21 subjects (10 male and 11 female), volunteers
who have access to a medical assessment, with an age of 74 +/- 13.43 years.
MEASUREMENTS: Maximal waist circumference in standing position, waist
circumference at navel level in supine position and sagittal abdominal diameter
(SAD). In the same position trunk fat and visceral fat level by abdominal
bioelectrical impedance analysis with Tanita AB-140 (ViScan) were obtained before
and after meal. RESULTS: anthropometric measures as waist circumference in supine
position and SAD did not show significant differences (P > 0.05), after food
ingestion, except for a significant increase of the maximal waist circumference
in standing position (P < 0.05). In addition trunk fat and visceral fat ratio did
not change (P > 0.05). The percentage changes of the measures were less than 2%
for waist circumference in standing position, waist circumference by Viscan,
sagittal abdominal diameter and trunk fat and 5.9% for visceral fat ratio.
CONCLUSIONS: the effects on trunk fat and visceral fat ratio by abdominal
bioelectrical impedance are minimal after the ingestion of a portion of food and
drink, although it is always recommended to do it in fasting conditions.
PMID- 26545688
TI - CONSTRUCTION AND EXPRESSION OF DERMATOPHAGOIDES PTERONYSSINUS GROUP 1 MAJOR
ALLERGEN T CELL FUSION EPITOPE PEPTIDE VACCINE VECTOR BASED ON THE MHC II
PATHWAY.
AB - Backgound and aims: Dermatophagoides peteronyssinus is one of the important house
dust mites responsible for allergic asthma that can be tentatively managed by
specific immunotherapy. The present study was to construct a vector encoding T
cell epitopes of major allergen group 1 of Dermatophagoides pteronyssinus as a
vaccine delivered by MHC class II pathway. METHODS: the nucleotide sequences of
the 3 target genes were synthesized, including TAT, IhC and the recombinant
fragment of Der p 1 encoding 3 T-cell epitopes. After amplification of the 3
target fragments by PCR and digestion with corresponding restriction
endonucleases, the recombinant gene TAT-IhC-Der p 1-3T was ligated using T4 DNA
ligase and inserted into the prokaryotic expression vector pET28a(+) to construct
the recombinant plasmid pET- 28a(+)-TAT-IhC-Der p 1-3T, which was confirmed by
digestion with restriction endonucleases and sequencing. The recombinant vector
was transformed into E. coli strain BL21 (DE3) and induced with IPTG, and the
induced protein TAT-IhC-Der p1-3T was detected by SDS-PAGE. After purification,
the recombinant protein was confirmed by Western blotting and its allergenicity
tested using IgE-binding assay. RESULTS: the recombinant plasmid pET-28a-TAT
IhCDer p1-3T was successfully constructed as confirmed by restriction
endonuclease digestion and sequencing, and the expression of the recombinant
protein TAT-IhC-Der p1-3T was induced in E. coli. Western blotting verified
successfull purification of the target protein, which showed a stronger IgE
binding ability than Der p1. CONCLUSION: we successfully constructed the
recombinant expression vector pET-28a-TAT-IhC-Der p1-3T expressing a T-cell
epitope vaccine delivered by MHC II pathway with strong IgE-binding ability,
which provides a basis for further study on specific immunotherapy via MHC class
II pathway.
PMID- 26545689
TI - MICROBIOLOGICAL ASSESSMENT OF LETTUCE SALADS AND ANTIMICROBIAL RESISTANCE OF
STAPHYLOCOCCUS SPP.
AB - INTRODUCTION: self-service restaurants in which food is served ready to be
consumed are liable to have some products contaminated by pathogenic
microorganisms causing food-transmitted diseases. AIM: evaluates the
microbiological quality of lettuce salads in restaurants in Pelotas RS Brazil by
counts of thermo-tolerant coliforms, E. coli, Staphylococcus spp. and detection
of Salmonella spp. Antimicrobial resistance of Staphylococcus spp. isolates are
also assessed. METHODS: thirty-six samples of lettuce salads were collected from
nine restaurants and thermotolerant coliforms, Escherichia coli and
Staphylococcus spp. were quantified, coupled to a research on Salmonella spp.,
following methodology by the Bacteriological Analytical Manual. Staphylococcus
spp. isolates underwent antimicrobial resistance test by the disc-diffusion
method. RESULTS AND DISCUSSION: results showed that 61.1% of the salad samples
contained more thermotolerant coliforms than allowed by Brazilian legislation and
E. coli was confirmed in 5.6% of the samples. Positive and negative coagulase
Staphylococcus occurred respectively in 5.6% and 77.8% of isolates, but no sample
had Salmonella spp. Further, 56.7% of the thirty isolates of Staphylococcus spp.
tested were resistant to penicillin; 46.7% to oxacillin; 26.7% to erythromycin
and 23.3% were multi- resistant. CONCLUSION: inadequate quality of the salad was
due to pathogenic microorganisms, while Staphylococcus spp. isolates had a high
percentage of antimicrobial resistance.
PMID- 26545690
TI - [CITY VS. COUNTRYSIDE: WHERE DO YOU EAT BEST AND HEALTHIEST?].
AB - INTRODUCTION: bearing in mind the influence of the environment on the individuals
and their choices and behaviours in general and particularly with respect to
food, it might be interesting to explore whether eating habits are better or
healthier in rural areas than in urban ones. OBJECTIVE: to analyse the perception
of the level in which eating habits could be considered better or worse, more or
less healthy, in rural and urban areas. METHOD: 281 students (18.37 +/- 6.28
years) volunteered participated in the study completing an ad hoc questionnaire
designed to measure the characteristics attributed by the participants to the
rural and urban eating habits. RESULTS: 49.50% of the participants considered
that food is better in rural areas, 8.50% in urban contexts and 42% equally in
both rural and urban areas; 80.42% responded that food is healthier in rural
areas and 19.57% in urban areas. In addition, 85.10% of the participants coming
from rural families considered that food is healthier in the country and the same
applies to 75.80% coming from urban families. DISCUSSION AND CONCLUSIONS: the
perception about what is healthy is not uniform. From a general point of view it
seems that eating better is not the same than eating healthier. The idea of
eating better not always is synonymous of eating healthy from a medical
nutritional point of view. This difference could make it difficult to spread the
idea of a healthy way of eating to the general population.
PMID- 26545691
TI - EFFECT OF ANTIOXIDANT POTENTIAL ON SEVERITY OF CIRRHOSIS IN HUMANS.
AB - BACKGROUND/AIMS: to examine the relationship between the antioxidant potential
and severity parameters of cirrhosis in humans. METHODS: fifteen patients with
hepatic cirrhosis (nine subjects - Child group B, and six subjects - Child group
C) and nine control subjects were enrolled in the study. The main criteria taken
into account to characterize the diagnosis of cirrhosis and its complications
were the AST: ALT ratio, AST to platelet ratio index, Bonacini score, Meld score
and Child classification. Those parameters were determined based on laboratory
results and patient's clinical records. Se, Zn, ascorbic acid (AA) levels and
oxidative stress parameters were measured in blood samples of cirrhotic patients.
RESULTS: the analysis of plasma levels of Se and AA showed low concentrations in
cirrhotic patients compared with control subjects (P < 0.05). Though, there was a
positive correlation between plasma of Se and severity parameters of cirrhosis in
patients of Child group B and C. In the activity of the antioxidant enzymes only
catalase was lower in patients of Child group C compared with control group.
CONCLUSION: we found low plasma levels of Se and AA among cirrhotic patients.
However, is not clear why selenium levels tend to increase with the severity of
liver cirrhosis.
PMID- 26545692
TI - [CONSUMPTION OF READY-TO-EAT CEREAL IS INVERSELY ASSOCIATED WITH BODY MASS INDEX
IN 6-13 YEARS OLD CHILEAN SCHOOLCHILDREN].
AB - BACKGROUND AND AIMS: childhood obesity in Chile is a serious problem with the
prevalence continuing to increase over the last decade, despite all governmental
efforts to diminish it. Studies indicate that the consumption of certain foods
may help to control body weight. The objective of this study was to evaluate the
relationship between ready-to-eat cereals (RTEC), body mass index and nutritional
intake of macronutrients and micronutrients in school children from Santiago,
Chile. METHODS AND RESULTS: the study included 1 477 children aged 6-13 years who
were evaluated by trained nutritionists. Weight, height and waist circumference
were measured and a 24-hour recall questionnaire was administered in which the
hours spent watching TV were also recorded. Overall, 32% of boys and 28 % of
girls were overweight but the difference between them was not significant. All
children, regardless of sex, showed a significant inverse relationship between
amounts of RTEC consumed and body mass index (BMI). Those girls that consumed
higher amount of RTEC had a reduced waist circumference than those that had a
lower intake. A high consumption of RTEC in all children was related to a higher
intake of calories, proteins, carbohydrates, calcium and zinc and to a lower
intake of calories from fat. RTEC consumption was also associated with lower risk
of being overweight/obese. CONCLUSION: this study identifies RTEC intake as a
potential indicator of a healthy diet. Controlled interventions are necessary to
isolate the effect of RTEC consumption from other participating factors.
PMID- 26545693
TI - [BEHAVIOR OF HEMOGLOBIN CONCENTRATION, HEMATOCRIT AND OXYGEN SATURATION IN
COLOMBIAN UNIVERSITY POPULATION AT DIFFERENT ALTITUDES].
AB - INTRODUCTION: the development of this research is base on the growing interest in
understanding the adaptations to chronic hipoxia mainly in the range of
intermediate altitudes (1 500-3 000 m.s.n.m) and the need to establish parameters
of normality in the variables [Hb], Hct and SO2 for diagnostic and
characterization of the population purposes. OBJECTIVE: to analyze the behavior
of the [Hb], Hct and SaO2 at different intermediate altitudes (970 m.s.n.m, 1 520
m.s.n.m, 1 728 m.s.n.m, 1 923 m.s.n.m, 2 180 m.s.n.m and 2 600 m.s.n.m) in order
to contribute to the knowledge of the high altitude physiology and the clinical
field to support the diagnosis of anemia. METHODS: clinically healthy subjects
with low levels of physical activity and food consumption report containing iron.
Total of 264 participants of both genders between 18 and 30 years. The blood
samples were collected from the antecubital vein and the earlobe and analyzed in
a radiometer. A non-parametric statistical analysis was performed. RESULTS: with
increasing of altitude, [Hb] and Hct values were increased while the SO2
decreased. Men showed higher values than women in [Hb] and Hct, related to lower
values of SO2 than women. DISCUSSION: a threshold variable was not found, perhaps
because of the small distance between the altitudes. The values reported were
similar but not identical to other studies. This difference could be explained by
genetic diversity among populations. CONCLUSIONS: this study allows for the first
values of characterization of the study population. All altitudes were above the
cutoff for the diagnosis of anemia ([Hb] 12 g/dl).
PMID- 26545694
TI - [ASSOCIATION BETWEEN DIETARY GLYCEMIC INDEX AND GLYCEMIC LOAD AND INTIMA MEDIA
THICKNESS IN A POPULATION AT HIGH CARDIOVASCULAR RISK: A SUBGROUP ANALYSIS IN THE
PREDIMED TRIAL].
AB - BACKGROUND: increased carotid intima-media thickness (IMT) is a marker of
atherosclerosis and a predictor of future cardiovascular events. Although a
beneficial effect of Mediterranean diets, in particular, enhanced with virgin
olive oil and nuts, on longitudinal changes in IMT has been reported, the
association between carbohydrates and the development of atherosclerosis is still
unclear. OBJECTIVE: to assess the association between glycemic index (IG) and
glycemic load (CG) of the diet and intima media thickness (GIMC) in a population
at high cardiovascular risk with no clinical symptoms. METHODS: one hundred
eighty seven participants of the PREDIMED-NAVARRA center (PREDIMED means in
Spanish "PREvencion con DIeta MEDiterranea") were randomly selected to undergo
baseline and 1-year measurement of GIMC. Dietary information was collected at
baseline and yearly using a validated 137-item food frequency questionnaire.
Participants were categorized into four groups of energy-adjusted IG and CG
intake. Multivariate analysis models (ANCOVA) were used to study the association
between dietary IG and CG and GIMC and its changes. RESULTS: in our study we
found no significant association between IG or CG and GIMC at baseline or after
one year.
PMID- 26545695
TI - TREATMENT OF SUBCLINICAL HYPERTHYROIDISM: EFFECT ON BODY COMPOSITION.
AB - BACKGROUND: subclinical hyperthyroidism (SHT) is associated with harmful effects
on cardiovascular system, bone metabolism and progression to clinical
hyperthyroidism. Loss of weight is a common fact in patients with clinical
hyperthyroidism and of particular relevance in elderly patients. OBJECTIVE: to
assess changes in body composition after radioiodine therapy for SHT due to toxic
nodular goiter. SUBJECTS AND METHODS: prospective controlled cohort study.
Patients with persistent SHT due to toxic nodular goiter were purposed to receive
treatment with radioiodine (treatment group) or to delay treatment until the
study was over (control group). All treated patients received 555 MBq of 131I.
Body composition (lean mass, fat mass and bone mineral content) was determined by
dual-energy X-ray absorptiometry (DEXA) at baseline and 12 months after. RESULTS:
twenty-nine patients were studied (age 69.5 +/- 11.5; 75.9% women; BMI 27.1 +/-
5.7 kg/m2; serum thyrotropin (TSH) 0.20 +/- 0.21 MUUI/mL; serum free thyroxine
(T4) 1.01 +/- 0.19 ng/dL), 17 belonging to the treatment group and 12 to the
control group. Study groups were comparable, although there was a trend for the
treatment group to have more fat mass. No longitudinal changes in body
composition were noted in either group, except for a trend to gain fat mass.
However, when individuals with age > 65 years were selected, only patients who
received radioiodine therapy showed a significant increase in body weight (from
64.1 +/- 10.0 to 66.9 +/- 9.2 kg), BMI (from 27.3 +/- 4.8 to 28.7 +/- 4.5 kg/m2),
fat mass (from 26.1 +/- 8.5 to 27.8 +/- 7.9 kg), lean mass (from 36.3 +/- 0.4 to
37.4 +/- 0.4 kg) and skeletal muscle mass index (SMI) (from 6.0 +/- 0.6 to 6.3 +/
0.6 kg/m2). CONCLUSIONS: treatment of SHT has impact on body composition in
subjects older than 65 years. Weight gain reflects increases in fat and, more
interestingly, in lean mass.
PMID- 26545696
TI - [FOOD SOURCES OF SODIUM: ANALYSIS BASED ON A NATIONAL SURVEY IN COLOMBIA].
AB - A high sodium intake is an independent risk factor for Cardiovascular diseases
CVD-. Thus, a strategy to reduce blood pressure and CVD risk throughout reducing
sodium intake is promoted worldwide. In order to design an adequate strategy, it
is important to identify the main sources of sodium in food, which has been
evaluated mainly in developed countries. OBJECTIVES: to identify foods that
provide sodium in Colombians diet, based on data from the National Nutritional
Survey -ENSIN-. Furthermore, to determine sodium amount intake from foods.
METHODS: data obtained by 24 hours recall (R24h) from 39 413 apparently healthy,
non-pregnant population between 2-64 y old population were analyzed. Food groups
source of sodium and sodium were determined. RESULTS: 1 274 food were reported by
R24h. 95 foods contributed with 72% of total sodium from foods and were divided
into 12 groups. The mayor sodium source in the diet was bakery products (30.5%).
Average and median sodium intake without salt addition were: 816.4 +/- 474.1 and
721.0 mg/d variable (RQ = 476.0 to 1051.0)mg/d, respectively. Sodium intake from
food was higher in men (784.0 mg/d; RQ = 511.0 to 1156.0) than in females (665.0
mg/d; RQ = 448.0 to 953.0); p < 0.001. CONCLUSION: the present study identified
the main sources of sodium in Colombians diet. Based on this analysis it is
important to prioritize strategies in some groups, especially bakery products. It
is also necessary to consider geographical area to implement a relevant strategy.
PMID- 26545698
TI - [IS IT USEFUL TO USE THE BODY MASS INDEX TO ASSESS OBESITY IN MUSCULAR PEOPLE?].
PMID- 26545697
TI - [PROPOSAL FOR A NEW FORMULA FOR ESTIMATING RESTING ENERGY EXPENDITURE FOR HEALTHY
SPANISH POPULATION].
AB - INTRODUCTION: although there are precise and accurate techniques for estimating
resting energy expenditure, like the indirect calorimetry (IC), daily practice
needs faster, easier and cheaper methods as the predictive equations. OBJECTIVE:
the aim of the study was to develop a new predictive equation for estimating
resting energy expenditure (REE) for healthy Spanish population. Methods: the REE
of 95 healthy normal weighted volunteers was determined by indirect calorimetry
(IC). The new equation was obtained by multiple lineal regression by using the
analytical criteria of the Cp of Mallows and the adjusted R2. Then, the behavior
of the new formula was studied in a group of overweight volunteers through the
intraclass correlation coefficient (ICC) and Bland-Almand plots. The level of
signification was reached at p < 0,05. RESULTS: the average age was 42 years
(range: 2.0-63.2). Mean REE determined by IC was 1 589.1 kcal/d (312.0). The
selected equation was: [y = 1 376.4 - 308 Sex (M = 0; W = 1) + 11.1 Weigh (kg) -
8 Age (years)] (R2: 0.68; EE: 175.95). The ICC between the new equation and the
IC in normal weighted subjects was 0.901 (95%CI: 0.851 - 0.934). The new formula
showed a good level of agreement in the overweight group (ICC: 0.880; 95%IC:
0.772 - 0.937). CONCLUSIONS: we propose a new predictive equation for estimating
the REE for healthy Spanish population which has an easy application and includes
sex, age and weigh. The selected equation shows an adequate behavior in
overweight subjects too.
PMID- 26545699
TI - [PERFORMANCE OF ENTERO-INSULAR AXIS IN AN ATHLETIC POPULATION: DIET AND EXERCISE
INFLUENCE].
PMID- 26545700
TI - Comment on Quail et al.: The effect of cloth stoma covers on tracheal climate of
laryngectomy patients.
PMID- 26545702
TI - Methodologic quality assessment of red blood cell transfusion guidelines and the
evidence base of more restrictive transfusion thresholds.
AB - BACKGROUND: Recent literature suggests that more restrictive red blood cell (RBC)
transfusion practices are equivalent or better than more liberal transfusion
practices. The methodologic quality of guidelines recommending more restrictive
transfusion thresholds and their underlying scientific evidence is unclear.
Therefore, we aimed to evaluate the quality of the development process of RBC
transfusion guidelines and to investigate the underlying evidence of guidelines
recommending a more restrictive hemoglobin (Hb) threshold. STUDY DESIGN AND
METHODS: Via systematic literature screening of relevant databases (NGC, GIN,
Medline, and Embase), RBC transfusion guidelines recommending a more restrictive
Hb level (<6, <7, or <8 g/dL) were included. Four assessors independently
evaluated the methodologic quality by scoring the rigor of development domain
(AGREE II checklist). The level of evidence served as a reference for the quality
of the underlying evidence. RESULTS: The methodologic quality of 13 RBC
transfusion guidelines was variable (18%-72%) but highest for those developed by
Advancing Transfusion and Cellular Therapies Worldwide (72%), the Task Force of
Advanced Bleeding Care in Trauma (70%), and the Dutch Institute for Healthcare
Improvement (61%). A Hb level of less than 7 g/dL (intensive care unit patients)
or less than 8 g/dL (postoperative patients) were the only thresholds based on
high-quality evidence. Only four of 32 recommendations had a high-quality
evidence base. CONCLUSION: Methodologic quality should be guaranteed in future
RBC transfusion guideline development to ensure that the best available evidence
is captured when recommending restrictive transfusion strategies. More high
quality trials are needed to provide a stronger scientific basis for RBC
transfusion guidelines that recommend more restrictive transfusion thresholds.
PMID- 26545701
TI - When Patients Write the Guidelines: Patient Panel Recommendations for the
Treatment of Rheumatoid Arthritis.
AB - OBJECTIVE: How best to involve patients in the development of clinical practice
guideline (CPG) recommendations is not known. We sought to determine the
feasibility and value of developing CPG recommendations based on a voting panel
composed entirely of patients, with the ultimate goal of comparing the patients'
recommendations to ones developed by a physician-dominated voting panel on the
same clinical questions. METHODS: Ten patients with rheumatoid arthritis
completed 8 hours of training on evidence-based medicine and guideline
development. They constituted a voting panel and, with 2 American College of
Rheumatology staff with expertise in CPG development and a physician facilitator,
subsequently met at a face-to-face meeting to develop recommendations. They
applied the Grading of Recommendations, Assessment, Development and Evaluation
(GRADE) methodology to formulate recommendations on 18 questions for which there
was evidence warranting moderate or high confidence. RESULTS: The patient panel
developed recommendations for 16 of the 18 questions; for the other 2, the panel
thought there were insufficient data to support a recommendation. For 13 of the
16 questions, the patient panel recommended the same course of action as did the
physician-dominated panel. Differences were due to how the 2 panels valued the
balance between benefits and harms. CONCLUSION: Patient and physician-dominated
panels developed the same recommendations for most questions for which there was
evidence warranting moderate to high confidence. Additional experiences are
necessary to advance the evidence necessary to determine what panel composition
is optimal to produce the best guidelines.
PMID- 26545703
TI - A 2D Polychloride Network Held Together by Halogen-Halogen Interactions.
AB - In a eutectic mixture of two ionic liquids, we have synthesized and crystallized
the new polychloride compound [Et4 N]2 [(Cl3 )2 ?Cl2 ] that exhibits a periodic
2D polychloride network acting as an anionic layer. Based on its low melting
point and vapor pressure, this compound can be described as a room-temperature
ionic liquid. The compound was fully characterized by IR and Raman spectroscopy
as well as single-crystal X-ray structure determination. The characterization was
complemented by solid-state quantum-chemical calculations confirming the results
of the experimental work.
PMID- 26545704
TI - To RCT or not to RCT? The ongoing saga of randomised trials in quality
improvement.
PMID- 26545705
TI - Lost information during the handover of critically injured trauma patients: a
mixed-methods study.
AB - BACKGROUND: Clinical information may be lost during the transfer of critically
injured trauma patients from the emergency department (ED) to the intensive care
unit (ICU). The aim of this study was to investigate the causes and frequency of
information discrepancies with handover and to explore solutions to improving
information transfer. METHODS: A mixed-methods research approach was used at our
level I trauma centre. Information discrepancies between the ED and the ICU were
measured using chart audits. Descriptive, parametric and non-parametric
statistics were applied, as appropriate. Six focus groups of 46 ED and ICU nurses
and nine individual interviews of trauma team leaders were conducted to explore
solutions to improve information transfer using thematic analysis. RESULTS: Chart
audits demonstrated that injuries were missed in 24% of patients. Clinical
information discrepancies occurred in 48% of patients. Patients with these
discrepancies were more likely to have unknown medical histories (p<0.001)
requiring information rescue (p<0.005). Close to one in three patients with
information rescue had a change in clinical management (p<0.01). Participants
identified challenges according to their disciplines, with some overlap.
Physicians, in contrast to nurses, were perceived as less aware of
interdisciplinary stress and their role regarding variability in handover.
Standardising handover, increasing non-technical physician training and
understanding unit cultures were proposed as solutions, with nurses as drivers of
a culture of safety. CONCLUSION: Trauma patient information was lost during
handover from the ED to the ICU for multiple reasons. An interprofessional
approach was proposed to improve handover through cross-unit familiarisation and
use of communication tools is proposed. Going beyond traditional geographical and
temporal boundaries was deemed important for improving patient safety during the
ED to ICU handover.
PMID- 26545706
TI - Cost Sharing, Health Care Expenditures, and Utilization: An International
Comparison.
AB - Health systems implement cost sharing to help reduce health care expenditure and
utilization by discouraging the use of unnecessary health care services. We
examine cost sharing in 28 countries in the Organisation for Economic Co
operation and Development from 1999 through 2009 in the areas of medical care,
hospital care, and pharmaceuticals. We investigate associations between cost
sharing, health care expenditures, and health care utilization and find no
significant association between cost sharing and health care expenditures or
utilization in these countries.
PMID- 26545707
TI - Locoregional Treatment in Early Stage Breast Cancer: More Evidence and Yet More
Questions?
PMID- 26545708
TI - What do we do about women athletes with testes?
AB - Elite sport and the measures imposed to prevent 'men' from 'cheating' by posing
as women in women's events cast interesting light on notions of sex and gender.
Some women have testes, organs that produce testosterone, because they are trans
women or they have an intersex state. Testosterone is recognised as a performance
enhancing substance in at least some circumstances, and therefore, women with
testes may possess an advantage when competing in some sport against women
without testes, though this has never been subjected to rigorous scientific
testing. The International Olympic Committee and the International Association of
Athletics Federation have decreed that such individuals can compete only if they
undergo medical and surgical treatment, which is likely to mean gonadectomy. This
might be considered to impose an unethical demand on the individual concerned and
constitute an infringement of bodily autonomy for that individual. It also
suggests a binary view of sex/gender that is simplistic and not scientifically
accurate. I discuss this approach and consider alternative methods of approaching
the problem of women with testes in athletics.
PMID- 26545709
TI - Having a child together in lesbian families: combining gestation and genetics.
AB - The increasing acceptance of lesbian couples in medically assisted reproduction
has led to new, unusual requests. This paper discusses the request for egg
transfer from one partner to the other. In the first part, different analogies
(egg donation, embryo donation, surrogacy and mitochondrial replacement) are made
in order to find out whether one of these can help us determine whether this
procedure is acceptable. It is shown that there are major difficulties with all
analogies. In the second part, two balances are developed between the medical
risks and costs of in vitro fertilisation (IVF) and intrauterine insemination on
the one hand and the medical risks of IVF and the psychosocial benefits on the
other hand. The final conclusion is that the disadvantages of the procedure can
be compensated by the psychosocial advantages and thus can be accepted.
PMID- 26545710
TI - Accelerated cardiac remodeling in desmoplakin transgenic mice in response to
endurance exercise is associated with perturbed Wnt/beta-catenin signaling.
AB - Arrhythmogenic ventricular cardiomyopathy (AVC) is a frequent underlying cause
for arrhythmias and sudden cardiac death especially during intense exercise. The
mechanisms involved remain largely unknown. The purpose of this study was to
investigate how chronic endurance exercise contributes to desmoplakin (DSP)
mutation-induced AVC pathogenesis. Transgenic mice with overexpression of
desmoplakin, wild-type (Tg-DSP(WT)), or the R2834H mutant (Tg-DSP(R2834H)) along
with control nontransgenic (NTg) littermates were kept sedentary or exposed to a
daily running regimen for 12 wk. Cardiac function and morphology were analyzed
using echocardiography, electrocardiography, histology, immunohistochemistry,
RNA, and protein analysis. At baseline, 4-wk-old mice from all groups displayed
normal cardiac function. When subjected to exercise, all mice retained normal
cardiac function and left ventricular morphology; however, Tg-DSP(R2834H) mutants
displayed right ventricular (RV) dilation and wall thinning, unlike NTg and Tg
DSP(WT). The Tg-DSP(R2834H) hearts demonstrated focal fat infiltrations in RV and
cytoplasmic aggregations consisting of desmoplakin, plakoglobin, and connexin 43.
These aggregates coincided with disruption of the intercalated disks,
intermediate filaments, and microtubules. Although Tg-DSP(R2834H) mice already
displayed high levels of p-GSK3-beta(Ser9) and p-AKT1(Ser473) under sedentary
conditions, decrease of nuclear GSK3-beta and AKT1 levels with reduced p-GSK3
beta(Ser9), p-AKT1(Ser473), and p-AKT1(Ser308) and loss of nuclear junctional
plakoglobin was apparent after exercise. In contrast, Tg-DSP(WT) showed
upregulation of p-AKT1(Ser473), p-AKT1(Ser308), and p-GSK3-beta(Ser9) in response
to exercise. Our data suggest that endurance exercise accelerates AVC
pathogenesis in Tg-DSP(R2834H) mice and this event is associated with perturbed
AKT1 and GSK3-beta signaling. Our study suggests a potential mechanism-based
approach to exercise management in patients with AVC.
PMID- 26545711
TI - Exaggerated sympathetic and cardiovascular responses to stimulation of the
mesencephalic locomotor region in spontaneously hypertensive rats.
AB - The sympathetic and pressor responses to exercise are exaggerated in
hypertension. However, the underlying mechanisms causing this abnormality remain
to be fully elucidated. Central command, a neural drive originating in higher
brain centers, is known to activate cardiovascular and locomotor control circuits
concomitantly. As such, it is a viable candidate for the generation of the
augmented vascular response to exercise in this disease. We hypothesized that
augmentations in central command function contribute to the heightened
cardiovascular response to exercise in hypertension. To test this hypothesis,
changes in renal sympathetic nerve activity (RSNA) and mean arterial pressure
(MAP) in response to electrical stimulation of mesencephalic locomotor region
(MLR; 20-50 MUA in 10-MUA steps evoking fictive locomotion), a putative component
of the central command pathway, were examined in decerebrate, paralyzed
normotensive Wistar-Kyoto (WKY) and spontaneously hypertensive rats (SHR). Tibial
nerve discharge during MLR stimulation significantly increased in an intensity
dependent manner in both WKY and SHR but was not different between groups.
Stimulation of the MLR evoked significantly larger increases in RSNA and MAP with
increasing stimulation intensity in both groups. Importantly, the increases in
sympathetic and pressor responses to this fictive locomotion were significantly
greater in SHR compared with WKY across all stimulation intensities (e.g., at 50
MUA, DeltaRSNA: WKY 153 +/- 31%, SHR 287 +/- 42%; DeltaMAP: WKY 87 +/- 9 mmHg,
SHR 139 +/- 7 mmHg). These findings provide the first evidence that central
command may be a critical contributor to the exaggerated rise in sympathetic
activity and blood pressure during exercise in hypertension.
PMID- 26545712
TI - The Pan-African Rabies Control Network (PARACON): A unified approach to
eliminating canine rabies in Africa.
AB - Even though Africa has the highest per capita death rate from rabies of any
continent, and the disease is almost entirely transmitted by the bites of rabid
dogs, there has been no coordinated pan-African approach to controlling canine
rabies. In order to attain an inclusive and unified network, the Pan-African
Rabies Control Network (PARACON) was established in 2014. By following the 'One
Health' concept, which involves close coordination between animal and human
health sectors across national, regional and continental levels, PARACON will
provide a platform to facilitate and promote coordinated and sustainable control
strategies and programmes. Meetings will take place at regular intervals and will
be centred on the involvement by key focal persons from the medical and
veterinary sectors. The inaugural meeting was held in South Africa in June, 2015
and was focused around interactive discussions and workshops, whilst updating
country representatives on the tools available to aid them in developing and
implementing sustainable rabies intervention strategies. Experts from various
global organizations, institutions and industry participated in the discussions
and shared their experience and expertise. The workshops focused on the latest
format of the Rabies Blueprint platform (www.rabiesblueprint.com), which in the
broadest sense assists with control and elimination campaigns, including
educational and advocacy drives, improvement of surveillance and diagnosis and
the systematic monitoring of progress. Together with the Stepwise Approach
towards Rabies Elimination, the Blueprint is a planning tool to help countries
free themselves from canine-transmitted rabies.
PMID- 26545713
TI - 30th Anniversary issue of Biosensors and Bioelectronics.
PMID- 26545714
TI - Superoxide produced in the matrix of mitochondria enhances methylmercury toxicity
in human neuroblastoma cells.
AB - The mechanism of intracellular metabolism of methylmercury (MeHg) is not fully
known. It has been shown that superoxide (O2(-)), the proximal reactive oxygen
species (ROS) generated by mitochondria, is responsible for MeHg demethylation.
Here, we investigated the impact of different mitochondrial respiratory
inhibitors, namely rotenone and antimycin A, on the O2(-)mediated degradation of
MeHg in human neuroblastoma cells SH-K-SN. We also utilized paraquat (PQ) which
generates O2(-) in the mitochondrial matrix. We found that the cleavage of the
carbon-metal bond in MeHg was highly dependent on the topology of O2(-)
production by mitochondria. Both rotenone and PQ, which increase O2(-) in the
mitochondrial matrix at a dose-dependent manner, enhanced the conversion of MeHg
to inorganic mercury (iHg). Surprisingly, antimycin A, which prompts emission of
O2(-) into the intermembrane space, did not have the same effect even though
antimycin A induced a dose dependent increase in O2(-) emission. Rotenone and PQ
also enhanced the toxicity of sub-toxic doses (0.1 MUM) MeHg which correlated
with the accumulation of iHg in mitochondria and depletion of mitochondrial
protein thiols. Taken together, our results demonstrate that MeHg degradation is
mediated by mitochondrial O2(-), specifically within the matrix of mitochondria
when O2(-) is in adequate supply. Our results also show that O2(-) amplifies MeHg
toxicity specifically through its conversion to iHg and subsequent interaction
with protein cysteine thiols (R-SH). The implications of our findings in mercury
neurotoxicity are discussed herein.
PMID- 26545715
TI - Finding positives after disaster: Insights from nurses following the 2010-2011
Canterbury, NZ earthquake sequence.
AB - BACKGROUND: This paper identifies positive aspects of nurse experiences during
the Canterbury 2010-2011 earthquake sequence and subsequent recovery process.
METHODS: Qualitative semi-structured interviews were undertaken with 11 nurses
from the Christchurch area to explore the challenges faced by the nurses during
and following the earthquakes. The interviews took place three years after the
start of the earthquake experience to enable exploration of the longer term
recovery process. The interview transcripts were analysed and coded using a
grounded theory approach. RESULTS: The data analysis identified that despite the
many challenges faced by the nurses during and following the earthquakes they
were able to identify positives from their experience. A number of themes were
identified that are related to posttraumatic growth, including; improvement in
relationships with others, change in perspective/values, changed views of self
and acknowledgement of the value of the experience. CONCLUSIONS: The research
indicates that nurses were able to identify positive aspects of their experiences
of the earthquakes and recovery process, suggesting that both positive and
negative impacts on wellbeing can co-exist. These insights have value for
employers designing support processes following disasters as focusing on positive
elements could enhance nurse wellbeing during stressful times.
PMID- 26545716
TI - Detecting Burrowing Owl Bloodmeals in Pulex irritans (Siphonaptera: Pulicidae).
AB - Pulex irritans L. is a cosmopolitan flea species that infests a wide variety of
hosts. In North America it generally parasitizes large wild mammals, but in the
Pacific Northwest an association has emerged between P. irritans and the western
burrowing owl (Athene cunicularia hypugaea). While investigators have recognized
this association for decades, it has not been clear if P. irritans feeds on
burrowing owls, or if the owls serve exclusively as phoretic hosts. Here we
describe using a real-time assay that was originally developed to identify
bloodmeals in Ugandan cat fleas (Ctenocephalides felis Bouche) to detect
burrowing owl DNA in P. irritans collected from burrowing owls in southern Idaho.
Of 50 fleas tested, 12 had no detectable vertebrate bloodmeal. The remaining 38
(76%) contained burrowing owl DNA. The assay did not detect vertebrate DNA in
unfed fleas exposed to owl or mouse pelts and is therefore unlikely to detect DNA
in fleas from vertebrates that have served exclusively as phoretic hosts. We
conclude that P. irritans feeds on burrowing owls. We discuss the potential
implications of this finding for burrowing owl conservation and enzootic plague
dynamics.
PMID- 26545717
TI - A Novel Nit Comb Concept Using Ultrasound Actuation: Preclinical Evaluation.
AB - Nit combing and removal of head louse, Pediculus humanus capitis De Geer
(Anoplura: Pediculidae), eggs is a task made more difficult because "nit combs"
vary in efficiency. There is currently no evidence that the binding of the
eggshell to the hair can be loosened chemically and few hair treatments improve
the slip of the louse eggs along the hair. Ultrasound, applied through the teeth
of a nit comb, may facilitate the flow of fluids into the gap between the hair
shaft and the tube of fixative holding louse eggs in place to improve
lubrication. Ultrasound alone had little effect to initiate sliding, requiring a
force of 121.5 +/- 23.8 millinewtons (mN) compared with 125.8 +/- 18.0 mN without
ultrasound, but once the egg started to move it made the process easier. In the
presence of a conditioner-like creamy lotion, ultrasound reduced the Peak force
required to start movement to 24.3 +/- 8.8 mN from 50.4 +/- 13.0 mN without
ultrasound. In contrast, some head louse treatments made removal of eggs more
difficult, requiring approximately twice the Peak force to initiate movement
compared with dry hair in the absence of ultrasound. However, following
application of ultrasound, the forces required to initiate movement increased for
an essential oil product, remained the same for isopropyl myristate and
cyclomethicone, and halved for 4% dimeticone lotion. Fixing the nit comb at an
estimated angle of 16.5 degrees to the direction of pull gave an optimum effect
to improve the removal process when a suitable lubricant was used.
PMID- 26545718
TI - How Important is Vertical Transmission of Dengue Viruses by Mosquitoes (Diptera:
Culicidae)?
AB - Vertical transmission of dengue viruses by mosquitoes was discovered at the end
of the late 1970s and has been suggested to be a means by which these viruses
persist. However, it is unclear how widespread it is in nature, and its
importance in the epidemiology of this disease is still debated. Here, we review
the literature on vertical transmission and discuss its role in dengue's
epidemiology and control. We conclude that given the number of studies that
failed to find evidence of vertical transmission, as well as mathematical models
and its mechanistic basis, it is unlikely that vertical transmission is important
for the epidemiological persistence of dengue viruses. A combination of
asymptomatic infection in humans and movement of people are likely to be more
important determinants of dengue's persistence. We argue, however, that there may
be some need for further research into the prevalence of dengue viruses in
desiccated, as well as diapausing, eggs and the role of horizontal transmission
through larval cannibalism.
PMID- 26545719
TI - Case report of bilateral relapsing-remitting sciatic nerve palsy during two
pregnancies.
AB - BACKGROUND: Unlike puerperal peripheral nerve lesions, mononeuropathy during
pregnancy is rarely encountered. We report a case of bilateral relapsing
remitting sciatic nerve palsy during two pregnancies. An extensive literature
search in PubMed brought no similar cases. CASE PRESENTATION: A healthy young
woman presented with initially unilateral sciatic nerve palsy, which manifested
and worsened during the early phases of two successive pregnancies.
Electrophysiology revealed axonal lesion of the sciatic nerve with predominant
affection of the peroneal part. Extensive laboratory examination including
cerebrospinal fluid examination was unremarkable. MR imaging was compatible with
bilateral intraneural perineurioma. Recurrent occurrence during two pregnancies
and an anamnestic relationship between intermediate worsening of the paresis and
the menstrual cycle suggested hormone-dependency of the tumor. However, response
to repeated intravenous immunoglobuline (IVIG) therapy during pregnancy and
shortly after childbirth resulted in partial reversion of foot drop. This was
also indicative of an immunoneuropathy. Nerve biopsy was not performed because of
clinical improvement. The precise underlying neuropathological mechanism remained
unclear. CONCLUSION: To increase knowledge and awareness of this rare entity,
potential etiologies of mononeuropathies during pregnancy are discussed in the
context of this case report. In the rare occasion of peripheral nerve
mononeuropathy during pregnancy, in which therapeutic opportunities are limited,
IVIG therapy may be an option when the etiology cannot clearly be determined
after thorough medical investigation.
PMID- 26545720
TI - Deformable image registration by combining uncertainty estimates from supervoxel
belief propagation.
AB - Discrete optimisation strategies have a number of advantages over their
continuous counterparts for deformable registration of medical images. For
example: it is not necessary to compute derivatives of the similarity term; dense
sampling of the search space reduces the risk of becoming trapped in local
optima; and (in principle) an optimum can be found without resorting to iterative
coarse-to-fine warping strategies. However, the large complexity of high
dimensional medical data renders a direct voxel-wise estimation of deformation
vectors impractical. For this reason, previous work on medical image registration
using graphical models has largely relied on using a parameterised deformation
model and on the use of iterative coarse-to-fine optimisation schemes. In this
paper, we propose an approach that enables accurate voxel-wise deformable
registration of high-resolution 3D images without the need for intermediate image
warping or a multi-resolution scheme. This is achieved by representing the image
domain as multiple comprehensive supervoxel layers and making use of the full
marginal distribution of all probable displacement vectors after inferring
regularity of the deformations using belief propagation. The optimisation acts on
the coarse scale representation of supervoxels, which provides sufficient spatial
context and is robust to noise in low contrast areas. Minimum spanning trees,
which connect neighbouring supervoxels, are employed to model pair-wise
deformation dependencies. The optimal displacement for each voxel is calculated
by considering the probabilities for all displacements over all overlapping
supervoxel graphs and subsequently seeking the mode of this distribution. We
demonstrate the applicability of this concept for two challenging applications:
first, for intra-patient motion estimation in lung CT scans; and second, for
atlas-based segmentation propagation of MRI brain scans. For lung registration,
the voxel-wise mode of displacements is found using the mean-shift algorithm,
which enables us to determine continuous valued sub-voxel motion vectors. Finding
the mode of brain segmentation labels is performed using a voxel-wise majority
voting weighted by the displacement uncertainty estimates. Our experimental
results show significant improvements in registration accuracy when using the
additional information provided by the registration uncertainty estimates. The
multi-layer approach enables fusion of multiple complementary proposals,
extending the popular fusion approaches from multi-image registration to
probabilistic one-to-one image registration.
PMID- 26545721
TI - Awareness and low uptake of post exposure prophylaxis for HIV among clinical
medical students in a high endemicity setting.
AB - BACKGROUND: Adequate knowledge and practices on post exposure prophylaxis (PEP)
for HIV among health care providers are crucial for HIV prevention. However there
is limited data on PEP knowledge and practice from developing countries where the
burden of HIV infection continues to increase. We assessed the knowledge of
clinical medical students on PEP, their practices in response to occupational
exposure to HIV, as well as the determinants of good knowledge on PEP. METHODS: A
cross-sectional study was conducted in November 2014 involving 154 consecutively
recruited clinical medical students (4(th)-6(th) year undergraduates). Data were
acquired using a structured questionnaire. Knowledge on PEP was assessed using a
questionnaire comprising 25 questions and categorized as: good (20 or more
correct answers), moderate (13-19 correct answers) and poor (12 or fewer correct
answers). RESULTS: For the 154 students included (57.8 % being male), the mean
age was 23.2 +/- 2.4 years, and 89 % had heard about PEP for HIV. The majority of
students had moderate (61.7 %) and poor (32.5 %) knowledge on PEP. Overall
knowledge score increased with increasing level of studies (p < 0.05). Only 10
(6.5 %) had had previous training on PEP, most of whom were senior level students
(p = 0.01). Fifty-four students (35.1 %) knew the appropriate duration of PEP and
this awareness increased with level of studies (p = 0.001). Of the 81 (52.6 %)
who reported occupational exposure to HIV in the past, only 4 (4.9 %) received
PEP. CONCLUSIONS: Overall, knowledge on PEP among clinical medical students in
this setting was non-optimal with very low uptake PEP. Intensification of HIV
curricula to involve PEP as well as continuous medical education programs and
workshops are potential avenues to improve awareness in this vulnerable
population.
PMID- 26545723
TI - [History of glaucoma surgery (II): From goniotomy to the first non-penetrating
surgery].
PMID- 26545722
TI - Primary myeloma interaction and growth in coculture with healthy donor
hematopoietic bone marrow.
AB - BACKGROUND: Human primary myeloma (MM) cells do not survive in culture; current
in vitro and in vivo systems for growing these cells are limited to coculture
with a specific bone marrow (BM) cell type or growth in an immunodeficient animal
model. The purpose of the study is to establish an interactive healthy donor
whole BM based culture system capable of maintaining prolonged survival of
primary MM cells. This normal BM (NBM) coculture system is different from using
autologous BM that is already affected by the disease. METHODS: Whole BM from
healthy donors was cultured in medium supplemented with BM serum from MM patients
for 7 days, followed by 7 days of coculture with CD138-selected primary MM cells
or MM cell lines. MM cells in the coculture were quantified using flow cytometry
or bioluminescence of luciferase-expressing MM cells. T-cell cytokine array and
proteomics were performed to identify secreted factors. RESULTS: NBM is composed
of adherent and nonadherent compartments containing typical hematopoietic and
mesenchymal cells. MM cells, or a subset of MM cells, from all examined cases
survived and grew in this system, regardless of the MM cells' molecular risk or
subtype, and growth was comparable to coculture with individual stromal cell
types. Adherent and nonadherent compartments supported MM growth, and this
support required patient serum for optimal growth. Increased levels of MM growth
factors IL-6 and IL-10 along with MM clinical markers B2M and LDHA were detected
in supernatants from the NBM coculture than from the BM cultured alone. Levels of
extracellular matrix factors (e.g., MMP1, HMCN1, COL3A1, ACAN) and
immunomodulatory factors (e.g., IFI16, LILRB4, PTPN6, AZGP1) were changed in the
coculture system. The NBM system protected MM cells from dexamethasone but not
bortezomib, and effects of lenalidomide varied. CONCLUSIONS: The NBM system
demonstrates the ability of primary MM plasma cells to interact with and to
survive in coculture with healthy adult BM. This model is suitable for studying
MM-microenvironment interactions, particularly at the early stage of engagement
in new BM niches, and for characterizing MM cell subpopulations capable of long
term survival through secretion of extracellular matrix and immune-related
factors.
PMID- 26545725
TI - A Perplexing "Target Sign".
PMID- 26545724
TI - Early Biomarkers of Subclinical Atherosclerosis in Obese Adolescent Girls with
Polycystic Ovary Syndrome.
AB - OBJECTIVES: Because in obese youth, pulse wave velocity (PWV), an early
cardiovascular disease marker, is elevated, we tested if obese girls with
polycystic ovary syndrome (OB-PCOS) have higher PWV and carotid intima-media
thickness (cIMT) compared with obese girls without PCOS (OB-non-PCOS) and normal
weight girls without PCOS (NW-non-PCOS) and whether PWV and cIMT correlate with
inflammatory and circulating endothelial function biomarkers. STUDY DESIGN: Cross
sectional study of PWV and cIMT in 91 OB-PCOS, 30 obese controls (OB-non-PCOS),
and 19 normal-weight controls (NW-non-PCOS). Body composition, blood pressure,
fasting glucose, insulin, lipid concentrations, and endothelial function
biomarkers were measured. OB-non-PCOS and OB-PCOS underwent 2-hour oral glucose
tolerance testing. RESULTS: PWV was higher in OB-PCOS (664 +/- 24 cm/s) and OB
non-PCOS (624 +/- 37 cm/s) compared with NW-non-PCOS (468 +/- 13 cm/s, P < .001),
with no differences in cIMT. Systolic blood pressure, low-density lipoprotein,
and non-high-density lipoprotein cholesterol were higher, and high-density
lipoprotein cholesterol and indices of insulin sensitivity were lower in OB-PCOS
and OB-non-PCOS compared with NW-non-PCOS. Vascular cell adhesion molecule-1 and
high-sensitivity C-reactive protein were higher in OB-PCOS compared with NW-non
PCOS. PWV correlated with adiposity (rs = .46), insulin sensitivity index
(homeostatic model assessment-insulin resistance rs = .31), systolic blood
pressure (rs = .24; P <= .003 for all), and free testosterone (rs = .24; P =
.03). In multiple regression analysis with PWV as the dependent variable and age,
race, body mass index, PCOS, and dysglycemia as independent variables, only body
mass index was an independent contributor to the model (r(2) = 0.068, P = .003).
CONCLUSIONS: In adolescent girls, obesity and not PCOS appears to be associated
with heightened cardiovascular disease risk. Increased PWV, vascular cell
adhesion molecule-1, and high-sensitivity C-reactive protein may be the earliest
subclinical atherosclerosis biomarkers in OB-PCOS.
PMID- 26545727
TI - 2010 American College of Rheumatology Adult Fibromyalgia Criteria for Use in an
Adolescent Female Population with Juvenile Fibromyalgia.
AB - OBJECTIVES: To evaluate the utility of the 2010 American College of Rheumatology
(ACR) adult fibromyalgia criteria for use in adolescents with juvenile
fibromyalgia (JFM). STUDY DESIGN: Participants included 47 adolescent girls
diagnosed with JFM (mean age = 15.3 years) and 48 age- and sex-matched
adolescents (mean age = 15.0 years) with localized chronic pain (eg, headaches or
abdominal pain). A trained examiner administered the Widespread Pain Index and
Symptom Severity measures and also completed a manual tender point exam.
Clinicians completed a form indicating the presence of active JFM per Yunus and
Masi (1985) criteria, the only available and most commonly used measure for JFM.
Criterion validity analysis was performed as well as t tests comparing symptoms
between JFM and controls. RESULTS: With the Yunus and Masi criteria used as the
gold standard, the 2010 ACR fibromyalgia criteria showed a sensitivity of 89.4%
and specificity of 87.5%. CONCLUSION: The 2010 ACR measure appears to be a
valuable tool for the identification of JFM. However, a slight modification to
the 2010 ACR measure and inclusion of a clinical exam is recommended.
PMID- 26545728
TI - Outbreaks of Invasive Kingella kingae Infections in Closed Communities.
AB - OBJECTIVES: To describe the results of the epidemiologic investigation of
outbreaks of invasive Kingella kingae infections among attendees at daycare
facilities located in 4 closed communities in Israel. STUDY DESIGN: The preschool
aged population of communities with clusters of Kingella cases had oropharyngeal
cultures performed. K kingae isolates from infected patients and healthy contacts
were genotyped by pulsed field gel electrophoresis to determine the spread of
outbreak strains. RESULTS: The affected closed communities (3 military bases and
1 "kibbutz" commune) were characterized by tight social and family networks and
intensive mingling. The outbreaks affected 9 of 51 attendees (attack rate: 17.6%)
age 8-19 months (median: 12 months), within a 21-day period. Cases included
skeletal system infections (n = 8) and bacteremia (n = 1); K kingae isolates were
confirmed by the use of blood culture vials and selective media. Clinical
presentation was mild and acute-phase reactants were usually normal or only
moderately elevated. Thirty out of 55 (54.5%) asymptomatic children carried the
outbreak strains. Analysis of the 3 clusters in which the entire preschool-aged
population was cultured revealed that 31 of 71 (43.7%) children younger than 24
months of age were colonized with K kingae organisms compared with 8 of 105
(7.6%) older children (P < .001). CONCLUSIONS: Clusters of invasive K kingae
infections characterized by sudden onset, high attack rate, and wide
dissemination of the outbreak strain can occur in daycare facilities and closed
communities. Because the mild clinical presentation of invasive K kingae
infections and the fastidious nature of the organism, a high index of suspicion
and use of sensitive detection methods are recommended.
PMID- 26545726
TI - Fetal and Neonatal Effects of N-Acetylcysteine When Used for Neuroprotection in
Maternal Chorioamnionitis.
AB - OBJECTIVE: To evaluate the clinical safety of antenatal and postnatal N
acetylcysteine (NAC) as a neuroprotective agent in maternal chorioamnionitis in a
randomized, controlled, double-blinded trial. STUDY DESIGN: Twenty-two mothers
>24 weeks gestation presenting within 4 hours of diagnosis of clinical
chorioamnionitis were randomized with their 24 infants to NAC or saline
treatment. Antenatal NAC (100 mg/kg/dose) or saline was given intravenously every
6 hours until delivery. Postnatally, NAC (12.5-25 mg/kg/dose, n = 12) or saline
(n = 12) was given every 12 hours for 5 doses. Doppler studies of fetal umbilical
and fetal and infant cerebral blood flow, cranial ultrasounds, echocardiograms,
cerebral oxygenation, electroencephalograms, and serum cytokines were evaluated
before and after treatment, and 12, 24, and 48 hours after birth. Magnetic
resonance spectroscopy and diffusion imaging were performed at term age
equivalent. Development was followed for cerebral palsy or autism to 4 years of
age. RESULTS: Cardiovascular measures, cerebral blood flow velocity and vascular
resistance, and cerebral oxygenation did not differ between treatment groups.
Cerebrovascular coupling was disrupted in infants with chorioamnionitis treated
with saline but preserved in infants treated with NAC, suggesting improved
vascular regulation in the presence of neuroinflammation. Infants treated with
NAC had higher serum anti-inflammatory interleukin-1 receptor antagonist and
lower proinflammatory vascular endothelial growth factor over time vs controls.
No adverse events related to NAC administration were noted. CONCLUSIONS: In this
cohort of newborns exposed to chorioamnionitis, antenatal and postnatal NAC was
safe, preserved cerebrovascular regulation, and increased an anti-inflammatory
neuroprotective protein. TRIAL REGISTRATION: ClinicalTrials.gov: NCT00724594.
PMID- 26545729
TI - Erratum to: Association of KCNB1 polymorphisms with lipid metabolisms and insulin
resistance: a case-control design of population-based cross-sectional study in
Chinese Han population.
PMID- 26545730
TI - Serum caspase-3 levels and mortality are associated in patients with severe
traumatic brain injury.
AB - BACKGROUND: Different apoptosis pathways activate caspase-3. In a study involving
27 patients with traumatic brain injury (TBI), higher caspase-3 levels were found
in contusion brain tissue resected from non-survivors than from survivors. The
objective of this study was to determine whether there is an association in TBI
patients between serum caspase-3 levels (thus using an easier, quicker, less
expensive and less invasive procedure) and mortality, in a larger series of
patients. METHODS: We carried out a prospective, observational and multicenter
study in six Spanish Hospital Intensive Care Units including 112 patients with
severe TBI. All had Glasgow Coma Scale (GCS) scores lower than 9. Patients with
an Injury Severity Score (ISS) in non-cranial aspects higher than 9 were
excluded. Blood samples were collected on day 1 of TBI to measure serum caspas-3
levels. The endpoint was 30-day mortality. RESULTS: We found that non-surviving
patients (n = 31) showed higher (p = 0.003) serum caspase-3 levels compared to
survivors (n = 81). Kaplan-Meier survival analysis showed a higher risk of death
in TBI patients with serum caspase-3 levels >0.20 ng/mL than in patients with
lower concentrations (Hazard Ratio = 3.15; 95% CI = 1.40 to 7.08; P < 0.001).
Multiple logistic regression analysis showed that serum caspase-3 levels > 0.20
ng/mL were associated with mortality at 30 days in TBI patients controlling for
Marshall CT classification, age and GCS (Odds ratio = 7.99; 95% CI = 2.116 to
36.744; P = 0.001). CONCLUSIONS: The association between serum caspase-3 levels
and mortality in TBI patients was the major novel finding of our study.
PMID- 26545731
TI - The Effect of Entonox, Play Therapy and a Combination on Pain Relief in Children:
A Randomized Controlled Trial.
AB - Pediatric pain is often undertreated/neglected due to time constraints,
difficulties in timing of oral analgesics, fear of side effects of opioids and
anxiolytics, and apprehension of additional pain in the use of local anesthetic
injections. In this study, the researcher was prompted to choose rapidly acting
interventions that were low dose and allowed the child to stay alert, suitable
for a quick discharge. The purpose of this study was to evaluate the effects of
Entonox, play therapy, and a combination to relieve procedural pain in children
aged 4-15 years. The study was designed as a randomized controlled trial; the
subjects were divided into four groups using a sequential allocation plan from
123 total subjects. Group A received Entonox, Group B received play therapy,
Group C received both Entonox and play therapy, and Group D received existing
standard interventions. The study was vetted by the departmental study review
committee. The pain level was assessed using FLACC scale for children aged 4-9
years and the Wong Bakers Faces Pain Scale for children aged 10-15 years; scores
ranged from 0 to 10. All the data were analyzed using SPSS 16.0 with descriptive
statistics and, inferential statistics. The mean pain scores were as follows:
Entonox group, 2.87; Play therapy group, 4; combination group, 3; and control
group, 5.87. When statistical testing was applied, a significant reduction in the
pain score in all the three experimental groups when compared to the control
group was found (p = .002), but not in the pain score among the three
experimental groups (p = .350). The findings of this study indicated that all
three interventions were effective in lowering pain scores when compared to the
control group. Play therapy is as potent as Entonox in relieving procedural pain,
though there was no additive effect on pain relief when play therapy and Entonox
were combined. A protocol for age-related choice between play therapy and Entonox
administration was introduced as a standing order in the Pediatric Surgery
department for acute procedural pain relief.
PMID- 26545733
TI - Distortion correction of EPI data using multimodal nonrigid registration with an
anisotropic regularization.
AB - In this paper, a novel strategy for correcting both geometric and image intensity
distortions of echo-planar imaging (EPI) MRI data is presented. To deal with
small local distortions caused by rapid changes of the magnetic field, an
improved multimodal registration framework using normalized mutual information
(NMI) in combination with a multi-scale technique is presented to estimate a
dense displacement field. To ensure the robustness of this high dimensional ill
posed inverse problem, a novel anisotropic regularization functional is used. In
order to quantify geometric distortions, a new quality measure, called
standardized contour distance (SCD), is introduced. It uses the outer structure
shape (OSS) information as basis for the evaluation. The new registration method
was evaluated with one monomodal phantom data set and two multimodal human brain
data sets (BrainSuite trainings data, SPM Subject data). By comparing with recent
and efficient techniques of the state of the art, in the monomodal case, the new
approach achieves results comparable to the sum of squared differences as data
term. In the multimodal cases, our new registration strategy improves the mean of
the SCD from 0.96+/-0.11 to 0.60+/-0.13 in case of the SPM Subject data and from
0.92+/-0.07 to 0.78+/-0.11 in case of the BrainSuite trainings data.
PMID- 26545732
TI - Characteristics of Patients with Lower Extremity Trauma with Improved and Not
Improved Pain During Hospitalization: A Pilot Study.
AB - Up to 62% of patients report chronic pain at the injury site 6-12 months after
blunt trauma, with pain from lower extremity fractures exceeding that from other
sites. High pain intensity at time of injury is a risk factor for chronic pain,
but it is not clear what patient characteristics influence the pain intensity
level during the immediate hospitalization following injury. The purpose of this
pilot study was to determine the feasibility of collecting pain scores from
medical records to calculate pain trajectories and to determine whether it is
possible to examine patient characteristics by classifying them into those whose
pain improved and those whose pain did not improve. This descriptive study
retrospectively reviewed medical records of 18 randomly chosen patients admitted
to an academic trauma center. Patient characteristics and pain scores were
collected form electronic and handwritten medical records. The pain trajectories
calculated from routinely collected pain scores during the inpatient stay showed
that for 44% of patients the pain improved during the hospitalization, for 39%
the pain remained the same, and for 17% the pain worsened. The variables age,
smoking, weight, abbreviated injury scores, length of hospital stay, mean pain
score, and opioid equianalgesic dose differed based on pain trajectory. While
patient characteristics differed based on pain trajectory, any significant
effects seen from individual tests should be considered tentative, given the
number of analyses conducted on this data set. However, feasibility and
significance of conducting a larger study has been established.
PMID- 26545734
TI - Actions following adverse drug events - how do these influence uptake and
utilisation of newer and/or similar medications?
AB - BACKGROUND: Over the last decade, actions following some adverse drug events
received major publicity. This study investigated changes in usage patterns of
medications in Australia following two examples - rofecoxib market withdrawal
(2004) and warnings about jaw necrosis following bisphosphonates (2007). METHODS:
Dispensing data for COX-2 inhibitors (2000-2008) and anti-osteoporosis
medications (2003-2012) were obtained from the Australian Pharmaceutical Benefits
Scheme database. For bisphosphonates, data on Australian marketing expenditures
were purchased from Cegedim(R). RESULTS: For COX-2 inhibitors, celecoxib
dispensing halved after rofecoxib withdrawal, but meloxicam dispensing increased
by 60 %. When lumiracoxib was introduced (2006) there was uptake of prescribing
at a faster rate than meloxicam in 2002, its first year of use. For
bisphosphonates, alendronate had highest use at the time of the warnings (8.3
DDD/1000/day), dropping to 4.9 DDD/1000/day by 2012. In contrast, risedronate use
rose 2007-2012 from 4.1 to 4.9 DDD/1000/day. There was 49 % increase in reported
annual expenditure on detailing for risedronate from 2007 to 2008 (to AUD$7.3
million) and only 29 % increase for alendronate (to AUD$3.1 million).
CONCLUSIONS: The rapid uptake of prescribing of lumiracoxib and increased use of
meloxicam flagged a concern, especially after rofecoxib withdrawal due to safety
issues. Bisphosphonates are useful drugs, however the dramatic rise in
expenditure on detailing, followed by a rise in utilisation of risedronate could
suggest that adverse publicity triggered a marketing response. These examples
highlight the importance of tracking utilisation of medication classes in real
time, using different data as needed, to ensure that due caution is exercised
(and quick intervention provided if needed) for medications in the same class.
PMID- 26545735
TI - The relationship between epicardial fat tissue thickness and visceral adipose
tissue in lean patients with polycystic ovary syndrome.
AB - BACKGROUND: Polycystic ovary syndrome (PCOS) is related to metabolic syndrome,
insulin resistance, and cardiovascular metabolic syndromes. This is particularly
true for individuals with central and abdominal obesity because visceral
abdominal adipose tissue (VAAT) and epicardial adipose tissue (EAT) produce a
large number of proinflammatory and proatherogenic cytokines. The present study
aimed to determine whether there are changes in VAAT and EAT levels which were
considered as indirect predictors for subclinical atherosclerosis in lean
patients with PCOS. METHODS: The clinical and demographic characteristics of 35
patients with PCOS and 38 healthy control subjects were recorded for the present
study. Additionally, the serum levels of various biochemical parameters were
measured and EAT levels were assessed using 2D-transthoracic echocardiography.
RESULTS: There were no significant differences in mean age (p = 0.056) or mean
body mass index (BMI) (p = 0.446) between the patient and control groups.
However, the body fat percentage, waist-to-hip ratio, amount of abdominal
subcutaneous adipose tissue, and VAAT thickness were higher in the PCOS patient
group than in the control group. The amounts of EAT in the patient and control
groups were similar (p = 0.384). EAT was correlated with BMI, fat mass, waist
circumference, and hip circumference but not with any biochemical metabolic
parameters including the homeostasis model assessment of insulin resistance index
or the levels of triglycerides, low-density lipoprotein cholesterol, and high
density lipoprotein (HDL) cholesterol. However, there was a small positive
correlation between the amounts of VAAT and EAT. VAAT was directly correlated
with body fat parameters such as BMI, fat mass, and abdominal subcutaneous
adipose thickness and inversely correlated with the HDL cholesterol level.
CONCLUSIONS: The present study found that increased abdominal adipose tissue in
patients with PCOS was associated with atherosclerosis. Additionally, EAT may aid
in the determination of the risk of atherosclerosis in patients with PCOS because
it is easily measured.
PMID- 26545736
TI - Development and psychometric validation of a novel patient survey to assess
perceived quality of substance abuse treatment in South Africa.
AB - BACKGROUND: A hybrid performance measurement system that combines patient
reported outcome data with administrative data has been developed for South
African substance abuse treatment services. This paper describes the development
and psychometric validation of one component of this system, the South African
Addiction Treatment Services Assessment (SAATSA). METHODS: First, a national
steering committee identified five domains and corresponding indicators on which
treatment quality should be assessed. A decision was made to develop a patient
survey to assess several of these indicators. A stakeholder work group sourced
survey items and generated additional items where appropriate. The feasibility
and face validity of these items were examined during cognitive response testing
with 16 patients. This led to the elimination of several items. Next, we
conducted an initial psychometric validation of the SAATSA with 364 patients from
residential and outpatient services. Exploratory (EFA) and confirmatory factor
analyses (CFA) were conducted to assess the latent structure of the SAATSA.
Findings highlighted areas where the SAATSA required revision. Following
revision, we conducted another psychometric validation with an additional sample
of 285 patients. We used EFA and CFA to assess construct validity and we assessed
reliability using Cronbach's measure of internal consistency. RESULTS: The final
version of the SAATSA comprised 31 items (rated on a four-point response scale)
that correspond to six scales. Four of these scales are patient-reported outcome
measures (substance use, quality of life, social connectedness and HIV risk
outcomes) that together assess the perceived effectiveness of treatment. The
remaining two scales assess patients' perceptions of access to and quality of
care. The models for the final revised scales had good fit and the internal
reliability of these scales was good to excellent, with Cronbach's alpha ranging
from 0.72 to 0.89. CONCLUSION: A lack of adequate measurement tools hampers
efforts to improve the quality of substance abuse treatment. Our preliminary
evidence suggests that the SAATSA, a novel patient survey that assesses patients'
perceptions of the outcomes and quality of substance abuse treatment, is a
psychometrically robust tool that can help fill this void.
PMID- 26545737
TI - Comparison of Kompetitive Allele Specific PCR (KASP) and genotyping by sequencing
(GBS) for quality control analysis in maize.
AB - BACKGROUND: Quality control (QC) analysis is an important component in maize
breeding and seed systems. Genotyping by next-generation sequencing (GBS) is an
emerging method of SNP genotyping, which is being increasingly adopted for
discovery applications, but its suitability for QC analysis has not been
explored. The objectives of our study were 1) to evaluate the level of genetic
purity and identity among two to nine seed sources of 16 inbred lines using 191
Kompetitive Allele Specific PCR (KASP) and 257,268 GBS markers, and 2) compare
the correlation between the KASP-based low and the GBS-based high marker density
on QC analysis. RESULTS: Genetic purity within each seed source varied from 49 to
100% for KASP and from 74 to 100% for GBS. All except one of the inbred lines
obtained from CIMMYT showed 98 to 100% homogeneity irrespective of the marker
type. On the contrary, only 16 and 21% of the samples obtained from EIAR and
partners showed >=95% purity for KASP and GBS, respectively. The genetic distance
among multiple sources of the same line designation varied from 0.000 to 0.295
for KASP and from 0.004 to 0.230 for GBS. Five lines from CIMMYT showed <= 0.05
distance among multiple sources of the same line designation; the remaining
eleven inbred lines, including two from CIMMYT and nine from Ethiopia showed
higher than expected genetic distances for two or more seed sources. The
correlation between the 191 KASP and 257,268 GBS markers was 0.88 for purity and
0.93 for identity. A reduction in the number of GBS markers to 1,343 decreased
the correlation coefficient only by 0.03. CONCLUSIONS: Our results clearly showed
high discrepancy both in genetic purity and identity by the origin of the seed
sources (institutions) irrespective of the type of genotyping platform and number
of markers used for analyses. Although there were some numerical differences
between KASP and GBS, the overall conclusions reached from both methods was
basically similar, which clearly suggests that smaller subset of preselected and
high quality markers are sufficient for QC analysis that can easily be done using
low marker density genotyping platforms, such as KASP. Results from this study
would be highly relevant for plant breeders and seed system specialists.
PMID- 26545738
TI - Activation of the Farnesoid X-receptor in breast cancer cell lines results in
cytotoxicity but not increased migration potential.
AB - Breast cancer is the commonest form of cancer in women, but successful treatment
is confounded by the heterogeneous nature of breast tumours: Effective treatments
exist for hormone-sensitive tumours, but triple-negative breast cancer results in
poor survival. An area of increasing interest is metabolic reprogramming, whereby
drug-induced alterations in the metabolic landscape of a tumour slow tumour
growth and/or increase sensitivity to existing therapeutics. Nuclear receptors
are transcription factors central to the expression of metabolic and transport
proteins, and thus represent potential targets for metabolic reprogramming. We
show that activation of the nuclear receptor FXR, either by its endogenous ligand
CDCA or the synthetic GW4064, leads to cell death in four breast cancer cell
lines with distinct phenotypes: MCF-10A (normal), MCF-7 (receptor positive), MDA
MB-231 and MDA-MB-468 (triple negative). Furthermore, we show that the mechanism
of cell death is predominantly through the intrinsic apoptotic pathway. Finally,
we demonstrate that FXR agonists do not stimulate migration in breast cancer cell
lines, an important potential adverse effect. Together, our data support the
continued examination of FXR agonists as a novel class of therapeutics for the
treatment of breast cancer.
PMID- 26545739
TI - Livers provide a reliable matrix for real-time PCR confirmation of avian
botulism.
AB - Diagnosis of avian botulism is based on clinical symptoms, which are indicative
but not specific. Laboratory investigations are therefore required to confirm
clinical suspicions and establish a definitive diagnosis. Real-time PCR methods
have recently been developed for the detection of Clostridium botulinum group III
producing type C, D, C/D or D/C toxins. However, no study has been conducted to
determine which types of matrices should be analyzed for laboratory confirmation
using this approach. This study reports on the comparison of different matrices
(pooled intestinal contents, livers, spleens and cloacal swabs) for PCR detection
of C. botulinum. Between 2013 and 2015, 63 avian botulism suspicions were tested
and 37 were confirmed as botulism. Analysis of livers using real-time PCR after
enrichment led to the confirmation of 97% of the botulism outbreaks. Using the
same method, spleens led to the confirmation of 90% of botulism outbreaks,
cloacal swabs of 93% and pooled intestinal contents of 46%. Liver appears to be
the most reliable type of matrix for laboratory confirmation using real-time PCR
analysis.
PMID- 26545741
TI - 3D Printing and Biofabrication for Load Bearing Tissue Engineering.
AB - Cell-based direct biofabrication and 3D bioprinting is becoming a dominant
technological platform and is suggested as a new paradigm for twenty-first
century tissue engineering. These techniques may be our next step in surpassing
the hurdles and limitations of conventional scaffold-based tissue engineering,
and may offer the industrial potential of tissue engineered products especially
for load bearing tissues. Here we present a topically focused review regarding
the fundamental concepts, state of the art, and perspectives of this new
technology and field of biofabrication and 3D bioprinting, specifically focused
on tissue engineering of load bearing tissues such as bone, cartilage,
osteochondral and dental tissue engineering.
PMID- 26545740
TI - Complete genome sequences and analysis of the Fusobacterium nucleatum subspecies
animalis 7-1 bacteriophage phiFunu1 and phiFunu2.
AB - Fusobacterium nucleatum is a strictly anaerobic, Gram negative bacterial species
that has been associated with dental infections, pre-term labor, appendicitis,
inflammatory bowel disease, and, more recently, colorectal cancer. The species is
unusual in its phenotypic and genotypic heterogeneity, with some strains
demonstrating a more virulent phenotype than others; however, as yet the genetic
basis for these differences is not understood. Bacteriophage are known to
contribute to the virulence phenotype of several bacterial species. In this work,
we set out to characterize the bacteriophage associated with F. nucleatum subsp.
animalis strain 7-1, a highly invasive isolate from the human gastrointestinal
tract. As well, we used computational approaches to predict and compare
bacteriophage signatures across available sequenced F. nucleatum genomes.
PMID- 26545742
TI - Microfabrication of Cell-Laden Hydrogels for Engineering Mineralized and Load
Bearing Tissues.
AB - Microengineering technologies and advanced biomaterials have extensive
applications in the field of regenerative medicine. In this chapter, we review
the integration of microfabrication techniques and hydrogel-based biomaterials in
the field of dental, bone, and cartilage tissue engineering. We primarily discuss
the major features that make hydrogels attractive candidates to mimic
extracellular matrix (ECM), and we consider the benefits of three-dimensional
(3D) culture systems for tissue engineering applications. We then focus on the
fundamental principles of microfabrication techniques including photolithography,
soft lithography and bioprinting approaches. Lastly, we summarize recent research
on microengineering cell-laden hydrogel constructs for dental, bone and cartilage
regeneration, and discuss future applications of microfabrication techniques for
load-bearing tissue engineering.
PMID- 26545743
TI - Electrospinning of Bioinspired Polymer Scaffolds.
AB - Electrospinning is a technique used in the production of polymer nanofibre
meshes. The use of biodegradable and biocompatible polymers to produce nanofibres
that closely mimic the extracellular matrix (ECM) of different tissues has opened
a wide range of possibilities for the application of electrospinning in Tissue
Engineering. It is believed that nano-features (such as voids and surface cues)
present in nanofibre mesh scaffolds, combined with the chemical composition of
the fibres, can stimulate cell attachment, growth and differentiation. Despite
the widespread use of electrospun nanofibres in tissue engineering, the present
chapter will focus on the advances made in the utilisation of these materials in
bone, cartilage and tooth related applications. Several aspects will be taken
into consideration, namely the choice of polymers, the surface modification of
the nanofibres in order to achieve mineralisation, and also the biological
application of such materials.
PMID- 26545744
TI - Bone Tissue Engineering Challenges in Oral & Maxillofacial Surgery.
AB - Over the past decades, there has been a substantial amount of innovation and
research into tissue engineering and regenerative approaches for the craniofacial
region. This highly complex area presents many unique challenges for tissue
engineers. Recent research indicates that various forms of implantable
biodegradable scaffolds may play a beneficial role in the clinical treatment of
craniofacial pathological conditions. Additionally, the direct delivery of
bioactive molecules may further increase de novo bone formation. While these
strategies offer an exciting glimpse into potential future treatments, there are
several challenges that still must be overcome. In this chapter, we will
highlight both current surgical approaches for craniofacial reconstruction and
recent advances within the field of bone tissue engineering. The clinical
challenges and limitations of these strategies will help contextualize and inform
future craniofacial tissue engineering strategies.
PMID- 26545745
TI - Engineering Pre-vascularized Scaffolds for Bone Regeneration.
AB - Survival of functional tissue constructs of clinically relevant size depends on
the formation of an organized and uniformly distributed network of blood vessels
and capillaries. The lack of such vasculature leads to spatio-temporal gradients
in oxygen, nutrients and accumulation of waste products inside engineered tissue
constructs resulting in negative biological events at the core of the scaffold.
Unavailability of a well-defined vasculature also results in ineffective
integration of scaffolds to the host vasculature upon implantation. Arguably, one
of the greatest challenges in engineering clinically relevant bone substitutes,
therefore, has been the development of vascularized bone scaffolds. Various
approaches ranging from peptide and growth factor functionalized biomaterials to
hyper-porous scaffolds have been proposed to address this problem with reasonable
success. An emerging alternative to address this challenge has been the
fabrication of pre-vascularized scaffolds by taking advantage of biomanufacturing
techniques, such as soft- and photo-lithography or 3D bioprinting, and cell-based
approaches, where functional capillaries are engineered in cell-laden scaffolds
prior to implantation. These strategies seek to engineer pre-vascularized tissues
in vitro, allowing for improved anastomosis with the host vasculature upon
implantation, while also improving cell viability and tissue development in
vitro. This book chapter provides an overview of recent methods to engineer pre
vascularized scaffolds for bone regeneration. We first review the development of
functional blood capillaries in bony structures and discuss controlled delivery
of growth factors, co-culture systems, and on-chip studies to engineer
vascularized cell-laden biomaterials. Lastly, we review recent studies using
microfabrication techniques and 3D printing to engineer pre-vascularized
scaffolds for bone tissue engineering.
PMID- 26545746
TI - Morphogenic Peptides in Regeneration of Load Bearing Tissues.
AB - Morphogenic proteins due to their short half-life require high doses of growth
factors in regeneration of load bearing tissues which leads to undesirable side
effects. These side effects include bone overgrowth, tumor formation and immune
reaction. An alternative approach to reduce undesirable side effects of proteins
in regenerative medicine is to use morphogenic peptides derived from the active
domains of morphogenic proteins or soluble and insoluble components of the
extracellular matrix of mineralized load bearing tissues to induce
differentiation of progenitor cells, mineralization, maturation and bone
formation. In that regard, many peptides with osteogenic activity have been
discovered. These include peptides derived from bone morphogenic proteins (BMPs),
those based on interaction with integrin and heparin-binding receptors, collagen
derived peptides, peptides derived from other soluble ECM proteins such as bone
sialoprotein and enamel matrix proteins, and those peptides derived from
vasculoinductive and neuro-inductive proteins. Although these peptides show
significant osteogenic activity in vitro and increase mineralization and bone
formation in animal models, they are not widely used in clinical orthopedic
applications as an alternative to morphogenic proteins. This is partly due to the
limited availability of data on structure and function of morphogenic peptides in
physiological medium, particularly in tissue engineered scaffolds. Due to their
amphiphilic nature, peptides spontaneously self-assemble and aggregate into
micellar structures in physiological medium. Aggregation alters the sequence of
amino acids in morphogenic peptides that interact with cell surface receptors
thus affecting osteogenic activity of the peptide. Aggregation and micelle
formation can dramatically reduce the active concentration of morphogenic
peptides with many-fold increase in peptide concentration in physiological
medium. Other factors that affect bioactivity are the non-specific interaction of
morphogenic peptides with lipid bilayer of the cell membrane, interaction of the
peptide with cell surface receptors that do not specifically induce osteogenesis
leading to less-than-optimal osteogenic activity of the peptide, and less-than
optimal interaction of the peptide with osteogenic receptors on the cell surface.
Covalent attachment or physical interaction with the tissue engineered matrix can
also alter the bioactivity of morphogenic peptides and lead to a lower extent of
osteogenesis and bone formation. This chapter reviews advances in discovery of
morphogenic peptide, their structural characterization, and challenges in using
morphogenic peptides in clinical applications as growth factors in tissue
engineered devices for regeneration of load bearing tissues.
PMID- 26545747
TI - Osseointegration of Plateau Root Form Implants: Unique Healing Pathway Leading to
Haversian-Like Long-Term Morphology.
AB - Endosteal dental implants have been utilized as anchors for dental and orthopedic
rehabilitations for decades with one of the highest treatment success rates in
medicine. Such success is due to the phenomenon of osseointegration where after
the implant surgical placement, bone healing results into an intimate contact
between bone and implant surface. While osseointegration is an established
phenomenon, the route which osseointegration occurs around endosteal implants is
related to various implant design factors including surgical instrumentation and
implant macro, micro, and nanometer scale geometry. In an implant system where
void spaces (healing chambers) are present between the implant and bone
immediately after placement, its inherent bone healing pathway results in unique
opportunities to accelerate the osseointegration phenomenon at the short-term and
its maintenance on the long-term through a haversian-like bone morphology and
mechanical properties.
PMID- 26545749
TI - Multiphasic, Multistructured and Hierarchical Strategies for Cartilage
Regeneration.
AB - Cartilage tissue is a complex nonlinear, viscoelastic, anisotropic, and
multiphasic material with a very low coefficient of friction, which allows to
withstand millions of cycles of joint loading over decades of wear. Upon damage,
cartilage tissue has a low self-reparative capacity due to the lack of neural
connections, vascularization, and a latent pool of stem/chondro-progenitor cells.
Therefore, the healing of articular cartilage defects remains a significant
clinical challenge, affecting millions of people worldwide. A plethora of
biomaterials have been proposed to fabricate devices for cartilage regeneration,
assuming a wide range of forms and structures, such as sponges, hydrogels,
capsules, fibers, and microparticles. In common, the fabricated devices were
designed taking in consideration that to fully achieve the regeneration of
functional cartilage it is mandatory a well-orchestrated interplay of
biomechanical properties, unique hierarchical structures, extracellular matrix
(ECM), and bioactive factors. In fact, the main challenge in cartilage tissue
engineering is to design an engineered device able to mimic the highly organized
zonal architecture of articular cartilage, specifically its spatiomechanical
properties and ECM composition, while inducing chondrogenesis, either by the
proliferation of chondrocytes or by stimulating the chondrogenic differentiation
of stem/chondro-progenitor cells. In this chapter we present the recent advances
in the development of innovative and complex biomaterials that fulfill the
required structural key elements for cartilage regeneration. In particular,
multiphasic, multiscale, multilayered, and hierarchical strategies composed by
single or multiple biomaterials combined in a well-defined structure will be
addressed. Those strategies include biomimetic scaffolds mimicking the structure
of articular cartilage or engineered scaffolds as models of research to fully
understand the biological mechanisms that influence the regeneration of cartilage
tissue.
PMID- 26545748
TI - Dentin Matrix Proteins in Bone Tissue Engineering.
AB - Dentin and bone are mineralized tissue matrices comprised of collagen fibrils and
reinforced with oriented crystalline hydroxyapatite. Although both tissues
perform different functionalities, they are assembled and orchestrated by
mesenchymal cells that synthesize both collagenous and noncollagenous proteins
albeit in different proportions. The dentin matrix proteins (DMPs) have been
studied in great detail in recent years due to its inherent calcium binding
properties in the extracellular matrix resulting in tissue calcification. Recent
studies have shown that these proteins can serve both as intracellular signaling
proteins leading to induction of stem cell differentiation and also function as
nucleating proteins in the extracellular matrix. These properties make the DMPs
attractive candidates for bone and dentin tissue regeneration. This chapter will
provide an overview of the DMPs, their functionality and their proven and
possible applications with respect to bone tissue engineering.
PMID- 26545750
TI - Anterior Cruciate Ligament: Structure, Injuries and Regenerative Treatments.
AB - Anterior cruciate ligament (ACL) is one of the most vulnerable ligaments of the
knee. ACL impairment results in episodic instability, chondral and meniscal
injury and early osteoarthritis. The poor self-healing capacity of ACL makes
surgical treatment inevitable. Current ACL reconstructions include a substitution
of torn ACL via biological grafts such as autograft, allograft. This review
provides an insight of ACL structure, orientation and properties followed by
comparing the performance of various constructs that have been used for ACL
replacement. New approaches, undertaken to induce ACL regeneration and fabricate
biomimetic scaffolds, are also discussed.
PMID- 26545751
TI - Hard-Soft Tissue Interface Engineering.
AB - The musculoskeletal system is comprised of three distinct tissue categories:
structural mineralized tissues, actuating muscular soft tissues, and connective
tissues. Where connective tissues - ligament, tendon and cartilage - meet with
bones, a graded interface in mechanical properties occurs that allows the
transmission of load without creating stress concentrations that would cause
tissue damage. This interface typically occurs over less than 1 mm and contains a
three order of magnitude difference in elastic stiffness, in addition to changes
in cell type and growth factor concentrations among others. Like all engineered
tissues, the replication of these interfaces requires the production of scaffolds
that will provide chemical and mechanical cues, resulting in biologically
accurate cellular differentiation. For interface tissues however, the scaffold
must provide spatially graded chemical and mechanical cues over sub millimetre
length scales. Naturally, this complicates the manufacture of the scaffolds and
every stage of their subsequent cell seeding and growth, as each region has
different optimal conditions. Given the higher degree of difficulty associated
with replicating interface tissues compared to surrounding homogeneous tissues,
it is likely that the development of complex musculoskeletal tissue systems will
continue to be limited by the engineering of connective tissues interfaces with
bone.
PMID- 26545752
TI - Cementum and Periodontal Ligament Regeneration.
AB - The unique anatomy and composition of the periodontium make periodontal tissue
healing and regeneration a complex process. Periodontal regeneration aims to
recapitulate the crucial stages of wound healing associated with periodontal
development in order to restore lost tissues to their original form and function
and for regeneration to occur, healing events must progress in an ordered and
programmed sequence both temporally and spatially, replicating key developmental
events. A number of procedures have been employed to promote true and predictable
regeneration of the periodontium. Principally, the approaches are based on the
use of graft materials to compensate for the bone loss incurred as a result of
periodontal disease, use of barrier membranes for guided tissue regeneration and
use of bioactive molecules. More recently, the concept of tissue engineering has
been integrated into research and applications of regenerative dentistry,
including periodontics, to aim to manage damaged and lost oral tissues, through
reconstruction and regeneration of the periodontium and alleviate the
shortcomings of more conventional therapeutic options. The essential components
for generating effective cellular based therapeutic strategies include a
population of multi-potential progenitor cells, presence of signalling
molecules/inductive morphogenic signals and a conductive extracellular matrix
scaffold or appropriate delivery system. Mesenchymal stem cells are considered
suitable candidates for cell-based tissue engineering strategies owing to their
extensive expansion rate and potential to differentiate into cells of multiple
organs and systems. Mesenchymal stem cells derived from multiple tissue sources
have been investigated in pre-clinical animal studies and clinical settings for
the treatment and regeneration of the periodontium.
PMID- 26545754
TI - Whole Tooth Regeneration as a Future Dental Treatment.
AB - Dental problems caused by dental caries, periodontal disease and tooth injury
compromise the oral and general health issues. Current advances for the
development of regenerative therapy have been influenced by our understanding of
embryonic development, stem cell biology, and tissue engineering technology.
Tooth regenerative therapy for tooth tissue repair and whole tooth replacement is
currently expected a novel therapeutic concept with the full recovery of tooth
physiological functions. Dental stem cells and cell-activating cytokines are
thought to be candidate approach for tooth tissue regeneration because they have
the potential to differentiate into tooth tissues in vitro and in vivo. Whole
tooth replacement therapy is considered to be an attractive concept for next
generation regenerative therapy as a form of bioengineered organ replacement. For
realization of whole tooth regeneration, we have developed a novel three
dimensional cell manipulation method designated the "organ germ method". This
method involves compartmentalisation of epithelial and mesenchymal cells at a
high cell density to mimic multicellular assembly conditions and epithelial
mesenchymal interactions in organogenesis. The bioengineered tooth germ generates
a structurally correct tooth in vitro, and erupted successfully with correct
tooth structure when transplanted into the oral cavity. We have ectopically
generated a bioengineered tooth unit composed of a mature tooth, periodontal
ligament and alveolar bone, and that tooth unit was engrafted into an adult
jawbone through bone integration. Bioengineered teeth were also able to perform
physiological tooth functions such as mastication, periodontal ligament function
and response to noxious stimuli. In this review, we describe recent findings and
technologies underpinning whole tooth regenerative therapy.
PMID- 26545753
TI - Amelogenin in Enamel Tissue Engineering.
AB - In this chapter the basic premises, the recent findings and the future challenges
in the use of amelogenin for enamel tissue engineering are being discoursed on.
Results emerging from the experiments performed to assess the fundamental
physicochemical mechanisms of the interaction of amelogenin, the main protein of
the enamel matrix, and the growing crystals of apatite, are mentioned, alongside
a moderately comprehensive literature review of the subject at hand. The clinical
importance of understanding this protein/mineral interaction at the nanoscale are
highlighted as well as the potential for tooth enamel to act as an excellent
model system for studying some of the essential aspects of biomineralization
processes in general. The dominant paradigm stating that amelogenin directs the
uniaxial growth of apatite crystals in enamel by slowing down the growth of (hk0)
faces on which it adheres is being questioned based on the results demonstrating
the ability of amelogenin to promote the nucleation and crystal growth of apatite
under constant titration conditions designed to mimic those present in the
developing enamel matrix. The role of numerous minor components of the enamel
matrix is being highlighted as essential and impossible to compensate for by
utilizing its more abundant ingredients only. It is concluded that the three
major aspects of amelogenesis outlined hereby--(1) the assembly of amelogenin and
other enamel matrix proteins, (2) the proteolytic activity, and (3)
crystallization--need to be in precise synergy with each other in order for the
grounds for the proper imitation of amelogenesis in the lab to be created.
PMID- 26545755
TI - Antimicrobial photodynamic therapy minimizes the deleterious effect of nicotine
in female rats with induced periodontitis.
AB - The aim of this study was to compare the use of antimicrobial photodynamic
therapy (aPDT) as an adjunct to scaling and root planing (SRP) in the treatment
of experimentally induced periodontitis in female rats that were systemically
treated with or without nicotine. Female rats (n = 180) were divided into two
groups: vehicle administration (Veh) and nicotine administration (Nic). Mini
pumps containing either vehicle or nicotine were implanted in the rats 30 days
before the induction of experimental periodontitis (EP). EP was induced by
placing a cotton ligature around the left mandibular first molar. After 7 days,
the ligature was removed, and the rats were randomly divided into three treatment
subgroups: SRP (only SRP), DL (SRP plus diode laser), and aPDT (SRP plus aPDT).
The aPDT consisted of phenothiazine photosensitizer deposition followed by diode
laser irradiation. Ten rats from each subgroup were euthanized at 7, 15, and 30
days after treatment. Alveolar bone loss (ABL) in the furcation region was
evaluated using histological, histometric, and immunohistochemical analyses. The
rats that were treated with nicotine showed more ABL compared to those treated
with vehicle. In both the Veh and Nic groups, SRP plus aPDT treatment resulted in
reduced ABL, smaller numbers of both TRAP- and RANKL-positive cells, and higher
numbers of PCNA-positive cells compared to SRP treatment alone. aPDT was an
effective adjunctive therapy for the treatment of periodontitis in female rats
regardless of whether they received nicotine.
PMID- 26545756
TI - Occurrence and function of fungal antifungal proteins: a case study of the citrus
postharvest pathogen Penicillium digitatum.
AB - Antifungal proteins (AFPs) of fungal origin have been described in filamentous
fungi. AFPs are small, highly stable, cationic cysteine-rich proteins (CRPs) that
are usually secreted in high amounts and show potent antifungal activity against
non-self fungi. The role of AFPs in the biology of the producer fungus remains
unclear. AFPs have been proposed as promising lead compounds for the development
of new antifungals. The analyses of available antifungal CRP sequences from
fungal origin and their phylogenetic reconstruction led us to propose a new
classification of AFPs in three distinct classes: A, B and C. We initiate for the
first time the characterization of an AFP in a fungal pathogen, by analysing the
functional role of the unique afpB gene in the citrus fruit pathogen Penicillium
digitatum. Null DeltaafpB mutants revealed that this gene is dispensable for
vegetative growth and fruit infection. However, strains that artificially express
afpB in a constitutive way (afpB (C)) showed a phenotype of restricted growth,
distortion of hyphal morphology and strong reduction in virulence to citrus
fruits. These characteristics support an antifungal role for AfpB. Surprisingly,
we did not detect the AfpB protein in any of the P. digitatum strains and growth
conditions that were analysed in this study, regardless of high gene expression.
The afpB (C) phenotype is not stable and occasionally reverts to a wild type-like
phenotype but molecular changes were not detected with this reversion. The
reduced virulence of afpB (C) strains correlated with localized fruit necrosis
and altered timing of expression of fruit defence genes.
PMID- 26545758
TI - Overcoming hydrolysis of raw corn starch under industrial conditions with
Bacillus licheniformis ATCC 9945a alpha-amylase.
AB - alpha-Amylase from Bacillus licheniformis ATCC 9945a (BliAmy) was proven to be
very efficient in hydrolysis of granular starch below the temperature of
gelatinization. By applying two-stage feeding strategy to achieve high-cell
density cultivation of Escherichia coli and extracellular production of BliAmy,
total of 250.5 U/mL (i.e. 0.7 g/L) of enzyme was obtained. Thermostability of
amylase was exploited to simplify purification. The hydrolysis of concentrated
raw starch was optimized using response surface methodology. Regardless of raw
starch concentration tested (20, 25, 30 %), BliAmy was very effective, achieving
the final hydrolysis degree of 91 % for the hydrolysis of 30 % starch suspension
after 24 h. The major A-type crystalline structure and amorphous domains of the
starch granule were degraded at the same rates, while amylose-lipid complexes
were not degraded. BliAmy presents interesting performances on highly
concentrated solid starch and could be of value for starch-consuming industries
while response surface methodology (RSM) could be efficiently applied for the
optimization of the hydrolysis.
PMID- 26545757
TI - Evaluation of a recombinant insect-derived amylase performance in simultaneous
saccharification and fermentation process with industrial yeasts.
AB - Starch is the dominant feedstock consumed for the bioethanol production,
accounting for 60 % of its global production. Considering the significant
contribution of bioethanol to the global fuel market, any improvement in its
major operating technologies is economically very attractive. It was estimated
that up to 40 % of the final ethanol unit price is derived from the energy input
required for the substrate pre-treatment. Application of raw starch hydrolyzing
enzymes (RSHE), combined with operation of the process according to a
simultaneous saccharification and fermentation (SSF) strategy, constitutes the
most promising solutions to the current technologies limitations. In this study,
we expressed the novel RSHE derived from an insect in Saccharomyces cerevisiae
strain dedicated for the protein overexpression. Afterwards, the enzyme
performance was assessed in SSF process conducted by industrial ethanologenic or
thermotolerant yeast species. Comparison of the insect-derived RSHE preparation
with commercially available amylolytic RSH preparation was conducted. Our results
demonstrate that the recombinant alpha-amylase from rice weevil can be
efficiently expressed and secreted with its native signal peptide in S.
cerevisiae INVSc-pYES2-Amy1 expression system (accounting for nearly 72 % of the
strain's secretome). Application of the recombinant enzyme-based preparation in
SSF process secured sufficient amylolytic activity for the yeast cell propagation
and ethanol formation from raw starch. (Oligo)saccharide profiles generated by
the compared preparations differed with respect to homogeneity of the sugar
mixtures. Concomitantly, as demonstrated by a kinetic model developed in this
study, the kinetic parameters describing activity of the compared preparations
were different.
PMID- 26545759
TI - Parallel quorum sensing signaling pathways in Vibrio cholerae.
AB - Quorum sensing (QS) is a microbial signaling process for monitoring population
density and complexity. Communication among bacterial cells via QS relies on the
production, secretion, and detection of small molecules called autoinducers. Many
bacteria have evolved their QS systems with different network architectures to
incorporate information from multiple signals. In the human pathogen Vibrio
cholerae, at least four parallel signaling pathways converge to control the
activity of a single regulator to modulate its QS response. By integrating
multiple signal inputs, it is believed that Vibrio species can survey intra
species, intra-genus, and inter-species populations and program their gene
expression accordingly. Our recent studies suggest that this "many-to-one"
circuitry is also important for maintaining the integrity of the input-output
relationship of the system and minimizes premature commitment to QS due to signal
perturbation. Here we discuss the implications of this specific parallel network
setup for V. cholerae intercellular communication and how this system arrangement
affects our approach to manipulate the QS response of this clinically important
pathogen.
PMID- 26545761
TI - [Abscopal responses of local radiotherapy combined with systemic immunotherapy in
patients with metastatic solid tumors].
PMID- 26545762
TI - [Stereotactic radiotherapy augments the immune response].
PMID- 26545763
TI - Erratum to: Tomotherapy PET-guided dose escalation--A dosimetric feasibility
study for patients with malignant pleural mesothelioma.
AB - Unfortunately, erroneous author affiliations were published in the article
"Tomotherapy PET-guided dose escalation - A dosimetric feasibility study for
patients with malignant pleural mesothelioma". The correct list of author
affiliations reads as follows: Angelo Maggio 1, Claudia Cutaia 1, Amalia Di Dia
1, Sara Bresciani 1, Anna Miranti 1, Matteo Poli 1, Elena Delmastro 2, Elisabetta
Garibaldi 2, Pietro Gabriele 2 and Michele Stasi 1. 1: Medical Physics
Department, Candiolo Cancer Institute - FPO, IRCCS, Turin, Italy. 2: Radiotherapy
Department, Candiolo Cancer Institute - FPO, IRCCS, Turin, Italy. We apologize
for any inconveniences caused.
PMID- 26545764
TI - Image-guided intensity-modulated radiotherapy of prostate cancer: Analysis of
interfractional errors and acute toxicity.
AB - PURPOSE: The aim of the study was to estimate interfractional deviations in
patient and prostate position, the impact of the frequency of online verification
on the treatment margins, and to assess acute radiation reactions of high-dose
external beam image-guided intensity-modulated radiotherapy (IG-IMRT) of
localized prostate cancer. PATIENTS AND METHODS: IG-IMRT was performed by daily
online verification of implanted fiducial prostate markers using a megavoltage
electronic portal imaging device (EPID). A total of 1011 image-guided treatment
fractions from 23 consecutive unselected prostate cancer patients were analyzed.
The median total dose was 79.2 Gy (range 77.4-81.0 Gy). Acute radiation reactions
were assessed weekly during radiotherapy using the Common Terminology Criteria
for Adverse Events (CTCAE) v.4.03. RESULTS: A relevant combined patient set-up
and prostate motion population random error of 4-5 mm was observed. Compared to
daily IGRT, image guidance every other day required an expansion of the CTV-PTV
(clinical target volume-planning target volume) margin of 8.1, 6.6, and 4.1 mm in
the longitudinal, vertical, and lateral directions, thereby, increasing the PTV
by approximately 30-40 %. No grade 3 or 4 acute radiation reactions were observed
with daily IG-IMRT. CONCLUSION: A high dose with surprisingly low acute toxicity
can be applied with daily IG-IMRT using implanted fiducial prostate markers.
Daily image guidance is clearly superior to image guidance every other fraction
concerning adequate target coverage with minimal margins.
PMID- 26545765
TI - [Acute toxicity after hypofractionated versus conventionally fractionated
radiotherapy for patients with prostate cancer].
PMID- 26545766
TI - Competitive Deprotonation and Superoxide [O2-*)] Radical-Anion Adduct Formation
Reactions of Carboxamides under Negative-Ion Atmospheric-Pressure Helium-Plasma
Ionization (HePI) Conditions.
AB - Carboxamides bearing an N-H functionality are known to undergo deprotonation
under negative-ion-generating mass spectrometric conditions. Herein, we report
that N-H bearing carboxamides with acidities lower than that of the hydroperoxyl
radical (HO-O(*)) preferentially form superoxide radical-anion (O2(-*)) adducts,
rather than deprotonate, when they are exposed to the glow discharge of a helium
plasma ionization source. For example, the spectra of N-alkylacetamides show
peaks for superoxide radical-anion (O2(-*)) adducts. Conversely, more acidic
amides, such as N-alkyltrifluoroacetamides, preferentially undergo deprotonation
under similar experimental conditions. Upon collisional activation, the O2(-*)
adducts of N-alkylacetamides either lose the neutral amide or the hydroperoxyl
radical (HO-O(*)) to generate the superoxide radical-anion (m/z 32) or the
deprotonated amide [m/z (M - H)(-)], respectively. For somewhat acidic
carboxamides, the association between the two entities is weak. Thus, upon
mildest collisional activation, the adduct dissociates to eject the superoxide
anion. Superoxide-adduct formation results are useful for structure determination
purposes because carboxamides devoid of a N-H functionality undergo neither
deprotonation nor adduct formation under HePI conditions.
PMID- 26545768
TI - Mind the mind: learning from the cystic fibrosis community.
PMID- 26545769
TI - Mycobacterium abscessus in people with cystic fibrosis: considerations for
psychosocial care.
PMID- 26545767
TI - 213 nm Ultraviolet Photodissociation on Peptide Anions: Radical-Directed
Fragmentation Patterns.
AB - Characterization of acidic peptides and proteins is greatly hindered due to lack
of suitable analytical techniques. Here we present the implementation of 213 nm
ultraviolet photodissociation (UVPD) in high-resolution quadrupole-Orbitrap mass
spectrometer in negative polarity for peptide anions. Radical-driven backbone
fragmentation provides 22 distinctive fragment ion types, achieving the complete
sequence coverage for all reported peptides. Hydrogen-deficient radical anion not
only promotes the cleavage of Calpha-C bond but also stimulates the breaking of N
Calpha and C-N bonds. Radical-directed loss of small molecules and specific side
chain of amino acids are detected in these experiments. Radical containing side
chain of amino acids (Tyr, Ser, Thr, and Asp) may possibly support the N-Calpha
backbone fragmentation. Proline comprising peptides exhibit the unusual fragment
ions similar to reported earlier. Interestingly, basic amino acids such as Arg
and Lys also stimulated the formation of abundant b and y ions of the related
peptide anions. Loss of hydrogen atom from the charge-reduced radical anion and
fragment ions are rationalized by time-dependent density functional theory
(TDDFT) calculation, locating the potential energy surface (PES) of pipi* and
repulsive pisigma* excited states of a model amide system.
PMID- 26545770
TI - Get out of the car and on a bike.
PMID- 26545760
TI - Extracellular ATP and other nucleotides-ubiquitous triggers of intercellular
messenger release.
AB - Extracellular nucleotides, and ATP in particular, are cellular signal substances
involved in the control of numerous (patho)physiological mechanisms. They provoke
nucleotide receptor-mediated mechanisms in select target cells. But nucleotides
can considerably expand their range of action. They function as primary
messengers in intercellular communication by stimulating the release of other
extracellular messenger substances. These in turn activate additional cellular
mechanisms through their own receptors. While this applies also to other
extracellular messengers, its omnipresence in the vertebrate organism is an
outstanding feature of nucleotide signaling. Intercellular messenger substances
released by nucleotides include neurotransmitters, hormones, growth factors, a
considerable variety of other proteins including enzymes, numerous cytokines,
lipid mediators, nitric oxide, and reactive oxygen species. Moreover, nucleotides
activate or co-activate growth factor receptors. In the case of hormone release,
the initially paracrine or autocrine nucleotide-mediated signal spreads through
to the entire organism. The examples highlighted in this commentary suggest that
acting as ubiquitous triggers of intercellular messenger release is one of the
major functional roles of extracellular nucleotides. While initiation of
messenger release by nucleotides has been unraveled in many contexts, it may have
been overlooked in others. It can be anticipated that additional nucleotide
driven messenger functions will be uncovered with relevance for both
understanding physiology and development of therapy.
PMID- 26545771
TI - Initial management of spontaneous pneumothorax.
PMID- 26545772
TI - Improving the prevention and management of respiratory diseases in China: the
crucial role of primary care.
PMID- 26545773
TI - Initial management of spontaneous pneumothorax - Authors' reply.
PMID- 26545774
TI - Air travel in chronic conditions.
PMID- 26545775
TI - Elevated expression of Thoc1 is associated with aggressive phenotype and poor
prognosis in colorectal cancer.
AB - The THO complex 1 (Thoc1) is a nuclear matrix protein playing vital roles in
transcription elongation and mRNA export. Recently, aberrant expression of Thoc1
has been reported in an increasing array of tumor types. However, the clinical
significance of Thoc1 expression in colorectal cancer (CRC) is still unknown. The
present study aimed to characterize the expression of Thoc1 in human CRC and
evaluate its clinical significance. Quantitative real-time polymerase chain
reaction (qRT-PCR) and Western blotting analyses showed that the mRNA and protein
expression of Thoc1 in CRC specimens was significantly higher than that in
adjacent normal colon mucosae. Immunohistochemistry (IHC) was conducted to
characterize the expression pattern of Thoc1 in 185 archived paraffin-embedded
CRC specimens. Statistical analyses revealed that high levels of Thoc1 expression
were associated with the clinical stages and tumor differentiation. CRC patients
with high levels of Thoc1 expression had poorer overall-survival and disease-free
survival, whereas those with lower levels of Thoc1 expression survived longer.
Furthermore, multivariate Cox regression analyses demonstrated that Thoc1
expression remained an independent prognostic factor for increased disease
recurrence and decreased survival. Our results suggest for the first time that
Thoc1 is involved in the development and progression of CRC, and elevated
expression of Thoc1 is associated with aggressive phenotype and poor prognosis in
CRC. These findings may prove to be clinically useful for developing a new
therapeutic target of CRC treatment.
PMID- 26545776
TI - Identification of Rbd2 as a candidate protease for sterol regulatory element
binding protein (SREBP) cleavage in fission yeast.
AB - Lipid homeostasis in mammalian cells is regulated by sterol regulatory element
binding protein (SREBP) transcription factors that are activated through
sequential cleavage by Golgi Site-1 and Site-2 proteases. Fission yeast SREBP,
Sre1, engages a different mechanism involving the Golgi Dsc E3 ligase complex,
but it is not clearly understood exactly how Sre1 is proteolytically cleaved and
activated. In this study, we screened the Schizosaccharomyces pombe non-essential
haploid deletion collection to identify missing components of the Sre1 cleavage
machinery. Our screen identified an additional component of the SREBP pathway
required for Sre1 proteolysis named rhomboid protein 2 (Rbd2). We show that an
rbd2 deletion mutant fails to grow under hypoxic and hypoxia-mimetic conditions
due to lack of Sre1 activity and that this growth phenotype is rescued by Sre1N,
a cleaved active form of Sre1. We found that the growth inhibition phenotype
under low oxygen conditions is specific to the strain with deletion of rbd2, not
any other fission yeast rhomboid-encoding genes. Our study also identified
conserved residues of Rbd2 that are required for Sre1 proteolytic cleavage. All
together, our results suggest that Rbd2 is a functional SREBP protease with
conserved residues required for Sre1 cleavage and provide an important piece of
the puzzle to understand the mechanisms for Sre1 activation and the regulation of
various biological and pathological processes involving SREBPs.
PMID- 26545777
TI - The conserved Wdr8-hMsd1/SSX2IP complex localises to the centrosome and ensures
proper spindle length and orientation.
AB - The centrosome plays a pivotal role in a wide range of cellular processes and its
dysfunction is causally linked to many human diseases including cancer and
developmental and neurological disorders. This organelle contains more than one
hundred components, and yet many of them remain uncharacterised. Here we
identified a novel centrosome protein Wdr8, based upon the structural
conservation of the fission yeast counterpart. We showed that Wdr8 constitutively
localises to the centrosome and super resolution microscopy uncovered that this
protein is enriched at the proximal end of the mother centriole. Furthermore, we
identified hMsd1/SSX2IP, a conserved spindle anchoring protein, as one of Wdr8
interactors by mass spectrometry. Wdr8 formed a complex and partially colocalised
with hMsd1/SSX2IP. Intriguingly, knockdown of Wdr8 or hMsd1/SSX2IP displayed very
similar mitotic defects, in which spindle microtubules became shortened and
misoriented. Indeed, Wdr8 depletion resulted in the reduced recruitment of
hMsd1/SSX2IP to the mitotic centrosome, though the converse is not true.
Together, we propose that the conserved Wdr8-hMsd1/SSX2IP complex plays a
critical role in controlling proper spindle length and orientation.
PMID- 26545778
TI - Muscle regulatory factors regulate T1R3 taste receptor expression.
AB - T1R3 is a T1R class of G protein-coupled receptors, composing subunit of the
umami taste receptor when complexed with T1R1. T1R3 was originally discovered in
gustatory tissue but is now known to be expressed in a wide variety of tissues
and cell types such the intestine, pancreatic beta-cells, skeletal muscle, and
heart. In addition to taste recognition, the T1R1/T1R3 complex functions as an
amino acid sensor and has been proposed to be a control mechanism for the
secretion of hormones, such as cholecystokinin, insulin, and duodenal HCO3(-) and
activates the mammalian rapamycin complex 1 (MTORC1) to inhibit autophagy. T1R3
knockout mice have increased rate of autophagy in the heart, skeletal muscle and
liver. Thus, T1R3 has multiple physiological functions and is widely expressed in
vivo. However, the exact mechanisms regulating T1R3 expression are largely
unknown. Here, we used comparative genomics and functional analyses to
characterize the genomic region upstream of the annotated transcriptional start
of human T1R3. This revealed that the T1R3 promoter in human and mouse resides in
an evolutionary conserved region (ECR). We also identified a repressive element
located upstream of the human T1R3 promoter that has relatively high degree of
conservation with rhesus macaque. Additionally, the muscle regulatory factors
MyoD and Myogenin regulate T1R3 expression and T1R3 expression increases with
skeletal muscle differentiation of murine myoblast C2C12 cells. Taken together,
our study raises the possibility that MyoD and Myogenin might control skeletal
muscle metabolism and homeostasis through the regulation of T1R3 promoter
activity.
PMID- 26545779
TI - NOX1 mediates chemoresistance via HIF1alpha/MDR1 pathway in gallbladder cancer.
AB - NADPH oxidase 1 (NOX1) plays a key role in tumorigenesis and metastasis through
generating reactive oxygen species (ROS), an important intracellular signaling
molecule. However, how it is expressed in gallbladder cancer (GBC) tissue sample
and whether it associates with GBC chemoresistance have never been investigated.
Our study analyzed the relationship between NOX1 expression and cisplatin
sensitivity both in vivo and in vitro. We found that reduced NOX1 expression
promoted cisplatin efficiency in GBC-SD cells, whereas overexpression of which
potentially inhibited the sensitivity of cisplatin in SGC-996 cells. Further
study into the mechanism we found that increased NOX1 expression elevated
intracellular ROS levels, which then activated HIF-1alpha/MDR1 pathway. These
findings established NOX1 a novel accelerant of chemoresistance in GBC, and NOX1
targeted therapeutics might be exploited as a strategy for increasing the
efficacy of cisplatin treatment.
PMID- 26545780
TI - Mechanical stretch endows mesenchymal stem cells stronger angiogenic and anti
apoptotic capacities via NFkappaB activation.
AB - Mesenchymal stem cells (MSCs) have been broadly used for tissue regeneration and
repair due to their broad differentiation potential and potent paracrine
properties such as angiogenic capacity. Strategies to increase their survival
rate after transplantation and the angiogenic ability are of priority for the
utility of MSCs. In this study, we found that mechanical stretch (10% extension,
30 cycles/min cyclic stretch) preconditioning increase the angiogenic capacity
via VEGFA induction. In addition, mechanical stretch also increases the survival
rate of mesenchymal stem cells under nutrients deprivation. Consistent with the
increase VEGFA expression and resistance to apoptosis, nuclear localization of
NFkappaB activity p65 increased upon mechanical stretch. Inhibition of NFkappaB
activity by BAY 11-708 blocks the pro-angiogenesis and anti-apoptosis function of
mechanical stretch. Taken together, our findings here raise the possibility that
mechanical stretch preconditioning might enhance the therapeutic efficacy of
mesenchymal stem cells.
PMID- 26545781
TI - Del-1 overexpression potentiates lung cancer cell proliferation and invasion.
AB - Developmental endothelial locus-1 (Del-1) is an endogenous anti-inflammatory
molecule that is highly expressed in the lung and the brain and limits leukocyte
migration to these tissues. We previously reported that the expression of Del-1
is positively regulated by p53 in lung endothelial cells. Although several
reports have implicated the altered expression of Del-1 gene in cancer patients,
little is known about its role in tumor cells. We here investigated the effect of
Del-1 on the features of human lung carcinoma cells. Del-1 mRNA was found to be
significantly decreased in the human lung adenocarcinoma cell lines A549
(containing wild type of p53), H1299 (null for p53) and EKVX (mutant p53),
compared to in human normal lung epithelial BEAS-2B cells and MRC-5 fibroblasts.
The decrease of Del-1 expression was dependent on the p53 activity in the cell
lines, but not on the expression of p53. Neither treatment with recombinant human
Del-1 protein nor the introduction of adenovirus expressing Del-1 altered the
expression of the apoptosis regulators BAX, PUMA and Bcl-2. Unexpectedly, the
adenovirus-mediated overexpression of Del-1 gene into the lung carcinoma cell
lines promoted proliferation and invasion of the lung carcinoma cells, as
revealed by BrdU incorporation and transwell invasion assays, respectively. In
addition, overexpression of the Del-1 gene enhanced features of epithelial
mesenchymal transition (EMT), such as increasing vimentin while decreasing E
cadherin in A549 cells, and increases in the level of Slug, an EMT-associated
transcription regulator. Our findings demonstrated for the first time that there
are deleterious effects of high levels of Del-1 in lung carcinoma cells, and
suggest that Del-1 may be used as a diagnostic or prognostic marker for cancer
progression, and as a novel therapeutic target for lung carcinoma.
PMID- 26545782
TI - Administration of sulfosuccinimidyl-4-[N-maleimidomethyl] cyclohexane-1
carboxylate conjugated GP100(25-33) peptide-coupled spleen cells effectively
mounts antigen-specific immune response against mouse melanoma.
AB - It remains a top research priority to develop immunotherapeutic approaches to
induce potent antigen-specific immune responses against tumors. However, in spite
of some promising results, most strategies are ineffective because they generate
low numbers of tumor-reactive cytotoxic T lymphocytes (CTLs). Here we designed a
strategy to enhance antigen-specific immune response via administering
sulfosuccinimidyl-4-[N-maleimidomethyl] cyclohexane-1-carboxylate (sulfo-SMCC)
conjugated melanoma tumor antigen GP10025-33 peptide-coupled syngeneic spleen
cells in a mouse model of melanoma. We found that infusion of GP10025-33 peptide
coupled spleen cells significantly attenuated the growth of melanoma in
prophylactic and therapeutic immunizations. Consistent with these findings, the
adoptive transfer of spleen cells from immunized mice to naive syngeneic mice was
able to transfer anti-tumor effect, suggesting that GP10025-33 peptide-specific
immune response was induced. Further studies showed that, CD8+ T cell
proliferation and the frequency of interferon (IFN)-gamma-producing CD8+ T cells
upon ex vivo stimulation by GP10025-33 were significantly increased compared to
control groups. Tumor antigen, GP10025-23 specific immune response was also
confirmed by ELISpot and GP100-tetramer assays. This approach is simple, easy
handled, and efficiently delivering antigens to lymphoid tissues. Our study
offers an opportunity for clinically translating this approach into tumor
immunotherapy.
PMID- 26545783
TI - Endoplasmic reticulum stress-mediated apoptosis contributes to a skeletal
dysplasia resembling platyspondylic lethal skeletal dysplasia, Torrance type, in
a novel Col2a1 mutant mouse line.
AB - In humans, mutations in the COL2A1 gene encoding the alpha1(II) chain of type II
collagen, create many clinical phenotypes collectively termed type II
collagenopathies. However, the mechanisms generating this diversity remain to be
determined. Here we identified a novel Col2a1 mutant mouse line by screening a
large-scale N-ethyl-N-nitrosourea mutant mouse library. This mutant possessed a
p.Tyr1391Ser missense mutation in the C-propeptide coding region, and this
mutation was located in positions corresponding to the human COL2A1 mutation
responsible for platyspondylic lethal skeletal dysplasia, Torrance type (PLSD-T).
As expected, p.Tyr1391Ser homozygotes exhibited lethal skeletal dysplasias
resembling PLSD-T, including extremely short limbs and severe dysplasia of the
spine and pelvis. The secretion of the mutant proteins into the extracellular
space was disrupted, accompanied by an abnormally expanded endoplasmic reticulum
(ER) and the up-regulation of ER stress-related genes in chondrocytes.
Chondrocyte apoptosis was severely induced in the growth plate of the
homozygotes. These findings strongly suggest that ER stress-mediated apoptosis
caused by the accumulated mutant proteins in ER contributes to skeletal dysplasia
in Co12a1 mutant mice and PLSD-T patients.
PMID- 26545784
TI - The RyR2-P2328S mutation downregulates Nav1.5 producing arrhythmic substrate in
murine ventricles.
AB - Catecholaminergic polymorphic ventricular tachycardia (CPVT) predisposes to
ventricular arrhythmia due to altered Ca(2+) homeostasis and can arise from
ryanodine receptor (RyR2) mutations including RyR2-P2328S. Previous reports
established that homozygotic murine RyR2-P2328S (RyR2 (S/S)) hearts show an
atrial arrhythmic phenotype associated with reduced action potential (AP)
conduction velocity and sodium channel (Nav1.5) expression. We now relate
ventricular arrhythmogenicity and slowed AP conduction in RyR2 (S/S) hearts to
connexin-43 (Cx43) and Nav1.5 expression and Na(+) current (I Na). Stimulation
protocols applying extrasystolic S2 stimulation following 8 Hz S1 pacing at
progressively decremented S1S2 intervals confirmed an arrhythmic tendency despite
unchanged ventricular effective refractory periods (VERPs) in Langendorff
perfused RyR2 (S/S) hearts. Dynamic pacing imposing S1 stimuli then demonstrated
that progressive reductions of basic cycle lengths (BCLs) produced greater
reductions in conduction velocity at equivalent BCLs and diastolic intervals in
RyR2 (S/S) than WT, but comparable changes in AP durations (APD90) and their
alternans. Western blot analyses demonstrated that Cx43 protein expression in
whole ventricles was similar, but Nav1.5 expression in both whole tissue and
membrane fractions were significantly reduced in RyR2 (S/S) compared to wild-type
(WT). Loose patch-clamp studies similarly demonstrated reduced I Na in RyR2 (S/S)
ventricles. We thus attribute arrhythmogenesis in RyR2 (S/S) ventricles resulting
from arrhythmic substrate produced by reduced conduction velocity to
downregulated Nav1.5 reducing I Na, despite normal determinants of repolarization
and passive conduction. The measured changes were quantitatively compatible with
earlier predictions of linear relationships between conduction velocity and the
peak I Na of the AP but nonlinear relationships between peak I Na and maximum
Na(+) permeability.
PMID- 26545789
TI - Lentibacillus amyloliquefaciens sp. nov., a halophilic bacterium isolated from
saline sediment sample.
AB - A Gram-stain positive, non-motile, non-sporogenous, aerobic, rod-shaped and
halophilic bacterium, designated LAM0015(T), was isolated from a saline sediment
sample collected from Yantai City in China. The isolate was found to be able to
grow at NaCl concentrations of 5-25 % (w/v) (optimum: 7-12 %), 15-45 degrees C
(optimum: 35 degrees C) and pH 5.0-9.0 (optimum: 7.0). The major fatty acids
were determined to be anteiso-C15:0 and anteiso-C17:0. The predominant
respiratory quinone was identified as MK-7. The cell wall peptidoglycan was
determined to contain meso-diaminopimelic acid. The polar lipids were found to be
diphosphatidyglycerol, phosphatidylglycerol, five phospholipids and one
glycolipid. The DNA G+C content was 43.1 mol% as determined by the T m method.
Analysis of the 16S rRNA gene sequence indicated that the isolate belongs within
the genus Lentibacillus and is closely related to Lentibacillus persicus DSM
22530(T), Lentibacillus salicampi JCM 11462(T) and Lentibacillus jeotgali JCM
15795(T) with 97.3, 96.7 and 96.4 % sequence similarity, respectively. The DNA
DNA hybridization value between LAM0015(T) and L. persicus DSM 22530(T) was 51.2
+/- 1.4 %. Based on its phenotypic, phylogenetic and chemotaxonomic
characteristics, strain LAM0015(T) is concluded to represent a novel species of
the genus Lentibacillus, for which the name Lentibacillus amyloliquefaciens sp.
nov. is proposed. The type strain is LAM0015(T) (=ACCC 06401(T) = JCM 19838(T)).
PMID- 26545790
TI - Motoric cognitive risk syndrome and risk of mortality in older adults.
AB - INTRODUCTION: Cognitive impairment is associated with increased mortality. We
examined the association between motoric cognitive risk (MCR) syndrome, a
predementia syndrome characterized by slow gait and cognitive complaints, and
survival. METHODS: A total of 11,867 nondemented participants aged >65 years from
three established cohort studies in the United States and Europe were screened
for MCR. Mortality risk of MCR was assessed with Cox and logistic regression
models. RESULTS: At baseline, 836 (7.0%) participants had MCR. Over a median
follow-up of 28 months, 1603 participants died (758 in first 2 years). MCR was
associated with increased mortality overall (adjusted hazard ratio, 1.69; 95%
confidence interval [CI], 1.46-1.96) and 2-year mortality (adjusted odds ratio,
1.89; 95% CI, 1.50-2.38). The association remained after accounting for
established mortality risk factors as well as baseline gait speed and memory
performance. DISCUSSION: MCR is associated with increased mortality. Older adults
should be screened for MCR to identify at-risk individuals for dementia and
death.
PMID- 26545791
TI - Lexical and age effects on word recognition in noise in normal-hearing children.
AB - OBJECTIVES: The purposes of the present study were (1) to examine the lexical and
age effects on word recognition of normal-hearing (NH) children in noise, and (2)
to compare the word-recognition performance in noise to that in quiet listening
conditions. METHODS: Participants were 213 NH children (age ranged between 3 and
6 years old). Eighty-nine and 124 of the participants were tested in noise and
quiet listening conditions, respectively. The Standard-Chinese Lexical
Neighborhood Test, which contains lists of words in four lexical categories
(i.e., dissyllablic easy (DE), dissyllablic hard (DH), monosyllable easy (ME),
and monosyllable hard (MH)) was used to evaluate the Mandarin Chinese word
recognition in speech spectrum-shaped noise (SSN) with a signal-to-noise ratio
(SNR) of 0dB. A two-way repeated-measures analysis of variance was conducted to
examine the lexical effects with syllable length and difficulty level as the main
factors on word recognition in the quiet and noise listening conditions. The
effects of age on word-recognition performance were examined using a regression
model. RESULTS: The word-recognition performance in noise was significantly
poorer than that in quiet and the individual variations in performance in noise
were much greater than those in quiet. Word recognition scores showed that the
lexical effects were significant in the SSN. Children scored higher with
dissyllabic words than with monosyllabic words; "easy" words scored higher than
"hard" words in the noise condition. The scores of the NH children in the SSN
(SNR=0dB) for the DE, DH, ME, and MH words were 85.4, 65.9, 71.7, and 46.2%
correct, respectively. The word-recognition performance also increased with age
in each lexical category for the NH children tested in noise. CONCLUSIONS: Both
age and lexical characteristics of words had significant influences on the
performance of Mandarin-Chinese word recognition in noise. The lexical effects
were more obvious under noise listening conditions than in quiet. The word
recognition performance in noise increased with age in NH children of 3-6 years
old and had not reached plateau at 6 years of age in the NH children.
PMID- 26545792
TI - Corpus alienum on hard palate - An unusual "misdiagnosis" of foreign body: A case
report.
AB - Corpus alienum or foreign body on hard palate is a rare presentation and often
associated with a scare secondary to misdiagnosis. The potential dangers of
respiratory obstruction, mucosal tear, nasopharyngeal inflammation and gastro
intestinal bleeding make these non-invasive foreign bodies, life threatening. A
case report of a three year old girl with a 2.5cm*2cm plastic sticker lodged on
hard palate for four months and misdiagnosed as salivary gland tumor has been
reported along with a literature review.
PMID- 26545793
TI - Auditory neuropathy spectrum disorder (ANSD) and cochlear implantation.
AB - We discuss issues related to cochlear implantation in children with auditory
neuropathy spectrum disorder (ANSD). We describe the varied nature of this
disease category including the numerous potential causes of auditory neuropathy.
The most prevalent etiology for infants with ANSD is associated with prolonged
neonatal intensive care unit (NICU) stay. We discuss the potential contribution
of cochlear hypoxia to this etiology. The second part of this review describes in
detail our own experience at the Hospital for Sick Children in Toronto, with
cochlear implantation of children diagnosed with ANSD. We outline the detection,
diagnosis, and referral routes for our patients. We provide an overview of our
"standard operation procedures" regarding candidacy, and discuss some of the
special considerations that need to be applied to children with ANSD. This
includes decisions to implant children with better audiometric thresholds that
are standard in non-ANSD patients, concerns about the possibility of spontaneous
remission and the appropriate timing of implantation. Finally we review an
extensive published literature in outcomes after cochlear implantation (CI) in
ANSD. This is not a systematic review but rather an exercise to distill out some
important reoccurring themes and the general consensus of opinion to date. Our
conclusion is that the hearing loss category ANSD, together with its numerous co
morbidities, is far too heterogeneous to make definitive statements about
prognosis with CI.
PMID- 26545794
TI - Grommets and speech at three and six years in children born with total cleft or
cleft palate.
AB - OBJECTIVE: Grommets may be considered as the treatment of choice for otitis media
with effusion (OME) in children born with a cleft. But the timing and precise
indications to use them are not well established. The aim of the study is to
compare the results of hearing and speech controls at three and six year-old in
children born with total cleft or cleft palate in the presence or not of
grommets. METHODS: This retrospective study concerns non syndromic children born
between 1994 and 2006 and operated for a unilateral cleft lip palate (UCLP) or a
cleft palate (CP) alone, by one surgeon with the same schedule of operations
(Malek procedure). We compared the results of clinical observation, tympanometry,
audiometry and nasometry at three and six year-old. The Borel-Maisonny
classification was used to evaluate the velar insufficiency. None of the children
had preventive grommets. The Fisher Exact Test was used for statistical analysis
with p<0.05 considered as significant. RESULTS: Seventy-seven patients were
analyzed in both groups. Abnormal hearing status was statistically more frequent
in children with UCLP compared to children with CP, at three and six years
(respectively, 80-64%, p<0.03 and 78-60%, p<0.02), with the use of grommets at
six years in 43% of cases in both groups. Improvement of hearing status between
three and six year-old was present in 5% of children with UCLP and 9% with CP,
without the use of grommets. CONCLUSION: The use of grommets between three and
six year-old was not associated to any improvement of hearing status or speech
results children with UCLP or with CP, with a low risk of tympanosclerosis. These
results favor the use of grommets before the age of three, taking into account
the risk of long term tympanosclerosis.
PMID- 26545795
TI - Carotid artery pseudoaneurysm: A rare complication following tonsillectomy.
AB - Tonsillectomy is one of the most common surgical procedures performed in
children. The most frequent complications are dehydration and bleeding. We
present the case of a 6 year old child who developed an internal carotid artery
pseudoaneurysm following elective tonsillectomy, necessitating urgent coil
embolization and stenting. This is the first reported case in the pediatric
population of a vascular injury that manifested in a delayed fashion (6 months)
after routine tonsillectomy, and is also one of the youngest reported cases. It
is imperative for the otolaryngologist to be aware of this rare complication.
PMID- 26545796
TI - A role for dZIP89B in Drosophila dietary zinc uptake reveals additional
complexity in the zinc absorption process.
AB - Dietary zinc is the principal source of zinc in eukaryotes, with its uptake and
distribution controlled by a complex network of numerous membrane-spanning
transport proteins. Dietary absorption is achieved by members of the SLC39A (ZIP)
gene family, which encode proteins that are generally responsible for the
movement of zinc into the cytosol. ZIP4 is thought to be the primary mammalian
zinc uptake gene in the small intestine, with mutations in this gene causing the
zinc deficiency disease Acrodermatitis enteropathica. In Drosophila, dual
knockdown of the major dietary zinc uptake genes dZIP42C.1 (dZIP1) and dZIP42C.2
(dZIP2) results in a severe sensitivity to zinc-deficient media. However, the
symptoms associated with ZIP4 loss can be reversed by zinc supplementation and
dZIP42C.1 and 2 knockdown has minimal effect under normal dietary conditions,
suggesting that additional pathways for zinc absorption exist in both mammals and
flies. This study provides evidence that dZIP89B is an ideal candidate for this
role in Drosophila, encoding a low-affinity zinc uptake transporter active in the
posterior midgut. Flies lacking dZIP89B, while viable and apparently healthy,
show indications of low midgut zinc levels, including reduced metallothionein B
expression and compensatory up-regulation of dZIP42C.1 and 2. Furthermore dZIP89B
mutants display a dramatic resistance to toxic dietary zinc levels which is
abrogated by midgut-specific restoration of dZIP89B activity. We postulate that
dZIP89B works in concert with the closely related dZIP42C.1 and 2 to ensure
optimal zinc absorption under a range of dietary conditions.
PMID- 26545797
TI - Biochemical analyses and molecular modeling explain the functional loss of 17beta
hydroxysteroid dehydrogenase 3 mutant G133R in three Tunisian patients with 46,
XY Disorders of Sex Development.
AB - Mutations in the HSD17B3 gene resulting in 17beta-hydroxysteroid dehydrogenase
type 3 (17beta-HSD3) deficiency cause 46, XY Disorders of Sex Development (46, XY
DSD). Approximately 40 different mutations in HSD17B3 have been reported; only
few mutant enzymes have been mechanistically investigated. Here, we report novel
compound heterozygous mutations in HSD17B3, composed of the nonsense mutation
C206X and the missense mutation G133R, in three Tunisian patients from two non
consanguineous families. Mutants C206X and G133R were constructed by site
directed mutagenesis and expressed in HEK-293 cells. The truncated C206X enzyme,
lacking part of the substrate binding pocket, was moderately expressed and
completely lost its enzymatic activity. Wild-type 17beta-HSD3 and mutant G133R
showed comparable expression levels and intracellular localization. The
conversion of Delta4-androstene-3,17-dione (androstenedione) to testosterone was
almost completely abolished for mutant G133R compared with wild-type 17beta-HSD3.
To obtain further mechanistic insight, G133 was mutated to alanine, phenylalanine
and glutamine. G133Q and G133F were almost completely inactive, whereas G133A
displayed about 70% of wild-type activity. Sequence analysis revealed that G133
on 17beta-HSD3 is located in a motif highly conserved in 17beta-HSDs and other
short-chain dehydrogenase/reductase (SDR) enzymes. A homology model of 17beta
HSD3 predicted that arginine or any other bulky residue at position 133 causes
steric hindrance of cofactor NADPH binding, whereas substrate binding seems to be
unaffected. The results indicate an essential role of G133 in the arrangement of
the cofactor binding pocket, thus explaining the loss-of-function of 17beta-HSD3
mutant G133R in the patients investigated.
PMID- 26545798
TI - Discovery of bis-aryl urea derivatives as potent and selective Limk inhibitors:
Exploring Limk1 activity and Limk1/ROCK2 selectivity through a combined
computational study.
AB - Lim kinase (Limk), a proline/serine-rich sequence, can regulate the
polymerization of the actin filaments by phosphorylating, and it is found to be
highly involved in various human diseases. In this paper, 47 reported Limk1
inhibitors with bis-aryl urea scaffold were used to design potent and selective
Limk inhibitors by computational approaches. Firstly, the structure-Limk1
activity relationship models (3D-QSAR) and structure-Limk1/ROCK2 selectivity
relationship models (3D-QSSR) were developed and both 3D-QSAR and 3D-QSSR models
showed good correlative and predictive abilities. Then, the molecular docking and
molecular dynamics (MD) simulations were employed to validate the optimal docking
conformation and explore the binding affinities. Finally, five new compounds were
designed and all of them exhibited good Limk1 inhibition and Limk1/ROCK2
selectivity after synthesis and biological evaluation, which demonstrated that
the obtained information from computational studies were valuable to guide Limk
inhibitors' design.
PMID- 26545799
TI - Translation elongation factor eEF1A1 is a novel partner of a multifunctional
protein Sgt1.
AB - Mammalian translation elongation factor eEF1A is involved in ribosomal
polypeptide synthesis. Also, the protein fulfills many additional duties in an
eukaryotic cell. Here, we identified a novel partner of the eEF1A1 isoform,
namely Sgt1, a protein that possesses co-chaperon properties and participates in
antiviral defense processes. By applying different methods, we demonstrated the
interaction between eEF1A1 and Sgt1 using both purified proteins and cell
lysates. We also found that the D2 and D3 domains of eEF1A1 and the TPR domain of
Sgt1 are involved in complex formation. Modeling of the Sgt1-eEF1A1 complex
suggested both shape and charge complementarities of the eEF1A1-Sgt1 interface
stabilized by a number of salt bridges. As long as such interaction mode is
typical more for protein-nucleic acid interaction we suggested a possibility that
Sgt1 competes with viral RNA for binding to eEF1A and obtained in vitro evidence
to this effect.
PMID- 26545800
TI - 16S rRNA methyltransferase KsgA contributes to oxidative stress resistance and
virulence in Staphylococcus aureus.
AB - We previously reported that the rRNA methyltransferases RsmI and RsmH, which are
responsible for cytidine dimethylation at position 1402 of 16S rRNA in the
decoding center of the ribosome, contribute to Staphylococcus aureus virulence.
Here we evaluated other 16S rRNA methyltransferases, including KsgA (RsmA),
RsmB/F, RsmC, RsmD, RsmE, and RsmG. Knockout of KsgA, which methylates two
adjacent adenosines at positions 1518 and 1519 of 16S rRNA in the intersubunit
bridge of the ribosome, attenuated the S. aureus killing ability against
silkworms. The ksgA knockout strain was sensitive to oxidative stress and had a
lower survival rate in murine macrophages than the parent strain. The ksgA
knockout strain exhibited decreased translational fidelity in oxidative stress
conditions. Administration of N-acetyl-l-cysteine, a free-radical scavenger,
restored the killing ability of the ksgA knockout strain against silkworms. These
findings suggest that the methyl-modifications of 16S rRNA by KsgA contribute to
maintain ribosome function under oxidative conditions and thus to S. aureus
virulence.
PMID- 26545801
TI - Postoperative complications associated with extubation strategies following
palatoplasty: a single-center retrospective analysis.
AB - PURPOSE: Palatoplasty carries a high risk of airway obstruction as a
postoperative complication. Since 2007, the protocol in our hospital has been to
leave an endotracheal tube in place after surgery while the patient is moved to
the pediatric intensive care unit. Extubation is then performed after achievement
of hemostasis and recovery of consciousness. We compared the cases over the 5
year periods before and after the introduction of this revised postsurgical
management plan to investigate its effect on postoperative complications.
METHODS: This was a retrospective cohort study involving a single pediatric
hospital. The subjects were 199 children aged 1-3 years, who underwent
palatoplasty between January 2002 and July 2012. Changes in the incidence rates
of postoperative complications were statistically examined. RESULTS: There were
significantly more postoperative complications among the patients who were
extubated in the operating room than among those extubated in the intensive care
unit (operating room group, 22/94 cases; intensive care unit group, 10/105 cases;
P < 0.01). Serious complications, such as hypoxemia and airway obstruction, also
occurred more frequently in the operating room group. CONCLUSION: Extubation in
an intensive care unit was possibly associated with a reduction in postoperative
complications.
PMID- 26545802
TI - Pharmacokinetic Features and Presence of Antidrug Antibodies Associate With
Response to Infliximab Induction Therapy in Patients With Moderate to Severe
Ulcerative Colitis.
AB - BACKGROUND & AIMS: The pharmacokinetics of infliximab during induction treatment
for ulcerative colitis (UC) have not been studied. We investigated serum
concentrations of infliximab and the early appearance of antibodies to infliximab
(ATI) during induction treatment in patients with moderate-to-severe UC. METHODS:
We performed a prospective analysis of 19 consecutive patients with moderate
severe UC (endoscopic Mayo >= 2) receiving induction therapy with infliximab (5
mg/kg at weeks 0, 2, and 6) at 2 centers in Amsterdam, The Netherlands, from July
2012 through March 2014. Serial serum and fecal samples were collected for 6
weeks and concentrations of infliximab, ATI, c-reactive protein (CRP), albumin,
and fecal calprotectin were measured. Treatment success was defined as endoscopic
response (>= 1 point reduction in the endoscopic Mayo score) at week 8. RESULTS:
Eleven patients (58%) had an endoscopic response. The median serum concentrations
of infliximab at week 6 were 8.1 MUg/mL in responders (interquartile range, 3.0
13.7 MUg/mL) and 2.9 MUg/mL in nonresponders (interquartile range, 0.01-5.8
MUg/mL) (P = .03). ATIs were detected in 7 patients as early as day 18 (median,
28 d; interquartile range, 18-42 d). Six of the 8 nonresponders tested positive
for ATIs vs 1 of 11 responders (P < .01; odds ratio, 30.0; 95% CI, 2.2-406.2).
Patients with a baseline concentration of CRP greater than 50 mg/L had lower drug
exposure from weeks 0 to 6 (587 mg/L/d in patients with high levels of CRP vs
1361 mg/L/day in patients with low CRP; P = .001). The median area under the
curve for serum concentration of infliximab during induction therapy was 1230
mg/L/d in nonresponders vs 1352 mg/L/d in responders (P = .65). CONCLUSIONS:
There is a significant difference in serum concentration of infliximab at week 6
of treatment between responders and nonresponders. Early development of ATIs
during induction therapy reduces the serum concentration of infliximab and is
associated with nonresponse to treatment. Patients with high baseline serum
levels of CRP had lower serum concentrations of infliximab. CLINICAL TRIAL
NUMBER: NL39626.018.12.
PMID- 26545803
TI - Gastrointestinal Features of Chronic Granulomatous Disease Found During
Endoscopy.
AB - BACKGROUND & AIMS: Chronic granulomatous disease (CGD) is an inherited disorder
of the reduced nicotinamide adenine dinucleotide phosphate oxidase complex within
phagocytic cells that predisposes people to bacterial and fungal infections.
Approximately 40% of patients with CGD have gastrointestinal involvement. We
aimed to characterize the endoscopic features of gastrointestinal CGD and define
the role of endoscopy in patients. METHODS: We created a database of all patients
with CGD seen at the National Institutes of Health from 1990 through 2010. We
identified patients who had an endoscopy, and collected information from those
with CGD-associated inflammatory bowel disease. We analyzed clinical data
(demographic information and symptoms), endoscopic data (indication, preparation
quality, degree of inflammation, mucosal findings, and complications), and
pathologic data. RESULTS: A total of 211 endoscopies (96
esophagogastroduodenoscopies, 82 colonoscopies, and 33 flexible sigmoidoscopies)
were performed at the National Institutes of Health on 78 patients with CGD.
Esophageal, gastric, and duodenal inflammation were detected in 21%, 74%, and 37%
of patients, respectively. Esophageal dysmotility and structural abnormalities
were noted in 26%. Of the patients who had colonic CGD-inflammatory bowel
disease, 74% had skip lesions and 93% had anorectal disease. Enteric fistulae
were found in 18% of patients; 73% of these were perianal. Colonic strictures
were observed in 24% of patients; 80% were in the anorectal area. CONCLUSIONS:
Based on an analysis of clinical and endoscopic data from 78 patients, CGD
inflammatory bowel disease is a distinct entity, primarily involving the anus and
rectum, with skip lesions in the remaining bowel. Bowel strictures and fistulae
are present in a significant number of patients. Upper gastrointestinal tract
inflammatory disease is common, although typically not as severe as colonic
disease. Upper and lower endoscopies are important in characterizing the
gastrointestinal features of CGD.
PMID- 26545805
TI - Surgeons urged to prepare for changes in cosmetic surgery regulation.
PMID- 26545804
TI - Policy on palliative care in the WHO European region: an overview of progress
since the Council of Europe's (2003) recommendation 24.
AB - BACKGROUND: With the goal of achieving greater unity and coherence, the Council
of Europe developed a national palliative care (PC) policy framework
Recommendation (2003) 24. Although directed at member states, the policy spread
to the wider World Health Organisation (WHO) European Region. This article aims
to present the current situation relating to national PC health policies in
European countries. METHODS: A cross-sectional survey was conducted in 53
European countries of the WHO European Region. Relevant data reported (i) the
existence of official documents concerning the provision of PC; (ii) the role of
health departments and policymakers in the evaluation of PC provision and (iii)
the availability of financial resources for PC provision. RESULTS: In total,
46/53 (87%) EU and non-EU countries responded. PC legislation is established in
20 (71%) EU and nine (50%) non-EU countries. A total of 12 (43%) EU countries
possess a PC plan or strategy in comparison with six (33%) non-EU countries.
Individuals from Departments of Health and designated policymakers have
established collaborative PC efforts. Quality systems have been initiated in 15
(54%) EU and four (22%) non-EU countries. Significant differences were not found
in the reporting of payments for PC services between European regions.
CONCLUSION: An improvement in national PC policy in both EU and non-EU countries
was observed. Future priorities include potential initiatives to improve
relationships with policymakers, establish quality control programmes and ensure
financial support for PC.
PMID- 26545806
TI - First Azospirillum genome from aquatic environments: Whole-genome sequence of
Azospirillum thiophilum BV-S(T), a novel diazotroph harboring a capacity of
sulfur-chemolithotrophy from a sulfide spring.
AB - Azospirillum thiophilum BV-S(T), isolated from a sulfide spring, is a novel
nitrogen-fixing bacterium harboring sulfur-lithotrophy. In order to identify
genetic characteristics with habitat- and metabolic features contrasting to those
from terrestrial Azospirillum species, we present here the genome sequence of a
novel species A. thiophilum BV-S(T), with a significance of first genome report
in the aquatic Azospirillum species. The genome of strain BV-S(T) is comprised of
7.6Mb chromosome with a GC content of 68.2%. This information will contribute to
expand understandings of sulfur-oxidizer microbes that preserve inherencies as a
diazotroph, and further it will provide insights into genome plasticity of the
genus Azospirillum for niche specific adaptations.
PMID- 26545807
TI - Fishing for divergence in a sea of connectivity: The utility of ddRADseq
genotyping in a marine invertebrate, the black-lip pearl oyster Pinctada
margaritifera.
AB - Population genomic investigations on highly dispersive marine organisms typically
require thousands of genome-wide SNP loci to resolve fine-scale population
structure and detect signatures of selection. This information is important for
species conservation efforts and stock management in both wild and captive
populations, as well as genome mapping and genome wide association studies.
Double digest Restriction site-Associated DNA Sequencing (ddRADseq) is a recent
tool for delivering genome wide SNPs for non-model organisms. However, its
application to marine invertebrate taxa has been limited, particularly given the
complex and highly repetitive nature of many of these organisms' genomes. This
study develops and evaluates an optimised ddRADseq technique together with
associated analyses for generating genome-wide SNP data, and performs population
genomic analyses to inform aquaculture and fishery management of a marine
bivalve, the black-lip pearl oyster Pinctada margaritifera. A total of 5243 high
quality genome-wide SNP markers were detected, and used to assess population
structure, genome diversity, detect Fst outliers and perform association testing
in 156 individuals belonging to three wild and one hatchery produced populations
from the Fiji Islands. Shallow but significant population structure was revealed
among all wild populations (average pairwise Fst=0.046) when visualised with DAPC
and an individual network analysis (NetView P), with clear evidence of a genetic
bottleneck in the hatchery population (NeLD=6.1), compared to wild populations
(NeLD>192.5). Fst outlier detection revealed 42-62 highly differentiated SNPs
(p<0.02), while case-control association discovered up to 152 SNPs (p<0.001).
Both analyses were able to successfully differentiate individuals between the
orange and black tissue colour morphotypes characteristic of this species. BLAST
searches revealed that five of these SNPs were associated with a melanin
biosynthesis pathway, demonstrating their biological relevance. This study has
produced highly informative SNP and population genomic data in P. margaritifera,
and using the same approach promises to be of substantial value to a range of
other non-model, broadcast-spawning or marine invertebrate taxa.
PMID- 26545809
TI - [Keloid scars (part I): Clinical presentation, epidemiology, histology and
pathogenesis].
AB - Keloid scars are a dysregulated response to cutaneous wound healing and are
characterized by excessive deposition of collagen. Clinical and histological
aspects are typical but they are often confused with hypertrophic scars.
Principal pathogenesis is abnormal regulation of the collagen equilibrium because
of TGFbeta. In this first part, clinical characteristics, physiopathology and
histology of keloid scars are explained.
PMID- 26545810
TI - CALML5 is a ZNF750- and TINCR-induced protein that binds stratifin to regulate
epidermal differentiation.
AB - Outward migration of epidermal progenitors occurs with induction of hundreds of
differentiation genes, but the identities of all regulators required for this
process are unknown. We used laser capture microdissection followed by RNA
sequencing to identify calmodulin-like 5 (CALML5) as the most enriched gene in
differentiating outer epidermis. CALML5 mRNA was up-regulated by the ZNF750
transcription factor and then stabilized by the long noncoding RNA TINCR. CALML5
knockout impaired differentiation, abolished keratohyalin granules, and disrupted
epidermal barrier function. Mass spectrometry identified SFN (stratifin/14-3
3sigma) as a CALML5-binding protein. CALML5 interacts with SFN in suprabasal
epidermis, cocontrols 13% of late differentiation genes, and modulates
interaction of SFN to some of its binding partners. A ZNF750-TINCR-CALML5-SFN
network is thus essential for epidermal differentiation.
PMID- 26545808
TI - ATP-binding cassette transporters in reproduction: a new frontier.
AB - BACKGROUND: The transmembrane ATP-binding cassette (ABC) transporters actively
efflux an array of clinically relevant compounds across biological barriers, and
modulate biodistribution of many physiological and pharmacological factors. To
date, over 48 ABC transporters have been identified and shown to be directly and
indirectly involved in peri-implantation events and fetal/placental development.
They efflux cholesterol, steroid hormones, vitamins, cytokines, chemokines,
prostaglandins, diverse xenobiotics and environmental toxins, playing a critical
role in regulating drug disposition, immunological responses and lipid
trafficking, as well as preventing fetal accumulation of drugs and environmental
toxins. METHODS: This review examines ABC transporters as important mediators of
placental barrier functions and key reproductive processes. Expression,
localization and function of all identified ABC transporters were systematically
reviewed using PubMed and Google Scholar websites to identify relevant studies
examining ABC transporters in reproductive tissues in physiological and
pathophysiological states. Only reports written in English were incorporated with
no restriction on year of publication. While a major focus has been placed on the
human, extensive evidence from animal studies is utilized to describe current
understanding of the regulation and function of ABC transporters relevant to
human reproduction. RESULTS: ABC transporters are modulators of steroidogenesis,
fertilization, implantation, nutrient transport and immunological responses, and
function as 'gatekeepers' at various barrier sites (i.e. blood-testes barrier and
placenta) against potentially harmful xenobiotic factors, including drugs and
environmental toxins. These roles appear to be species dependent and change as a
function of gestation and development. The best-described ABC transporters in
reproductive tissues (primarily in the placenta) are the multidrug transporters p
glycoprotein and breast cancer-related protein, the multidrug resistance proteins
1 through 5 and the cholesterol transporters ABCA1 and ABCG1. CONCLUSIONS: The
ABC transporters have various roles across multiple reproductive tissues.
Knowledge of efflux direction, tissue distribution, substrate specificity and
regulation of the ABC transporters in the placenta and other reproductive tissues
is rapidly expanding. This will allow better understanding of the disposition of
specific substrates within reproductive tissues, and facilitate development of
novel treatments for reproductive disorders as well as improved approaches to
protecting the developing fetus.
PMID- 26545811
TI - WSB1 promotes tumor metastasis by inducing pVHL degradation.
AB - The von Hippel-Lindau tumor suppressor pVHL is an E3 ligase that targets hypoxia
inducible factors (HIFs). Mutation of VHL results in HIF up-regulation and
contributes to processes related to tumor progression such as invasion,
metastasis, and angiogenesis. However, very little is known with regard to post
transcriptional regulation of pVHL. Here we show that WD repeat and SOCS box
containing protein 1 (WSB1) is a negative regulator of pVHL through WSB1's E3
ligase activity. Mechanistically, WSB1 promotes pVHL ubiquitination and
proteasomal degradation, thereby stabilizing HIF under both normoxic and hypoxic
conditions. As a consequence, WSB1 up-regulates the expression of HIF-1alpha's
target genes and promotes cancer invasion and metastasis through its effect on
pVHL. Consistent with this, WSB1 protein level negatively correlates with pVHL
level and metastasis-free survival in clinical samples. This work reveals a new
mechanism of pVHL's regulation by which cancer acquires invasiveness and
metastatic tendency.
PMID- 26545812
TI - The bacterial cell cycle regulator GcrA is a sigma70 cofactor that drives gene
expression from a subset of methylated promoters.
AB - Cell cycle progression in most organisms requires tightly regulated programs of
gene expression. The transcription factors involved typically stimulate gene
expression by binding specific DNA sequences in promoters and recruiting RNA
polymerase. Here, we found that the essential cell cycle regulator GcrA in
Caulobacter crescentus activates the transcription of target genes in a
fundamentally different manner. GcrA forms a stable complex with RNA polymerase
and localizes to almost all active sigma(70)-dependent promoters in vivo but
activates transcription primarily at promoters harboring certain DNA methylation
sites. Whereas most transcription factors that contact sigma(70) interact with
domain 4, GcrA interfaces with domain 2, the region that binds the -10 element
during strand separation. Using kinetic analyses and a reconstituted in vitro
transcription assay, we demonstrated that GcrA can stabilize RNA polymerase
binding and directly stimulate open complex formation to activate transcription.
Guided by these studies, we identified a regulon of ~ 200 genes, providing new
insight into the essential functions of GcrA. Collectively, our work reveals a
new mechanism for transcriptional regulation, and we discuss the potential
benefits of activating transcription by promoting RNA polymerase isomerization
rather than recruitment exclusively.
PMID- 26545813
TI - LEDGF/p75 interacts with mRNA splicing factors and targets HIV-1 integration to
highly spliced genes.
AB - The host chromatin-binding factor LEDGF/p75 interacts with HIV-1 integrase and
directs integration to active transcription units. To understand how LEDGF/p75
recognizes transcription units, we sequenced 1 million HIV-1 integration sites
isolated from cultured HEK293T cells. Analysis of integration sites showed that
cancer genes were preferentially targeted, raising concerns about using
lentivirus vectors for gene therapy. Additional analysis led to the discovery
that introns and alternative splicing contributed significantly to integration
site selection. These correlations were independent of transcription levels, size
of transcription units, and length of the introns. Multivariate analysis with
five parameters previously found to predict integration sites showed that intron
density is the strongest predictor of integration density in transcription units.
Analysis of previously published HIV-1 integration site data showed that
integration density in transcription units in mouse embryonic fibroblasts also
correlated strongly with intron number, and this correlation was absent in cells
lacking LEDGF. Affinity purification showed that LEDGF/p75 is associated with a
number of splicing factors, and RNA sequencing (RNA-seq) analysis of HEK293T
cells lacking LEDGF/p75 or the LEDGF/p75 integrase-binding domain (IBD) showed
that LEDGF/p75 contributes to splicing patterns in half of the transcription
units that have alternative isoforms. Thus, LEDGF/p75 interacts with splicing
factors, contributes to exon choice, and directs HIV-1 integration to
transcription units that are highly spliced.
PMID- 26545816
TI - Dual-Mode Controlled Self-Assembly of TiO2 Nanoparticles Through a
Cucurbit[8]uril-Enhanced Radical Cation Dimerization Interaction.
AB - The realization of controllable multicomponent self-assembly through reversible
supramolecular interactions is a challenging goal, and is an important strategy
for the fabrication of switchable nanomaterials. Herein we show that the self
assembly of TiO2 nanoparticles (NP) functionalized with methyl viologen can be
controlled both by light irradiation and chemical reduction through
cucurbit[8]uril-enhanced radical cation dimerization interactions. Moreover, the
controlled assembly and disassembly of this system are accompanied by switchable
photocatalytic activity of the TiO2 NPs, which shows potential application as a
novel smart and recyclable photocatalyst.
PMID- 26545814
TI - Biochemical identification of new proteins involved in splicing repression at the
Drosophila P-element exonic splicing silencer.
AB - Splicing of the Drosophila P-element third intron (IVS3) is repressed in somatic
tissues due to the function of an exonic splicing silencer (ESS) complex present
on the 5' exon RNA. To comprehensively characterize the mechanisms of this
alternative splicing regulation, we used biochemical fractionation and affinity
purification to isolate the silencer complex assembled in vitro and identify the
constituent proteins by mass spectrometry. Functional assays using splicing
reporter minigenes identified the proteins hrp36 and hrp38 and the cytoplasmic
poly(A)-binding protein PABPC1 as novel functional components of the splicing
silencer. hrp48, PSI, and PABPC1 have high-affinity RNA-binding sites on the P
element IVS3 5' exon, whereas hrp36 and hrp38 proteins bind with low affinity to
the P-element silencer RNA. RNA pull-down and immobilized protein assays showed
that hrp48 protein binding to the silencer RNA can recruit hrp36 and hrp38. These
studies identified additional components that function at the P-element ESS and
indicated that proteins with low-affinity RNA-binding sites can be recruited in a
functional manner through interactions with a protein bound to RNA at a high
affinity binding site. These studies have implications for the role of
heterogeneous nuclear ribonucleoproteins (hnRNPs) in the control of alternative
splicing at cis-acting regulatory sites.
PMID- 26545815
TI - Transcription and imprinting dynamics in developing postnatal male germline stem
cells.
AB - Postnatal spermatogonial stem cells (SSCs) progress through proliferative and
developmental stages to populate the testicular niche prior to productive
spermatogenesis. To better understand, we conducted extensive genomic profiling
at multiple postnatal stages on subpopulations enriched for particular markers
(THY1, KIT, OCT4, ID4, or GFRa1). Overall, our profiles suggest three broad
populations of spermatogonia in juveniles: (1) epithelial-like spermatogonia
(THY1(+); high OCT4, ID4, and GFRa1), (2) more abundant mesenchymal-like
spermatogonia (THY1(+); moderate OCT4 and ID4; high mesenchymal markers), and (3)
(in older juveniles) abundant spermatogonia committing to gametogenesis (high
KIT(+)). Epithelial-like spermatogonia displayed the expected imprinting
patterns, but, surprisingly, mesenchymal-like spermatogonia lacked imprinting
specifically at paternally imprinted loci but fully restored imprinting prior to
puberty. Furthermore, mesenchymal-like spermatogonia also displayed
developmentally linked DNA demethylation at meiotic genes and also at certain
monoallelic neural genes (e.g., protocadherins and olfactory receptors). We also
reveal novel candidate receptor-ligand networks involving SSCs and the developing
niche. Taken together, neonates/juveniles contain heterogeneous epithelial-like
or mesenchymal-like spermatogonial populations, with the latter displaying
extensive DNA methylation/chromatin dynamics. We speculate that this plasticity
helps SSCs proliferate and migrate within the developing seminiferous tubule,
with proper niche interaction and membrane attachment reverting mesenchymal-like
spermatogonial subtype cells back to an epithelial-like state with normal
imprinting profiles.
PMID- 26545817
TI - Beyond aggression: Androgen-receptor blockade modulates social interaction in
wild meerkats.
AB - In male vertebrates, androgens are inextricably linked to reproduction, social
dominance, and aggression, often at the cost of paternal investment or
prosociality. Testosterone is invoked to explain rank-related reproductive
differences, but its role within a status class, particularly among subordinates,
is underappreciated. Recent evidence, especially for monogamous and cooperatively
breeding species, suggests broader androgenic mediation of adult social
interaction. We explored the actions of androgens in subordinate, male members of
a cooperatively breeding species, the meerkat (Suricata suricatta). Although male
meerkats show no rank-related testosterone differences, subordinate helpers
rarely reproduce. We blocked androgen receptors, in the field, by treating
subordinate males with the antiandrogen, flutamide. We monitored androgen
concentrations (via baseline serum and time-sequential fecal sampling) and
recorded behavior within their groups (via focal observation). Relative to
controls, flutamide-treated animals initiated less and received more high
intensity aggression (biting, threatening, feeding competition), engaged in more
prosocial behavior (social sniffing, grooming, huddling), and less frequently
initiated play or assumed a 'dominant' role during play, revealing significant
androgenic effects across a broad range of social behavior. By contrast, guarding
or vigilance and measures of olfactory and vocal communication in subordinate
males appeared unaffected by flutamide treatment. Thus, androgens in male meerkat
helpers are aligned with the traditional trade-off between promoting reproductive
and aggressive behavior at a cost to affiliation. Our findings, based on rare
endocrine manipulation in wild mammals, show a more pervasive role for androgens
in adult social behavior than is often recognized, with possible relevance for
understanding tradeoffs in cooperative systems.
PMID- 26545818
TI - Prospective Cohort Study Evaluating the Prognostic Value of Simple EEG Parameters
in Postanoxic Coma.
AB - We prospectively studied early bedside standard EEG characteristics in 61 acute
postanoxic coma patients. Five simple EEG features, namely, isoelectric,
discontinuous, nonreactive to intense auditory and nociceptive stimuli, dominant
delta frequency, and occurrence of paroxysms were classified yes or no.
Sensitivity, specificity, positive predictive value (PPV), negative predictive
value (NPV), and area under the receiver operating characteristic curve (AUC) of
each of these variables for predicting an unfavorable outcome, defined as death,
persistent vegetative state, minimally conscious state, or severe neurological
disability, as assessed 1 year after coma onset were computed as well as Synek's
score. The outcome was unfavorable in 56 (91.8%) patients. Sensitivity,
specificity, PPV, NPV, and AUC of nonreactive EEG for predicting an unfavorable
outcome were 84%, 80%, 98%, 31%, and 0.82, respectively; and were all very close
to the ones of Synek score>3, which were 82%, 80%, 98%, 29%, and 0.81,
respectively. Specificities for predicting an unfavorable outcome were 100% for
isoelectric, discontinuous, or dominant delta activity EEG. These 3 last features
were constantly associated to unfavorable outcome. Absent EEG reactivity strongly
predicted an unfavorable outcome in postanoxic coma, and performed as accurate as
a Synek score>3. Analyzing characteristics of some simple EEG features may easily
help nonneurophysiologist physicians to investigate prognostic issue of
postanoxic coma patient. In this study (a) discontinuous, isoelectric, or delta
dominant EEG were constantly associated with unfavorable outcome and (b)
nonreactive EEG performed prognostic as accurate as a Synek score>3.
PMID- 26545819
TI - Resting State EEG in Children With Learning Disabilities: An Independent
Component Analysis Approach.
AB - In this study, the neurophysiological underpinnings of learning disabilities (LD)
in children are examined using resting state EEG. We were particularly interested
in the neurophysiological differences between children with learning disabilities
not otherwise specified (LD-NOS), learning disabilities with verbal disabilities
(LD-Verbal), and healthy control (HC) children. We applied 2 different approaches
to examine the differences between the different groups. First, we calculated
theta/beta and theta/alpha ratios in order to quantify the relationship between
slow and fast EEG oscillations. Second, we used a recently developed method for
analyzing spectral EEG, namely the group independent component analysis (gICA)
model. Using these measures, we identified substantial differences between LD and
HC children and between LD-NOS and LD-Verbal children in terms of their spectral
EEG profiles. We obtained the following findings: (a) theta/beta and theta/alpha
ratios were substantially larger in LD than in HC children, with no difference
between LD-NOS and LD-Verbal children; (b) there was substantial slowing of EEG
oscillations, especially for gICs located in frontal scalp positions, with LD-NOS
children demonstrating the strongest slowing; (c) the estimated intracortical
sources of these gICs were mostly located in brain areas involved in the control
of executive functions, attention, planning, and language; and (d) the LD-Verbal
children demonstrated substantial differences in EEG oscillations compared with
LD-NOS children, and these differences were localized in language-related brain
areas. The general pattern of atypical neurophysiological activation found in LD
children suggests that they suffer from neurophysiological dysfunction in brain
areas involved with the control of attention, executive functions, planning, and
language functions. LD-Verbal children also demonstrate atypical activation,
especially in language-related brain areas. These atypical neurophysiological
activation patterns might provide a helpful guide for rehabilitation strategies
to treat the deficiencies in these children with LD.
PMID- 26545820
TI - Probabilistic models of genetic variation in structured populations applied to
global human studies.
AB - MOTIVATION: Modern population genetics studies typically involve genome-wide
genotyping of individuals from a diverse network of ancestries. An important
problem is how to formulate and estimate probabilistic models of observed
genotypes that account for complex population structure. The most prominent work
on this problem has focused on estimating a model of admixture proportions of
ancestral populations for each individual. Here, we instead focus on modeling
variation of the genotypes without requiring a higher-level admixture
interpretation. RESULTS: We formulate two general probabilistic models, and we
propose computationally efficient algorithms to estimate them. First, we show how
principal component analysis can be utilized to estimate a general model that
includes the well-known Pritchard-Stephens-Donnelly admixture model as a special
case. Noting some drawbacks of this approach, we introduce a new 'logistic factor
analysis' framework that seeks to directly model the logit transformation of
probabilities underlying observed genotypes in terms of latent variables that
capture population structure. We demonstrate these advances on data from the
Human Genome Diversity Panel and 1000 Genomes Project, where we are able to
identify SNPs that are highly differentiated with respect to structure while
making minimal modeling assumptions. AVAILABILITY AND IMPLEMENTATION: A
Bioconductor R package called lfa is available at
http://www.bioconductor.org/packages/release/bioc/html/lfa.html CONTACT:
jstorey@princeton.edu SUPPLEMENTARY INFORMATION: Supplementary data are available
at Bioinformatics online.
PMID- 26545821
TI - De novo identification of replication-timing domains in the human genome by deep
learning.
AB - MOTIVATION: The de novo identification of the initiation and termination zones
regions that replicate earlier or later than their upstream and downstream
neighbours, respectively-remains a key challenge in DNA replication. RESULTS:
Building on advances in deep learning, we developed a novel hybrid architecture
combining a pre-trained, deep neural network and a hidden Markov model (DNN-HMM)
for the de novo identification of replication domains using replication timing
profiles. Our results demonstrate that DNN-HMM can significantly outperform
strong, discriminatively trained Gaussian mixture model-HMM (GMM-HMM) systems and
other six reported methods that can be applied to this challenge. We applied our
trained DNN-HMM to identify distinct replication domain types, namely the early
replication domain (ERD), the down transition zone (DTZ), the late replication
domain (LRD) and the up transition zone (UTZ), using newly replicated DNA
sequencing (Repli-Seq) data across 15 human cells. A subsequent integrative
analysis revealed that these replication domains harbour unique genomic and
epigenetic patterns, transcriptional activity and higher-order chromosomal
structure. Our findings support the 'replication-domain' model, which states (1)
that ERDs and LRDs, connected by UTZs and DTZs, are spatially compartmentalized
structural and functional units of higher-order chromosomal structure, (2) that
the adjacent DTZ-UTZ pairs form chromatin loops and (3) that intra-interactions
within ERDs and LRDs tend to be short-range and long-range, respectively. Our
model reveals an important chromatin organizational principle of the human genome
and represents a critical step towards understanding the mechanisms regulating
replication timing. AVAILABILITY AND IMPLEMENTATION: Our DNN-HMM method and three
additional algorithms can be freely accessed at
https://github.com/wenjiegroup/DNN-HMM The replication domain regions identified
in this study are available in GEO under the accession ID GSE53984. CONTACT:
shuwj@bmi.ac.cn or boxc@bmi.ac.cn SUPPLEMENTARY INFORMATION: Supplementary data
are available at Bioinformatics online.
PMID- 26545822
TI - Comparison of genetic variants in matched samples using thesaurus annotation.
AB - MOTIVATION: Calling changes in DNA, e.g. as a result of somatic events in cancer,
requires analysis of multiple matched sequenced samples. Events in low
mappability regions of the human genome are difficult to encode in variant call
files and have been under-reported as a result. However, they can be described
accurately through thesaurus annotation-a technique that links multiple genomic
loci together to explicate a single variant. RESULTS: We here describe software
and benchmarks for using thesaurus annotation to detect point changes in DNA from
matched samples. In benchmarks on matched normal/tumor samples we show that the
technique can recover between five and ten percent more true events than
conventional approaches, while strictly limiting false discovery and being fully
consistent with popular variant analysis workflows. We also demonstrate the
utility of the approach for analysis of de novo mutations in parents/child
families. AVAILABILITY AND IMPLEMENTATION: Software performing thesaurus
annotation is implemented in java; available in source code on github at
GeneticThesaurus (https://github.com/tkonopka/GeneticThesaurus) and as an
executable on sourceforge at geneticthesaurus
(https://sourceforge.net/projects/geneticthesaurus). Mutation calling is
implemented in an R package available on github at RGeneticThesaurus
(https://github.com/tkonopka/RGeneticThesaurus). SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online. CONTACT:
tomasz.konopka@ludwig.ox.ac.uk.
PMID- 26545823
TI - Evaluation of hierarchical models for integrative genomic analyses.
AB - MOTIVATION: Advances in high-throughput technologies have led to the acquisition
of various types of -omic data on the same biological samples. Each data type
gives independent and complementary information that can explain the biological
mechanisms of interest. While several studies performing independent analyses of
each dataset have led to significant results, a better understanding of complex
biological mechanisms requires an integrative analysis of different sources of
data. RESULTS: Flexible modeling approaches, based on penalized likelihood
methods and expectation-maximization (EM) algorithms, are studied and tested
under various biological relationship scenarios between the different molecular
features and their effects on a clinical outcome. The models are applied to
genomic datasets from two cancer types in the Cancer Genome Atlas project:
glioblastoma multiforme and ovarian serous cystadenocarcinoma. The integrative
models lead to improved model fit and predictive performance. They also provide a
better understanding of the biological mechanisms underlying patients' survival.
AVAILABILITY AND IMPLEMENTATION: Source code implementing the integrative models
is freely available at https://github.com/mgt000/IntegrativeAnalysis along with
example datasets and sample R script applying the models to these data. The TCGA
datasets used for analysis are publicly available at https://tcga
data.nci.nih.gov/tcga/tcgaDownload.jsp CONTACT: marie.denis@cirad.fr or
mgt26@georgetown.edu SUPPLEMENTARY INFORMATION: Supplementary data are available
at Bioinformatics online.
PMID- 26545824
TI - Automatic generation of bioinformatics tools for predicting protein-ligand
binding sites.
AB - MOTIVATION: Predictive tools that model protein-ligand binding on demand are
needed to promote ligand research in an innovative drug-design environment.
However, it takes considerable time and effort to develop predictive tools that
can be applied to individual ligands. An automated production pipeline that can
rapidly and efficiently develop user-friendly protein-ligand binding predictive
tools would be useful. RESULTS: We developed a system for automatically
generating protein-ligand binding predictions. Implementation of this system in a
pipeline of Semantic Web technique-based web tools will allow users to specify a
ligand and receive the tool within 0.5-1 day. We demonstrated high prediction
accuracy for three machine learning algorithms and eight ligands. AVAILABILITY
AND IMPLEMENTATION: The source code and web application are freely available for
download at http://utprot.net They are implemented in Python and supported on
Linux. CONTACT: shimizu@bi.a.u-tokyo.ac.jp SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 26545826
TI - Blood donation mobile applications: are donors ready?
AB - BACKGROUND: The rapid rise of mobile communication technologies has the potential
to dramatically change and improve blood donor recruitment and retention efforts.
STUDY DESIGN AND METHODS: E-mail invitations were sent to blood donors in a large
metropolitan area to participate in a Web-based survey designed to gauge their
readiness and interest level for a blood donation mobile application ("app").
RESULTS: A total of 982 ethnically diverse respondents of various age groups and
prior donation experiences were surveyed. Among the respondents, 87.3% had ready
access to smart phones. E-mail was chosen by 62.1% as the currently preferred
method when contacted by the blood center, followed by texting (10.1%). App
features desired by most respondents were the abilities to request appointments
24/7 (76.8%) and to receive appointment confirmations quickly (81.3%). Many were
concerned about receiving too many alerts or messages (64.1%) or insufficient
protection for personal information (53.5%). Overall, 67.7% of respondents
indicated that they were likely to use a blood donation mobile app. Likelihood
was not significantly different by sex or ethnicity, and the impact of education
level was limited. Donors who currently made donation appointments via telephone
or a website were equally likely to use such an app. However, donors older than
45 years were less likely than younger donors (p = 0.001), and donors with more
than five lifetime donations were more likely than less frequent donors to use
such an app (p = 0.02). CONCLUSION: In a metropolitan area, donors are very
receptive to using a mobile app to manage their donations.
PMID- 26545825
TI - 2015 American College of Rheumatology Guideline for the Treatment of Rheumatoid
Arthritis.
AB - OBJECTIVE: To develop a new evidence-based, pharmacologic treatment guideline for
rheumatoid arthritis (RA). METHODS: We conducted systematic reviews to synthesize
the evidence for the benefits and harms of various treatment options. We used the
Grading of Recommendations Assessment, Development and Evaluation (GRADE)
methodology to rate the quality of evidence. We employed a group consensus
process to grade the strength of recommendations (either strong or conditional).
A strong recommendation indicates that clinicians are certain that the benefits
of an intervention far outweigh the harms (or vice versa). A conditional
recommendation denotes uncertainty over the balance of benefits and harms and/or
more significant variability in patient values and preferences. RESULTS: The
guideline covers the use of traditional disease-modifying antirheumatic drugs
(DMARDs), biologic agents, tofacitinib, and glucocorticoids in early (<6 months)
and established (>=6 months) RA. In addition, it provides recommendations on
using a treat-to-target approach, tapering and discontinuing medications, and the
use of biologic agents and DMARDs in patients with hepatitis, congestive heart
failure, malignancy, and serious infections. The guideline addresses the use of
vaccines in patients starting/receiving DMARDs or biologic agents, screening for
tuberculosis in patients starting/receiving biologic agents or tofacitinib, and
laboratory monitoring for traditional DMARDs. The guideline includes 74
recommendations: 23% are strong and 77% are conditional. CONCLUSION: This RA
guideline should serve as a tool for clinicians and patients (our two target
audiences) for pharmacologic treatment decisions in commonly encountered clinical
situations. These recommendations are not prescriptive, and the treatment
decisions should be made by physicians and patients through a shared decision
making process taking into account patients' values, preferences, and
comorbidities. These recommendations should not be used to limit or deny access
to therapies.
PMID- 26545827
TI - A Convenient Approach to Stereoisomeric Iminocyclitols: Generation of Potent
Brain-Permeable OGA Inhibitors.
AB - Pyrrolidine-based iminocyclitols are a promising class of glycosidase inhibitors.
Reported herein is a convenient epimerization strategy that provides direct
access to a range of stereoisomeric iminocyclitol inhibitors of O-GlcNAcase
(OGA), the enzyme responsible for catalyzing removal of O-GlcNAc from
nucleocytoplasmic proteins. Structural details regarding the binding of these
inhibitors to a bacterial homologue of OGA reveal the basis for potency. These
compounds are orally available and permeate into rodent brain to increase O
GlcNAc, and should prove useful tools for studying the role of OGA in health and
disease.
PMID- 26545828
TI - Practical impacts of genomic data "cleaning" on biological discovery using
surrogate variable analysis.
AB - BACKGROUND: Genomic data production is at its highest level and continues to
increase, making available novel primary data and existing public data to
researchers for exploration. Here we explore the consequences of "batch"
correction for biological discovery in two publicly available expression
datasets. We consider this to include the estimation of and adjustment for wide
spread systematic heterogeneity in genomic measurements that is unrelated to the
effects under study, whether it be technical or biological in nature. METHODS: We
present three illustrative data analyses using surrogate variable analysis (SVA)
and describe how to perform artifact discovery in light of natural heterogeneity
within biological groups, secondary biological questions of interest, and non
linear treatment effects in a dataset profiling differentiating pluripotent cells
(GSE32923) and another from human brain tissue (GSE30272). RESULTS: Careful
specification of biological effects of interest is very important to factor-based
approaches like SVA. We demonstrate greatly sharpened global and gene-specific
differential expression across treatment groups in stem cell systems. Similarly,
we demonstrate how to preserve major non-linear effects of age across the
lifespan in the brain dataset. However, the gains in precisely defining known
effects of interest come at the cost of much other information in the "cleaned"
data, including sex, common copy number effects and sample or cell line-specific
molecular behavior. CONCLUSIONS: Our analyses indicate that data "cleaning" can
be an important component of high-throughput genomic data analysis when
interrogating explicitly defined effects in the context of data affected by
robust technical artifacts. However, caution should be exercised to avoid
removing biological signal of interest. It is also important to note that open
data exploration is not possible after such supervised "cleaning", because
effects beyond those stipulated by the researcher may have been removed. With the
goal of making these statistical algorithms more powerful and transparent to
researchers in the biological sciences, we provide exploratory plots and
accompanying R code for identifying and guiding "cleaning" process
(https://github.com/andrewejaffe/StemCellSVA). The impact of these methods is
significant enough that we have made newly processed data available for the brain
data set at http://braincloud.jhmi.edu/plots/ and GSE30272.
PMID- 26545829
TI - Letter to the editor regarding: The effect of cloth stoma covers on tracheal
climate of laryngectomy patients.
PMID- 26545830
TI - Development of a new disease severity scoring system for patients with non
transfusion-dependent thalassemia.
AB - BACKGROUND: Patients with non-transfusion-dependent thalassemia (NTDT) present
with a spectrum of disease severities. Since there are multiple pathophysiologies
in such patients, tailoring treatment remains essential. Therefore, one simple,
reliable tool would be beneficial to assess disease severity and tailor therapy,
particularly for internal medicine specialists who may treat a variety of NTDT
patients with a multitude of complications. This would allow for standardization
of assessments leading to timely interventions and prevention of complications.
METHODS: A working group of NTDT experts was formed to develop a new disease
severity scoring system for adult and pediatric patients with NTDT, based on
parameters considered to be most pertinent in defining disease severity. RESULTS:
20 parameters were selected for inclusion in the disease severity scoring system.
An additional six parameters, largely related to growth and development, were
selected specifically for pediatric patients (<= 16 years of age). Consensus of
expert opinion was used to establish the selected methods of assessment for each
parameter, based on feasibility and availability of technology, cost containment,
and avoidance of patient risk. CONCLUSION: We propose that this new disease
severity scoring system for adult and pediatric NTDT patients could be developed
into a practical tool for widespread clinical use.
PMID- 26545831
TI - Neuroethological validation of an experimental apparatus to evaluate oriented and
non-oriented escape behaviours: Comparison between the polygonal arena with a
burrow and the circular enclosure of an open-field test.
AB - Inhibition of GABAergic neural inputs to dorsal columns of the periaqueductal
grey matter (dPAG), posterior (PH) and dorsomedial (DMH) hypothalamic nuclei
elicits distinct types of escape behavioural reactions. To differentiate between
the variety and intensity of panic-related behaviours, the pattern of defensive
behaviours evoked by blockade of GABAA receptors in the DMH, PH and dPAG were
compared in a circular open-field test and in a recently designed polygonal
arena. In the circular open-field, the defensive behaviours induced by
microinjection of bicuculline into DMH and PH were characterised by defensive
alertness behaviour and vertical jumps preceded by rearing exploratory behaviour.
On the other hand, explosive escape responses interspersed with horizontal jumps
and freezing were observed after the blockade of GABAA receptors on dPAG neurons.
In the polygonal arena apparatus, the escape response produced by GABAergic
inhibition of DMH and PH neurons was directed towards the burrow. In contrast,
the blockade of GABAA receptors in dPAG evoked non-oriented escape behaviour
characterised by vigorous running and horizontal jumps in the arena. Our findings
support the hypothesis that the hypothalamic nuclei organise oriented escape
behavioural responses whereas non-oriented escape is elaborated by dPAG neurons.
Additionally, the polygonal arena with a burrow made it easy to discriminate and
characterise these two different patterns of escape behavioural responses. In
this sense, the polygonal arena with a burrow can be considered a good
methodological tool to discriminate between these two different patterns of
escape behavioural responses and is very useful as a new experimental animal
model of panic attacks.
PMID- 26545832
TI - Pitocin and autism: An analysis of oxytocin receptor desensitization in the
fetus.
AB - The risk of Pitocin as a cause of autism attributable to oxytocin receptor
desensitization in the brain of the fetus is evaluated in terms of a mathematical
model. A composite unit, D, for oxytocin receptor desensitization levels is
established with the form ((IU-h)/ml)E-3, where IU is the international unit for
oxytocin. The desensitization values for oxytocin receptor desensitization at a
concentration of 10 nmol of oxytocin per liter for 3, 4.2 and 6h corresponding to
0%, 50% and 100% desensitization are calculated to be 15 D, 21 D, and 30 D,
respectively. The permeability of the blood-brain barrier in the fetus to
oxytocin is discussed, and the upper limit of the concentration of Pitocin in the
placenta, and its possible diffusion into the blood and brain of the fetus, is
calculated for a routine dose of 6 milli U per minute of Pitocin over a 12h
labor. This dose of Pitocin is shown to result in a desensitization value in
units of D that is more than a factor of 10 below the 0% desensitization value of
15 D. This indicates that routine doses of Pitocin are not a significant cause of
autism attributable to oxytocin receptor desensitization. This is consistent with
the findings of a major epidemiological study of the association of Pitocin with
autism in Denmark entitled, "Oxytocin-augmented labor and risk for males",
Behavioral Brain Research, May 1, 2015; 284:207-212, which found no association
between the use of Pitocin during labor and the incidence of autism for females,
and a modest association for males.
PMID- 26545833
TI - Rethinking traditional Chinese medicines for cancer.
PMID- 26545834
TI - Busulfan-based conditioning regimens: not all partners are equal.
PMID- 26545835
TI - Morcellation of uterine leiomyomas: a plea for patient triage.
PMID- 26545836
TI - Abnormal Results.
PMID- 26545837
TI - Miss You Already.
PMID- 26545839
TI - Correction to Lancet Oncol 2015; 16: 1013.
PMID- 26545838
TI - Endometrial cancer with progestagen and oestrogen oral contraceptives.
PMID- 26545840
TI - Correction to Lancet Oncol 2015; 16: 1143.
PMID- 26545841
TI - Correction to Lancet Oncol 2015; 16: 1289.
PMID- 26545843
TI - Multifunctional receptor-targeting antibodies for cancer therapy.
AB - During the past decade, monospecific antibodies targeting cell-surface receptors
in different tumour types have achieved substantial success and have been at the
forefront of cancer treatment. However, redundant signalling and crosstalk
between different pathways within tumour cells and between tumour cells and their
microenvironment can limit the efficacy of receptor-targeted monospecific-based
therapies. Advances in antibody engineering technologies have enabled strategies
that simultaneously target multiple receptors to circumvent the limitations of
conventional monospecific therapies and achieve enhanced therapeutic efficacy. In
the past 5 years, a range of multifunctional, receptor-targeting, antibody-based
molecules have emerged, which allow targeting of multiple surface receptors on
tumour cells and endothelial or immune cells in the tumour microenvironment. In
this Review, we discuss the rationales and strategies for the use of
multifunctional receptor-targeting antibodies, their mechanisms of action, and
the promises and challenges they hold as cancer therapeutics. This knowledge
provides opportunities to improve current targeted therapy outcomes for patients
with cancer.
PMID- 26545842
TI - Immunotherapy response assessment in neuro-oncology: a report of the RANO working
group.
AB - Immunotherapy is a promising area of therapy in patients with neuro-oncological
malignancies. However, early-phase studies show unique challenges associated with
the assessment of radiological changes in response to immunotherapy reflecting
delayed responses or therapy-induced inflammation. Clinical benefit, including
long-term survival and tumour regression, can still occur after initial disease
progression or after the appearance of new lesions. Refinement of the response
assessment criteria for patients with neuro-oncological malignancies undergoing
immunotherapy is therefore warranted. Herein, a multinational and
multidisciplinary panel of neuro-oncology immunotherapy experts describe
immunotherapy Response Assessment for Neuro-Oncology (iRANO) criteria based on
guidance for the determination of tumour progression outlined by the immune
related response criteria and the RANO working group. Among patients who
demonstrate imaging findings meeting RANO criteria for progressive disease within
6 months of initiating immunotherapy, including the development of new lesions,
confirmation of radiographic progression on follow-up imaging is recommended
provided that the patient is not significantly worse clinically. The proposed
criteria also include guidelines for the use of corticosteroids. We review the
role of advanced imaging techniques and the role of measurement of clinical
benefit endpoints including neurological and immunological functions. The iRANO
guidelines put forth in this Review will evolve successively to improve their
usefulness as further experience from immunotherapy trials in neuro-oncology
accumulate.
PMID- 26545844
TI - Double-hit and double-protein-expression lymphomas: aggressive and refractory
lymphomas.
AB - Double-hit lymphoma (DHL) is a subgroup of aggressive lymphomas with both MYC and
BCL2 gene rearrangements, characterised by a rapidly progressing clinical course
that is refractory to aggressive treatment and short survival. Over time, the
definition was modified and now includes diffuse large B-cell lymphoma (DLBCL)
with MYC translocation combined with an additional translocation involving BCL2
or BCL6. Some cases that have a similar clinical course with concomitant
overexpression of MYC or BCL2 proteins were recently characterised as
immunohistochemical double-hit lymphomas (ie, double-protein-expression lymphomas
[DPLs]). The clinical course of these DPLs is worse than so-called standard DLBCL
but suggested by some studies to be slightly better than DHL, although there is
overlap between the two categories. Present treatment does not allow cure or long
term survival in patients with genetic or immunohistochemical double-hit
lymphomas, but several new drugs are being developed.
PMID- 26545845
TI - Inflammatory breast cancer: unique biological and therapeutic considerations.
AB - Through the concerted efforts of many patients, health-care providers,
legislators, and other supporters, the past decade has seen the development of
the first clinics dedicated to the care of patients with inflammatory breast
cancer in the USA and other countries. Together with social networking, advocacy,
and education, a few specialised centres have had substantial increases in
patient numbers (in some cases ten times higher), which has further expanded the
community of science and advocacy and increased the understanding of the disease
process. Although inflammatory breast cancer is considered rare, constituting
only 2-4% of breast cancer cases, poor prognosis means that patients with the
disease account for roughly 10% of breast cancer mortality annually in the USA. I
propose that the unique presentation of inflammatory breast cancer might require
specific, identifiable changes in the breast parenchyma that occur before the
tumour-initiating event. This would make the breast tissue itself a tumour
promoting medium that should be treated as a component of the pathology in
multidisciplinary treatment and should be further studied for complementary
targets to inhibit the pathobiology that is specific to inflammatory breast
cancer.
PMID- 26545846
TI - Investigation of Clostridium difficile interspecies relatedness using multilocus
sequence typing, multilocus variable-number tandem-repeat analysis and
antimicrobial susceptibility testing.
AB - Multilocus sequence typing (MLST), multilocus variable-number tandem-repeat
analysis (MLVA) and antimicrobial susceptibility were performed on 37 animal and
human C. difficile isolates belonging to 15 different PCR-ribotypes in order to
investigate the relatedness of human and animal isolates and to identify possible
transmission routes. MLVA identified a total of 21 different types while MLST
only distinguished 12 types. Identical C. difficile strains were detected in the
same animal species for PCR-ribotypes 014, 078, UCL 16U and UCL 36, irrespective
of their origin or the isolation date. Non clonal strains were found among
different hosts; however, a high genetic association between pig and cattle
isolates belonging to PCR-ribotype 078 was revealed. MLVA also showed genetic
differences that clearly distinguished human from animal strains. For a given PCR
ribotype, human and animal strains presented a similar susceptibility to the
antimicrobials tested. All strains were susceptible to vancomycin, metronidazole,
chloramphenicol and rifampicin, while PCR-ribotypes 078, UCL 5a, UCL 36 and UCL
103 were associated with erythromycin resistance. The data suggest a wide
dissemination of clones at hospitals and breeding-farms or a contamination at the
slaughterhouse, but less probability of interspecies transmission. However,
further highly discriminatory genotyping methods are necessary to elucidate
interspecies and zoonotic transmission of C. difficile.
PMID- 26545847
TI - Cancer immunotherapy in veterinary medicine: Current options and new
developments.
AB - Excitement in the field of tumor immunotherapy is being driven by several
remarkable breakthroughs in recent years. This review will cover recent advances
in cancer immunotherapy, including the use of T cell checkpoint inhibitors,
engineered T cells, cancer vaccines, and anti-B cell and T cell antibodies.
Inhibition of T cell checkpoint molecules such as PD-1 and CTLA-4 using
monoclonal antibodies has achieved notable success against advanced tumors in
humans, including melanoma, renal cell carcinoma, and non-small cell lung cancer.
Therapy with engineered T cells has also demonstrated remarkable tumor control
and regression in human trials. Autologous cancer vaccines have recently
demonstrated impressive prolongation of disease-free intervals and survival times
in dogs with lymphoma. In addition, caninized monoclonal antibodies targeting
CD20 and CD52 just recently received either full (CD20) or conditional (CD52)
licensing by the United States Department of Agriculture for clinical use in the
treatment of canine B-cell and T-cell lymphomas, respectively. Thus,
immunotherapy for cancer is rapidly moving to the forefront of cancer treatment
options in veterinary medicine as well as human medicine.
PMID- 26545848
TI - Identification of two phylogenetic lineages of equine hepacivirus and high
prevalence in Brazil.
AB - Non-primate hepacivirus (NPHV), as described in horses, is the virus most
genetically related to hepatitis C virus (HCV). Although detected worldwide,
limited data on genomic variability and distribution of NPHV are available in
Latin America. The aim of this study was to investigate the genetic diversity and
prevalence of equine NPHV in Brazil. Thirteen percent of 202 equines from three
Brazilian states were positive for NPHV genome by reverse transcriptase PCR.
Nucleotide sequences of the partial NS5B genome presented the greatest diversity
described to date (25.6%), which is comparable to the upper limit of diversity
for HCV subtype classification for the same region. Phylogenetic analysis
revealed that Brazilian NPHV sequences along with isolates worldwide form two
strongly supported clades (pp = 1.0) suggesting the existence of two distinct
lineages.
PMID- 26545849
TI - Presence of Magnetic Resonance Imaging Suspicious Lesion Predicts Gleason 7 or
Greater Prostate Cancer in Biopsy-Naive Patients.
AB - OBJECTIVE: To compare the relative value of magnetic resonance imaging (MRI) in
biopsy-naive patients to those with previous negative biopsy. Although MRI
targeted biopsy has been studied in several major prostate cancer (PCa) cohorts
(biopsy naive, previous negative biopsy, and active surveillance), the relative
benefit in these cohorts has not been established. METHODS: We retrospectively
reviewed biopsy-naive (n = 45) and previous negative biopsy (n = 55) patients who
underwent prostate MRI prior to biopsy at our institution. Patients with an MRI
suspicious region (MSR) underwent MRI-targeted biopsy as well as a systematic
template biopsy, whereas those without MSR underwent only the template biopsy.
All biopsies were performed with the TargetScan (Envisioneering, Pittsburgh, PA)
biopsy system. MRI targeting was performed with cognitive guidance. RESULTS: On
multivariate logistic regression, the presence of an MSR was the only
statistically significant and independent predictor of Gleason >= 7 PCa on biopsy
for biopsy-naive men (odds ratio [OR] 40.2, P = .01). For men with previous
negative biopsy, the presence of MSR was not a predictor of Gleason >= 7 PCa on
biopsy (OR 4.35, P = .16), whereas PSA density > 0.15 ng/mL(2) was a significant
and independent predictor (OR 66.2, P < .01). CONCLUSION: Prostate MRI should be
considered prior to biopsy in all patients presenting with clinical suspicion for
PCa, as presence of a MSR will help guide prebiopsy counseling and provide an
opportunity for MRI targeting during biopsy.
PMID- 26545850
TI - Is Ureteroscopy Needed Prior to Nephroureterectomy? An Evidence-Based Algorithmic
Approach.
AB - OBJECTIVE: To develop an evidence-based approach to the diagnostic workup of
suspicious upper urinary tract lesions. METHODS: The PubMed database was searched
using the following terms with a filter for English language: "upper tract
urothelial carcinoma" and "upper tract transitional cell carcinoma," along with
the following corresponding terms: "cost," "epidemiology," "diagnosis,"
"ureteroscopy," and "workup." A total of 404 articles were returned, and 33 were
reviewed in full based on relevance. RESULTS: Computed tomography urogram is both
sensitive and specific (96% and 99%). Cytology is utilized for its specificity
(89%-100%). Ureteroscopy and biopsy of an upper tract lesion can be helpful in
equivocal cases but can pose challenges in terms of yield and eventual pathologic
upstaging. Due to the high sensitivity and specificity of other noninvasive
tests, ureteroscopy can be obviated in select cases. We assess the available
evidence and devise an algorithm for the evaluation of an upper tract urothelial
carcinoma lesion. CONCLUSION: Ureteroscopy can be omitted as part of the
diagnostic workup in appropriately selected cases of upper tract urothelial
carcinoma.
PMID- 26545851
TI - Esterase and peroxidase isoforms in different stages of morphogenesis in
Fritillaria meleagris L. in bulb-scale culture.
AB - Morphogenesis in vitro is a complex and still poorly defined process. We
investigated esterase and peroxidase isoforms detected in bulb scale, during
Fritillaria meleagris morphogenesis. Bulbs were grown either at 4 degrees C or
on a medium with an increased concentration of sucrose (4.5%) for 30 days. After
these pre-treatments, the bulb scales were further grown on nutrient media that
contained different concentrations of 2,4-dichlorophenoxyacetic acid (2,4-D) and
kinetin (KIN) or thidiazuron (TDZ). Regeneration of somatic embryos and bulblets
occurred at the same explant. The highest numbers of somatic embryos and bulblets
were regenerated on the medium containing 2,4-D and KIN (1mg/L each), while
morphogenesis was most successful at a TDZ concentration between 0.5 and 1mg/L.
Monitoring of esterases and peroxidases was performed by growing bulb scales on a
medium enriched with 2,4-D and KIN or TDZ (1mg/L), and the number and activity of
isoforms were followed every 7 days for 4 weeks. In control explants, six
isoforms of esterase were observed. Three isoforms of peroxidase were not
detected in the control bulb scale, which has not begun its morphogenesis
process.
PMID- 26545852
TI - Implementing Value-Based Payment Reform: A Conceptual Framework and Case
Examples.
AB - This article develops a conceptual framework for implementation of value-based
payment (VBP) reform and then draws on that framework to systematically examine
six distinct multi-stakeholder coalition VBP initiatives in three different
regions of the United States. The VBP initiatives deploy the following payment
models: reference pricing, "shadow" primary care capitation, bundled payment, pay
for performance, shared savings within accountable care organizations, and global
payment. The conceptual framework synthesizes prior models of VBP implementation.
It describes how context, project objectives, payment and care delivery
strategies, and the barriers and facilitators to translating strategy into
implementation affect VBP implementation and value for patients. We next apply
the framework to six case examples of implementation, and conclude by discussing
the implications of the case examples and the conceptual framework for future
practice and research.
PMID- 26545853
TI - Mood as Representation of Momentum.
AB - Experiences affect mood, which in turn affects subsequent experiences. Recent
studies suggest two specific principles. First, mood depends on how recent reward
outcomes differ from expectations. Second, mood biases the way we perceive
outcomes (e.g., rewards), and this bias affects learning about those outcomes. We
propose that this two-way interaction serves to mitigate inefficiencies in the
application of reinforcement learning to real-world problems. Specifically, we
propose that mood represents the overall momentum of recent outcomes, and its
biasing influence on the perception of outcomes 'corrects' learning to account
for environmental dependencies. We describe potential dysfunctions of this
adaptive mechanism that might contribute to the symptoms of mood disorders.
PMID- 26545854
TI - [Management of breast nipple discharge: Recommendations].
AB - OBJECTIVES: To investigate diagnostic value of imaging procedures and management
strategies of the patients with nipple discharge (ND) to establish management
recommendations. METHODS: Bibliographical search in French and English languages
by consultation of PubMed, Cochrane and Embase databases. RESULTS: Although, all
ND require an systematic evaluation guided by clinical data, bloody ND could be a
predictor of breast cancer risk among different colors of discharge particularly
in patients of more than 50 years (LE2). The mammography and breast ultrasography
are the imaging procedures to realize in first intention (grade C) but they turn
out useful only when they detect radiological abnormalities (LE4). Galactography
has only a localizing value of possible ductal abnormalities (when standard
imaging procedures is not contributive) (LE4). Thus, in the diagnostic
investigation of a suspicious ND, galactography it is not recommended in standard
practice (grade C). The breast Magnetic Resonance Imaging (MRI) is recommended
when breast standard imaging procedures are not contributive (grade C). The ND
cytology is useful only if it is positive (i.e. reveal cancer cells). There is no
proof on the diagnostic performance of the cytological analysis of the ND to
allow a recommendation on its realization or not. In front of a suspicious ND,
when breast-imaging procedures reveals an associated radiological lesion, an
adapted percutaneous biopsy is recommended by percutaneous way (grade C). Vacuum
assisted breast biopsies is a diagnostic tool but can also be therapeutic
allowing to avoid surgery in case of benign lesion but current literature data do
not allow recommendations on the therapeutic aspect of vacuum-assisted breast
biopsy (LE4). In the absence of associated radiological signal, and in case of
reproducible bloody persistent ND, a pyramidectomy is recommended (grade C).
PMID- 26545855
TI - [Breastfeeding (part one): Frequency, benefits and drawbacks, optimal duration
and factors influencing its initiation and prolongation. Clinical guidelines for
practice].
AB - OBJECTIVES: The objectives were to on assess the frequency and the duration of
breastfeeding in France. On the other hand, the objectives were to identify its
benefits and drawbacks, and to study the factors influencing its initiation and
its extension. MATERIAL AND METHODS: Bibliographic research in Medline, Google
Scholar and in the Cochrane Library. RESULTS: Breastfeeding concerns in France
about 70% of children at birth (EL2). Its median duration is about 15 weeks and 3
weeks 1/2 for exclusive breastfeeding. At three months, only one third of
children breastfed at birth are still being breastfed (EL2). Whether this is due
to the composition of breast milk or the behavior of mothers with their children
or their socio-cultural level, or even by all these components at once,
breastfeeding is associated with better cognitive development children (EL2).
This effect is even more reinforced that mothers breastfeed exclusively and
prolonged (EL2). As part of the prevention of many diseases (ear infections,
gastrointestinal infections, atopic diseases, obesity and cardiovascular
diseases...), exclusive and prolonged breastfeeding (grade B) between 4 to 6
months is recommended (professional consensus). Breastfeeding is not a means of
preventing postpartum depression (professional consensus). To reduce the
incidence of breast cancer, prolonged breastfeeding is recommended (grade B). In
order to increase the rate of initiation of breastfeeding as well as its
duration, it is recommended that health professionals work closely with mothers
in their project (grade A), the breastfeeding promotion messages include message
to husbands (grade B), and to promote breastfeeding on demand without fixed
interval between feedings (grade B). However, there is not enough data to
recommend the use of a specific position during breastfeeding, or the use of one
or two breast or to early start breastfeeding or not (professional consensus).
CONCLUSION: Exclusive and extended breastfeeding is recommended (grade B) between
4 to 6 months (professional consensus).
PMID- 26545856
TI - [Benign proliferative breast disease with and without atypia].
AB - In the last few years, diagnostics of high-risk breast lesions (atypical ductal
hyperplasia [ADH], flat epithelial atypia [FEA], lobular neoplasia: atypical
lobular hyperplasia [ALH], lobular carcinoma in situ [LCIS], radial scar [RS],
usual ductal hyperplasia [UDH], adenosis, sclerosing adenosis [SA], papillary
breast lesions, mucocele-like lesion [MLL]) have increased with the growing
number of breast percutaneous biopsies. The management of these lesions is highly
conditioned by the enlarged risk of breast cancer combined with either an
increased probability of finding cancer after surgery, either a possible
malignant transformation (in situ or invasive cancer), or an increased
probability of developing cancer on the long range. An overview of the literature
reports grade C recommendations concerning the management and follow-up of these
lesions: in case of ADH, FEA, ALH, LCIS, RS, MLL with atypia, diagnosed on
percutaneous biopsies: surgical excision is recommended; in case of a diagnostic
based on vacuum-assisted core biopsy with complete disappearance of radiological
signal for FEA or RS without atypia: surgical abstention is a valid alternative
approved by multidisciplinary meeting. In case of ALH (incidental finding)
associated with benign lesion responsible of radiological signal: abstention may
be proposed; in case of UDH, adenosis, MLL without atypia, diagnosed on
percutaneous biopsies: the concordance of radiology and histopathology findings
must be ensured. No data is available to recommend surgery; in case of non-in
sano resection for ADH, FEA, ALH, LCIS (except pleomorphic type), RS, MLL:
surgery does not seem to be necessary; in case of previous ADH, ALH, LCIS: a
specific follow-up is recommended in accordance with HAS's recommendations. In
case of FEA and RS or MLL combined with atypia, little data are yet available to
differ the management from others lesions with atypia; in case of UDH, usual
sclerosing adenosis, RS without atypia, fibro cystic disease: no specific follow
up is recommended in agreement with HAS's recommendations.
PMID- 26545857
TI - Neuroepidemiology of Porencephaly, Schizencephaly, and Hydranencephaly in Miyagi
Prefecture, Japan.
AB - BACKGROUND: No population-based surveys of porencephaly, schizencephaly, and
hydranencephaly have been conducted in Japan or other Asian countries. We
performed a neuroepidemiologic analysis to elucidate the incidence of
porencephaly, schizencephaly, and hydranencephaly in Miyagi prefecture, Japan,
during 2007-2011. METHODS: We sent inquiry forms in February 2012 to three
neonatal intensive care units, 25 divisions of orthopedic surgery in municipal
hospitals, 33 divisions of pediatrics including one university hospital,
municipal hospitals, pediatric practitioners, and institutions for physically
handicapped children located in Miyagi prefecture. These covered all clinics
related to pediatric neurology and orthopedic surgery in Miyagi prefecture. In
the inquiry, diagnostic criteria for porencephaly, schizencephaly, and
hydranencephaly were described and representative images of magnetic resonance
imaging were shown. We obtained an 82% (27 of 33) response rate from the
divisions of pediatrics, a 100% (3 of 3) response rate from the neonatal
intensive care units, and a 68% (17 of 25) response rate from orthopedic surgery
clinics. The magnetic resonance imaging scans of each patient were retrieved and
inspected. RESULTS: Five, one, and two individuals developed porencephaly,
schizencephaly, and hydranencephaly, respectively. The estimated incidence rates
of porencephaly, schizencephaly, and hydranencephaly were 5.2 (95% confidence
interval [CI], 0.6-9.8), 1.0 (95% CI, 0.0-3.1), and 2.1 (95% CI, 0.0-5.0) per
100,000 live births, respectively. CONCLUSIONS: The prevalence rates of
porencephaly, schizencephaly, and hydranencephaly at birth reported herein are
compatible with results reported previously in the United States and European
countries. The overall prevalence rate of these three diseases was 8.3 (95% CI,
2.6-14.1) per 100,000 live births.
PMID- 26545858
TI - Orbital Infection With Intracranial Involvement.
PMID- 26545859
TI - The results of a survey highlighting issues with feedback on medical training in
the United Kingdom and how a Smartphone App could provide a solution.
AB - BACKGROUND: Feedback drives learning in medical education. Healthcare Supervision
Logbook (HSL) is a Smartphone App developed at Sheffield Teaching Hospitals for
providing feedback on medical training, from both a trainee's and a supervisor's
perspective. In order to establish a mandate for the role of HSL in clinical
practice, a large survey was carried out. METHODS: Two surveys (one for doctors
undertaking specialty training and a second for consultants supervising their
training) were designed. The survey for doctors-in-training was distributed to
all specialty trainees in the South and West localities of the Health Education
Yorkshire and the Humber UK region. The survey for supervisors was distributed to
all consultants involved in educational and clinical supervision of specialty
trainees at Sheffield Teaching Hospitals. RESULTS: The results confirm that
specialty trainees provide feedback on their training infrequently-66 % do so
only annually. 96 % of the specialty trainees owned a Smartphone and 45 % said
that they would be willing to use a Smartphone App to provide daily feedback on
the clinical and educational supervision they receive. Consultant supervisors do
not receive regular feedback on the educational and clinical supervision they
provide to trainees-56 % said they never received such feedback and 33 % said it
was only on an annual basis. 86 % of consultants surveyed owned a Smartphone and
41 % said they would be willing to use a Smartphone App to provide feedback on
the performance of trainees they were supervising. CONCLUSIONS: Feedback on
medical training is recorded by specialty trainees infrequently and consultants
providing educational and clinical supervision often do not receive any feedback
on their performance in this area. HSL is a simple, quick and efficient way to
collect and collate feedback on medical training to improve this situation. Good
support and education needs to be provided when implementing this new technology.
PMID- 26545862
TI - In vitro study of the antibacterial properties and impact strength of dental
acrylic resins modified with a nanomaterial.
AB - STATEMENT OF PROBLEM: The accumulation of bacteria on the surface of dental
prostheses can lead to systemic disease. PURPOSE: The purpose of this in vitro
study was to evaluate the growth of Staphylococcus aureus and Pseudomonas
aeruginosa on the surface of autopolymerizing (AP) and heat-polymerizing (HP)
acrylic resins incorporated with nanostructured silver vanadate (beta-AgVO3) and
its impact strength. MATERIAL AND METHODS: For each resin, 216 circular specimens
(9 * 2 mm) were prepared for microbiologic analysis and 60 rectangular specimens
(65 * 10 * 3.3 mm) for mechanical analysis, according to the percentage of beta
AgVO3: 0%, control group; 0.5%; 1%; 2.5%; 5%; and 10%. After a biofilm had
formed, the metabolic activity of the bacteria was measured using the XTT
reduction assay (2,3-bis-(2-methoxy-4-nitro-5-sulfophenyl)-2H-tetrazolium-5
carboxanilide) (n=8), and the number of viable cells was determined by counting
colony forming units per milliliter (CFU/mL) (n=8). Confocal laser scanning
microscopy (CLSM) was used to complement the analyses (n=2). The mechanical
behavior was evaluated by impact strength assays (n=10). Data were analyzed by 2
way ANOVA, followed by the Tukey honestly significant difference (HSD) post hoc
test (alpha=.05). RESULTS: The addition of 5% and 10% beta-AgVO3 significantly
decreased the metabolic activity of P. aeruginosa for both resins (P<.05). The HP
resin promoted a greater reduction in metabolic activity than the AP resin
(P<.05). No difference was found in the metabolic activity of S. aureus according
to the XTT (P>.05). The number of CFU/mL for S. aureus and P. aeruginosa
decreased significantly when 5% and 10% beta-AgVO3 were added (P<.001). These
concentrations significantly reduced the impact strength of the resins (P<.001)
because the system was weakened by the presence of clusters of beta-AgVO3.
CONCLUSION: The addition of beta-AgVO3 can provide acrylic resins with
antibacterial activity but reduces their impact strength. More efficient addition
methods should be investigated.
PMID- 26545863
TI - Material compatibility and antimicrobial activity of consumer products commonly
used to clean dentures.
AB - STATEMENT OF PROBLEM: Regular denture cleaning is essential to good oral health,
but only limited evidence is available regarding the effects of common cleaning
routines. PURPOSE: The purpose of this in vitro study was to determine the
compatibility of denture materials with and the antimicrobial effects of typical
cleaning regimens. MATERIAL AND METHODS: The evaluated treatments were derived
from a study of dental professional recommendations and consumer habits,
including denture cleanser tablets, toothpaste, mouthwash, isopropyl alcohol
(IPA), household bleach, soap, and vinegar. The material integrity of denture
materials, including polymethyl methacrylate (PMMA) and metals, was evaluated by
scanning electron microscopy and profilometry after treatment with laboratory
regimens simulating 2 years of typical consumer use. Treatments were also
evaluated in a microbial kill time assay against a range of oral microorganisms
with typical treatment regimens. RESULTS: Alcohol-based mouthwash and IPA damaged
the surface of PMMA, and brushing with toothpaste caused scratching and surface
material loss. Bleach caused limited damage to PMMA, but corroded CoCr alloy
(pitting) and solder (layer formation). Denture tablets caused little damage to
any materials apart from the layer formation on silver solder. Vinegar and soap
were compatible with all materials. In antimicrobial assays, bleach gave
excellent results, and IPA and mouthwash required concentrated dilutions to be
effective. Cleanser tablets were effective at 5 minutes treatment time against
all organisms. Toothpaste was effective against bacteria but not Candida
albicans. Vinegar, soaps, salt, and sodium bicarbonate were microbially
ineffective. CONCLUSIONS: Bleach was highly antimicrobial but incompatible with
metal dental prosthesis components. IPA and mouthwash were antimicrobial but
damaged PMMA. Specialist denture cleanser tablets gave a good combination of
microbial efficacy and reasonable material compatibility.
PMID- 26545860
TI - Bronchiectasis diagnosed after renal transplantation: a retrospective multicenter
study.
AB - BACKGROUND: Bronchiectasis is characterized by abnormal, permanent and
irreversible dilatation of the bronchi, usually responsible for daily symptoms
and frequent respiratory complications. Many causes have been identified, but
only limited data are available concerning the association between bronchiectasis
and renal transplantation. METHODS: We conducted a retrospective multicenter
study of cases of bronchiectasis diagnosed after renal transplantation in 14
renal transplantation departments (French SPIESSER group). Demographic, clinical,
laboratory and CT scan data were collected. RESULTS: Forty-six patients were
included (mean age 58.2 years, 52.2 % men). Autosomal dominant polycystic kidney
disease (32.6 %) was the main underlying renal disease. Chronic cough and sputum
(50.0 %) were the major symptoms leading to chest CT scan. Mean duration of
symptoms before diagnosis was 1.5 years [0-12.1 years]. Microorganisms were
identified in 22 patients, predominantly Haemophilus influenzae.
Hypogammaglobulinemia was observed in 46.9 % patients. Bronchiectasis was usually
extensive (84.8 %). The total bronchiectasis score was 7.4 +/- 5.5 with a
significant gradient from apex to bases. Many patients remained symptomatic (43.5
%) and/or presented recurrent respiratory tract infections (37.0 %) during follow
up. Six deaths (13 %) occurred during follow-up, but none were attributable to
bronchiectasis. CONCLUSIONS: These results highlight that the diagnosis of
bronchiectasis should be considered in patients with de novo respiratory symptoms
after renal transplantation. Further studies are needed to more clearly
understand the mechanisms underlying bronchiectasis in this setting.
PMID- 26545861
TI - Argonaute 2 and nasopharyngeal carcinoma: a genetic association study and
functional analysis.
AB - BACKGROUND: Argonaute 2 (AGO2), a central component of RNA-induced silencing
complex, plays critical roles in cancer. We examined whether the single
nucleotide polymorphisms (SNPs) of AGO2 were related to the risk of
nasopharyngeal carcinoma (NPC). METHODS: Twenty-five tag SNPs within AGO2 were
genotyped in Guangxi population consisting of 855 NPC patients and 1036 controls.
The SNPs significantly associated with NPC were further replicated in Guangdong
population consisting of 996 NPC patients and 972 controls. Functional
experiments were conducted to examine the biologic roles of AGO2 in NPC. RESULTS:
A significantly increased risk of advanced lymph node metastasis of NPC was
identified for the AGO2 rs3928672 GA + AA genotype compared with GG genotype in
both the Guangxi and Guangdong populations (combined odd ratio = 2.08, 95 %
confidence interval = 1.44-3.01, P = 8.60 * 10(-5)). Moreover, the AGO2 protein
expression levels of rs3928672 GA + AA genotype carriers were higher than the GG
genotype carriers in the NPC tissues (P = 0.041), and AGO2 was significantly over
expressed in NPC tissues compared with non-cancerous nasopharyngeal tissues (P =
0.011). In addition, AGO2 knockdown reduced cell proliferation, induced
apoptosis, and inhibited migration of NPC cells. Furthermore, gene expression
microarray showed that genes altered following AGO2 knockdown were clustered in
tumorigenesis and metastasis relevant pathways. CONCLUSIONS: Our findings suggest
that the genetic polymorphism in AGO2 may be a risk factor for the advanced lymph
node metastasis of NPC in Chinese populations, and AGO2 acts as an oncogene in
the development of NPC.
PMID- 26545864
TI - Risk factors associated with early implant failure: A 5-year retrospective
clinical study.
AB - STATEMENT OF PROBLEM: The replacement of lost teeth with dental implants is a
widespread treatment whose associated problems are also frequently encountered.
Nevertheless, the factors associated with early implant failure have not been
well documented. Further analyses of the factors influencing osseointegration
establishment are required to maximize the predictability of the procedure and
minimize implant failures. PURPOSE: The purpose of this retrospective clinical
study was to explore the association between possible risk factors and early
implant failure. MATERIAL AND METHODS: This retrospective clinical study
evaluated 142 participants who received 276 external connection BTI implants
between 2007 and 2011. Participant variables (age, sex, systemic disease, tobacco
use, alcohol consumption, bruxism, and degree of periodontal disease), implant
variables (type of edentulism, localization, area, diameter, length, and bone
quality), intervention variables (expansion mechanisms, sinus augmentation
techniques, bone regeneration, and implant insertion), and postoperative
variables (presence of pain/inflammation at 1 week postsurgery) were studied. A
multilevel logistic regression model (mixed effects-type model) was used to
determine the influence of variables on early implant failure. RESULTS: Early
implant failure was significantly associated with the male sex (P=.001), severe
periodontal disease (P=.005), short implants (P=.001), expansion technique
(P=.002), and postoperative pain/inflammation at 1 week postsurgery (P<.001).
CONCLUSIONS: Early dental implant failure is more frequent in men and in
individuals with severe periodontal disease, short implants, pain/inflammation at
1 week postsurgery, or bone expansion treatment.
PMID- 26545865
TI - Aging Successfully: The Key to Aging in Place.
PMID- 26545866
TI - Up-regulation of CYLD enhances Listeria monocytogenes induced apoptosis in THP-1
cells.
AB - Listeria monocytogenes (Lm), a facultative anaerobic gram-positive bacterium,
causes listeriosis. Immune cell apoptosis is considered to be one pathogenic
factor for listeriosis. As a deubiquitinase, CYLD is an important regulator both
in innate immune response and apoptosis by negatively modulating NF-kappaB
pathway. However the role of CYLD in Lm induced apoptosis remains unclear. Here
we found that CYLD is significantly up-regulated in macrophages upon its
infection. There is a moderate decrease in Lm proliferation and apoptotic cells
in siRNA-induced CYLD knockdown THP-1 cells. Thereby CYLD may be involved in cell
apoptosis mediated by Lm infection and its proliferation.
PMID- 26545867
TI - Surgical resection of unilateral thalamic tumors in adults: approaches and
outcomes.
AB - BACKGROUND: The thalamic tumors were less common in adults and this study aimed
to determine the clinical features, surgical approaches, and outcomes of adult
thalamic tumors, which have not been well-described in the literature. METHODS:
We reviewed the clinical presentation, surgical approach, perioperative mortality
and morbidity, and outcomes of 111 operated patients (71 males, 40 females; mean
age at presentation, 33.4 +/- 13.2 years) with unilateral thalamic tumor.
RESULTS: The most common clinical presentations were increased intracranial
pressure (65%) and motor deficits (40%). Five surgical approaches were used
depending on tumor location; the most common was the transparieto-occipital
approach (47.7%). According to peri- and post-operative magnetic resonance
imaging findings, the tumors were totally resected in 29 cases (26.1%),
subtotally resected in 54 cases (48.6%), and partially resected in 21 cases
(18.9%). Five patients died during the perioperative period (4.5%, 5/111). The
most common morbidity was motor deficits (21.7%, 23/106). According to
histological findings, there were 50 high-grade and 61 low-grade tumors. Median
survival of patients with low- and high-grade tumors were 40 and 12 months,
respectively (mean follow-up, 37.3 months). Survival was significantly longer in
cases of total or subtotal resection (median, 28 months) compared to partial
resection or biopsy (median, 12 months). Survival was poorer in adults than in
previous reported pediatrics. CONCLUSIONS: Surgical treatment of adult thalamic
tumors must be individualized according to tumor location. Low-grade tumors and
total/subtotal resection seem to be predictors of better surgical outcomes.
Nevertheless, the outcome of adult patients were still worse than pediatric
patients.
PMID- 26545868
TI - Willis-Ekbom disease is not associated with poor cardiovascular health in adults.
AB - BACKGROUND: Willis-Ekbom disease (WED), also called restless legs syndrome (RLS),
is a neurologic sensorimotor disease that may be associated with cardiovascular
disease. Given high morbidity and mortality rates of cardiovascular disease
worldwide, we assessed the relation between WED/RLS and cardiovascular health
risks in a native South American population. We prospectively analyzed data from
The Atahualpa Project of Ecuadorian adults aged 40 years and older. Physicians
interviewed consented persons on the health behavior and health factors of the
American Heart Association (AHA) for ideal cardiovascular health in adults and
underwent fasting laboratory blood collection and blood pressure evaluation.
Certified neurologists conducted face-to-face interviews using the International
Restless Legs Syndrome Study Group (IRLSSG) field instrument. Persons testing
positive for WED/RLS and age-and sex-matched controls underwent confirmatory
physical examinations conducted by a neurologist and a sleep specialist to whom
IRLSSG designation was blinded. FINDINGS: Of 665 persons, 94 (14 %) tested
positive in IRLSSG; 40 (6 %) had a diagnosis of WED/RLS after neurologic
examination and interview. Patients with WED/RLS were younger (53.5 vs 59.9
years, P = .001), without significant differences in sex ratios. Among AHA risk
factors, only obesity was significantly more prevalent among patients with
WED/RLS (42.5 % vs 23.5 %, P = .01). However, after adjustment for confounders,
body mass index was not significantly associated with WED/RLS. CONCLUSIONS: In
adult Amerindians, although obesity and body mass index were associated with
WED/RLS on univariate analyses, the association was not present after adjustment
for confounders. No other significant associations were found between WED/RLS and
AHA cardiovascular metrics.
PMID- 26545869
TI - A picture of trends in Aujeszky's disease virus exposure in wild boar in the
Swiss and European contexts.
AB - BACKGROUND: In parallel to the increase of wild boar abundance in the past
decades, an increase of exposure to the Aujeszky's disease virus (ADV) has been
reported in wild boar in several parts of Europe. Since high animal densities
have been proposed to be one of the major factors influencing ADV seroprevalence
in wild boar populations and wild boar abundance has increased in Switzerland,
too, a re-evaluation of the ADV status was required in wild boar in Switzerland.
We tested wild boar sera collected from 2008-2013 with a commercial ELISA for
antibodies against ADV. To set our data in the European context, we reviewed
scientific publications on ADV serosurveys in Europe for two time periods (1995
2007 and 2008-2014). RESULTS: Seven out of 1,228 wild boar sera were positive for
antibodies against ADV, resulting in an estimated seroprevalence of 0.57% (95%
confidence interval CI: 0.32-0.96%). This is significantly lower than the
prevalence of a previous survey in 2004-2005. The literature review revealed that
high to very high ADV seroprevalences are reported from Mediterranean and Central
eastern countries. By contrast, an "island" of low to medium seroprevalences is
observed in the centre of Europe with few isolated foci of high seroprevalences.
We were unable to identify a general temporal trend of ADV seroprevalence at
European scale. CONCLUSIONS: The seroprevalence of ADV in wild boar in
Switzerland belongs among the lowest documented in Europe. Considering the
disparity of seroprevalences in wild boar in Europe, the fact that
seroprevalences in Switzerland and other countries have decreased despite
increasing wild boar densities and the knowledge that stress leads to the
reactivation of latent ADV with subsequent excretion and transmission, we
hypothesize that not only animal density but a range of factors leading to stress
- such as management - might play a crucial role in the dynamics of ADV
infections.
PMID- 26545870
TI - Retrospective evaluation of prehospital triage, presentation, interventions and
outcome in paediatric drowning managed by a physician staffed helicopter
emergency medical service.
AB - BACKGROUND: Drowning patients may benefit from the advanced airway management
capabilities that can be provided by physician staffed helicopter emergency
medical services. The aim of this study is to describe paediatric drowning
patients treated by such a service examining tasking systems, initial physiology
at the incident scene, survival and neurological outcome. METHODS: Retrospective
analysis of paediatric drowning victims over a 5- year period. Case
identification system, patient age, site of drowning, presence or absence of
cardiac output, first Glasgow Coma Scale (GCS) score and interventions were
collected from prehospital notes, and survival and neurological outcomes from
hospital and rehabilitation notes. RESULTS: The P-HEMS direct case identification
system operating in parallel with a central control system identified all severe
drowning cases but 3 of 7 cases (43%) were missed when the central control system
operated in isolation. All severe drowning cases (22) identified for P-HEMS
response were intubated and transported directly to a paediatric specialist
centre. Intubation required adjuvant anaesthesia in 10 (45%) cases. All children
with GCS greater than eight on arrival of the P-HEMS survived neurologically
intact. Seven of eight children with a GCS between four and seven survived
without neurological impairment and all children with a GCS greater than three
survived. Four of twelve asystolic children survived including one child who at
18 months post drowning is neurologically normal. All children who survived had
return of spontaneous circulation prior to arrival in the emergency department.
CONCLUSIONS: P-HEMS played a significant role in the management of severe
paediatric drowning in this case series. Requirement for P-HEMS only
interventions were high and all identified cases were transferred directly to a
paediatric specialist centre. Discontinuation of the P-HEMS direct case
identication system that operated during the majority of the study period
resulted in deterioration in system performance with some paediatric drowning
cases subsequently not identified for P-HEMS response being transported to adult
hospitals.
PMID- 26545871
TI - Toxicity profile and early clinical outcome for advanced head and neck cancer
patients treated with simultaneous integrated boost and volumetric modulated arc
therapy.
AB - BACKGROUND: Shortening the overall treatment time without increasing acute
reactions is one of the major aims in radiotherapy for head and neck cancer
(HNC). Volumetric modulated arc therapy (VMAT) with Simultaneous Integrated Boost
(SIB) showed improvements in outcome and pattern of toxicity. Patients with stage
III-IV HNC treated with VMAT-SIB have been analysed, and doses were correlated to
limiting structures and toxicity. METHODS: One hundred two patients treated from
December 2008 to August 2014 were analysed. Patients were treated with VMAT
(RapidArc) and SIB in 33 fractions for a total dose of 69.96 and 54.45Gy,
respectively. For organs at risk, D1/3 V, D1/2 V, D2/3 V, the mean dose, VD with
D = 10,20,30,40,50,70 Gy were analysed. For targets, D98%, D2%, and V95%, V107%,
conformity and homogeneity indexes were calculated. Toxicity was graded according
to CTCAE3. RESULTS: Oral cavity V30Gy, V40Gy, and V70Gy, were found correlated
with mucosal toxicity grading. Concerning salivary glands, significant was only
D2/3V for one of the two parotids. Almost all analysed parameters of the inferior
constrictor muscle were significant while no correlations were found for middle
and superior constrictors. With median follow-up of 19 months, Overall Survival
(OS) at 3 and 5 years was 83 % +/- 4 % and 73 % +/- 10 %. Mean OS was 51 +/- 3
months. Disease Free Survival (DFS) at 3 and 5 years was 71 % +/- 7 %, and 34 %
+/- 16 %. Mean DFS was 43 +/- 3 months. CONCLUSIONS: RapidArc technology and SIB
with 1.65 and 2.12Gy/fraction for 33 fractions showed a good toxicity profile and
encouraging trend for OS and DFS for patients with stage III-IV HNC.
PMID- 26545872
TI - Antifibrotic properties of receptor for advanced glycation end products in
idiopathic pulmonary fibrosis.
AB - Idiopathic pulmonary fibrosis (IPF) is a progressive chronic interstitial lung
disease with poor survival. Previous reports suggested the contributory effect of
receptor for advanced glycation end products (RAGE) to the pathogenesis of IPF.
But the findings are controversial. The present in vivo study with RAGE null
mice, we further confirmed the evidence that lack of RAGE evolves worse bleomycin
induced pulmonary fibrosis compared with control mice. Moreover, RAGE null mice
spontaneously developed similar pathogenesis of lung fibrosis via
immunohistochemical staining. In addition, we investigated the negative roles of
RAGE on epithelial-mesenchymal transition (EMT) indicated by elevated alpha
smooth muscle actin (alpha-SMA) and collagen-I (Col-I) deposition in A549 cell
treated with transforming growth factor-beta (TGF-beta), all of which were
blocked by sRAGE, a decoy receptor. Furthermore, interacting with the specific
ligand as AGE, RAGE blocked TGF-beta-induced activation of Smad2, ERK and JNK
signals in A549 cells, which were also challenged by sRAGE administration. This
present study confirmed an important role of RAGE in vivo and vitro models of
pulmonary fibrosis and suggested the therapeutic possibility for pulmonary
fibrosis via RAGE regulation.
PMID- 26545873
TI - Inter- and intrasubject variability of the inflammatory response to segmental
endotoxin challenge in healthy volunteers.
AB - Segmental endotoxin challenge with lipopolysaccharide (LPS) can be used as a
pharmacodynamic model to safely induce a transient airway inflammation in the
peripheral lung of healthy subjects and to test the anti-inflammatory efficacy of
investigational new drugs. In contrast to whole lung LPS challenge only a
fraction of the dose is required that can be precisely administered to a specific
lung region and a vehicle challenged segment as an intra-subject control can be
included. The aim of this study was to assess the intra- and inter-individual
variability of the response to segmental LPS challenge for the appropriate design
and power calculation of future clinical trials. Two cohorts with 10 subjects
each underwent two segmental LPS challenges within five weeks. The inflammatory
response was evaluated in bronchoalveolar lavage (BAL) fluid at 6 (cohort 1) and
24 h (cohort 2) both in the LPS and in a vehicle challenged segment, as well as
in plasma for up to 26 h post LPS challenge. While the cytokine response was more
pronounced at 6 h, the influx of neutrophils and monocytes dominated at 24 h;
e.g. neutrophils increased from a median (inter-quartile range, IQR) of 0.14
(0.16) and 0.09 (0.08)x10(4) cells/mL BAL fluid at baseline to 10.2 (17.1) and
19.3 (15.9)x10(4) cells/mL 24 h after the two separate challenges. The within
subject variability was higher than the between-subject variability for most of
the markers. However, sample size estimations based on the variability of outcome
variables found lower or equal numbers with cross-over designs compared to
parallel group designs for cellular markers at 24 h and cytokine variables at 6
h. The segmental LPS challenge model was safe. Future study designs have to
balance between burden to the study subjects (4 versus 2 bronchoscopies),
variability (within-versus between-subject), and the desired outcome variable
(cells versus chemo/cytokine).
PMID- 26545874
TI - Cough in interstitial lung disease.
AB - Cough in the context of interstitial lung disease (ILD) has not been the focus of
many studies. However, chronic cough has a major impact on quality of life in a
significant proportion of patients with ILD. For the purpose of this review, we
have chosen to highlight some of the more frequently encountered diffuse lung
diseases including idiopathic pulmonary fibrosis, sarcoidosis, hypersensitivity
pneumonitis and systemic sclerosis associated ILD. Many of the underlying
mechanisms remain speculative and further research is now required to elucidate
the complex pathways involved in the pathogenesis of chronic cough in ILD. This
will hopefully pave the way for the identification of new therapeutic agents to
alleviate this distressing and often intractable symptom.
PMID- 26545875
TI - Characterization of the murine macrophage response to infection with virulent and
avirulent Burkholderia species.
AB - BACKGROUND: Burkholderia pseudomallei (Bp) and Burkholderia mallei (Bm) are Gram
negative facultative intracellular pathogens, which are the causative agents of
melioidosis and glanders, respectively. Depending on the route of exposure,
aerosol or transcutaneous, infection by Bp or Bm can result in an extensive range
of disease - from acute to chronic, relapsing illness to fatal septicemia. Both
diseases are associated with difficult diagnosis and high fatality rates. About
ninety five percent of patients succumb to untreated septicemic infections and
the fatality rate is 50 % even when standard antibiotic treatments are
administered. RESULTS: The goal of this study is to profile murine macrophage
mediated phenotypic and molecular responses that are characteristic to a
collection of Bp, Bm, Burkholderia thailandensis (Bt) and Burkholderia
oklahomensis (Bo) strains obtained from humans, animals, environment and
geographically diverse locations. Burkholderia spp. (N = 21) were able to invade
and replicate in macrophages, albeit to varying degrees. All Bp (N = 9) and four
Bm strains were able to induce actin polymerization on the bacterial surface
following infection. Several Bp and Bm strains showed reduced ability to induce
multinucleated giant cell (MNGC) formation, while Bo and Bp 776 were unable to
induce this phenotype. Measurement of host cytokine responses revealed a
statistically significant Bm mediated IL-6 and IL-10 production compared to Bp
strains. Hierarchical clustering of transcriptional data from 84 mouse cytokines,
chemokines and their corresponding receptors identified 29 host genes as
indicators of differential responses between the Burkholderia spp. Further
validation confirmed Bm mediated Il-1b, Il-10, Tnfrsf1b and Il-36a mRNA
expressions were significantly higher when compared to Bp and Bt. CONCLUSIONS:
These results characterize the phenotypic and immunological differences in the
host innate response to pathogenic and avirulent Burkholderia strains and provide
insight into the phenotypic alterations and molecular targets underlying host
Burkholderia interactions.
PMID- 26545876
TI - Congenital hyperinsulinism in children with paternal 11p uniparental isodisomy
and Beckwith-Wiedemann syndrome.
AB - BACKGROUND: Congenital hyperinsulinism (HI) can have monogenic or syndromic
causes. Although HI has long been recognised to be common in children with
Beckwith-Wiedemann syndrome (BWS), the underlying mechanism is not known.
METHODS: We characterised the clinical features of children with both HI and
BWS/11p overgrowth spectrum, evaluated the contribution of KATP channel mutations
to the molecular pathogenesis of their HI and assessed molecular pathogenesis
associated with features of BWS. RESULTS: We identified 28 children with HI and
BWS/11p overgrowth from 1997 to 2014. Mosaic paternal uniparental isodisomy for
chromosome 11p (pUPD11p) was noted in 26/28 cases. Most were refractory to
diazoxide treatment and half required subtotal pancreatectomies. Patients
displayed a wide range of clinical features from classical BWS to only mild
hemihypertrophy (11p overgrowth spectrum). Four of the cases had a paternally
transmitted KATP mutation and had a much more severe HI course than patients with
pUPD11p alone. CONCLUSIONS: We found that patients with pUPD11p-associated HI
have a persistent and severe HI phenotype compared with transient hypoglycaemia
of BWS/11p overgrowth patients caused by other aetiologies. Testing for pUPD11p
should be considered in all patients with persistent congenital HI, especially
for those without an identified HI gene mutation.
PMID- 26545877
TI - UNC80 mutation causes a syndrome of hypotonia, severe intellectual disability,
dyskinesia and dysmorphism, similar to that caused by mutations in its
interacting cation channel NALCN.
AB - BACKGROUND: A syndrome of profound hypotonia, intellectual disability,
intrauterine growth retardation with subsequent failure to thrive, dyskinesia and
epilepsy was diagnosed in Bedouin Israeli families. Mild dysmorphism was evident:
plagiocephaly, broad forehead with prominent nose, smooth philtrum and congenital
esotropia. We set out to decipher the molecular basis of this syndrome. METHODS:
Genome-wide linkage analysis and fine mapping were done. Whole exome sequencing
data were filtered for candidate variants within locus. Validation and
segregation of the mutation was assayed via Sanger sequencing. UNC80 expression
pattern was analysed through reverse transcription PCR. RESULTS: Homozygosity
mapping followed by fine mapping identified a 7.5 Mb disease-associated locus
(logarithm of odds score 3.5) on chromosome 2. Whole exome and Sanger sequencing
identified a single homozygous nonsense mutation within this locus, segregating
within the families as expected for recessive heredity and not found in a
homozygous state in 150 Bedouin controls: c.151C>T, p.(R51*) in UNC80.
CONCLUSIONS: The syndrome described is caused by a mutation in UNC80, truncating
most of the 3258 amino acids highly conserved encoded protein, that has no known
motifs. UNC80 bridges between UNC79 and the cation channel NALCN, enabling
NALCN's role in basal Na(+) leak conductance in neurons, essential for neuronal
function. The phenotype caused by the UNC80 mutation resembles that previously
described for homozygous NALCN mutations.
PMID- 26545878
TI - Leukoencephalopathy and early death associated with an Ashkenazi-Jewish founder
mutation in the Hikeshi gene.
AB - BACKGROUND: Leukodystrophies are genetic white matter disorders affecting the
formation or maintenance of myelin. Among the recently discovered genetic defects
associated with leukodystrophies, several genes converge on a common mechanism
involving protein transcription/translation and ER stress response. METHODS: The
genetic basis of a novel congenital leukodystrophy, associated with early onset
spastic paraparesis, acquired microcephaly and optic atrophy was studied in six
patients from three unrelated Ashkenazi-Jewish families. To this end we used
homozygosity mapping, exome analysis, western blot (Hikeshi, HSF1-pS326 and b
actin) in patient fibroblasts, indirect immunofluorescence (HSP70 and HSF1) in
patient fibroblasts undergoing heat shock stress, nuclear injection of plasmids
expressing Hikeshi or EGFP in patient fibroblasts, in situ hybridization and
Immunoblot analysis of Hikeshi in newborn and adult mouse brain. RESULTS: All the
patients were homozygous for a missense mutation, p.Val54Leu, in C11ORF73
encoding HSP70 nuclear transporter protein, Hikeshi. The mutation segregated with
the disease in the families and was carried by 1:200 Ashkenazi-Jewish
individuals. The mutation was associated with undetectable level of Hikeshi in
the patients' fibroblasts and with lack of nuclear HSP70 during heat shock
stress, a phenomenon which was reversed upon the introduction of normal human
Hikeshi to the patients cells. Hikeshi was found to be expressed in central white
matter of mouse brain. CONCLUSIONS: These data underscore the importance of
Hikeshi for HSP70 relocation into the nucleus. It is likely that in the absence
of Hikeshi, HSP70 cannot attenuate the multiple heat shock induced nuclear
phenotypes, leaving the cells unprotected during heat shock stress. We speculate
that the sudden death of three of the six patients following a short febrile
illness and the life-threatening myo-pericarditis in the fourth are the result of
excess extra-nuclear HSP70 level which initiates cytokine release or provide
target for natural killer cells. Alternatively, nuclear HSP70 might play an
active role in stressed cells protection.
PMID- 26545879
TI - Enhancement by Uridine Diphosphate of Macrophage Inflammatory Protein-1 Alpha
Production in Microglia Derived from Sandhoff Disease Model Mice.
AB - Sandhoff disease (SD) is a lysosomal beta-hexosaminidase (Hex) deficiency
involving excessive accumulation of undegraded substrates, including GM2
ganglioside, and progressive neurodegeneration. Macrophage inflammatory protein
1alpha (MIP-1alpha) is a crucial factor for microglia-mediated neuroinflammation
in the onset or progression of SD. However, the transmitter-mediated production
of MIP-1alpha in SD is still poorly understood.Extracellular nucleotides,
including uridine diphosphate (UDP), leaked by either injured or damaged neuronal
cells activate microglia to trigger chemotaxis, phagocytosis, macropinocytosis,
and cytokine production.In this study, we demonstrated that UDP enhanced the
production of MIP-1alpha by microglia derived from SD mice (SD-Mg), but not that
from wild-type mice (WT-Mg). The UDP-induced MIP-1alpha production was mediated
by the activation of P2Y6 receptor, ERK, and JNK. We also found the amount of
dimeric P2Y6 receptor protein to have increased in SD-Mg in comparison to WT-Mg.
In addition, we demonstrated that the disruption of lipid rafts enhanced the
effect of UDP on MIP-1alpha production and the disordered maintenance of the
lipid rafts in SD-Mg. Thus, the accumulation of undegraded substrates might cause
the enhanced effect of UDP in SD-Mg through the increased expression of the
dimeric P2Y6 receptors and the disordered maintenance of the lipid rafts. These
findings provide new insights into the pathogenic mechanism and therapeutic
strategies for SD.
PMID- 26545880
TI - Neuropsychological Development in Patients with Long-Chain 3-Hydroxyacyl-CoA
Dehydrogenase (LCHAD) Deficiency.
AB - BACKGROUND: Reports on cognitive outcomes in long-chain 3-hydroxyacyl-CoA
dehydrogenase deficiency (LCHADD) are scarce. We present results from
neuropsychological assessments of eight patients diagnosed with LCHADD prior to
newborn screening with regard to clinical disease severity. METHODS: Intellectual
ability and adaptive and executive functions were assessed using age-appropriate
Wechsler Scales, Adaptive Behavior Assessment Scales (ABAS), and Behavior Rating
Inventory of Executive Function (BRIEF). RESULTS: Five patients performed in the
normal range on IQ tests but with lower scores on verbal working memory. In
addition, they had lower parent-rated adaptive and executive functions.Three
patients had intellectual disabilities with IQs below normal and/or autism
spectrum disorders. In addition, they had low results on parent-rated adaptive
functions. (Two of these patients had epilepsy.) Conclusions: Patients with
LCHADD seem to have a specific cognitive pattern, with presentation as
intellectual disability and specific autistic deficiencies or a normal IQ with
weaknesses in auditive verbal memory and adaptive and executive functions. Future
studies are warranted to investigate whether newborn screening programs and early
treatment may promote improved neuropsychological development and outcomes.
PMID- 26545881
TI - Clinical significance of the anterosuperior lymph nodes along the common hepatic
artery identified by sentinel node mapping in patients with gastric cancer.
AB - BACKGROUND: The sentinel node (SN) concept is safely applied and validated in
early gastric cancer. Gastric lymph nodes are divided into five basins with the
main gastric arteries, and the anterosuperior lymph nodes with the common hepatic
artery (No. 8a) are classified in the right gastric artery (r-GA) basin. Although
No. 8a are considered to have lymphatic flow from the r-GA basin, there might be
additional multiple lymphatic flows into No. 8a. The aim of this study is to
analyze the lymphatic flows to No. 8a and to investigate the clinical
significance of No. 8a as a sentinel node (SN No. 8a). METHODS: Four hundred and
twenty-nine patients with cT1N0 or cT2N0 gastric cancer underwent SN mapping. We
used technetium-99 tin colloid solution and blue dye as a tracer. RESULTS: We
detected SN No. 8a in 35 (8.2 %) patients. In these patients, we detected SN No.
8a with SNs that belonged to the left gastric artery (l-GA) basin (66 %), right
gastroepiploic artery (r-GEA) basin (54 %), and right gastric artery (r-GA) basin
(46 %). In addition, celiac artery lymph nodes were detected as SNs significantly
more frequently. Function-preserving surgery was performed significantly less
often in patients with SN No. 8a (p =0.018). CONCLUSIONS: We found that SN No. 8a
seemed to have lymphatic flow not only from the r-GA basin, but also from the l
GA basin or r-GEA basin. When SN No. 8a are detected, we should be careful to
perform function-preserving surgery, even in SN-negative cases.
PMID- 26545884
TI - Whole-body MRI in generalized cystic lymphangiomatosis in the pediatric
population: diagnosis, differential diagnoses, and follow-up.
AB - OBJECTIVE: Generalized cystic lymphangiomatosis is a particularly rare disease
with variable involvement of skeletal and extraskeletal sites. The key role of
imaging in the diagnosis of this disease is no longer in doubt. The aim of our
study was to demonstrate the contribution of whole-body magnetic resonance
imaging (WB-MRI) at the diagnostic stage and during the follow-up in the
pediatric population. SUBJECTS AND METHODS: Three children were included from
2008. The inclusion criteria were radiological images (conventional radiographs,
computed tomography, and MRI) compatible with histological confirmation. Each
child included received WB-MRI at the diagnosis stage and during follow-up.
RESULTS: WB-MRI detected multiple hypointense T1 and hyperintense T2-STIR cystic
bony lesions. One unnoticed mass in the retroperitoneum on computed tomography
was easily detected by MRI. Mediastinal involvement was observed in one
asymptomatic case. Histology was contributory in all cases. Preventive
intramedullary nailings was done in one case. Follow-up WB-MRI detected new
asymptomatic lesions in all cases. One child presented a third episode of
lymphangitis of the right thigh during follow-up. CONCLUSIONS: Due to its high
sensitivity to diagnose, ability to eliminate differential diagnoses and make
exhaustive lesions assessment, and its non-radiating character for long-term
follow-up, WB-MRI is highly recommended for generalized cystic lymphangiomatosis
in the pediatric population.
PMID- 26545885
TI - Alleviating effects of exogenous NO on tomato seedlings under combined Cu and Cd
stress.
AB - To investigate the effect of NO on the different origin and regulation of
oxidative stress of Cu and/or Cd, tomato seedlings were treated with Cu, Cd, or
Cu + Cd in a nutrient solution culture system. The main effect of Cu(2+) was a
significant reduction in root activity and nitrate reductase (NR) activity, which
was similar to that under 50 MUM Cd treatment, but promoted Cu accumulation. The
supply of Cu under Cd treatment decreased Cd concentration, while not altered Cu
concentration by contrast with Cu treatment, which is suggestive of a replacement
of Cu(2+) with Cd(2+) and effective decrease in the boiotoxicity of 50 MUM Cd(2+)
to tomato seedlings. However, NO alleviated the restriction to NR activity
significantly and made the biomass of tomato seedlings recover under Cd
treatment, and also increased root activity under Cu and Cu + Cd treatment.
Exogenous NO markedly reduced the absorption and transportation of Cu but did not
obviously change the translocation of Cd to the aboveground parts under Cu + Cd
treatment. Both metals induced lipid peroxidation via the decreasing activation
of antioxidant enzymes. The antioxidant enzyme system worked differently under
Cu, Cd, or Cu + Cd stress. The activities of peroxidase (POD) and catalase (CAT)
were higher under single Cd stress than under the control. Meanwhile, Cu + Cd
treatment decreased the activities of POD, superoxide dismutase (SOD), and
ascorbic acid peroxidase (APX). Exogenous NO increased POD and SOD activities in
the leaves and roots, and CAT activity in the roots under combined Cu and Cd
stress. These results suggest that a different response and regulation mechanism
that involves exogenous NO is present in tomato seedlings under Cu and Cd stress.
PMID- 26545886
TI - PCDDs, PCDFs, and PCBs co-occurrence in TiO2 nanoparticles.
AB - In the present study, we report on the co-occurrence of persistent organic
pollutants (POPs) adsorbed on nanoparticular titanium dioxide (TiO2). We report
on the finding of polychlorinated dibenzodioxins (PCDDs), polychlorinated
dibenzofurans (PCDFs), and polychlorinated biphenyls (PCBs) on the surface of
commercially available TiO2 nanoparticles, being formed during the fabrication
process of the TiO2. Thereby, the samples comprise PCBs with higher congener
numbers or, in the absence of PCBs, a high concentration of PCDDs and PCDFs. This
new class of POPs on an active catalytic surface and the great range of
applications of nanoparticular TiO2, such as in color pigments, cosmetics, and
inks, give rise to great concern due to their potential toxicity.
PMID- 26545887
TI - Surface capping and size-dependent toxicity of gold nanoparticles on different
trophic levels.
AB - In the present study, the toxicity of gold nanoparticles (Au NPs) was evaluated
on various trophic organisms. Bacteria, algae, cell line, and mice were used as
models representing different trophic levels. Two different sizes (CIT30 and
CIT40) and surface-capped (CIT30-polyvinyl pyrrolidone (PVP)-capped) Au NPs were
selected. CIT30 Au NP aggregated more rapidly than CIT40 Au NP, while an
additional capping of PVP (CIT30-PVP capped Au NP) was found to enhance its
stability in sterile lake water medium. Interestingly, all the forms of NPs
evaluated were stable in the cell culture medium during the exposure period. Size
and dose-dependent cytotoxicities were observed in both bacteria and algae, with
a strong dependence on reactive oxygen species (ROS) generation and lactate
dehydrogenase (LDH) release. CIT30-PVP capped Au NP showed a significant decrease
in toxicity compared to CIT30 Au NP in bacteria and algae. In the SiHa cell line,
dose- and exposure-dependent decline in cell viability were noted for all three
types of Au NPs. In mice, the induction of DNA damage was size and dose
dependent, and surface functionalization with PVP reduced the toxic effects of
CIT30 Au NP. The exposure to CIT30, CIT40, and CIT30-PVP capped Au NPs caused an
alteration of the oxidative stress-related endpoints in mice hepatocytes. The
toxic effects of the gold nanoparticles were found to vary in diverse test
systems, accentuating the importance of size and surface functionalization at
different trophic levels.
PMID- 26545888
TI - Histopathological and genotoxic effects of chlorpyrifos in rats.
AB - This study aims to investigate the effects of chlorpyrifos's sub-acute exposure
on male rats. Two groups with six animals each were orally treated, respectively,
with 3.1 mg/kg b w and 6.2 mg/kg b w of chlorpyrifos during 4 weeks. The
genotoxic effect of chlopyrifos was investigated using the comet assay and the
micronucleus test. Some hematological and liver's histopathological changes were
also evaluated. Results revealed that chlorpyrifos induced histopathological
alterations in liver parenchyma. The lymphoid infiltration observed in liver
sections and the increase in white blood cells parameter are signs of
inflammation. A significant increase in the platelet' count and in polychromatic
erythrocytes/normochromatic erythrocytes (PCE/NCE) ratio was observed in
chlorpyrifos-treated groups which could be due to the stimulatory effect of
chlorpyrifos on cell formation in the bone marrow at lower doses. In addition,
the increase of bone marrow micronucleus percentage and the comet tail length
revealed a genotoxic potential of chlorpyrifos in vivo.
PMID- 26545889
TI - Effect of tourmaline on denitrification characteristics of hydrogenotrophic
bacteria.
AB - To improve the denitrification characteristics of anaerobic denitrifying bacteria
and obviate the disadvantage of use of explosive hydrogen gas, tourmaline, a
polar mineral, was added to the hydrogenotrophic denitrification system in this
study. Microbial reduction of nitrate in the presence of tourmaline was evaluated
to assess the promotion effect of tourmaline on nitrate biodegradation. The
experiment results demonstrated that tourmaline speeded up the cultivation
process of bacteria from 65 to 36 days. After domestication of the bacteria,
nitrate (50 mg NO3 (-)-N L(-1)) was completely removed within 3 days in the
combined tourmaline-bacteria system, and the generated nitrite was also removed
within 8 days. The reduction rate in this system is higher relative to that in
the bacteria system alone. Efficient removal of nitrate by tourmaline-supported
anaerobic bacteria (without external hydrogen input) indicated that tourmaline
might act as the sole hydrogen donor to sustain autotrophic denitrification.
Besides the production of hydrogen, the promoted activity of anaerobic
denitrifying bacteria might be caused by the change of water properties, e.g.,
the pH of aqueous solutions was altered to about 8.0 and the oxidation-reduction
potential decreased by 62 % in the tourmaline system. The distinctive effects of
tourmaline on bacteria were related to its electric properties.
PMID- 26545890
TI - Study of the degradation of butyltin compounds in surface water samples under
different storage conditions using multiple isotope tracers and GC-MS/MS.
AB - The degradation of butyltin compounds in surface water samples under different
storage conditions has been studied. A triple spike solution, containing
monobutyltin (MBT), dibutyltin (DBT) and tributyltin (TBT) labelled with a
different tin isotope, was added to the sample to calculate the extent of the
interconversion reactions among butyltin compounds. Real surface water samples
(river water) were collected and stored in glass, polypropylene or
polytetrafluoroethylene (PTFE) containers. The presence of light, addition of
acetic acid, storage temperature (22, 4 or -18 degrees C), and the influence of
a filtration step were evaluated. Moreover, Milli-Q water with and without the
addition of a high concentration of humic acids was prepared in parallel and the
results compared to those obtained from the real samples. The water samples were
analysed by gas chromatography-tandem mass spectrometry (GC-MS/MS) in selected
reaction monitoring (SRM) mode at two different storage times (2 weeks and 4
months after its preparation) to carry out both a short- and a long-term
stability study. The lowest butyltin degradation was obtained when the samples
were stored at -18 degrees C in the dark. Under these conditions, both TBT and
DBT showed negligible dealkylation factors after 2 weeks. After 4 months, DBT
dealkylation to MBT increased up to 19 % but TBT degradation was not observed.
PMID- 26545891
TI - Effectiveness of rabbit manure biofertilizer in barley crop yield.
AB - The quality of biofertilizers is usually assessed only in terms of the amount of
nutrients that they supply to the crops and their lack of viable pathogens and
phytotoxicity. The goal of this study was to determine the effectiveness of a
liquid biofertilizer obtained from rabbit manure in terms of presence of
pathogens, phytotoxicity, and its effect on the grain yield and other agronomic
traits of barley (Hordeum vulgare L.). Environmental effects of the biofertilizer
were also evaluated by following its influence on selected soil parameters. We
applied the biofertilizer at five combinations of doses and timings each and in
two application modes (foliar or direct soil application) within a randomized
complete block design with three replicates and using a chemical fertilizer as
control. The agronomic traits evaluated were plant height, root length, dry
weight, and number of leaves and stems at three growth stages: tillering,
jointing, and flowering. The effectiveness of the biofertilizer was significantly
modified by the mode of application, the growth stage of the crop, and the dose
of biofertilizer applied. The results showed that the foliar application of the
biofertilizer at the tillering stage produced the highest increase in grain yield
(59.7 %, p < 0.10). The use of the biofertilizer caused significant changes in
soil, particularly concerning pH, EC, Ca, Zn, Mg, and Mn. It is our view that the
production and use of biofertilizers are a reliable alternative to deal with a
solid waste problem while food security is increased.
PMID- 26545892
TI - Analysis of point source pollution and water environmental quality variation
trends in the Nansi Lake basin from 2002 to 2012.
AB - Based on the data analysis of the water environmental quality and economic
development from 2002 to 2012 in the Nansi Lake basin, the correlation and change
between the water environmental quality and economic development were studied.
Results showed that the GDP and wastewater emissions of point source in the Nansi
Lake basin had an average annual growth of 7.30 and 7.68 %, respectively, from
2002 to 2012. The emissions of chemical oxygen demand (COD) and ammonia nitrogen
(NH3-N) had the average annual decrease of 7.69 and 6.79 % in 2012, respectively,
compared to 2002. Basin water quality overall improved, reaching the Class III of
the "Environmental quality standards for surface water (GB3838-2002)," in which
the main reason was that sewage treatment rate increased gradually and was above
90 % in 2012 (an increase of 10 % compared to 2002) with the progress of
pollution abatement technology and the implementation of relevant policies and
regulations. The contribution of water environmental pollution was analyzed from
related cities (Ji'ning, Zaozhuang, Heze). Results indicated that Ji'ning had the
largest contribution to water pollution of the Nansi Lake basin, and the
pollutant from domestic sources accounted for a higher percentage compared to
industrial sources. The wastewater, COD, and NH3-N mainly came from mining and
washing of coal, manufacture of raw chemical materials and chemical products,
papermaking industry, and food processing industry. According to the water
pollution characteristics of the Nansi Lake basin, the basin pollution treatment
strategy and prevention and treatment system were dissected to provide a
scientific basis for prevention and control of lakeside point source pollution
along the Nansi Lake.
PMID- 26545893
TI - Sustainable remediation of mercury contaminated soils by thermal desorption.
AB - Mercury soil contamination is an important environmental problem that needs the
development of sustainable and efficient decontamination strategies. This work is
focused on the application of a remediation technique that maintains soil
ecological and environmental services to the extent possible as well as search
for alternative sustainable land uses. Controlled thermal desorption using a
solar furnace at pilot scale was applied to different types of soils, stablishing
the temperature necessary to assure the functionality of these soils and avoid
the Hg exchange to the other environmental compartments. Soil mercury content
evolution (total, soluble, and exchangeable) as temperature increases and induced
changes in selected soil quality indicators are studied and assessed. On total
Hg, the temperature at which it is reduced until acceptable levels depends on the
intended soil use and on how restrictive are the regulations. For commercial,
residential, or industrial uses, soil samples should be heated to temperatures
higher than 280 degrees C, at which more than 80 % of the total Hg is released,
reaching the established legal total Hg level and avoiding eventual risks derived
from high available Hg concentrations. For agricultural use or soil natural
preservation, conversely, maintenance of acceptable levels of soil quality limit
heating temperatures, and additional treatments must be considered to reduce
available Hg. Besides total Hg concentration in soils, available Hg should be
considered to make final decisions on remediation treatments and potential future
uses. Graphical Abstract Solar energy use for remediation of soils affected by
mercury.
PMID- 26545894
TI - Embryotoxicity and genotoxicity evaluation of sediments from Yangtze River
estuary using zebrafish (Danio rerio) embryos.
AB - Sediments function both as a sink and a source of pollutants in aquatic
ecosystems and may impose serious effects on benthic organisms and human health.
As one of the largest estuaries in the world, the Yangtze River estuary suffers
from abundant wastewater from the coastal cities. In this study, the zebrafish
(Danio rerio) embryos were employed in the fish embryo test and a comet assay to
evaluate the embryotoxicity and genotoxicity of the sediments from the Yangtze
River estuary, respectively. Results showed that the sediments from the Yangtze
River estuary significantly increased mortality, induced development
abnormalities, and reduced hatching rate and heart rate of zebrafish embryos
after 96 h of exposure. Significant genotoxicity was observed in the samples
relative to the controls. Relatively low-level embryotoxicity and genotoxicity of
sediments were found in the Yangtze River compared with other river systems.
Toxic responses were also discussed in relation to the analyzed organic
contaminants in sediments. More attention should be paid to non-priority
pollutant monitoring in the Yangtze River estuary.
PMID- 26545899
TI - CaMKII inhibition in type II pneumocytes protects from bleomycin-induced
pulmonary fibrosis by preventing Ca2+-dependent apoptosis.
AB - The calcium and calmodulin-dependent kinase II (CaMKII) translates increases in
intracellular Ca(2+) into downstream signaling events. Its function in pulmonary
pathologies remains largely unknown. CaMKII is a well-known mediator of apoptosis
and regulator of endoplasmic reticulum (ER) Ca(2+). ER stress and apoptosis of
type II pneumocytes lead to aberrant tissue repair and progressive collagen
deposition in pulmonary fibrosis. Thus we hypothesized that CaMKII inhibition
alleviates fibrosis in response to bleomycin by attenuating apoptosis and ER
stress of type II pneumocytes. We first established that CaMKII was strongly
expressed in the distal respiratory epithelium, in particular in surfactant
protein-C-positive type II pneumocytes, and activated after bleomycin
instillation. We generated a novel transgenic model of inducible expression of
the CaMKII inhibitor peptide AC3-I limited to type II pneumocytes (Tg SPC-AC3-I).
Tg SPC-AC3-I mice were protected from development of pulmonary fibrosis after
bleomycin exposure compared with wild-type mice. CaMKII inhibition also provided
protection from apoptosis in type II pneumocytes in vitro and in vivo. Moreover,
intracellular Ca(2+) levels and ER stress were increased by bleomycin and
significantly blunted with CaMKII inhibition in vitro. These data demonstrate
that CaMKII inhibition prevents type II pneumocyte apoptosis and development of
pulmonary fibrosis in response to bleomycin. CaMKII inhibition may therefore be a
promising approach to prevent or ameliorate the progression of pulmonary
fibrosis.
PMID- 26545900
TI - Neutrophilic oxidative stress mediates organic dust-induced pulmonary
inflammation and airway hyperresponsiveness.
AB - Airway exposure to organic dust (OD) from swine confinement facilities induces
airway inflammation dominated by neutrophils and airway hyperresponsiveness
(AHR). One important neutrophilic innate defense mechanism is the induction of
oxidative stress. Therefore, we hypothesized that neutrophils exacerbate airway
dysfunction following OD exposure by increasing oxidant burden. BALB/C mice were
given intranasal challenges with OD or PBS (1/day for 3 days). Mice were
untreated or treated with a neutrophil-depleting antibody, anti-Ly6G, or the
antioxidant dimethylthiourea (DMTU) prior to OD exposure. Twenty-four hours after
the final exposure, we measured airway responsiveness in response to methacholine
(MCh) and collected bronchoalveolar lavage fluid to assess pulmonary inflammation
and total antioxidant capacity. Lung tissue was harvested to examine the effect
of OD-induced antioxidant gene expression and the effect of anti-Ly6G or DMTU. OD
exposure induced a dose-dependent increase of airway responsiveness, a
neutrophilic pulmonary inflammation, and secretion of keratinocyte cytokine.
Depletion of neutrophils reduced OD-induced AHR. DMTU prevented pulmonary
inflammation involving macrophages and neutrophils. Neutrophil depletion and DMTU
were highly effective in preventing OD-induced AHR affecting large, conducting
airways and tissue elastance. OD induced an increase in total antioxidant
capacity and mRNA levels of NRF-2-dependent antioxidant genes, effects that are
prevented by administration of DMTU and neutrophil depletion. We conclude that an
increase in oxidative stress and neutrophilia is critical in the induction of OD
induced AHR. Prevention of oxidative stress diminishes neutrophil influx and AHR,
suggesting that mechanisms driving OD-induced AHR may be dependent on neutrophil
mediated oxidant pathways.
PMID- 26545901
TI - N-cadherin coordinates AMP kinase-mediated lung vascular repair.
AB - Injury to the pulmonary circulation compromises endothelial barrier function and
increases lung edema. Resolution of lung damage involves restoring barrier
integrity, a process requiring reestablishment of endothelial cell-cell
adhesions. However, mechanisms underlying repair in lung endothelium are poorly
understood. In pulmonary microvascular endothelium, AMP kinase alpha1
(AMPKalpha1) stimulation enhances recovery of the endothelial barrier after LPS
induced vascular damage. AMPKalpha1 colocalizes to a discrete membrane
compartment with the adhesion protein neuronal cadherin (N-cadherin). This study
sought to determine N-cadherin's role in the repair process. Short-hairpin RNA
against full-length N-cadherin or a C-terminally truncated N-cadherin, designed
to disrupt the cadherin's interactions with intracellular proteins, were
expressed in lung endothelium. Disruption of N-cadherin's intracellular domain
caused translocation of AMPK away from the membrane and attenuated AMPK-mediated
restoration of barrier function in LPS-treated endothelium. AMPK activity
measurements indicated that lower basal AMPK activity in cells expressing the
truncated N-cadherin compared with controls. Moreover, the AMPK stimulator 5
aminoimidazole-4-carboxamide ribonucleotide (AICAR) failed to increase AMPK
activity in cells expressing the modified N-cadherin, indicating uncoupling of a
functional association between AMPK and the cadherin. Isolated lung studies
confirmed a physiologic role for this pathway in vivo. AMPK activation reversed
LPS-induced increase in permeability, whereas N-cadherin inhibition hindered AMPK
mediated repair. Thus N-cadherin coordinates the vascular protective actions of
AMPK through a functional link with the kinase. This study provides insight into
intrinsic repair mechanisms in the lung and supports AMPK stimulation as a
modality for treating vascular disease.
PMID- 26545902
TI - The dual phosphodiesterase 3 and 4 inhibitor RPL554 stimulates CFTR and ciliary
beating in primary cultures of bronchial epithelia.
AB - Cystic fibrosis (CF), a genetic disease caused by mutations in the CFTR gene, is
a life-limiting disease characterized by chronic bacterial airway infection and
severe inflammation. Some CFTR mutants have reduced responsiveness to cAMP/PKA
signaling; hence, pharmacological agents that elevate intracellular cAMP are
potentially useful for the treatment of CF. By inhibiting cAMP breakdown,
phosphodiesterase (PDE) inhibitors stimulate CFTR in vitro and in vivo. Here, we
demonstrate that PDE inhibition by RPL554, a drug that has been shown to cause
bronchodilation in asthma and chronic obstructive pulmonary disease (COPD)
patients, stimulates CFTR-dependent ion secretion across bronchial epithelial
cells isolated from patients carrying the R117H/F508del CF genotype. RPL554
induced CFTR activity was further increased by the potentiator VX-770, suggesting
an additional benefit by the drug combination. RPL554 also increased cilia beat
frequency in primary human bronchial epithelial cells. The results indicate
RPL554 may increase mucociliary clearance through stimulation of CFTR and
increasing ciliary beat frequency and thus could provide a novel therapeutic
option for CF.
PMID- 26545903
TI - Combinations of differentiation markers distinguish subpopulations of alveolar
epithelial cells in adult lung.
AB - Distal lung epithelium is maintained by proliferation of alveolar type II (AT2)
cells and, for some daughter AT2 cells, transdifferentiation into alveolar type I
(AT1) cells. We investigated if subpopulations of alveolar epithelial cells (AEC)
exist that represent various stages in transdifferentiation from AT2 to AT1 cell
phenotypes in normal adult lung and if they can be identified using combinations
of cell-specific markers. Immunofluorescence microscopy showed that, in distal
rat and mouse lungs, ~ 20-30% of NKX2.1(+) (or thyroid transcription factor 1(+))
cells did not colocalize with pro-surfactant protein C (pro-SP-C), a highly
specific AT2 cell marker. In distal rat lung, NKX2.1(+) cells coexpressed either
pro-SP-C or the AT1 cell marker homeodomain only protein x (HOPX). Not all
HOPX(+) cells colocalize with the AT1 cell marker aquaporin 5 (AQP5), and some
AQP5(+) cells were NKX2.1(+). HOPX was expressed earlier than AQP5 during
transdifferentiation in rat AEC primary culture, with robust expression of both
by day 7. We speculate that NKX2.1 and pro-SP-C colocalize in AT2 cells, NKX2.1
and HOPX or AQP5 colocalize in intermediate or transitional cells, and HOPX and
AQP5 are expressed without NKX2.1 in AT1 cells. These findings suggest marked
heterogeneity among cells previously identified as exclusively AT1 or AT2 cells,
implying the presence of subpopulations of intermediate or transitional AEC in
normal adult lung.
PMID- 26545904
TI - BAG3-related myopathy, polyneuropathy and cardiomyopathy with long QT syndrome.
AB - BAG3 belongs to BAG family of molecular chaperone regulators interacting with
HSP70 and anti-apoptotic protein Bcl-2. It is ubiquitously expressed with strong
expression in skeletal and cardiac muscle, and is involved in a panoply of
cellular processes. Mutations in BAG3 and aberrations in its expression cause
fulminant myopathies, presenting with progressive limb and axial muscle weakness,
and respiratory insufficiency and neuropathy. Herein, we report a sporadic case
of a 15-years old girl with symptoms of myopathy, demyelinating polyneuropathy
and asymptomatic long QT syndrome. Genetic testing demonstrated heterozygous
mutation Pro209Leu (c.626C > T) in exon 3 of BAG3 gene causing severe myopathy
and neuropathy, often associated with restrictive cardiomyopathy. We did not find
a mutation in any known LQT syndrome genes. Analysis of muscle biopsy revealed
profound disintegration of Z-discs with extensive accumulation of granular debris
and large inclusions within fibers. We demonstrated profound alterations in BAG3
distribution as the protein localized to long filamentous structures present
across the fibers that were positively stained not only for alpha-actinin but
also for desmin and filamin indicating that those disintegrated Z-disc regions
contained also other sarcomeric proteins. The mutation caused a decrease in the
content of BAG3 and HSP70, and also of alpha-actinin desmin, filamin and fast
myosin heavy chain, confirming its severe effect on the muscle fiber morphology
and thus function. We provide further evidence that BAG3 is associated with Z
disc maintenance, and the Pro209Leu mutation may occur worldwide. We also provide
a summary of cases associated with this mutation reported so far.
PMID- 26545905
TI - Focus on osteo-ligamentous injuries around the ankle.
PMID- 26545908
TI - Effects of the cestode parasite, Cyathocephalus truncatus, on the fecundity and
feeding rate of Gammarus pulex (Crustacea: Amphipoda).
AB - The amphipod Gammarus pulex is an intermediate host to the Cestode fish parasite
Cyathocephalus truncatus. In this study, we investigate effect of parasitism on
female fecundity and feeding rate and compare ours results to those obtained
previously with acanthocephalan parasite. Similar reduction of the female
fecundity was measured, whereas ours results on feeding rate were inconsistent,
with a decrease of feeding rate induced by C. truncatus. The impacts of C.
truncatus on G. pulex and similarities on the host infection effect between
parasites from different phyla are discussed.
PMID- 26545907
TI - Oxidative stress is associated with weight gain in recipients at 12-months
following kidney transplantation.
AB - OBJECTIVE: Weight gain after kidney transplantation (Tx) is considered a risk
factor for poor outcomes. Increased oxidative stress is associated with not only
chronic renal disease and Tx, but also obesity and cardiovascular disease. The
aim of this pilot study was to test whether oxidative stress is related to weight
gain at 12-months after kidney Tx and to obtain preliminary insight into
potential mechanisms involved. DESIGN & METHODS: Recipients (n=33) were
classified into two groups; weight loss and weight gain, based on their weight
changes at 12-months post-transplant. Total antioxidant capacity (TAOC) and lipid
peroxidation (TBARS) were measured to evaluate oxidative stress from plasma at
baseline and 12-months. A secondary data analysis was conducted to identify
potential gene regulation. RESULTS: Seventeen recipients lost (-6.63+/-5.52kg),
and sixteen recipients gained weight (8.94+/-6.18kg). TAOC was significantly
decreased at 12-months compared to baseline for the total group, however, there
was no significant difference between groups at either time point. TBARS was
higher in weight gain group, at both time points, and it was significantly higher
at 12-months (p=0.012). Gene expression profiling analysis showed that 7
transcripts annotated to reactive oxygen species related genes in adipose tissue
were expressed significantly lower in weight gain group at baseline, which might
be a negative feedback mechanism to reduce oxidative stress. CONCLUSION: These
results may indicate that elevated oxidative stress (TBARS) is associated with
weight gain after kidney Tx and that incorporating early clinical prevention
strategies known to decrease oxidative stress could be recommended.
PMID- 26545909
TI - Improvement on the extraction method of RNA in mites and its quality test.
AB - To solve the long-existing difficult problems in extracting RNA and constructing
a complementary DNA (cDNA) library for trace mites, we conducted a further
comparative experiment among three RNA extraction methods (TRIzol method, Omega
method, and Azanno method) based on our previous attempts at the construction of
cDNA library of mites, with Psoroptes cuniculi still used as the experimental
subject. By subsequently decreasing the number of mites, the least number of
mites needed for RNA extraction of each method were found by criteria of
completeness, concentration, and purity of the extracted RNA. Specific primers
were designed according to the allergen Pso c1, Pso c2, and Actin gene sequences
of Psoroptes to test the reliability of cDNA library. The results showed that
Azanno method needed only 10 mites with sensitivity 204 times higher than
previously used TRIzol method and 20 times higher than Omega method; clear RNA
band was detected by agarose gel electrophoresis; and ultraviolet
spectrophotometer determination showed that RNA concentration, 260/280, and
260/230 were in the range of 102 to 166 ng/MUl, 1.83 to 1.99, and 1.49 to 1.72,
respectively. Finally, specific primers detection showed that the amplified
sequences had 98.33, 98.19, and 99.52% identities with those of P. cuniculi or
Psoroptes ovis in GenBank, respectively, indicating that the cDNA library
constructed using 10 mites was successful and it could meet the requirements for
molecular biology research. Therefore, we concluded that Azanno method was more
effective than TRIzol method and Omega method in RNA extraction and cDNA library
construction of trace mites.
PMID- 26545910
TI - A case study of machinery maintenance protocols and procedures within the UK
utilities sector.
AB - Failure to conduct periodic fixed-time-to (or scheduled) maintenance on off
highway plant and equipment represents a significant health and safety hazard and
major litigation risk for utility contractors completing service excavation and
reinstatement works on public highways. Mini-excavators are a ubiquitous mobile
plant item used for such tasks and have recently been responsible for several
major injuries and fatalities involving workers and members of the public in the
United Kingdom (UK). The research utilises the method of triangulation to examine
the maintenance practices of utility contractors in the UK. Findings from the
research reveal that a combination of prevailing market forces and internal
'company' pressures have inadvertently removed knowledgeable and trained
operators, site foremen and managerial supervisors from hands-on maintenance
inspections. Rather, 'virtual' maintenance protocols and procedures are adopted
by head office but rarely fully implemented on-site. The research concludes with
pragmatic recommendations and direction for future research.
PMID- 26545911
TI - Multiple thrombophilic single nucleotide polymorphisms lack a significant effect
on outcomes in fresh IVF cycles: an analysis of 1717 patients.
AB - PURPOSE: The aim of the study is to determine if thrombophilic single nucleotide
polymorphisms (SNPs) affect outcomes in fresh in vitro fertilization (IVF) cycles
in a large general infertility population. METHODS: A prospective cohort analysis
was performed at a university-affiliated private IVF center of female patients
undergoing fresh non-donor IVF cycles. The effect of the following thrombophilic
SNPs on IVF outcomes were explored: factor V (Leiden and H1299R), prothrombin
(G20210A), factor XIII (V34L), beta-fibrinogen (-455G -> A), plasminogen
activator inhibitor-1 (4G/5G), human platelet antigen-1 (a/b9L33P), and
methylenetetrahydrofolate reductase (C677T and A1298C). The main outcome measures
included positive pregnancy test, clinical pregnancy, embryo implantation, live
birth, and pregnancy loss. RESULTS: Patients (1717) were enrolled in the study,
and a total of 4169 embryos were transferred. There were no statistically
significant differences in positive pregnancy test, clinical pregnancy, embryo
implantation, live birth, or pregnancy loss in the analysis of 1717 patients
attempting their first cycle of IVF. Receiver operator characteristics and
logistic regression analyses showed that outcomes cannot be predicted by the
cumulative number of thrombophilic mutations present in the patient. CONCLUSIONS:
Individual and cumulative thrombophilic SNPs do not affect IVF outcomes.
Therefore, initial screening for these SNPs is not indicated.
PMID- 26545912
TI - Project SMART: Preliminary Results From a Test of the Efficacy of a Swedish
Internet-Based HIV Risk-Reduction Intervention for Men Who Have Sex With Men.
AB - In Sweden, 57 % of HIV transmission occurs among MSM, and other sexually
transmitted infections are increasing, supporting the need for innovative
interventions. The Internet is a potentially useful HIV-prevention platform, but
there is a lack of such programs in Sweden. The purpose of this exploratory study
was to test the efficacy of the Internet-based SMART intervention to decrease HIV
sexual risks in Swedish MSM. The intervention was adapted from the Wyoming Rural
AIDS Prevention Project to the Swedish context, which was guided by the
Information-Motivation-Behavioral (IMB) skills model and consisted of six
sessions. A total of 112 men responded to a pretest questionnaire and were
randomly assigned to the SMART intervention or to a waitlist group. Fifty-four
men dropped out, leaving a final sample of 58 participants. Twenty-five were
assigned to the SMART intervention and 33 to a waitlist group. One month post
intervention, the number of casual anal sex partners significantly decreased (t =
2.19, p = .04). Compared with the waitlist group, men in the intervention group
increased their HIV knowledge (beta = 0.70, p = .01), their belief of condom use
as an act of responsibility (beta = 1.19, p = .04), their willingness to use a
condom with every new partner all the time (beta = 1.39, p = .03), and their
confidence in using condoms in challenging situations (beta = 1.65, p = .02).
Condom use was not analyzed due to the small sample size. Despite the small
sample, high drop-out, and short follow-up, the study provides support for the
efficacy of the Internet interventions, the SMART intervention specifically, for
reducing the proportion of casual anal sex partners and improving the three
cognitive components of the IMB model for Swedish MSM.
PMID- 26545913
TI - Identification with Stimuli Moderates Women's Affective and Testosterone
Responses to Self-Chosen Erotica.
AB - Sexual thoughts are sufficient to increase testosterone (T) in women, yet erotic
films are not. A key confound in past studies is autonomy in stimulus selection:
women choose the content of their sexual thoughts but films have been selected by
researchers. We hypothesized that self-chosen erotic films, compared to
researcher-chosen erotic films, would (1) increase women's self-reported arousal,
enjoyment, and identification with stimuli, and decrease negative affect; and (2)
increase T. Participants (N = 116 women) were randomly assigned to a neutral
documentary condition or one of three erotic film conditions: high choice (self
chosen erotica from participants' own sources), moderate choice (self-chosen
erotica from films preselected by sexuality researchers), or no choice
(researcher-chosen erotica). Participants provided saliva samples for T before
and after viewing the film in the privacy of their homes. Compared to researcher
chosen erotica, self-chosen erotica increased self-reported arousal and
enjoyment, but also unexpectedly disgust, guilt, and embarrassment. Self-chosen
erotica only marginally increased identification with stimuli compared to
researcher-chosen erotica. Overall, film condition did not affect T, but
individual differences in identification moderated T responses: among women
reporting lower levels of identification, the moderate choice condition decreased
T compared to the no choice condition, but this difference was not observed among
women with higher identification. These results highlight the importance of
cognitive/emotional factors like identification for sexually modulated T.
However, self-chosen erotica results in more ambivalent rather than unequivocally
positive cognitive/emotional responses, perhaps because stigma associated with
viewing erotica for women becomes more salient when choosing stimuli.
PMID- 26545914
TI - An Interpersonal Investigation of Sexual Self-Schemas.
AB - A sexual self-schema is a cognitive generalization about sexual aspects of the
self. In the current study, we examined how an individual's sexual self-schema
influenced the processing of self and partner related sexual information.
Specifically, we investigated how sexual self-schemas related to own and partner
sexual satisfaction and how they influenced perceptions of partner sexual
satisfaction. Participants were 117 heterosexual couples in committed, long-term
relationships. Both partners completed measures assessing their sexual self
schemas, their own sexual satisfaction, and perceptions of partner sexual
satisfaction. Consistent with our predictions, own sexual schema was associated
with own sexual satisfaction. For men, more positive sexual self-schemas were
associated with greater sexual satisfaction, and for women, more negative sexual
self-schemas were associated with lower sexual satisfaction. For both men and
women, there was no significant association between own sexual self-schema and
partner sexual satisfaction. Sexual self-schemas directly and indirectly
influenced an individual's perception of the partner's sexual satisfaction, such
that men and women with more positive sexual self-schemas rated their partners as
more sexually satisfied, after controlling for the partner's self-reported level
of sexual satisfaction. Our findings demonstrated that sexual self-schemas are
relevant to own sexual satisfaction as well as the processing of interpersonally
relevant sexual information, specifically one's perceptions of partner sexual
satisfaction.
PMID- 26545916
TI - Involvement of dietary saturated fats, from all sources or of dairy origin only,
in insulin resistance and type 2 diabetes.
AB - Reducing the consumption of saturated fatty acids to a level as low as possible
is a European public health recommendation to reduce the risk of cardiovascular
disease. The association between dietary intake of saturated fatty acids and
development and management of type 2 diabetes mellitus (T2DM), however, is a
matter of debate. A literature search was performed to identify prospective
studies and clinical trials in humans that explored the association between
dietary intake of saturated fatty acids and risk of insulin resistance and T2DM.
Furthermore, to assess whether specific foods, and not just the saturated fatty
acid content of the food matrix, can have differential effects on human health,
the relationship between consumption of full-fat dairy products, a main source of
dietary saturated fatty acids, and risk of insulin resistance and T2DM was
studied. There is no evidence that dietary saturated fatty acids from varied food
sources affect the risk of insulin resistance or T2DM, nor is intake of full-fat
dairy products associated with this risk. These findings strongly suggest that
future studies on the effects of dietary saturated fatty acids should take into
account the complexity of the food matrix. Furthermore, communication on
saturated fats and their health effects should be prudent and well informed.
PMID- 26545915
TI - Epoxyeicosanoid Signaling Provides Multi-target Protective Effects on
Neurovascular Unit in Rats After Focal Ischemia.
AB - Multiple players are involved in the highly complex pathophysiologic responses
after stroke. Therefore, therapeutic approaches that target multiple cellular
elements of the neurovascular unit in the damage cascade hold considerable
promise for the treatment of stroke. Cytochrome P450 (CYP) epoxygenases
metabolize arachidonic acid to biologically active eicosanoids called
epoxyeicosatrienoic acids (EETs), which are further converted by soluble epoxide
hydrolase (sEH) to less bioactive diols. EETs have been shown to exert direct
cytoprotective effects upon several individual components of the neurovascular
unit under simulated ischemic conditions in vitro. However, the cellular
mechanism underlying EET-mediated neuroprotective effects after ischemia remains
to be clarified. In this study, we investigated the effects of 14,15-EET and 12
(3-adamantan-1-yl-ureido)dodecanoic acid (AUDA), a selective inhibitor of sEH, on
multiple elements of neurovascular unit of the rat brain after middle cerebral
artery occlusion-induced focal ischemia. The results showed that exogenous
administration of 14,15-EET or AUDA could suppress astrogliosis and glial scar
formation, inhibit microglia activation and inflammatory response, promote
angiogenesis, attenuate neuronal apoptosis and infarct volume, and further
promote the behavioral function recovery after focal ischemia. The results
suggest that epoxyeicosanoid signaling is a promising multi-mechanism therapeutic
target for the treatment of stroke.
PMID- 26545918
TI - Cyclostomes Lack Clustered Protocadherins.
AB - The brain, comprising billions of neurons and intricate neural networks, is
arguably the most complex organ in vertebrates. The diversity of individual
neurons is fundamental to the neuronal network complexity and the overall
function of the vertebrate brain. In jawed vertebrates, clustered protocadherins
provide the molecular basis for this neuronal diversity, through stochastic and
combinatorial expression of their various isoforms in individual neurons. Based
on analyses of transcriptomes from the Japanese lamprey brain and sea lamprey
embryos, genome assemblies of the two lampreys, and brain expressed sequence tags
of the inshore hagfish, we show that extant jawless vertebrates (cyclostomes)
lack the clustered protocadherins. Our findings indicate that the clustered
protocadherins originated from a nonclustered protocadherin in the jawed
vertebrate ancestor, after the two rounds of whole-genome duplication. In the
absence of clustered protocadherins, cyclostomes might have evolved novel
molecules or mechanisms for generating neuronal diversity which remains to be
discovered.
PMID- 26545917
TI - Iron-Sulfur Cluster Biogenesis Chaperones: Evidence for Emergence of Mutational
Robustness of a Highly Specific Protein-Protein Interaction.
AB - Biogenesis of iron-sulfur clusters (FeS) is a highly conserved process involving
Hsp70 and J-protein chaperones. However, Hsp70 specialization differs among
species. In most eukaryotes, including Schizosaccharomyces pombe, FeS biogenesis
involves interaction between the J-protein Jac1 and the multifunctional Hsp70
Ssc1. But, in Saccharomyces cerevisiae and closely related species, Jac1
interacts with the specialized Hsp70 Ssq1, which emerged through duplication of
SSC1. As little is known about how gene duplicates affect the robustness of their
protein interaction partners, we analyzed the functional and evolutionary
consequences of Ssq1 specialization on the ubiquitous J-protein cochaperone Jac1,
by comparing S. cerevisiae and S. pombe. Although deletion of JAC1 is lethal in
both species, alanine substitutions within the conserved His-Pro-Asp (HPD) motif,
which is critical for Jac1:Hsp70 interaction, have species-specific effects. They
are lethal in S. pombe, but not in S. cerevisiae. These in vivo differences
correlated with in vitro biochemical measurements. Charged residues present in
the J-domain of S. cerevisiae Jac1, but absent in S. pombe Jac1, are important
for tolerance of S. cerevisiae Jac1 to HPD alterations. Moreover, Jac1 orthologs
from species that encode Ssq1 have a higher sequence divergence. The simplest
interpretation of our results is that Ssq1's coevolution with Jac1 resulted in
expansion of their binding interface, thus increasing the efficiency of their
interaction. Such an expansion could in turn compensate for negative effects of
HPD substitutions. Thus, our results support the idea that the robustness of Jac1
emerged as consequence of its highly efficient and specific interaction with
Ssq1.
PMID- 26545919
TI - Determinants of the Usage of Splice-Associated cis-Motifs Predict the
Distribution of Human Pathogenic SNPs.
AB - Where in genes do pathogenic mutations tend to occur and does this provide clues
as to the possible underlying mechanisms by which single nucleotide polymorphisms
(SNPs) cause disease? As splice-disrupting mutations tend to occur predominantly
at exon ends, known also to be hot spots of cis-exonic splice control elements,
we examine the relationship between the relative density of such exonic cis
motifs and pathogenic SNPs. In particular, we focus on the intragene distribution
of exonic splicing enhancers (ESE) and the covariance between them and disease
associated SNPs. In addition to showing that disease-causing genes tend to be
genes with a high intron density, consistent with missplicing, five factors
established as trends in ESE usage, are considered: relative position in exons,
relative position in genes, flanking intron size, splice sites usage, and phase.
We find that more than 76% of pathogenic SNPs are within 3-69 bp of exon ends
where ESEs generally reside, this being 13% more than expected. Overall from
enrichment of pathogenic SNPs at exon ends, we estimate that approximately 20-45%
of SNPs affect splicing. Importantly, we find that within genes pathogenic SNPs
tend to occur in splicing-relevant regions with low ESE density: they are found
to occur preferentially in the terminal half of genes, in exons flanked by short
introns and at the ends of phase (0,0) exons with 3' non-"AGgt" splice site. We
suggest the concept of the "fragile" exon, one home to pathogenic SNPs owing to
its vulnerability to splice disruption owing to low ESE density.
PMID- 26545920
TI - Expansion of Noncanonical V-Arm-Containing tRNAs in Eukaryotes.
AB - Transfer RNA (tRNA) is essential for the translation of genetic information into
proteins, and understanding its molecular evolution is important if we are to
understand the genetic code. In general, long variable-arm (V-arm) structures
form in tRNA(Leu), tRNA(Ser), and bacterial and organellar tRNA(Tyr). However, as
we have previously reported, noncanonical V-arms occur in nematode tRNA(Gly) and
tRNA(Ile), and potentially affect translational fidelity. Here, we
comprehensively analyzed 69 eukaryotic genome sequences and examined the
evolutionary divergence of the V-arm-containing tRNAs. In total, 253 V-arm
containing tRNAs, with neither leucine nor serine anticodons, were identified in
organisms ranging from nematodes to fungi, plants, and vertebrates. We defined
them as "noncanonical V-arm-containing tRNAs" (nov-tRNAs). Moreover, 2,415 nov
tRNA-like sequences lacking some of the conserved features of tRNAs were also
identified, largely in vertebrate genomes. These nov-tRNA/nov-tRNA-like sequences
can be categorized into three types, based on differences in their possible
evolutionary origins. The type A nov-tRNAs in nematodes probably evolved not only
from tRNA(Leu) but also from tRNA(Ser) and other isotypes on several independent
occasions. The type B nov-tRNAs are dispersed abundantly throughout vertebrate
genomes, and seem to have originated from retrotransposable elements. The type C
nov-tRNAs may have been acquired from plant chloroplasts or from bacteria through
horizontal transfer. Our findings provide unexpected insight into the evolution
of the tRNA molecule, which was diverse and occurred independently in nematodes,
vertebrates, and plants.
PMID- 26545922
TI - Computationally Efficient Composite Likelihood Statistics for Demographic
Inference.
AB - Many population genetics tools employ composite likelihoods, because fully
modeling genomic linkage is challenging. But traditional approaches to estimating
parameter uncertainties and performing model selection require full likelihoods,
so these tools have relied on computationally expensive maximum-likelihood
estimation (MLE) on bootstrapped data. Here, we demonstrate that statistical
theory can be applied to adjust composite likelihoods and perform robust
computationally efficient statistical inference in two demographic inference
tools: ?a?i and TRACTS. On both simulated and real data, the adjustments perform
comparably to MLE bootstrapping while using orders of magnitude less
computational time.
PMID- 26545923
TI - Reply to comment on: The spontaneous coronary artery dissection may need
intervention in the proximal segment of the arteries by Associate Professor
Ozturk.
PMID- 26545921
TI - Estimating the Ages of Selection Signals from Different Epochs in Human History.
AB - Genetic variation harbors signatures of natural selection driven by selective
pressures that are often unknown. Estimating the ages of selection signals may
allow reconstructing the history of environmental changes that shaped human
phenotypes and diseases. We have developed an approximate Bayesian computation
(ABC) approach to estimate allele ages under a model of selection on new
mutations and under demographic models appropriate for human populations. We have
applied it to two resequencing data sets: An ultra-high depth data set from a
relatively small sample of unrelated individuals and a lower depth data set in a
larger sample with transmission information. In addition to evaluating the
accuracy of our method based on simulations, for each SNP, we assessed the
consistency between the posterior probabilities estimated by the ABC approach and
the ancient DNA record, finding good agreement between the two types of data and
methods. Applying this ABC approach to data for eight single nucleotide
polymorphisms (SNPs), we were able to rule out an onset of selection prior to the
dispersal out-of-Africa for three of them and more recent than the spread of
agriculture for an additional three SNPs.
PMID- 26545924
TI - Response to letter: Duration of dual antiplatelet therapy following drug-eluting
coronary stents: Longer or shorter?
PMID- 26545925
TI - Device therapy and aldosterone antagonists in the prognosis of heart failure.
PMID- 26545926
TI - Cardiac cachexia: hic et nunc: "hic et nunc" - here and now.
AB - Cardiac cachexia (CC) is the clinical entity at the end of chronic natural course
of heart failure (HF). Despite the efforts, even the most recent definition of
cardiac cachexia has been challenged, more precisely the addition of new criteria
on top of obligatory weight loss. The pathophysiology of CC is complex and
multifactorial. Better understanding of pathophysiological pathways in body
wasting will contribute to establish potentially novel treatment strategies. The
complex biochemical network related with CC and HF pathophysiology underlines
that a single biomarker cannot reflect all of the features of the disease.
Biomarkers that could pick-up the changes in body composition before they convey
into clinical manifestations of CC would be of great importance. The development
of preventive and therapeutic strategies against cachexia, sarcopenia and wasting
disorders is perceived as an urgent need by healthcare professionals. The
treatment of body wasting remains an unresolved challenge to this day. As CC is a
multifactorial disorder, it is unlikely that any single agent will be completely
effective in treating this condition. Among all investigated therapeutic
strategies, aerobic exercise training in HF patients is the most proved to
counteract skeletal muscle wasting and is recommended by treatment guidelines for
HF.
PMID- 26545927
TI - Using Twitter Data to Gain Insights into E-cigarette Marketing and Locations of
Use: An Infoveillance Study.
AB - BACKGROUND: Marketing and use of electronic cigarettes (e-cigarettes) and other
electronic nicotine delivery devices have increased exponentially in recent years
fueled, in part, by marketing and word-of-mouth communications via social media
platforms, such as Twitter. OBJECTIVE: This study examines Twitter posts about e
cigarettes between 2008 and 2013 to gain insights into (1) marketing trends for
selling and promoting e-cigarettes and (2) locations where people use e
cigarettes. METHODS: We used keywords to gather tweets about e-cigarettes between
July 1, 2008 and February 28, 2013. A randomly selected subset of tweets was
manually coded as advertising (eg, marketing, advertising, sales, promotion) or
nonadvertising (eg, individual users, consumers), and classification algorithms
were trained to code the remaining data into these 2 categories. A combination of
manual coding and natural language processing methods was used to indicate
locations where people used e-cigarettes. Additional metadata were used to
generate insights about users who tweeted most frequently about e-cigarettes.
RESULTS: We identified approximately 1.7 million tweets about e-cigarettes
between 2008 and 2013, with the majority of these tweets being advertising
(93.43%, 1,559,508/1,669,123). Tweets about e-cigarettes increased more than
tenfold between 2009 and 2010, suggesting a rapid increase in the popularity of e
cigarettes and marketing efforts. The Twitter handles tweeting most frequently
about e-cigarettes were a mixture of e-cigarette brands, affiliate marketers, and
resellers of e-cigarette products. Of the 471 e-cigarette tweets mentioning a
specific place, most mentioned e-cigarette use in class (39.1%, 184/471) followed
by home/room/bed (12.5%, 59/471), school (12.1%, 57/471), in public (8.7%,
41/471), the bathroom (5.7%, 27/471), and at work (4.5%, 21/471). CONCLUSIONS:
Twitter is being used to promote e-cigarettes by different types of entities and
the online marketplace is more diverse than offline product offerings and
advertising strategies. E-cigarettes are also being used in public places, such
as schools, underscoring the need for education and enforcement of policies
banning e-cigarette use in public places. Twitter data can provide new insights
on e-cigarettes to help inform future research, regulations, surveillance, and
enforcement efforts.
PMID- 26545928
TI - TSPO in a murine model of Sandhoff disease: presymptomatic marker of
neurodegeneration and disease pathophysiology.
AB - Translocator protein (18 kDa), formerly known as the peripheral benzodiazepine
receptor (PBR), has been extensively used as a biomarker of active brain disease
and neuroinflammation. TSPO expression increases dramatically in glial cells,
particularly in microglia and astrocytes, as a result of brain injury, and this
phenomenon is a component of the hallmark response of the brain to injury. In
this study, we used a mouse model of Sandhoff disease (SD) to assess the
longitudinal expression of TSPO as a function of disease progression and its
relationship to behavioral and neuropathological endpoints. Focusing on the
presymptomatic period of the disease, we used ex vivo [(3)H]DPA-713 quantitative
autoradiography and in vivo [(125)I]IodoDPA-713 small animal SPECT imaging to
show that brain TSPO levels markedly increase prior to physical and behavioral
manifestation of disease. We further show that TSPO upregulation coincides with
early neuronal GM2 ganglioside aggregation and is associated with ongoing
neurodegeneration and activation of both microglia and astrocytes. In brain
regions with increased TSPO levels, there is a differential pattern of glial cell
activation with astrocytes being activated earlier than microglia during the
progression of disease. Immunofluorescent confocal imaging confirmed that TSPO
colocalizes with both microglia and astrocyte markers, but the glial source of
the TSPO response differs by brain region and age in SD mice. Notably, TSPO
colocalization with the astrocyte marker GFAP was greater than with the microglia
marker, Mac-1. Taken together, our findings have significant implications for
understanding TSPO glial cell biology and for detecting neurodegeneration prior
to clinical expression of disease.
PMID- 26545929
TI - Predictors of the necessity for lymph node biopsy of cervical lymphadenopathy.
AB - PURPOSE: Enlargement of cervical lymph nodes is required for early and accurate
diagnosis of malignant lymphoma (ML). Lymph node biopsy is still indispensable
for accurate diagnosis of lymph node enlargement in the lateral neck. MATERIAL
AND METHODS: We retrospectively investigated the characteristics of lymph nodes
on both ultrasonography (US) and computed tomography (CT), and blood biomarkers
including serum thymidine kinase (TK) and soluble interleukin-2 receptor (sIL-2R)
in 19 patients who underwent cervical lymph node biopsy. RESULTS: Pathological
diagnosis was ML in 8 patients, reactive lymphadenopathy (RL) in 8, and purulent
lymphadenitis (PL) in 3. TK levels were significantly higher in patients with ML
than in patients with RL, demonstrating positive correlations with sIL-2R and
lymph node size on CT image. The shape of lymph nodes on US in all of the 8
patients diagnosed with RL was flat, whereas the shape in 7 of 8 patients
diagnosed with ML was round. Lymph node size >=19.5 mm at an axial section on CT
image was used as a cut-off value to differentiate ML from other pathologies,
offering 90.9% sensitivity and 87.5% specificity. CONCLUSION: Sonographic and CT
evaluation combined with high TK level might be useful in determining the need
for early biopsy.
PMID- 26545930
TI - The effect of early routine grommet insertion on management of otitis media with
effusion in children with cleft palate.
AB - PURPOSE: The aim of the study is to compare long term otoscopic and audiological
findings of cleft palate patients with or without early grommet insertion.
METHODS: Cleft palate patients followed-up in Hacettepe University between 2008
and 2013 were included in the study. Age, gender, cleft types and palate surgery
data, grommet tube insertion history and otological - audiological evaluations of
the patients were recorded. Patients were evaluated in three groups according to
grommet insertion history: A-early routine grommet insertion, B-grommet insertion
during follow-up, C-no grommet insertion. Otological and audiological findings
were compared. RESULTS: There were 154 patients in the study, with a median age
of 7.7 years. There were 67 patients in group A (43.5%), 22 patients in group B
(14.3%) and 65 patients in group C (42.2%). OME was identified significantly
higher in group A and normal otoscopic examination findings were higher in group
C. Complications showed a higher rate than other otoscopic findings in group B
patients. There was no significant difference for any frequencies in between the
groups in terms of mean air-bone gap (ABG) values. There were 20 grade I, 25
grade II, 77 grade III and 32 grade IV patients in the study according to the
Veau classification. CONCLUSION: Prophylactic grommet insertion may not be
applied as some cleft palate patients with no OME. Wait and see protocol can be
recommended for these patients, and they should be followed-up up closely to
avoid complications. If the effusion does not recover or tympanic membrane
changes occur in follow-up, grommet insertion should be considered.
PMID- 26545931
TI - Does arteriosclerosis contribute to hemifacial spasm?
AB - BACKGROUND: Hemifacial spasm (HFS) is caused by pulsative vascular compression of
the root exit zone (REZ) of the facial nerve. However, the mechanism that causes
the offending vessels to compress the REZ has not been clarified. Elongation of
intracranial arteries due to arteriosclerosis is one possibility, but such
arteriosclerotic changes are not observed very frequently among patients with
HFS. The aim of the present study was to investigate whether arteriosclerotic
changes would contribute to the pathogenesis of HFS. METHODS: This study included
111 HFS patients, all of whom were Japanese. The prevalence rates of
hypertension, hyperlipidemia, and diabetes mellitus were examined as risk factors
of atherosclerosis, and the cardio-ankle vascular index (CAVI) was measured as an
indicator of arteriosclerotic change. The severity of white matter lesions (WMLs)
in HFS patients was measured by magnetic resonance imaging. These data were
compared with data from healthy Japanese controls. RESULTS: The prevalence rates
of the risk factors for atherosclerosis in the HFS patients were not higher than
those in the general Japanese population. The CAVI scores for the HFS patients
were similar to, or lower than those in the healthy controls for all age groups
except 60 to 69-year-old men. The severity of WMLs in the HFS patients was not
significantly worse than that in the controls. CONCLUSIONS: It is suggested that
arteriosclerotic changes are not involved in the pathogenesis of HFS, and that
vascular compression syndromes are attributable to anatomical features of the
intracranial arteries and facial nerves formed during the prenatal stage.
PMID- 26545933
TI - A prospective cohort study of early postpartum etonogestrel implant (Implanon(r))
use and its effect on duration of lochia.
AB - BACKGROUND: Etonogestrel (ENG) implants (Implanon((r))/Nexplanon((r))/Implanon
NXT((r))) are employed as contraception in early postpartum patients. Follow-up
is often not conducted by the hospital prescriber. Little is known about duration
of lochia, in a modern setting, and even less is known about the effect of ENG
implants on lochia. AIMS: To determine if early postpartum (pre-discharge)
insertion of Implanon for contraception was associated with a significant
difference in duration of lochia. To record the number of patients who went on to
have their Implanon removed during the study period and the reasons for removal.
METHODS: Prospective cohort study of 152 postpartum patients from a tertiary
maternity unit in Hobart, Tasmania, Australia. The treatment group was women
requesting Implanon prior to discharge. Controls were recruited from the same
unit over the same time period, with the aim to match for birth weight and
parity. Information was collected during face-to-face interviews or via telephone
contact. Multivariate survival analysis was used to investigate lochia duration.
RESULTS: There were 73 controls and 79 women who had early postpartum Implanon
inserted. Fourteen (17.7%) patients in the treatment group had their Implanon
removed during the postpartum study period. In all of these cases the reason for
removal was bleeding disturbance - prolonged or intermittent vaginal bleeding
beyond 50 days postpartum. There was no significant difference in duration of
lochia between the groups [median predicted duration 25 days (95% CI 22-27) in
controls and 24 days (95% CI 21-26) in the treatment group]. CONCLUSIONS: Early
postpartum insertion of Implanon for contraception was not associated with a
statistically or clinically significant difference in duration of lochia.
PMID- 26545932
TI - Therapeutic antibodies that target inflammatory cytokines in autoimmune diseases.
AB - Inflammatory cytokines are key regulators of immune responses. Persistent and
excessive production of inflammatory cytokines underscores the development of
autoimmune diseases. Therefore, neutralizing inflammatory cytokines or
antagonizing their receptor function is considered as a useful therapeutic
strategy to treat autoimmune diseases. To achieve the success of such a strategy,
understanding of the complex actions of these cytokines and cytokine networks is
required. In this review we focus on four inflammatory cytokines--tumor necrosis
factor alpha (TNFalpha), interleukin-6 (IL-6), IL-23 and IL-17--and dissect how
the dysregulation of these cytokines regulates autoimmune diseases. On the basis
of pre-clinical and clinical data, we specifically discuss the therapeutic
rationale for targeting these cytokines and describe the potential adverse
effects.
PMID- 26545935
TI - De novo transcriptome assembly and identification of genes associated with feed
conversion ratio and breast muscle yield in domestic ducks.
AB - Breast muscle yield and feed conversion efficiency are the major breeding aims in
duck breeding. Understanding the role of specific transcripts in the muscle and
small intestine might lead to the elucidation of interrelated biological
processes. In this study, we obtained jejunum and breast muscle samples from two
strains of Peking ducks that were sorted by feed conversion ratio (FCR) and
breast muscle percentage into two-tailed populations. Ten RNA-Seq libraries were
developed from the pooled samples and sequenced using the Hiseq2000 platform. We
created a reference duck transcript database using de novo assembly methods,
which included 16 663 irredundant contigs with an N50 length of 1530 bp. This new
duck reference cDNA dataset significantly improved the mapping rate for RNA-Seq
data, from 50% to 70%. Mapping and annotation were followed by Gene Ontology
analysis, which showed that numerous genes were differentially expressed between
the low and high FCR groups. The differentially expressed genes in the jejunum
were enriched in biological processes related to immune response and immune
response activation, whereas those in the breast muscle were significantly
enriched in biological processes related to muscle cell differentiation and organ
development. We identified new candidate genes, that is, PCK1, for improving the
FCR and breast muscle yield of ducks and obtained much better reference duck
transcripts. This study suggested that de novo assembly is essential when
applying transcriptome analysis to a species with an incomplete genome.
PMID- 26545934
TI - Antitumor effect of afatinib, as a human epidermal growth factor receptor 2
targeted therapy, in lung cancers harboring HER2 oncogene alterations.
AB - Human epidermal growth factor receptor 2 (HER2) is a member of the HER family of
proteins containing four receptor tyrosine kinases. It plays an important role in
the pathogenesis of certain human cancers. In non-small-cell lung cancer (NSCLC),
HER2 amplification or mutations have been reported. However, little is known
about the benefit of HER2-targeted therapy for NSCLCs harboring HER2 alterations.
In this study, we investigated the antitumor effect of afatinib, an irreversible
epidermal growth factor receptor (EGFR)-HER2 dual inhibitor, in lung cancers
harboring HER2 oncogene alterations, including novel HER2 mutations in the
transmembrane domain, which we recently identified. Normal bronchial epithelial
cells, BEAS-2B, ectopically overexpressing wild-type HER2 or mutants
(A775insYVMA, G776VC, G776LC, P780insGSP, V659E, and G660D) showed constitutive
autophosphorylation of HER2 and activation of downstream signaling. They were
sensitive to afatinib, but insensitive to gefitinib. Furthermore, we examined the
antitumor activity of afatinib and gefitinib in several NSCLC cell lines, and
investigated the association between their genetic alterations and sensitivity to
afatinib treatment. In HER2-altered NSCLC cells (H2170, Calu-3, and H1781),
afatinib downregulated the phosphorylation of HER2 and EGFR as well as their
downstream signaling, and induced an antiproliferative effect through G1 arrest
and apoptotic cell death. In contrast, HER2- or EGFR-non-dependent NSCLC cells
were insensitive to afatinib. In addition, these effects were confirmed in vivo
by using a xenograft mouse model of HER2-altered lung cancer cells. Our results
suggest that afatinib is a therapeutic option as a HER2-targeted therapy for
NSCLC harboring HER2 amplification or mutations.
PMID- 26545936
TI - [Work-related mental and behaviour disorders in anesthesiologists].
AB - BACKGROUND: Anaesthesiology is a specialty whose specificity of the working
process results in high levels of stress as an inevitable condition - a
particularly worrying situation in the daily life of these professionals.
OBJECTIVES: This study, based on data from national and international literature,
aims to discuss the basis of the occurrence of mental and behavioural disorders
or of psychopathological injuries (psychological distress) related to working
activity in anesthesiologists. METHOD: A literature review was conducted, with
papers selected from Medline and Lilacs databases, published between 2000 and
2012 in Portuguese, English and Spanish, and addressing the possible association
between occupational hazards of the anaesthesiologist profession and mental
health problems and psychic distress. Twenty-six publications were listed.
RESULTS: Several aspects of the anesthesiologist's work are important points to
better understand the relationship between mental health at work and working
organization. Poor temporal structuring of work, conflictuous interpersonal
relationships and poor control over the activity itself may be mentioned as
illness enhancers. CONCLUSION: The working organization, when not appropriate, is
an important occupational risk factor for the life and mental health of workers,
mainly of professionals focused on the care of people. This paper focuses on
anesthesiologists, who are constantly exposed to stressful and anxiogenic
factors.
PMID- 26545937
TI - The system-resonance approach in modeling genetic structures.
AB - The founder of the theory of resonance in structural chemistry Linus Pauling
established the importance of resonance patterns in organization of living
systems. Any living organism is a great chorus of coordinated oscillatory
processes. From the formal point of view, biological organism is an oscillatory
system with a great number of degrees of freedom. Such systems are studied in the
theory of oscillations using matrix mathematics of their resonance
characteristics. This study is devoted to a new approach for modeling genetically
inherited structures and processes in living organisms using mathematical tools
of the theory of resonances. This approach reveals hidden relationships in a
number of genetic phenomena and gives rise to a new class of bio-mathematical
models, which contribute to a convergence of biology with physics and
informatics. In addition some relationships of molecular-genetic ensembles with
mathematics of noise-immunity coding of information in modern communications
technology are shown. Perspectives of applications of the phenomena of
vibrational mechanics for modeling in biology are discussed.
PMID- 26545938
TI - Paroxysmal atrial fibrillation: A window of opportunity to modify disease
progression.
PMID- 26545939
TI - Comparative long-term outcomes after cardiac resynchronization therapy in right
ventricular paced patients versus native wide left bundle branch block patients.
AB - BACKGROUND: The current guidelines do not clearly state when we should upgrade a
patient with right ventricular pacing (RVP) to cardiac resynchronization therapy
(CRT), although the deleterious effect of chronic RVP has been established with
recent trials. OBJECTIVES: The aims of this study were to compare the long-term
survival after CRT in patients upgraded from RVP with that in patients with left
bundle branch block (LBBB) with QRS duration >= 150 ms and to compare the
mechanical properties associated with CRT response in these groups. METHODS:
Overall, 135 patients with implanted CRT from a single center (85 (63%) with
native wide LBBB and 50 (37%) with RVP) were studied prospectively. Baseline left
ventricular typical contraction pattern was determined using speckle tracking
echocardiography in the apical 4-chamber view. The predefined end point was
death, heart transplantation, or left ventricular assist device implantation over
a period of 4 years. RESULTS: Patients with RVP had a significantly favorable
long-term outcomes with adjusted hazard ratio of 0.36 (95% confidence interval
0.14-0.96; P = .04). Both groups had ~70% of patients with typical contraction
pattern. The absence of typical contraction pattern was associated with a higher
risk of an end point with adjusted hazard ratio of 5.43 (95% confidence interval
2.31-12.72; P < .001). In patients with typical contraction pattern, activation
of the apical septal segment occurred more frequently in the RVP group and of the
base or mid septal segments in the LBBB group. CONCLUSION: Patients with HF
upgraded from RVP have more favorable long-term outcomes after CRT than do native
LBBB patients with QRS duration >= 150 ms. Contraction pattern assessment can be
used to identify potential responders in the RVP group.
PMID- 26545940
TI - 2015 American College of Rheumatology Guideline for the Treatment of Rheumatoid
Arthritis.
AB - OBJECTIVE: To develop a new evidence-based, pharmacologic treatment guideline for
rheumatoid arthritis (RA). METHODS: We conducted systematic reviews to synthesize
the evidence for the benefits and harms of various treatment options. We used the
Grading of Recommendations Assessment, Development and Evaluation (GRADE)
methodology to rate the quality of evidence. We employed a group consensus
process to grade the strength of recommendations (either strong or conditional).
A strong recommendation indicates that clinicians are certain that the benefits
of an intervention far outweigh the harms (or vice versa). A conditional
recommendation denotes uncertainty over the balance of benefits and harms and/or
more significant variability in patient values and preferences. RESULTS: The
guideline covers the use of traditional disease-modifying antirheumatic drugs
(DMARDs), biologic agents, tofacitinib, and glucocorticoids in early (<6 months)
and established (>=6 months) RA. In addition, it provides recommendations on
using a treat-to-target approach, tapering and discontinuing medications, and the
use of biologic agents and DMARDs in patients with hepatitis, congestive heart
failure, malignancy, and serious infections. The guideline addresses the use of
vaccines in patients starting/receiving DMARDs or biologic agents, screening for
tuberculosis in patients starting/receiving biologic agents or tofacitinib, and
laboratory monitoring for traditional DMARDs. The guideline includes 74
recommendations: 23% are strong and 77% are conditional. CONCLUSION: This RA
guideline should serve as a tool for clinicians and patients (our two target
audiences) for pharmacologic treatment decisions in commonly encountered clinical
situations. These recommendations are not prescriptive, and the treatment
decisions should be made by physicians and patients through a shared decision
making process taking into account patients' values, preferences, and
comorbidities. These recommendations should not be used to limit or deny access
to therapies.
PMID- 26545941
TI - Osteoid osteoma of the glenoid: Arthroscopic treatment.
AB - Osteoid osteoma is a benign tumor that is rarely found in the scapula. We report
a clinical case involving a 36-year-old female patient who suffered from
progressive pain in her right shoulder for 1 year. This patient was initially
diagnosed with impingement syndrome and was treated unsuccessfully with
medication and physical therapy for approximately 2 months. Based on imaging
exams, a juxta-articular osteoid osteoma of the glenoid was identified. The
patient underwent a shoulder arthroscopy that included tumor removal and
treatment of the resulting chondral lesion. At 6-, 12- and 36-month assessments,
the patient was asymptomatic, with a normal range of motion and experienced a
pain intensity corresponding to 0 points on the Visual Analog Scale (VAS) and 35
points on the University of California, Los Angeles (UCLA) Scale. A postoperative
MRI indicated the absence of any residual tumor tissue or inflammatory signs. We
believe that the approach described in this paper allows juxta-articular osteoid
osteomas to be accessed in a minimally invasive manner and permits not only
adequate resection but also the treatment of chondral lesions that could remain
after tumor resection.
PMID- 26545942
TI - Arthroscopic treatment of acute acromioclavicular dislocations using a double
button device: Clinical and MRI results.
AB - INTRODUCTION: Arthroscopic treatment of acute grade 3 and 4 acromioclavicular
dislocation is controversial, due to the risk of recurrence and of postoperative
reduction defect. The purpose of the present study was to investigate whether the
healing of the acromioclavicular (AC) and coracoclavicular (CC) ligaments and the
accurate 3D positioning parameters of the AC joint using MRI were correlated with
satisfactory functional outcome. MATERIAL: Thirty-nine patients were enrolled
from 2009 to 2011 and managed arthroscopically by CC lacing using a double-button
device. METHODS: Clinical assessment included the Shoulder and Hand (QuickDash)
score, Constant-Murley score and visual analog scale (VAS) for residual pain.
Time and rate to return to work and return to sport were assessed according to
type of sport and work. Postoperative complications were recorded. Radiological
examination consisted of anteroposterior clavicle and lateral axillary
radiographs. AC ligament healing and 3D joint congruency were assessed on MRI and
correlated to the clinical results. RESULTS: Mean patient age was 35.7 years
(range, 20-55). Mean follow-up was 42.3+/-10.6 months (range, 24-60). At final
follow-up, mean QuickDash score, Constant score and VAS were respectively 1.7+/-4
(range, 0-11), 94.7+/-7.3 (range, 82-100) and 0.5+/-1.4 (range, 0-2). Thirty-five
(90%) patients were able to resume work, including heavy manual labor, and sport.
Radiology found accurate 3D joint congruency in 34 patients (87%) and CC and AC
ligament healing in 36 (93%). Complications included reduction loss at 6 weeks in
3 patients, requiring surgical stabilization. Satisfactory functional results
were associated with accurate AC joint congruency in the coronal and axial planes
(P<0.05) and good AC and CC ligament healing (P<0.04). An initial 25% reduction
defect in the coronal plane was not associated with poor functional results
(P=0.07). CONCLUSION: Arthroscopic treatment by CC lacing satisfactorily restored
ligament and joint anatomy in the present series. These satisfactory anatomic
results correlated with good clinical outcome encourage continuing with this
technique. LEVEL OF EVIDENCE: Level IV, therapeutic case series.
PMID- 26545943
TI - External bone remodeling after injectable calcium-phosphate cement in benign bone
tumor: two cases in the hand.
AB - Bone remodeling commonly occurred after fracture and curettage benign bone tumor.
A lot of previous articles reported "internal" trabecular bone remodeling. There
were no previous clinical reports about "external" cortical bone remodeling. We
present here 2 clinical cases of "external" bone remodeling after injectable
calcium-phosphate in benign bone tumor in the hand. In two cases of benign bone
tumor, we performed complete removal of the tumor and immediate filling of the
metacarpal bone with injectable calcium-phosphate cement Arexbone((r)) from the
mechanical viewpoint. With respect to the shape of the calcium-phosphate, by
using an injection-type, calcium-phosphate is adhered uniformly to the bone
cortex by injecting, remodeling has been promoted. After 5 and 8years, both cases
were no recurrences, and the shape of the metacarpal looked close to the
contralateral side. These findings supposed to be concerned with potential self
healing and self-protection mechanism in human body.
PMID- 26545944
TI - Complication rates and types of failure after arthroscopic acute
acromioclavicular dislocation fixation. Prospective multicenter study of 116
cases.
AB - AIMS: To report and analyze both the surgical and radiographic complications
associated with anatomic coracoclavicular (CC) ligament procedures and to
evaluate the effect of these complications on patient outcomes. PATIENTS AND
METHODS: From July 2012 to July 2013, 116 primary anatomic CC ligament procedures
(all arthroscopic endobutton fixations) were performed in 14 different centers.
Demographic, surgical, subjective, and radiographic data were prospectively
analyzed in 14 centers with a minimum follow-up of 12 months. RESULTS: This
series included 96 men and 20 women, mean age 37 years old, with a mean delay to
surgery of 10 days. No intraoperative complications were reported. There were 11
complications due to hardware failure resulting in a loss of reduction, 1
coracoid fracture, 7 cases of adhesive capsulitis, 2 local infections, 5 cases of
hardware pain. There were significant differences in outcomes between patients
who did and did not develop complications: mean CS=71 vs. 93, (P<0.0001). All the
parameters of the CS were statistically affected (P<0.0001). Forty-eight patients
had persistent dislocation>150% on an AP X-ray which affected the pain and
activity CS (P=0.023 and P=0.044). No preoperative predictive factors were
identified. These patients could not return to the same level of sports
activities due to persistent pain. DISCUSSION: Anatomic procedures to treat AC
joint dislocation using CC ligament reconstruction resulted in an overall
complication rate of 22.4% and influenced the return to sports. Good to excellent
outcomes were reported in patients without complications. CLINICAL SERIES: Level
of evidence 4.
PMID- 26545945
TI - Evaluation of a triplex real-time PCR system to detect the plant-pathogenic molds
Alternaria spp., Fusarium spp. and C. purpurea.
AB - This article describes the development of a triplex real-time PCR system for the
simultaneous detection of three major plant-pathogenic mold genera (Alternaria
spp., Fusarium spp. and the species Claviceps purpurea). The designed genus
specific primer-probe systems were validated for sensitivity, specificity and
amplification in the presence of background DNA.
PMID- 26545947
TI - [Role of rifaximin in the treatment of hepatic encephalopathy].
AB - Hepatic encephalopathy (HE) is a frequent and serious complication of liver
cirrhosis. In addition to correction of the precipitating factors, the most
commonly used treatments are non-absorbable disaccharides and rifaximin. Many of
the recommendations are based on current clinical practice and there are few
randomized controlled trials. Currently, rifaximin should be initiated during an
episode of EH if, after 24-48 hours of non-absorbable disaccharide therapy, there
is no clinical improvement. In recurrent EH, it is advisable to add rifaximin in
patients under non-absorbable disaccharide therapy who develop a new episode.
Currently, standard treatment with rifaximin for minimal EH is not recommended.
Rifaximin is effective in the acute treatment of overt encephalopathy and in
preventing recurrence.
PMID- 26545946
TI - Meis2 is essential for cranial and cardiac neural crest development.
AB - BACKGROUND: TALE-class homeodomain transcription factors Meis and Pbx play
important roles in formation of the embryonic brain, eye, heart, cartilage or
hematopoiesis. Loss-of-function studies of Pbx1, 2 and 3 and Meis1 documented
specific functions in embryogenesis, however, functional studies of Meis2 in
mouse are still missing. We have generated a conditional allele of Meis2 in mice
and shown that systemic inactivation of the Meis2 gene results in lethality by
the embryonic day 14 that is accompanied with hemorrhaging. RESULTS: We show that
neural crest cells express Meis2 and Meis2-defficient embryos display defects in
tissues that are derived from the neural crest, such as an abnormal heart outflow
tract with the persistent truncus arteriosus and abnormal cranial nerves. The
importance of Meis2 for neural crest cells is further confirmed by means of
conditional inactivation of Meis2 using crest-specific AP2alpha-IRES-Cre mouse.
Conditional mutants display perturbed development of the craniofacial skeleton
with severe anomalies in cranial bones and cartilages, heart and cranial nerve
abnormalities. CONCLUSIONS: Meis2-null mice are embryonic lethal. Our results
reveal a critical role of Meis2 during cranial and cardiac neural crest cells
development in mouse.
PMID- 26545948
TI - Lower gastrointestinal bleeding as a presentation of miliary tuberculosis.
PMID- 26545949
TI - [A comparison of medical versus surgical treatment in Barrett's esophagus acid
control].
AB - INTRODUCTION: Barrett's oesophagus (BE) is an oesophageal injury caused by
gastroesophageal acid reflux. One of the main aims of treatment in BE is to
achieve adequate acid reflux control. OBJECTIVE: To assess acid reflux control in
patients with BE based on the therapy employed: medical or surgical. METHODS: A
retrospective study was performed in patients with an endoscopic and histological
diagnosis of BE. Medical therapy with proton pump inhibitors (PPI) was compared
with surgical treatment (Nissen fundoplication). Epidemiological data and the
results of pH monitoring (pH time <4, prolonged reflux >5min, DeMeester score)
were evaluated in each group. Treatment failure was defined as a pH lower than 4
for more than 5% of the recording time. RESULTS: A total of 128 patients with BE
were included (75 PPI-treated and 53 surgically-treated patients). Patients
included in the two comparison groups were homogeneous in terms of demographic
characteristics. DeMeester scores, fraction of time pH<4 and the number of
prolonged refluxes were significantly lower in patients with fundoplication
versus those receiving PPIs (P<.001). Treatment failure occurred in 29% of
patients and was significantly higher in those receiving medical therapy (40% vs
13%; P<.001). CONCLUSIONS: Treatment results were significantly worse with
medical treatment than with anti-reflux surgery and should be optimized to
improve acid reflux control in BE. Additional evidence is needed to fully
elucidate the utility of PPI in this disease.
PMID- 26545950
TI - [Silodosin: An overlooked cause of drug-induced diarrhea].
PMID- 26545951
TI - [Cholecysto-cutaneous fistula and cholecysto-choledochal fistula (Mirizzi II)].
PMID- 26545952
TI - Optical coherence microscopy of living cells and bioengineered tissue dynamics in
high-resolution cross-section.
AB - Optical coherence tomography (OCT) is a valuable tool in the cross-sectional
observation/analysis of three-dimensional (3-D) biological tissues, and that
histological observation is important clinically. However, the resolution of the
technology is approximately 10-20 MUm. In this study, optical coherence
microscopy (OCM), a tomographic system combining OCT technology with a
microscopic technique, was constructed for observing cells individually with a
resolution at the submicrometer level. Cells and 3-D tissues fabricated by cell
sheet technology were observed by OCM. Importantly, the cell nuclei and cytoplasm
could be clearly distinguished, and the time-dependent dynamics of cell-sheet
tissues could be observed in detail. Additionally, the 3-D migration of cells in
the bioengineered tissue was also detected using OCM and metal-labeled cells.
Bovine aortic endothelial cells, but not NIH3T3 murine embryonic skin
fibroblasts, actively migrated within the 3-D tissues. This study showed that the
OCM system would be a valuable tool in the fields of cell biology, tissue
engineering, and regenerative medicine. (c) 2015 Wiley Periodicals, Inc. J Biomed
Mater Res Part B: Appl Biomater, 105B: 481-488, 2017.
PMID- 26545953
TI - Exposure to double-stranded RNA mediated by tobacco rattle virus leads to
transcription up-regulation of effector gene Mi-vap-2 from Meloidogyne incognita
and promotion of pathogenicity in progeny.
AB - Meloidogyne spp. are economically important plant parasites and cause enormous
damage to agriculture world-wide. These nematodes use secreted effectors which
modify host cells, allowing them to obtain the nutrients required for growth and
development. A better understanding of the roles of effectors in nematode
parasitism is critical for understanding the mechanisms of nematode-host
interactions. In this study, Mi-vap-2 of Meloidogyne incognita, a gene encoding a
venom allergen-like protein, was targeted by RNA interference mediated by the
tobacco rattle virus. Unexpectedly, compared with a wild type line, a substantial
up-regulation of Mi-vap-2 transcript was observed in juveniles collected at 7
days p.i. from Nicotiana benthamiana agroinfiltrated with TRV::vap-2. This up
regulation of the targeted transcript did not impact development of females or
the production of galls, nor the number of females on the TRV::vap-2 line. In a
positive control line, the transcript of Mi16D10 was knocked down in juveniles
from the TRV::16D10 line at 7 days p.i., resulting in a significant inhibition of
nematode development. The up-regulation of Mi-vap-2 triggered by TRV-RNAi was
inherited by the progeny of the nematodes exposed to double-stranded RNA.
Meanwhile, a substantial increase in Mi-VAP-2 expression in those juvenile
progeny was revealed by ELISA. This caused an increase in the number of galls
(71.2%) and females (84.6%) produced on seedlings of N. benthamiana compared with
the numbers produced by control nematodes. Up-regulation of Mi-vap-2 and its
encoded protein therefore enhanced pathogenicity of the nematodes, suggesting
that Mi-vap-2 may be required for successful parasitism during the early
parasitic stage of M. incognita.
PMID- 26545954
TI - Nanostructured Ni2 P as a Robust Catalyst for the Hydrolytic Dehydrogenation of
Ammonia-Borane.
AB - Ammonia-borane (AB) is a promising chemical hydrogen-storage material. However,
the development of real-time, efficient, controllable, and safe methods for
hydrogen release under mild conditions is a challenge in the large-scale use of
hydrogen as a long-term solution for future energy security. A new class of low
cost catalytic system is presented that uses nanostructured Ni2 P as catalyst,
which exhibits excellent catalytic activity and high sustainability toward
hydrolysis of ammonia-borane with the initial turnover frequency of 40.4 mol(H2)
mol(Ni2P) (-1) min(-1) under air atmosphere and at ambient temperature. This
value is higher than those reported for noble-metal-free catalysts, and the
obtained Arrhenius activation energy (Ea =44.6 kJ mol(-1) ) for the hydrolysis
reaction is comparable to Ru-based bimetallic catalysts. A clearly mechanistic
analysis of the hydrolytic reaction of AB based on experimental results and a
density functional theory calculation is presented.
PMID- 26545955
TI - Prevalence of sexual dysfunction after risk-reducing salpingo-oophorectomy.
AB - OBJECTIVES: To determine the prevalence of sexual dysfunction in women after risk
reducing salpingo-oophorectomy (RRSO) and to assess factors which may influence
sexual wellbeing following this procedure. METHODS: This work is a cross
sectional study of women who underwent RRSO at a tertiary gynecologic oncology
unit between January 2009 and October 2014. Data collection involved a
comprehensive questionnaire including validated measures of sexual function,
sexual distress, relationship satisfaction, body image, impact of event,
menopause specific quality of life, and general quality of life. Participants
were invited to undergo blood testing for serum testosterone and free androgen
index (FAI). RESULTS: 119 of the 206 eligible women participated (58%), with a
mean age of 52years. The prevalence of female sexual dysfunction (FSD) was 74%
and the prevalence of hypoactive sexual desire disorder (HSDD) was 73%. Common
sexual issues experienced included; lubrication difficulty (44%), reduced sexual
satisfaction (41%), dyspareunia (28%) and orgasm difficulty (25%). Relationship
satisfaction, the use of topical vaginal estrogen and lower generalized body pain
were significantly associated with a decreased likelihood of sexual dysfunction.
Serum testosterone, FAI, the use of systemic hormone replacement therapy (HRT),
prior history of breast cancer, menopausal status at the time of surgery and
hysterectomy did not correlate with sexual dysfunction. CONCLUSION: The
prevalence of FSD and HSDD after RRSO was 74% and 73% respectively. Relationship
satisfaction, low bodily pain and use of topical vaginal estrogen were associated
with a lower likelihood of sexual dysfunction. There was no correlation between
serum testosterone or FAI, and sexual dysfunction.
PMID- 26545956
TI - Reply to letters to the editor concerning the article "The effect of cloth stoma
covers on tracheal climate of laryngectomy patients".
PMID- 26545957
TI - Potassium 4,5-Bis(dinitromethyl)furoxanate: A Green Primary Explosive with a
Positive Oxygen Balance.
AB - Potassium 4,5-bis(dinitromethyl)furoxanate was synthesized readily from
cyanoacetic acid. It was characterized by IR spectroscopy, elemental analysis,
NMR spectroscopy, and differential scanning calorimetry (DSC), and the structure
was confirmed by X-ray single-crystal diffraction. Its positive oxygen balance,
high density (2.130 g cm(-3)), sensitivity (IS=2 J, FS=5 N), and calculated heat
of formation (-421.0 kJ mol(-1)), combined with its calculated superior
detonation performance (D=7759.0 m s(-1), P=27.3 GPa), make it a competitive
replacement as a green primary explosive.
PMID- 26545958
TI - Progressive paralyzing sciatica revealing a pelvic pseudoaneurysm a year after
hip surgery in a 12yo boy.
AB - Identifying extra spinal causes of a lumbar radiculopathy or polyneuropathy can
be a tricky diagnosis challenge, especially in children. Among them, traumatic or
iatrogenic pseudoaneurysms of iliac arteries have been seldom reported, in
adults' series. The authors report an unusual case of progressive paralyzing left
sciatica and lumbar plexopathy in a 12 years old boy, 12 months after a pelvic
osteotomy for bilateral hip luxation secondary to osteochondritis dissecans.
Spine MRI and pelvic CT angiography revealed a giant internal iliac artery
pseudoaneurysm, enclosed in a chronic hematoma. The patient was successfully
treated with endovascular coil embolization, and subsequent surgical hematoma
evacuation. However, three months after treatment, neurological recovery was
incomplete. This case highlights the importance of a rapid and extensive
diagnosis work up of all causes of lower limb radiculopathies in children,
including pelvic arteries lesions especially after pelvic surgery to avoid
therapeutic delays that may jeopardize the chances of neurological recovery.
PMID- 26545959
TI - Functioning of the three attentional networks and vigilance in primary insomnia.
AB - BACKGROUND: Results from cognitive measures in primary insomnia (PI) patients are
not consistent with the difficulties in performing daily living tasks of which
these patients complain about. Lack of sensitivity of the tests and the data
concerning some cognitive functions may explain this discordance. The aim of the
present investigation was to better characterize cognitive deficits of PI
patients in order to further understand their cognitive complaints. We looked at
attentional and executive function because of their high involvement in daily
living tasks. METHODS: A total of 21 PI patients and 16 good sleepers completed
the Attentional Network Test (ANT). We only included untreated PI patients since
sleep medication could be a confounding factor when assessing cognition. RESULTS:
While PI patients, compared to good sleepers, were found to have a longer overall
reaction time (RT) and perform more slowly in the incongruent flanker condition
(ie, conflict situation) than in the congruent condition, no group effects were
observed for the variables representing the three attentional networks (ie,
alerting, orienting, and executive functions). CONCLUSIONS: The present study
revealed a conflict resolution deficit in untreated PI patients. This impairment
may be linked to the prefrontal alterations reported in neuroimaging studies in
these patients. Patients had also an impaired vigilance compared to good
sleepers, likely due to the high cognitive load of the ANT. These results would
serve to explain the complaints of PI patients about difficulties performing
daily living tasks that are demanding and of long duration.
PMID- 26545960
TI - Inferior vena cava web causing Budd-Chiari syndrome.
PMID- 26545961
TI - Autophagy, which is decreased in labouring fetal membranes, regulates IL-1beta
production via the inflammasome.
AB - INTRODUCTION: IL-1beta plays a vital role in the terminal processes of human
labour and delivery. Inflammasome activation is required to process pro IL-1beta
to an active, secreted molecule. Recent studies have shown that autophagy
regulates IL-1beta via the inflammasome. The aims were to determine the effect of
(i) human spontaneous term and preterm labour on the expression of autophagy
proteins in fetal membranes; and (ii) autophagy inhibition on IL-1beta release.
METHODS: Fetal membranes, from term and preterm, were obtained from non-labouring
and labouring women. Tissue explants were used to determine the effect of
inhibition of autophagy on IL-1beta secretion. RESULTS: Expression of the
autophagy proteins Beclin-1, Atg3, Atg5, Atg7, Atg12, Atg16L1 were lower after
spontaneous term labour. Beclin-1 and Atg7 expression were lower after
spontaneous preterm labour. Beclin-1, Atg3, and Atg7 expression were lower after
preterm pre-labour rupture of membranes (PPROM) compared to preterm with intact
membranes. LC3B-I expression was higher after spontaneous term and preterm labour
and with PPROM; there was no difference in LC3B-II expression between the two
groups. The autophagy inhibitor LY290042 increased IL-1beta secretion in the
presence of bacterial endotoxin LPS; IL-1beta secretion was ameliorated in the
presence inflammasome inhibitors. DISCUSSION: Autophagy is decreased in fetal
membranes after spontaneous labour and delivery, and PPROM. Inhibition of
autophagy regulates the secretion of IL-1beta via inflammasome activation. IL
1beta is a major contributor to the pathophysiology of spontaneous preterm birth.
Therefore activation of autophagy may be a potential therapeutic mechanism to
delay or prevent infection-induced preterm birth.
PMID- 26545962
TI - Differentiation of first trimester cytotrophoblast to extravillous trophoblast
involves an epithelial-mesenchymal transition.
AB - The transformation of cytotrophoblast (CTB) to extravillous trophoblast (EVT) is
an essential process for placental implantation. EVT generated at the tips of the
anchoring villi migrate away from the placenta and invade the endometrium and
maternal spiral arteries, where they modulate maternal immune responses and
remodel the arteries into high-volume conduits to facilitate uteroplacental blood
flow. The process of EVT differentiation has several factors in common with the
epithelial-to-mesenchymal transition (EMT) observed in embryonic development,
wound healing and cancer metastasis. We hypothesized that the generation of
invasive EVT from CTB was a form of EMT. We isolated paired CTB and EVT from
first trimester placentae, and compared their gene expression using a PCR array
comprising probes for genes involved in EMT. Out of 84 genes, 24 were down
regulated in EVT compared to CTB, including epithelial markers such as E-cadherin
(-11-fold) and occludin (-75-fold). Another 30 genes were up-regulated in EVT
compared to CTB including mesenchymal markers such as vimentin (235-fold) and
fibronectin (107-fold) as well as the matrix metalloproteinases, MMP2 and MMP9
(357-fold, 129-fold). These alterations also included major increases in the ZEB2
(zinc finger E-box binding homeobox 2, 198-fold) and TCF4 (transcription factor
4, 18-fold) transcription factors, suggesting possible stimulatory mechanisms.
There was substantial up-regulation of the genes encoding TGFbeta1 and TGFbeta2
(48-fold, 115-fold), which may contribute to the maintenance of the mesenchymal
like phenotype. We conclude that transformation of CTB to EVT is consistent with
an EMT, although the differences with other types of EMT suggest this may be a
unique form.
PMID- 26545963
TI - The sex ratio at birth in South Africa increased 9months after the 2010 FIFA
World Cup.
AB - INTRODUCTION: In humans in the absence of significant stress the sex ratio at
birth [males/(males+females)] is in favor of more male than female live births.
METHODS: This study sought to determine the influence of the 2010 Federation
Internationale de Football Association (FIFA) World Cup in South Africa on the
sex ratio at birth in that country specifically 9months afterwards. Publicly
available data from Statistics South Africa was utilized detailing recorded live
births. Analysis was carried out by Chi-squared tests. RESULTS: February and
March 2011 about 9months after the World Cup, had the highest observed sex ratio
at birth (relatively more male births) of 0.5063 for the period 2003 to 2012. The
observed sex ratio at birth in the considered two months of 2011 was 0.63%
(p=0.02) greater than the sex ratio at birth for corresponding periods from 2008
to 2012. The increase noted in 2011 corresponds to more than 1000 extra male
births than expected for February and March 2011. CONCLUSION: The 2010 FIFA World
Cup was followed about 9months afterwards by a significant increase in the sex
ratio at birth. The main mechanism driving the observed increase in the sex ratio
at birth in South Africa is most likely more frequent sexual intercourse at
population level during the tournament.
PMID- 26545964
TI - 1H NMR metabolic profiling of cod (Gadus morhua) larvae: potential effects of
temperature and diet composition during early developmental stages.
AB - Marine aquaculture offers a great source of protein for the increasing human
population, and farming of, for example, Atlantic salmon is a global industry.
Atlantic cod farming however, is an example of a promising industry where the
potential is not yet realized. Research has revealed that a major bottleneck to
successful farming of cod is poor quality of the larvae and juveniles. A large
research program was designed to increase our understanding of how environmental
factors such as temperature and nutrition affects cod larvae development. Data on
larvae growth and development were used together with nuclear magnetic resonance.
The NMR data indicated that the temperature influenced the metabolome of the
larvae; differences were related to osmolytes such as betaine/TMAO, the amino
acid taurine, and creatine and lactate which reflect muscle activity. The larvae
were fed Artemia from stage 2, and this was probably reflected in a high taurine
content of older larvae. Larvae fed with copepods in the nutrition experiment
also displayed a high taurine content, together with higher creatine and
betaine/TMAO content. Data on the cod larvae metabolome should be coupled to data
on gene expression, in order to identify events which are regulated on the
genetic level versus regulation resulting from temperature or nutrition during
development, to fully understand how the environment affects larval development.
PMID- 26545965
TI - N-docosahexaenoylethanolamine regulates Hedgehog signaling and promotes growth of
cortical axons.
AB - Axonogenesis, a process for the establishment of neuron connectivity, is central
to brain function. The role of metabolites derived from docosahexaenoic acid
(DHA, 22:6n-3) that is specifically enriched in the brain, has not been addressed
in axon development. In this study, we tested if synaptamide (N
docosahexaenoylethanolamine), an endogenous metabolite of DHA, affects axon
growth in cultured cortical neurons. We found that synaptamide increased the
average axon length, inhibited GLI family zinc finger 1 (GLI1) transcription and
sonic hedgehog (Shh) target gene expression while inducing cAMP elevation.
Similar effects were produced by cyclopamine, a regulator of the Shh pathway.
Conversely, Shh antagonized elevation of cAMP and blocked synaptamide-mediated
increase in axon length. Activation of Shh pathway by a smoothened (SMO) agonist
(SAG) or overexpression of SMO did not inhibit axon growth mediated by
synaptamide or cyclopamine. Instead, adenylate cyclase inhibitor SQ22536
abolished synaptamide-mediated axon growth indicating requirement of cAMP
elevation for this process. Our findings establish that synaptamide promotes axon
growth while Shh antagonizes synaptamide-mediated cAMP elevation and axon growth
by a SMO-independent, non-canonical pathway.
PMID- 26545966
TI - Discussion.
PMID- 26545968
TI - Neoaortic support hose.
PMID- 26545967
TI - Adverse events in contemporary continuous-flow left ventricular assist devices: A
multi-institutional comparison shows significant differences.
AB - OBJECTIVES: We review differences in the incidence and timing of adverse events
in patients implanted with continuous-flow left ventricular assist devices
(LVADs), using the Mechanical Circulatory Support Research Network registry.
METHODS: From May 2004 to September 2014, a total of 734 patients (591 men;
median age: 59 years) underwent primary continuous-flow LVAD implantation at our
institutions. Patients implanted with the HeartMate II (HMII) (560 [76%]
patients), compared with the HeartWare ventricular assist device (HVAD; 174
[24%]) were more often receiving destination therapy (47% vs 20%; P < .01), had a
lower preoperative creatinine level (1.2 vs 1.3; P = .01), and had less median
preoperative right ventricular dysfunction (mild vs moderate; P < .01). Ischemic
etiology, prior sternotomy, and median INTERMACS profile were similar. RESULTS:
Overall mortality was 54 of 734 (7.4%); 41 of 560 (7.3%) in the HMII group, and
13 of 174 (7.5%) in the HVAD group (P = .95). Follow-up was available in 100% of
early survivors for a median of 1 year (max: 10 years) and a total of 1120
patient-years of support (HMII: 940 patient-years [median: 1.1 years, max: 5.3
years] and HVAD: 180 patient-years [median: 0.6 year, max: 10.4 years]). On
multivariable analysis, GI bleeding (P = .63), any infection (P = .32), driveline
infection (P = .10), and pump thrombus (P = .64) were similar between devices
while HeartWare HVAD was associated with higher risk of stroke (HR: 1.8, [1.25,
2.5], P = .003). CONCLUSIONS: In this pooled analysis, a trend was found for
higher incidence of percutaneous driveline infections in patients treated with
the HMII; a higher incidence of stroke and time-related cumulative risk of any
infection and stroke was found in patients treated with the HVAD, which was
independently associated with higher stroke risk.
PMID- 26545969
TI - Discussion.
PMID- 26545970
TI - What is a "good" result after transcatheter mitral repair? Impact of 2+ residual
mitral regurgitation.
AB - OBJECTIVE: The study objective was to assess the impact on follow-up outcomes of
residual mitral regurgitation 2+ in comparison with <= 1+ after MitraClip (Abbott
Vascular Inc, Santa Clara, Calif) repair. METHODS: We compared the outcomes of
mitral regurgitation 2+ and mitral regurgitation <= 1 + groups among a population
of 223 consecutive patients with acute residual mitral regurgitation <= 2+ who
underwent MitraClip implantation at San Raffaele Scientific Institute (Milan,
Italy) between October 2008 and December 2014. RESULTS: Residual mitral
regurgitation 2+ was found in 64 patients (28.7%). Overall actuarial survival was
63.1% +/- 4.4% at 48 months. Cumulative incidence functions of cardiac death in
patients with mitral regurgitation 2+ was significantly higher (Gray test P <
.001) compared with the mitral regurgitation <= 1+ group. The adjusted hazard
ratio was 5.28 (95% confidence interval, 2.41-11.56, P < .001). Cumulative
incidence function of mitral regurgitation >= 3+ recurrence in patients with
residual mitral regurgitation <= 1+ and mitral regurgitation 2+ at 48 months was
13.3% +/- 3.8% and 45.2% +/- 6.8%, respectively (Gray test P < .001).
Multivariate model showed that mitral regurgitation 2+ was the only factor
associated with the development of mitral regurgitation >= 3+ at follow-up
(adjusted hazard ratio, 6.71; 95% confidence interval, 3.48-12.90; P < .001).
Mitral regurgitation cause was not associated with cardiac death and recurrence
of mitral regurgitation >= 3+ at follow-up. No relationship between New York
Heart Association class and follow-up time after MitraClip implant was found
(odds ratio, 1.07; 95% confidence interval, 0.98-1.15; P = .11), and factors
related to postoperative New York Heart Association also included residual mitral
regurgitation 2+ (P = .07). CONCLUSIONS: Residual 2+ mitral regurgitation after
MitraClip implantation was associated with worse follow-up outcomes compared with
<= 1+ mitral regurgitation, including survival, symptom relief, and mitral
regurgitation recurrence. Better efficacy should be pursued by transcatheter
mitral repair technologies.
PMID- 26545972
TI - Congenital Heart Disease and Primary Ciliary Dyskinesia.
AB - Through the better understanding of the genetics and clinical associations of
Primary Ciliary Dyskinesia (PCD), an autosomal recessive disorder of ciliary
motility and mucociliary clearance, the association between PCD and heterotaxic
congenital heart disease (CHD) has been established. In parallel, research into
the cause of CHD has elucidated further the role of ciliary function on the
development of normal cardiovascular structure. Increased awareness by clinicians
regarding this elevated risk of PCD in patients with CHD will allow for more
comprehensive screening and identification of cases in this high-risk group with
earlier diagnosis leading to improved health outcomes.
PMID- 26545971
TI - Antibiotic prophylaxis and risk of Clostridium difficile infection after coronary
artery bypass graft surgery.
AB - OBJECTIVE: Antibiotic use, particularly type and duration, is a crucial
modifiable risk factor for Clostridium difficile. Cardiac surgery is of
particular interest because prophylactic antibiotics are recommended for 48 hours
or less (vs <=24 hours for noncardiac surgery), with increasing vancomycin use.
We aimed to study associations between antibiotic prophylaxis
(duration/vancomycin use) and C difficile among patients undergoing coronary
artery bypass grafting. METHODS: We extracted data on coronary artery bypass
grafting procedures from the national Premier Perspective claims database (2006
2013, n = 154,200, 233 hospitals). Multilevel multivariable logistic regressions
measured associations between (1) duration (<2 days, "standard" vs >=2 days,
"extended") and (2) type of antibiotic used ("cephalosporin," "cephalosporin +
vancomycin," "vancomycin") and C difficile as outcome. RESULTS: Overall C
difficile prevalence was 0.21% (n = 329). Most patients (59.7%) received a
cephalosporin only; in 33.1% vancomycin was added, whereas 7.2% received
vancomycin only. Extended prophylaxis was used in 20.9%. In adjusted analyses,
extended prophylaxis (vs standard) was associated with significantly increased C
difficile risk (odds ratio, 1.43; confidence interval, 1.07-1.92), whereas no
significant associations existed for vancomycin use as adjuvant or primary
prophylactic compared with the use of cephalosporins (odds ratio, 1.21;
confidence interval, 0.92-1.60, and odds ratio, 1.39; confidence interval, 0.94
2.05, respectively). Substantial inter-hospital variation exists in the
percentage of extended antibiotic prophylaxis (interquartile range, 2.5-35.7),
use of adjuvant vancomycin (interquartile range, 4.2-61.1), and vancomycin alone
(interquartile range, 2.3-10.4). CONCLUSIONS: Although extended use of antibiotic
prophylaxis was associated with increased C difficile risk after coronary artery
bypass grafting, vancomycin use was not. The observed hospital variation in
antibiotic prophylaxis practices suggests great potential for efforts aimed at
standardizing practices that subsequently could reduce C difficile risk.
PMID- 26545973
TI - The effect of FT500 Plus((r)) on ovarian stimulation in PCOS women.
AB - Both oxidative stress and polycystic ovary syndrome have been involved in several
aspects of female reproduction. In this retrospective observational study, the
outcome of controlled ovarian stimulation and follicular microenvironment of
twenty-five women affected by PCOS (Group A) have been explored, evaluating the
effects of myo-inositol in association with antioxidant activities (FT500
Plus((r))). Twenty-five untreated-PCOS women (Group B) with similar
characteristics served as control group. Although there was no difference in
ovarian volume at time zero, this parameter was significantly smaller at the 5
month follow-up in the Group A (11.1+/-0.9 versus 13.5+/-1; P=0.0001). Group A
showed a significant increase in the number of MII oocytes (6.3+/-2.5 versus
4.5+/-2; P=0.03) and glutathione peroxidase activity in follicular fluid (15.4+/
6.2 versus 11+/-2.2; P=0.04). FT500 Plus((r)) may be considered in PCOS patient
for improving oocyte quality.
PMID- 26545974
TI - Potential seminal transport of pharmaceuticals to the conceptus.
AB - Small molecule pharmaceutical products are assumed to reach concentrations in
semen similar to those in blood plasma. Exposure modeling for these small
molecule products in humans assumes a daily dose of 5mL of semen and 100%
absorption from the vagina with distribution to the conceptus through the
maternal systemic circulation. Monoclonal antibody drugs are present in semen at
concentrations about 2% or less of those in blood, and the modeling used for
small molecules will over-estimate the possibility of conceptus exposure to
immunoglobulins. It is not known whether peptide products reach semen, but in
general peptide medications are destroyed by vaginal peptidases, and conceptus
exposure is predicted to be minimal. Theoretical exposure routes to
pharmaceuticals that might result in exposure of the conceptus greater than that
of maternal systemic exposures include direct access through the cervical canal,
adsorption to sperm for carriage into the oocyte, and direct delivery from the
vaginal veins or lymphatics to the uterine artery. There is some evidence for
direct access to the uterus for progesterone, terbutaline, and danazol, but the
evidence does not involve exposures during pregnancy in most instances. Studies
in mice, rats, rabbits, and monkeys do not suggest that exposure to small
molecule pharmaceuticals in semen imposes risks to the conceptus beyond those
that can be predicted using modeling of systemic maternal exposure. Monoclonal
antibody and peptide exposure in semen does not pose a significant risk to the
conceptus.
PMID- 26545975
TI - Factors influencing acceptability of voluntary HIV testing among pregnant women
in Gamboma, Republic of Congo.
AB - BACKGROUND: This study was carried out to identify factors affecting the
acceptability of voluntary HIV testing among pregnant women in a semi-rural city,
Gamboma, Republic of Congo. METHODS: A cross-sectional study was conducted
between January and September 2012. Pregnant women attending antenatal heath care
at an integrated health center were enrolled after informed consent and followed
through voluntary HIV testing. RESULTS: Among 136 participants, 98 women (72 %)
accepted voluntary HIV testing after pre-test counseling. Women with basic
education, those who cited blood transfusion as a mode of transmission and
prevention of mother-to-child transmission (MTCT) were more likely to accept
testing as well those informed about free HIV testing. Interestingly, pregnant
women who had heard about HIV/AIDS from hospital setting were less likely to
accept testing. CONCLUSIONS: Our data indicate that increasing general education
on HIV transmission/prevention modes is crucial for increasing acceptability of
screening. Furthermore, HIV/AIDS knowledge disseminated to patients in hospital
settings should be carefully monitored. Lastly, scaling-up MTCT services along
with a better and larger community information, may address accessibility
barriers observed in the present study.
PMID- 26545976
TI - Local audit: How tightly should we police antibiotic prescribing for urinary
tract infection and how should we modify national policy?
AB - OBJECTIVE: In 2010, our hospital, in line with National guidance, changed advice
on antibiotic prescribing for UTI to reduce use of cephalosporins in favour of
penicillins. We hypothesized that this change in policy would have no impact on
the pattern of antibiotic resistance of the organisms causing UTI. STUDY DESIGN:
Audit review of all urine samples sent to BWH from 2009 to 2013 and positive
cultures showing Enterobacteriaceae were then tested for antibiotic
susceptibility. RESULTS: There has been an increase in the resistance of both Co
amoxiclav and Ciprofloxacin since 2009. Co-amoxiclav and trimethoprim now have
similar resistance rates. Ciprofloxacin resistance has risen fairly quickly in
the last four years from 1% to 8%. Resistance to nitrofurantoin has remained low.
Gentamicin resistance remained stable and very low, second best to meroponem.
IMPACT: The results have been fed back to commissioners and internally and are
being used as part of the guideline updating process. CONCLUSIONS: Hospital
protocols for treating infections should be reviewed and updated based on
accurate local data. These data should be used for formulating regional specific
protocols. Our results suggest that meroponem and ciprofloxacin should be
reserved for microbiologically proven resistance to other antibiotics.
PMID- 26545977
TI - Plakoglobin expression in fibroblasts and its role in idiopathic pulmonary
fibrosis.
AB - BACKGROUND: Idiopathic pulmonary fibrosis (IPF) is an interstitial fibrotic lung
disease of unknown origin and without effective therapy characterized by
deposition of extracellular matrix by activated fibroblasts in the lung.
Fibroblast activation in IPF is associated with Wnt/beta-catenin signaling, but
little is known about the role of the beta-catenin-homologous desmosomal protein,
plakoglobin (PG), in IPF. The objective of this study was to assess the
functional role of PG in human lung fibroblasts in IPF. METHODS: Human lung
fibroblasts from normal or IPF patients were transfected with siRNA targeting PG
and used to assess cellular adhesion to a fibronectin substrate, apoptosis and
proliferation. Statistical analysis was performed using Student's t-test with
Mann-Whitney post-hoc analyses and results were considered significant when p <
0.05. RESULTS: We found that IPF lung fibroblasts expressed less PG protein than
control fibroblasts, but that characteristic fibroblast phenotypes (adhesion,
proliferation, and apoptosis) were not controlled by PG expression. Consistent
with this, normal fibroblasts in which PG was silenced displayed no change in
functional phenotype. CONCLUSIONS: We conclude that diminished PG levels in IPF
lung fibroblasts do not directly affect certain phenotypic behaviors. Further
study is needed to identify the functional consequences of decreased PG in these
cells.
PMID- 26545978
TI - Characteristics and severity of asthma in children with and without atopic
conditions: a cross-sectional study.
AB - BACKGROUND: Childhood allergic diseases have a major impact on a child's quality
of life, as well as that of their parents. We studied the coexistence of reported
allergies in children who use asthma medication. Additionally, we tested the
hypothesis that asthma severity is greater among children with certain
combinations of co-morbid allergic conditions. METHODS: For this cross-sectional
study, 703 children (ages 4 to 12 years) from the PACMAN cohort study were
selected. All of the children were regular users of asthma medication. The study
population was divided into nine subgroups according to parental-reported
allergies of the child (hay fever, eczema, food allergy or combinations of
these). In order to assess whether these subgroups differed clinically, the
groups were compared for child characteristics (age, gender, family history of
asthma), asthma exacerbations in the past year (oral corticosteroids (OCS) use;
asthma-related emergency department (ED) visits), asthma control, fractional
exhaled nitric oxide level (FeNO), and antihistaminic usage. RESULTS: In our
study, 79.0% of the parents reported that their child suffered from at least one
atopic condition (hay fever, food allergy and eczema), and one quarter of the
parents (25.6%) reported that their child suffered from all three atopic
conditions. Having more than one atopic condition was associated with an
increased risk of OCS use (OR = 3.3, 95% CI = 1.6 - 6.6), ED visits (OR = 2.3,
95% CI = 1.2 - 4.6) in the past year and inadequate short term asthma control (OR
= 1.9, 95% CI = 1.3 - 2.8). CONCLUSIONS: Children who use asthma medication often
also have other allergic conditions. Parental reported allergies were associated
with a higher risk of more severe asthma (more asthma complaints and more asthma
exacerbations).
PMID- 26545979
TI - Pituitary metastasis of hepatocellular carcinoma presenting with
panhypopituitarism: a case report.
AB - BACKGROUND: Metastasis to the pituitary gland is extremely rare and is often
detected incidentally by symptoms associated with endocrine dysfunction. Breast
and lung cancer are the most common primary metastasizing to pituitary gland.
Metastasis from hepatocellular carcinoma to the pituitary gland is extremely
rare, with only 10 cases having been previously reported. We present here the
first case of pituitary metastasis of hepatocellular carcinoma presenting with
panhypopituitarism diagnosed by magnetic resonance imaging. CASE PRESENTATION: We
report the case of an 80-year-old Japanese woman who presented with the sudden
onset of hypotension and bradycardia after having previously been diagnosed with
hepatocellular carcinoma. Based on low levels of pituitary hormones, she was
diagnosed with panhypopituitarism caused by metastasis of the hepatocellular
carcinoma to the pituitary gland. Magnetic resonance imaging with arterial spin
labeling was effective in the differential diagnosis of the intrasellar tumor.
The patient died despite hormone replacement therapy because of hypovolemic
shock. CONCLUSION: Metastasis to the pituitary gland causes various non-specific
symptoms, so it is difficult to diagnose. The present case emphasizes the
importance of diagnostic imaging in identifying these metastases. Clinicians
should consider the possibility of pituitary metastasis in patients with
malignant tumors who demonstrate hypopituitarism.
PMID- 26545981
TI - Mouse hippocampal phosphorylation footprint induced by generalized seizures:
Focus on ERK, mTORC1 and Akt/GSK-3 pathways.
AB - Exacerbated hippocampal activity has been associated to critical modifications of
the intracellular signaling pathways. We have investigated rapid hippocampal
adaptive responses induced by maximal electroshock seizure (MES). Here, we
demonstrate that abnormal and exacerbated hippocampal activity induced by MES
triggers specific and temporally distinct patterns of phosphorylation of
extracellular signal-related kinase (ERK), mammalian target of rapamycin complex
(mTORC) and Akt/glycogen synthase kinase-3 (Akt/GSK-3) pathways in the mouse
hippocampus. While the ERK pathway is transiently activated, the mTORC1 cascade
follows a rapid inhibition followed by a transient activation. This rebound of
mTORC1 activity leads to the selective phosphorylation of p70S6K, which is
accompanied by an enhanced phosphorylation of the ribosomal subunit S6. In
contrast, the Akt/GSK-3 pathway is weakly altered. Finally, MES triggers a rapid
upregulation of several plasticity-associated genes as a consequence exacerbated
hippocampal activity. The results reported in the present study are reminiscent
of the one observed in other models of generalized seizures, thus defining a
common molecular footprint induced by intense and aberrant hippocampal
activities.
PMID- 26545980
TI - The outcome and prognostic factors for lymph node recurrence after node-sparing
definitive external beam radiotherapy for localized prostate cancer.
AB - BACKGROUND: The prognostic factors for the recurrence of lymph node (LN)
metastasis after dose-escalated radiotherapy (RT) in prostate cancer patients
have not been well investigated. We report the prognostic factors and outcomes in
patients receiving salvage treatment for LN recurrence after high-dose intensity
modulated RT (IMRT). METHODS: We studied a cohort of 419 patients with localized
prostate adenocarcinoma undergoing definitive IMRT (78 Gy). LN recurrence was
diagnosed by size criteria using computed tomography (CT) or magnetic resonance
imaging, or abnormal uptake of (18)F-fluorocholine by LNs on positron emission
tomography/CT. Overall survival and LN recurrence-free survival (LNRFS) were
calculated, and prognostic factors were evaluated. RESULTS: With a median follow
up of 60 months, 18 patients (4.3 %) had LN recurrence and a significantly lower
5-year overall survival rate (60 vs. 90 %, p = 0.003). Univariate analysis showed
that T3/T4 stage (p = 0.003), Gleason score >7 (p < 0.001), and estimated risk of
pelvic LN involvement of >30 % by the Roach formula (p = 0.029) were associated
with significantly lower LNRFS. On multivariate analysis, high Gleason score
(hazard ratio = 5.99, p = 0.007) was the only independent factor. The 1/2-year
overall survivals after LN recurrence were 67/54 %. Patients with isolated LN
recurrence (p = 0.003), prostate-specific antigen (PSA) doubling time >5 months
(p = 0.009), interval between PSA nadir and biochemical failure >12 months (p =
0.035), and PSA <10 ng/ml at LN recurrence (p = 0.003) had significantly better
survival. Patients with isolated LN recurrence had significantly better survival
when treated with combined RT and hormones than when treated with hormones alone
(p = 0.011). CONCLUSIONS: Gleason score of >7 may predict LN recurrence in
prostate cancer patients treated with definitive IMRT. Small number of patients
limits the extrapolation of this risk with the primary treatment strategy.
Combined RT and hormones may prolong survival in patients with isolated LN
recurrence.
PMID- 26545982
TI - BMSCs transplantation improves cognitive impairment via up-regulation of
hippocampal GABAergic system in a rat model of chronic cerebral hypoperfusion.
AB - Bone marrow mesenchymal stem cells (BMSCs) transplantation can ameliorate
cognitive impairment in chronic ischemic brain injury, but the underlying
mechanism is poorly understood. It is considered that the hippocampus holds the
capabilities of memory consolidation and spatial navigation, and the gamma amino
butyric acid (GABA)ergic system plays an important role in the control of
learning and memory processes. Herein, we investigated whether transplantation of
BMSCs could improve cognitive impairment via regulating the hippocampal GABAergic
system in a rat model of chronic cerebral hypoperfusion. Animals treated with
permanent bilateral occlusion of the common carotid arteries (two-vessel
occlusion, 2VO) (a rat model of chronic cerebral hypoperfusion) received
intravenous injections of BMSCs or saline as experimental group and control group
I, the sham-operated rats received intravenous injections of BMSCs or saline as
the sham group and control group II. Four weeks later, the Morris Water Maze was
employed to evaluate the cognitive changes of each group, immunohistochemistry
and western blotting was used to investigate the GABAergic system expression
including GABA, glutamic acid decarboxylase 67 (GAD67) or GABA(B) receptor 1
(GABA(B)R1) in the hippocampus. Our results showed that the 2VO model presented
decreased capacities of learning and memory and down-regulated the expression of
GABA, GAD67 or GABA(B)R1 in the hippocampal CA1 subfield in comparison to the
sham group (P<0.05), while administration of BMSCs (experimental group)
manifested increased performances of learning sessions and probe tasks, as well
as up-regulated expression of GABA, GAD67 or GABA(B)R1 compared with the control
group I (P<0.05). Collectively, these findings suggest that transplantation of
BMSCs is capable of improving cognitive impairment via up-regulating the
hippocampal GABAergic system in a rat model of chronic cerebral hypoperfusion.
Hence, BMSCs transplantation could serve as an important tool for cell therapy in
chronic cerebral hypoperfusion disorders.
PMID- 26545984
TI - How Tom Moon's research highlighted the question of glucose tolerance in
carnivorous fish.
AB - Fifteen years ago, Tom Moon wrote a review on this journal in order to propose
some explanations to the exacerbated glycaemic response after a glucose load or a
carbohydrate meal intake observed in fish, the so-called intolerance to glucose.
Before, but in most of cases after this paper, several laboratories worldwide
started to make important efforts in order to better understand this strange
phenotype observed in fish and that so far seemed to belong to diabetic humans
only. Tom had been worked on fish metabolism for at least 30years when he
proposed that mini-review and the paths opened by him in 2001 were followed by
tens of fish researchers, making this paper a breaking point on the field.
Fifteen years later, we propose not only to have a look to the answers given to
the questions rose in that paper, but also to summarize how his career over all
these years impacted the domain of glucose metabolism in fish. In the review, we
will show how Tom Moon analysed at different levels (from genes up to the whole
organism), using distinct experimental tools (cells, hormone or glucose
injection, pumps, drugs) the questions of glucose metabolism, tolerance and
nutrition in fish species.
PMID- 26545983
TI - DNA methylation subgroups in melanoma are associated with proliferative and
immunological processes.
AB - BACKGROUND: DNA methylation at CpG dinucleotides is modified in tumorigenesis
with potential impact on transcriptional activity. METHODS: We used the Illumina
450 K platform to evaluate DNA methylation patterns of 50 metastatic melanoma
tumors, with matched gene expression data. RESULTS: We identified three different
methylation groups and validated the groups in independent data from The Cancer
Genome Atlas. One group displayed hypermethylation of a developmental promoter
set, genome-wide demethylation, increased proliferation and activity of the
SWI/SNF complex. A second group had a methylation pattern resembling stromal and
leukocyte cells, over-expressed an immune signature and had improved survival
rates in metastatic tumors (p < 0.05). A third group had intermediate methylation
levels and expressed both proliferative and immune signatures. The methylation
groups corresponded to some degree with previously identified gene expression
phenotypes. CONCLUSIONS: Melanoma consists of divergent methylation groups that
are distinguished by promoter methylation, proliferation and content of
immunological cells.
PMID- 26545985
TI - Wnt/beta-catenin signaling participates in the regulation of lipogenesis in the
liver of juvenile turbot (Scophthalmus maximus L.).
AB - In this study, the mechanism that Wnt/beta-catenin signaling inhibits lipogenesis
was investigated in the liver of juvenile turbot (Scophthalmus maximus L.) by
LiCl or XAV939 treatment. Wnt/beta-catenin signaling was activated by LiCl
treatment or inhibited by XAV939 treatment through regulating the expression of
glycogen synthase kinase-3beta (GSK-3beta) and Wnt10b. In addition, the
expression of lipoprotein lipase (LPL), fatty acid synthetase (FAS), peroxisome
proliferator-activated receptor gamma (PPARgamma), and CCAAT/enhancer binding
protein alpha (C/EBPalpha) was inhibited by LiCl treatment, but induced by XAV939
treatment. In the plasma of juvenile turbot, the level of nonesterified fatty
acid (NEFA), glycerol, triglyceride (TG), total cholesterol (TC), and low density
lipoprotein cholesterol (LDL-C) was decreased by LiCl treatment, which was
related to the decrease of the activity of LPL and FAS. Thus the inhibitory
effect of Wnt/beta-catenin signaling on lipogenesis was associated with the
expression of key enzymes and transcriptional factors. Wnt/beta-catenin signaling
may participate in inhibiting lipogenesis by inhibiting the expression of
PPARgamma and C/EBPalpha in the liver of juvenile turbot.
PMID- 26545988
TI - Etiology of Acute Bacterial Meningitis in Iran: a Systematic Review.
AB - Acute bacterial meningitis (ABM) is one of the most severe infectious diseases,
causing neurologic sequel, and a case fatality rate of 20-30%. The aim of this
paper was to summarize the main causes of ABM in Iran. We searched the data for
relevant articles using meningitis, etiology, and Iran as search terms. We found
23 papers for inclusion in the review that focused specifically on the ABM,
addressing etiology and acute meningitis. Finally, during the 23 years, a total
of 18163 cases were recorded, and 1074 cases of which met the criteria for
bacterial meningitis. The most common agent associated with bacterial meningitis
was S. pneumoniae, followed by H. influenzae, Enterobacter spp., N. meningitidis,
and group B streptococcus. The total incidence of ABM during 1991 to 2002 was
higher than during 2003-2013. S. pneumoniae still remains a main cause of
bacterial meningitis. For improved outcomes, studies are needed to further
clarify the etiology of meningitis in Iran, explore simple, accurate, and
practical diagnostic tools as PCR, and investigate the most appropriate specific
and supportive interventions to manage and prevent meningitis as vaccination.
PMID- 26545986
TI - Baseline characteristics, analysis plan and report on feasibility for the
Prevention Of Decline in Cognition After Stroke Trial (PODCAST).
AB - BACKGROUND: A common complication after stroke is development of cognitive
impairment and dementia. However, effective strategies for reducing the risk of
developing these problems remain undefined. Potential strategies include
intensive lowering of blood pressure (BP) and/or lipids. This paper summarises
the baseline characteristics, statistical analysis plan and feasibility of a
randomised control trial of blood pressure and lipid lowering in patients post
stroke with the primary objective of reducing cognitive impairment and dementia.
METHODS: The Prevention Of Decline in Cognition After Stroke Trial (PODCAST) was
a multi-centre prospective randomised open-label blinded-endpoint controlled
partial-factorial internal pilot trial running in secondary and primary care.
Participants without dementia were enrolled 3-7 months post ischaemic stroke or
spontaneous intracerebral haemorrhage, and randomised to intensive versus
guideline BP lowering (target systolic BP <125 mmHg versus <140 mmHg); patients
with ischaemic stroke were also randomised to intensive or guideline lipid
lowering (target LDL cholesterol <1.4 mmol/L versus <3 mmol/L). The primary
outcome was the Addenbrooke's Cognitive Examination-Revised; a key secondary
outcome was to assess feasibility of performing a large trial of one or both
interventions. Data are number (%) or mean (standard deviation). The trial was
planned to last for 8 years with follow-up between 1 and 8 years. The plan for
reporting the main results is included as Additional file 2. RESULTS: 83 patients
(of a planned 600) were recruited from 19 UK sites between 7 October 2010 and 31
January 2014. Delays, due to difficulties in the provision of excess treatment
costs and to complexity of follow-up, led to few centres taking part and a much
lower recruitment rate than planned. Patient characteristics at baseline were:
age 74 (SD 7) years, male 64 (77 %), index stroke ischaemic 77 (93 %), stroke
onset to randomisation 4.5 [SD 1.3] months, Addenbrooke's Cognitive Examination
Revised 86 (of 100, SD 8), Montreal Cognitive Assessment 24 (of 30, SD 3), BP
147/82 (SD 19/11) mmHg, total cholesterol 4.0 (SD 0.8) mmol/L and LDL cholesterol
2.0 (SD 0.7) mmol/L, modified Rankin Scale 1.1 (SD 0.8). CONCLUSION: Limited
recruitment suggests that a large trial is not feasible using the current
protocol. The effects of the interventions on BP, lipids, and cognition will be
reported in the main publication. TRIAL REGISTRATION: ISRCTN85562386 registered
on 23 September 2009.
PMID- 26545987
TI - Totally robotic repair of atrioventricular septal defect in the adult.
AB - BACKGROUND: Atrioventricular septal defect (AVSD) accounts for up to 3 % of
congenital cardiac defects, which is routinely repaired via median sternotomy.
Minimally invasive approach such as endoscopic or robotic assisted repair for
AVSD has not been reported in the literature. With the experience with robotic
mitral valve surgery and congenital defect repair, we initiated robotic AVSD
repair in adults. CASE PRESENTATION: In this report, we presented three cases of
successful repair of partial and intermediate AVSD by using da Vinci SI surgical
system (Intuitive Surgical, Inc., Sunnyvale, CA). CONCLUSIONS: Totally robotic
AVSD repair via right atriotomy could be safely performed in adults and it may
provide superior cosmesis with the comparable surgical outcome of the repair via
sternotomy.
PMID- 26545989
TI - Total Knee Arthroplasty: Does the Tibial Medial Side Defect Affect Outcome?
AB - Primary knee osteoarthritis (OA) is one of the degenerative diseases that destroy
auricular cartilage within knee joint and cause pain, varies deformity, decrease
knee function. Total knee arthroplasty (TKA) is an effective intervention in
order to relieve pain, improve function and QOL (quality of life) in patients
with severe osteoarthritis of the knees that have different degrees of varus
deformity. However, we are not aware of any study to shows if medial side defect
in tibia has any association with outcome. We conceive this study of finding out
if medial side defect of tibia affects the outcome. 124 patients (143 knees) with
primary knee OA with different stages of defects participated in this study.
Patients classified into two groups based on Rand classification of knee defects
(patients with Rand I and II in group 1 and patients with Rand III and IV in
group 2). Pain and knee alignment have been measured by Visual analog scale (VAS)
and 3-joint X-ray and quality of life, knee function and radiographic have been
measured by questionnaires of SF 36, WOMAC and KSS score. The mean follow-up was
18. 2 mounts (range 12 to 23 months). The results showed that all of the
parameters improved significantly within groups (P<=0.001). Comparison TKA
between two groups in the postoperative analysis shows that there was a
significant difference between groups in pain, radiographic and functional KSS
and WOMAC score (P<=0.05). So group 1 had better results in these parameters than
group 2 after surgery. TKA is an effective intervention for all patients with
severe osteoarthritis and varus deformity. However, the severity of medial tibial
defects is an important determinant of outcome. Patients with a more severe
deformity have less favorable outcome.
PMID- 26545990
TI - Restorative Effect of Vitamin D Deficiency on Knee Pain and Quadriceps Muscle
Strength in Knee Osteoarthritis.
AB - Both vitamin D deficiency and quadriceps muscle weakness are associated with knee
osteoarthritis (KOA) and pain. The aim of this study was to determine the
restorative effect of vitamin D deficiency on pain and quadriceps muscle strength
in knee osteoarthritis. Patients with KOA aged >=30 years, the presence of knee
pain for at least one month or longer and serum 25-hydroxyvitamin (25-OHD)
deficiencies were recruited in the study. Participants with KOA compatible with
Kellgren-Lawrence grade 4, joint instability, and effusion, history of surgery or
inflammatory arthropathies were excluded. Serum 25-OHD was assessed by ELISA
method and concentrations<20 ng/ml was considered deficiency. Quadriceps muscle
strength was measured by dynamometry method and intensity of knee pain by Western
Ontario and McMaster University Osteoarthritis index scored by Likert scale and
visual analog scale. All participants received 50.000 IU oral cholecalciferol
weekly for at least two months. The influence of raising serum 25-OHD on
quadriceps muscle strength and pain was assessed by calculation of mean changes
from baseline at the end of the treatment period using paired t-test. A total of
67 patients with mean age of 50+/-6.6 years of age were treated for 2 months.
Serum 25-OHD reached to sufficient levels in all except one patient. At the end
of the study period, serum 25-OHD and quadriceps muscle strength increased
significantly as compared with baseline (P=0.007 and P=0.002, respectively),
whereas knee pain decreased significantly based on Western Ontario and McMaster
University Osteoarthritis index (P=0.001)as well as visual analogue scale scores
(P=0.001). These findings indicated that correction of vitamin D deficiency in
patients with KOA exerts a significant favorable effect on quadriceps muscle
strength and knee pain.
PMID- 26545991
TI - Comparative Evaluation of EGF in Oral Lichen Planus and Oral Squamous Cell
Carcinoma.
AB - Oral lichen planus (OLP) is classified as a potential malignant disorder, and
epidermal growth factor (EGF) may play a key role in cancer development. The aim
of this study was to compare serum and saliva EGF among patients with OLP and
oral squamous cell carcinoma (OSCC). A cross-sectional study was performed on 27
patients with OLP (10 reticular and 17 atrophic-erosive forms), 27 patients with
OSCC and 27 healthy control group. The study was conducted at the Cancer
Department, Clinic of Oral Medicine, Tehran University of Medical Sciences. The
serum and saliva EGF were assayed by ELISA method. Statistical analysis of ANOVA
was used. The mean serum EGF in OLP and OSCC patients was significantly lower
compared to healthy control group (P<0.05), but no significant difference was
observed between OLP and OSCC patients. There was no significant difference in
mean salivary EGF among groups. As serum EGF levels appear to be statistically
similar in OLP and OSCC, it seems that EGF might play a role in the pathogenesis
of OLP and its cancerization.
PMID- 26545992
TI - Evaluation of Cardiac Systolic Function in Cirrhotic Patients Undergoing Liver
Transplantation.
AB - We assessed different systolic cardiac indices to detect left and right
ventricular systolic dysfunction in cirrhotic patients before liver
transplantation. Between 2010-2011, 81 consecutive individuals with confirmed
hepatic cirrhosis who were a candidate for liver transplantation were enrolled in
this study. A total of 32 age and sex matched healthy volunteers were also
selected as the control group. A detailed two-dimensional, Color Flow Doppler,
and Tissue Doppler echocardiography were performed in all patients and control
participants. Left atrial diameter and area, right atrial area, left ventricular
end diastolic volume, and basal right ventricular diameter were significantly
higher in the cirrhotic group (P<0.05). Left ventricular ejection fraction,
stroke volume, left ventricular outflow tract velocity time integral and
tricuspid annular plane systolic excursion were also higher in the cirrhotic
group (P<0.05). Peak systolic velocities of tricuspid annulus, basal segment of
RV free wall and basal segment of septal wall, peak strains of basal and mid
portions of septal wall, mid portion of lateral wall and peak strain rates of
basal and mid portions of septal and lateral walls were higher significantly in
cirrhotic group, as well (P<0.05). Isovolumic contraction time, LV systolic time
interval and Tei indexes of left and right ventricles which all are
representatives of systolic dysfunction were higher in cirrhosis. Peak systolic
velocity of a mid-segment of the lateral wall was lower in the cirrhotic group
(P<0.05) as well. Most of the cirrhotic patients display signs of cardiovascular
disturbances that become more manifest following exposure to stresses such as
transplantation. Cardiac failure is an important cause of death following liver
transplantation. Because of the load dependency we cannot use most of the cardiac
systolic indices for evaluation of systolic function in cirrhotic patients. Thus,
we suggest that LV systolic time interval and Tei indices of left and right
ventricles might be useful indices in the evaluation of systolic function in
cirrhotic patients.
PMID- 26545993
TI - Evaluation of Chronic Physical and Psychological Stress Induction on Cardiac
Ischemia / Reperfusion Injuries in Isolated Male Rat Heart: The Role of
Sympathetic Nervous System.
AB - Exposure to stress leads to physiological changes called "stress response" which
are the result of the changes in the adrenomedullary hormone system, hypothalamus
pituitary-adrenal (HPA) and sympathetic nervous system (SNS) activity. In the
present study, the effects of chronic physical and psychological stress and also
the role of sympathetic system effects in stress on ischemia/reperfusion (I/R)
injuries have been studied in isolated rat heart. Rat heart was isolated and
subjected to 30 min regional ischemia and 120 min reperfusion. The daily stress
was induced for one week prior to I/R induction. Sympathectomy was done
chemically by injection of hydroxyl-dopamine prior to stress induction. There
were no significant changes in heart rate and Coronary Flow between groups. Left
ventricular developed pressure (LVDP) and rate product pressure (RPP) in both
physical and psychological stress groups decreased significantly compared to
those in control group (P<0.05), but there was no significant difference between
physical and psychological stress groups. Infarct size significantly increased in
both physical and psychological stress groups and control group(P<0.05.
Sympathectomy before induction of stress led to the elimination of the
deleterious effects of stress as compared with stress groups (P<0.05). These
results show that induction of chronic physical and psychological stress prior to
ischemia/reperfusion causes enhancement of myocardial injuries and it seems that
increased sympathetic activity in response to stress is responsible for these
adverse effects of stress on ischemic/reperfused heart.
PMID- 26545994
TI - Stimulation of Oxytocin Receptor during Early Reperfusion Period Protects the
Heart against Ischemia/Reperfusion Injury: the Role of Mitochondrial ATP
Sensitive Potassium Channel, Nitric Oxide, and Prostaglandins.
AB - Postconditioning is a simple and safe strategy for cardioprotection and infarct
size limitation. Our previous study showed that oxytocin (OT) exerts
postconditioning effect on ischemic/reperfused isolated rat heart. The aim of
this study was to investigate the involvement of OT receptor, mitochondrial ATP
sensitive potassium channel (mKATP), nitric oxide (NO) and cyclooxygenase (COX)
pathways in OT postconditioning. Isolated rat hearts were divided into10 groups
and underwent 30 min of regional ischemia followed by 120 min of reperfusion (n
=6). In I/R (ischemia/reperfusion) group, ischemia and reperfusion were induced
without any treatment. In OT group, oxytocin was perfused 5 min prior to
beginning of reperfusion for 25 min. In groups 3-6, atosiban (oxytocin receptor
blocker), L-NAME (N-Nitro-L-Arginine Methyl Ester, non-specific nitric oxide
synthase inhibitor), 5-HD (5-hydroxydecanoate, mKATP inhibitor) and indomethacin
(cyclooxygenase inhibitor) were infused prior to oxytocin administration. In
others, the mentioned inhibitors were perfused prior to ischemia without oxytocin
infusion. Infarct size, ventricular hemodynamic, coronary effluent,
malondialdehyde (MDA) and lactate dehydrogenase (LDH) were measured at the end of
reperfusion. OT perfusion significantly reduced infarct size, MDA and LDH in
comparison with IR group. Atosiban, 5HD, L-NAME and indomethacin abolished the
postconditioning effect of OT. Perfusion of the inhibitors alone prior to
ischemia had no effect on infarct size, hemodynamic parameters, coronary effluent
and biochemical markers as compared with I/R group. In conclusion, this study
indicates that postconditioning effects of OT are mediated by activation of mKATP
and production of NO and Prostaglandins (PGs).
PMID- 26545995
TI - Knowledge, Attitude and Practice of General Practitioners toward Complementary
and Alternative Medicine: a Cross-Sectional Study.
AB - Orientation of public and physicians to the complementary and alternative
medicine (CAM) is one of the most prominent symbols of structural changes in the
health service system. The aim of his study was a determination of knowledge,
attitude, and practice of general practitioners in complementary and alternative
medicine. This cross- sectional study was conducted in Qazvin, Iran in 2013. A
self-administered questionnaire was used for collecting data including four
information parts: population information, physicians' attitude and knowledge,
methods of getting information and their function. A total of 228 physicians in
Qazvin comprised the population of study according to the deputy of treatment's
report of Qazvin University of Medical Sciences. A total of 150 physicians were
selected randomly, and SPSS Statistical program was used to enter questionnaires'
data. Results were analyzed as descriptive statistics and statistical analysis.
Sixty percent of all responders were male. About sixty (59.4) percent of
participating practitioners had worked less than 10 years.96.4 percent had a
positive attitude towards complementary and alternative medicine. Knowledge of
practitioners about traditional medicine in 11 percent was good, 36.3% and 52.7%
had average and little information, respectively. 17.9% of practitioners offered
their patients complementary and alternative medicine for treatment. Although
there was little knowledge among practitioners about traditional medicine and
complementary approaches, a significant percentage of them had attitude higher
than the lower limit.
PMID- 26545996
TI - The Effect of Foot Reflexology on Anxiety, Pain, and Outcomes of the Labor in
Primigravida Women.
AB - Reflexology is a technique used widely as one of non-pharmacological pain
management techniques. The present study aimed to review and determine the effect
of foot reflexology on anxiety, pain and outcomes of the labor in primigravida
women. This clinical trial study was conducted on 80 primigravida mothers who
were divided randomly into an intervention group (Foot reflexology applied for 40
min, n=40) and control group (n=40). The pain intensity was scored immediately
after the end of intervention and at 30,60 and 120 min after the intervention in
both groups, based on McGill Questionnaire for Pain Rating Index (PRI).
Spielberger State-Trait Anxiety Inventory (STAI) was completed before and after
intervention in both groups. Duration of labor phases, the type of labor and
Apgar scores of the infant at the first and fifth minute were recorded in both
groups. Descriptive and inferential statistics methods (t-test and chi-square
test) were applied in analyzing data. Application of reflexology technique
decreased pain intensity (at 30, 60 and 120 min after intervention) and duration
of labor as well as anxiety level significantly (P<0.001). Furthermore, a
significant difference was observed between two groups in terms of the frequency
distribution of the type of labor and Apgar score (P<0.001). Results of this
study show that reflexology reduces labor pain intensity, duration of labor,
anxiety, frequency distribution of natural delivery and increases Apgar scores.
Using this non-invasive technique, obstetricians can achieve, to some extent, to
one of the most important goals of midwifery as pain relief and reducing anxiety
during labor and encourage the mothers to have a vaginal delivery.
PMID- 26545997
TI - Benign Duodenocolic Fistula: a Case Report.
AB - Benign duodenocolic fistula (DCF), known as a fistula between the duodenum and
colon with or without cecum of nonmalignant origin, is an unusual complication of
different gastrointestinal diseases. The present paper records a case in which
the patient presented with chronic diarrhea, abdominal pain, weight loss as well
as having a history of gastric ulcer. Most frequently the condition presents with
signs of malabsorption such as weight loss and diarrhea, but other symptoms
include nausea, vomiting (sometimes with fecal), and abdominal pain.
Gastrointestinal inflammatory conditions are the usual causes. The most common
ones are perforated duodenal ulcer and Crohn's disease. Barium enemas are usually
diagnostic. Treatment consists of excising the fistula and repairing the duodenal
and colonic defects. Closure of the fistula provides quick relief.
PMID- 26545998
TI - Urinary Tract Infection with Candida glabrata in a Patient with Spinal Cord
Injury.
AB - Candida glabrata was thought to be a primarily non-pathogenic organism. However,
with the ever-increasing population of immunocompromised individuals, it is
considered to be an opportunistic pathogen. Patients with spinal cord injuries
often using a long-term urinary catheter and are high risk for Urinary Tract
Infections. This case report describes a patient with spinal cord injury
(thoracic region) with a pure culture of Candida glabrata in a urine sample.
PMID- 26545999
TI - Megacystis Microcolon Intestinal Hypoperistalsis Syndrome: Report of a Rare Case
in Newborn.
AB - Megacystis Microcolon Intestinal Hypoperistalsis Syndrome (MMIHS) is a rare and
the most severe form of functional intestinal obstruction in the newborn. The
characteristic features of this congenital and fatal disease are abdominal
distension, absent or decreased bowel peristalsis. Abdominal distension is a
consequence of the distended, unobstructed urinary bladder with or without
hydronephrosis. We present a case of female newborn with antenatal ultrasound
revealing a large cystic mass in pelvic with urinary tract origin, abdominal
distension, a peristalsis of the intestine and micro colon.
PMID- 26546001
TI - Data-Driven Reversible Jump for QTL Mapping.
AB - We propose a birth-death-merge data-driven reversible jump (DDRJ) for multiple
QTL mapping where the phenotypic trait is modeled as a linear function of the
additive and dominance effects of the unknown QTL genotypes. We compare the
performance of the proposed methodology, usual reversible jump (RJ) and multiple
interval mapping (MIM), using simulated and real data sets. Compared with RJ,
DDRJ shows a better performance to estimate the number of QTLs and their
locations on the genome mainly when the QTLs effect is moderate, basically as a
result of better mixing for transdimensional moves. The inclusion of a merge step
of consecutive QTLs in DDRJ is efficient, under tested conditions, to avoid the
split of true QTL's effects between false QTLs and, consequently, selection of
the wrong model. DDRJ is also more precise to estimate the QTLs location than MIM
in which the number of QTLs need to be specified in advance. As DDRJ is more
efficient to identify and characterize QTLs with smaller effect, this method also
appears to be useful and brings contributions to identifying single-nucleotide
polymorphisms (SNPs) that usually have a small effect on phenotype.
PMID- 26546000
TI - Development of a rapid and simplified protocol for direct bacterial
identification from positive blood cultures by using matrix assisted laser
desorption ionization time-of- flight mass spectrometry.
AB - BACKGROUND: Bloodstream infections represent serious conditions carrying a high
mortality and morbidity rate. Rapid identification of microorganisms and prompt
institution of adequate antimicrobial therapy is of utmost importance for a
successful outcome. Aiming at the development of a rapid, simplified and
efficient protocol, we developed and compared two in-house preparatory methods
for the direct identification of bacteria from positive blood culture flasks (BD
BACTEC FX system) by using matrix-assisted laser desorption ionization time-of
flight mass spectrometry (MALDI TOF MS). Both methods employed saponin and
distilled water for erythrocyte lysis. In method A the cellular pellet was
overlaid with formic acid on the MALDI TOF target plate for protein extraction,
whereas in method B the pellet was exposed to formic acid followed by
acetonitrile prior to placing on the target plate. RESULTS: Best results were
obtained by method A. Direct identification was achieved for 81.9 % and 65.8 %
(50.3 % and 26.2 % with scores >2.0) of organisms by method A and method B,
respectively. Overall concordance with final identification was 100 % to genus
and 97.9 % to species level. By applying a lower cut-off score value, the levels
of identification obtained by method A and method B increased to 89.3 % and 77.8
% of organisms (81.9 % and 65.8 % identified with scores >1.7), respectively.
Using the lowered score criteria, concordance with final results was obtained for
99.3 % of genus and 96.6 % of species identifications. CONCLUSION: The
reliability of results, rapid performance (approximately 25 min) and
applicability of in-house method A have contributed to implementation of this
robust and cost-effective method in our laboratory.
PMID- 26546002
TI - Wide-Ranging Effects of the Yeast Ptc1 Protein Phosphatase Acting Through the
MAPK Kinase Mkk1.
AB - The Saccharomyces cerevisiae type 2C protein phosphatase Ptc1 is required for a
wide variety of cellular functions, although only a few cellular targets have
been identified. A genetic screen in search of mutations in protein kinase
encoding genes able to suppress multiple phenotypic traits caused by the ptc1
deletion yielded a single gene, MKK1, coding for a MAPK kinase (MAPKK) known to
activate the cell-wall integrity (CWI) Slt2 MAPK. In contrast, mutation of the
MKK1 paralog, MKK2, had a less significant effect. Deletion of MKK1 abolished the
increased phosphorylation of Slt2 induced by the absence of Ptc1 both under basal
and CWI pathway stimulatory conditions. We demonstrate that Ptc1 acts at the
level of the MAPKKs of the CWI pathway, but only the Mkk1 kinase activity is
essential for ptc1 mutants to display high Slt2 activation. We also show that
Ptc1 is able to dephosphorylate Mkk1 in vitro. Our results reveal the preeminent
role of Mkk1 in signaling through the CWI pathway and strongly suggest that
hyperactivation of Slt2 caused by upregulation of Mkk1 is at the basis of most of
the phenotypic defects associated with lack of Ptc1 function.
PMID- 26546005
TI - The 38th annual meeting of the Association of Colon and Rectal Surgeons of India
in New Delhi, India.
PMID- 26546003
TI - Expression Differentiation Is Constrained to Low-Expression Proteins over
Ecological Timescales.
AB - Protein expression level is one of the strongest predictors of protein sequence
evolutionary rate, with high-expression protein sequences evolving at slower
rates than low-expression protein sequences largely because of constraints on
protein folding and function. Expression evolutionary rates also have been shown
to be negatively correlated with expression level across human and mouse
orthologs over relatively long divergence times (i.e., ~100 million years). Long
term evolutionary patterns, however, often cannot be extrapolated to
microevolutionary processes (and vice versa), and whether this relationship holds
for traits evolving under directional selection within a single species over
ecological timescales (i.e., <5000 years) is unknown and not necessarily
expected. Expression is a metabolically costly process, and the expression level
of a particular protein is predicted to be a tradeoff between the benefit of its
function and the costs of its expression. Selection should drive the expression
level of all proteins close to values that maximize fitness, particularly for
high-expression proteins because of the increased energetic cost of production.
Therefore, stabilizing selection may reduce the amount of standing expression
variation for high-expression proteins, and in combination with physiological
constraints that may place an upper bound on the range of beneficial expression
variation, these constraints could severely limit the availability of beneficial
expression variants. To determine whether rapid-expression evolution was
restricted to low-expression proteins owing to these constraints on highly
expressed proteins over ecological timescales, we compared venom protein
expression levels across mainland and island populations for three species of pit
vipers. We detected significant differentiation in protein expression levels in
two of the three species and found that rapid-expression differentiation was
restricted to low-expression proteins. Our results suggest that various
constraints on high-expression proteins reduce the availability of beneficial
expression variants relative to low-expression proteins, enabling low-expression
proteins to evolve and potentially lead to more rapid adaptation.
PMID- 26546004
TI - Local administration of gentamicin collagen sponge in surgical excision of
sacrococcygeal pilonidal sinus disease: a systematic review and meta-analysis of
the literature.
AB - Surgical site infections occur in up to 24 % of patients after surgical excision
of sacrococcygeal pilonidal sinus disease with primary wound closure. Local
administration of antibiotics by a gentamicin collagen sponge could reduce this
infection rate. The objective of this systematic review and meta-analysis was to
evaluate the effect of a gentamicin collagen sponge on outcome after surgical
excision in patients with sacrococcygeal pilonidal sinus disease. A structured
literature search was performed in the PubMed, Embase, The Cochrane Library, and
Scopus databases. Studies comparing surgical excision of sacrococcygeal pilonidal
sinus disease with versus without a gentamicin collagen sponge were included.
Outcome measures were surgical site infection, wound healing, and recurrence. The
search strategy yielded six studies with a total of 669 patients. Three
randomized controlled trials, comparing excision of pilonidal sinus disease and
primary wound closure with versus without gentamicin collagen sponge, were
eligible for inclusion in the meta-analysis (319 patients), demonstrating a trend
towards reduced surgical site infections after administration of gentamicin
collagen sponge [absolute risk reduction 20 %, 95 %-confidence interval (CI) 1-41
%, p = 0.06]. The wound healing (absolute risk reduction 22 %, 95 % CI 32-77 %, p
= 0.42) and recurrence rate (absolute risk reduction 8 %, 95 % CI 7-22 %, p =
0.30) were not significantly different between both groups. Administration of a
gentamicin collagen sponge after surgical excision of sacrococcygeal pilonidal
sinus disease showed no significant influence on wound healing and recurrence
rate, but a trend towards a reduced incidence of surgical site infections.
Therefore, additional larger well-designed randomized controlled trials are
required.
PMID- 26546006
TI - A mechanism for constructing a durable purse-string during transanal total
mesorectal excision.
PMID- 26546007
TI - The hyperpolarization-activated cyclic nucleotide-gated (HCN) channels contain
multiple S-palmitoylation sites.
AB - Expression of hyperpolarization-activated cyclic nucleotide-gated channels (HCN1
4) on distal dendrites of neurons is suggested to modify synaptic integration in
the central nervous system. However, the mechanisms of dendritic localization are
not fully understood. Recent studies have revealed that S-palmitoylation plays an
important role in the enrichment of various molecules at the postsynaptic
membrane. Thus, we performed an acyl-biotinyl exchange assay, and found that
HCN1, HCN2, and HCN4, but not HCN3, were S-palmitoylated in HEK293 cells.
Mutation of multiple intracellular cysteine residues at the N-terminus of HCN2
was required for complete inhibition of S-palmitoylation. However, this
mutagenesis had a minimal effect on surface expression of HCN2 proteins or
electrophysiological properties of HCN2 current when expressed in HEK293 cells or
in Xenopus oocytes. These findings provide insight into the physiological roles
of S-palmitoylation of HCN channels in native neurons.
PMID- 26546008
TI - Partial cavopulmonary assist from the inferior vena cava to the pulmonary artery
improves hemodynamics in failing Fontan circulation: a theoretical analysis.
AB - Cavopulmonary assist (CPA) for failing Fontan patients remains a challenging
issue in the clinical setting. To evaluate the effectiveness of a partial CPA
from the inferior vena cava (IVC) to the pulmonary artery (PA), we performed a
theoretical analysis using a computational model of the Fontan circulation.
Cardiac chambers and vascular systems were described as the time-varying
elastance model and the modified three-element Windkessel model, respectively. A
rotational pump described as a non-linear function was inserted between the IVC
and the PA. When pulmonary vascular resistance index varied from 2.1 to 5.9 Wood
units m(2), the partial CPA maintained cardiac index as efficiently as total CPA
and markedly reduced the IVC pressure compared with total CPA. However, the
partial CPA increased the superior vena cava pressure substantially. The
modification from total to partial CPA is potentially an effective alternative in
failing Fontan patients suffering from high IVC pressure.
PMID- 26546009
TI - Modulation of unloading-induced bone loss in mice with altered ERK signaling.
AB - Genetic variations mediate skeletal responsiveness to mechanical unloading, with
individual space travelers exhibiting large variations in the extent of bone
loss. We previously identified genomic regions harboring several hundred genes
that can modulate the magnitude of skeletal adaptation to mechanical unloading.
Here, bioinformatic filters aided in shortlisting 30 genes with bone-related and
mechanoregulatory roles. The genes CD44, FGF2, NOD2, and Fas, all associated with
ERK signaling, were then functionally tested in hindlimb-unloaded (HLU) knockout
(KO) mice. Compared to their respective normally ambulating wildtype (WT)
controls, all KO strains, except Fas mice, had lower trabecular bone volume, bone
volume fraction, and/or trabecular number. For cortical bone and compared to
ambulatory WT mice, CD44(-/-) had impaired properties while FGF2(-/-) showed
enhanced indices. NOD2(-/-) and Fas(-/-) did not have a cortical phenotype. In
all KO and WT groups, HLU resulted in impaired trabecular and cortical indices,
primarily due to trabecular tissue loss and mitigation of cortical bone growth.
The difference in trabecular separation between HLU and ambulatory controls was
significantly greater in CD44(-/-) and NOD2(-/-) mice than in WT mice. In
cortical bone, differences in cortical thickness, total pore volume, and cortical
porosity between HLU and controls were aggravated in CD44(-/-) mice. In contrast,
deletion of NOD2 and Fas genes mitigated the differences in Po.V between HLU and
control mice. Together, we narrowed a previous list of QTL-derived candidate
genes from over 300 to 30, and showed that CD44, NOD2, and Fas have distinct
functions in regulating changes in trabecular and cortical bone indices during
unloading.
PMID- 26546010
TI - A randomized, double-blind, crossover comparison of novel continuous bed motion
versus traditional bed position whole-body PET/CT imaging.
AB - PURPOSE: Continuous bed motion has recently been introduced for whole-body
PET/CT, and represents a paradigm shift towards individualized and flexible
acquisition without the limitations of bed position-based planning. Increased
patient comfort due to lack of abrupt table position changes may be another
albeit still unproven advantage. For robust clinical implementation, image
quality and quantitative accuracy should at least be equal to the prior standard
of bed position-based step-and-shoot imaging. METHODS: The study included 68
consecutive patients referred for whole-body PET/CT for various malignancies. The
patients underwent traditional step-and-shoot and novel continuous bed motion
acquisition in the same session in a randomized crossover design. The patients
and two independent observers were blinded to the sequence of scan techniques.
Patient comfort/satisfaction was examined using a standardized questionnaire.
SUVs were compared for reference tissue (liver, muscle) and tumour lesions. PET
image quality and misalignment with CT images were evaluated on a scale of 1 - 4.
RESULTS: Patients preferred continuous bed motion over step-and-shoot (P =
0.0001). It was considered to be more relaxing (38 % vs. 8 %), quieter (34 % vs.
8 %), and more fluid (64 % vs. 8 %). Image quality, SUV and CT misalignment did
not differ between the techniques. Continuous bed motion resulted in better end
plane image quality (P < 0.0001). Regardless of the technique, second
examinations had significantly higher tumour lesion SUVmax values (P = 0.0002),
and a higher CT misalignment score (P = 0.0017). CONCLUSION: Oncological PET/CT
with continuous bed motion enhances patient comfort and is associated with image
quality at least comparable to that with traditional bed position-based step-and
shoot acquisition.qq.
PMID- 26546016
TI - Do Socioeconomic Inequalities in Neonatal Mortality Reflect Inequalities in
Coverage of Maternal Health Services? Evidence from 48 Low- and Middle-Income
Countries.
AB - OBJECTIVES: To examine socioeconomic and health system determinants of wealth
related inequalities in neonatal mortality rates (NMR) across 48 low- and middle
income countries. METHODS: We used data from Demographic and Health Surveys
conducted between 2006 and 2012. Absolute and relative inequalities for NMR and
coverage of antenatal care, facility-based delivery, and Caesarean delivery were
measured using the Slope Index of Inequality and Relative Index of Inequality,
respectively. Meta-regression was used to assess whether variation in the
magnitude of NMR inequalities was associated with inequalities in coverage of
maternal health services, and whether country-level economic and health system
factors were associated with mean NMR and socioeconomic inequality in NMR.
RESULTS: Of the three maternal health service indicators examined, the magnitude
of socioeconomic inequality in NMR was most strongly related to inequalities in
antenatal care. NMR inequality was greatest in countries with higher out-of
pocket health expenditures, more doctors per capita, and a higher adolescent
fertility rate. Determinants of lower mean NMR (e.g., higher government health
expenditures and a greater number of nurses/midwives per capita) differed from
factors associated with lower NMR inequality. CONCLUSIONS: Reducing the financial
burden of maternal health services and achieving universal coverage of antenatal
care may contribute to a reduction in socioeconomic differences in NMR. Further
investigation of the mechanisms contributing to these cross-national associations
seems warranted.
PMID- 26546011
TI - Folic acid supplementation at lower doses increases oxidative stress resistance
and longevity in Caenorhabditis elegans.
AB - Folic acid (FA) is an essential nutrient that the human body needs but cannot be
synthesized on its own. Fortified foods and plant food sources such as green
leafy vegetables, beans, fruits, and juices are good sources of FA to meet the
daily requirements of the body. The aim was to evaluate the effect of dietary FA
levels on the longevity of well-known experimental aging model Caenorhabditis
elegans. Here, we show for first time that FA extends organism life span and
causes a delay in aging. We observed that FA inhibits mechanistic target of
rapamycin (mTOR) and insulin/insulin growth factor 1 (IGF-1) signaling pathways
to control both oxidative stress levels and life span. The expression levels of
stress- and life span-relevant gerontogenes, viz. daf-16, skn-1, and sir. 2.1,
and oxidative enzymes, such as glutathione S-transferase 4 (GST-4) and superoxide
dismutase 3 (SOD-3), were also found to be highly enhanced to attenuate the
intracellular reactive oxygen species (ROS) damage and to delay the aging
process. Our study promotes the use of FA to mitigate abiotic stresses and other
aging-related ailments.
PMID- 26546017
TI - Prevalence and Correlates of Hazardous Drinking among Female Sex Workers in 13
Mexican Cities.
AB - AIMS: To describe the prevalence and correlates of hazardous drinking among
female sex workers (FSWs) at 13 sites throughout Mexico. METHODS: FSWs (N = 1089)
who were enrolled in a brief sexual risk reduction intervention (Mujer Segura)
were queried about their sexual risk and substance use practices and their work
contexts. Participants were classified as hazardous or non-hazardous drinkers
based on the Alcohol Use Disorders test (AUDIT-C). Logistic regression models
were used to examine individual, contextual, and community-level factors as
correlates of hazardous drinking. RESULTS: Ninety-two percent of participants
reported alcohol consumption in the past month. Among drinkers (N = 1001), 83%
met AUDIT-C criteria for hazardous drinking. Factors that were independently
associated with hazardous drinking included: drug use in the past month (adjusted
odds ratio (AOR) = 3.31; 95% CI 1.29-8.45), being a cigarette smoker (AOR = 1.71;
95% CI 1.13-2.58), being a barmaid or dance hostess (AOR = 3.40; 95% CI 1.95
5.91), alcohol use before or during sex with clients (AOR = 7.78; 95% CI 4.84
12.52), and working in a city with a higher marginalization index (AOR = 1.07;
95% CI 1.04-1.11). CONCLUSIONS: Findings support the high prioritization by
public health authorities of alcohol prevention and treatment programs for FSWs.
PMID- 26546018
TI - Distracted by danger: Temporal and spatial dynamics of visual selection in the
presence of threat.
AB - Threatening stimuli are known to influence attentional and visual processes in
order to prioritize selection. For example, previous research showed faster
detection of threatening relative to nonthreatening stimuli. This has led to the
proposal that threatening stimuli are prioritized automatically via a rapid
subcortical route. However, in most studies, the threatening stimulus is always
to some extent task relevant. Therefore, it is still unclear if threatening
stimuli are automatically prioritized by the visual system. We used the
additional singleton paradigm with task-irrelevant fear-conditioned distractors
(CS+ and CS-) and indexed the time course of eye movement behavior. The results
demonstrate automatic prioritization of threat. First, mean latency of saccades
directed to the neutral target was increased in the presence of a threatening
(CS+) relative to a nonthreatening distractor (CS-), indicating exogenous
attentional capture and delayed disengagement of covert attention. Second, more
error saccades were directed to the threatening than to the nonthreatening
distractor, indicating a modulation of automatically driven saccades.
Nevertheless, cumulative distributions of the saccade latencies showed no
modulation of threat for the fastest goal-driven saccades, and threat did not
affect the latency of the error saccades to the distractors. Together these
results suggest that threatening stimuli are automatically prioritized in
attentional and visual selection but not via faster processing. Rather, we
suggest that prioritization results from an enhanced representation of the
threatening stimulus in the oculomotor system, which drives attentional and
visual selection. The current findings are interpreted in terms of a
neurobiological model of saccade programming.
PMID- 26546019
TI - Genome-wide analysis and expression patterns of ZF-HD transcription factors under
different developmental tissues and abiotic stresses in Chinese cabbage.
AB - The ZF-HD gene family plays an important role in plant developmental processes
and stress responses. However, the function of the ZF-HD genes in Chinese cabbage
remains largely unknown. Chinese cabbage (Brassica rapa ssp. pekinensis) is a
member of one of the most important leaf vegetables grown worldwide. The entire
Chinese cabbage genome sequence has been determined, and more than forty thousand
proteins have been identified to date. In this study, 31 ZF-HD genes were
identified in Chinese cabbage. We show here that the BraZF-HD genes could be
categorized into ZHD and MIF subfamilies. Among them, ZHD genes are plant
specific, nearly all intronless, and related to MINI ZINC FINGER genes that
possess only the zinc finger. Phylogenetic analysis suggested that ZHDs have
expanded considerably during angiosperm evolution. In addition, the ZHD group has
24 members, which is twice as much as the Arabidopsis ZHD group, indicating that
the Chinese cabbage ZHD genes have been retained more frequently than other group
genes. Real-time PCR analysis showed that most of BraZF-HD genes are
preferentially expressed in flower. Furthermore, most of these genes are
significantly induced under photoperiod or vernalization conditions, as well as
abiotic stresses. Thereby implying that they may play important roles in these
processes. This study provides insight into the evolution of ZF-HD genes in
Chinese cabbage genome and may aid efforts to further characterize the function
of these predicted ZF-HD genes in flowering and resistance.
PMID- 26546020
TI - Safety data for levonorgestrel, ulipristal acetate and Yuzpe regimens for
emergency contraception.
AB - The World Health Organization (WHO) and the US Centers for Disease Control and
Prevention (CDC) provide recommendations for use of emergency contraceptive pills
(ECPs), including levonorgestrel (LNG) and combined oral contraceptives (COCs). A
new ECP formulation, ulipristal acetate (UPA), is now available worldwide. To
determine whether LNG, UPA or COC (Yuzpe) ECPs are safe for women with certain
characteristics or medical conditions, we searched the PubMed and Cochrane
databases for articles published from date of inception until May 2015 pertaining
to the safety of LNG, UPA or Yuzpe ECP use. For direct evidence, we considered
studies that looked at safety outcomes among women with certain medical
conditions or characteristics taking ECPs compared with women not taking ECPs.
For indirect evidence, we considered studies that reported pharmacokinetic (PK)
data for ECP use among women with certain medical conditions or characteristics
and studies that reported safety outcomes among healthy women taking ECPs. Five
studies provided direct evidence; of these five studies, four examined LNG or
Yuzpe use among pregnant or breastfeeding women, and one reported risk of ectopic
pregnancy among women repeatedly using LNG ECPs. Poor pregnancy outcomes were
rare among pregnant women who used LNG or Yuzpe ECPs during the conception cycle
or early pregnancy. Breastfeeding outcomes did not differ between women exposed
to LNG ECP and those unexposed, and there was no increased risk of ectopic
pregnancy versus intrauterine pregnancy after repeated use of LNG ECPs compared
with nonuse. Forty-five studies provided indirect evidence. One PK study
demonstrated that LNG passes into breastmilk but in minimal quantities. In
addition, nine studies examined pregnancy outcomes following ECP failure among
healthy women, and 35 articles reported adverse events. Studies suggest that
serious adverse events are rare among women taking any of these ECP formulations.
IMPLICATIONS: Evidence on safety of ECPs among women with characteristics or
medical conditions listed within WHO and CDC family planning guidance is limited.
However, both direct and indirect evidence for our study question did not suggest
any special safety concerns for the use of ECPs among women with particular
medical conditions or personal characteristics, such as pregnancy, lactation or
frequent ECP use.
PMID- 26546021
TI - Hormonal contraception among electronic cigarette users and cardiovascular risk:
a systematic review.
AB - BACKGROUND: Women who use combined hormonal contraceptives and cigarettes have an
increased risk for cardiovascular (CV) events. We reviewed the literature to
determine whether women who use hormonal contraceptives (HC) and electronic
cigarettes (e-cigarettes) also have an increased risk. STUDY DESIGN: Systematic
review. METHODS: We searched for articles reporting myocardial infarction (MI),
stroke, venous thromboembolism, peripheral arterial disease or changes to CV
markers in women using e-cigarettes and HC. We also searched for indirect
evidence, such as CV outcomes among e-cigarette users in the general population
and among HC users exposed to nicotine, propylene glycol or glycerol. RESULTS: No
articles reported on outcomes among e-cigarette users using HC. Among the general
population, 13 articles reported on heart rate or blood pressure after e
cigarette use. These markers generally remained normal, even when significant
changes were observed. In three studies, changes were less pronounced after e
cigarette use than cigarette use. One MI was reported among 1012 people exposed
to e-cigarettes in these studies. One article on nicotine and HC exposure found
both exposures to be significantly associated with acute changes to heart rate,
though mean heart rate remained normal. No articles on propylene glycol or
glycerol and HC exposure were identified. CONCLUSION: We identified no evidence
on CV outcomes among e-cigarette users using HC. Limited data reporting mostly
acute outcomes suggested that CV events are rare among e-cigarette users in the
general population and that e-cigarettes may affect heart rate and blood pressure
less than conventional cigarettes. There is a need for research assessing joint
HC and e-cigarette exposure on clinical CV outcomes.
PMID- 26546022
TI - Information filtering in resonant neurons.
AB - Neuronal information transmission is frequency specific. In single cells, a band
pass like frequency preference can arise from the subthreshold dynamics of the
membrane potential, shaped by properties of the cell's membrane and its ionic
channels. In these cases, a cell is termed resonant and its membrane impedance
spectrum exhibits a peak at non-vanishing frequencies. Here, we show that this
frequency selectivity of neuronal response amplitudes need not translate into a
similar frequency selectivity of information transfer. In particular, neurons
with resonant but linear subthreshold voltage dynamics (without threshold) do not
show a resonance of information transfer at the level of subthreshold voltage;
the corresponding coherence has low-pass characteristics. Interestingly, we find
that when combined with nonlinearities, subthreshold resonances do shape the
frequency dependence of coherence and the peak in the subthreshold impedance
translates to a peak in the coherence function. In other words, the nonlinearity
inherent to spike generation allows a subthreshold impedance resonance to shape a
resonance of voltage-based information transfer. We demonstrate such nonlinearity
mediated band-pass filtering of information at frequencies close to the
subthreshold impedance resonance in three different model systems: the resonate
and-fire model, the conductance-based Morris-Lecar model, and linear resonant
dynamics combined with a simple static nonlinearity. In the spiking neuron
models, the band-pass filtering is most pronounced for low firing rates and a
high variability of interspike intervals, similar to the spiking statistics
observed in vivo. We show that band-pass filtering is achieved by reducing
information transfer over low-frequency components and, consequently, comes along
with an overall reduction of information rate. Our work highlights the crucial
role of nonlinearities for the frequency dependence of neuronal information
transmission.
PMID- 26546023
TI - Non-infective endocarditis with systemic embolization and recurrent stroke in
systemic sclerosis.
PMID- 26546025
TI - Erratum.
PMID- 26546026
TI - Analysis of the Quality of Clinical Trials Published in Spanish-Language
Dermatology Journals Between 1997 and 2012.
AB - INTRODUCTION: The value of randomized clinical trials (RCTs) undertaken to
identify an association between an intervention and an outcome is determined by
their quality and scientific rigor. OBJECTIVE: To assess the methodological
quality of RCTs published in Spanish-language dermatology journals. METHODS: By
way of a systematic manual search, we identified all the RCTs in journals
published in Spain and Latin America between 1997 (the year in which the CONSORT
statement was published) and 2012. Risk of bias was evaluated for each RCT by
assessing the following domains: randomization sequence generation, allocation
concealment, blinding of patients and those assessing outcomes, missing data, and
patient follow-up. Source of funding and conflict of interest statements, if any,
were recorded for each study. RESULTS: The search identified 70 RCTs published in
21 journals. Most of the RCTs had a high risk of bias, primarily because of gaps
in the reporting of important methodological aspects. The source of funding was
reported in only 15 studies. DISCUSSION AND CONCLUSIONS: In spite of the
considerable number of Spanish and Latin American journals, few RCTs have been
published in the 15 years analyzed. Most of the RCTs published had serious
defects in that the authors omitted methodological information essential to any
evaluation of the quality of the trial and failed to report sources of funding or
possible conflicts of interest for the authors involved. Authors of experimental
clinical research in dermatology published in Spain and Latin America need to
substantially improve both the design of their trials and the reporting of
results.
PMID- 26546027
TI - Pembrolizumab: a new Drug That Can Induce Exacerbations of Psoriasis.
PMID- 26546028
TI - Inflammatory Disseminated Pruritic Porokeratosis With a Good Response to
Ciclosporin.
PMID- 26546024
TI - CA125-related tumor cell kinetics variables after chemotherapy in advanced
ovarian cancer: a systematic review.
AB - Various kinetic parameters, based on a minimum of two time points, have been
built with CA125 determinations. The aim of this study is to review studies about
the clinical application of CA125-related tumor cell kinetics variables in
patients with advanced ovarian cancer (AOC) receiving chemotherapy. A literature
search for studies about CA125-related variables in patients with AOC was
undertaken on three databases, by predefined search criteria, and a selection of
studies was performed. Sixty-two studies were selected. CA125-related variables
were summarized in three groups: response-related, time-to-event, and other CA125
related tumor cell kinetics variables. Even though CA125 changes and half-life
after chemotherapy were the most studied, other variables and two models have
been well defined, and often showed an interesting power to predict survival.
These kinetics variables are related to the CA125 regression curve, pre- and post
chemotherapy kinetics, or are variables inferred from a population model of CA125
kinetics.
PMID- 26546029
TI - Cytokine Pathways and the Role of Dysbiosis in Psoriasis, Psoriatic Arthritis,
and Crohn Disease.
PMID- 26546030
TI - Update on Mastocytosis (Part 1): Pathophysiology, Clinical Features, and
Diagnosis.
AB - Mastocytosis is a term used to describe a heterogeneous group of disorders
characterized by clonal proliferation of mast cells in various organs. The organ
most often affected is the skin. Mastocytosis is a relatively rare disorder that
affects both sexes equally. It can occur at any age, although it tends to appear
in the first decade of life, or later, between the second and fifth decades. Our
understanding of the pathophysiology of mastocytosis has improved greatly in
recent years, with the discovery that somatic c-kit mutations and aberrant
immunophenotypic features have an important role. The clinical manifestations of
mastocytosis are diverse, and skin lesions are the key to diagnosis in most
patients.
PMID- 26546031
TI - Melanoma With Meyerson's Phenomenon: Clinical and Dermoscopic Features.
PMID- 26546032
TI - Zinc intake and risk of Crohn's disease and ulcerative colitis: a prospective
cohort study.
AB - BACKGROUND: Diet plays a role in the pathogenesis of Crohn's disease (CD) and
ulcerative colitis (UC). Dietary zinc may influence risk of disease through
effects on autophagy, innate and adaptive immune response and maintenance of the
intestinal barrier. METHODS: We analysed data from 170 776 women from the Nurses
Health Study I and Nurses Health Study II, who were followed for 26 years. Zinc
intake was assessed using semi-quantitative food frequency questionnaires
administered every 4 years. Incident CD and UC were ascertained by medical record
review. Cox proportional hazards models adjusting for potential confounders
determined the independent association between zinc intake and incident disease.
RESULTS: Over 3 317 550 person-years (p-y) of follow-up, we identified 269
incident cases of CD and 338 incident cases of UC. Zinc intake ranged from 9
mg/day in the lowest quintile to 27 mg/day in the highest quintile. Compared with
women with the lowest quintile of intake, the multivariate hazard ratios (HR) for
CD were 0.92 [95% confidence interval (CI), 0.65 - 1.29) for women in the second
quintile of intake, 0.60 (95% CI, 0.40 - 0.89) for the third quintile, 0.57 (95%
CI, 0.38 - 0.86) for fourth quintile and 0.74 (95% CI, 0.50 - 1.10) for the
highest quintile (Ptrend = 0.003). The association was stronger for dietary zinc
(HR 0.63, 95% CI, 0.43 - 0.93, comparing extreme quintiles) than for zinc intake
from supplements. Neither dietary nor supplemental zinc modified risk of UC.
CONCLUSIONS: In two large prospective cohorts of women, intake of zinc was
inversely associated with risk of CD but not UC.
PMID- 26546033
TI - Development and validation of the Japanese version of Primary Care Assessment
Tool.
AB - BACKGROUND: Tools for assessing quality of primary care from patient experience
have never previously existed in Japan. OBJECTIVE: The aim of this study was to
develop the Japanese version of Primary Care Assessment Tool (JPCAT) and to
examine the validity of this tool in the assessment of the quality of primary
care from patient experience in Japan. METHODS: We used a cross-sectional mail
survey to test the validity and reliability of JPCAT. The questionnaire was sent
to 1100 residents, 40-75 years of age, who were randomly selected from a basic
resident register in Kita City, Tokyo, Japan. We examined internal consistency
included Cronbach's alpha, exploratory factor analysis, multi-trait analysis and
correlation between overall user satisfaction scores and JPCAT total scores.
RESULTS: The tool was developed using responses from 204 residents, out of a
total of 402 participants in the survey (50.7%), who had the usual sources of
care. A 29-item JPCAT was constructed to include six multi-item subscales,
representing each of the five primary care principles (first contact,
longitudinality, comprehensiveness, coordination and community orientation). All
of the multi-item scales achieved good internal consistency, item-total
correlations and construct validity. The overall Cronbach's alpha coefficient was
0.90. The Spearman correlation coefficient between the JPCAT total score and the
overall user satisfaction was 0.58. Scaling assumptions tests were well
satisfied. The full range of possible scores was observed for all scales except
the longitudinality domain. CONCLUSIONS: We developed JPCAT and examined its
validity and reliability in assessing the core principles of primary care in
Japan. This tool could be used for health service research in primary care.
PMID- 26546034
TI - Multicenter study of quantitative computed tomography analysis using a computer
aided three-dimensional system in patients with idiopathic pulmonary fibrosis.
AB - PURPOSE: To evaluate the feasibility of automated quantitative analysis with a
three-dimensional (3D) computer-aided system (i.e., Gaussian histogram normalized
correlation, GHNC) of computed tomography (CT) images from different scanners.
MATERIALS AND METHODS: Each institution's review board approved the research
protocol. Informed patient consent was not required. The participants in this
multicenter prospective study were 80 patients (65 men, 15 women) with idiopathic
pulmonary fibrosis. Their mean age was 70.6 years. Computed tomography (CT)
images were obtained by four different scanners set at different exposures. We
measured the extent of fibrosis using GHNC, and used Pearson's correlation
analysis, Bland-Altman plots, and kappa analysis to directly compare the GHNC
results with manual scoring by radiologists. Multiple linear regression analysis
was performed to determine the association between the CT data and forced vital
capacity (FVC). RESULTS: For each scanner, the extent of fibrosis as determined
by GHNC was significantly correlated with the radiologists' score. In
multivariate analysis, the extent of fibrosis as determined by GHNC was
significantly correlated with FVC (p < 0.001). There was no significant
difference between the results obtained using different CT scanners. CONCLUSION:
Gaussian histogram normalized correlation was feasible, irrespective of the type
of CT scanner used.
PMID- 26546035
TI - Erratum to: Strategies for treatment of dystonia.
PMID- 26546036
TI - Erratum to: Is cognitive stability in Parkinson's disease a predictable
phenomenon? A 5-year follow-up study.
PMID- 26546037
TI - Neonatal human retinal pigment epithelial cells secrete limited trophic factors
in vitro and in vivo following striatal implantation in parkinsonian rats.
AB - Human retinal pigment epithelial (hRPE) cell implants into the striatum have been
investigated as a potential cell-based treatment for Parkinson's disease in a
Phase II clinical trial that recently failed. We hypothesize that the trophic
factor potential of the hRPE cells could potentially influence the function
and/or survival of the implants and may be involved in an alternative mechanism
of action. However, it is unclear if hRPE cells secreted trophic factors when
handled in the manner used in the clinical Phase II trial. To address these
questions, we investigated two neonatal hRPE cell lots, cultured in a similar
manner to hRPE cells used in a Phase II clinical study, and longitudinally
determined brain-derived neurotrophic factor (BDNF), fibroblast growth factor 2
(FGF2), and pigment epithelium-derived factor concentrations in vitro and
following striatal implantation into 6-hydroxydopamine-lesioned rats. The results
demonstrate short-lived BDNF and FGF2 concentrations in vitro from hRPE cells
grown alone or attached to gelatin microcarriers (GM)s as well as limited trophic
factor concentration differences in vivo following striatal implantation of hRPE
GM in 6-hydroxydopamine lesioned rats compared to sham (GM-only). The data
suggest that trophic factors from neonatal hRPE cell implants likely did not
participate in an alternative mechanism of action, which adds supports to a
hypothesis that additional factors may have been necessary for the survival
and/or function of hRPE implants and potentially the success of the Phase II
clinical trial.
PMID- 26546038
TI - High-density P300 enhancers control cell state transitions.
AB - BACKGROUND: Transcriptional enhancers are frequently bound by a set of
transcription factors that collaborate to activate lineage-specific gene
expression. Recently, it was appreciated that a subset of enhancers comprise
extended clusters dubbed stretch- or super-enhancers (SEs). These SEs are located
near key cell identity genes, and enriched for non-coding genetic variations
associated with disease. Previously, SEs have been defined as having the highest
density of Med1, Brd4 or H3K27ac by ChIP-seq. The histone acetyltransferase P300
has been used as a marker of enhancers, but little is known about its binding to
SEs. RESULTS: We establish that P300 marks a similar SE repertoire in embryonic
stem cells as previously reported using Med1 and H3K27ac. We also exemplify a
role for SEs in mouse T helper cell fate decision. Similarly, upon activation of
macrophages by bacterial endotoxin, we found that many SE-associated genes encode
inflammatory proteins that are strongly up-regulated. These SEs arise from small,
low-density enhancers in unstimulated macrophages. We also identified expression
quantitative trait loci (eQTL) in human monocytes that lie within such SEs. In
macrophages and Th17 cells, inflammatory SEs can be perturbed either genetically
or pharmacologically thus revealing new avenues to target inflammation.
CONCLUSIONS: Our findings support the notion that P300-marked SEs can help
identify key nodes of transcriptional control during cell fate decisions. The SE
landscape changes drastically during cell differentiation and cell activation. As
these processes are crucial in immune responses, SEs may be useful in revealing
novel targets for treating inflammatory diseases.
PMID- 26546039
TI - Smartphone Apps for Schizophrenia: A Systematic Review.
AB - BACKGROUND: There is increasing interest in using mobile technologies such as
smartphones for improving the care of patients with schizophrenia. However, less
is known about the current clinical evidence for the feasibility and
effectiveness of smartphone apps in this population. OBJECTIVE: To review the
published literature of smartphone apps applied for the care of patients with
schizophrenia and other psychotic disorders. METHODS: An electronic database
search of Ovid MEDLINE, the Cochrane Central Register of Controlled Trials,
Health Technology Assessment Database, Allied and Complementary Medicine, Health
and Psychosocial Instruments, PsycINFO, and Embase was conducted on May 24, 2015.
All eligible studies were systematically reviewed, and proportional meta-analyses
were applied to pooled data on recruitment, retention, and adherence to examine
the overall feasibility of smartphone interventions for schizophrenia. RESULTS:
Our search produced 226 results from which 7 eligible articles were identified,
reporting on 5 studies of smartphone apps for patients with schizophrenia. All
examined feasibility, and one assessed the preliminary efficacy of a smartphone
intervention for schizophrenia. Study lengths varied between 6 and 130 days.
Overall retention was 92% (95% CI 82-98%). Participants consistently used the
smartphone apps on more than 85% of days during the study period, averaging 3.95
interactions per person per day. Furthermore, participants responded to 71.9% of
automated prompts (95% CI 65.7-77.8%). Participants reported a range of potential
benefits from the various interventions, and user experience was largely
positive. CONCLUSIONS: Although small, the current published literature
demonstrates strong evidence for the feasibility of using smartphones to enhance
the care of people with schizophrenia. High rates of engagement and satisfaction
with a broad range of apps suggest the nascent potential of this mobile
technology. However, there remains limited data on the efficacy of such
interventions.
PMID- 26546040
TI - Isolation and analysis of discreet human prostate cellular populations.
AB - The use of lineage tracing in transgenic mouse models has revealed an abundance
of subcellular phenotypes responsible for maintaining prostate homeostasis. The
ability to use fresh human tissues to examine the hypotheses generated by these
mouse experiments has been greatly enhanced by technical advances in tissue
processing, flow cytometry and cell culture. We describe in detail the
optimization of protocols for each of these areas to facilitate research on
solving human prostate diseases through the analysis of human tissue.
PMID- 26546041
TI - GADD45a physically and functionally interacts with TET1.
AB - DNA demethylation plays a central role during development and in adult
physiology. Different mechanisms of active DNA demethylation have been
established. For example, Growth Arrest and DNA Damage 45-(GADD45) and Ten-Eleven
Translocation (TET) proteins act in active DNA demethylation but their functional
relationship is unresolved. Here we show that GADD45a physically interacts--and
functionally cooperates with TET1 in methylcytosine (mC) processing. In reporter
demethylation GADD45a requires endogenous TET1 and conversely TET1 requires
GADD45a. On GADD45a target genes TET1 hyperinduces 5-hydroxymethylcytosine (hmC)
in the presence of GADD45a, while 5-formyl-(fC) and 5-carboxylcytosine (caC) are
reduced. Likewise, in global analysis GADD45a positively regulates TET1 mediated
mC oxidation and enhances fC/caC removal. Our data suggest a dual function of
GADD45a in oxidative DNA demethylation, to promote directly or indirectly TET1
activity and to enhance subsequent fC/caC removal.
PMID- 26546042
TI - Peptidic exenatide and herbal catalpol mediate neuroprotection via the
hippocampal GLP-1 receptor/beta-endorphin pathway.
AB - Both peptidic agonist exenatide and herbal agonist catalpol of the glucagon-like
peptide-1 receptor (GLP-1R) are neuroprotective. We have previously shown that
activation of spinal GLP-1Rs expresses beta-endorphin in microglia to produce
antinociception. The aim of this study was to explore whether exenatide and
catalpol exert neuroprotection via activation of the hippocampal GLP-1R/beta
endorphin pathway. The rat middle cerebral artery occlusion model was employed,
and the GLP-1R immunofluorescence staining and beta-endorphin measurement were
assayed in the hippocampus and primary cultures of microglia, neurons and
astrocytes. The immunoreactivity of GLP-1Rs on microglia in the hippocampus was
upregulated after ischemia reperfusion. Intracerebroventricular (i.c.v.)
injection of exenatide and catalpol produced neuroprotection in the rat transient
ischemia/reperfusion model, reflected by a marked reduction in brain infarction
size and a mild recovery in neurobehavioral deficits. In addition, i.c.v.
injection of exenatide and catalpol significantly stimulated beta-endorphin
expression in the hippocampus and cultured primary microglia (but not primary
neurons or astrocytes). Furthermore, exenatide and catalpol neuroprotection was
completely blocked by i.c.v. injection of the GLP-1R orthosteric antagonist
exendin (9-39), specific beta-endorphin antiserum, and selective opioid receptor
antagonist naloxone. Our results indicate, for the first time, that the
neuroprotective effects of catalpol and exenatide are GLP-1R-specific, and that
these effects are mediated by beta-endorphin expression probably in hippocampal
microglia. We postulate that in contrast to the peripheral tissue, where the
activation of GLP-1Rs in pancreas islet beta-cells causes secretion of insulin to
perform glucoregulation, it leads to beta-endorphin expression in microglial
cells to produce neuroprotection and analgesia in the central nervous system.
PMID- 26546043
TI - p-21 activated kinase 4 (PAK4) maintains stem cell-like phenotypes in pancreatic
cancer cells through activation of STAT3 signaling.
AB - Pancreatic cancer (PC) remains a highly lethal malignancy due to its unusual
chemoresistance and high aggressiveness. A subpopulation of pancreatic tumor
cells, known as cancer stem cells (CSCs), is considered responsible not only for
tumor-maintenance, but also for its widespread metastasis and therapeutic
failure. Here we investigated the role of p-21 activated kinase 4 (PAK4) in
driving PC stemness properties. Our data demonstrate that triple-positive
(CD24(+)/CD44(+)/EpCAM(+)) subpopulation of pancreatic CSCs exhibits greater
level of PAK4 as compared to triple-negative (CD24(-)/CD44(-)/EpCAM(-)) cells.
Moreover, PAK4 silencing in PC cells leads to diminished fraction of CD24, CD44,
and EpCAM positive cells. Furthermore, we show that PAK4-silenced PC cells
exhibit decreased sphere-forming ability and increased chemosensitivity to
gemcitabine toxicity. PAK4 expression is also associated with enhanced levels of
stemness-associated transcription factors (Oct4/Nanog/Sox2 and KLF4).
Furthermore, our data show decreased nuclear accumulation and transcriptional
activity of STAT3 in PAK4-silenced PC cells and restitution of its activity leads
to restoration of stem cell phenotypes. Together, our findings deliver first
experimental evidence for the involvement of PAK4 in PC stemness and support its
clinical utility as a novel therapeutic target in PC.
PMID- 26546044
TI - Alpha-fetoprotein is a biomarker of unfolded protein response and altered
proteostasis in hepatocellular carcinoma cells exposed to sorafenib.
AB - Sorafenib is the treatment of reference for advanced hepatocellular carcinoma
(HCC). A decrease in the serum levels of Alpha-fetoprotein (AFP) is reported to
be the biological parameter that is best associated with disease control by
sorafenib. In order to provide a biological rationale for the variations of AFP,
we analyzed the various steps of AFP production in human HCC cell lines exposed
to sorafenib. Sorafenib dramatically reduced the levels of AFP produced by HCC
cells independently of its effect on cell viability. The mRNA levels of AFP
decreased upon sorafenib treatment, while the AFP protein remained localized in
the Golgi apparatus. Sorafenib activated the Regulated Inositol-Requiring Enzyme
1alpha (IRE-1alpha) and the PKR-like ER Kinase (PERK)-dependent arms of the
Unfolded Protein Response (UPR). The inhibition of IRE-1alpha partially restored
the mRNA levels of AFP upon treatment with sorafenib. The inhibition of both
pathways partially prevented the drop in the production of AFP induced by
sorafenib. The findings provide new insights on the regulation of AFP, and
identify it as a biomarker suitable for the exploration of HCC cell proteostasis
in the context of therapeutic targeting.
PMID- 26546045
TI - The bone marrow niche in support of breast cancer dormancy.
AB - Despite the success in detecting breast cancer (BC) early and, with aggressive
therapeutic intervention, BC remains a clinical problem. The bone marrow (BM) is
a favorable metastatic site for breast cancer cells (BCCs). In BM, the survival
of BCCs is partly achieved by the supporting microenvironment, including the
presence of immune suppressive cells such as mesenchymal stem cells (MSCs). The
heterogeneity of BCCs brings up the question of how each subset interacts with
the BM microenvironment. The cancer stem cells (CSCs) survive in the BM as
cycling quiescence cells and, forming gap junctional intercellular communication
(GJIC) with the hematopoietic supporting stromal cells and MSCs. This type of
communication has been identified close to the endosteum. Additionally, dormancy
can occur by soluble mediators such as cytokines and also by the exchange of
exosomes. These latter mechanisms are reviewed in the context of metastasis of BC
to the BM for transition as dormant cells. The article also discusses how immune
cells such as macrophages and regulatory T-cells facilitate BC dormancy. The
challenges of studying BC dormancy in 2-dimensional (2-D) system are also
incorporated by proposing 3-D system by engineering methods to recapitulate the
BM microenvironment.
PMID- 26546046
TI - TMPRSS4 induces cancer stem cell-like properties in lung cancer cells and
correlates with ALDH expression in NSCLC patients.
AB - Metastasis involves a series of changes in cancer cells that promote their escape
from the primary tumor and colonization to a new organ. This process is related
to the transition from an epithelial to a mesenchymal phenotype (EMT). Recently,
some authors have shown that migratory cells with an EMT phenotype share
properties of cancer stem cells (CSCs), which allow them to form a new tumor
mass. The type II transmembrane serine protease TMPRSS4 is highly expressed in
some solid tumors, promotes metastasis and confers EMT features to cancer cells.
We hypothesized that TMPRSS4 could also provide CSC properties. Overexpression of
TMPRSS4 reduces E-cadherin and induces N-cadherin and vimentin in A549 lung
cancer cells, supporting an EMT phenotype. These changes are accompanied by
enhanced migration, invasion and tumorigenicity in vivo. TMPRSS4 expression was
highly increased in a panel of lung cancer cells cultured as tumorspheres (a
typical assay to enrich for CSCs). H358 and H441 cells with knocked-down TMPRSS4
levels were significantly less able to form primary and secondary tumorspheres
than control cells. Moreover, they showed a lower proportion of ALDH+ cells
(examined by FACS analysis) and lower expression of some CSC markers than
controls. A549 cells overexpressing TMPRSS4 conferred the opposite phenotype and
were also more sensitive to the CSC-targeted drug salinomycin than control cells,
but were more resistant to regular chemotherapeutic drugs (cisplatin, gemcitabine
and 5-fluorouracil). Analysis of 70 NSCLC samples from patients revealed a very
significant correlation between TMPRSS4 expression and CSC markers ALDH (p =
0.0018) and OCT4 (p = 0.0004), suggesting that TMPRSS4 is associated with a CSC
phenotype in patients' tumors. These results show that TMPRSS4, in addition to
inducing EMT, can also promote CSC features in lung cancer; therefore, CSC
targeting drugs could be an appropriate treatment for TMPRSS4+ tumors.
PMID- 26546047
TI - Candidate DNA repair susceptibility genes identified by exome sequencing in high
risk pancreatic cancer.
AB - The genetic basis underlying the majority of hereditary pancreatic adenocarcinoma
(PC) is unknown. Since DNA repair genes are widely implicated in gastrointestinal
malignancies, including PC, we hypothesized that there are novel DNA repair PC
susceptibility genes. As germline DNA repair gene mutations may lead to PC
subtypes with selective therapeutic responses, we also hypothesized that there is
an overall survival (OS) difference in mutation carriers versus non-carriers. We
therefore interrogated the germline exomes of 109 high-risk PC cases for rare
protein-truncating variants (PTVs) in 513 putative DNA repair genes. We
identified PTVs in 41 novel genes among 36 kindred. Additional genetic evidence
for causality was obtained for 17 genes, with FAN1, NEK1 and RHNO1 emerging as
the strongest candidates. An OS difference was observed for carriers versus non
carriers of PTVs with early stage (<=IIB) disease. This adverse survival trend in
carriers with early stage disease was also observed in an independent series of
130 PC cases. We identified candidate DNA repair PC susceptibility genes and
suggest that carriers of a germline PTV in a DNA repair gene with early stage
disease have worse survival.
PMID- 26546049
TI - Global environmental change effects on ecosystems: the importance of land-use
legacies.
AB - One of the major challenges in ecology is to predict how multiple global
environmental changes will affect future ecosystem patterns (e.g. plant community
composition) and processes (e.g. nutrient cycling). Here, we highlight arguments
for the necessary inclusion of land-use legacies in this endeavour. Alterations
in resources and conditions engendered by previous land use, together with
influences on plant community processes such as dispersal, selection, drift and
speciation, have steered communities and ecosystem functions onto trajectories of
change. These trajectories may be modulated by contemporary environmental changes
such as climate warming and nitrogen deposition. We performed a literature review
which suggests that these potential interactions have rarely been investigated.
This crucial oversight is potentially due to an assumption that knowledge of the
contemporary state allows accurate projection into the future. Lessons from other
complex dynamic systems, and the recent recognition of the importance of previous
conditions in explaining contemporary and future ecosystem properties, demand the
testing of this assumption. Vegetation resurvey databases across gradients of
land use and environmental change, complemented by rigorous experiments, offer a
means to test for interactions between land-use legacies and multiple
environmental changes. Implementing these tests in the context of a trait-based
framework will allow biologists to synthesize compositional and functional
ecosystem responses. This will further our understanding of the importance of
land-use legacies in determining future ecosystem properties, and soundly inform
conservation and restoration management actions.
PMID- 26546048
TI - Use of ward closure to control outbreaks among hospitalized patients in acute
care settings: a systematic review.
AB - BACKGROUND: Though often used to control outbreaks, the efficacy of ward closure
is unclear. This systematic review sought to identify studies defining and
describing ward closure in outbreak control and to determine impact of ward
closure as an intervention on outbreak containment. METHODS: We searched these
databases with no language restrictions: MEDLINE, 1946 to 7 July 2014; EMBASE,
1974 to 7 July 2014; CINAHL, 1937 to 8 July 2014; and Cochrane Database of
Systematic Reviews, 2005 to May 2014. We also searched the following: IndMED;
LILACS; reference lists from retrieved articles; conference proceedings; and
websites of the CDCP, the ICID, and the WHO. We included studies of patients
hospitalized in acute care facilities; used ward closure as a control measure;
used other control measures; and discussed control of the outbreak(s) under
investigation. A component approach was used to assess study quality. RESULTS: We
included 97 English and non-English observational studies. None included a
controlled comparison between ward closure and other interventions. We found that
ward closure was often used as part of a bundle of interventions but could not
determine its direct impact separate from all the other interventions whether
used in parallel or in sequence with other interventions. We also found no
universal definition of ward closure which was widely accepted. CONCLUSIONS: With
no published controlled studies identified, ward closure for control of outbreaks
remains an intervention that is not evidence based and healthcare personnel will
need to continue to balance the competing risks associated with its use, taking
into consideration the nature of the outbreak, the type of pathogen and its
virulence, mode of transmission, and the setting in which it occurs. Our review
has identified a major research gap in this area.
PMID- 26546050
TI - Quadriceps performance under activation of foot dorsal extension in healthy
volunteers: an interventional cohort study.
AB - BACKGROUND: The m. quadriceps femoris is the strongest muscle in the human body
and plays an important role in sports, activities of daily living and
independence. Two older studies showed increased electromyographic (EMG) activity
of the quadriceps when the dorsal extensors of the foot were pre-activated. The
aim was to physiologically replicate this finding by EMG and to verify it
functionally by single leg hop. METHODS: EMG activity (root mean square, RMS) was
tested on the leg press at the isometric load of the individual 12-repetition
maximum (12RM) weight (on average 79.7 kg) at 45 degrees and 90 degrees knee
flexion. Single leg hop distance was measured between the tests. Intra-individual
changes between with and without dorsal foot extension were quantified and
compared by standardized response means (SRM). RESULTS: Thirty-five healthy
subjects between 21 and 57 years were included. The m. vastus medialis was
activated on average to an RMS of 32.4 MUV without and 53.7 MUV with dorsal foot
extension (SRM = 1.39, p < 0.001) at 45 degrees knee flexion and an RMS of 124.9
MUV versus 152.8 MUV (SRM = 1.08, p < 0.001) at 90 degrees . The corresponding
data for the rectus femoris were 9.4 MUV versus 18.9 MUV (SRM = 0.71, p < 0.001)
at 45 degrees and 77.8 MUV versus 135.3 MUV (SRM = 0.89, p < 0.001) at 90
degrees . Mean single leg hop distance was 169.8 cm without versus 178.9 cm with
dorsal foot extension (SRM = 1.09, p < 0.001). CONCLUSIONS: Pre-activation of
dorsal foot extensors significantly increased EMG activity in the m. quadriceps
femoris and single leg hop distance. It can therefore be used to improve
functional quadriceps muscle performance and knee joint stability in training and
rehabilitation.
PMID- 26546051
TI - Triamcinolone during pars plana vitrectomy for open globe trauma: a pilot
randomised controlled clinical trial.
AB - PURPOSE: To investigate the feasibility of conducting a randomised controlled
trial in patients undergoing pars plana vitrectomy surgery following open globe
trauma (OGT). Additionally, to investigate the treatment effect and toxicity of
intensive anti-inflammatory agents. METHODS: A 2-year, pilot, single-centre
prospective, participant and surgeon-masked randomised controlled trial (RCT).
Forty patients requiring vitrectomy surgery following OGT were randomised to
either standard (control) or study treatment (adjuncts) in a 1:1 allocation
ratio. Perioperatively, the adjunct group received intravitreal and subtenons
triamcinolone acetonide, oral flurbiprofen and guttae prednisolone acetate 1%.
The control group received standard care. Primary outcome was anatomical success
at 6 months. Secondary outcomes included final visual acuity, occurrence of
proliferative vitreoretinopathy, intraocular pressure rise, number of operations
and recruitment rate. RESULTS: 40 patients were recruited within 21 months.
Primary outcome assessment showed similar results in anatomical success with 50%
(10/20) in the adjunct group compared with 47% (9/19) in the standard group (OR
1.11, 95% CI 0.316 to 3.904). Visual outcomes were better in the adjunct group
with a final median visual acuity of 31 Early Treatment Diabetic Retinopathy
Study (ETDRS) letters compared with 25 ETDRS letters in the standard group. A
higher proportion of patients gained 10, 20 and 30 ETDRS letters in the adjunct
group (80%, 65% and 50%, respectively) compared with the standard group (52.6%,
52.6% and 42.1%). Fewer adjunct patients (15%, n=3) had poor visual outcomes
(Zero ETDRS letters) compared with 42.1%, (n=8). CONCLUSIONS: An RCT in this
population is deliverable and estimated recruitment rates are realistic. Results
and patient discussions determined that the definitive study should have vision
as a primary outcome. This pilot study is supportive of there being a positive
treatment effect of intensive anti-inflammatory agents in OGT. TRIAL REGISTRATION
NUMBER: European Clinical Trials Database 2007-005138-35; Results.
PMID- 26546052
TI - Feeding practices and nutrient content of complementary meals in rural central
Tanzania: implications for dietary adequacy and nutritional status.
AB - BACKGROUND: Stunting and micronutrient deficiencies are significant health
problems among infants and young children in rural Tanzania. Objective of the
study was to assess feeding practices, nutrient content of complementary meals,
and their implications for dietary adequacy and nutritional status. METHODS: A
cross-sectional study was conducted in six randomly selected villages in Mpwapwa
District, Tanzania during the post-harvest season. Information on feeding
practices, dietary consumption and anthropometric measurements of all infants
below the age of one year were collected. Forty samples of common meals were
collected and analysed for proximate composition, iron, zinc and calcium. Results
were expressed per 100 g dry weight. RESULTS: Energy, protein and fat content in
porridge ranged from 40.67-63.92 kcal, 0.54-1.74% and 0.30-2.12%, respectively.
Iron, zinc and calcium contents (mg/100 g) in porridge were 0.11-2.81, 0.10-3.23,
and 25.43-125.55, respectively. Median portion sizes were small (porridge: 150
350 g; legumes and meats: 39-90 g). Very few children (6.67%) consumed animal
source foods. Low meal frequency, low nutrient content, small portion size and
limited variety reduced the contribution of meals to daily nutritional needs.
CONCLUSIONS: Findings of the study highlight inadequate feeding practices, low
nutritional quality of meals and high prevalence of stunting. Feasible strategies
are needed to address the dietary inadequacies and chronic malnutrition of rural
infants.
PMID- 26546053
TI - Primary jejunal gastrinoma: a case report and review of the literature.
AB - BACKGROUND: Primary jejunal gastrinomas are exceedingly rare, and data for long
term follow-up is limited. Until now, only six cases of gastrinomas arising from
the jejunum have been reported in the English literature. CASE PRESENTATION:
Presented is a case of a primary gastrinoma located in the proximal jejunum.
After surgical resection of the tumor, eugastrinemia was quickly achieved and
after a 10-year follow-up period, the patient was still disease-free.
CONCLUSIONS: This case report demonstrates that surgical resection of a primary
jejunal gastrinoma without evidence of metastasis can be curative, with a good
long-term prognosis.
PMID- 26546054
TI - Adding value to plant oils and fatty acids: Biological transformation of fatty
acids into omega-hydroxycarboxylic, alpha,omega-dicarboxylic, and omega
aminocarboxylic acids.
AB - Not only short chain omega-hydroxycarboxylic acids, alpha,omega-dicarboxylic
acids, and omega-aminocarboxylic acids but also medium to long chain carboxylic
acids are widely used as building blocks and intermediates in the chemical,
pharmaceutical, and food industries. Thereby, recent achievements in biological
production of medium to long chain carboxylic acids are addressed here. omega
Hydroxycarboxylic and alpha,omega-dicarboxylic acids were synthesized via
terminal CH bond oxygenation of fatty acids and/or internal oxidative cleavage of
the fatty acid carbon skeletons. omega-Aminocarboxylic acids were enzymatically
produced from omega-hydroxycarboxylic acids via omega-oxocarboxylic acids.
Productivities and product yields of some of the products are getting close to
the industrial requirements for large scale production.
PMID- 26546055
TI - Quantitative image analysis as a tool for Yarrowia lipolytica dimorphic growth
evaluation in different culture media.
AB - Yarrowia lipolytica, a yeast strain with a huge biotechnological potential,
capable to produce metabolites such as gamma-decalactone, citric acid,
intracellular lipids and enzymes, possesses the ability to change its morphology
in response to environmental conditions. In the present study, a quantitative
image analysis (QIA) procedure was developed for the identification and
quantification of Y. lipolytica W29 and MTLY40-2P strains dimorphic growth,
cultivated in batch cultures on hydrophilic (glucose and N-acetylglucosamine
(GlcNAc) and hydrophobic (olive oil and castor oil) media. The morphological
characterization of yeast cells by QIA techniques revealed that hydrophobic
carbon sources, namely castor oil, should be preferred for both strains growth in
the yeast single cell morphotype. On the other hand, hydrophilic sugars, namely
glucose and GlcNAc caused a dimorphic transition growth towards the hyphae
morphotype. Experiments for gamma-decalactone production with MTLY40-2P strain in
two distinct morphotypes (yeast single cells and hyphae cells) were also
performed. The obtained results showed the adequacy of the proposed morphology
monitoring tool in relation to each morphotype on the aroma production ability.
The present work allowed establishing that QIA techniques can be a valuable tool
for the identification of the best culture conditions for industrial processes
implementation.
PMID- 26546056
TI - Curcumin analog WZ35 induced cell death via ROS-dependent ER stress and G2/M cell
cycle arrest in human prostate cancer cells.
AB - BACKGROUND: Prostate cancer is the most commonly diagnosed malignancy among men.
The Discovery of new agents for the treatment of prostate cancer is urgently
needed. Compound WZ35, a novel analog of the natural product curcumin, exhibited
good anti-prostate cancer activity, with an IC50 of 2.2 MUM in PC-3 cells.
However, the underlying mechanism of WZ35 against prostate cancer cells is still
unclear. METHODS: Human prostate cancer PC-3 cells and DU145 cells were treated
with WZ35 for further proliferation, apoptosis, cell cycle, and mechanism
analyses. NAC and CHOP siRNA were used to validate the role of ROS and ER stress,
respectively, in the anti-cancer actions of WZ35. RESULTS: Our results show that
WZ35 exhibited much higher cell growth inhibition than curcumin by inducing ER
stress-dependent cell apoptosis in human prostate cells. The reduction of CHOP
expression by siRNA partially abrogated WZ35-induced cell apoptosis. WZ35 also
dose-dependently induced cell cycle arrest in the G2/M phase. Furthermore, we
found that WZ35 treatment for 30 min significantly induced reactive oxygen
species (ROS) production in PC-3 cells. Co-treatment with the ROS scavenger NAC
completely abrogated the induction of WZ35 on cell apoptosis, ER stress
activation, and cell cycle arrest, indicating an upstream role of ROS generation
in mediating the anti-cancer effect of WZ35. CONCLUSIONS: Taken together, this
work presents the novel anticancer candidate WZ35 for the treatment of prostate
cancer, and importantly, reveals that increased ROS generation might be an
effective strategy in human prostate cancer treatment.
PMID- 26546057
TI - Physiological effects of compensatory growth during the larval stage of the
ladybird, Cryptolaemus montrouzieri.
AB - The growth rate of insects may vary in response to shifty environments. They may
achieve compensatory growth after a period of food restriction followed by ad
libitum food, which may further affect the reproductive performance and lifespan
of the resulting phenotypes. However, little is known about the physiological
mechanisms associated with such growth acceleration in insects. The present study
examined the metabolic rate, the antioxidant enzyme activity and the gene
expression of adult Cryptolaemus montrouzieri (Coleoptera: Coccinellidae) after
experiencing compensatory growth during its larval stages. Starved C.
montrouzieri individuals achieved a similar developmental time and adult body
mass as those supplied with ad libitum food during their entire larval stage,
indicating that compensatory growth occurred as a result of the switch in larval
food regime. Further, the compensatory growth was found to exert effects on the
physiological functions of C. montrouzieri, in terms of its metabolic rates and
enzyme activities. The adults undergoing compensatory growth were characterized
by a higher metabolic rate, a lower activity of the antioxidant enzymes
glutathione reductase, catalase, and superoxide dismutase and a lower gene
expression of P450 and trehalase. Taken together, the results indicate that
although compensatory growth following food restriction in early larval life
prevents developmental delay and body mass loss, the resulting adults may
encounter physiological challenges affecting their fitness.
PMID- 26546058
TI - Protective effect of TSLP delivered at the gut mucosa level by recombinant lactic
acid bacteria in DSS-induced colitis mouse model.
AB - BACKGROUND: Thymic stromal lymphopoietin (TSLP) is a cytokine known to mature
dendritics cells, lower pro-inflammatory IL-12 secretion, induce differentiation
of anti-inflammatory FoxP3+ regulatory T cells (Treg). Moreover, Crohn's disease
patients have shown a reduction of intestinal TSLP expression. To understand the
role of TSLP in inflammation, we constructed Lactococcus lactis strain producing
TSLP (LL-TSLP) and investigated the effect of its administration on dextran
sulfate sodium (DSS)-induced colitis model in mice. RESULTS: LL-TSLP secrete an
active molecule which lowers secretion of IL-12 by dendritic cells. Treatment
with LL-TSLP, increases the amount of TGF-beta secreted by T cells in Mesenteric
Lymph Node in healthy mice. In acute DSS-induced colitis, LL-TSLP delayed the
Disease Activity Index and lowered histological score and colonic INF-gamma
production. In a DSS-recovery model, LL-TSLP induced a better protective effect
if the strain was administered at the beginning of the colitis. At Day 4 of
colitis we observed an induction of Treg by LL-TSLP. CONCLUSIONS: TSLP showed an
anti-inflammatory protective role in DSS-induced colitis. We have demonstrated
that a short and early administration of LL-TSLP is more efficient than a long
lasting treatment.
PMID- 26546059
TI - The management and treatment of children with Fabry disease: A United States
based perspective.
AB - Fabry disease is an inherited X-linked disorder that presents during childhood in
male and female patients. Young patients may initially experience pain,
hypohidrosis, and gastrointestinal symptoms. Other manifestations of Fabry
disease, such as renal and cardiac disease, manifest later in adolescence or
adulthood. In the pediatric population, renal damage is typically subclinical and
identifiable only through biopsy. Specialists from the United States with
expertise in Fabry disease convened during 2013-2014 in order to develop these
consensus guidelines about the management and treatment of children with Fabry
disease. The presence of symptoms in boys and girls of any age is an indication
to begin therapy. Early treatment before the onset of potentially irreversible
vital organ pathology is ideal. Asymptomatic children with Fabry mutations should
be followed closely for the development of renal, cardiac, neurological, or
gastrointestinal signs, symptoms, or laboratory changes, which would warrant
treatment initiation. A comprehensive care plan should be implemented by the
treating physicians to guide the management of children with Fabry disease.
PMID- 26546060
TI - Serum sclerostin levels, arteriovenous fistula calcification and 2-years all
cause mortality in prevalent hemodialysis patients.
AB - BACKGROUND: Bone and mineral abnormalities, and cardiovascular calcification are
associated with increased cardiovascular mortality in patients with chronic
kidney disease (CKD). Recent studies have implicated Wnt signaling pathway in the
pathogenesis of bone metabolism and vascular calcification. Sclerostin is a
soluble inhibitor of Wnt signaling pathway and has been shown to be associated
with decreased bone turnover and vascular calcification in CKD patients.
OBJECTIVES: The aim was to investigate whether the circulating levels of
sclerostin are associated with all-cause mortality in prevalent hemodialysis
patients. METHODS: Data are prospectively collected for 24 months for survival
analysis in 350 prevalent hemodialysis patients. At baseline, serum sclerostin
levels were measured and arteriovenous fistula calcification was detected by
using a 64-detector computerized tomographic scanner. RESULTS: During the follow
up, 84 (24%) patients died. Patients who died had higher serum sclerostin levels.
Kaplan-Meier curve revealed that patients with increasing tertiles of serum
sclerostin levels at baseline, had a worse survival. In the multivariate Cox
regression analysis age, albumin, and presence of arteriovenous fistula
calcification, but not sclerostin levels, were found to be independent predictors
of survival in maintenance hemodialysis patients. CONCLUSION: Further clinical
studies with longer follow-up are needed to clarify the impact of serum
sclerostin levels on morbidity and mortality of maintenance hemodialysis
patients. CLINICAL TRIAL REGISTRATION NUMBER: The study was performed as a post
hoc survival analysis of the patients involved in a single-center prospective
trial investigating the association between serum sclerostin levels and
arteriovenous fistula calcification and patency [Balci M, et al. Herz 2015;40:289
97] with a Clinicaltrials.gov number: NCT01382966.
PMID- 26546061
TI - Surgical repair of inferior sinus venosus defects: a novel approach with unsnared
inferior vena cava.
AB - BACKGROUND: Inferior sinus venosus defects (SVD) are very rare and difficult to
image from transthoracic echocardiography. Surgical errors were occasionally
reported in the repair of inferior SVDs. RESULTS: The authors have operated on 12
inferior SVD patients using bicaval cannulation with unsnared inferior vena cava
(IVC) and proved successful. CONCLUSION: This technique guaranteed a better
exposure of surgical field and facilitate identifying the anatomical relationship
between lower part of the SVD and IVC orifice, thus avoiding postoperative IVC -
left atrial shunt and other surgical mistakes.
PMID- 26546062
TI - T cell deficiency in spinal cord injury: altered locomotor recovery and whole
genome transcriptional analysis.
AB - BACKGROUND: T cells undergo autoimmunization following spinal cord injury (SCI)
and play both protective and destructive roles during the recovery process. T
cell-deficient athymic nude (AN) rats exhibit improved functional recovery when
compared to immunocompetent Sprague-Dawley (SD) rats following spinal cord
transection. METHODS: In the present study, we evaluated locomotor recovery in SD
and AN rats following moderate spinal cord contusion. To explain variable
locomotor outcome, we assessed whole-genome expression using RNA sequencing, in
the acute (1 week post-injury) and chronic (8 weeks post-injury) phases of
recovery. RESULTS: Athymic nude rats demonstrated greater locomotor function than
SD rats only at 1 week post-injury, coinciding with peak T cell infiltration in
immunocompetent rats. Genetic markers for T cells and helper T cells were acutely
enriched in SD rats, while AN rats expressed genes for T(h)2 cells, cytotoxic T
cells, NK cells, mast cells, IL-1a, and IL-6 at higher levels. Acute enrichment
of cell death-related genes suggested that SD rats undergo secondary tissue
damage from T cells. Additionally, SD rats exhibited increased acute expression
of voltage-gated potassium (Kv) channel-related genes. However, AN rats
demonstrated greater chronic expression of cell death-associated genes and less
expression of axon-related genes. Immunostaining for macrophage markers revealed
no T cell-dependent difference in the acute macrophage infiltrate. CONCLUSIONS:
We put forth a model in which T cells facilitate early tissue damage,
demyelination, and Kv channel dysregulation in SD rats following contusion SCI.
However, compensatory features of the immune response in AN rats cause delayed
tissue death and limit long-term recovery. T cell inhibition combined with other
neuroprotective treatment may thus be a promising therapeutic avenue.
PMID- 26546063
TI - Acetabular reconstruction with a reinforcement device and bone grafting in
revision arthroplasty-a mean five years of follow-up.
AB - PURPOSE: To evaluate the clinical and radiological outcomes of acetabular
reconstruction using a reinforcement device (RD) in combination with bone
grafting in Paprosky type 2 and 3 acetabular bone defects. METHODS: Morselised
bone grafts were used to fill cavitary defects and a structural graft placed in
the superior part of the acetabulum in cases of cranial bone defects, with a
proximally fixed RD (Protetim, Hodmezovasarhely, Hungary) implanted in all cases.
Construct stability, device positioning, hip centre of rotation and medial
acetabular wall thickness were evaluated radiologically. Survival rates were
estimated with aseptic loosening and revision for any reason as endpoints.
RESULTS: The 28 patients (29 hips) were followed for 4.8 +/- 2.7 years (range, 2
10.4 years). Hip centre of rotation was lowered in all cases, with no significant
differences between the mean values obtained post-operatively and at the last
follow-up. Medial acetabular wall thickness and RD abduction angle were
maintained up to the last evaluation. Bone grafts integrated by two years post
operatively in the majority of cases. Kaplan-Meier survival rates were 85.2 % and
82.1 % for the two endpoints at ten years. CONCLUSIONS: Acetabular reconstruction
using a proximally fixed RD in combination with bone grafting offered good mid
term results in the treatment of acetabular bone defects. In cases of severe bone
loss, the structural allograft allowed placement of the RD with ischial contact,
rendering survival rates similar to RDs with both iliac and ilioischial fixation.
The technique was successful in restoring and maintaining medial acetabular bone
stock and construct stability for up to ten years.
PMID- 26546064
TI - Management of congenital pseudarthrosis of the tibia with the Ilizarov method in
a paediatric population: influence of aetiological factors.
AB - PURPOSE: Our study compared the rates of union achieved with the Ilizarov method
in congenital pseudarthrosis of the tibia (CPT) associated with neurofibromatosis
type 1 (NF1) or CPT of idiopathic origin in paediatric patients. METHODS: We
studied the outcomes of 28 children that were treated for CPT between 2005 and
2013. Group 1 included children (n = 14, mean age = 9.7 years) with CPT
associated with NF1 while group 2 were CPT cases that had radiographic
confirmation of dysplastic lesions in the tibia but lacked clinical NF1
manifestations (n = 14, mean age = 8.6 years). There was no statistical
difference between the groups regarding their age or number of previous
operations per patient. Individual technical solutions were planned for each
patient but coaptation of bone fragments and autologous local tissue grafting to
achieve a greater bone thickness and contact area at the pseudarthrosis level
were mainly used. Refracture-free rate after the first operation, number of re
operations per patient, and union rates in the groups were compared. RESULTS:
Bone union and weight bearing were obtained in all the cases after the first
operation. Refracture-free rate was 42.86 % in group 1 and 35.71% in group 2 (no
statistical difference, p > 0.05). Mean number of re-operations per patient was
1.07 and 0.78 respectively (p > 0.05). Subsequent treatment for refractures with
the Ilizarov techniques gained 92.86% of union in both groups at the follow-ups
by completion of the study (range, 2-9 years). CONCLUSIONS: The Ilizarov method
yields comparable results in the management of CPT associated with NF1 or tibial
dysplasia of idiopathic origin in paediatric cases. Further research should focus
on the ways to support the Ilizarov method in order to reduce the number of
repetitive surgeries or eliminate them.
PMID- 26546065
TI - Pulmonary Vein Stenosis After Ablation: The Difference Between Clinical Symptoms
and Imaging Findings, and the Importance of Definitions in This Context.
PMID- 26546066
TI - "Watching time tick by...": Decision making for Duchenne muscular dystrophy
trials.
AB - OBJECTIVE: This interview study explored clinicians' perspectives and parents'
decision making about children's participation in Duchenne muscular dystrophy
(DMD) clinical trials. METHODS: Data from semi-structured interviews conducted
with clinicians and parents in U.S. or Canada were assessed using thematic
analysis. RESULTS: Eleven clinicians involved in ten trials and fifteen parents
involved in six trials were interviewed. Parents described benefit-risk
assessments using information from advocacy, peers, professionals, and sponsors.
Strong influence was attributed to the progressive nature of DMD. Most expected
direct benefit. Few considered the possibility of trial failure. Most made
decisions to participate before the informed consent (IC) process, but none-the
less perceived informed choice with little to lose for potential gain. Clinicians
described more influence on parental decisions than attributed by parents.
Clinicians felt responsible to facilitate IC while maintaining hope. Both
clinicians and parents reported criticisms about the IC process and regulatory
barriers. CONCLUSIONS: The majority of parents described undertaking benefit-risk
assessments that led to informed choices that offered psychological and potential
disease benefits. Parents' high expectations influenced their decisions while
also reflecting optimism. Clinicians felt challenged in balancing parents'
expectations and likely outcomes. Prognosis-related pressures coupled with
decision making prior to IC suggest an obligation to ensure educational materials
are understandable and accurate, and to consider an expanded notion of IC
timeframes. Anticipatory guidance about potential trial failure might facilitate
parents' deliberations while aiding clinicians in moderating overly-optimistic
motivations. Regulators and industry should appreciate special challenges in
progressive disorders, where doing nothing was equated with doing harm.
PMID- 26546067
TI - Adult Congenital Heart Disease-Coping And REsilience (ACHD-CARE): Rationale and
methodology of a pilot randomized controlled trial.
AB - INTRODUCTION: One-third of North American adults with congenital heart disease
(CHD) have diagnosable mood or anxiety disorders and most do not receive mental
health treatment. There are no published interventions targeting the psychosocial
needs of patients with CHD of any age. We describe the development of a group
psychosocial intervention aimed at improving the psychosocial functioning,
quality of life, and resilience of adults with CHD and the design of a study
protocol to determine the feasibility of a potential full-scale randomized
controlled trial (RCT). METHODS/DESIGN: Drawing upon our quantitative and
qualitative research, we developed the Adult CHD-Coping And REsilience (ACHD
CARE) intervention and designed a feasibility study that included a 2-parallel
arm non-blinded pilot RCT. Eligible participants (CHD, age >= 18 years, no
planned surgery, symptoms suggestive of a mood and/or anxiety disorder) were
randomized to the ACHD-CARE intervention or Usual Care (1:1 allocation ratio).
The group intervention was delivered during eight 90-minute weekly sessions.
Feasibility will be assessed in the following domains: (i) process (e.g.
recruitment and retention), (ii) resources, (iii) management, (iv) scientific
outcomes, and (v) intervention acceptability. DISCUSSION: This study underscores
the importance of carefully developing and testing the feasibility of
psychosocial interventions in medical populations before moving to full-scale
clinical trials. At study conclusion, we will be poised to make one of three
determinations for a full-scale RCT: (1) feasible, (2) feasible with
modifications, or (3) not feasible. This study will guide the future evaluation
and provision of psychosocial treatment for adults with CHD.
PMID- 26546068
TI - Activating brown adipose tissue through exercise (ACTIBATE) in young adults:
Rationale, design and methodology.
AB - AIMS: The energy expenditure capacity of brown adipose tissue (BAT) makes it an
attractive target as a therapy against obesity and type 2 diabetes. BAT
activators namely catecholamines, natriuretic peptides and certain myokines, are
secreted in response to exercise. ACTIBATE will determine the effect of exercise
on BAT activity and mass measured by positron emission tomography/computed
tomography (PET/CT, primary outcome) in young adults. ACTIBATE will also
investigate the physiological consequences of activating BAT (secondary
outcomes). METHODS: ACTIBATE will recruit 150 sedentary, healthy, young adults
(50% women) aged 18-25 years. Eligible participants will be randomly assigned to
a non-exercise group (n ~ 50) or one of two exercise groups (n=50 each).
Participants in the exercise groups will perform aerobic and strength training 3
4 days/week at a heart rate equivalent to 60% of heart rate reserve (HRres), and
at 50% of 1 repetition maximum (RM) for the moderate-intensity group, and at 80%
of HRres and 70% RM for the vigorous-intensity group. Laboratory measures
completed at baseline and 6 months include BAT activity and mass, resting energy
expenditure, meal and cold-induced thermogenesis, body temperature regulation and
shivering threshold, body composition and cardiovascular disease risk factors. We
will also obtain biopsies from abdominal subcutaneous white adipose tissue and
skeletal muscle to analyse the expression of genes encoding proteins involved in
the thermogenic machinery. DISCUSSION: Findings from ACTIBATE will have
significant implications for our understanding of exercise and its protective
effects against the development of type 2 diabetes, obesity and related metabolic
diseases. ClinicalTrials.gov ID: NCT02365129.
PMID- 26546069
TI - Development and evaluation of a Loop Mediated Isothermal Amplification (LAMP)
technique for the detection of hookworm (Necator americanus) infection in fecal
samples.
AB - BACKGROUND: Hookworm infection is a major concern in sub-Saharan Africa,
particularly in children and pregnant women. Necator americanus and Ancylostoma
duodenale are responsible for this condition. Hookworm disease is one of the
Neglected tropical diseases (NTDs) that are targeted for elimination through
global mass chemotherapy. To support this there is a need for reliable diagnostic
tools. The conventional diagnostic test, Kato-Katz that is based on microscopic
detection of parasite ova in faecal samples, is not effective due to its low
sensitivity that is brought about mainly by non-random distribution of eggs in
stool and day to day variation in egg output. It is tedious, cumbersome to
perform and requires experience for correct diagnosis. LAMP-based tests are
simple, relatively cheap, offer greater sensitivity, specificity than existing
tests, have high throughput capability, and are ideal for use at the point of
care. METHODS: We have developed a LAMP diagnostic test for detection of hookworm
infection in faecal samples. LAMP relies on auto cycling strand displacement DNA
synthesis performed at isothermal temperature by Bst polymerase and a set of 4
specific primers. The primers used in the LAMP assay were based on the second
Internal Transcribed Spacer (ITS-2) region and designed using Primer Explorer
version 4 Software. The ITS-2 region of the ribosomal gene (rDNA) was identified
as a suitable target due to its low mutation rates and substantial differences
between species. DNA was extracted directly from human faecal samples, followed
by LAMP amplification at isothermal temperature of 63 degrees C for 1 h.
Amplicons were visualized using gel electrophoresis and SYBR green dye. Both
specificity and sensitivity of the assay were determined. RESULTS: The LAMP based
technique developed was able to detect N. americanus DNA in faecal samples. The
assay showed 100 % specificity and no cross-reaction was observed with other
helminth parasites (S. mansoni, A. lumbricoides or T. trichiura). The developed
LAMP assay was 97 % sensitive and DNA at concentrations as low as 0.4 fg were
amplified. CONCLUSION: The LAMP assay developed is an appropriate diagnostic
method for the detection of N. americanus DNA in human stool samples because of
its simplicity, low cost, sensitivity, and specificity. It holds great promise as
a useful diagnostic tool for use in disease control where infection intensities
have been significantly reduced.
PMID- 26546070
TI - Tarsal tunnel syndrome-A narrative literature review.
AB - BACKGROUND: Tarsal tunnel syndrome is classified as a focal compressive
neuropathy of the posterior tibial nerve or one of its associated branches
individually or collectively. The tunnel courses deep to fascia, the flexor
retinaculum and within the abductor hallucis muscle of the foot/ankle. The
condition is rare and regularly under-diagnosed leading to a range of symptoms
affecting the plantar margins of the foot. There are many intervention strategies
for treating tarsal tunnel syndrome with limited robust evidence to guide the
clinical management of this condition. The role of conservative versus surgical
interventions at various stages of the disease process remains unclear, and there
is a need for a structured, step-wise approach in treating patients with this
syndrome based on derived empirical evidence. This narrative review attempts to
scrutinize the literature to date by clarifying initial presentation,
investigations and definitive treatment for the purpose of assisting future
informed clinical decision and prospective research endeavours. PROCESS: The
literature searches that have been incorporated in compiling a rigorous review of
this condition have included: the Cochrane Neuromuscular Group's Specialized
Register (Cochrane Library 2013), the databases of EMBASE, AMED, MEDLINE, CINAHL,
Physiotherapy evidence database (PEDRO), Biomed Central, Science Direct and Trip
Database (1972 to the present). Reference listings of located articles were also
searched and scrutinized. Authors and experts within the field of lower-limb
orthopaedics were contacted to discuss applicable data. Subject-specific criteria
searches utilizing the following key terms were performed across all databases:
tarsal tunnel syndrome, tibial neuralgia, compression neuropathy syndromes,
tibial nerve impingement, tarsal tunnel neuropathy, entrapment tibial nerve,
posterior tibial neuropathy. These search strategies were modified with differing
databases, adopting specific sensitivity-searching tools and functions unique to
each. This search strategy identified 88 journal articles of relevance for this
narrative literature review. FINDINGS: This literature review has appraised the
clinical significance of tarsal tunnel syndrome, whilst assessing varied
management interventions (non-surgical and surgical) for the treatment of this
condition in both adults and children. According to our review, there is limited
high-level robust evidence to guide and refine the clinical management of tarsal
tunnel syndrome. Requirements for small-scaled randomized controlled trials in
groups with homogenous aetiology are needed to analyse the effectiveness of
specific treatment modalities. CONCLUSIONS: It is necessary that further research
endeavours be pursued for the clinical understanding, assessment and treatment of
tarsal tunnel syndrome. Accordingly, a structured approach to managing patients
who have been correctly diagnosed with this condition should be formulated on the
basis of empirical evidence where possible.
PMID- 26546071
TI - Metabolic Syndrome and Prostate Cancer: a Review of Complex Interplay Amongst
Various Endocrine Factors in the Pathophysiology and Progression of Prostate
Cancer.
AB - The human prostate gland is an endocrine organ where dysregulation of various
hormonal factors may play a pivotal role in the pathogenesis of prostate cancer.
There is emerging epidemiological data to support the role of components of
metabolic syndrome, namely, obesity, hypercholesterolaemia, diabetes and
hyperinsulinaemia on the development and/or the progression of prostate cancer.
Although the exact mechanisms behind the relationship between metabolic syndrome
and prostate cancer remain largely unknown, various in vitro and animal
experiments of metabolic syndrome models have been shown to promote survival,
mitogenesis, metastasis and treatment resistance pathways, through various
adaptive responses such as intracellular steroidogenesis and lipogenesis. Also,
in a large proportion of men with metabolic syndrome, alteration in levels of
hormones such as testosterone, leptin and adiponectin has been shown to
contribute towards the aggression of prostate cancer. Whilst the exact bio
pathophysiological mechanisms between metabolic syndrome and prostate cancer are
yet to be fully elucidated, medications that target specific components of
metabolic syndrome have further provided evidence for the inter-relationship
between metabolic syndrome, its components and prostate cancer. Emerging in vitro
and molecular data is likely to bring us closer to utilizing this knowledge to
target particular cancer survival pathways and improving outcomes for men with
prostate cancer.
PMID- 26546072
TI - Achievement of trifecta in minimally invasive partial nephrectomy correlates with
functional preservation of operated kidney: a multi-institutional assessment
using MAG3 renal scan.
AB - PURPOSE: To validate and compare the values of "MIC" and "trifecta" as predictors
of operated kidney functional preservation in a multi-institutional cohort of
patients undergoing minimally invasive PN. METHODS: We retrospectively reviewed
records of consecutive cases of minimally invasive PN performed for cT1 renal
masses in 4 centers from 2009 to 2013. Inclusion criteria consisted of
availability of a renal scan obtained within 2 weeks prior to surgery and follow
up renal scan 3-6 months after the surgery. The primary endpoint of the study was
to compare the degree of ipsilateral renal function preservation assessed by MAG3
renal scan in relation to achievement of MIC and trifecta. RESULTS: Total of 351
patients met our inclusion criteria. The rates of trifecta achievement for cT1a
and cT1b tumors were 78.9 and 60.6 %, respectively. The rate of MIC achievement
for cT1a tumors and cT1b tumors was 60.3 and 31.7 %, respectively. On
multivariable linear regression model, only the degree of tumor complexity
assessed by R.E.N.A.L nephrometry score [coefficient B -1.8 (-2.7, -0.9); p <
0.0001] and the achievement of trifecta [coefficient B 6.1 (2.4,9.8); p = 0.014]
or MIC (coefficient B 7.2 (3.8,0.6); p < 0.0001) were significant clinical
factors predicting ipsilateral split function preservation. CONCLUSIONS:
Achievement of both MIC and "trifecta" is associated with higher proportion of
split renal function preservation for cT1 tumors after minimally invasive PN.
Thus, these outcome measures can be regarded not only as markers of surgical
quality, but also as reliable surrogates for predicting functional outcome in the
operated kidney.
PMID- 26546073
TI - Prevalence of sexual dysfunction in men with chronic prostatitis/chronic pelvic
pain syndrome: a meta-analysis.
AB - PURPOSE: This study aims to estimate the prevalence of sexual dysfunction in men
with chronic prostatitis/chronic pelvic pain syndrome (CP/CPPS) by conducting a
meta-analysis. METHODS: Relevant publications were searched using PubMed, Embase,
CBM, China National Knowledge Infrastructure, VIP and Wanfang databases up to
August 2015. Studies that reported the prevalence of erectile dysfunction,
premature ejaculation and total sexual dysfunction in men with CP/CPPS were
included. RESULTS: A total of 24 studies involving 11,189 men were included.
Overall prevalence of sexual dysfunction in men with CP/CPPS was 0.62 (95 % CI
0.48-0.75), while the prevalence of erectile dysfunction and premature
ejaculation was 0.29 (95 % CI 0.24-0.33) and 0.40 (95 % CI 0.30-0.50),
respectively. From 1999 to 2010, the prevalence of sexual dysfunction, erectile
dysfunction and premature ejaculation was 0.65 (95 % CI 0.45-0.83), 0.27 (95 % CI
0.22-0.33) and 0.41 (95 % CI 0.27-0.55), respectively. From 2011 to 2014, the
prevalence of sexual dysfunction, erectile dysfunction and premature ejaculation
was 0.50 (95 % CI 0.22-0.75), 0.35 (95 % CI 0.29- 0.40) and 0.39 (95 % CI 0.37
0.41), respectively. CONCLUSION: The prevalence of sexual dysfunction in men with
CP/CPPS was high, even though overall sexual dysfunction demonstrated a slightly
decreasing trend. Furthermore, erectile dysfunction prevalence rate had an
increasing trend in recent years. More prospective studies are needed to evaluate
sexual dysfunction improvement with better management of CP/CPPS.
PMID- 26546074
TI - Review of recent advances in segmentation of the breast boundary and the pectoral
muscle in mammograms.
AB - This paper presents a review of recent advances in the development of methods for
segmentation of the breast boundary and the pectoral muscle in mammograms.
Regardless of improvement of imaging technology, accurate segmentation of the
breast boundary and detection of the pectoral muscle are still challenging tasks
for image processing algorithms. In this paper, we discuss problems related to
mammographic image preprocessing and accurate segmentation. We review specific
methods that were commonly used in most of the techniques proposed for
segmentation of mammograms and discuss their advantages and disadvantages.
Comparative analysis of the methods reported on is made difficult by variations
in the datasets and procedures of evaluation used by the authors. We attempt to
overcome some of these limitations by trying to compare methods which used the
same dataset and have some similarities in approaches to the breast boundary
segmentation and detection of the pectoral muscle. In this paper, we will address
the most often used methods for segmentation such as thresholding, morphology,
region growing, active contours, and wavelet filtering. These methods, or their
combinations, are the ones most used in the last decade by the majority of work
published in this image processing domain.
PMID- 26546075
TI - A review of presented mathematical models in Parkinson's disease: black- and gray
box models.
AB - Parkinson's disease (PD), one of the most common movement disorders, is caused by
damage to the central nervous system. Despite all of the studies on PD, the
formation mechanism of its symptoms remained unknown. It is still not obvious why
damage only to the substantia nigra pars compacta, a small part of the brain,
causes a wide range of symptoms. Moreover, the causes of brain damages remain to
be fully elucidated. Exact understanding of the brain function seems to be
impossible. On the other hand, some engineering tools are trying to understand
the behavior and performance of complex systems. Modeling is one of the most
important tools in this regard. Developing quantitative models for this disease
has begun in recent decades. They are very effective not only in better
understanding of the disease, offering new therapies, and its prediction and
control, but also in its early diagnosis. Modeling studies include two main
groups: black-box models and gray-box models. Generally, in the black-box
modeling, regardless of the system information, the symptom is only considered as
the output. Such models, besides the quantitative analysis studies, increase our
knowledge of the disorders behavior and the disease symptoms. The gray-box models
consider the involved structures in the symptoms appearance as well as the final
disease symptoms. These models can effectively save time and be cost-effective
for the researchers and help them select appropriate treatment mechanisms among
all possible options. In this review paper, first, efforts are made to
investigate some studies on PD quantitative analysis. Then, PD quantitative
models will be reviewed. Finally, the results of using such models are presented
to some extent.
PMID- 26546076
TI - Epigenetic Effects of Cannabis Exposure.
AB - The past decade has witnessed a number of societal and political changes that
have raised critical questions about the long-term impact of marijuana (Cannabis
sativa) that are especially important given the prevalence of its abuse and that
potential long-term effects still largely lack scientific data. Disturbances of
the epigenome have generally been hypothesized as the molecular machinery
underlying the persistent, often tissue-specific transcriptional and behavioral
effects of cannabinoids that have been observed within one's lifetime and even
into the subsequent generation. Here, we provide an overview of the current
published scientific literature that has examined epigenetic effects of
cannabinoids. Though mechanistic insights about the epigenome remain sparse,
accumulating data in humans and animal models have begun to reveal aberrant
epigenetic modifications in brain and the periphery linked to cannabis exposure.
Expansion of such knowledge and causal molecular relationships could help provide
novel targets for future therapeutic interventions.
PMID- 26546077
TI - Fracture experience among participants from the FROCAT study: what thresholding
is appropriate using the FRAX tool?
AB - OBJECTIVE: To perform an external validation of FRAX algorithm thresholds for
reporting level of risk of fracture in Spanish women (low < 5%; intermediate >=
5% and < 7.5%; high >= 7.5%) taken from a prospective cohort "FRIDEX". METHODS: A
retrospective study of 1090 women aged >= 40 and <= 90 years old obtained from
the general population (FROCAT cohort). FRAX was calculated with data registered
in 2002. All fractures were validated in 2012. Sensitivity analysis was
performed. RESULTS: When analyzing the cohort (884) excluding current or past
anti osteoporotic medication (AOM), using our nominated thresholds, among the 621
(70.2%) women at low risk of fracture, 5.2% [CI95%: 3.4-7.6] sustained a
fragility fracture; among the 99 at intermediate risk, 12.1% [6.4-20.2]; and
among the 164 defined as high risk, 15.9% [10.6-24.2]. Sensitivity analysis
against model risk stratification FRIDEX of FRAX Spain shows no significant
difference. By including 206 women with AOM, the sensitivity analysis shows no
difference in the group of intermediate and high risk and minimal differences in
the low risk group. CONCLUSIONS: Our findings support and validate the use of
FRIDEX thresholds of FRAX when discussing the risk of fracture and the initiation
of therapy with patients.
PMID- 26546078
TI - Time Isn't of the Essence: Activating Goals Rather Than Imposing Delays Improves
Inhibitory Control in Children.
AB - Is it easier to inhibit inappropriate behaviors if one pauses before acting? An
important finding for theory and intervention is that children's inhibitory
control improves if an adult imposes a delay before they can act. Such findings
have suggested that the passage of time allows impulsive urges to dissipate
passively. However, in prior studies with imposed delays, children were also
reminded about what they should be doing, which may have aided their activation
of goal-relevant information. We tested this possibility by independently
manipulating delays and task reminders, and measuring 3-year-olds' abilities to
inhibit opening boxes in a go/no-go box-search task. Task reminders, but not
adult-imposed delays, improved children's response inhibition. However, as in
prior work, children who spontaneously delayed their action longer on go trials
exhibited better response inhibition on no-go trials. These results pose a
challenge to the view that the passage of time plays a causal role, suggest that
spontaneous delays index other processes that improve inhibitory control, and
highlight the importance of goal activation in developing inhibitory control.
PMID- 26546079
TI - Put Your Imperfections Behind You: Temporal Landmarks Spur Goal Initiation When
They Signal New Beginnings.
AB - People often fail to muster the motivation needed to initiate goal pursuit.
Across five laboratory experiments, we explored occasions when people naturally
experience enhanced motivation to take actions that facilitate goal pursuit and
why certain dates are more likely to spur goal initiation than others. We present
causal evidence that emphasizing a temporal landmark denoting the beginning of a
new time period increases people's intentions to initiate goal pursuit. In
addition, we propose and show that people's strengthened motivation to begin
pursuing their aspirations following such temporal landmarks originates in part
from the psychological disassociation these landmarks induce from a person's
past, imperfect self.
PMID- 26546080
TI - The Effects of Social Context and Acute Stress on Decision Making Under
Uncertainty.
AB - Uncertainty preferences are typically studied in neutral, nonsocial contexts.
This approach, however, fails to capture the dynamic factors that influence
choices under uncertainty in the real world. Our goal was twofold: to test
whether uncertainty valuation is similar across social and nonsocial contexts,
and to investigate the effects of acute stress on uncertainty preferences.
Subjects completed matched gambling and trust games following either a control or
a stress manipulation. Those who were not under stress exhibited no differences
between the amount of money gambled and the amount of money entrusted to
partners. In comparison, stressed subjects gambled more money but entrusted less
money to partners. We further found that irrespective of stress, subjects were
highly attuned to irrelevant feedback in the nonsocial, gambling context,
believing that every loss led to a greater chance of winning (the gamblers'
fallacy). However, when deciding to trust a stranger, control subjects behaved
rationally, treating each new interaction as independent. Stress compromised this
adaptive behavior, increasing sensitivity to irrelevant social feedback.
PMID- 26546081
TI - Down-regulation of FBP1 by ZEB1-mediated repression confers to growth and
invasion in lung cancer cells.
AB - Lung cancer is the most common type of malignant tumor, but the molecular
mechanisms for lung cancer progression remains to be elusive. Here, we
demonstrated that FBP1 (Fructose-1, 6-bisphosphatase) was frequently down
regulated in lung cancer tissues and cells, and FBP1 down-regulation was
associated with poor prognosis in lung cancer patients. Restored FBP1 expression
inhibited glucose uptake and lactate production, but induced oxygen consumption.
Restored FBP1 expression also inhibited lung cancer cells proliferation and
invasion under hypoxia in vitro, and inhibited lung cancer growth in vivo.
Moreover, we confirmed DNA methylation in the promoter contributed to the
decrease of FBP1 expression in lung cancer cells. We identified Zinc finger E-box
binding homeobox 1 (ZEB1) bond to FBP1 promoter to enhance DNA methylation in
lung cancer cells. Our findings indicate that the down-regulation of FBP1 is a
critical oncogenic event in lung cancer progression.
PMID- 26546082
TI - Seed trait-mediated selection by rodents affects mutualistic interactions and
seedling recruitment of co-occurring tree species.
AB - As mutualists, seed dispersers may significantly affect mutualistic interactions
and seedling recruitment of sympatric plants that share similar seed dispersers,
but studies are rare. Here, we compared seed dispersal fitness in two co
occurring plant species (Armeniaca sibirica and Amygdalus davidiana) that inhabit
warm temperate deciduous forest in northern China. We tested the hypothesis that
seed trait-mediated selection by rodents may influence mutualistic interactions
with rodents and then seedling establishment of co-occurring plant species. A.
davidiana seeds are larger and harder (thick endocarps) than A. sibirica seeds,
but they have similar levels of nutrients (crude fat, crude protein), caloric
value and tannin. More A. sibirica seedlings are found in the field. Semi-natural
enclosure tests indicated that the two seed species were both harvested by the
same six rodent species, but that A. sibirica had mutualistic interactions
(scatter hoarding) with four rodent species (Apodemus peninsulae, A. agrarius,
Sciurotamias davidianus, Tamias sibiricus), and A. davidiana with only one (S.
davidianus). Tagged seed dispersal experiments in the field indicated that more
A. sibirica seeds were scatter-hoarded by rodents, and more A. sibirica seeds
survived to the next spring and became seedlings. A. sibirica seeds derive more
benefit from seed dispersal by rodents than A. davidiana seeds, particularly in
years with limited seed dispersers, which well explained the higher seedling
recruitment of A. sibirica compared with that of A. davidiana under natural
conditions. Our results suggest that seed dispersers may play a significant role
in seedling recruitment and indirect competition between co-occurring plant
species.
PMID- 26546083
TI - Conversion of tropical lowland forest reduces nutrient return through litterfall,
and alters nutrient use efficiency and seasonality of net primary production.
AB - Tropical landscapes are not only rapidly transformed by ongoing land-use change,
but are additionally confronted by increasing seasonal climate variation. There
is an increasing demand for studies analyzing the effects and feedbacks on
ecosystem functioning of large-scale conversions of tropical natural forest into
intensively managed cash crop agriculture. We analyzed the seasonality of
aboveground litterfall, fine root litter production, and aboveground woody
biomass production (ANPP(woody)) in natural lowland forests, rubber agroforests
under natural tree cover ("jungle rubber"), rubber and oil palm monocultures
along a forest-to-agriculture transformation gradient in Sumatra. We hypothesized
that the temporal fluctuation of litter production increases with increasing land
use intensity, while the associated nutrient fluxes and nutrient use efficiency
(NUE) decrease. Indeed, the seasonal variation of aboveground litter production
and ANPP(woody) increased from the natural forest to the plantations, while
aboveground litterfall generally decreased. Nutrient return through aboveground
litter was mostly highest in the natural forest; however, it was significantly
lower only in rubber plantations. NUE of N, P and K was lowest in the oil palm
plantations, with natural forest and the rubber systems showing comparably high
values. Root litter production was generally lower than leaf litter production in
all systems, while the root-to-leaf ratio of litter C flux increased along the
land-use intensity gradient. Our results suggest that nutrient and C cycles are
more directly affected by climate seasonality in species-poor agricultural
systems than in species-rich forests, and therefore might be more susceptible to
inter-annual climate fluctuation and climate change.
PMID- 26546084
TI - Digging for answers: contributions of density- and frequency-dependent factors on
ectoparasite burden in a social mammal.
AB - Due to the density-dependent nature of parasite transmission parasites are
generally assumed to constrain the evolution of sociality. However, evidence for
a correlation between group size and parasite burden is equivocal, particularly
for mammals. Host contact rates may be modified by mobility of the host and
parasite as well as social barriers. In the current study, we used the common
mole-rat (Cryptomys hottentotus hottentotus), a social subterranean rodent, as a
model system to investigate the effect of host density and frequency of contact
rates on ectoparasite burdens. To address these factors we used a study species
that naturally varies in population densities and intergroup contact rates across
its geographic range. We found that ectoparasite prevalence, abundance and
species richness decreased with increasing host density at a regional scale. At
the same time, measures of parasite burden increased with intergroup contact
rates. Ectoparasite burdens decreased with colony size at the group level
possibly as a result of increased grooming rates. Equating group size with
population density might be too simplistic an approach when assessing parasite
distributions in social mammals. Our data suggest that frequency-dependent
mechanisms may play a much greater role at a population level than density
dependent mechanisms in determining parasite distributions in social species. We
suggest that future studies should explicitly consider behavioural mechanisms
that may affect parasite distribution.
PMID- 26546086
TI - Erratum to: Bedtime ingestion of hypertension medications reduces the risk of new
onset type 2 diabetes: a randomised controlled trial.
PMID- 26546085
TI - Use of insulin pump therapy in children and adolescents with type 1 diabetes and
its impact on metabolic control: comparison of results from three large,
transatlantic paediatric registries.
AB - AIMS/HYPOTHESIS: While the use of insulin pumps in paediatrics has expanded
dramatically, there is still considerable variability among countries in the use
of pump technology. The present study sought to describe differences in metabolic
control and pump use in young people with type 1 diabetes using data collected in
three multicentre registries. METHODS: Data for the years 2011 and 2012 from
54,410 children and adolescents were collected from the Prospective Diabetes
Follow-up Registry (DPV; n = 26,198), T1D Exchange (T1DX; n = 13,755) and the
National Paediatric Diabetes Audit (NPDA; n = 14,457). The modality of insulin
delivery, based on age, sex and ethnic minority status, and the impact of pump
use on HbA1c levels were compared. RESULTS: The overall mean HbA1c level was
higher in the NPDA (8.9 +/- 1.6% [74 +/- 17.5 mmol/mol]) than in the DPV (8.0 +/-
1.6% [64 +/- 17.0 mmol/mol], p < 0.001) and T1DX (8.3 +/- 1.4% [68 +/- 15.4
mmol/mol], p < 0.001). Conversely, pump use was much lower in the NPDA (14%) than
in the DPV (41%, p < 0.001) and T1DX (47%, p < 0.001). In a pooled analysis, pump
use was associated with a lower mean HbA1c (pump: 8.0 +/- 1.2% [64 +/- 13.3
mmol/mol] vs injection: 8.5 +/- 1.7% [69 +/- 18.7 mmol/mol], p < 0.001). In all
three registries, those with an ethnic minority status were less likely to be
treated with a pump (p < 0.001) and boys were treated with a pump less often
compared with girls (p < 0.001). CONCLUSIONS/INTERPRETATION: Despite similar
clinical characteristics and proportion of minority participants, substantial
differences in metabolic control exist across the three large transatlantic
registries of paediatric patients with type 1 diabetes, which appears to be due
in part to the frequency of insulin pump therapy.
PMID- 26546087
TI - An Unusual Chimeric Diterpene Synthase from Emericella variecolor and Its
Functional Conversion into a Sesterterpene Synthase by Domain Swapping.
AB - Di- and sesterterpene synthases produce C20 and C25 isoprenoid scaffolds from
geranylgeranyl pyrophosphate (GGPP) and geranylfarnesyl pyrophosphate (GFPP),
respectively. By genome mining of the fungus Emericella variecolor, we identified
a multitasking chimeric terpene synthase, EvVS, which has terpene cyclase (TC)
and prenyltransferase (PT) domains. Heterologous gene expression in Aspergillus
oryzae led to the isolation of variediene (1), a novel tricyclic diterpene
hydrocarbon. Intriguingly, in vitro reaction with the enzyme afforded the new
macrocyclic sesterterpene 2 as a minor product from dimethylallyl pyrophosphate
(DMAPP) and isopentenyl pyrophosphate (IPP). The TC domain thus produces the
diterpene 1 and the sesterterpene 2 from GGPP and GFPP, respectively. Notably, a
domain swap of the PT domain of EvVS with that of another chimeric sesterterpene
synthase, EvSS, successfully resulted in the production of 2 in vivo as well.
Cyclization mechanisms for the production of these two compounds are proposed.
PMID- 26546088
TI - Biosynthesis of platform chemical 3-hydroxypropionic acid (3-HP) directly from
CO2 in cyanobacterium Synechocystis sp. PCC 6803.
AB - 3-hydroxypropionic acid (3-HP) is an important platform chemical with a wide
range of applications. So far large-scale production of 3-HP has been mainly
through petroleum-based chemical processes, whose sustainability and
environmental issues have attracted widespread attention. With the ability to fix
CO2 directly, cyanobacteria have been engineered as an autotrophic microbial cell
factory to produce fuels and chemicals. In this study, we constructed the
biosynthetic pathway of 3-HP in cyanobacterium Synechocystis sp. PCC 6803, and
then optimized the system through the following approaches: i) increasing
expression of malonyl-CoA reductase (MCR) gene using different promoters and
cultivation conditions; ii) enhancing supply of the precursor malonyl-CoA by
overexpressing acetyl-CoA carboxylase and biotinilase; iii) improving NADPH
supply by overexpressing the NAD(P) transhydrogenase gene; iv) directing more
carbon flux into 3-HP by inactivating the competing pathways of PHA and acetate
biosynthesis. Together, the efforts led to a production of 837.18 mg L(-1) (348.8
mg/g dry cell weight) 3-HP directly from CO2 in Synechocystis after 6 days
cultivation, demonstrating the feasibility photosynthetic production of 3-HP
directly from sunlight and CO2 in cyanobacteria. In addition, the results showed
that overexpression of the ribulose-1, 5-bisphosphate carboxylase/oxygenase
(Rubisco) gene from Anabaena sp. PCC 7120 and Synechococcus sp. PCC 7942 led to
no increase of 3-HP production, suggesting CO2 fixation may not be a rate
limiting step for 3-HP biosynthesis in Synechocystis.
PMID- 26546089
TI - A highly efficient single-step, markerless strategy for multi-copy chromosomal
integration of large biochemical pathways in Saccharomyces cerevisiae.
AB - Despite recent advances in genome editing capabilities for the model organism
Saccharomyces cerevisiae, the chromosomal integration of large biochemical
pathways for stable industrial production remains challenging. In this work, we
developed a simple platform for high-efficiency, single-step, markerless, multi
copy chromosomal integration of full biochemical pathways in Saccharomyces
cerevisiae. In this Di-CRISPR (delta integration CRISPR-Cas) platform based on
the Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR) and CRISPR
associated systems (Cas), we specifically designed guide RNA sequences to target
multiple delta sites in the yeast genome. The generation of double stranded
breaks at the delta sites allowed simultaneous integration of multiple copies of
linearized donor DNA containing large biochemical pathways. With our newly
developed Di-CRISPR platform, we were able to attain highly efficient and
markerless integration of large biochemical pathways and achieve an unprecedented
18-copy genomic integration of a 24 kb combined xylose utilization and (R,R)-2,3
butanediol (BDO) production pathway in a single step, thus generating a strain
that was able to produce BDO directly from xylose. The simplicity and high
efficiency of the Di-CRISPR platform could provide a superior alternative to high
copy plasmids and would render this platform an invaluable tool for genome
editing and metabolic engineering in S. cerevisiae.
PMID- 26546090
TI - Comparative profiling of extractable proteins in extracellular matrices of
porcine cholecyst and jejunum intended for preparation of tissue engineering
scaffolds.
AB - Scaffolds prepared from cholecyst and jejunum have differential immunological
potential, despite similar biocompatibility, when used as subcutaneous grafts.
The reason for differential immunogenicity is probably due to differences in the
nature of protein composition and biomolecules in the extracellular matrices
(ECMs) of source organs that are used for preparation of the scaffolds. Against
this background, the present study aims to identify the extractable proteins of
ECMs derived from porcine cholecyst and jejunum. The proteins were extracted and
identified through a conventional database search following sodium dodecyl
sulfate-polyacrylamide gel-electrophoresis separation and mass spectroscopy. The
resultant protein profile was analyzed and at least 154 proteins in cholecyst
derived extracellular matrix (CDE) and 186 proteins in jejunum-derived
extracellular matrix (JDE) were identified. Both the matrices contained several
extracelluar proteins including fibronectin, nidogen, decorin, and lumican that
are known to participate in wound healing responses. However, the CDE had fewer
cellular proteins than JDE, especially the latter contained class-I and class-II
histocompatibility antigens which are incriminated as potent immunogens
responsible for graft rejection. The results of the study suggested that the ECMs
used for the scaffold preparation need not be "acellular" and differences in the
protein composition of the ECMs might have caused the differential wound healing
responses. (c) 2015 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl
Biomater, 105B: 489-496, 2017.
PMID- 26546091
TI - Towards Improved Care of Postural Tachycardia Syndrome, Inappropriate Sinus
Tachycardia and Vasovagal Syncope Patients: A Call to Action in Australia.
PMID- 26546092
TI - Giant Coronary Ostial Aneurysms after Aortic Root Replacement.
PMID- 26546093
TI - Extralobar Pulmonary Sequestration Presenting with Recurring Massive Pleural
Effusion in a Young Woman: A Challenging Case.
AB - We report a case of extralobar pulmonary sequestration (ELS) in a young woman,
presenting with right recurring massive pleural effusion. The patient initially
underwent a diagnostic Video Assisted Thoracic Surgery (VATS) for a suspected
diffuse malignancy. After the aspiration of the pleural effusion we observed a
highly vascularised cystic mass, with its origin from the right lower lobe. As we
tried to retract the right lower lobe, the mass broke with massive bleeding
requiring emergency right lateral thoracotomy. The mass was succesfully excised,
resembling an extra-lobar pulmonary sequestration. The patient was discharged on
post-operative day 5.
PMID- 26546094
TI - Dopamine Administration is a Risk Factor for Delirium in Patients Undergoing
Coronary Artery Bypass Surgery.
AB - BACKGROUND: Delirium is an important morbidity following heart surgery. We sought
to determine whether dopamine infusion is associated with increased risk of
delirium in patients undergoing coronary artery bypass grafting. METHODS: A total
of 137 patients (mean age; 61.02+/-7.83, 105 males) were included in the study.
Patients undergoing isolated coronary artery bypass grafting were considered
eligible and those with preoperative neurological deficit or significant
neurocognitive disorders, dementia or psychiatric disorders were excluded.
Primary outcome measure was occurrence of delirium within 72 hours after
operation. The diagnosis of delirium was made using confusion assessment method
for the intensive care unit questionnaire. Both administration of dopamine as a
dichotomised variable and the total amount of dopamine per kg body-weight were
included in two different logistic regression models. RESULTS: Delirium occurred
in 18 (13.1%) patients. Age adjusted Mantel-Haenszel relative risk for delirium
with receiving dopamine was 4.62. Relative risk was 2.37 (0.18 to 31.28, 95% CI,
p=0.51) in total doses over 10mg whereas it was 3.55 (1.16 to 10.89 95% CI,
p=0.02) in total doses over 30 mg per kg body-weight. Older age (p=0.03),
dopamine administration (OR: 9.227 95% CI, 2.688-32.022, p<0.001) and the amount
of dopamine administered (OR: 1.072, 95% CI, 1.032-1.115, p<0.001) were
independent predictors for delirium 72 hours after surgery. CONCLUSION: Along
with older age, dopamine infusion--even in low doses but more probably in higher
doses--emerged as an independent risk factor for delirium in patients undergoing
CABG. Further study is needed to confirm the validity of results presented.
PMID- 26546095
TI - High Arrhythmic Burden but Low Mortality during Long-term Follow-up in
Arrhythmogenic Right Ventricular Cardiomyopathy.
AB - BACKGROUND: Arrhythmogenic right ventricular cardiomyopathy (ARVC) is associated
with a high incidence of ventricular tachyarrhythmia and sudden death. The
mainstay of management is the implantable cardioverter defibrillator (ICD). A
small number of patient cohorts have generated a large number of reports.
METHODS: Prospective registry data supplemented with clinical and ICD records of
30 patients with ARVC fulfilling the 2010 modified Task Force Criteria. This
cohort has not been reported on previously. RESULTS: Median age at diagnosis:
46yrs (range 21-68); 20 (80%) male; six (19%) Maori. Duration of follow-up:
7.4yrs (range 1.7-23). Implantable cardioverter defibrillator implantation in 26;
three (12%) for resuscitated sudden cardiac death; 17 (65%) for symptomatic
ventricular tachyarrhythmia; three (12%) for syncope; and three (12%) for family
history of sudden death attributable to ARVC. Two patients died during follow-up,
one had an ICD, though died of a carcinoma. Thirteen (50%) experienced
appropriate ICD therapy with median time to therapy 12 months, and four (15%)
experienced inappropriate shock therapy. Male gender was an independent predictor
of appropriate ICD therapy (HR 1.6, 95% CI 1.5-2.7, P=0.01). CONCLUSIONS: The
long-term prognosis of patients with ARVC is favourable although high proportions
receive appropriate ICD therapy. Male gender is an independent predictor of
appropriate ICD therapy.
PMID- 26546096
TI - Long-term Outcomes of Thoracoscopic Anatomic Resections and Systematic
Lymphadenectomy for Elderly High-risk Patients with Stage IB Non-small-cell Lung
Cancer.
AB - BACKGROUND: To evaluate the efficacy and long-term survival outcomes of complete
video-assisted thoracoscopic surgery (C-VATS) for the resection of anatomic
pulmonary segments and systematic lymphadenectomy in the treatment of elderly and
high-risk patients with stage IB for non-small cell lung cancer (NSCLC). METHODS:
242 elderly patients (>=65 years), who were operated on by the same operational
team, were divided into high-risk group and conventional risk group from August
2008 to December 2010. All patients were diagnosed in stage IB (pT status: >2 to
<=3) NSCLC by biopsy and examination of PET-CT before operation. The high-risk
patients were identified with severe cardiopulmonary and other system
dysfunctions as follow-up criteria. They were treated with VATS anatomic
pulmonary segments and systematic lymphadenectomy. The conventional risk patients
with adequate cardiopulmonary reserve were treated with VATS radical lobectomy
and systematic lymphadenectomy. The clinical and pathological data were recorded.
The total survival, tumour-free survival, recurrence time and character of
patients were followed-up. Appropriate statistical analyses involved the chi(2)
test and Kaplan-Meier estimates of total survival and tumour-free survival.
RESULTS: A total of 242 patients underwent surgical resection during our study
period: Anatomic pulmonary segments in 116 patients and lobectomy in 126. The
operative time and blood loss of the VATS anatomic pulmonary segments group
(78.0+/-35.0 min, 95.6+/-30.4 ml) were significantly less than those of the VATS
radical resection group (108.0+/-25.0 min, 165.6+/-58.4 ml). Neither group
experienced post-operative death. The overall and tumour-free survival rate of
the VATS anatomic pulmonary segments group within five years were 62.07% and
29.31%, and those of the VATS radical resection group were 63.49% and 33.33%,%;
there was no significant difference (P>0.5). The recurrence rates of the VATS
anatomic pulmonary segment group and VATS radical resection group were 13.79% and
12.70%; there was no significant difference (P>0.5). CONCLUSIONS: Thorascopic
segmentectomy under anaesthesia and systematic lymphadenectomy is safe and
minimally invasive and effective to treat a selected group of patients with stage
IB NSCLC.
PMID- 26546097
TI - Gender differences in caregiver-child relationship mediation of the association
between violence exposure severity and adolescent behavior problems.
AB - The main objectives of this study were to investigate (1) the relationship
between mild, moderate, and severe violence exposure in the home and behavior
problems in adolescents; (2) the caregiver-child relationship as a potential
mediator in this relationship; and (3) gender differences. A series of path
analyses were conducted using a sample drawn from the National Survey of Child
and Adolescent Well-Being (NCSAW-I) of 848 adolescents (ages 11-15) who had been
reported to Child Protective Services for maltreatment and who remained in their
homes. Exposure to violence and the caregiver-child relationship were reported by
adolescents. Both caregiver ratings and adolescent self-reports were used to
assess adolescents' behavior problems. Path analysis indicated that exposure to
mild and severe violence was directly associated with higher levels of child
reported behavior problems. However, exposure to violence was not directly
associated with caregiver ratings of adolescent behavior problems. The caregiver
child relationship mediated the relationship between mild and moderate violence
on both caregiver and child-reported adolescent behavior problems. Gender
differences also emerged; for girls, the caregiver-child relationship mediated
the effects of mild and moderate violence, whereas for boys, it mediated the
effects of severe violence on behavior problems. Study findings suggest caregiver
child relationships as a critical underlying mechanism in the association between
violence exposure and adolescent behavior problems, highlighting the importance
of adding the caregiver-child relationship factor to intervention efforts.
PMID- 26546099
TI - A disturbance observer-based adaptive control approach for flexure beam nano
manipulators.
AB - This paper presents a systematic modeling and control methodology for a two
dimensional flexure beam-based servo stage supporting micro/nano manipulations.
Compared with conventional mechatronic systems, such systems have major control
challenges including cross-axis coupling, dynamical uncertainties, as well as
input saturations, which may have adverse effects on system performance unless
effectively eliminated. A novel disturbance observer-based adaptive backstepping
like control approach is developed for high precision servo manipulation
purposes, which effectively accommodates model uncertainties and coupling
dynamics. An auxiliary system is also introduced, on top of the proposed control
scheme, to compensate the input saturations. The proposed control architecture is
deployed on a customized-designed nano manipulating system featured with a
flexure beam structure and voice coil actuators (VCA). Real time experiments on
various manipulating tasks, such as trajectory/contour tracking, demonstrate
precision errors of less than 1%.
PMID- 26546098
TI - Comparing Vocal Fold Contact Criteria Derived From Audio and Electroglottographic
Signals.
AB - OBJECTIVES: Collision threshold pressure (CTP), that is, the lowest subglottal
pressure facilitating vocal fold contact during phonation, is likely to reflect
relevant vocal fold properties. The amplitude of an electroglottographic (EGG)
signal or the amplitude of its first derivative (dEGG) has been used as criterion
of such contact. Manual measurement of CTP is time consuming, making the
development of a simpler, alternative method desirable. METHOD: In this
investigation, we compare CTP values measured manually to values automatically
derived from dEGG and to values derived from a set of alternative parameters,
some obtained from audio and some from EGG signals. One of the parameters was the
novel EGG wavegram, which visualizes sequences of EGG or dEGG cycles, normalized
with respect to period and amplitude. Raters with and without previous
acquaintance with EGG analysis marked the disappearance of vocal fold contact in
dEGG and in wavegram displays of /pa:/-sequences produced with continuously
decreasing vocal loudness by seven singer subjects. RESULTS: Vocal fold contact
was mostly identified accurately in displays of both dEGG amplitude and wavegram.
Automatically derived CTP values showed high correlation with those measured
manually and with those derived from the ratings of the visual displays. Seven
other parameters were tested as criteria of such contact. Mainly, because of
noise in the EGG signal, most of them yielded CTP values differing considerably
from those derived from the manual and the automatic methods, although the EGG
spectrum slope showed a high correlation. CONCLUSION: The possibility of
measuring CTP automatically seems promising for future investigations.
PMID- 26546100
TI - Use of internal consistency coefficients for estimating reliability of
experimental task scores.
AB - Reliabilities of scores for experimental tasks are likely to differ from one
study to another to the extent that the task stimuli change, the number of trials
varies, the type of individuals taking the task changes, the administration
conditions are altered, or the focal task variable differs. Given that
reliabilities vary as a function of the design of these tasks and the
characteristics of the individuals taking them, making inferences about the
reliability of scores in an ongoing study based on reliability estimates from
prior studies is precarious. Thus, it would be advantageous to estimate
reliability based on data from the ongoing study. We argue that internal
consistency estimates of reliability are underutilized for experimental task data
and in many applications could provide this information using a single
administration of a task. We discuss different methods for computing internal
consistency estimates with a generalized coefficient alpha and the conditions
under which these estimates are accurate. We illustrate use of these coefficients
using data for three different tasks.
PMID- 26546101
TI - Cyclization of Pyrene Oligomers: Cyclohexa-1,3-pyrenylene.
AB - First synthesis of the macrocycle cyclohexa(1,3-pyrenylene) is achieved in six
steps starting with pyrene, leading to a non-aggregating highly twisted blue
light-emitting material. The cyclodehydrogenation of the macrocycle offers a
promising synthesis route to holey-nanographene.
PMID- 26546102
TI - Glutathione--linking cell proliferation to oxidative stress.
AB - SIGNIFICANCE: The multifaceted functions of reduced glutathione (gamma-glutamyl
cysteinyl-glycine; GSH) continue to fascinate plants and animal scientists, not
least because of the dynamic relationships between GSH and reactive oxygen
species (ROS) that underpin reduction/oxidation (redox) regulation and
signalling. Here we consider the respective roles of ROS and GSH in the
regulation of plant growth, with a particular focus on regulation of the plant
cell cycle. Glutathione is discussed not only as a crucial low molecular weight
redox buffer that shields nuclear processes against oxidative challenge but also
a flexible regulator of genetic and epigenetic functions. RECENT ADVANCES: The
intracellular compartmentalization of GSH during the cell cycle is remarkably
consistent in plants and animals. Moreover, measurements of in vivo glutathione
redox potentials reveal that the cellular environment is much more reducing than
predicted from GSH/GSSG ratios measured in tissue extracts. The redox potential
of the cytosol and nuclei of non-dividing plant cells is about -300 mV. This
relatively low redox potential maintained even in cells experiencing oxidative
stress by a number of mechanisms including vacuolar sequestration of GSSG. We
propose that regulated ROS production linked to glutathione-mediated signalling
events are the hallmark of viable cells within a changing and challenging
environment. CRITICAL ISSUES: The concept that the cell cycle in animals is
subject to redox controls is well established but little is known about how ROS
and GSH regulate this process in plants. However, it is increasingly likely that
redox controls exist in plants, although possibly through different pathways.
Moreover, redox-regulated proteins that function in cell cycle checkpoints remain
to be identified in plants. While GSH-responsive genes have now been identified,
the mechanisms that mediate and regulate protein glutathionylation in plants
remain poorly defined. FUTURE DIRECTIONS: The nuclear GSH pool provides an
appropriate redox environment for essential nuclear functions. Future work will
focus on how this essential thiol interacts with the nuclear thioredoxin system
and nitric oxide to regulate genetic and epigenetic mechanisms. The
characterization of redox-regulated cell cycle proteins in plants, and the
elucidation of mechanisms that facilitate GSH accumulation in the nucleus are
keep steps to unravelling the complexities of nuclear redox controls.
PMID- 26546104
TI - Pacemaker implantation after catheter ablation for atrial fibrillation.
AB - BACKGROUND: Sinus node dysfunction requiring pacemaker implantation is commonly
associated with atrial fibrillation (AF), but may not be clinically apparent
until restoration of sinus rhythm with ablation or cardioversion. We sought to
determine frequency, time course, and predictors for pacemaker implantation after
catheter ablation, and to compare the overall rates to a matched cardioversion
cohort. METHODS AND RESULTS: We conducted a retrospective analysis using a large
US commercial insurance database and identified 12,158 AF patients who underwent
catheter ablation between January 1, 2005 and December 31, 2012. Over an average
of 2.4 years of follow-up, 5.6 % of the patients underwent pacemaker
implantation. Using the Cox proportional hazards models, we found that risk of
risks of pacemaker implantation was associated with older age (50-64 and >=65
versus <50 years), female gender, higher CHADS2 score (>=2 and 1 versus 0),
higher Charlson index (>=2 versus 0-1), certain baseline comorbidities
(conduction disorder, coronary atherosclerosis, and congestive heart failure),
and the year of ablation. There was no significant difference in the risk of
pacemaker implantation between ablation patients and propensity score (PS)
matched cardioversion groups (3.5 versus. 4.1 % at 1 year and 8.8 versus 8.3 % at
5 years). CONCLUSION: Overall, pacemaker implantation occurs in about 1/28
patients within 1 year of catheter ablation. The overall implantation rate
decreased between 2005 and 2012. Furthermore, the risk after ablation is similar
to cardioversion, suggesting that patients require pacing due to a common
underlying electrophysiologic substrate, rather than the ablation itself.
PMID- 26546103
TI - Acupuncture ameliorates cognitive impairment and hippocampus neuronal loss in
experimental vascular dementia through Nrf2-mediated antioxidant response.
AB - Emerging evidence suggests acupuncture could exert neuroprotection in the
vascular dementia via anti-oxidative effects. However, the involvement of Nrf2, a
master regulator of antioxidant defense, in acupuncture-induced neuroprotection
in vascular dementia remains undetermined. The goal of our study was to
investigate the contribution of Nrf2 in acupuncture and its effects on vascular
dementia. Morris water maze and Nissl staining were used to assess the effect of
acupuncture on cognitive function and hippocampal neurodegeneration in
experimental vascular dementia. The distribution of Nrf2 in neurons in
hippocampus, the protein expression of Nrf2 in both cytosol and nucleus, and the
protein and mRNA levels of its downstream target genes NQO1 and HO-1 were
detected by double immunofluorescent staining, Western blotting and realtime PCR
analysis respectively. Cognitive function and microglia activation were measured
in both wild-type and Nrf2 gene knockout mice after acupuncture treatment. We
found that acupuncture could remarkably reverse the cognitive deficits, neuron
cell loss, reactive oxygen species production, and decreased cerebral blood flow.
It was notable that acupuncture enhanced nuclear translocation of Nrf2 in neurons
and up-regulate the protein and mRNA levels of Nrf2 and its target genes HO-1 and
NQO1. Moreover, acupuncture could significantly down-regulated the over
activation of microglia after common carotid artery occlusion surgery. However,
the reversed cognitive deficits, neuron cell loss and microglia activation by
acupuncture were abolished in Nrf2 gene knockout mice. In conclusion, these
findings provide evidence that the neuroprotection of acupuncture in models of
vascular dementia was via the Nrf2 activation and Nrf2-dependent microglia
activation.
PMID- 26546105
TI - Myocardial injury during radiofrequency and cryoablation of typical atrial
flutter.
AB - PURPOSE: Cardiac enzyme elevation after radiofrequency (RF) catheter ablation of
atrial flutter (AFL) is common. Some studies found that cryoablation (CRYO) of
AFL, compared to RF, is associated with higher levels of troponin, a finding that
may indicate CRYO causes a greater amount of myocardial injury than RF. However,
other investigations found no significant differences between troponin levels
after CRYO versus RF. We have in a randomized study compared the post-procedural
troponin I levels in RF and CRYO and the possible relation to procedural outcome
and complications. METHODS: We randomized 153 patients with cavotricuspid isthmus
(CTI)-dependent AFL to CRYO or RF (78 CRYO; 75 RF). RF was performed with a 3.5
mm open-irrigated-tip catheter, and CRYO was performed with an 8-mm-tip catheter.
Troponin I levels were measured before and 6 h after ablation. RESULTS: Acute
procedural success was achieved in 71/75 patients in the RF and in 72/78 patients
in the CRYO. Troponin I levels were significantly elevated in both groups
(baseline 0.012, 6th hour 0.35 ng/ml; p < 0.001). Troponin I levels were similar
for RF and CRYO. Troponin I levels were higher in patients with acute failure
compared to patients with acute success (0.48 +/- 0.4 and 0.34 +/- 0.16 ng/ml, p
= 0.029); however, there was no difference between patients with or without late
recurrence. There were no major complications in any group. CONCLUSION: RF and
CRYO for CTI-dependent AFL resulted in similar amounts of procedural myocardial
injury. Troponin I levels had no prognostic value for late recurrence of AFL and
there were no complications related to high troponin I levels.
PMID- 26546106
TI - Characteristics of Exceptional or Super Responders to Cancer Drugs.
AB - OBJECTIVE: To summarize case reports of exceptional and super responders already
published in the biomedical literature. PATIENTS AND METHODS: We searched for
published case reports or abstracts of exceptional or super responders to a
cancer drug using PubMed and Google Scholar search engines. Pooling such reports
is widely considered a promising research strategy and the subject of several
ongoing investigations, including the National Cancer Institute's Exceptional
Responders Initiative. All articles were read in full, including relevant
references. We extracted clinical characteristics of exceptional or super
responders, including age, tumor type, drug, genetic mutations, depth of
response, duration of response, number of previous lines of therapy, duration of
response to a previous line of therapy, and the number of patients treated
similarly to identify the exceptional case. This study was performed between
March 1, 2015, and April 30, 2015. RESULTS: Among 489 articles, 32 exceptional
responders were identified. The most common malignancies described were renal
cell cancer (5 of 32 [16%]) and urothelial carcinoma (4 of 32 [13%]). The use of
targeted agents was common in these cases (26 of 32 [81%]), particularly
inhibitors of the mTOR pathway (16 of 32 [50%]). The median duration of response
among responders was 17.5 months, and 59% (19 of 32) of the patients were last
known to be alive with continuing response or stable disease. Notably, 46% (12 of
26) of the patients had received 2 or more previous lines of therapy and 6 of the
32 cases (19%) did not report this information. Few authors report the number of
patients treated similarly to observe the super response (12 of 32 [38%]).
CONCLUSION: Exceptional or super responders to cancer drugs have been described
in the literature; however, there is incompleteness in the reporting of relevant
data that may help clarify whether such responses are secondary to treatment or
reflect underlying biology.
PMID- 26546107
TI - Primary Myelodysplastic Syndromes: The Mayo Clinic Experience With 1000 Patients.
AB - OBJECTIVES: To share our 25 years of experience with patients with primary
myelodysplastic syndromes (MDS) and to describe the natural history of the
disease including presenting clinical and laboratory characteristics and long
term disease outcomes. PATIENTS AND METHODS: One thousand consecutive patients
with primary MDS evaluated at Mayo Clinic between January 1, 1989, and May 1,
2014, were considered. The Revised International Prognostic Scoring System and
other risk models were applied for risk stratification. Separate analyses were
conducted for patients diagnosed before 2005 (n=531) and after 2005 (n=469).
RESULTS: Eighty-five percent of patients were older than 60 years (median age, 72
years), with 69% being men. The median follow-up period was 27 months (range, 0
300 months), during which time 808 (81%) deaths and 129 (13%) leukemic
transformations were documented. Median survival and leukemic transformation
rates were similar in patients diagnosed before or after 2005, despite the
significantly higher use of hypomethylating agents in the latter group: 33 months
vs 28 months (P=.46) and 13% vs 10% (P=.92), respectively. Revised International
Prognostic Scoring System risk distribution was similar in patients diagnosed
before or after 2005 (P=.23): 17% were categorized as very low, 36% low, 21%
intermediate, 15% high, and 11% very high risk, with a median survival of 72, 43,
24, 18, and 7 months, respectively (P<.001). We found Revised International
Prognostic Scoring System cytogenetic risk categorization to be suboptimal in its
performance, whereas contemporary prognostic models were broadly similar in their
performance. CONCLUSION: The poor outcome in patients with MDS does not appear to
have improved over time. Current risk stratification systems for MDS are not
substantially different from each other. There is a dire need for drugs that are
truly disease modifying and risk models that incorporate prognostically relevant
mutations.
PMID- 26546108
TI - Burden of type 2 diabetes in Mexico: past, current and future prevalence and
incidence rates.
AB - INTRODUCTION: Mexico diabetes prevalence has increased dramatically in recent
years. However, no national incidence estimates exist, hampering the assessment
of diabetes trends and precluding the development of burden of disease analyses
to inform public health policy decision-making. Here we provide evidence
regarding current magnitude of diabetes in Mexico and its future trends. METHODS:
We used data from the Mexico National Health and Nutrition Survey, and age-period
cohort models to estimate prevalence and incidence of self-reported diagnosed
diabetes by age, sex, calendar-year (1960-2012), and birth-cohort (1920-1980). We
project future rates under three alternative incidence scenarios using
demographic projections of the Mexican population from 2010-2050 and a Multi
cohort Diabetes Markov Model. RESULTS: Adult (ages 20+) diagnosed diabetes
prevalence in Mexico increased from 7% to 8.9% from 2006 to 2012. Diabetes
prevalence increases with age, peaking around ages 65-68 to then decrease. Age
specific incidence follows similar patterns, but peaks around ages 57-59. We
estimate that diagnosed diabetes incidence increased exponentially during 1960
2012, roughly doubling every 10 years. Projected rates under three age-specific
incidence scenarios suggest diabetes prevalence among adults (ages 20+) may reach
13.7-22.5% by 2050, affecting 15-25 million individuals, with a lifetime risk of
1 in 3 to 1 in 2. CONCLUSIONS: Diabetes prevalence in Mexico will continue to
increase even if current incidence rates remain unchanged. Continued
implementation of policies to reduce obesity rates, increase physical activity,
and improve population diet, in tandem with diabetes surveillance and other risk
control measures is paramount to substantially reduce the burden of diabetes in
Mexico.
PMID- 26546109
TI - Overexpression of Aiolos in Peripheral Blood Mononuclear Cell Subsets from
Patients with Systemic Lupus Erythematosus and Rheumatoid Arthritis.
AB - Genetic studies demonstrate that the Aiolos polymorphisms contribute to the
susceptibility to autoimmune diseases. The purpose of the study was to
investigate the Aiolos expression in lymphocytes and monocytes in the peripheral
blood from patients with SLE and RA, and to explore the correlation between
Aiolos expression in cell subsets and laboratory measurements. Peripheral blood
mononuclear cells (PBMC) from 32 patients with SLE, 35 patients with RA, and 37
healthy controls were purified. Aiolos expression in PBMC subsets was examined by
flow cytometry. In SLE patients, a much higher percentage of Aiolos + CD8+ T
cells and Aiolos + CD14+ monocytes was found, when compared with healthy controls
(p = 8.29 * 10(-5) and p = 1.01 * 10(-5), respectively). Furthermore, the
percentage of CD4+ and CD8+ T cells, CD19+ B cells, and CD14+ monocytes
expressing Aiolos in RA patients was also determined and each found higher than
that in healthy controls (p = 0.009, p = 4.11 * 10(-5), p = 0.001, and p = 1.11 *
10(-5), respectively). The percentage of Aiolos + CD8+ T cells was weakly
correlated with ESR in SLE patients and RF in RA patients (r s = 0.37, p = 0.038;
r s = 0.34, p = 0.044, respectively). On the other hand, the percentage of Aiolos
+ CD14+ monocytes was significantly correlated with multiple laboratory
measurements, including ESR, creatinine, CRP, LDH, proteinuria, albumin, and
ACCPA in patients (r s = 0.62, p < 0.001; r s = 0.65, p < 0.001; r s = 0.44, p =
0.010; r s = 0.42, p = 0.022; r s = 0.52, p = 0.013; r s = 0.34, p = 0.048,
respectively). To our knowledge, it is the first study to demonstrate
overexpression of Aiolos in PBMC subsets in SLE and RA patients. The results
indicate that overexpression of Aiolos may contribute to pathogenesis of SLE and
RA.
PMID- 26546110
TI - Second toe microsurgical free-flap for aesthetic and sensory reconstruction of
palmar soft tissue defects of fingers.
AB - OBJECTIVES: To illustrate the surgical methods and clinical efficacy of
microsurgical free-flaps obtained from second toe for the reconstruction of
palmar soft-tissue defect of fingers. METHODS: We enrolled 22 patients (13 men
and 9 women), who received second toe free-flap for 22 finger defects between
August 2007 and July 2013. The average age was 35 years (range, 18-62 years). The
average size of flap was 2.7 cm * 2.0 cm (range, 1.5 cm * 1.5 cm-3.5 cm * 2.5
cm). RESULTS: All flaps survived well without any complications. Follow-up period
ranged from 8 to 30 months (mean 15 months). The Visual Analog Scale for flap
appearance (VAS flap) was ranged from 8 to 10 (average, 9.5). Based on the CISS
questionnaires, 6 cases had mild cold intolerance. The average value of Michigan
Hand Outcome Questionnaire (MHOQ) scoring for overall hand function was 8 (range,
5-13). The sensibility outcomes in 10 patients who underwent nerve repair were
satisfactory. Average value of static two point discrimination (2PD) was 6.4 mm
(range, 4-10 mm) and SWM test was 3.45 (range 2.83-4.12). CONCLUSIONS: Second toe
free micro-flap is a very useful and reliable alternative for the reconstruction
of palmer soft-tissue defect of fingers. LEVEL OF EVIDENCE: IV.
PMID- 26546111
TI - Has the Angelina Jolie effect led to an increase in risk reducing mastectomy and
breast reconstruction in Wales: A retrospective, single centre cohort study.
PMID- 26546112
TI - Stromal vascular fraction: A regenerative reality? Part 2: Mechanisms of
regenerative action.
AB - Adipose tissue is a rich source of cells with emerging promise for tissue
engineering and regenerative medicine. The stromal vascular fraction (SVF), in
particular, is an eclectic composite of cells with progenitor activity that
includes preadipocytes, mesenchymal stem cells, pericytes, endothelial cells, and
macrophages. SVF has enormous potential for therapeutic application and is being
investigated for multiple clinical indications including lipotransfer, diabetes
related complications, nerve regeneration, burn wounds and numerous others. In
Part 2 of our review, we explore the basic science behind the regenerative
success of the SVF and discuss significant mechanisms that are at play. The
existing literature suggests that angiogenesis, immunomodulation,
differentiation, and extracellular matrix secretion are the main avenues through
which regeneration and healing is achieved by the stromal vascular fraction.
PMID- 26546113
TI - Kawasaki Disease in a 2-year-old Child with Dengue Fever.
PMID- 26546114
TI - Ptbp1 and Exosc9 knockdowns trigger skin stability defects through different
pathways.
AB - In humans, genetic diseases affecting skin integrity (genodermatoses) are
generally caused by mutations in a small number of genes that encode structural
components of the dermal-epidermal junctions. In this article, we first show that
inactivation of both exosc9, which encodes a component of the RNA exosome, and
ptbp1, which encodes an RNA-binding protein abundant in Xenopus embryonic skin,
impairs embryonic Xenopus skin development, with the appearance of dorsal
blisters along the anterior part of the fin. However, histological and electron
microscopy analyses revealed that the two phenotypes are distinct. Exosc9
morphants are characterized by an increase in the apical surface of the goblet
cells, loss of adhesion between the sensorial and peridermal layers, and a
decrease in the number of ciliated cells within the blisters. Ptbp1 morphants are
characterized by an altered goblet cell morphology. Gene expression profiling by
deep RNA sequencing showed that the expression of epidermal and genodermatosis
related genes is also differentially affected in the two morphants, indicating
that alterations in post-transcriptional regulations can lead to skin
developmental defects through different routes. Therefore, the developing larval
epidermis of Xenopus will prove to be a useful model for dissecting the post
transcriptional regulatory network involved in skin development and stability
with significant implications for human diseases.
PMID- 26546115
TI - QCM-D study of nanoparticle interactions.
AB - Quartz crystal microbalance with dissipation monitoring (QCM-D) has been proven
to be a powerful research tool to investigate in situ interactions between
nanoparticles and different functionalized surfaces in liquids. QCM-D can also be
used to quantitatively determine adsorption kinetics of polymers, DNA and
proteins from solutions on various substrate surfaces while providing insights
into conformations of adsorbed molecules. This review aims to provide a
comprehensive overview on various important applications of QCM-D, focusing on
deposition of nanoparticles and attachment-detachment of nanoparticles on model
membranes in complex fluid systems. We will first describe the working principle
of QCM-D and DLVO theory pertinent to understanding nanoparticle deposition
phenomena. The interactions between different nanoparticles and functionalized
surfaces for different application areas are then critically reviewed. Finally,
the potential applications of QCM-D in other important fields are proposed and
knowledge gaps are identified.
PMID- 26546116
TI - An intronic RNA structure modulates expression of the mRNA biogenesis factor
Sus1.
AB - Sus1 is a conserved protein involved in chromatin remodeling and mRNA biogenesis.
Unlike most yeast genes, the SUS1 pre-mRNA of Saccharomyces cerevisiae contains
two introns and is alternatively spliced, retaining one or both introns in
response to changes in environmental conditions. SUS1 splicing may allow the cell
to control Sus1 expression, but the mechanisms that regulate this process remain
unknown. Using in silico analyses together with NMR spectroscopy, gel
electrophoresis, and UV thermal denaturation experiments, we show that the
downstream intron (I2) of SUS1 forms a weakly stable, 37-nucleotide stem-loop
structure containing the branch site near its apical loop and the 3' splice site
after the stem terminus. A cellular assay revealed that two of four mutants
containing altered I2 structures had significantly impaired SUS1 expression.
Semiquantitative RT-PCR experiments indicated that all mutants accumulated
unspliced SUS1 pre-mRNA and/or induced distorted levels of fully spliced mRNA
relative to wild type. Concomitantly, Sus1 cellular functions in histone H2B
deubiquitination and mRNA export were affected in I2 hairpin mutants that
inhibited splicing. This work demonstrates that I2 structure is relevant for SUS1
expression, and that this effect is likely exerted through modulation of
splicing.
PMID- 26546117
TI - Breast Cancer and Menopausal Hormone Therapy by Race/Ethnicity and Body Mass
Index.
AB - In analyses combining estrogen with or without progestin, some observational
studies describe minimal breast cancer risk in obese and black women. Therefore,
we examined these suggested interactions in the two Women's Health Initiative
(WHI) randomized hormone therapy trials. The estrogen plus progestin trial
entered 16 608 postmenopausal women with a uterus, while the estrogen trial
entered 10 736 postmenopausal women with prior hysterectomy. Hazard ratios (HRs),
95% confidence intervals (CIs), and P values from log-rank x(2) statistics were
estimated from Cox proportional hazards models with subgroup analyses based on
tests of interaction. All statistical tests were two-sided. Estrogen plus
progestin statistically significantly increased breast cancer incidence (HR =
1.28, 95% CI = 1.11 to 1.48, P < .001), with hazard ratios greater than 1 in all
body mass index (BMI) subgroups (P interaction = .58) and hazard ratios greater
than 1 in black and white women (P interaction = .96). In contrast, estrogen
alone statistically significantly decreased breast cancer incidence (HR = 0.79,
95% CI = 0.65 to 0.90, P = .02), with hazard ratios lower than 1 in all BMI
subgroups (P interaction = .86) and hazard ratios lower than 1 in black and white
women, where analyses with limited numbers suggest somewhat greater reduction in
black women (P interaction = .09). In summary, estrogen plus progestin and
estrogen alone have opposite effects on breast cancer incidence, with no
statistically significant interactions by race/ethnicity or BMI. Therefore,
observational studies should not combine these two regimens when examining breast
cancer risk.
PMID- 26546118
TI - Peculiar and rapid photocatalytic degradation of tetrabromodiphenyl ethers over
Ag/TiO2 induced by interaction between silver nanoparticles and bromine atoms in
the target.
AB - As a typical moderately-brominated diphenylethers, 2,2',4,4'-tetrabromodiphenyl
ether (BDE47) is hardly debrominated by a conventional TiO2-mediated
photocatalysis. However, its reductive debromination was rapid achieved over
silver nanoparticle-loaded TiO2 (Ag/TiO2) in UV-irradiated anoxic acetonitrile
water within 13 min. An "Ag-promoted electron transfer and C-Br cleavage" concept
was proposed based on experimental results and density functional theory
calculations. Ag(0) exerted affinity interaction with bromine atoms, and the
storing of electrons on Ag(0) increased the binding interaction, which elongated
the C-Br bond of BDE47 and facilitated its cleavage. The initiating of the BDE47
debromination on Ag(0) required an induction period to enrich a critical amount
of electrons, leading to a stronger driving force for both injecting electron to
BDE47 and stretching the C-Br bond. Stronger photo-excitation, higher polar
solvent, and a moderate Ag(0) load strengthened the interfacial electron transfer
over Ag/TiO2, and thereby shortening the induction time and accelerating the
BDE47 degradation.
PMID- 26546119
TI - Watch and Wait?--Elevated Pretreatment CEA Is Associated with Decreased
Pathological Complete Response in Rectal Cancer.
AB - INTRODUCTION: Between 10 and 30% of rectal cancer patients experience
pathological complete response after neoadjuvant treatment. However,
physiological factors predicting which patients will experience tumor response
are largely unknown. Previous single-institution studies have suggested an
association between elevated pretreatment carcinoembryonic antigen and decreased
pathological complete response. METHODS: Clinical stage II-III rectal cancer
patients undergoing neoadjuvant chemoradiotherapy and surgical resection were
selected from the 2006-2011 National Cancer Data Base. Multivariable analysis was
used to examine the association between elevated pretreatment carcinoembryonic
antigen and pathological complete response, pathological tumor regression, tumor
downstaging, and overall survival. RESULTS: Of the 18,113 patients meeting the
inclusion criteria, 47% had elevated pretreatment carcinoembryonic antigen and
13% experienced pathological compete response. Elevated pretreatment
carcinoembryonic antigen was independently associated with decreased pathological
complete response (OR = 0.65, 95% CI = 0.52-0.77, p < 0.001), pathological tumor
regression (OR = 0.74, 95% CI = 0.67-0.70, p < 0.001), tumor downstaging (OR =
0.77, 95% CI = 0.63-0.92, p < 0.001), and overall survival (HR = 1.45, 95% CI =
1.34-1.58, p < 0.001). CONCLUSION: Rectal cancer patients with elevated
pretreatment carcinoembryonic antigen are less likely to experience pathological
complete response, pathological tumor regression, and tumor downstaging after
neoadjuvant treatment and experience decreased survival. These patients may not
be suitable candidates for an observational "watch-and-wait" strategy. Future
prospective studies should investigate the relationships between CEA levels,
neoadjuvant treatment response, recurrence, and survival.
PMID- 26546123
TI - Accelerated degradation of collagen membranes in diabetic rats is associated with
increased infiltration of macrophages and blood vessels.
AB - OBJECTIVES: Increased collagenolytic activity in diabetes may compromise collagen
membrane (CM) survival. Tetracycline (TTC) possesses anti-collagenolytic
properties and delays CM degradation. This study evaluated macrophage and
capillary infiltration within CMs in diabetic rats. MATERIALS AND METHODS:
Diabetes was induced in 20 Wistar rats by streptozotocin and 20 served as
controls. Biotin-labeled CM discs were immersed in either TTC (50 mg/ml) or PBS.
In each animal, 2 discs (TTC and control) were implanted under the parietal
periosteum and rats were sacrificed at 2 or 4 weeks post-implantation. The area
and thickness of the residual disc collagen were measured following staining with
streptavidin, and the number of macrophages and blood vessels within the
membranes was determined using specific antibodies (to CD68 and transglutaminase
II, respectively). RESULTS: Diabetes significantly reduced the area and thickness
of the CMs, while TTC increased CM thickness significantly in both groups of rats
at 2 and 4 weeks. Diabetes increased the number of macrophages (~eightfold at 2
weeks and ~fourfold at 4 weeks), but TTC had no significant effect. Finally,
diabetes increased the number of blood vessels within the discs (~threefold at 2
weeks and ~twofold at 4 weeks), while TTC had no effect. CONCLUSIONS: Diabetes
increases degradation of native CMs and the number of blood vessels and
macrophages within them. TTC immersion delays CM degradation without an apparent
effect on macrophage and blood vessel penetration. CLINICAL RELEVANCE: Enhanced
CM degradation in diabetic conditions which impair guided regenerative procedure
outcome is apparently related to increased blood vessel formation and macrophage
infiltration.
PMID- 26546124
TI - Functional, esthetical, and periodontal determination of the dentition in 35- to
44-year-old Brazilian adults.
AB - AIMS: The aims of the present study were to evaluate oral health status among
Brazilian adults aged 35 to 44 years with regard to functional dentition based on
four different definitions and classify dentition configurations using a dental
functional status classification system. METHODS: The sample was composed of 9564
individuals who participated in the 2010 National Oral Health Survey. The
definitions were "well-distributed teeth" concept (WDT), the World Health
Organization functional dentition concept (FDWHO), functional dentition
classified by esthetics and occlusion (FDClass5), and functional dentition
classified by esthetics, occlusion, and periodontal status (FDClass6). Dentitions
were classified on six sequential, accumulative levels (anyone reaching level VI
necessarily met the criteria on all previous levels): I->=one tooth in each arch;
II->=10 teeth in each arch (WDT) or >=20 teeth present (FDWHO); III-all 12
anterior teeth present; IV->=3 premolar posterior occluding pairs (POPs) present;
V->=one molar POP bilaterally (FDClass5); VI-all sextants with Community
Periodontal Index <3 and/or loss of attachment <=1 (FDClass6). RESULTS: The
prevalence rates of the different definitions of functional dentition ranged from
42.6 % (FDClass5) and 40.3 % (FDClass6) to 72.9 % (WDT) and 77.9 % (FDWHO).
CONCLUSIONS: The oral health status of Brazilian adults exhibited considerable
variation due to the different definitions of functional dentition, and less than
half of Brazilian adults met all the criteria of function. CLINICAL RELEVANCE:
The indication and planning of prosthetic rehabilitation should not only be based
on the absence of teeth but also the distribution and periodontal status of the
teeth present.
PMID- 26546126
TI - Sources of inversion variation in the small single copy (SSC) region of
chloroplast genomes.
PMID- 26546125
TI - Genome-wide miR-155 and miR-802 target gene identification in the hippocampus of
Ts65Dn Down syndrome mouse model by miRNA sponges.
AB - BACKGROUND: Down syndrome (DS) or trisomy 21 is the result of a genetic dosage
imbalance that translates in a broad clinical spectrum. A major challenge in the
study of DS is the identification of functional genetic elements with wide impact
on phenotypic alterations. Recently, miRNAs have been recognized as major
contributors to several disease conditions by acting as post-transcriptional
regulators of a plethora of genes. Five chromosome 21 (HSA21) miRNAs have been
found overexpressed in DS individuals and could function as key elements in the
pathophysiology. Interestingly, in the trisomic Ts65Dn DS mouse model two of
these miRNAs (miR-155 and miR-802) are also triplicated and overexpressed in
brain. RESULTS: In the current work, we interrogated the impact of miR-155 and
miR-802 upregulation on the transcriptome of Ts65Dn brains. We developed a
lentiviral miRNA-sponge strategy (Lv-miR155-802T) to identify in vivo relevant
miR-155 and miR-802 target mRNAs. Hippocampal injections of lentiviral sponges in
Ts65Dn mice normalized the expression of miR-155 and miR-802 and rescued the
levels of their targets methyl-CpG-binding protein 2 gene (Mecp2), SH2 (Src
homology 2)-containing inositol phosphatase-1 (Ship1) and Forkhead box protein M1
(FoxM1). Transcriptomic data of Lv-miR155-802T miRNA-sponge treated hippocampi
correlated with candidate targets highlighting miRNA dosage-sensitive genes.
Significant associations were found in a subset of genes (Rufy2, Nova1, Nav1,
Thoc1 and Sumo3) that could be experimentally validated. CONCLUSIONS: The
lentiviral miRNA-sponge strategy demonstrated the genome-wide regulatory effects
of miR-155 and miR-802. Furthermore, the analysis combining predicted candidates
and experimental transcriptomic data proved to retrieve genes with potential
significance in DS-hippocampal phenotype bridging with DS other neurological
associated diseases such as Alzheimer's disease.
PMID- 26546127
TI - Divergent growth strategies between red algae and kelps influence biomechanical
properties.
AB - PREMISE OF THE STUDY: Morphology and material properties are the main components
of the mechanical design of organisms, with species groups developing different
optimization strategies in the context of their physical environment. For
intertidal and subtidal seaweeds, possessing highly flexible and extensible
tissues allows individuals to bend and reconfigure in flow, thereby reducing
drag. Previous research has shown that aging may compromise these qualities.
Tissue age increases with distance from the blade's meristem, which differs in
its position on kelps and red algae. Here, we assess whether longitudinal
patterns of blade material properties differ between these two algal groups
according to tissue age. METHODS: We performed tensile tests on tissues samples
excised from various positions along the extent of blades in nine kelp species
(basal growth) and 15 species of red algae (apical growth). KEY RESULTS: We found
that older tissues were less flexible and extensible than younger tissues in all
species tested. As predicted, tissue near the basal meristem in kelp was more
flexible and extensible than older tissue at the blade's distal end. The opposite
pattern was observed for red algae, with the most flexible and extensible tissues
found near the apical meristem at the distal ends of blades. CONCLUSIONS: We
propose that divergent patterns in the distribution of material properties along
blades may have different consequences for the performance of kelps and red
algae. The positioning of younger tissues at the blade base for kelps may enable
these species to attain larger body sizes in wave-swept habitats.
PMID- 26546130
TI - Transdermal drug targeting and functional imaging of tumor blood vessels in the
mouse auricle.
AB - Subcutaneously growing tumors are widely utilized to study tumor angiogenesis and
the efficacy of antiangiogenic therapies in mice. To additionally assess
functional and morphologic alterations of the vasculature in the periphery of a
growing tumor, we exploited the easily accessible and hierarchically organized
vasculature of the mouse auricle. By site-specific subcutaneous implantation of a
defined preformed mouse B16/F0 melanoma aggregate, a solid tumor nodule developed
within 14 d. Growth of the tumor nodule was accompanied by a 4-fold increase in
its perfusion as well as a 2- to 4-fold elevated diameter and perfusion of
peripheral blood vessels that had connected to the tumor capillary
microvasculature. By transdermal application of the anticancer drug bortezomib,
tumor growth was significantly diminished by about 50% without provoking side
effects. Moreover, perfusion and tumor microvessel diameter as well as growth and
perfusion of arterial or venous blood vessels supplying or draining the tumor
microvasculature were decreased under these conditions by up to 80%.
Collectively, we observed that the progressive tumor growth is accompanied by the
enlargement of supplying and draining extratumoral blood vessels. This process
was effectively suppressed by bortezomib, thereby restricting the perfusion
capacity of both extra and intratumoral blood vessels.
PMID- 26546129
TI - Beyond the brain: disrupted in schizophrenia 1 regulates pancreatic beta-cell
function via glycogen synthase kinase-3beta.
AB - Individuals with schizophrenia and their first-degree relatives have higher rates
of type 2 diabetes (T2D) than the general population (18-30 vs. 1.2-6.3%),
independent of body mass index and antipsychotic medication, suggesting shared
genetic components may contribute to both diseases. The cause of this association
remains unknown. Mutations in disrupted in schizophrenia 1 (DISC1) increase the
risk of developing psychiatric disorders [logarithm (base 10) of odds = 7.1].
Here, we identified DISC1 as a major player controlling pancreatic beta-cell
proliferation and insulin secretion via regulation of glycogen synthase kinase
3beta (GSK3beta). DISC1 expression was enriched in developing mouse and human
pancreas and adult beta- and ductal cells. Loss of DISC1 function, through siRNA
mediated depletion or expression of a dominant-negative truncation that models
the chromosomal translocation of human DISC1 in schizophrenia, resulted in
decreased beta-cell proliferation (3 vs. 1%; P < 0.01), increased apoptosis (0.1
vs. 0.6%; P < 0.01), and glucose intolerance in transgenic mice. Insulin
secretion was reduced (0.5 vs. 0.1 ng/ml; P < 0.05), and critical beta-cell
transcription factors Pdx1 and Nkx6.1 were significantly decreased. Impaired
DISC1 allowed inappropriate activation of GSK3beta in beta cells, and
antagonizing GSK3beta (SB216763; IC50 = 34.3 nM) rescued the beta-cell defects.
These results uncover an unexpected role for DISC1 in normal beta-cell physiology
and suggest that DISC1 dysregulation contributes to T2D independently of its
importance for cognition.
PMID- 26546133
TI - Continuous scanning trials:Transitioning through the attractor landscape.
AB - Bimanual 1:1 coordination patterns other than in-phase (0 degrees ) and anti
phase (180 degrees ) have proven difficult to perform even with extended
practice. The difficulty has traditionally been attributed to phase attraction
that draws the coordination between the limbs towards the bimanual patterns of in
phase and anti-phase and variability associated with the activation and
associated proprioceptive signals of non-homologous muscles via crossed and
uncrossed cortical pathways. However, recent experiments have demonstrated that a
wide range of relative phase and multi-frequency coordination patterns can be
effectively produced with only a few minutes of practice when Lissajous or online
relative phase information is provided. The present experiment was designed to
determine if participants provided Lissajous feedback comprised of continuously
transitioning relative phase goals could be effectively performed as the
participant navigates through the attractor landscape. The results clearly
indicated that participants can effectively produce a large range of supposedly
unstable coordination patterns (between 0 degrees and 180 degrees in 1 degrees
increments) after only a few minutes of practice. These findings clearly indicate
that the perception-action system is fully capable of effectively producing and
transitioning through a wide range of bimanual coordination patterns and that the
reason for the failure to produce these patterns in previous experiments resides
in the perceptual information and attentional requirements typically found in
experimental testing environments.
PMID- 26546131
TI - Subcellular RNA profiling links splicing and nuclear DICER1 to alternative
cleavage and polyadenylation.
AB - Alternative cleavage and polyadenylation (APA) plays a crucial role in the
regulation of gene expression across eukaryotes. Although APA is extensively
studied, its regulation within cellular compartments and its physiological impact
remains largely enigmatic. Here, we used a rigorous subcellular fractionation
approach to compare APA profiles of cytoplasmic and nuclear RNA fractions from
human cell lines. This approach allowed us to extract APA isoforms that are
subjected to differential regulation and provided us with a platform to
interrogate the molecular regulatory pathways that shape APA profiles in
different subcellular locations. Here, we show that APA isoforms with shorter 3'
UTRs tend to be overrepresented in the cytoplasm and appear to be cell-type
specific events. Nuclear retention of longer APA isoforms occurs and is partly a
result of incomplete splicing contributing to the observed cytoplasmic bias of
transcripts with shorter 3' UTRs. We demonstrate that the endoribonuclease III,
DICER1, contributes to the establishment of subcellular APA profiles not only by
expected cytoplasmic miRNA-mediated destabilization of APA mRNA isoforms, but
also by affecting polyadenylation site choice.
PMID- 26546134
TI - Key components in models of community-based interventions coordinating care in
dementia: a mixed studies systematic review protocol.
AB - BACKGROUND: Current health and social care systems are providing suboptimal and
fragmented care to the growing dementia population. Interventions aiming to
coordinate care services for individuals with dementia and their families are
already widely used; however, the structure and implementation of these
interventions vary. This mixed studies review aims to investigate the key
components of effective community-based interventions that focus on coordinating
care in dementia. METHODS: We will search MEDLINE, Cochrane Library, Embase and
PsycINFO databases for studies of any design that look at community-based
interventions that aim to coordinate dementia care through the allocation of a
specified professional responsible for provision of care. Health Management
Information Consortium (HMIC), Social Policy and Practice (SPP), ProQuest and
International Clinical Trials Registry Platform (ICTRP) databases will be
searched for grey literature. Outcomes of interest are health outcome measures
that relate to the individual with dementia and/or informal caregiver, measures
of resource use or process measures. Two independent reviewers will screen
identified papers and extract data from eligible studies. Evidence synthesis will
take place in three stages, and methods will be largely dependent on the data
available. A sequential review design will be used where the qualitative evidence
will be synthesised first, focusing on stakeholder's subjective views of key
components. This will drive forward the quantitative stage which will identify
key components of effective interventions. The final stage of the review will
merge the two strands of evidence through a narrative synthesis. DISCUSSION: The
results from this review will be used to develop a model for a community-based
intervention coordinating care in dementia. Furthermore, the findings will help
guide future work on intervention development of health and social care services
for dementia. SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42015024618.
PMID- 26546135
TI - Three-decade neurological and neurocognitive follow-up of HIV-1-infected patients
on best-available antiretroviral therapy in Finland.
AB - OBJECTIVES: Is it possible to live without neurocognitive or neurological
symptoms after being infected with HIV for a very long time? These study patients
with decades-long HIV infection in Finland were observed in this follow-up study
during three time periods: 1986-1990, in 1997 and in 2013. SETTING: Patients from
greater Helsinki area were selected from outpatient's unit of infectious
diseases. PARTICIPANTS: The study included 80 HIV patients. Patients with heavy
alcohol consumption, central nervous system disorder or psychiatric disease were
excluded. PRIMARY AND SECONDARY OUTCOME MEASURES: The patients underwent
neurological and neuropsychological examinations, MRI of the brain and laboratory
tests, including blood CD4 cells and plasma HIV-1 RNA. Neuropsychological
examination included several measures: subtests of Wechsler Adult Intelligence
Scale, Wechsler Memory Scale-Revised, list learning, Stroop and Trail-Making-B
test. The Beck Depression Inventory and Fatigue Severity Scale were also carried
out. The obtained data from the three time periods were compared with each other.
RESULTS: Owing to high mortality among the original 80 patients, eventually, 17
participated in all three examinations performed between 1986 and 2013. The time
from the HIV diagnosis was 27 (23-30) years. Blood CD4 cells at the diagnosis
were 610 (29-870) cells/mm(3), and the nadir CD4 168 (4-408) cells/mm(3). The
time on combined antiretroviral treatment was 13 (5-17) years. 9 patients
suffered from fatigue, 5 had polyneuropathy and 3 had lacunar cerebral infarcts.
There was a subtle increase of brain atrophy in 2 patients. Mild depressive
symptoms were common. The neuropsychological follow-up showed typical age-related
cognitive changes. No HIV-associated dementia features were detected.
CONCLUSIONS: Polyneuropathy, fatigue and mild depression were common, but more
severe neurological abnormalities were absent. These long-term surviving HIV
seropositive patients, while on best-available treatment, showed no evidence of
HIV-associated neurocognitive disorder in neuropsychological and
neuroradiological evaluations.
PMID- 26546136
TI - Application of three different sets of explicit criteria for assessing
inappropriate prescribing in older patients: a nationwide prevalence study of
ambulatory care visits in Taiwan.
AB - OBJECTIVE: To investigate the national prevalence of potentially inappropriate
medications (PIMs) prescribed in ambulatory care clinics in Taiwan according to
three different sets of regional criteria and the correlates of PIM use. DESIGN:
Cross-sectional study. SETTING: This analysis included older patients who visited
ambulatory care clinics in 2009 and represented half of the older population
included on the Taiwanese National Health Insurance Research Database.
PARTICIPANTS: We identified 1,164,701 subjects who visited ambulatory care
clinics and were over 65 years old in 2009. PRIMARY AND SECONDARY OUTCOME
MEASURES: PIM prevalence according to the 2012 Beers criteria, the PIM-Taiwan
criteria and the PRISCUS criteria was estimated separately, and characteristics
of PIM users were explored. Multivariate logistic regression analysis was used to
determine patient factors associated with the use of at least one PIM. Leading
PIMs for each set of criteria were also listed. RESULTS: The prevalence of having
at least one PIM at the patient level was highest with the Beers criteria
(86.2%), followed by the PIM-Taiwan criteria (73.3%) and the PRISCUS criteria
(66.9%). Polypharmacy and younger age were associated with PIM use for all three
sets of criteria. The leading PIMs detected by the PIM-Taiwan and PRISCUS
criteria were all included in the 2012 Beers criteria. Non-COX-selective non
steroidal anti-inflammatory drugs in the Beers criteria and benzodiazepines in
the PIM-Taiwan and PRISCUS criteria accounted for most leading PIMs. CONCLUSIONS:
The prevalence of PIMs was high among older Taiwanese patients receiving
ambulatory care visits. The prevalence of PIM and its associated factors varied
according to three sets of criteria at the population level.
PMID- 26546137
TI - Bad apples or spoiled barrels? Multilevel modelling analysis of variation in high
risk prescribing in Scotland between general practitioners and between the
practices they work in.
AB - OBJECTIVES: Primary care high-risk prescribing causes significant harm, but it is
unclear if it is largely driven by individuals (a 'bad apple' problem) or by
practices having higher or lower risk prescribing cultures (a 'spoiled barrel'
problem). The study aimed to examine the extent of variation in high-risk
prescribing between individual prescribers and between the practices they work
in. DESIGN, SETTING AND PARTICIPANTS: Multilevel logistic regression modelling of
routine cross-sectional data from 38 Scottish general practices for 181,010
encounters between 398 general practitioners (GPs) and 26,539 patients
particularly vulnerable to adverse drug events (ADEs) of non-steroidal anti
inflammatory drugs (NSAIDs) due to age, comorbidity or co-prescribing. OUTCOME
MEASURE: Initiation of a new NSAID prescription in an encounter between GPs and
eligible patients. RESULTS: A new high-risk NSAID was initiated in 1953
encounters (1.1% of encounters, 7.4% of patients). Older patients, those with
more vulnerabilities to NSAID ADEs and those with polypharmacy were less likely
to have a high-risk NSAID initiated, consistent with GPs generally recognising
the risk of NSAIDs in eligible patients. Male GPs were more likely to initiate a
high-risk NSAID than female GPs (OR 1.73, 95% CI 1.39 to 2.16). After accounting
for patient characteristics, 4.2% (95% CI 2.1 to 8.3) of the variation in high
risk NSAID prescribing was attributable to variation between practices, and 14.2%
(95% CI 11.4 to 17.3) to variation between GPs. Three practices had statistically
higher than average high-risk prescribing, but only 15.7% of GPs with higher than
average high-risk prescribing and 18.5% of patients receiving such a prescription
were in these practices. CONCLUSIONS: There was much more variation in high-risk
prescribing between GPs than between practices, and only targeting practices with
higher than average rates will miss most high-risk NSAID prescribing. Primary
care prescribing safety improvement should ideally target all practices, but
encourage practices to consider and act on variation between prescribers in the
practice.
PMID- 26546138
TI - Healthcare utilisation by pregnant patients with asthma in South Korea: a cohort
study using nationwide claims data.
AB - OBJECTIVES: Few nationwide population-based studies have examined the burden of
asthma during pregnancy. Here, we investigated the burden and medical treatment
of asthma during pregnancy requiring healthcare utilisation in South Korea.
DESIGN: Cohort study. SETTING: Nationwide insurance claims database.
PARTICIPANTS: A total of 1,306,281 pregnant women who delivered in South Korea in
2009-2011. OUTCOMES: The prevalence and exacerbation rates of asthma requiring
healthcare utilisation, and the prescription of antiasthmatic drugs during
pregnancy. RESULTS: The prevalence of asthma requiring healthcare utilisation was
0.43% among pregnant women. Among those with asthma requiring healthcare
utilisation, 6.9% were hospitalised and treated with systemic steroids and short
acting beta2-agonists during pregnancy. Oral drugs were prescribed less during
the third trimester than during the first trimester (all p values for trends were
<0.001). A significant number of patients with asthma were likely to stop taking
antiasthmatic drugs after becoming pregnant. CONCLUSIONS: The prevalence of
asthma requiring healthcare utilisation during pregnancy was not very high.
However, a significant number of women were likely to stop taking antiasthmatic
drugs, and those who did tended to experience exacerbations.
PMID- 26546139
TI - New onset diabetes after kidney transplantation in patients with autosomal
dominant polycystic kidney disease: systematic review protocol.
AB - INTRODUCTION: Autosomal dominant polycystic kidney disease (ADPKD) is the most
common inherited kidney disorder with numerous cysts developing in bilateral
kidneys. Meanwhile, ADPKD can also be regarded as a systemic disease because the
cystic and non-cystic abnormalities could be identified in multiple organs in
patients with ADPKD. Several lines of evidence suggest the risk of post
transplant diabetes mellitus or new-onset diabetes after transplantation (NODAT)
is higher in patients with ADPKD compared with non-ADPKD renal recipients, but
the available results are conflicting. We describe the protocol of a systematic
review and meta-analysis for investigating the risk of NODAT in patients with
ADPKD. METHODS AND ANALYSIS: PubMed, EMBASE and The Cochrane Library will be
searched. Cohort studies irrespective of language and publication status,
comparing the incidence of NODAT in renal recipients with ADPKD and other kidney
disease will be eligible. We will assess heterogeneity among studies. Along with
95% CIs, dichotomous data will be summarised as risk ratios; numbers needed to
treat/harm and continuous data will be given as standard mean differences.
Excluding outliers and testing small sample size studies if our results are
robust, sensitivity analysis will be carried out. ETHICS AND DISSEMINATION:
Ethical approval is not required because this study includes no confidential
personal data or patient interventions. The review findings will be helpful in
designing and implementing future studies and will be of interest to a wide range
of readers, including healthcare professionals, researchers, health service
managers and policymakers. The systematic review will be published in a peer
reviewed journal and disseminated electronically and in print. TRIAL REGISTRATION
NUMBER: The study protocol has been registered in PROSPERO
(http://www.crd.york.ac.uk/PROSPERO/) under registration number CRD42014009677.
PMID- 26546140
TI - Barriers faced by healthcare professionals when managing falls in older people in
Kuala Lumpur, Malaysia: a qualitative study.
AB - OBJECTIVE: To explore the barriers faced by healthcare professionals (HCPs) in
managing falls among older people (aged above 60 years) who have a high risk of
falling. RESEARCH DESIGN: The study used a qualitative methodology, comprising 10
in-depth interviews and two focus group discussions. A semistructured topic guide
was used to facilitate the interviews, which were audio recorded, transcribed
verbatim and checked for accuracy. Data were analysed thematically using WeftQDA
software. PARTICIPANTS: 20 HCPs who managed falls in older people. SETTING: This
study was conducted at the Primary Care Clinic in the University Malaya Medical
Centre (UMMC), Malaysia. RESULTS: Four categories of barriers emerged-these were
related to perceived barriers for older people, HCPs' barriers, lack of caregiver
support and healthcare system barriers. HCPs perceived that older people
normalised falls, felt stigmatised, were fatalistic, as well as in denial
regarding falls-related advice. HCPs themselves trivialised falls and lacked the
skills to manage falls. Rehabilitation was impeded by premature decisions to
admit older people to nursing homes. Lastly, there was a lack of healthcare
providers as well as a dearth of fall education and training on fall prevention
for HCPs. CONCLUSIONS: This study identified barriers that explain poor fall
management in older people with a high risk of falls. The lack of structured fall
prevention guidelines and insufficient training in fall management made HCPs
unable to advise patients on how to prevent falls. The findings of this study
warrant evidence-based structured fall prevention intervention targeted to
patients as well as to HCPs.
PMID- 26546141
TI - A multilevel analysis of mortality following acute myocardial infarction in
Norway: do municipal health services make a difference?
AB - OBJECTIVES: Studies link area features such as neighbourhood socioeconomic
deprivation to poor health outcomes. However, there is a paucity of research
based on representative data investigating the effects of area-level health
services on mortality. This study examines the extent to which municipal health
services account for municipal variation in all-cause and cardiovascular disease
(CVD) mortality. We hypothesise that unfavourable municipal features (eg, fewer
available places for rehabilitation) are associated with higher risk of mortality
after accounting for patients' characteristics. DESIGN: Population data from
Norwegian national/municipal registrars are analysed using multilevel logistic
regression in this prospective cohort study. SETTING AND PARTICIPANTS: The
analytic sample (9412 patients aged 18+ from 336 municipalities) constitutes
87.7% of the nationwide population of Norwegian adults who were hospitalised for
acute myocardial infarction (AMI) in 2009 and discharged alive. PRIMARY OUTCOME
MEASURES: All-cause and CVD mortality occurring within 365 days after the first
day of hospitalisation for AMI. RESULTS: There was a small but significant
variation at the municipal level in all-cause mortality (0.5%; intraclass
correlation coefficient=0.005) but not CVD mortality. There were no significant
fixed effects of municipal health services on mortality in bivariate models.
Patients' characteristics (eg, gender, comorbidities) fully accounted for the
observed municipal variation in mortality. Being male versus female (OR=1.21, 95%
CI 1.02 to 1.43), or having been previously diagnosed with dementia versus not
(OR=2.06, 95% CI 1.53 to 2.77) were also linked to higher odds of death.
CONCLUSIONS: Municipal variation in all-cause mortality for Norwegian patients
with AMI appears to be driven not by differences across municipalities in health
service levels, but by differences across municipalities in the composition of
patients. Focusing on chronic disease prevention and treatment, and tackling
personal and structural risk factors embedded within patients' sociodemographic
characteristics, may be especially beneficial for longevity.
PMID- 26546142
TI - Ranolazine for the treatment of chronic stable angina: a cost-effectiveness
analysis from the UK perspective.
AB - OBJECTIVES: To estimate the cost-effectiveness of ranolazine when added to
standard-of-care (SoC) antianginals compared with SoC alone in patients with
stable coronary disease experiencing >=3 attacks/week. SETTING: An economic model
utilising a UK health system perspective, a 1-month cycle-length and a 1-year
time horizon. PARTICIPANTS: Patients with stable coronary disease experiencing
>=3 attacks/week starting in 1 of 4 angina frequency health states based on
Seattle Angina Questionnaire Angina Frequency (SAQAF) scores (100=no; 61
99=monthly; 31-60=weekly; 0-30=daily angina). INTERVENTION: Ranolazine added to
SoC or SoC alone. Patients were allowed to transition between SAQAF states (first
cycle only) or death (any cycle) based on probabilities derived from the
randomised, controlled Efficacy of Ranolazine in Chronic Angina trial and other
studies. Patients not responding to ranolazine in month 1 (not improving >=1
SAQAF health state) discontinued ranolazine and were assumed to behave like SoC
patients. PRIMARY AND SECONDARY OUTCOMES MEASURES: Costs (L2014) and quality
adjusted life-years (QALYs) for patients receiving and not receiving ranolazine.
RESULTS: Ranolazine patients lived a mean of 0.701 QALYs at a cost of L5208.
Those not receiving ranolazine lived 0.662 QALYs at a cost of L5318. The addition
of ranolazine to SoC was therefore a dominant economic strategy. The incremental
cost-effectiveness ratio was sensitive to ranolazine cost; exceeding L20,000/QALY
when ranolazine's cost was >L203/month. Ranolazine remained a dominant strategy
when indirect costs were included and mortality rates were assumed to increase
with worsening severity of SAQAF health states. Monte Carlo simulation found
ranolazine to be a dominant strategy in ~71% of 10,000 iterations. CONCLUSIONS:
Although UK-specific data on ranolazine's efficacy and safety are lacking, our
analysis suggest ranolazine added to SoC in patients with weekly or daily angina
is likely cost-effective from a UK health system perspective.
PMID- 26546143
TI - Associations of volume and other hospital characteristics on mortality within 30
days of acute myocardial infarction in South Korea.
AB - OBJECTIVE: The mortality for acute myocardial infarction (AMI) has declined
worldwide. However, improvements in care for AMI in South Korea have lagged
slightly behind those in other countries. Therefore, it is important to
investigate how factors such as hospital volume, structural characteristics of
hospital and hospital staffing level affect 30-day mortality due to AMI in South
Korea. SETTING: We used health insurance claim data from 114 hospitals to analyse
30-day mortality for AMI. PARTICIPANTS: These data consisted of 19,638
hospitalisations during 2010-2013. INTERVENTIONS: No interventions were made.
OUTCOME MEASURE: Multilevel models were analysed to examine the association
between the 30-day mortality and inpatient and hospital level variables. RESULTS:
In the 30 days after hospitalisation, 10.5% of patients with AMI died.
Hospitalisation cases at hospitals with a higher AMI volume had generally inverse
associations with 30-day mortality (1st quartile=ref; 2nd quartile=OR 0.811, 95%
CI 0.658 to 0.998, 3rd quartile=OR 0.648, 95% CI 0.500 to 0.840, 4th quartile=OR
0.807, 95% CI 0.573 to 1.138). In addition, hospitals with a greater proportion
of specialists were associated with better outcomes (above median=OR 0.789, 95%
CI 0.663 to 0.940). CONCLUSIONS: Health policymakers need to include volume and
staffing when defining the framework for treatment of AMI in South Korean
hospitals. Otherwise, they must consider increasing the proportion of specialists
or regulating the hiring of emergency medicine specialists. In conclusion, they
must make an effort to reduce 30-day mortality following AMI based on such
considerations.
PMID- 26546144
TI - Do marginal investments made by NHS healthcare commissioners in the UK produce
the outcomes they hope to achieve? Observational study.
AB - OBJECTIVE: To investigate the effect of targeted marginal annual investments by
local healthcare commissioners on the outcomes they expected to achieve with
these investments. DESIGN: Controlled before and after study. SETTING: 152
commissioning organisations (primary care trusts) in England. METHODS: National
surveys of commissioning managers in 2009 and 2010 to identify: the largest
marginal investments made in four key conditions/services (diabetes, coronary
heart disease, chronic pulmonary airways disease and emergency and urgent care)
in 2008/2009 and 2009/2010; the outcomes commissioners expected to achieve with
these investments; and the processes commissioners used to develop these
investments. Collation of routinely available data on outcomes commissioners
expected from these investments over the period 2007/2008 to 2010/2011. RESULTS:
51% (77/152) of commissioners agreed to participate in the survey in 2009 and 60%
(91/152) in 2010. Around half reported targeted marginal investments in each
condition/service each year. Routine data on many of the outcomes they expected
to achieve through these investments were not available. Also, commissioners
expected some outcomes to be achieved beyond the time scale of our study.
Therefore, only a limited number of outcomes of investments were tested. Outcomes
included directly standardised emergency admission rates for the four
conditions/services, and the percentage of patients with diabetes with glycated
haemoglobin <7. There was no evidence that targeted marginal investments reduced
emergency admission rates. There was evidence of an improvement in blood glucose
management for diabetes for commissioners investing to improve diabetes care but
this was compromised by a change in how the outcome was measured in different
years. This investment was unlikely to be cost-effective. CONCLUSIONS:
Commissioners made marginal investments in specific health conditions and
services with the aim of improving a wide range of outcomes. There was little
evidence of impact on the limited number of outcomes measured.
PMID- 26546145
TI - Examination of the community-specific prevalence of and factors associated with
substance use and misuse among Rural and Urban adolescents: a cross-sectional
analysis in Bosnia and Herzegovina.
AB - OBJECTIVE: The community of residence (ie, urban vs rural) is one of the known
factors of influence on substance use and misuse (SUM). The aim of this study was
to explore the community-specific prevalence of SUM and the associations that
exist between scholastic, familial, sports and sociodemographic factors with SUM
in adolescents from Bosnia and Herzegovina. METHODS: In this cross-sectional
study, which was completed between November and December 2014, the participants
were 957 adolescents (aged 17 to 18 years) from Bosnia and Herzegovina (485;
50.6% females). The independent variables were sociodemographic, academic, sport
and familial factors. The dependent variables consisted of questions on cigarette
smoking and alcohol consumption. We have calculated differences between groups of
participants (gender, community), while the logistic regressions were applied to
define associations between the independent and dependent variables. RESULTS: In
the urban community, cigarette smoking is more prevalent in girls (OR=2.05; 95%
CI 1.27 to 3.35), while harmful drinking is more prevalent in boys (OR=2.07; 95%
CI 1.59 to 2.73). When data are weighted by gender and community, harmful
drinking is more prevalent in urban boys (OR=1.97; 95% CI 1.31 to 2.95),
cigarette smoking is more frequent in rural boys (OR=1.61; 95% CI 1.04 to 2.39),
and urban girls misuse substances to a greater extent than rural girls (OR=1.70;
95% CI 1.16 to 2.51,OR=2.85; 95% CI 1.88 to 4.31,OR=2.78; 95% CI 1.67 to 4.61 for
cigarette smoking, harmful drinking and simultaneous smoking-drinking,
respectively). Academic failure is strongly associated with a higher likelihood
of SUM. The associations between parental factors and SUM are more evident in
urban youth. Sports factors are specifically correlated with SUM for urban girls.
CONCLUSIONS: Living in an urban environment should be considered as a higher risk
factor for SUM in girls. Parental variables are more strongly associated with SUM
among urban youth, most probably because of the higher parental involvement in
children' personal lives in urban communities (ie, college plans, for example).
Specific indicators should be monitored in the prevention of SUM.
PMID- 26546146
TI - Prediction of individual combined benefit and harm for patients with atrial
fibrillation considering warfarin therapy: a study protocol.
AB - INTRODUCTION: Clinical prediction rules have been validated and widely used in
patients with atrial fibrillation (AF) to predict stroke and major bleeding.
However, these prediction rules were not developed in the same population, and do
not provide the key information that patients and prescribers need at the time
anticoagulants are being considered-what is the individual patient-specific risk
of both benefit (decreased stroke) and harm (increased major bleeding). In this
study, our primary objective is to develop and validate a prediction model for
patients' individual combined benefit and harm outcomes (stroke, major bleeding
and neither event) with and without warfarin therapy. Our secondary outcome is
all-cause mortality. METHODS AND ANALYSIS: We will use data from the Kaiser
Permanente Colorado (KPCO) anticoagulation management databases and electronic
medical records. Patients with a primary or secondary diagnosis during an
ambulatory KPCO medical office visit, emergency department visit, or inpatient
stay between 1 January 2005 and 31 December 2012 with no AF diagnosis in the
previous 180 days will be included. Patients' demographic characteristics,
laboratory data, comorbidities, warfarin medication data and concurrent use of
medication will be used to construct the prediction model. For primary outcomes
(stroke with no major bleeding, and major bleeding with no stroke), we will
perform polytomous logistic regression to develop a prediction model for
patients' individual combined benefit and harm outcomes, taking neither event
group as the reference group. As regards death, we will use Cox proportional
hazards regression analysis to build a prediction model for all-cause mortality.
ETHICS AND DISSEMINATION: This study has been approved by the KPCO Institutional
Review Board and the Hamilton Integrated Research Ethics Board. Results from this
study will be published in a peer-reviewed journal electronically and in print.
The prediction models may aid in patient-physician shared decision-making when
they are considering warfarin therapy.
PMID- 26546147
TI - Protocol for a process-oriented qualitative evaluation of the Waltham Forest and
East London Collaborative (WELC) integrated care pioneer programme using the
Researcher-in-Residence model.
AB - INTRODUCTION: The integration of health and social care in England is widely
accepted as the answer to fragmentation, financial concerns and system
inefficiencies, in the context of growing and ageing populations with
increasingly complex needs. Despite an expanding body of literature, there is
little evidence yet to suggest that integrated care can achieve the benefits that
its advocates claim for it. Researchers have often adopted rationalist and
technocratic approaches to evaluation, treating integration as an intervention
rather than a process. Results have usually been of limited use to practitioners
responsible for health and social care integration. There is, therefore, a need
to broaden the evidence base, exploring not only what works but also how
integrated care can most successfully be implemented and delivered. For this
reason, we are carrying out a formative evaluation of the Waltham Forest and East
London Collaborative (WELC) integrated care pioneer programme. Our expectation is
that this will add value to the literature by focusing on the processes by which
the vision and objectives of integrated care are translated through phases of
development, implementation and delivery from a central to a local perspective,
and from a strategic to an operational perspective. METHODS AND ANALYSIS: The
qualitative and process-oriented evaluation uses an innovative participative
approach-the Researcher-in-Residence model. The evaluation is underpinned by a
critical ontology, an interpretive epistemology and a critical discourse analysis
methodology. Data will be generated using interviews, observations and
documentary gathering. ETHICS AND DISSEMINATION: Emerging findings will be
interpreted and disseminated collaboratively with stakeholders, to enable the
research to influence and optimise the effective implementation of integrated
care across WELC. Presentations and publications will ensure that learning is
shared as widely as possible. The study has received ethical approval from
University College London's Research Ethics Committee and has all appropriate NHS
governance clearances.
PMID- 26546148
TI - What are the effects of varenicline compared with nicotine replacement therapy on
long-term smoking cessation and clinically important outcomes? Protocol for a
prospective cohort study.
AB - INTRODUCTION: Smoking is a major avoidable cause of ill-health and premature
death. Treatments that help patients successfully quit smoking have an important
effect on health and life expectancy. Varenicline is a medication that can help
smokers successfully quit smoking. However, there are concerns that it may cause
adverse effects, such as increase in the occurrence of depression, self-harm and
suicide and cardiovascular disease. In this study we aim to examine the effects
of varenicline versus other smoking cessation pharmacotherapies on smoking
cessation, health service use, all-cause and cause-specific mortality and
physical and mental health conditions. METHODS: In this project we will
investigate the effects of varenicline compared to nicotine replacement therapies
on: (1) long-term smoking cessation and whether these effects differ by area
level deprivation; and (2) the following clinically-important outcomes: rate of
general practice and hospital attendance; all-cause mortality and death due to
diseases of the respiratory system and cardiovascular disease; and a primary care
diagnosis of respiratory illness, myocardial infarction or depression and
anxiety. The study is based on a cohort of patients prescribed these smoking
cessation medications from the Clinical Practice Research Datalink (CPRD). We
will use three methods to overcome confounding: multivariable adjusted Cox
regression, propensity score matched Cox regression, and instrumental variable
regression. The total expected sample size for analysis will be at least 180,000.
Follow-up will end with the earliest of either an 'event' or censoring due to the
end of registration or death. ETHICS AND DISSEMINATION: Ethics approval was not
required for this study. This project has been approved by the CPRD's Independent
Scientific Advisory Committee (ISAC). We will disseminate our findings via
publications in international peer-reviewed journals and presentations at
international conferences.
PMID- 26546149
TI - Inhibition of TNF-alpha protects in vitro brain barrier from ischaemic damage.
AB - Cerebral ischaemia, associated with neuroinflammation and oxidative stress, is
known to perturb blood-brain barrier (BBB) integrity and promote brain oedema
formation. Using an in vitro model of human BBB composed of brain microvascular
endothelial cells and astrocytes, this study examined whether suppression of TNF
alpha, a potent pro-inflammatory cytokine, might attenuate ischaemia-mediated
cerebral barrier damage. Radical decreases in transendothelial electrical
resistance and concomitant increases in paracellular flux across co-cultures
exposed to increasing periods of oxygen-glucose deprivation alone (0.5-20 h) or
followed by 20 h of reperfusion (OGD +/- R) confirmed the deleterious effects of
ischaemic injury on cerebral barrier integrity and function which concurred with
reductions in tight junction protein (claudin-5 and occludin) expressions. OGD +/
R elevated TNF-alpha secretion, NADPH oxidase activity, O2(-) production, actin
stress fibre formation, MMP-2/9 activities and apoptosis in both endothelial
cells and astrocytes. Increases in MMP-2 activity were confined to its
extracellular isoform and treatments with OGD+R in astrocytes where MMP-9 could
not be detected at all. Co-exposure of individual cell lines or co-cultures to an
anti-TNF-alpha antibody dramatically diminished the extent of OGD +/- R-evoked
oxidative stress, morphological changes, apoptosis, MMP-2/9 activities while
improving the barrier function through upregulation of tight junction protein
expressions. In conclusion, vitiation of the exaggerated release of TNF-alpha may
be an important therapeutic strategy in preserving cerebral integrity and
function during and following a cerebral ischaemic attack.
PMID- 26546150
TI - Intracellular LINGO-1 negatively regulates Trk neurotrophin receptor signaling.
AB - Neurotrophins, essential regulators of many aspects of neuronal differentiation
and function, signal via four receptors, p75, TrkA, TrkB and TrkC. The three Trk
paralogs are members of the LIG superfamily of membrane proteins, which share
extracellular domains consisting of leucine-rich repeat and C2 Ig domains.
Another LIG protein, LINGO-1 has been reported to bind and influence signaling of
p75 as well as TrkA, TrkB and TrkC. Here we examine the manner in which LINGO-1
influences the function of TrkA, TrkB and TrkC. We report that Trk activation
promotes Trk association with LINGO-1, and that this association promotes Trk
degradation by a lysosomal mechanism. This mechanism resembles the mechanism by
which another LIG protein, LRIG1, promotes lysosomal degradation of receptor
tyrosine kinases such as the EGF receptor. We present evidence indicating that
the Trk/LINGO-1 interaction occurs, in part, within recycling endosomes. We show
that a mutant form of LINGO-1, with much of the extracellular domain deleted, has
the capacity to enhance TrkA signaling in PC12 cells, possibly by acting as an
inhibitor of Trk down-regulation by full length LINGO-1. We propose that LINGO-1
functions as a negative feedback regulator of signaling by cognate receptor
tyrosine kinases including TrkA, TrkB and TrkC.
PMID- 26546151
TI - Differences in the design and sale of e-cigarettes by cigarette manufacturers and
non-cigarette manufacturers in the USA.
AB - BACKGROUND: Three categories of e-cigarette brands have emerged within the US
market: e-cigarette brands developed by cigarette manufacturers, brands acquired
by cigarette manufacturers and brands with no cigarette manufacturer affiliation.
In the absence of federal regulatory oversight of e-cigarettes, we assessed
differences in e-cigarette products and sales practices across these categories.
METHODS: Brand websites for top-selling e-cigarette brands from each of these
categories were examined in October of 2015 to compare website access
restrictions, online sales practices and products sold, including e-cigarette
model type (eg, 'cigalike' vs advanced systems) and options available (eg,
flavoured, nicotine free). RESULTS: Website access to brands developed by
cigarette manufacturers was restricted to users aged 21 years or older, and one
website required user registration. In addition, these brands were exclusively
reusable/rechargeable 'cigalikes.' Limited flavour options were available for
these products, and nicotine-free options were not sold. In contrast, brands
acquired by cigarette manufacturers and brands with no cigarette manufacturer
affiliation generally required website visitors to be 18, offered a nicotine-free
option, and most offered disposable products and an array of flavoured products
(eg, fruit/candy flavours). CONCLUSIONS: This exploratory study finds differences
in e-cigarette products and sales practices across these three e-cigarette brand
categories, with brands developed by cigarette manufacturers adopting a
particularly distinctive product and sales strategy. Anticipated regulation of e
cigarettes in the USA may be influencing these product and sales decisions.
PMID- 26546152
TI - Quantifying how smokers value attributes of electronic cigarettes.
AB - INTRODUCTION: Rates of electronic cigarette (e-cigarette) use have increased
quickly among US adults (3.3% in 2010 to 8.5% in 2013) and youth (4.5% in 2013 to
13.4% in 2014). As state and local governments consider regulatory policies,
understanding what smokers believe about e-cigarettes and how they value e
cigarettes is important. METHODS: Using data from a convenience sample of Florida
adult smokers (N=765), we investigated the value smokers place on specific
attributes of e-cigarettes (availability of flavours, effectiveness of e
cigarettes as a cessation aid, healthier alternative to regular cigarettes,
ability to use e-cigarettes in public places) by asking smokers how much they
would be willing to pay for e-cigarettes with and without each of these
attributes. RESULTS: For cigarette-only and dual users, losing the ability to use
an e-cigarette as a quit aid and losing the harm reduction of an e-cigarette
significantly reduced the price respondents were willing to pay for an e
cigarette. For cigarette-only users, not being able to use an e-cigarette indoors
and losing flavours also significantly reduced the price respondents were willing
to pay for an e-cigarette. CONCLUSION: Our results suggest that smokers value
multiple attributes of e-cigarettes. Our valuation measures also appear to align
with smokers' beliefs about e-cigarettes.
PMID- 26546153
TI - Electronic cigarette marketers manipulate antitobacco advertisements to promote
vaping.
PMID- 26546154
TI - Multiple approaches for the detection and characterization of viral and plasmid
symbionts from a collection of marine fungi.
AB - The number of reported mycoviruses is increasing exponentially due to the current
ability to detect mycoviruses using next-generation sequencing (NGS) approaches,
with a large number of viral genomes built in-silico using data from fungal
transcriptome projects. We decided to screen a collection of fungi originating
from a specific marine environment (associated with the seagrass Posidonia
oceanica) for the presence of mycoviruses: our findings reveal a wealth of
diversity among these symbionts and this complexity will require further studies
to address their specific role in this ecological niche. In specific, we
identified twelve new virus species belonging to nine distinct lineages: they are
members of megabirnavirus, totivirus, chrysovirus, partitivirus and five still
undefined clades. We showed evidence of an endogenized virus ORF, and evidence of
accumulation of dsRNA from metaviridae retroviral elements. We applied different
techniques for detecting the presence of mycoviruses including (i) dsRNA
extraction and cDNA cloning, (ii) small and total RNA sequencing through NGS
techniques, (iii) rolling circle amplification (RCA) and total DNA extraction
analyses, (iv) virus purifications and electron microscopy. We tried also to
critically evaluate the intrinsic value and limitations of each of these
techniques. Based on the samples we could compare directly, RNAseq analysis is
superior to sRNA for de novo assembly of mycoviruses. To our knowledge this is
the first report on the virome of fungi isolated from marine environment. The
GenBank/eMBL/DDBJ accession numbers of the sequences reported in this paper are:
KT601099-KT601110; KT601114-KT601120; KT592305; KT950836-KT950841.
PMID- 26546155
TI - La Piedad Michoacan Mexico Virus V protein antagonizes type I interferon response
by binding STAT2 protein and preventing STATs nuclear translocation.
AB - La Piedad Michoacan Mexico Virus (LPMV) is a member of the Rubulavirus genus
within the Paramyxoviridae family. LPMV is the etiologic agent of "blue eye
disease", causing a significant disease burden in swine in Mexico with long-term
implications for the agricultural industry. This virus mainly affects piglets and
is characterized by meningoencephalitis and respiratory distress. It also affects
adult pigs, causing reduced fertility and abortions in females, and orchitis and
epididymitis in males. Viruses of the Paramyxoviridae family evade the innate
immune response by targeting components of the interferon (IFN) signaling
pathway. The V protein, expressed by most paramyxoviruses, is a well
characterized IFN signaling antagonist. Until now, there were no reports on the
role of the LPMV-V protein in inhibiting the IFN response. In this study we
demonstrate that LPMV-V protein antagonizes type I but not type II IFN signaling
by binding STAT2, a component of the type I IFN cascade. Our results indicate
that the last 18 amino acids of LPMV-V protein are required for binding to STAT2
in human and swine cells. While LPMV-V protein does not affect the protein levels
of STAT1 or STAT2, it does prevent the IFN-induced phosphorylation and nuclear
translocation of STAT1 and STAT2 thereby inhibiting cellular responses to IFN
alpha/beta.
PMID- 26546156
TI - Cationic antimicrobial peptide, magainin down-regulates secretion of pro
inflammatory cytokines by early placental cytotrophoblasts.
AB - BACKGROUND: Human placental villous cytotrophoblasts exhibit relative
externalization of negatively charged moieties to the outer leaflet of the plasma
membrane during the time of syncytialization rendering their reactivity to
positively charged cationic antimicrobial peptides (CAMPs) during the window of
implantation and early placentation. Vaginal administration of a synthetic CAMP,
Ala(8,13,18)-magainin II amide (AMA) inhibited blastocyst implantation and early
placentation in monkeys. Furthermore, the administration of AMA resulted in
significant inhibition of cell differentiation, enhancement in apoptosis and loss
of viability in first trimester placental villous cytotrophoblasts in primary
culture. The present study examines the effect of in vitro application of
different doses (0, 1, 10, 100, 1000 ng/ml) of AMA on the secreted cytokine
profiles of cytotrophoblasts obtained from placental villi samples (n = 13)
collected during 8-9 weeks of gestation and grown on three-dimensional collagen
matrix in vitro. METHODS: A panel of forty-eight (48) cytokines in conditioned
medium was analysed using multiplex immunoassays technique. Further, the steady
state transcript levels of four cytokines (CCL4, CCL5, IL1B, IL6), the
concentrations of which were affected by AMA in the isolated cytotrophoblasts, as
well as, two cytokines (IL1A and TNF) which were not affected by AMA were
estimated. Input list of cytokines secreted by cytotrophoblasts and showing
differential secretion in response to AMA were used in enrichment analysis for
the generation of biological networks. RESULTS: Placental cytotrophoblasts
secreted 27 cytokines, 13 of which are affected by AMA in vitro with
significantly decreased secretion of CCLs-2, 3, 4, 5, CXCLs-1 and 8, FGF2 and
MCSF and that of IL1B, IL6 and MIF, and increased secretion of IL16 and IL-2RA.
Of the above cytokines showing differential secretion, only IL-2RA, IL16 and MIF
showed significant correspondence in the steady state expression of their
respective transcript levels. Post-hoc Enrichment analysis revealed Toll-like
receptor (TLR) mediated pathways were the top-scored target pathways that were
affected by AMA. CONCLUSIONS: Administration of a CAMP causes shift in the
balance of immune-inflammatory responses involving downstream pathways of TLRs in
cytotrophoblast function. Further verification of functions of placental
trophoblasts on administration of CAMP with pregnancy outcome is necessary.
PMID- 26546157
TI - The Swedish fracture register: 103,000 fractures registered.
AB - BACKGROUND: Although fractures consume large social and financial resources,
little is known about their actual numbers, treatment methods or outcomes. The
scarcity of data calls for a high-quality, population-based register. No previous
registers have prospectively collected data and patient-reported outcome measures
(PROMs) on fractures of all types. The Swedish Fracture Register was recently
created to fill this gap in knowledge. Its purpose is to provide information on
fractures of all types, whether treated by surgery or otherwise. The aim of this
article is to describe how the register was developed and its current use.
DESCRIPTION: The Swedish Fracture Register was developed during a 4-year period,
2007-2010. Data collection started in 2011. The register currently collects data
on all extremity, pelvic and spine fractures in adults who have been diagnosed or
treated at the affiliated departments. Data entry is fully web based, including
date, cause of injury, classification and treatment. It is performed by the
attending physician. Patients fill out PROMs - EQ-5D-3L and the Short
Musculoskeletal Function Assessment (SMFA) - relating to health status and level
of functioning before the fracture and one year later. Surgeon-reported outcome
measures are registered as reoperation rates. The Swedish Fracture Register is
now functioning effectively and is used in clinical routine. From January 2011 to
September 2015, more than 103,000 fractures have been entered at 26 Swedish
orthopedic departments. CONCLUSIONS: The Swedish Fracture Register is already a
well-functioning, population-based fracture register that covers fractures of all
types, regardless of treatment, and collects both surgeon- and patient-reported
outcome measures. In the future the Swedish Fracture Register will be able to
present both results of fracture treatment and valuable epidemiological data.
PMID- 26546158
TI - Genome-wide studies identify a novel interplay between AML1 and AML1/ETO in
t(8;21) acute myeloid leukemia.
AB - The AML1/ETO fusion protein is essential to the development of t(8;21) acute
myeloid leukemia (AML) and is well recognized for its dominant-negative effect on
the coexisting wild-type protein AML1. However, the genome-wide interplay between
AML1/ETO and wild-type AML1 remains elusive in the leukemogenesis of t(8;21) AML.
Through chromatin immunoprecipitation sequencing and computational analysis,
followed by a series of experimental validations, we report here that wild-type
AML1 is able to orchestrate the expression of AML1/ETO targets regardless of
being activated or repressed; this is achieved via forming a complex with
AML1/ETO and via recruiting the cofactor AP-1 on chromatin. On chromatin
occupancy, AML1/ETO and wild-type AML1 largely overlap and preferentially bind to
adjacent and distinct short and long AML1 motifs on the colocalized regions,
respectively. On physical interaction, AML1/ETO can form a complex with wild-type
AML1 on chromatin, and the runt homology domain of both proteins are responsible
for their interactions. More importantly, the relative binding signals of AML1
and AML1/ETO on chromatin determine which genes are repressed or activated by
AML1/ETO. Further analysis of coregulators indicates that AML1/ETO transactivates
gene expression through recruiting AP-1 to the AML1/ETO-AML1 complex. These
findings enrich our knowledge of understanding the significance of the interplay
between the wild-type protein and the oncogenic fusion protein in the development
of leukemia.
PMID- 26546159
TI - Temporomandibular joint ankylosis in a child: an unusual case with delayed
surgical intervention.
AB - BACKGROUND: The Temporomandibular Joint (TMJ) ankylosis in child is rare and yet
the causes still remain unclear. This condition that affects the feeding and
possible airway obstruction do not only worry the parents, but also possesses as
a great challenge to the surgeons. Furthermore, it interferes with the facial
skeletal and dento-alveolar development in the on growing child. CASE
PRESENTATION: In this case report, we presented the management of a 7 year old
with left TMJ ankylosis discovered since infant. Clinical and imaging
investigations were consistent with left temporomandibular joint ankylosis (Type
IV) possible secondary to childhood septic arthritis. Left gap arthroplasty via
modified Al Kayat Bramley and retromandibular approach was performed, with
interpositional arthroplasty placement of temporalis fascia graft. No
complications from the surgery except reduced mouth opening were seen. Possible
contributing factors to this less than satisfactory mouth opening are adressed.
CONCLUSION: We describe here, an unusual childhood temporomandibular joint
ankylosis possible due to septic arthritis with delayed surgical intervention.
The aetiology, classifications, timing and choice of surgical techniques along
with its considerations and complications are discussed. Although there is no
consensus on the surgical treatment of TMJ ankylosis, early mobilisation,
aggressive physiotherapy and close follow-up are advocated by many authors for
successful treatment.
PMID- 26546160
TI - Development of a fluorescence-based multiplex genotyping method for simultaneous
determination of human papillomavirus infections and viral loads.
AB - BACKGROUND: Persistent high-risk human papillomavirus (HPV) infection is
correlated with an increased risk of developing intraepithelial lesion or
malignancy (NILM). The aims of the current study is to establish a method named
BioPerfectus Multiplex Real Time (BMRT) HPV assay for simultaneous typing and
quantifying HPVs, and to evaluate it by comparison with HPV GenoArray test and
PCR-sequencing method, as well as histological status. METHODS: A total of 817
cervical specimens were evaluated by BMRT method and HPV GenoArray test, using
PCR-sequencing method as the reference standard; simultaneously, high-risk HPV-16
and -18 DNA loads were assessed in 443 specimens to investigate the correlation
with infection outcomes. RESULTS: The overall detection coincidence rate between
BMRT assay and HPV GenoArray test is 96.6 % and the Kappa value is 0.760. In
addition, the sensitivity and positive predictive value of BMRT is 98.4 % and
95.7 % compared with the results detected by PCR-sequencing method, respectively.
HPV-16 viral load has a correlation with CINs or worse lesions. By comparing with
infected women presenting NILM /cervicitis, the cutoff value for HPV-16 from
patients with CINs was 0.827. With this cutoff value, 74.6 % sensitivity and 72.5
% specificity for prediction of HPV-16 infected patients with CINI and higher CIN
were achieved. High significance was obtained when comparing the infected women
presenting NILM/cervicitis with women either with CIN and cervical carcinomas (p
< 0.001). CONCLUSIONS: The BMRT assay seemed to be a good alternative approach
for HR-HPV testing, due to its high level of automation and ability to quantify
HPV-16, HPV-18 and other HR-HPVs.
PMID- 26546162
TI - Acupuncture in physiotherapy: a contemporary UK perspective.
PMID- 26546161
TI - The influence of intestinal parasites on Plasmodium vivax-specific antibody
responses to MSP-119 and AMA-1 in rural populations of the Brazilian Amazon.
AB - BACKGROUND: Polyparasitism is a common condition in humans but its impact on the
host immune system and clinical diseases is still poorly understood. There are
few studies of the prevalence and the effect of malaria-intestinal parasite co
infections in the immune response to malaria vaccine candidates. The present
study determines whether the presence of malaria and intestinal parasites co
infection is associated with impaired IgG responses to Plasmodium vivax AMA-1 and
MSP-119 in a rural population of the Brazilian Amazon. METHODS: A cross-sectional
survey was performed in a rural area of Rondonia State and 279 individuals were
included in the present study. At recruitment, whole blood was collected and
Plasmodium and intestinal parasites were detected by microscopy and molecular
tests. Blood cell count and haemoglobin were also tested and antibody response
specific to P. vivax AMA-1 and MSP-119 was measured in plasma by ELISA. The
participants were grouped according to their infection status: singly infected
with Plasmodium (M); co-infected with Plasmodium and intestinal parasites (CI);
singly infected with intestinal parasites (IP) and negative (N) for both malaria
and intestinal parasites. RESULTS: The prevalence of intestinal parasites was
significantly higher in individuals with malaria and protozoan infections were
more prevalent. IgG antibodies to PvAMA-1 and/or PvMSP-119 were detected in 74 %
of the population. The prevalence of specific IgG was similar for both proteins
in all four groups and among the groups the lowest prevalence was in IP group.
The cytophilic sub-classes IgG1 and IgG3 were predominant in all groups for PvAMA
1 and IgG1, IgG3 and IgG4 for PvMSP-119. In the case of non-cytophilic antibodies
to PvAMA-1, IgG2 was significantly higher in IP and N group when compared to M
and CI while IgG4 was higher in IP group. CONCLUSIONS: The presence of intestinal
parasites, mainly protozoans, in malaria co-infected individuals does not seem to
alter the antibody immune responses to P. vivax AMA-1 and MSP-119. However, IgG
response to both AMA1 and MSP1 were lower in individuals with intestinal
parasites.
PMID- 26546163
TI - Dry needling versus acupuncture: the ongoing debate.
AB - Although Western medical acupuncture (WMA) is commonly practised in the UK, a
particular approach called dry needling (DN) is becoming increasingly popular in
other countries. The legitimacy of the use of DN by conventional non-physician
healthcare professionals is questioned by acupuncturists. This article describes
the ongoing debate over the practice of DN between physical therapists and
acupuncturists, with a particular emphasis on the USA. DN and acupuncture share
many similarities but may differ in certain aspects. Currently, little
information is available from the literature regarding the relationship between
the two needling techniques. Through reviewing their origins, theory, and
practice, we found that DN and acupuncture overlap in terms of needling technique
with solid filiform needles as well as some fundamental theories. Both WMA and DN
are based on modern biomedical understandings of the human body, although DN
arguably represents only one subcategory of WMA. The increasing volume of
research into needling therapy explains its growing popularity in the
musculoskeletal field including sports medicine. To resolve the debate over DN
practice, we call for the establishment of a regulatory body to accredit DN
courses and a formal, comprehensive educational component and training for
healthcare professionals who are not physicians or acupuncturists. Because of the
close relationship between DN and acupuncture, collaboration rather than dispute
between acupuncturists and other healthcare professionals should be encouraged
with respect to education, research, and practice for the benefit of patients
with musculoskeletal conditions who require needling therapy.
PMID- 26546164
TI - Elevated carbon dioxide increases soil nitrogen and phosphorus availability in a
phosphorus-limited Eucalyptus woodland.
AB - Free-air CO2 enrichment (FACE) experiments have demonstrated increased plant
productivity in response to elevated (e)CO2, with the magnitude of responses
related to soil nutrient status. Whilst understanding nutrient constraints on
productivity responses to eCO2 is crucial for predicting carbon uptake and
storage, very little is known about how eCO2 affects nutrient cycling in
phosphorus (P)-limited ecosystems. Our study investigates eCO2 effects on soil N
and P dynamics at the EucFACE experiment in Western Sydney over an 18-month
period. Three ambient and three eCO2 (+150 ppm) FACE rings were installed in a P
limited, mature Cumberland Plain Eucalyptus woodland. Levels of plant accessible
nutrients, evaluated using ion exchange resins, were increased under eCO2,
compared to ambient, for nitrate (+93%), ammonium (+12%) and phosphate (+54%).
There was a strong seasonality to responses, particularly for phosphate,
resulting in a relatively greater stimulation in available P, compared to N,
under eCO2 in spring and summer. eCO2 was also associated with faster nutrient
turnover rates in the first six months of the experiment, with higher N (+175%)
and P (+211%) mineralization rates compared to ambient rings, although this
difference did not persist. Seasonally dependant effects of eCO2 were seen for
concentrations of dissolved organic carbon in soil solution (+31%), and there was
also a reduction in bulk soil pH (-0.18 units) observed under eCO2. These results
demonstrate that CO2 fertilization increases nutrient availability - particularly
for phosphate - in P-limited soils, likely via increased plant belowground
investment in labile carbon and associated enhancement of microbial turnover of
organic matter and mobilization of chemically bound P. Early evidence suggests
that there is the potential for the observed increases in P availability to
support increased ecosystem C-accumulation under future predicted CO2
concentrations.
PMID- 26546166
TI - Genetics meets epigenetics: Genetic variants that modulate noncoding RNA in
cardiovascular diseases.
AB - After the recent description of the human genome by the ENCODE and the FANTOM
consortia, major attention has been addressed to the so-called "genomic noise",
which mainly consists of noncoding RNAs (ncRNAs). Among them, microRNAs and long
non-coding RNAs have been demonstrated to modulate gene expression and to be
involved in several human diseases. Since ncRNAs and their targets are encoded in
the genome, genetic principles apply. Common variants are supposed to influence
the expression level and the functionality of ncRNAs, with subsequent
differential regulation of their target genes. Moreover, several reports showed
that polymorphisms in ncRNA or their target genes play a role in the development
of cardiovascular adverse phenotype. Here, we provide an overview of the effects
of these variations in cardiovascular diseases.
PMID- 26546165
TI - Regulation of autophagy by Beclin 1 in the heart.
AB - Dysregulation of autophagy in cardiomyocytes is implicated in various heart
disease conditions. Beclin 1, a mammalian ortholog of yeast Atg6 and a core
component of the autophagy machinery, plays a central role in the regulation of
autophagy through activation of Vps34. Beclin 1's ability to activate Vps34 is
tightly regulated via transcriptional regulation, miRNA, post-translational
modification, and interaction with Beclin 1 binding proteins. Of these
mechanisms, binding of Beclin 1 with Bcl-2 family proteins (Bcl-2/XL) that
negatively regulate autophagy activity has been shown to be both positively and
negatively regulated by various kinases, including DAPK, ROCK1, Mst1 and JNK1, in
response to external stimuli. Beclin 1's interaction with Bcl-2/XL also
secondarily affects apoptosis through regulation of pro-apoptotic BH3 domain
containing proteins. Thus, modulation of Beclin 1 significantly influences both
autophagy and apoptosis, thereby deeply affecting the survival and death of
cardiomyocytes in the heart. In this review, we discuss the signaling mechanism
of autophagy modulation through Beclin 1 and therapeutic potential of Beclin 1 in
heart diseases.
PMID- 26546167
TI - [Comparability study of analytical results between a group of clinical
laboratories].
AB - OBJECTIVE: To describe the study of the comparability of the measurements levels
of biological tests processed in biochemistry in Catlab's 4 laboratories.
MATERIAL AND METHODS: Quality requirements, coefficients of variation and total
error (CV% and TE %) were established. Controls were verified with the precision
requirements (CV%) in each test and each individual laboratory analyser. Fresh
serum samples were used for the comparability study. The differences were
analysed using a Microsoft Access(r) application that produces modified Bland
Altman plots. RESULTS: The comparison of 32 biological parameters that are
performed in more than one laboratory and/or analyser generated 306 Bland-Altman
graphs. Of these, 101 (33.1%) fell within the accepted range of values based on
biological variability, and 205 (66.9%) required revision. Data were re-analysed
based on consensus minimum specifications for analytical quality (consensus of
the Asociacion Espanola de Farmaceuticos Analistas (AEFA), the Sociedad Espanola
de Bioquimica Clinica y Patologia Molecular (SEQC), the Asociacion Espanola de
Biopatologia Medica (AEBM) and the Sociedad Espanola de Hematologia y Hemoterapia
(SEHH), October 2013). With the new specifications, 170 comparisons (56%) fitted
the requirements and 136 (44%) required additional review. Taking into account
the number of points that exceeded the requirement, random errors, range of
results in which discrepancies were detected, and range of clinical decision, it
was shown that the 44% that required review were acceptable, and the 32 tests
were comparable in all laboratories and analysers. CONCLUSIONS: The analysis of
the results showed that the consensus requirements of the 4 scientific societies
were met. However, each laboratory should aim to meet stricter criteria for total
error.
PMID- 26546168
TI - [Validation of an in-house method for the determination of zinc in serum: Meeting
the requirements of ISO 17025].
AB - OBJECTIVE: The aim of this report is to propose a scheme for validation of an
analytical technique according to ISO 17025. MATERIAL AND METHODS: According to
ISO 17025, the fundamental parameters tested were: selectivity, calibration
model, precision, accuracy, uncertainty of measurement, and analytical
interference. RESULTS: A protocol has been developed that has been applied
successfully to quantify zinc in serum by atomic absorption spectrometry.
CONCLUSION: It is demonstrated that our method is selective, linear, accurate,
and precise, making it suitable for use in routine diagnostics.
PMID- 26546169
TI - [Impact of Lean methodology to improve care processes and levels of satisfaction
in patient care in a clinical laboratory].
AB - INTRODUCTION: The application of the Lean methodology in health institutions is
an effective tool to improve the capacity and workflow, as well as to increase
the level of satisfaction of patients and employees. OBJECTIVE: To optimise the
time of outpatient care in a clinical laboratory, by implementing a methodology
based on the organisation of operational procedures to improve user satisfaction
and reduce the number of complaints for delays in care. MATERIAL AND METHODS: A
quasi-experimental before and after study was conducted between October 2011 to
September 2012. XBar and S charts were used to observe the mean service times and
standard deviation. The user satisfaction was assessed using service
questionnaires. RESULTS: A reduction of 17 minutes was observed in the time of
patient care from arrival to leaving the laboratory, and a decrease of 60% in
complaints of delay in care. Despite the high staff turnover and 38% increase in
the number of patients seen, a culture of empowerment and continuous improvement
was acquired, as well as greater efficiency and productivity in the care process,
which was reflected by maintaining standards 12 months after implementation.
CONCLUSION: Lean is a viable methodology for clinical laboratory procedures,
improving their efficiency and effectiveness.
PMID- 26546170
TI - [Influence of an observer in the haemolysis produced during the extraction of
blood samples in primary care].
AB - OBJECTIVE: To check whether an intervention based on direct observation and
complementary information to nurses helps reduce haemolysis when drawing blood
specimens. MATERIAL AND METHODS: Random sampling study in primary care centres in
the serrania de Malaga health management area, using a cross-sectional,
longitudinal pre- and post-intervention design. The study period was from August
2012 to January 2015. The level of free haemoglobin was measured by direct
spectrophotometry in the specimens extracted. It was then checked whether the
intervention influenced the level of haemolysis, and if this was maintained over
time. RESULTS: The mean haemolysis measured pre-intervention was 17%, and after
intervention it was 6.1%. A year later and under the same conditions, the
frequency of haemolysis was measured again the samples analysed, and the
percentage was 9% These results are low when compared to the level obtained pre
intervention, but are higher when compared to the levels obtained immediately
after the intervention. The transport and analysis conditions were the same.
CONCLUSIONS: An intervention based on a direct and informative observation in the
process of collecting blood samples contributes significantly to reduce the level
of haemolysis. This effect is maintained in time. This intervention needs to be
repeated to maintain its effectiveness. Audits and continuing education programs
are useful for quality assurance procedures, and maintain the level of care
needed for a good quality of care.
PMID- 26546171
TI - Does percutaneous dilatational tracheostomy increase the incidence of sternal
wound infection - a single center retrospective of 4100 cases.
AB - BACKGROUND: The impact of percutaneous dilatational tracheostomy (PDT) on the
development of post-median sternotomy wound infection (SWI) and mediastinitis is
still controversial. We aimed to investigate the frequency of cross-infection and
incidence of SWI after PDT. METHODS: In a retrospective design, out of a total of
4100 procedures, all patients who had undergone median sternotomy and
postoperative PDT were included from January 2010 to May 2013. For comparison of
the pathogens isolated from SWIs, data from all patients who developed an SWI
without a PDT during the aforementioned period were also analyzed. Demographical,
pre-, peri- and post-operative data were compared. Microbiologic analysis from
cultures of sternal and tracheal wounds was performed. Day and duration of
tracheostomy were correlated to SWI occurrence. RESULTS: Of the 265 patients who
underwent a PDT, 25 (9.4 %) developed an SWI. In this cohort, identical pathogens
were isolated from the tracheostomy and SWI in 36 % (9/25) of the patients. Of
the pathogens isolated from the SWIs from the PDT + SWI group, 60 % were gram
positive bacteria, 20 % gram-negative bacteria and 20 % Candida spp. In the cross
infection group, the patients developed the following types of SWIs: 11.1 % CDC
I, 55.6 % CDC II and 33.3 % mediastinitis (CDC III). The incidence of SWI in the
group SWI + PDT was 9.4 % (9.4 % vs. 3.4 %, PDT + SWI and SWI w/oPDT ,
respectively, p = 0.0001). In group SWI w/oPDT , only 1.5 % (2/131 vs. 5/25; p =
0.001) Candida spp were isolated from SWI. The infection-related in-hospital
mortality was high in groups PDT + SWI vs. SWI w/oPDT (20 % vs. 0 %,
respectively; p = 0.0001). The statistical analysis did not demonstrate any
correlation between time of performing PDT and occurrence of SWI. CONCLUSIONS:
There was a high incidence of microbial cross-infection from the PDTs to the
sternal wounds in our study. We did not detect any correlation between the time
of performing PDT and occurrence of SWI. According to our data, PDT seems to
increase the incidence of SWI, especially caused by Candida spp., after cardiac
surgery, which results in a prolonged hospital stay. Therefore, early antifungal
prophylaxis after a PDT might be reasonable in high-risk patients on long-term
mechanical ventilation if there is an impending SWI.
PMID- 26546172
TI - Physicians' use of pain scale and treatment procedures among children and youth
in emergency primary care - a cross sectional study.
AB - BACKGROUND: Pain is a common symptom in children and youth attending casualty
centres and emergency departments. The aim of this study was to acquire more
knowledge about how pain in children is measured and handled by emergency primary
care physicians. METHODS: A structured questionnaire study was performed among 75
emergency primary care physicians in a Norwegian accident and emergency
department (AED). We used descriptive statistics to analyse the use of a pain
scale, the use of weight and age when dosing pain medication, the need for more
knowledge and the need for pain management procedures in children. The Pearson
chi-square test was used to analyse differences between groups. RESULTS: A pain
scale with a visual analogue scale (VAS) had been used by 59 % of physicians in
young patients aged 9 to 19 years, by 23 % in children aged 3 to 8 years, and by
3 % in children below 3 years. A total of 63 % of physicians reported that they
used the child's weight instead of the age interval when estimating the needed
dose of painkillers. They relied on parents' weight estimation and seldom
measured the child's weight at attendance. Most emergency medical care physicians
reported a need for more knowledge and better procedures related to both pain
evaluation and pain treatment in children and youth. The physicians included in
the study were demographically representative of AED physicians in Norway
(average age 37 years old, 55 % men, 76 % had studied medicine in Norway and 49 %
had fewer than 5 years of medical experience). CONCLUSIONS: Emergency primary
care physicians report a need for pain assessment procedures in children and
youth. They sometimes use a pain scale when measuring and managing pain in
patients aged 9 to 19 years, but seldom in younger patients.
PMID- 26546173
TI - [Neglecting continuity means "laught now and cry later"].
PMID- 26546174
TI - [Nocturnal enuresis in children from 5 to 10 years of a personalized health care
unit of Portugal's north zone].
PMID- 26546175
TI - Chronic hepatitis C: Burden of disease and cost associated with hospitalisations
in France in 2012 (The HEPC-LONE study).
AB - BACKGROUND AND OBJECTIVE: This retrospective hospital database analysis aimed to
determine the burden and cost of hospitalisations related to chronic hepatitis C
(CHC) infections in France in 2012. METHODS: All hospital stays with CHC (ICD-10
code B18.2) coded as the principal, related or significantly associated diagnosis
were extracted from the French National Hospital database 2012 (PMSI).
Hospitalisations not directly related to CHC were excluded. Patients were
assigned to a liver disease stage, namely non-cirrhotic liver disease,
compensated cirrhosis, decompensated cirrhosis, hepatocellular carcinoma or post
liver transplantation. Costing was performed using French national tariffs and
expressed in 2013 Euros. We documented 22,056 hospital stays involving 12,040
patients who were considered to be directly related to CHC. Of these stays,
11,779 (53.4%) were documented in patients with severe complications
(decompensated cirrhosis, hepatocellular carcinoma or liver transplantation).
RESULTS AND CONCLUSIONS: The mean number and duration of hospital stays increased
with disease severity. Overall, 1181 patients (9.8%) died during hospitalisation.
The total cost of hospital stays for CHC was estimated to be ? 61 million, of
which 26.4% were attributable to hepatocellular carcinoma, 32.5% to post-liver
transplantation and 21.0% to decompensated cirrhosis. Compared with a previous
analysis in 2009, the number of patients hospitalised fell by 22%, although the
patients hospitalised were overall more severely ill. The total cost of
hospitalisation decreased by 8%, with a notably marked reduction in the number of
biopsies performed (32%). This study illustrates the persistently high burden of
CHC infections in France.
PMID- 26546176
TI - Association of IL-17A and IL-17F gene polymorphisms with chronic hepatitis B and
hepatitis B virus-related liver cirrhosis in a Chinese population: A case-control
study.
AB - BACKGROUND: Interleukin (IL)-17 has been shown to play an important role in
tissue inflammation and in the pathogenesis of immune-related liver damage.
Genetic variations in IL-17 gene may be associated with the development of
hepatitis B virus (HBV) infection. However, literature is scanty regarding their
association. METHODS: We conducted a case-control study including 433 subjects
(171 healthy controls, 130 patients with chronic hepatitis B [CHB]; and 132
patients with HBV-related liver cirrhosis [HBV-LC] to assess the association
between IL-17A rs4711998, IL-17A rs2275913 and IL-17F rs763780 polymorphisms and
risk of CHB and HBV-LC. Genotypes were determined by polymerase chain reaction
restriction fragment length polymorphism and DNA sequencing. RESULTS: Our results
revealed a statistically significant association between IL-17A rs4711998 G
allele and increased risk of HBV-LC risk (OR=1.541, 95% CI 1.057-2.246, P=0.025).
Subjects carrying the IL-17A rs4711998 AG genotype were 1.75 times more likely to
develop HBV-LC (OR=1.757, 95% CI 1.096-2.817, P=0.026). Stratification analysis
indicated that IL-17A rs4711998 G allele and AG genotype enhanced the risk of HBV
LC development among men and older age (>=50years) subject groups. In addition,
we found that GCT haplotype also might be a risk factor for HBV-LC (OR=2.448, 95%
CI 1.137-5.271, P=0.019). Furthermore, no significant association between IL-17A
rs2275913 and IL-17F rs763780 polymorphisms and CHB, HBV-LC risk was observed
(P>0.05). CONCLUSION: Our data provide the first evidence that the IL-17A
rs4711998 genetic variant may contribute to HBV-LC susceptibility in a Chinese
population.
PMID- 26546177
TI - Hepatic epithelioid hemangioendothelioma.
PMID- 26546178
TI - Use of Digital Whole Slide Imaging in Dermatopathology.
AB - Digital whole slide imaging (WSI) is an emerging technology for pathology
interpretation, with specific challenges for dermatopathology, yet little is
known about pathologists' practice patterns or perceptions regarding WSI for
interpretation of melanocytic lesions. A national sample of pathologists (N =
207) was recruited from 864 invited pathologists from ten US states (CA, CT, HI,
IA, KY, LA, NJ, NM, UT, and WA). Pathologists who had interpreted melanocytic
lesions in the past year were surveyed in this cross-sectional study. The survey
included questions on pathologists' experience, WSI practice patterns and
perceptions using a 6-point Likert scale. Agreement was summarized with
descriptive statistics to characterize pathologists' use and perceptions of WSI.
The majority of participating pathologists were between 40 and 59 years of age
(62%) and not affiliated with an academic medical center (71%). Use of WSI was
seen more often among dermatopathologists and participants affiliated with an
academic medical center. Experience with WSI was reported by 41%, with the most
common type of use being for education and testing (CME, board exams, and
teaching in general, 71%), and clinical use at tumor boards and conferences
(44%). Most respondents (77%) agreed that accurate diagnoses can be made with
this technology, and 59% agreed that benefits of WSI outweigh concerns. However,
78% of pathologists reported that digital slides are too slow for routine
clinical interpretation. The respondents were equally split as to whether they
would like to adopt WSI (49%) or not (51%). The majority of pathologists who
interpret melanocytic lesions do not use WSI, but among pathologists who do, use
is largely for CME, licensure/board exams, and teaching. Positive perceptions
regarding WSI slightly outweigh negative perceptions. Understanding practice
patterns with WSI as dissemination advances may facilitate concordance of
perceptions with adoption of the technology.
PMID- 26546179
TI - Sequential Registration-Based Segmentation of the Prostate Gland in MR Image
Volumes.
AB - Accurate and fast segmentation and volume estimation of the prostate gland in
magnetic resonance (MR) images are necessary steps in the diagnosis, treatment,
and monitoring of prostate cancer. This paper presents an algorithm for the
prostate gland volume estimation based on the semi-automated segmentation of
individual slices in T2-weighted MR image sequences. The proposed sequential
registration-based segmentation (SRS) algorithm, which was inspired by the
clinical workflow during medical image contouring, relies on inter-slice image
registration and user interaction/correction to segment the prostate gland
without the use of an anatomical atlas. It automatically generates contours for
each slice using a registration algorithm, provided that the user edits and
approves the marking in some previous slices. We conducted comprehensive
experiments to measure the performance of the proposed algorithm using three
registration methods (i.e., rigid, affine, and nonrigid). Five radiation
oncologists participated in the study where they contoured the prostate MR (T2
weighted) images of 15 patients both manually and using the SRS algorithm.
Compared to the manual segmentation, on average, the SRS algorithm reduced the
contouring time by 62% (a speedup factor of 2.64*) while maintaining the
segmentation accuracy at the same level as the intra-user agreement level (i.e.,
Dice similarity coefficient of 91 versus 90%). The proposed algorithm exploits
the inter-slice similarity of volumetric MR image series to achieve highly
accurate results while significantly reducing the contouring time.
PMID- 26546180
TI - Analysis of Risk Factors for Intraoperative Conversion of Laparoscopic
Myomectomy.
AB - OBJECTIVES: To report the surgical outcomes of laparoscopic myomectomy (LM) and
abdominal myomectomy (AM) at a high-volume tertiary care hospital, to evaluate
the risk of conversion during LM, and to analyze the associated risk factors.
DESIGN: Retrospective cohort study (Canadian Task Force classification II).
PATIENTS: All patients who underwent LM and AM in a tertiary academic center in
Boston, Massachusetts between 2009 and 2012. INTERVENTION: Medical records were
reviewed for baseline characteristics and perioperative outcomes. Robot-assisted
laparoscopy was considered a subtype of LM. RESULTS: A total of 966 patients
underwent myomectomy during the study period, including 731 LM cases (75.67%) and
235 AM cases (24.33%). Compared with patients undergoing LM, those undergoing AM
had more myomas removed and heavier specimens (mean number of myomas, 12.60 vs
3.54, p <= .001; mean weight, 592.75 g vs 263.4 g, p <= .001). Conversion was
necessary in 8 LM cases (1.09%). All conversions were reactive in nature and were
associated with greater blood loss (mean, 1381.25 vs 167.95 mL; p <= .001) and
longer hospital stay (mean, 3.13 vs 0.55 days; p <= .001) compared with cases
without conversion. Factors associated with conversion included both the number
and the weight of myomas removed (mean number, 9.75 vs 3.48, p = .003; mean
weight, 667.9 vs 259.25 g, p = .015), especially with myomas weighing >500 g
(odds ratio = 8.551; p = .005). CONCLUSION: The risk of conversion for LM was low
(1.09%) in this cohort, and was associated both with the number and the weight of
myomas removed. LM is a feasible approach for surgical management of myomas in
the majority of cases; however, when myomas are expected to weigh >500 g, it may
be prudent to consider referring those cases to specialized centers with highly
experienced teams.
PMID- 26546181
TI - Comparison of Two Techniques of Laparoscopy-Assisted Peritoneal Vaginoplasty.
AB - Neovagina creation is essential for patients with the Mayer-Rokitansky-Kuster
Hauser syndrome. We compared a technique involved the pushing down of the
peritoneum with the technique of separating the peritoneum for laparoscopy
assisted peritoneal vaginoplasty. We collected patients with congenital absence
of vagina who underwent laparoscopy-assisted peritoneal vaginoplasty of the First
Affiliated Hospital of Zhengzhou University between January 2011 and May 2013.
The 2 surgical groups (pushing group and separating group) were compared for
various parameters. The values of the following parameters were significantly
lower for the pushing group compared with the separating group: mean operating
time (78 +/- 13 minutes vs 135 +/- 28 minutes), mean duration of hospitalization
(12.9 +/- 2.7 days vs 18.0 +/- 3.8 days), mean cost of hospitalization (14 016 +/
1640 RMB vs 18 783 +/- 2143 RMB), requirement for a drainage tube (4% vs 27%;
chi(2) = 8.864), requirement for analgesic drugs (20% vs 40%; chi(2) = 3.977),
and postoperative rehospitalization (3.3% vs 10.0% at 2 months and 6.7% vs 26.7%
at 6 months; chi(2) = 4.268 and 5.196). Mean values for blood loss (57 +/- 19 mL
vs 66 +/- 20 mL), time to pass gas (21 +/- 4 hours vs 23 +/- 7 hours), and length
of the reconstructed vagina (9.0 +/- 0.4 cm vs 8.9 +/- 0.5 cm) were not
significantly different between the 2 groups. In addition, mean postoperative
Female Sexual Function Index score did not differ significantly between the 2
groups or among the 2 groups and a control group (27.0 +/- 4.8 vs 26.7 +/- 5.2 vs
27.9 +/- 4.5; p > .05). The technique involving pushing down of the peritoneum
offers advantages of reduced cost, complications, hospitalization, operative
time, and pain over the traditional technique. Sexuality approaches so-called
"normal" sexuality.
PMID- 26546182
TI - Transduodenal Ampullectomy for the Treatment of Early-Stage Ampulla of Vater
Cancer.
AB - BACKGROUND: Transduodenal ampullectomy (TDA) is a less invasive procedure than
pancreaticoduodenectomy (PD). However, the outcomes of TDA and PD have been
compared rarely in early ampullary cancer. METHODS: From September 1994 to June
2013, the patients who underwent curative surgery for Tis or T1 ampulla of Vater
neoplasm were identified. The patients were divided into two groups according to
the types of surgery; TDA group and PD group. The patient characteristics and
survival outcomes were retrospectively investigated between the two groups.
RESULTS: Total 137 patients were included in this study. The 18 patients
underwent TDA and 119 patients underwent PD for Tis or T1 ampullary cancer. There
was no lymph node metastasis in the patients with Tis tumor although 10 of 104
patients had lymph node metastasis in T1 cancer. After a median follow-up of 50
months (range, 6-148), there were no recurrence after TDA for Tis tumor. However,
the TDA was associated with higher local recurrence rate than PD in the patients
with T1 ampullary cancer on Kaplan-Meier survival analysis (p = 0.007).
CONCLUSION: The TDA is feasible treatment for Tis ampulla of Vater neoplasm.
However, TDA is unsuitable for the treatment of T1 ampulla of Vater cancer.
PMID- 26546183
TI - Upregulation of Neutrophil Gelatinase-Associated Lipocalin in Colorectal Cancer
Predicts Poor Patient Survival: Reply.
PMID- 26546185
TI - The Role of International Volunteers in the Growth of Surgical Capacity in Post
earthquake Haiti.
AB - BACKGROUND: The 2010 Haiti earthquake severely strained local healthcare
infrastructure. In the wake of this healthcare crisis, international
organizations provided volunteer support. Studies demonstrate that this support
improved short-term recovery; however, it is unclear how long-term surgical
capacity has changed and what role volunteer surgical relief efforts have played.
Our goal was to investigate the role of international surgical volunteers in the
increase of surgical capacity following the 2010 Haiti earthquake. METHODS: We
retrospectively analyzed the operative reports of 3208 patients at a general,
trauma and critical care hospital in Port-au-Prince from June 2010 through
December 2013. We collected data on patient demographics and operation
subspecialty. Surgeons and anesthesiologists were categorized by subspecialty
training and as local healthcare providers or international volunteers. We
performed analysis of variance to detect changes in surgical capacity over time
and to estimate the role volunteers play in these changes. RESULTS: Overall
number of monthly operations increased over the 2.5 years post-earthquake. The
percentage of orthopedic operations declined while the percentage of other
subspecialty operations increased (p = 0.0003). The percentage of operations
performed by international volunteer surgeons did not change (p = 0.51); however,
the percentage of operations staffed by volunteer anesthesiologists declined (p =
0.058). The percentage of operations performed by matching specialty- and
subspecialty-trained international volunteers has not changed (p = 0.54).
CONCLUSIONS: Haitian post-earthquake local and overall surgical capacity has
steadily increased, particularly for provision of subspecialty operations.
Surgical volunteers have played a consistent role in the recovery of surgical
capacity. An increased focus on access to surgical services and resource
allocation for long-term surgical efforts particularly in the realm of
subspecialty surgery may lead to full recovery of surgical capacity after a large
and devastating natural disaster.
PMID- 26546184
TI - Incidence of Perioperative Complications Following Resection of Adrenocortical
Carcinoma and Its Association with Long-Term Survival.
AB - BACKGROUND: The association of postoperative complications with long-term
oncologic outcomes remains unclear. We sought to determine the incidence of
complications among patients who underwent surgery for adrenocortical carcinoma
(ACC) and define the relationship of morbidity with long-term survival. METHODS:
Patients who underwent surgery for ACC between 1993 and 2014 were identified from
13 academic institutions participating in the US ACC group study. The incidence
and type of the postoperative complications, the factors associated with them as
well their association with long-term survival were analyzed. RESULTS: A total of
265 patients with median age of 52 years (IQR 44-63) were identified; at surgery,
the majority of patients underwent an open abdominal procedure (n = 169, 66.8%).
A postoperative complication occurred in 99 patients for a morbidity of 37.4%;
five patients (1.9%) died in hospital. Factors associated with morbidity included
a thoraco-abdominal operative approach (reference: open abdominal; OR 2.85, 95%
CI 1.00-8.18), and a hormonally functional tumor (OR 3.56, 95% CI 1.65-7.69) (all
P < 0.05). Presence of any complication was associated with a worse long-term
outcome (median survival: no complication, 58.9 months vs. any complication, 25.1
months; P = 0.009). In multivariate analysis, after adjusting for patient- and
disease-related factors postoperative infectious complications independently
predicted shorter overall survival (hazard ratio (HR) 5.56, 95% CI 2.24-13.80; P
< 0.001). CONCLUSION: Postoperative complications were independently associated
with decreased long-term survival after resection for ACC. The prevention of
complications may be important from an oncologic perspective.
PMID- 26546186
TI - Associations with Perioperative Mortality Rate at a Major Referral Hospital in
Rwanda.
AB - BACKGROUND: Little is known about perioperative mortality in sub-Saharan Africa.
The perioperative mortality rate (POMR) and associated factors at a major
referral hospital in Rwanda were measured. METHODS: The operative activity at
University Teaching Hospital of Kigali was evaluated through an operative
database. As a part of this larger study, patient characteristics and outcomes
were measured to determine areas for improvement in patient care. Data were
collected on patient demographics, surgeon, diagnosis, and operation over a 12
month period. The primary outcome was POMR. Secondary outcomes were timing and
hospital location of death. RESULTS: The POMR was 6 %. POMR in patients under 5
years of age was 10 %, 3 % in patients 5-14 years and 6 % in patients age >14
years. For emergency and elective operations, POMR was 9 and 2 %, respectively.
POMR was associated with emergency status, congenital anomalies, repeat
operations, referral outside Kigali, and female gender. Orthopedic procedures and
age 5-14 years were associated with decreased odds of mortality. Forty-nine
percent of deaths occurred in the post-operative recovery room and 35 % of deaths
occurred within the first post-operative day. CONCLUSIONS: The POMR at a large
referral hospital in Rwanda is <10 % demonstrating that surgery can save lives
even in resource-limited settings. Emergency operations are associated with
higher mortality, which could potentially be improved with faster identification
and transfer from district hospitals. Nearly half of deaths occurred in the post
operative recovery room. Multidisciplinary audits of operative mortalities could
help guide improvements in surgical care.
PMID- 26546187
TI - Self-Gripping Meshes for Lichtenstein Repair. Do We Need Additional Suture
Fixation?
AB - BACKGROUND: The Lichtenstein repair is a frequently used treatment of inguinal
hernias. In recent years, there has been an increasing tendency to apply self
gripping meshes (s.g). In many cases, additional suture of the mesh is carried
out; however, it is uncertain what the benefits or potential risks of this
actually are. METHODS: The evaluation was undertaken on the basis of the
Herniamed register, and covered all unilateral Lichtenstein operations between
01.09.2009 up to 30.09.2013. The analysis only included patients with whom s.g.
meshes with resorbable micro hooks had been used (Progrip((r)), Covidien) and who
had undergone a full 1-year follow-up examination (80.15 %). RESULTS: In total,
2095 patients were suitable for analysis, of which 816 (38.95 %) cases received
an additional suture fixation (Fix). With increasing hernia size, more frequent
fixation took place (29.97 % of hernias <1.5 cm vs. 46.65 % of hernias >3 cm, p <
0.001). The recurrence rates 1 year after surgery did not show any significant
differences (Fix. 0.86 % vs. No Fix. 1.17 %; p = 0.661) with and without
fixation, even when being adjusted for covariables. Likewise, no differences were
noted in terms of postoperative complications (Fix. 5.15 % vs. No Fix. 5.08 %; p
= 1.0). In addition, the numbers of patients needing to be treated after 1 year
for chronic pain were also comparable (Fix. 2.33 % vs. No Fix. 2.97 %; p =
0.411). CONCLUSION: Within the group that did not have additional suture fixation
of self-gripping meshes (No Fix.), the length of operations was on average 8 min
shorter (p < 0.001). No differences could be observed in terms of postoperative
complications, treatment requiring chronic pain and recurrence rates.
PMID- 26546188
TI - Hepatic Artery Reconstruction with a Continuous Suture Method for Hepato-Biliary
Pancreatic Surgery.
AB - BACKGROUND: In some cases, hepato-biliary-pancreatic (HBP) surgery requires
hepatic artery resection and hepatic artery reconstruction (HAR) for
histologically curative resection. We describe our surgical HAR technique, which
involves continuous suturing, and we report the results of a study of the
surgical outcomes. METHODS: Between 2000 and 2014, 380 patients underwent radical
surgery for advanced HBP malignancies (118 bile duct cancers, 189 pancreatic head
cancers, and 73 gallbladder cancers), 24 of whom (6.3 %) underwent HAR (for
complete cure of 16 bile duct cancers, 5 pancreatic cancers, and 3 gallbladder
cancers). The 24 surgical procedures included 8 hepato-pancreatoduodenectomies,
10 hepatectomies, and 6 pancreatoduodenectomies. The ends of the 2 arteries were
spatulated, and the reconstruction was performed with a continuous 7-0
polypropylene suture under loupe magnification. RESULTS: Eighteen right hepatic
arteries, 4 left hepatic arteries, and 2 proper hepatic arteries were resected
and reconstructed. Median HAR time was 18 min (range 9-31 min). End-to-end
anastomosis was performed in 7 patients, and heterogeneous reconstruction was
performed in 17 patients (with 9 colic arteries, 4 gastroduodenal arteries, and 4
others). Doppler ultrasonography performed upon vessel reconstruction depicted
pulsatile flow within the intrahepatic arteries in all cases, and patency of the
reconstructed vessel was confirmed postoperatively by contrast-enhanced CT in 19
patients (82.6 %). Morbidity occurred in 10 patients (42 %), including 4 HAR
related complications: 3 bile leakages and 1 hepatic abscess. CONCLUSION: Our HAR
method can be performed safely and easily by general surgeons. It may be a time
saving procedure that yields acceptable patency and morbidity rates.
PMID- 26546189
TI - Characteristics of Persistent Hyperparathyroidism After Renal Transplantation.
AB - BACKGROUND: Persistent hyperparathyroidism (HPT) after renal transplantation
(RTx), termed tertiary HPT (THPT), is not uncommon. However, risk factors and
appropriate operative procedures for THPT are poorly understood. METHODS: A
retrospective study of patients who underwent RTx without pre-transplant
parathyroidectomy (PTx) was performed at our hospital between January 2001 and
March 2011. Risk factors for the development of THPT were investigated by
comparing THPT and non-THPT groups. We retrospectively analyzed patients with
THPT who underwent total PTx with forearm autograft. Pre- and postoperative (1
year after PTx) laboratory results were analyzed for PTx efficacy. RESULTS: Data
for 520 patients were analyzed. On multivariate analysis, long dialysis duration
(p = 0.009, hazard ratio (HR) 1.01), large maximum parathyroid gland size before
RTx (p = 0.003, HR 1.23), pre-RTx high intact parathyroid hormone (iPTH) (p =
0.041, HR 1.01), post-RTx (<2 weeks) high calcium (Ca) (p < 0.001, HR 25.04), and
post-RTx high alkaline phosphatase (ALP) (p = 0.027, HR 0.99) were identified as
risk factors for THPT. Patients who underwent PTx showed significant improvement
compared with baseline for serum Ca, phosphorus, iPTH, and ALP. Serum creatinine
showed no significant difference. CONCLUSIONS: Several risk factors for THPT
development were identified. PTx for patients with THPT significantly improved
serum Ca, iPTH, ALP, and phosphorous levels. There was no significant difference
in renal function after PTx. Therefore, total PTx with forearm autograft may be
an appropriate surgical approach for patients with THPT.
PMID- 26546190
TI - Partial Thyroidectomy for Papillary Thyroid Microcarcinoma: Is Completion Total
Thyroidectomy Indicated?
AB - BACKGROUND: Total thyroidectomy is a well-established surgical approach for the
management of papillary thyroid cancer (PTC). However, the best surgical approach
for papillary microcarcinoma is nowadays still debated. Both total thyroidectomy
and simple lobectomy are used. We report the experience of a single University
center in the treatment of thyroid microcarcinoma. METHODS: A retrospective
analysis on all patients who underwent thyroid surgery at our institution over a
24-year period (1991-2015) was performed. Patients were grouped according to
whether they received total thyroidectomy (Group 1) or lobectomy (Group 2).
Follow-up was made by routine clinical and ultrasound examination. Specific
outcomes such as recurrence and need for reoperation as well as complications
(transient vocal cord paralysis and hypocalcemia) were analyzed. RESULTS: During
the study period 880 patients underwent surgery for PTC. Group 1 and 2 consisted,
respectively, of 756 and 124 patients. A micro PTC (<10 mm) was present in 251
and 69 specimen of Group 1 and 2. No evidence of disease recurrence in the follow
up was reported in patients with microPTC in Group 1 and in 57 patients of Group
2. In the remaining 12 patients completion thyroidectomy was carried out due to
ultrasound findings of contralateral nodules (10), lymphadenopathy (1), and
capsular invasion (1). Five of these patients had a contralateral papillary
carcinoma on final histopathologic examination. Thus recurrence rate for patients
of Group 2 was 7.3%. Morbidity rates were, respectively, for Group 1 and 2:
transient nerve palsy 81 and 5 (11 vs. 7.3%, p = ns), transient
hypoparathyroidism (Calcium <2.00 mmol/L) 137 (18.6%) and 0 (p < 0.0001). Three
of the 12 patients of Group 2 undergoing further surgery had a transient
hypoparathyroidism. CONCLUSIONS: Thyroid lobectomy is an effective surgical
strategy to manage papillary microcarcinomas with low complications. Routine
completion thyroidectomy is not mandatory. Appropriate selection excluding high
risk patients is of paramount importance in order to achieve the best results.
PMID- 26546191
TI - Quality of Life in Thyroid Cancer is Similar to That of Other Cancers with Worse
Survival.
AB - BACKGROUND: The incidence of thyroid cancer is increasing. As such, the number of
survivors is rising, and it has been shown that their quality of life (QOL) is
worse than expected. Using results from the North American Thyroid Cancer
Survivorship Study (NATCSS), a large-scale survivorship study, we aim to compare
the QOL of thyroid cancer survivors to the QOL of survivors of other types of
cancer. METHODS: The NATCSS assessed QOL overall and in four subcategories:
physical, psychological, social, and spiritual well-being using the QOL-Cancer
Survivor (QOL-CS) instrument. Studies that used the QOL-CS to evaluate survivors
of other types of cancers were compared to the NATCSS findings using two-tailed t
tests. RESULTS: We compared results from NATCSS to QOL survivorship studies in
colon, glioma, breast, and gynecologic cancer. The mean overall QOL in NATCSS was
5.56 (on a scale of 0-10, where 10 is the best). Overall QOL of patients with
thyroid cancer was similar to that of patients with colon cancer (mean 5.20, p =
0.13), glioma (mean 5.96, p = 0.23), and gynecologic cancer (mean 5.59, p =
0.43). It was worse than patients surveyed with breast cancer (mean 6.51, p <
0.01). CONCLUSIONS: We found the self-reported QOL of thyroid cancer survivors in
our study population is overall similar to or worse than that of survivors of
other types of cancer surveyed with the same instrument. This should heighten
awareness of the significance of a thyroid cancer diagnosis and highlights the
need for further research in how to improve care for this enlarging group of
patients.
PMID- 26546192
TI - Effectiveness and Mechanism of Preoperative Lugol Solution for Reducing Thyroid
Blood Flow in Patients with Euthyroid Graves' Disease.
AB - BACKGROUND: To reduce intraoperative and postoperative complications, using Lugol
solution to preoperatively prepare patients with Graves' disease has (1) rapidly
reduced the severity of thyrotoxicosis and (2) reduced the vascularity of the
thyroid gland. The vascularity reduction normally accompanies reducing the
severity of thyrotoxicosis. However, the effects and mechanism of Lugol solution
for reducing blood flow have not been well investigated in the patients with
euthyroid (normally functioning thyroid) Graves' disease. METHODS: Twenty-five
patients with euthyroid Graves' disease being preoperatively treated with Lugol
solution for 10 days were measured, at baseline and on the operative day, for (1)
superior thyroid artery blood flow; (2) systemic angiogenic factor (VEGF); and
(3) systemic inflammatory factor [interleukin (IL)-16]. RESULTS: All three
parameters were significantly (p < 0.0001) lower after 10 days of Lugol solution
treatment. The average reductions were blood flow: 60% (0.294 vs. 0.117 L/min),
serum VEGF: 55% (169.8 vs. 76.7 pg/mL), and serum IL-16: 50% (427.2 vs. 214.2;
pg/mL). CONCLUSION: Lugol solution significantly reduced thyroid arterial blood
flow, VEGF, and IL-16, even in patients with euthyroid Graves' disease. We
recommend routine preoperative Lugol solution treatment for all patients with
Graves' disease.
PMID- 26546193
TI - Transoral Endoscopic Thyroidectomy Vestibular Approach: A Series of the First 60
Human Cases.
AB - BACKGROUND: Natural orifice transluminal endoscopic surgery has been adopted for
thyroid surgery because of its potential for scar-free operation. However, the
previous technique still has some limitations. Thus, we present our initial
experience in transoral endoscopic thyroidectomy vestibular approach (TOETVA).
METHODS: From April 2014 to January 2015, we used a three-port technique through
the oral vestibule, one 10-mm port for laparoscope and two additional 5-mm ports
for instruments. The CO2 insufflation pressure was set at 6 mm Hg. An anterior
cervical subplatysmal space was created from the oral vestibule down to the
sternal notch. The thyroidectomy was done endoscopically using conventional
laparoscopic instruments and an ultrasonic device. RESULTS: A series of 60
procedures were accomplished successfully. 42 patients had single-thyroid
nodules, and a lobectomy was performed. 22 patients had multinodular goiters and
two patients had Graves' disease, with total thyroidectomy or Hartley-Dunhill
procedures performed. Two had papillary thyroid carcinoma, and total
thyroidectomy with central node dissection was performed. The median operative
time was 115.5 min (range 75-300 min). The median blood loss was 30 mL (range 8
130 mL). Two patients experienced a transient hoarseness, which was resolved
within 2 months. One patient experienced a late postoperative hematoma, which was
treated conservatively. No mental nerve injury or infections were found. The
patients were discharged in an average of 3.6 days (range 2-7 days)
postoperatively. CONCLUSION: TOETVA is safe and feasible, resulting in no visible
scarring. This technique may provide a method for ideal cosmetic results.
PMID- 26546194
TI - Prospective Evaluation of Innovative Force Assessing Firmware in Simulation to
Improve the Technical Competence of Surgical Trainees.
AB - INTRODUCTION: Suturing is an integral part of all surgeries. In minimal access
surgery, the force exerted is based only on visual perception (tautness of the
thread and degree of tissue deformation). An unbalanced suture force can cause
tissue rupture or cut-through resulting in avoidable morbidity and mortality.
There is a need to find ways of improving surgical dexterity and finesse without
adversely affecting patient outcomes. AIM: We aimed to calculate the knot-tying
force in minimal access pancreatic surgery (MAPS) performed by experienced
surgeons (ES) and use this information to develop a surgical suturing model to
train the surgical trainees. We have developed a firmware for force sensor
calibration and post-data analysis, using which we aimed to compare the
differences in forces applied by a trainee as compared to ES. RESULTS: Our
technology showed that, as compared to the ES, the trainee's (TS) knot was
unbalanced with significant differences in force applied per knot for each of the
knots (P < 0.01). The shape of the Force curve for each suture was also different
for the TS as compared to the ES. After using the training tool, the forces
applied by the TS and the Force curve for the whole suture were similar to those
of the ES. CONCLUSION: Our firmware promises to be an excellent training tool for
organ anastomosis. Considering the complexity and likely complications of MAPS,
it is a sine qua non that the surgeon be highly experienced and skilled. Surgical
simulation is attractive because it avoids the use of patients for skills
practice and provides relevant technical training for trainees before they can
safely operate on humans.
PMID- 26546195
TI - Are stem cells the next frontier for hypoplastic left heart syndrome? What are
the promise, the reality, and the future?
PMID- 26546196
TI - Readmissions and the law of unintended consequences.
PMID- 26546197
TI - Stem cell therapy for heart failure: Out with the new and in with the old?
PMID- 26546198
TI - Barlow disease: Simple and complex.
PMID- 26546199
TI - The influence of percutaneous mitral repair programs on mitral surgery volume:
What should we expect and what can we do?
PMID- 26546200
TI - Preimplantation valvuloplasty in transcatheter aortic valve replacement: To BAV
or not to BAV?
PMID- 26546201
TI - Valve-sparing root replacement for failed pulmonary autografts: Should a David
repair a Ross?
PMID- 26546202
TI - Caution: There is no "all or none" with Ebstein anomaly.
PMID- 26546203
TI - The devil is in the details: Managing chest drains and interpreting negative
randomized trial data.
PMID- 26546204
TI - Building a better bridge: Remodeling, recovery, and a better understanding of the
biologic foundation of mechanical circulatory support.
PMID- 26546206
TI - Elastin deficiency in Williams syndrome may explain postoperative major adverse
cardiac events.
PMID- 26546205
TI - Combining clinical databases with genetic studies to help advance the causation
model of congenital heart disease.
PMID- 26546207
TI - A new lung-marking technique for robotic cases.
PMID- 26546208
TI - Main objectives of preoperative marking technique for hardly palpable lung
nodules.
PMID- 26546209
TI - Sarcoptic mange breaks up bottom-up regulation of body condition in a large
herbivore population.
AB - BACKGROUND: Both parasitic load and resource availability can impact individual
fitness, yet little is known about the interplay between these parameters in
shaping body condition, a key determinant of fitness in wild mammals inhabiting
seasonal environments. METHODS: Using partial least square regressions (PLSR), we
explored how temporal variation in climatic conditions, vegetation dynamics and
sarcoptic mange (Sarcoptes scabiei) severity impacted body condition of 473
Iberian ibexes (Capra pyrenaica) harvested between 1995 and 2008 in the highly
seasonal Alpine ecosystem of Sierra Nevada Natural Space (SNNS), southern Spain.
RESULTS: Bottom-up regulation was found to only occur in healthy ibexes; the
condition of infected ibexes was independent of primary productivity and snow
cover. No link between ibex abundance and ibex body condition could be
established when only considering infected individuals. CONCLUSIONS: The
pernicious effects of mange on Iberian ibexes overcome the benefits of favorable
environmental conditions. Even though the increase in primary production exerts a
positive effect on the body condition of healthy ibexes, the scabietic
individuals do not derive any advantage from increased resource availability.
Further applied research coupled with continuous sanitary surveillance are needed
to address remaining knowledge gaps associated with the transmission dynamics and
management of sarcoptic mange in free-living populations.
PMID- 26546210
TI - [Use of a homemade introducer guide (bougie) for intubation in emergency
situation in patients who present with difficult airway: a case series].
AB - BACKGROUND AND OBJECTIVES: The incidence of difficult airway reaches 10% of
emergency intubations. Although few studies address the use of handmade
introducer guides in emergency and intensive care environment, there are
descriptions of handmade guides available on the Internet. We describe a case
series on the use of a handmade introducer guide (bougie) for emergency
intubation in patients with difficult airway. CASE REPORT: The handmade
introducer guide was used in five consecutive patients with difficult airways,
and clinical instability and in the absence of another immediate method to obtain
an airway. This technique provided successful intubation and there were no
complications. CONCLUSIONS: The use of the handmade introducer guide can be a
useful option for the management of difficult airways.
PMID- 26546211
TI - [Accidental catheterization of epidural venous plexus: tomographic analysis].
AB - BACKGROUND AND OBJECTIVES: Inadvertent venous catheterizations occur in
approximately 9% of lumbar epidural anesthetic procedures with catheter placement
and, if not promptly recognized, can result in fatal consequences. The objective
of this report is to describe a case of accidental catheterization of epidural
venous plexus and its recording by computed tomography with contrast injection
through the catheter. CASE REPORT: A female patient in her sixties, physical
status II (ASA), underwent conventional cholecystectomy under balanced general
anesthesia and an epidural with catheter for postoperative analgesia. During
surgery, there was clinical suspicion of accidental catheterization of epidural
venous plexus because of blood backflow through the catheter, confirmed by the
administration of a test dose through the catheter. After the surgery, a CT scan
was obtained after contrast injection through the catheter. Contrast was observed
all the way from the skin to the azygos vein, passing through anterior and
posterior epidural venous plexuses and intervertebral vein. CONCLUSION: It is
possible to identify the actual placement of the epidural catheter, as well as to
register an accidental catheterization of the epidural venous plexus, using
computed tomography with contrast injection through the epidural catheter.
PMID- 26546212
TI - PPL catalyzed four-component PASE synthesis of 5-monosubstituted barbiturates:
Structure and pharmacological properties.
AB - Enzymatic four-component reactions are very rare although three-component
enzymatic promiscuous reactions are widely reported. Herein, we report an
efficient PASE protocol for the synthesis of potentially lipophilic zwitterionic
5-monosubstituted barbiturates by four component reaction of mixture of ethyl
acetoacetate, hydrazine hydrate, aldehyde and barbituric acid in ethanol at room
temperature. Seven different lipases were screened for their promiscuous activity
towards the synthesis of 5-monosubstituted barbiturates and the lipase from
porcine pancreas (PPL) found to give optimum efficiency. The zwitterionic 5
monosubstituted barbiturates with pyrazolyl ring showed promising pharmacological
activity upon screening for antibacterial and apoptotic properties.
PMID- 26546213
TI - 1H-Pyrazolo[3,4-g]hexahydro-isoquinolines as potent GR antagonists with reduced
hERG inhibition and an improved pharmacokinetic profile.
AB - We report the further optimization of our series 1H-pyrazolo[3,4-g]hexahydro
isoquinoline sulfonamides as GR antagonists. By incorporating a heteroaryl ketone
group at the ring junction, we have obtained compounds with excellent functional
GR antagonism. Optimization of the sulfonamide substituent has provided compounds
with a very desirable overall profile, including minimal hERG activity, good
bioavailability and in vivo efficacy.
PMID- 26546214
TI - Acylhydrazone derivatives as potential anticancer agents: Synthesis, bio
evaluation and mechanism of action.
AB - A series of novel acylhydrazone derivatives were designed, synthesized and
evaluated for their potential cytotoxic effects against human cancer cell lines.
The preliminary results indicated that some of the obtained compounds (such as
8b, 13c) exhibited good to moderate cytotoxic activities against human HepG2, Huh
7, and BCG-823 cell lines. Especially, compounds 8c and 8e presented obviously
selective cytotoxic activities against Huh-7 in vitro (8c, IC50=7.74+/
2.18MUg/mL; 8e, IC50=4.46+/-1.05MUg/mL) compared to 5-FU (IC50=10.41+/
3.41MUg/mL). The highly potential compounds to induce apoptosis in HepG2 cells
were analyzed by flow cytometry, and the apoptotic effects of compounds 8b and
13c were further evaluated using Annexin V-FITC/propidium iodide dual staining
assay.
PMID- 26546215
TI - Design, synthesis, and fungicidal activities of imino diacid analogs of valine
amide fungicides.
AB - The novel imino diacid analogs of valine amides were synthesized via several
steps, including the protection, amidation, deprotection, and amino alkylation of
valine, with the resulting structures confirmed by (1)H and (13)C NMR and HRMS.
Bioassays showed that some of these compounds exhibited good fungicidal activity.
Notably, isopropyl 2-((1-((1-(3-fluorophenyl)ethyl)amino)-3-methyl-1-oxobutan-2
yl)amino)propanoate 5i displayed significant levels of control, at 50%, against
Erysiphe graminis at 3.9MUM as well as a level of potency very similar to the
reference azoxystrobin, which gave 60% activity at this concentration. The
present work demonstrates that imino diacid analogs of valine amides could be
potentially useful key compounds for the development of novel fungicides against
wheat powdery mildew.
PMID- 26546216
TI - Discovery of novel 2-benzylisoquinolin-1(2H)-ones as potent vasodilative agents.
AB - 2-Benzylisoquinolin-1(2H)-ones has been proposed as vasodilative agents on the
basis of scaffold hopping. In the present study, a series of 2-benzylisoquinolin
1(2H)-ones were synthesized. Their vasodilative effects were evaluated by wire
myograph on isolated rat mesenteric arterial ring induced contraction with 60mM
KCl. The structure-activity relationships of target compounds were discussed.
Among these compounds, C7 and C8 displayed potent vasodilative effects and
significantly inhibited the contraction of rat mesenteric arterial rings induced
by phenylephrine. The antihypertensive effects of compounds C7 and C8 on SHR were
further evaluated. The results indicated that oral administrational C7 and C8 can
significantly reduce both diastolic and systolic blood pressure in a dose
dependent manner. Moreover, C7 maintained the effects for 4h at a dosage of
4.0mg/kg. These findings suggest that the title compounds can serve as novel
vasodilative agents and promising antihypertensive agents.
PMID- 26546217
TI - Identification and activity of inhibitors of the essential nematode-specific
metalloprotease DPY-31.
AB - Infection by parasitic nematodes is widespread in the developing world causing
extensive morbidity and mortality. Furthermore, infection of animals is a global
problem, with a substantial impact on food production. Here we identify small
molecule inhibitors of a nematode-specific metalloprotease, DPY-31, using both
known metalloprotease inhibitors and virtual screening. This strategy
successfully identified several MUM inhibitors of DPY-31 from both the human
filarial nematode Brugia malayi, and the parasitic gastrointestinal nematode of
sheep Teladorsagia circumcincta. Further studies using both free living and
parasitic nematodes show that these inhibitors elicit the severe body morphology
defect 'Dumpy' (Dpy; shorter and fatter), a predominantly non-viable phenotype
consistent with mutants lacking the DPY-31 gene. Taken together, these results
represent a start point in developing DPY-31 inhibition as a totally novel
mechanism for treating infection by parasitic nematodes in humans and animals.
PMID- 26546218
TI - Structure-activity-relationship of amide and sulfonamide analogs of omarigliptin.
AB - A series of novel substituted-[(3R)-amino-2-(2,5-difluorophenyl)]tetrahydro-2H
pyran analogs have been prepared and evaluated as potent, selective and orally
active DPP-4 inhibitors. These efforts lead to the discovery of a long acting DPP
4 inhibitor, omarigliptin (MK-3102), which recently completed phase III clinical
development and has been approved in Japan.
PMID- 26546219
TI - Discovery of AZ0108, an orally bioavailable phthalazinone PARP inhibitor that
blocks centrosome clustering.
AB - The propensity for cancer cells to accumulate additional centrosomes relative to
normal cells could be exploited for therapeutic benefit in oncology. Following
literature reports that suggested TNKS1 (tankyrase 1) and PARP16 may be involved
with spindle structure and function and may play a role in suppressing multi
polar spindle formation in cells with supernumerary centrosomes, we initiated a
phenotypic screen to look for small molecule poly (ADP-ribose) polymerase (PARP)
enzyme family inhibitors that could produce a multi-polar spindle phenotype via
declustering of centrosomes. Screening of AstraZeneca's collection of
phthalazinone PARP inhibitors in HeLa cells using high-content screening
techniques identified several compounds that produced a multi-polar spindle
phenotype at low nanomolar concentrations. Characterization of these compounds
across a broad panel of PARP family enzyme assays indicated that they had
activity against several PARP family enzymes, including PARP1, 2, 3, 5a, 5b, and
6. Further optimization of these initial hits for improved declustering potency,
solubility, permeability, and oral bioavailability resulted in AZ0108, a PARP1,
2, 6 inhibitor that potently inhibits centrosome clustering and is suitable for
in vivo efficacy and tolerability studies.
PMID- 26546220
TI - Attenuation of TNF-alpha secretion by L-proline-based cyclic dipeptides produced
by culture broth of Pseudomonas aeruginosa.
AB - To identify small molecule inhibitors of TNF-alpha, bioassay- and LC-MS-guided
chemical investigation on EtOAc extract of Pseudomonas aeruginosa ABS-36 culture
broth (EEPA) was performed, which yielded four proline-based cyclic dipeptides,
cyclo(Gly-l-Pro) (1), cyclo(l-Pro-l-Phe) (2), cyclo(trans-4-hydroxy-l-Pro-l-Phe)
(3) and cyclo(trans-4-hydroxy-l-Pro-l-Leu) (4). Compounds 1 and 3 exhibited
potent inhibition of TNF-alpha release with IC50 values of 4.5 and 14.2MUg/mL,
respectively, while EEPA showed IC50 of 38.8MUg/mL under lipopolysaccharide
treated RAW 264.7 cell ELISA assay. Also, marked attenuation of mRNA-expression
of TNF-alpha was shown by all compounds. In vivo testing in rats of EEPA and
chemically synthesized 4 validated significant TNF-alpha reduction with 51%
(500mg/kg) and 79% (50mg/kg), respectively. In addition, all compounds exhibited
significant diminution of IL-1beta and IL-6 mRNA-expression levels and NO
production. All samples displayed only weak toxicity to lipopolysaccharide
induced RAW 264.7 cells.
PMID- 26546221
TI - Synthesis, cyclooxygenase inhibition, anti-inflammatory evaluation and
ulcerogenic liability of novel triarylpyrazoline derivatives as selective COX-2
inhibitors.
AB - A new series of triarylpyrazoline derivatives 8a-p containing the most important
COX-2 pharmacophore (SO2CH3 or/and SO2NH2) were synthesized by reaction of propen
1-one derivatives 6a-h with different phenyl hydrazine hydrochloride derivatives
7a-b in aqueous ethanol. All prepared compounds were evaluated for their in vitro
COX-1/COX-2 inhibitory activity and the in vivo anti-inflammatory activity. All
compounds were more selective for COX-2 isozyme than COX-1 isozyme and showed
good in vivo anti-inflammatory activity. Compounds 8g, 8j and 8o showed the
highest anti-inflammatory activity and were less ulcerogenic (Ulcer Index=6.85,
7.7, 5.92, respectively) than indomethacin (Ulcer Index=12.3) and comparable to
celecoxib (Ulcer Index=4.85).
PMID- 26546222
TI - Naltrexone: Not Just for Opioids Anymore.
AB - Naltrexone is a semi-synthetic opioid with competitive antagonist activity at mu
opioid receptors. Its efficacy has been demonstrated in the treatment of alcohol
and opioid dependence, but adherence to daily dosing has been recognized as a
factor limiting long-term effectiveness. Recently, a long-acting injectable
formulation of naltrexone has received FDA-approval for treating alcohol and
opioid dependence. This article reviews the pharmacology of naltrexone, the
current evidence supporting the use of extended-release naltrexone, and the
clinical challenges in the induction of patients to this medication.
PMID- 26546223
TI - [Not Available].
PMID- 26546224
TI - Exposure to mobile phone electromagnetic field radiation, ringtone and vibration
affects anxiety-like behaviour and oxidative stress biomarkers in albino wistar
rats.
AB - Research on the effects of Mobile phone radio frequency emissions on biological
systems has been focused on noise and vibrations as auditory stressors. This
study investigated the potential effects of exposure to mobile phone
electromagnetic field radiation, ringtone and vibration on anxiety-like behaviour
and oxidative stress biomarkers in albino wistar rats. Twenty five male wistar
rats were randomly divided into five groups of 5 animals each: group I: exposed
to mobile phone in switched off mode (control), group II: exposed to mobile phone
in silent mode, group III: exposed to mobile phone in vibration mode, group IV:
exposed to mobile phone in ringtone mode, group V: exposed to mobile phone in
vibration and ringtone mode. The animals in group II to V were exposed to 10 min
call (30 missed calls for 20 s each) per day for 4 weeks. Neurobehavioural
studies for assessing anxiety were carried out 24 h after the last exposure and
the animals were sacrificed. Brain samples were collected for biochemical
evaluation immediately. Results obtained showed a significant decrease (P < 0.05)
in open arm duration in all the experimental groups when compared to the control.
A significant decrease (P < 0.05) was also observed in catalase activity in group
IV and V when compared to the control. In conclusion, the results of the present
study indicates that 4 weeks exposure to electromagnetic radiation, vibration,
ringtone or both produced a significant effect on anxiety-like behavior and
oxidative stress in young wistar rats.
PMID- 26546225
TI - New insights into the interplay between codon bias determinants in plants.
AB - Codon bias is the non-random use of synonymous codons, a phenomenon that has been
observed in species as diverse as bacteria, plants and mammals. The preferential
use of particular synonymous codons may reflect neutral mechanisms (e.g.
mutational bias, G|C-biased gene conversion, genetic drift) and/or selection for
mRNA stability, translational efficiency and accuracy. The extent to which these
different factors influence codon usage is unknown, so we dissected the
contribution of mutational bias and selection towards codon bias in genes from 15
eudicots, 4 monocots and 2 mosses. We analysed the frequency of mononucleotides,
dinucleotides and trinucleotides and investigated whether the compositional
genomic background could account for the observed codon usage profiles. Neutral
forces such as mutational pressure and G|C-biased gene conversion appeared to
underlie most of the observed codon bias, although there was also evidence for
the selection of optimal translational efficiency and mRNA folding. Our data
confirmed the compositional differences between monocots and dicots, with the
former featuring in general a lower background compositional bias but a higher
overall codon bias.
PMID- 26546226
TI - Phylogeny and evolution of plant cyclic nucleotide-gated ion channel (CNGC) gene
family and functional analyses of tomato CNGCs.
AB - Cyclic nucleotide-gated ion channels (CNGCs) are calcium-permeable channels that
are involved in various biological functions. Nevertheless, phylogeny and
function of plant CNGCs are not well understood. In this study, 333 CNGC genes
from 15 plant species were identified using comprehensive bioinformatics
approaches. Extensive bioinformatics analyses demonstrated that CNGCs of Group
IVa were distinct to those of other groups in gene structure and amino acid
sequence of cyclic nucleotide-binding domain. A CNGC-specific motif that
recognizes all identified plant CNGCs was generated. Phylogenetic analysis
indicated that CNGC proteins of flowering plant species formed five groups.
However, CNGCs of the non-vascular plant Physcomitrella patens clustered only in
two groups (IVa and IVb), while those of the vascular non-flowering plant
Selaginella moellendorffii gathered in four (IVa, IVb, I and II). These data
suggest that Group IV CNGCs are most ancient and Group III CNGCs are most
recently evolved in flowering plants. Furthermore, silencing analyses revealed
that a set of CNGC genes might be involved in disease resistance and abiotic
stress responses in tomato and function of SlCNGCs does not correlate with the
group that they are belonging to. Our results indicate that Group IVa CNGCs are
structurally but not functionally unique among plant CNGCs.
PMID- 26546228
TI - Chemical Speciation and Quantitative Evaluation of Heavy Metal Pollution Hazards
in Two Army Shooting Range Backstop Soils.
AB - The chemical speciation and ecological risk assessment of heavy metals in two
shooting range backstop soils in Korea were studied. Both soils were highly
contaminated with Cd, Cu, Pb, and Sb. The chemical speciation of heavy metals
reflected the present status of contamination, which could help in promoting
management practices. We-rye soil had a higher proportion of exchangeable and
carbonate bound metals and water-extractable Cd and Sb than the Cho-do soil.
Bioavailable Pb represented 42 % of the total Pb content in both soils. A
significant amount of Sb was found in the two most bioavailable fractions,
amounting to ~32 % in the soil samples, in good agreement with the batch leaching
test using water. Based on the values of ecological risk indices, both soils
showed extremely high potential risk and may represent serious environmental
problems.
PMID- 26546227
TI - Functional and expression analyses of transcripts based on full-length cDNAs of
Sorghum bicolor.
AB - Sorghum bicolor is one of the most important crops for food and bioethanol
production. Its small diploid genome and resistance to environmental stress make
sorghum an attractive model for studying the functional genomics of the
Saccharinae and other C4 grasses. We analyzed the domain-based functional
annotation of the cDNAs using the gene ontology (GO) categories for molecular
function to characterize all the genes cloned in the full-length cDNA library of
sorghum. The sorghum cDNA library successfully captured a wide range of cDNA
encoded proteins with various functions. To characterize the protein function of
newly identified cDNAs, a search of their deduced domains and comparative
analyses in the Oryza sativa and Zea mays genomes were carried out. Furthermore,
genes on the sense strand corresponding to antisense transcripts were classified
based on the GO of molecular function. To add more information about these genes,
we have analyzed the expression profiles using RNA-Seq of three tissues
(spikelet, seed and stem) during the starch-filling phase. We performed
functional analysis of tissue-specific genes and expression analysis of genes of
starch biosynthesis enzymes. This functional analysis of sorghum full-length
cDNAs and the transcriptome information will facilitate further analysis of the
Saccharinae and grass families.
PMID- 26546229
TI - Mobility Studies of (14)C-Chlorpyrifos in Malaysian Oil Palm Soils.
AB - The mobility of (14)C-chlorpyrifos using soil TLC was investigated in this study.
It was found that chlorpyrifos was not mobile in clay, clay loam and peat soil.
The mobility of (14)C-chlorpyrifos and non-labelled chlorpyrifos was also tested
with silica gel TLC using three types of developing solvent hexane (100%),
hexane:ethyl acetate (95:5, v/v); and hexane:ethyl acetate (98:2, v/v). The study
showed that both the (14)C-labelled and non-labelled chlorpyrifos have the same
Retardation Factor (Rf) for different developing solvent systems. From the soil
column study on mobility of chlorpyrifos, it was observed that no chlorpyrifos
residue was found below 5 cm depth in three types of soil at simulation rainfall
of 20, 50 and 100 mm. Therefore, the soil column and TLC studies have shown
similar findings in the mobility of chlorpyrifos.
PMID- 26546230
TI - Efficient lipase-catalyzed Knoevenagel condensation: utilization of biocatalytic
promiscuity for synthesis of benzylidene-indolin-2-ones.
AB - Based on the screening of biocatalysts and reaction conditions including solvent,
water content, temperature, enzyme loading, and reaction time, lipase from
porcine pancreas (PPL) showed the prominent promiscuity for the Knoevenagel
condensation between 1,3-dihydroindol-2-one heterocycle and aromatic aldehydes.
Under the optimized procedure, both electron-withdrawing and electron-donating
substituent of aldehydes substrates could react efficiently, and benzylidene
indolin-2-ones were obtained in excellent yields (75.0-96.6%). Benzylidene
indolin-2-ones derivatives were efficiently synthesized by the Knoevenagel
condensation between various aromatic aldehydes and 1,3-dihydroindol-2-one
catalyzed by lipase from porcine pancreas with excellent yields obtained.
PMID- 26546231
TI - Bacterial thioredoxin and thioredoxin reductase as mediators for epigallocatechin
3-gallate-induced antimicrobial action.
AB - Epigallocatechin 3-gallate (EGCG) is the most abundant catechin in green tea and
may combat bacteria with few side-effects. Its selectivity for different
bacterial infections remains unclear, and hence the identification of the
underlying mechanism is of practical importance. Both the thioredoxin (Trx)
system and the glutathione/glutaredoxin (Grx) system support bacterial growth.
Some pathogenic bacteria are naturally deficient in the Grx system. We analyzed
the effect of green tea extract (GTE) and EGCG on wild-type and null mutants of
Escherichia coli with either Trx or Grx system deficiency and found that GTE and
EGCG selected the Trx system as a target and killed the mutant that is
exclusively dependent on Trx/Trx reductase (TrxR). EGCG inhibited the activity of
both Trx1 and TrxR of E. coli in a dose-dependent and time-dependent manner. The
IC50 values of EGCG for the reduced forms of E. coli Trx1/TrxR were ~ 3-4-fold
lower than those for their non-reduced forms. The IC50 value of EGCG for the E.
coli Trx1 system was 56-fold lower than that for the mammalian Trx1 system. The
inhibition by EGCG of both Trx1 and TrxR of E. coli was irreversible. EGCG
induced inactivation of E. coli Trx1 was a second-order process, and that of E.
coli TrxR was an affinity-labeling process. The covalent binding sites for EGCG
in E. coli Trx1 were Trp(28) , Trp(31) and Cys(32) , and in E. coli TrxR were
Cys(135) and Cys(138) . Moreover, the sensitivity of Staphylococcus aureus to
EGCG was similar to that of an E. coli mutant with Grx system deficiency. EGCG
induced inactivation of Trx/TrxR in S. aureus coincided with suppressed growth of
this virulent pathogen. Our findings suggest a role for EGCG-dependent Trx/TrxR
inactivation in potentiating antibacterial activity of EGCG.
PMID- 26546232
TI - John T. Yates, Jr. 1935-2015.
AB - John T. Yates, Jr., professor at the University of Virginia, member of the US
National Academy of Sciences, and pioneer of modern surface science passed away
at the age of 80 on September 26, 2015. The scientific community has lost an
exceptional scientist and gifted communicator, who was also heavily involved with
scientific societies and academies, as well as evaluation procedures and
conference organization.
PMID- 26546233
TI - Selective toxicity of L-DOPA to dopamine transporter-expressing neurons and
locomotor behavior in zebrafish larvae.
AB - Dopamine signaling is conserved across all animal species and has been implicated
in the disease process of many neurological disorders, including Parkinson's
disease (PD). The primary neuropathology in PD involves the death of dopaminergic
cells in the substantia nigra (SN), an anatomical region of the brain implicated
in dopamine production and voluntary motor control. Increasing evidence suggests
that the neurotransmitter dopamine may have a neurotoxic metabolic product
(DOPAL) that selectively damages dopaminergic cells. This study was designed to
test this theory of oxidative damage in an animal model of Parkinson's disease,
using a transgenic strain of zebrafish with fluorescent labeling of cells that
express the dopamine transporter. The pretectum and ventral diencephalon
exhibited reductions in cell numbers due to L-DOPA treatment while reticulospinal
neurons that do not express the DAT were unaffected, and this was partially
rescued by monoamine oxidase inhibition. Consistent with the MPTP model of PD in
zebrafish larvae, spontaneous locomotor behavior in L-DOPA treated animals was
depressed following a 24-h recovery period, while visually-evoked startle
response rates and latencies were unaffected.
PMID- 26546235
TI - Transitions into practice: First patient care experiences of baccalaureate
nursing students.
AB - An essential component of the learning process for nursing and other disciplines
in health professions education is the structuring of successful clinical
experiences for beginning students. The purpose of this research study was to
explore and describe first patient care experiences of baccalaureate nursing
students in order to better understand student perspectives and perceptions. Data
were collected from three cohorts of baccalaureate nursing in two university
settings in the first semester following completion of first patient care
experiences. A basic, exploratory qualitative approach with overtones of grounded
theory was used for data collection and analysis. Three clear themes emerged from
the data analysis. Participants experienced emotional responses of Anticipation,
including fear, nervousness, and anxiety. The clinical experiences allowed
Processing ~ Working Through, which was impacted by actions of instructors,
nurses and patients. Participants developed Awareness as a result of their
experiences.
PMID- 26546234
TI - Bridging the gap between evidence and policy for infectious diseases: How models
can aid public health decision-making.
AB - The dominant approach to decision-making in public health policy for infectious
diseases relies heavily on expert opinion, which often applies empirical evidence
to policy questions in a manner that is neither systematic nor transparent.
Although systematic reviews are frequently commissioned to inform specific
components of policy (such as efficacy), the same process is rarely applied to
the full decision-making process. Mathematical models provide a mechanism through
which empirical evidence can be methodically and transparently integrated to
address such questions. However, such models are often considered difficult to
interpret. In addition, models provide estimates that need to be iteratively re
evaluated as new data or considerations arise. Using the case study of a novel
diagnostic for tuberculosis, a framework for improved collaboration between
public health decision-makers and mathematical modellers that could lead to more
transparent and evidence-driven policy decisions for infectious diseases in the
future is proposed. The framework proposes that policymakers should establish
long-term collaborations with modellers to address key questions, and that
modellers should strive to provide clear explanations of the uncertainty of model
structure and outputs. Doing so will improve the applicability of models and
clarify their limitations when used to inform real-world public health policy
decisions.
PMID- 26546236
TI - CTLA-4+49 A/G polymorphism and antiglutamic acid decarboxylase antibody
associated encephalopathy in Taiwanese children.
AB - BACKGROUND: Anti-glutamic acid decarboxylase antibodies are associated with
encephalopathy, an autoimmune central nervous system inflammatory disease. The
cytotoxic T-lymphocyte-associated antigen 4 (CTLA-4)+49 A/G polymorphism has been
shown to confer genetic susceptibility to positive anti-glutamic acid
decarboxylase antibodies in patients with type 1 diabetes mellitus in Japan. We
aimed to investigate the association of the CTLA-4+49 A/G (rs231775) polymorphism
in Taiwanese children with anti-glutamic acid decarboxylase antibody-associated
encephalopathy. METHODS: This was a case-control study from July 2011 to June
2012 performed at Chang Gung Children's Hospital in Taiwan. Genotyping of the
CTLA-4+49 A/G polymorphism was performed by polymerase chain reaction-restriction
fragment length polymorphism. RESULTS: Seventeen patients with anti-glutamic acid
decarboxylase antibody-associated encephalopathy and 97 controls were enrolled.
The genotype, allele and carrier frequencies of the CTLA-4+49 A/G polymorphism
were equally distributed in the patients and controls, with no significant
differences between the two groups. In addition, we found a positive trend
between the level of anti-glutamic acid decarboxylase antibodies and the G allele
of the CTLA-4+49 A/G polymorphism, although this trend was not statistically
significant. CONCLUSIONS: Our results suggest that the CTLA-4+49 A/G (rs231775)
polymorphism does not confer an increased susceptibility to anti-glutamic acid
decarboxylase antibody-associated encephalopathy in Taiwanese children.
PMID- 26546237
TI - A naming clutter and special type of spinal cord injury.
PMID- 26546238
TI - Energy-Level Alignment for Single-Molecule Conductance of Extended Metal-Atom
Chains.
AB - The use of single-molecule junctions for various functions constitutes a central
goal of molecular electronics. The functional features and the efficiency of
electron transport are dictated by the degree of energy-level alignment (ELA),
that is, the offset potential between the electrode Fermi level and the frontier
molecular orbitals. Examples manifesting ELA are rare owing to experimental
challenges and the large energy barriers of typical model compounds. In this
work, single-molecule junctions of organometallic compounds with five metal
centers joined in a collinear fashion were analyzed. The single-molecule i-V
scans could be conducted in a reliable manner, and the EFMO levels were
electrochemically accessible. When the electrode Fermi level (EF ) is close to
the frontier orbitals (EFMO ) of the bridging molecule, larger conductance was
observed. The smaller |EF -EFMO | gap was also derived quantitatively,
unambiguously confirming the ELA. The mechanism is described in terms of a two
level model involving co-tunneling and sequential tunneling processes.
PMID- 26546239
TI - Green synthesis, characterization and drug delivery applications of a novel
silver/carboxymethylcellulose - poly(acrylamide) hydrogel nanocomposite.
AB - Biodegradable polymeric hydrogels are a unique class of macromolecular networks
that can hold a large fraction of an aqueous solvent within their structures.
They are particularly suitable for biomedical applications including controlled
drug delivery, because of their ability to stimulate biological tissues. Many
hydrogel-based networks have been designed and fabricated to meet the needs of
pharmaceutical and medical fields. The investigation deals with the environment
friendly synthesis of biodegradable semi interpenetrating hydrogel networks based
on cross-linked poly(acrylamide) through an optimized rapid redox solution
polymerization with N, N'Methylenebisacrylamide (MBA) in presence of
Carboxymethylcellulose (CMC).The silver nanoparticles within hydrogel networks as
nano reactors have been prepared by green synthesis via insitu reduction of
silver nitrate (AgNO3) using Azadirachta Indica (Neem) plant extract under
atmospheric conditions. The synthesized nanocomposites were characterised by FTIR
spectroscopy, UV-Visible Spectroscopy, Scanning Electron Microscopy (SEM),
Transmission electron microscopy (TEM) and Dynamic light scattering (DLS)
technique. The thermal properties of the nanocomposite was analyzed by
Thermogravimetric Analysis (TGA). The pH response and drug release profile of the
synthesised biodegradable Silver-Hydrogel nanocomposite was investigated.
Further, it was observed that physicochemical interaction between the polymeric
nanocomposites and drug influences the degree of matrix swelling and therefore,
its porosity and diffusion release process.
PMID- 26546240
TI - Goldfish (Carassius auratus L.) as a model system to study the growth factors,
receptors and transcription factors that govern myelopoiesis in fish.
AB - The process of myeloid cell development (myelopoiesis) in fish has mainly been
studied in three cyprinid species: zebrafish (Danio rerio), ginbuna carp
(Carassius auratus langsdorfii) and goldfish (C. auratus, L.). Our studies on
goldfish myelopoiesis have utilized in vitro generated primary kidney macrophage
(PKM) cultures and isolated primary kidney neutrophils (PKNs) cultured overnight
to study the process of macrophage (monopoiesis) and neutrophil (granulopoiesis)
development and the key growth factors, receptors, and transcription factors that
govern this process in vitro. The PKM culture system is unique in that all three
subpopulations of macrophage development, namely progenitor cells, monocytes, and
mature macrophages, are simultaneously present in culture unlike mammalian
systems, allowing for the elucidation of the complex mixture of cytokines that
regulate progressive and selective macrophage development from progenitor cells
to fully functional mature macrophages in vitro. Furthermore, we have been able
to extend our investigations to include the development of erythrocytes
(erythropoiesis) and thrombocytes (thrombopoiesis) through studies focusing on
the progenitor cell population isolated from the goldfish kidney. Herein, we
review the in vitro goldfish model systems focusing on the characteristics of
cell sub-populations, growth factors and their receptors, and transcription
factors that regulate goldfish myelopoiesis.
PMID- 26546241
TI - Variety support and exercise adherence behavior: experimental and mediating
effects.
AB - The purpose of this study was to examine the extent to which the provision of
variety (i.e., variety support) is related to exercise behavior among physically
inactive adults and the extent to which the 'experience of variety' mediates
those effects. One hundred and twenty one inactive university students were
randomly assigned to follow a high or low variety support exercise program for 6
weeks. Assessments were conducted at baseline, 3- and 6-weeks. Participants in
the high variety support condition displayed higher levels of adherence to the
exercise program than those in the low variety support condition [F(1, 116) =
5.55, p = .02, eta(p)(2) = .05] and the relationship between variety support and
adherence was mediated by perceived variety (beta = .16, p < .01). Exercise
related variety support holds potential to be an efficacious method for
facilitating greater exercise adherence behaviors of previously inactive people
by fostering perceptions of variety.
PMID- 26546242
TI - Hey Mr. Sandman: dyadic effects of anxiety, depressive symptoms and sleep among
married couples.
AB - This study examined associations among anxiety, depressive symptoms, and sleep
duration in a sample of middle-aged couples using the actor-partner interaction
model with dyadic data. Self-report measures were completed independently by both
partners as part of the health histories obtained during their annual preventive
medical examinations in 2011 and 2012. Results showed that husbands' anxiety and
depressive symptoms had a stronger effect on their wives' anxiety and depression
than the other way around, but this was not moderated by one's own sleep
duration. For both wives and husbands, higher levels of depressive symptoms and
anxiety predicted shorter sleep duration for their partner 1 year later, although
the effect of husbands' mental health on their wives' was again stronger. The
findings suggest that sleep problems might better be treated as a couple-level
phenomenon than an individual one, particularly for women.
PMID- 26546243
TI - Personal responsibility, regret, and medical stigma among individuals living with
lung cancer.
AB - Understanding the degree to which adults with lung cancer perceive personal
responsibility for their disease, personal regret for actions that may have
contributed to lung cancer, and potential stigmatization from others is
important, because these perceptions and experiences may be linked with treatment
nonadherence, feelings of isolation, avoidance of healthcare providers, and poor
quality of life. The purpose of this study was to evaluate rates and intensity of
these types of experiences and to characterize the extent to which they are
linked with smoking status and psychological adjustment in those living with lung
cancer. Adults with lung cancer (N = 213) were recruited from two major cancer
centers to complete a mail survey. Perceived responsibility was frequent in those
who had ever smoked (74-80%), whereas regret and feelings of stigmatization were
less frequent. When present, however, personal regret and stigmatization were
associated with adverse psychological outcomes, particularly for never smokers.
These results are consistent with the theory of stereotype threat and have
clinical implications for management of people with lung cancer.
PMID- 26546244
TI - Liraglutide vs. sitagliptin add-on to metformin treatment for type 2 diabetes
mellitus: Short-term cost-per-controlled patient in Italy.
AB - AIM: To estimate the short-term cost-per-controlled-patient with type 2 diabetes
mellitus with liraglutide 1.2mg/day vs. sitagliptin 100mg/day as add-on treatment
to metformin in Italy. METHODS: The percentage of controlled patients, i.e. with
"HbA1c<7% without hypoglycemia and weight gain", at 26 and 52 weeks with
liraglutide and sitagliptin, as well as at 78 weeks for patients switching at 52
weeks from sitagliptin to liraglutide or hypothetically continuing on sitagliptin
were obtained from randomized clinical trials (RCT) and a meta-analysis. The
treatment cost-per-controlled-patient was calculated from the perspective of the
National Health System over a 26, 52- and 78-week time horizon. RESULTS: Despite
the higher acquisition cost of liraglutide vs. sitagliptin, at 26 weeks
liraglutide resulted in a lower cost-per-controlled-patient (?1460 vs. ?1820 -
with efficacy from RCT - and ?1593 vs. ?2234 - with efficacy from a meta
analysis), as well as at 52 weeks (?2627 vs. ?2649). At 78 weeks, in patients who
have switched from sitagliptin to liraglutide at 52 weeks, the cost-per
controlled-patient is also lower than that of patients continuing sitagliptin for
78 weeks (?2889 vs. ?3970). CONCLUSIONS: Due to higher efficacy, liraglutide is
associated with better cost-benefit than sitagliptin at 26, 52 and 78 weeks.
PMID- 26546245
TI - Active and passive smoking and risk of breast cancer: a meta-analysis.
AB - Studies on active and passive tobacco smoking and breast cancer have found
inconsistent results. A meta-analysis of observational studies on tobacco smoking
and breast cancer occurrence was conducted based on systematic searches for
studies with retrospective (case-control) and prospective (cohort) designs.
Eligible studies were identified, and relative risk measurements were extracted
for active and passive tobacco exposures. Random-effects meta-analyses were used
to compute summary relative risks (SRR). Heterogeneity of results between studies
was evaluated using the (I (2)) statistics. For ever active smoking, in 27
prospective studies, the SRR for breast cancer was 1.10 (95 % CI [1.09-1.12])
with no heterogeneity (I (2) = 0 %). In 44 retrospective studies, the SRR was
1.08 (95 % CI [1.02-1.14]) with high heterogeneity (I (2) = 59 %). SRRs for
current active smoking were 1.13 (95 % CI [1.09-1.17]) in 27 prospective studies
and 1.08 (95 % CI [0.97-1.20]) in 22 retrospective studies. The results were
stable across different subgroup analyses, notably pre/post-menopause, alcohol
consumption adjustments, including/excluding passive smokers from the referent
group. For ever passive smoking, in 11 prospective studies, the SRR for breast
cancer was 1.07 (95 % CI [1.02-1.13]) with no heterogeneity (I (2) = 1 %). In 20
retrospective studies, the SRR was 1.30 (95 % CI [1.10-1.54]) with high
heterogeneity (I (2) = 74 %). Too few prospective studies were available for
meaningful subgroup analyses. There is consistent evidence for a moderate
increase in the risk of breast cancer in women who smoke tobacco. The evidence
for a moderate increase in risk with passive smoking is more substantial than a
few years ago.
PMID- 26546248
TI - Usefulness of C-Reactive Protein Plasma Levels to Predict Exercise Intolerance in
Patients With Chronic Systolic Heart Failure.
AB - Patients with heart failure (HF) have evidence of chronic systemic inflammation.
Whether inflammation contributes to the exercise intolerance in patients with HF
is, however, not well established. We hypothesized that the levels of C-reactive
protein (CRP), an established inflammatory biomarker, predict impaired
cardiopulmonary exercise performance, in patients with chronic systolic HF. We
measured CRP using high-sensitivity particle-enhanced immunonephelometry in 16
patients with ischemic heart disease (previous myocardial infarction) and chronic
systolic HF, defined as a left ventricular ejection fraction <= 50% and New York
Heart Association class II-III symptoms. All subjects with CRP >2 mg/L,
reflecting systemic inflammation, underwent cardiopulmonary exercise testing
using a symptom-limited ramp protocol. CRP levels predicted shorter exercise
times (R = -0.65, p = 0.006), lower oxygen consumption (VO2) at the anaerobic
threshold (R = -0.66, p = 0.005), and lower peak VO2 (R = -0.70, p = 0.002),
reflecting worse cardiovascular performance. CRP levels also significantly
correlated with an elevated ventilation/carbon dioxide production slope (R =
+0.64, p = 0.008), a reduced oxygen uptake efficiency slope (R = -0.55, p =
0.026), and reduced end-tidal CO2 level at rest and with exercise (R = -0.759, p
= 0.001 and R = -0.739, p = 0.001, respectively), reflecting impaired gas
exchange. In conclusion, the intensity of systemic inflammation, measured as CRP
plasma levels, is associated with cardiopulmonary exercise performance, in
patients with ischemic heart disease and chronic systolic HF. These data provide
the rationale for targeted anti-inflammatory treatments in HF.
PMID- 26546247
TI - DHA- and EPA-derived resolvins, protectins, and maresins in airway inflammation.
AB - Essential fatty acids can serve as important regulators of inflammation. A new
window into mechanisms for the resolution of inflammation was opened with the
identification and structural elucidation of mediators derived from these fatty
acids with pro-resolving capacity. Inflammation is necessary to ensure the
continued health of the organism after an insult or injury; however, unrestrained
inflammation can lead to injury "from within" and chronic changes that may prove
both morbid and fatal. The resolution phase of inflammation, once thought to be a
passive event, is now known to be a highly regulated, active, and complex program
that terminates the inflammatory response once the threat has been contained.
Specialized pro-resolving mediators (SPMs) are biosynthesized from omega-3
essential fatty acids to resolvins, protectins, and maresins and from omega-6
fatty acids to lipoxins. Through cell-specific actions mediated through select
receptors, these SPMs are potent regulators of neutrophil infiltration, cytokine
and chemokine production, and clearance of apoptotic neutrophils by macrophages,
promoting a return to tissue homeostasis. This process appears to be defective in
several common human lung diseases, such as asthma and COPD, which are
characterized by chronic unrestrained inflammation and significant associated
morbidity. Here, we highlight translational research in animal models of disease
and with human subjects that sheds light on this rapidly evolving area of science
and review the molecular and cellular components of the resolution of lung
inflammation.
PMID- 26546249
TI - The study of Triadic Family Interactions: the Proposal of an Observational
Procedure.
AB - In the present article we provide an analytical review of 26 recent studies,
which investigated triadic mother-father-child interactions through observational
procedures. We focused on the methodological framework and compared the studies
according to different criteria, in order to highlight the complexity of the
object of study as well as the variety of dimensions and measures that have been
used. Even if all the considered studies were designed to analyze triads, very
few used coherently triadic categories; most of them focused on the individual
members of the triad or on the parents with respect to the child. Joining the
research that have stressed the importance of focusing on the reciprocal
interactions of all members of the triad, we propose a methodological procedure
that allows to describe the triad as a system without losing sight of the single
participants and the simultaneity, interdependence, and processuality of their
actions.
PMID- 26546250
TI - Mapping Concepts of Agency in Educational Contexts.
AB - The purpose of this conceptual paper is to explore and map the "espoused
theories" (Argyris and Schon 1978) of agency used in educational contexts. More
precisely, we limit the focus on the normative view of student agency assumed
within dominant school practices, desired by educational practitioners, leaving
out non-normative emerging agencies such as student agency of resistance. Agency
is a "tricky" concept, and often scholars who use the concept of agency do not
define or operationalize it (e.g., Archer 2000). One reason is that there is no
consensus among scholars about the notion of agency, especially when applied to
educational contexts (Hitlin and Elder Sociological Theory, 25 (2), 170-191,
2007). Moreover, the recent neoliberal framing of individuals' agency as fully
autonomous, flexible, and self-entrepreneur is adding the dilemma of agency
manipulation in the sphere of education (Gershon 2011; Sidorkin 2004). To tackle
this dilemma in educational contexts, we suggest to further interrogating the
normative notion of agency in all its modes and develop a more nuanced
conceptualization. We hope that such conceptualization would produce an
understanding of the diverse manifestations and definitions of agency within a
human ideal, educational content, behaviors, and social settings. We observed
diverse uses of the normative term "agency" in educational discourse. We examined
the term as used by researchers and practitioners. We also looked at the
different ways it has been used in philosophical discussions of education,
political framing of the civic role of schooling, disciplinary policy statements,
school mission statements, and in everyday common use. It is worthy to note that
our categorization of the use and meaning of the normative term "agency" depends
on the scholars' epistemological paradigmatic assumptions, socio-political and
historical situatedness, and ontological projects being translated into diverse
scholarships of education. As a result of our research, we suggest four major
normative conceptual frameworks related to agency mainly being adopted in
educational contexts that we labeled as: 1) instrumental, 2) effortful, 3)
dynamically emergent, and 4) authorial. In this paper, we discuss these normative
approaches to agency as we compare and contrast the assumptions and their
consequences for the current field of education, mostly from a point of view of
authorial definition of agency (our bias).
PMID- 26546252
TI - Overexpressed Rce1 is positively correlated with tumor progression and predicts
poor prognosis in prostate cancer.
AB - Ras and a-factor-converting enzyme 1 (Rce1) have been reported to play a key role
in the proteolysis processing of Ras proteins. The present study investigated the
prognostic significance of Rce1 in patients with prostate cancer (PCa). The
expressions of the mRNA and protein of Rce1 were analyzed in 12 pairs of PCa and
benign prostatic hyperplasia (BPH) by quantitative real-time polymerase chain
reaction and Western blotting, respectively. Immunohistochemistry was used to
examine expression of Rce1 protein in 74 PCa tissues and 30 BPH tissues. The
association between Rce1 expression and the specific clinicopathologic features
was evaluated by chi(2) tests. Kaplan-Meier and Cox proportional hazards
regression models were used to analyze the data. We found that expression of Rce1
mRNA and protein was markedly higher in PCa tissues than in paired BPH tissues.
Expression of Rce1 in PCa was strongly associated with clinicopathologic
features. It was detected in 69 (93.24%) of 74 PCa tissues by
immunohistochemistry, and it was found to be associated with Gleason score (P =
.013), T class (P = .015), and distant metastasis (P = .044). Patients with PCa
having higher Rce1 expression had substantially shorter survival times than
patients with lower Rce1 expression. Univariate and multivariate analysis
revealed that Rce1 was an independent prognostic factor. In conclusion, our study
suggests that expression of Rce1 can serve as an independent biomarker for the
prognosis of PCa patients.
PMID- 26546253
TI - IAEA INTERCOMPARISON EXERCISES OF THYROID MEASUREMENT: PERFORMANCE OF LATIN
AMERICAN AND CARIBBEAN LABORATORIES.
AB - (131)I is widely used in Latin America and Caribbean Region in the field of
nuclear medicine and has been recognised as one of the main sources of potential
intake of radionuclides by the staff. The In Vivo Monitoring laboratory of the
Institute for Radiation Protection and Dosimetry (IRD-CNEN-Brazil) organised
three intercomparison exercises (2005, 2009 and 2013) in the scope of IAEA
technical cooperation projects RLA9049 and RLA9066 aimed to disseminate and
harmonise the technique for measuring (131)I in the human thyroid. The number of
participants in Latin America increased from 9 to 20 institutions from 7 and 13
countries, respectively, over the last 10 y. The participants have improved
significantly their ability on the in vivo measurement technique. In the 2013
round all laboratories which reported results presented performances in an
acceptable range according to the ISO criteria indicating the benefit of such
exercises in the region.
PMID- 26546254
TI - Borrowing of strength and study weights in multivariate and network meta
analysis.
AB - Multivariate and network meta-analysis have the potential for the estimated mean
of one effect to borrow strength from the data on other effects of interest. The
extent of this borrowing of strength is usually assessed informally. We present
new mathematical definitions of 'borrowing of strength'. Our main proposal is
based on a decomposition of the score statistic, which we show can be interpreted
as comparing the precision of estimates from the multivariate and univariate
models. Our definition of borrowing of strength therefore emulates the usual
informal assessment. We also derive a method for calculating study weights, which
we embed into the same framework as our borrowing of strength statistics, so that
percentage study weights can accompany the results from multivariate and network
meta-analyses as they do in conventional univariate meta-analyses. Our proposals
are illustrated using three meta-analyses involving correlated effects for
multiple outcomes, multiple risk factor associations and multiple treatments
(network meta-analysis).
PMID- 26546255
TI - A joint Bayesian approach for the analysis of response measured at a primary
endpoint and longitudinal measurements.
AB - Joint mixed modeling is an attractive approach for the analysis of a scalar
response measured at a primary endpoint and longitudinal measurements on a
covariate. In the standard Bayesian analysis of these models, measurement error
variance and the variance/covariance of random effects are a priori modeled
independently. The key point is that these variances cannot be assumed
independent given the total variation in a response. This article presents a
joint Bayesian analysis in which these variance terms are a priori modeled
jointly. Simulations illustrate that analysis with multivariate variance prior in
general lead to reduced bias (smaller relative bias) and improved efficiency
(smaller interquartile range) in the posterior inference compared with the
analysis with independent variance priors.
PMID- 26546256
TI - Bayesian analysis of multi-type recurrent events and dependent termination with
nonparametric covariate functions.
AB - Multi-type recurrent event data occur frequently in longitudinal studies.
Dependent termination may occur when the terminal time is correlated to recurrent
event times. In this article, we simultaneously model the multi-type recurrent
events and a dependent terminal event, both with nonparametric covariate
functions modeled by B-splines. We develop a Bayesian multivariate frailty model
to account for the correlation among the dependent termination and various types
of recurrent events. Extensive simulation results suggest that misspecifying
nonparametric covariate functions may introduce bias in parameter estimation.
This method development has been motivated by and applied to the lipid-lowering
trial component of the Antihypertensive and Lipid-Lowering Treatment to Prevent
Heart Attack Trial.
PMID- 26546257
TI - What are the appropriate methods for analyzing patient-reported outcomes in
randomized trials when data are missing?
AB - Subjective health measurements using Patient Reported Outcomes (PRO) are
increasingly used in randomized trials, particularly for patient groups
comparisons. Two main types of analytical strategies can be used for such data:
Classical Test Theory (CTT) and Item Response Theory models (IRT). These two
strategies display very similar characteristics when data are complete, but in
the common case when data are missing, whether IRT or CTT would be the most
appropriate remains unknown and was investigated using simulations. We simulated
PRO data such as quality of life data. Missing responses to items were simulated
as being completely random, depending on an observable covariate or on an
unobserved latent trait. The considered CTT-based methods allowed comparing
scores using complete-case analysis, personal mean imputations or multiple
imputations based on a two-way procedure. The IRT-based method was the Wald test
on a Rasch model including a group covariate. The IRT-based method and the
multiple-imputations-based method for CTT displayed the highest observed power
and were the only unbiased method whatever the kind of missing data. Online
software and Stata(r) modules compatibles with the innate mi impute suite are
provided for performing such analyses. Traditional procedures (listwise deletion
and personal mean imputations) should be avoided, due to inevitable problems of
biases and lack of power.
PMID- 26546258
TI - Medullary Microvascular Thrombosis and Injury in Sickle Hemoglobin C Disease.
AB - Sickle cell nephropathy is a common complication in patients with sickle cell
hemoglobinopathies. In these disorders, polymerization of mutated hemoglobin S
results in deformation of red blood cells, which can cause endothelial cell
injury in the kidney that may lead to thrombus formation when severe or manifest
by multilayering of the basement membranes (glomerular and/or peritubular
capillaries) in milder forms of injury. As the injury progresses, the subsequent
ischemia, tubular dysfunction, and glomerular scarring can result in CKD or ESRD.
Sickle cell nephropathy can occur in patients with homozygous hemoglobin SS or
heterozygous hemoglobin S (hemoglobin SC, hemoglobin S/beta(0)-thalassemia, and
hemoglobin S/beta(+)-thalassemia). Clinical manifestations resulting from
hemoglobin S polymerization are often milder in patients with heterozygous
hemoglobin S. These patients may not present with clinically apparent acute
sickle cell crises, but these milder forms can provide a unique view of the
kidney injury in sickle cell disease. Here, we report a patient with hemoglobin
SC disease who showed peritubular capillary and vasa recta thrombi and capillary
basement membrane alterations primarily involving the renal medulla. This patient
highlights the vascular occlusion and endothelial cell injury in the medulla that
contribute to sickle cell nephropathy.
PMID- 26546259
TI - Safety and immunogenicity of ricin vaccine, RVEcTM, in a Phase 1 clinical trial.
AB - Ricin is a potent toxin and potential bioterrorism weapon for which no specific
licensed countermeasures are available. We report the safety and immunogenicity
of the ricin vaccine RVEcTM in a Phase 1 (N=30) multiple-dose, open-label, non
placebo-controlled, dose-escalating (20, 50, and 100MUg), single-center study.
Each subject in the 20- and 50-MUg dose groups (n=10 for each group) received
three injections at 4-week intervals and was observed carefully for untoward
effects of the vaccine; blood was drawn at predetermined intervals after each
dose for up to 1 year. RVEcTM was safe and well tolerated at the 20- and 50-MUg
doses. The most common adverse events were pain at the injection site and
headache. Of the 10 subjects who received a single 100-MUg dose, two developed
elevated creatine phosphokinase levels, which resolved without sequelae. No
additional doses were administered to subjects in the 100-MUg group.
Immunogenicity of the vaccine was evaluated by measuring antibody response using
the well standardized enzyme-linked immunosorbent assay (ELISA) and toxin
neutralization assay (TNA). Of the subjects in the 20- and 50-MUg dose groups,
100% achieved ELISA anti-ricin IgG titers of 1:500 to 1:121,500 and 50% produced
neutralizing anti-ricin antibodies measurable by TNA. Four subjects in the 50-MUg
group received a single booster dose of RVEcTM 20-21 months after the initial
dose. The single booster was safe and well tolerated, resulting in no serious
adverse events, and significantly enhanced immunogenicity of the vaccine in human
subjects. Each booster recipient developed a robust anamnestic response with
ELISA anti-ricin IgG titers of 1:13,500 to 1:121,500 and neutralizing antibody
titers of 1:400 to 1:3200. Future studies will attempt to optimize dose,
scheduling, and route of administration. This study is registered at
clinicaltrials.gov (NCT01317667 and NCT01846104).
PMID- 26546260
TI - From current vaccine recommendations to everyday practices: An analysis in five
sub-Saharan African countries.
AB - BACKGROUND: Estimates of WHO and UNICEF vaccination coverage may provide little
insight into the extent to which vaccinations are administered on time. Yet, lack
of adherence to the recommended age to receive a specific vaccination may have
detrimental health consequences. For example, delays in receiving vaccination
will prolong the risk of lack of protection, often when disease risk is highest,
such as during early infancy. We estimated the reported age at vaccination, and
vaccine coverage at different ages in children from five sub-Saharan African
countries. METHODS: We analyzed data from the latest Demographic and Health
Programme databases available for Burkina Faso 2010 (n=15,044 observations),
Ghana 2008 (n=2992), Kenya 2008-9 (n=6079), Senegal 2010-11 (n=12,326), and
Tanzania 2010 (n=8023). We assessed, amongst vaccinees, the exact age when
vaccine was administered for the three infant doses of pentavalent vaccine (DTP)
and the first dose of measles-containing-vaccine (MCV), as well as the proportion
of children immunized with these antigens by a certain age. Vitamin A
supplementation (VAS) coverage was evaluated as a potential contact visit for
vaccine introduction. RESULTS: For all DTP doses, the median intervals between
recommended and actual ages of receiving vaccination ranged from 12, 17 and 23
days in Kenya, to 22, 33 and 45 days in Senegal. MCV was mostly given during the
recommended age of 9 months. In each country, there was a large discrepancy in
the median age at DTP vaccination between regions. VAS coverage in young children
ranged from 30.3% in Kenya to 78.4% in Senegal, with large variations observed
between areas within each study country. CONCLUSION: In the context of new
vaccine introduction, age of children at vaccination should be monitored to
interpret data on vaccine-preventable disease burden, vaccine effectiveness, and
vaccine safety, and to adapt targeted interventions and messages.
PMID- 26546261
TI - The efficacy of a novel vaccine approach using tumor cells that ectopically
express a codon-optimized murine GM-CSF in a murine tumor model.
AB - Granulocyte macrophage-colony stimulating factor (GM-CSF) is a potent
immunomodulatory cytokine that is known to facilitate vaccine efficacy by
promoting the development and prolongation of both humoral and cellular immunity.
Here, we investigated a novel vaccine approach using a human papillomavirus (HPV)
16 E6/E7-transformed cell line, TC-1, that ectopically expresses a codon
optimized 26-11-2015 murine GM-CSF (cGM-CSF). Ectopically expressing cGM-CSF in
TC-1 (TC-1/cGM) cells significantly increased expression of a GM-CSF that was
functionally identical to wt GM-CSF by 9-fold compared with ectopically expressed
wild type GM-CSF in TC-1 cells (TC-1/wt). Mice vaccinated with irradiated TC
1/cGM cells exhibited enhanced survival compared with mice vaccinated with TC
1/wt cells when both groups were subsequently injected with live TC-1.
Consistently, mice vaccinated with irradiated TC-1/cGM cells exhibited stronger
IFN-gamma production in HPV E7-specific CD8(+) T cells. More dendritic cells were
recruited to the draining lymph nodes (dLNs) of mice vaccinated with TC-1/cGM
cells than C-1/wt cells. Regarding dLN cell recall responses, both proliferation
and IFN-gamma production in the HPV E7-specific CD8(+) T cells were enhanced in
mice that were vaccinated with TC-1/cGM cells. Our results demonstrate that a
novel practical molecular strategy utilizing a codon-optimized GM-CSF gene
overcomes the limitation and improves the efficacy of tumor cell-based vaccines.
PMID- 26546262
TI - Effectiveness of monovalent rotavirus vaccine in a high-income, predominant-use
setting.
AB - BACKGROUND AND OBJECTIVES: We assessed monovalent rotavirus (RV1) vaccine
effectiveness (VE) in a high-income setting with RV1 predominant use, and
examined the burden of pediatric rotavirus gastroenteritis following the
implementation of an RV1-only vaccination program. METHODS: We conducted active
rotavirus gastroenteritis surveillance among children 8 weeks to <3 years of age
at three hospitals. Participant information and vaccination histories were
collected via parent/guardian interview and medical records. Stool specimens were
tested for rotavirus; positive specimens were genotyped. The effect of increasing
RV1 coverage on rotavirus prevalence was examined as a weekly time series via
binomial regression with a log link function, using either categorical season or
mean 2-dose rotavirus seasonal vaccine coverage as the exposure variable. As
compared with RV1 vaccine formulation, rotavirus genotypes were classified as
homotypic, partly-heterotypic, or heterotypic; prevalence of each was compared by
season. A test-negative case-control design was used to examine RV1 VE against
hospitalization or emergency visits. RESULTS: We enrolled 866 participants in
active surveillance; of these, 384 (44.3%) were eligible for VE analyses. After
adjustment for season, we detected a 70.1% (95% CI: 21.9%, 88.6%) relative
decrease in rotavirus prevalence in the 2013-14 season compared with 2012-13
season. On average, a 1% increase in >=2-dose rotavirus coverage among children 1
year of age was associated with a 3.8% (95% CI: 1.8%, 5.8%) relative decrease in
rotavirus prevalence. Rotavirus homotypic strain prevalence decreased, with 77%
(95% CI: 68%, 89%) versus 8% (95% CI: 0%, 36%) prevalence during the 2011-12 and
2013-14 seasons, respectively. Adjusted 2-dose RV1 VE was 91.2% (95% CI: 61.6%,
98.0%). CONCLUSIONS: RV1 vaccine was highly effective to prevent rotavirus
hospitalizations and emergency visits among children <3 years of age in a high
income setting with its predominant use. Our estimates were similar to high
income settings with concurrent RV1 and pentavalent vaccine use.
PMID- 26546263
TI - Response to pneumococcal polysaccharide vaccination in patients with chronic and
allergic aspergillosis.
AB - INTRODUCTION: Pneumococcal infection causes significant morbidity in patients
with underlying lung disease, and vaccination has been associated with reduced
disease rates. Response to vaccination has not been studied in chronic lung
conditions characterised by ongoing infection or inflammation like chronic
pulmonary aspergillosis (CPA). METHODS: In a prospective observational study,
consecutive patients with CPA, allergic aspergillosis and bronchiectasis
attending a national referral centre received pneumococcal 23-valent
polysaccharide vaccine (PPV-23) and had pre- and post-vaccination antibody
concentrations quantified as part of routine clinical care. Serotype-specific
pneumococcal IgG antibodies were quantified for 12 serotypes using a multiplex
microsphere assay. A protective response was defined as a level of >1.3MUg/mL or
a >= fourfold rise in concentration for >=70% of serotypes, pre to post
vaccination. C-reactive protein, Immunoglobulins and mannose binding lectin (MBL)
levels were measured and correlated to vaccine response. RESULTS: A total of 318
patients were enrolled. In vaccine-naive patients (n=127), the lowest pre
vaccination levels were seen with serotypes 1 and 4 and the highest with serotype
19A. A protective response post-vaccination was seen in 50% of patients. The
poorest responses were observed with serotypes 1, 3 and 4. Levels of C-reactive
protein did not affect efficacy. Profound MBL deficiency was found in 28.8%;
there were no significant differences in response to vaccination in patients with
or without MBL deficiency. Post-vaccination serotype-specific concentrations
waned gradually, however they were still elevated compared to pre-vaccination
after 2-5 years. CONCLUSIONS: Patients with chronic and allergic aspergillosis
exhibited a poor response to PPV-23 vaccination compared to healthy adults. An
alternative vaccination strategy or delay of vaccination until their underlying
condition is better controlled, e.g. after treatment with antifungals may result
in better response.
PMID- 26546264
TI - Neutralizing epitopes mapping of human adenovirus type 14 hexon.
AB - Human adenoviruses 14 (HAdV-14) caused several clusters of acute respiratory
disease (ARD) outbreaks in both civilian and military settings. The
identification of the neutralizing epitopes of HAdV-14 is important for the
surveillance and control of infection. Since the previous studies had indicated
that the adenoviruses neutralizing epitopes were likely to be exposed on the
surface of the hexon, four epitope peptides, A14R1 (residues 141-157), A14R2
(residues 181-189), A14R4 (residues 252-260) and A14R7 (residues 430-442) were
predicted and mapped onto the 3D structures of hexon by homology modeling
approach. Then the four peptides were synthesized, and all the four putative
epitopes were identified as neutralizing epitopes by enzyme-linked immunosorbent
assay (ELISA) and neutralization tests (NT). Finally we incorporated the four
epitopes into human adenoviruses 3 (HAdV-3) vectors using the "antigen capsid
incorporation" strategy, and two chimeric adenoviruses, A14R2A3 and A14R4A3, were
successfully obtained which displayed A14R2 and A14R4 respectively on the hexon
surface of HAdV-3 virions. Further analysis showed that the two chimeric viruses
antiserum could neutralize both HAdV-14 and HAdV-3 infection. The neutralization
titers of anti-A14R4A3 group were significantly higher than the anti-KLH-A14R4
group (P=0.0442). These findings have important implications for the development
of peptide-based broadly protective HAdV-14 and HAdV-3 bivalent vaccine.
PMID- 26546265
TI - Nonlinear optical behavior of Li n F (n = 2-5) superalkali clusters.
AB - Hyperlithiated clusters are known for their unusual bonding characteristics and
lower ionization potentials. This study reports nonlinear optical (NLO)
properties of a series of hyperlithiated clusters, Li n F (n = 2-5) for the first
time. The structures of small Li n F (n = 2-5) clusters, obtained using second
order Moller-Plesset perturbative method, are found to be stable against
eliminations of F, F?, and LiF. These Li n F species are stabilized by both ionic
as well as covalent interactions. Our study shows that Li n F species can be
thought of as superalkali-halogen (Li n - F) clusters but belong to the class of
superalkalies themselves. These clusters may also possess alkalide and/or
electride characteristics due to excess electrons. The dipole moment, mean
polarizability, and hyperpolarizability suggest their significant NLO responses
which are explained using the highest occupied molecular orbital surfaces and TD
DFT analysis. The exceptionally large hyperpolarizability of Li2F (~10(5) a.u.)
and its electride characteristics are particularly highlighted. This study may
guide the researchers in the design of novel materials with significant NLO
responses useful for electro-optical applications. Graphical Abstract Li2F
superalkali resemble an electride in which the excess electron is pushed out by
Li2 (+) moiety, leading to its high hyperpolarizability of order of 10(5) a.u.
PMID- 26546266
TI - Imaging the Cemento-Enamel Junction Using a 20-MHz Ultrasonic Transducer.
AB - The cemento-enamel junction (CEJ), which is the intersection between enamel and
cementum, is an important landmark in the diagnosis of periodontal disease. Pulse
echo ultrasound was used to image the CEJs of six porcine lower central incisors
with a single 20-MHz transducer. A notch was longitudinally created on the enamel
as a stable marker, from which the CEJ was measured. Data were acquired along the
tooth's axis at 0.4-mm intervals. Time-distance data were bandpass-filtered to
enhance signal-to-noise ratio and record density was increased fourfold to 0.1-mm
spacing by a frequency-distance interpolation scheme. Reflections from the CEJ
were unambiguously identified along with those from enamel, dentin and cementum.
The notch-CEJ distances measured by the ultrasound and micro-computed tomography
methods correlated strongly (r = 0.996, p < 0.05) and were in good agreement with
the 95% lines of agreement between -0.49 and 0.17 mm, as statistically determined
by Bland-Altman analysis. The results indicate the potential of ultrasound to be
a reliable and non-ionizing technique to image the CEJ.
PMID- 26546267
TI - The direction of cross affects [corrected] obesity after puberty in male but not
female offspring.
AB - BACKGROUND: We investigated parent-of-origin and allele-specific expression
effects on obesity and hepatic gene expression in reciprocal crosses between the
Berlin Fat Mouse Inbred line (BFMI) and C57Bl/6NCrl (B6N). RESULTS: We found that
F1-males with a BFMI mother developed 1.8 times more fat mass on a high fat diet
at 10 weeks than F1-males of a BFMI father. The phenotype was detectable from six
weeks on and was preserved after cross-fostering. RNA-seq data of liver provided
evidence for higher biosynthesis and elongation of fatty acids (p = 0.00635) in
obese male offspring of a BFMI mother versus lean offspring of a BFMI father.
Furthermore, fatty acid degradation (p = 0.00198) and the peroxisome pathway were
impaired (p = 0.00094). The circadian rhythm was affected as well (p = 0.00087).
Among the highest up-regulated protein coding genes in obese males were Acot4
(1.82 fold, p = 0.022), Cyp4a10 (1.35 fold, p = 0.026) and Cyp4a14 (1.32 fold, p
= 0.012), which hydroxylize fatty acids and which are known to be increased in
liver steatosis. Obese males showed lower expression of the genetically imprinted
and paternally expressed 3 (Peg3) gene (0.31 fold, p = 0.046) and higher
expression of the androgen receptor (Ar) gene (2.38 fold, p = 0.068). Allelic
imbalance was found for expression of ATP-binding cassette transporter gene
Abca8b. Several of the differentially expressed genes contain estrogen response
elements. CONCLUSIONS: Parent-of-origin effects during gametogenesis and/or fetal
development in an obese mother epigenetically modify the transcription of genes
that lead to enhanced fatty acid synthesis and impair beta-oxidation in the liver
of male, but not female F1 offspring. Down-regulation of Peg3 could contribute to
trigger this metabolic setting. At puberty, higher amounts of the androgen
receptor and altered access to estrogen response elements in affected genes are
likely responsible for male specific expression of genes that were epigenetically
triggered. A suggestive lack of estrogen binding motifs was found for highly down
regulated genes in adult hepatocytes of obese F1 males (p = 0.074).
PMID- 26546269
TI - Using in vivo imaging to measure RNA mobility in Xenopus laevis oocytes.
AB - RNA localization in the Xenopus oocyte is responsible for the establishment of
polarity during oogenesis as well as the specification of germ layers during
embryogenesis. However, the inability to monitor mRNA localization in live
vertebrate oocytes has posed a major barrier to understanding the mechanisms
driving directional transport. Here we describe a method for imaging MS2 tagged
RNA in live Xenopus oocytes to study the dynamics of RNA localization. We also
focus on methods for implementing and analyzing FRAP data. This protocol is
optimized for imaging of the RNAs in stage II oocytes but it can be adapted to
study dynamics of other molecules during oogenesis. Using this approach, mobility
can be measured in different regions of the oocyte, enabling the direct
observation of molecular dynamics throughout the oocyte.
PMID- 26546268
TI - The Wnts of change: How Wnts regulate phenotype switching in melanoma.
AB - The outgrowth of metastatic and therapy-resistant subpopulations in cancer
remains a critical barrier for the successful treatment of this disease. In
melanoma, invasion and proliferation are uncoupled, such that highly
proliferative melanoma cells are less likely to be invasive, and vice versa. The
transition between each state is likely a dynamic rather than a static, permanent
change. This is referred to as "phenotype switching". Wnt signaling pathways
drive phenotypic changes and promote therapy resistance in melanoma, as well as
play roles in the modulation of the immune microenvironment. Three Wnt signaling
pathways play a role in melanoma progression, canonical (beta-catenin dependent),
polar cell polarity (PCP), and the Wnt/Ca2+ pathway. Here we summarize phenotype
plasticity and its role in therapy resistance and immune evasion. Targeting the
Wnt signaling pathways may be an effective way to overcome tumor plasticity in
melanoma.
PMID- 26546270
TI - Tracking the in vivo release of bioactive NRG from PLGA and PEG-PLGA
microparticles in infarcted hearts.
AB - The growth factor neuregulin (NRG) is one of the most promising candidates in
protein therapy as potential treatment for myocardial infarction (MI). In the
last few years, biomaterial based delivery systems, such as polymeric
microparticles (MPs) made of poly(lactic co glycolic acid) and polyethylene
glycol (PLGA and PEG-PLGA MPs), have improved the efficacy of protein therapy in
preclinical studies. However, no cardiac treatment based on MPs has yet been
commercialized since this is a relatively new field and total characterization of
polymeric MPs remains mandatory before they reach the clinical arena. Therefore,
the objective of this study was to characterize the in vivo release, bioactivity
and biodegradation of PLGA and PEG-PLGA MPs loaded with biotinylated NRG in a rat
model of MI. The effect of PEGylation in the clearance of the particles from the
cardiac tissue was also evaluated. Interestingly, MPs were detected in the
cardiac tissue for up to 12 weeks after administration. In vivo release analysis
showed that bNRG was released in a controlled manner throughout the twelve week
study. Moreover, the biological cardiomyocyte receptor (ErbB4) for NRG was
detected in its activated form only in those animals treated with bNRG loaded
MPs. On the other hand, the PEGylation strategy was effective in diminishing
phagocytosis of these MPs compared to noncoated MPs in the long term (12 weeks
after injection). Taking all this together, we report new evidence in favor of
the use of polymeric PLGA and PEG-PLGA MPs as delivery systems for treating MI,
which could be soon included in clinical trials.
PMID- 26546271
TI - Disarmed anthrax toxin delivers antisense oligonucleotides and siRNA with high
efficiency and low toxicity.
AB - Inefficient cytosolic delivery and vector toxicity contribute to the limited use
of antisense oligonucleotides (ASOs) and siRNA as therapeutics. As anthrax toxin
(Atx) accesses the cytosol, the purpose of this study was to evaluate the
potential of disarmed Atx to deliver either ASOs or siRNA. We hypothesized that
this delivery strategy would facilitate improved transfection efficiency while
eliminating the toxicity seen for many vectors due to membrane destabilization.
Atx complex formation with ASOs or siRNA was achieved via the in-frame fusion of
either Saccharomyces cerevisiae GAL4 or Homo sapien sapien PKR (respectively) to
a truncation of Atx lethal factor (LFn), which were used with Atx protective
antigen (PA). Western immunoblotting confirmed the production of: LFN-GAL4, LFn
PKR and PA which were detected at ~45.9 kDa, ~37 kDa, and ~83 kDa respectively
and small angle neutron scattering confirmed the ability of PA to form an annular
structure with a radius of gyration of 7.0 +/- 1.0 nm when placed in serum. In
order to form a complex with LFn-GAL4, ASOs were engineered to contain a double
stranded region, and a cell free in vitro translation assay demonstrated that no
loss of antisense activity above 30 pmol ASO was evident. The in vitro toxicity
of both PA:LFn-GAL4:ASO and PA:LFn-PKR:siRNA complexes was low (IC50>100 MUg/mL
in HeLa and Vero cells) and subcellular fractionation in conjunction with
microscopy confirmed the detection of LFn-GAL4 or LFn-PKR in the cytosol.
Syntaxin5 (Synt5) was used as a model target gene to determine pharmacological
activity. The PA:LFn-GAL4:ASO complexes had transfection efficiency approximately
equivalent to Nucleofection(r) over a variety of ASO concentrations (24h post
transfection) and during a 72 h time course. In HeLa cells, at 200 pmol ASO (with
PA:LFN-GAL4), 5.4 +/- 2.0% Synt5 expression was evident relative to an untreated
control after 24h. Using 200 pmol ASOs, Nucleofection(r) reduced Synt5 expression
to 8.1 +/- 2.1% after 24h. PA:LFn-GAL4:ASO transfection of non- or terminally
differentiated THP-1 cells and Vero cells resulted in 35.2 +/- 19.1%, 36.4 +/-
1.8% and 22.9 +/- 6.9% (respectively) Synt5 expression after treatment with 200
pmol of ASO and demonstrated versatility. Nucleofection(r) with Stealth RNAiTM
siRNA reduced HeLa Synt5 levels to 4.6 +/- 6.1% whereas treatment with the PA:LFn
PKR:siRNA resulted in 8.5 +/- 3.4% Synt5 expression after 24h (HeLa cells). These
studies report for the first time an ASO and RNAi delivery system based upon
protein toxin architecture that is devoid of polycations. This system may utilize
regulated membrane back-fusion for the cytosolic delivery of ASOs and siRNA,
which would account for the lack of toxicity observed. High delivery efficiency
suggests further in vivo evaluation is warranted.
PMID- 26546272
TI - Decline in objective physical activity over a 10-year period in a Japanese
elementary school.
AB - BACKGROUND: The purpose of this study was to examine the change in accelerometer
derived daily physical activity (PA) and activity record-derived daily activities
over a 10-year period in urban elementary schoolchildren in Japan. METHODS: A
total of 233 sixth-grade children (11-12 years old) in a same elementary school
in Kyoto participated in the study (n = 125 and 108 in 1999 and 2009,
respectively). The participant rate is 91.9 and 98.2% in 1999 and 2009,
respectively. The size and shape of the school district was not changed. The
children were instructed to wear an accelerometer for 5 consecutive days of
weekday and to keep minute-by-minute 24-h activity records with the assistance of
their parents. The school-day scheduling was similar between 1999 and 2009 (29
and 27 sessions of 45-min class per week, respectively). RESULTS: From 1999 to
2009, step counts considerably decreased (20,832 vs. 12,237 steps per day in boys
and 16,087 vs. 10,748 steps per day in girls; P < 0.001) with concomitant
significant decreases in total energy expenditure (P = 0.011), activity energy
expenditure (P < 0.001), and physical activity level (P < 0.001). Time spent
playing outdoors and walking to school were also significantly less in 2009 than
1999 (P < 0.001). Accelerometer-measured PA was significantly associated with the
amount of time spent playing outdoors and walking to school. CONCLUSIONS: These
results indicate that elementary schoolchildren in 2009 spend less time playing
outdoors and walking to school, perform less PA, and take fewer steps than
children of a decade ago.
PMID- 26546273
TI - Evidence-based medicine: is it a bridge too far?
AB - AIMS: This paper aims to describe the contextual factors that gave rise to
evidence-based medicine (EBM), as well as its controversies and limitations in
the current health context. Our analysis utilizes two frameworks: (1) a complex
adaptive view of health that sees both health and healthcare as non-linear
phenomena emerging from their different components; and (2) the unified approach
to the philosophy of science that provides a new background for understanding the
differences between the phases of discovery, corroboration, and implementation in
science. RESULTS: The need for standardization, the development of clinical
epidemiology, concerns about the economic sustainability of health systems and
increasing numbers of clinical trials, together with the increase in the
computer's ability to handle large amounts of data, have paved the way for the
development of the EBM movement. It was quickly adopted on the basis of
authoritative knowledge rather than evidence of its own capacity to improve the
efficiency and equity of health systems. The main problem with the EBM approach
is the restricted and simplistic approach to scientific knowledge, which
prioritizes internal validity as the major quality of the studies to be included
in clinical guidelines. As a corollary, the preferred method for generating
evidence is the explanatory randomized controlled trial. This method can be
useful in the phase of discovery but is inadequate in the field of
implementation, which needs to incorporate additional information including
expert knowledge, patients' values and the context. CONCLUSION: EBM needs to move
forward and perceive health and healthcare as a complex interaction, i.e. an
interconnected, non-linear phenomenon that may be better analysed using a variety
of complexity science techniques.
PMID- 26546274
TI - Validity of flounce sign to rule out medial meniscus tear in knee arthroscopy.
AB - BACKGROUND: The meniscal flounce is wavy fold in free inner border of meniscus
seen during knee arthroscopy. The presence of this flounce in medial meniscus has
been found to be highly predictive of normal medial meniscus. With meniscus
related symptoms being commonest indication for undergoing knee arthroscopy,
presence or absence of medial meniscus flounce, can be a good guiding sign. In
this study, we aimed to validate the significance of the flounce sign in ruling
out medial meniscus tear. METHODS: A prospective study was undertaken to validate
the significance of flounce sign. There were 62 patients who underwent
arthroscopic surgery of the knee over the duration of one and half years. Free
inner margin of medial meniscus as seen through anterolateral portal was recorded
for the presence or absence of flounce. The sensitivity, specificity, positive
predictive value (PPV), negative predictive value (NPV) and accuracy of this sign
was then calculated for diagnosing normal medial meniscus. Significance was
tested with chi square test with 95 % confidence interval. RESULTS: A total of 62
cases were included. The sensitivity, specificity, PPV, NPV and accuracy of
flounce sign was found to be 67.64 %, 92.85 %, 92 %, 70.27 % and 79.03 %
respectively, and the result was significant (p value = 0.0001). CONCLUSION: The
flounce sign has been shown to have high diagnostic value. Use of it in routine
knee arthroscopy can be helpful, particularly during screening procedure and in
exploring tears which are usually not seen easily through routine portals.
PMID- 26546275
TI - Drought and leaf herbivory influence floral volatiles and pollinator attraction.
AB - The effects of climate change on species interactions are poorly understood.
Investigating the mechanisms by which species interactions may shift under
altered environmental conditions will help form a more predictive understanding
of such shifts. In particular, components of climate change have the potential to
strongly influence floral volatile organic compounds (VOCs) and, in turn, plant
pollinator interactions. In this study, we experimentally manipulated drought and
herbivory for four forb species to determine effects of these treatments and
their interactions on (1) visual plant traits traditionally associated with
pollinator attraction, (2) floral VOCs, and (3) the visitation rates and
community composition of pollinators. For all forbs tested, experimental drought
universally reduced flower size and floral display, but there were species
specific effects of drought on volatile emissions per flower, the composition of
compounds produced, and subsequent pollinator visitation rates. Moreover, the
community of pollinating visitors was influenced by drought across forb species
(i.e. some pollinator species were deterred by drought while others were
attracted). Together, these results indicate that VOCs may provide more nuanced
information to potential floral visitors and may be relatively more important
than visual traits for pollinator attraction, particularly under shifting
environmental conditions.
PMID- 26546276
TI - A qualitative study of women's views on overdiagnosis and screening for thyroid
cancer in Korea.
AB - BACKGROUND: The incidence of thyroid cancer in Korea has increased by about 25 %
every year for the past 10 years. This increase is largely due to a rising
incidence in papillary thyroid cancer, which is associated with an overdiagnosis
of small tumors that may never become clinically significant. This study was
conducted to explore Korean women's understanding of overdiagnosis and to
investigate changes in screening intention in response to overdiagnosis
information. METHODS: Focus group interviews were conducted among women of ages
30-69 years, who are commonly targeted in Korea for cancer screening. Women were
divided into four groups according to thyroid cancer screening history and
history of thyroid disease. Of 51 women who were contacted, 29 (57 %)
participated in the interviews. RESULTS: Prior awareness of thyroid cancer
overdiagnosis was minimal. When informed about the risks of overdiagnosis, the
participants were often surprised. Overcoming initial malcontent, many women
remained skeptic about overdiagnosis and trusted in the advice of their
physicians. Meanwhile, some of the study participants found explanations of
overdiagnosis difficult to understand. Further, hearing about the risks of
overdiagnosis had limited impact on the participants' attitudes and intentions to
undergo thyroid cancer screening, as many women expressed willingness to
undergoing continued screening in the future. CONCLUSION: A large majority of
Korean women eligible for and had undergone thyroid cancer screening were unaware
of the potential for overdiagnosis. Nevertheless, overdiagnosis information
generally had little impact on their beliefs about thyroid cancer screening and
their intentions to undergo future screening. Further research is needed to
determine whether these findings could be generalized to the wider Korean
population.
PMID- 26546277
TI - Petroleum contaminated water and health symptoms: a cross-sectional pilot study
in a rural Nigerian community.
AB - BACKGROUND: The oil-rich Niger Delta suffers from extensive petroleum
contamination. A pilot study was conducted in the region of Ogoniland where one
community, Ogale, has drinking water wells highly contaminated with a refined oil
product. In a 2011 study, the United Nations Environment Programme (UNEP) sampled
Ogale drinking water wells and detected numerous petroleum hydrocarbons,
including benzene at concentrations as much as 1800 times higher than the USEPA
drinking water standard. UNEP recommended immediate provision of clean drinking
water, medical surveillance, and a prospective cohort study. Although the
Nigerian government has provided emergency drinking water, other UNEP
recommendations have not been implemented. We aimed to (i) follow up on UNEP
recommendations by investigating health symptoms associated with exposure to
contaminated water; and (ii) assess the adequacy and utilization of the
government-supplied emergency drinking water. METHODS: We recruited 200
participants from Ogale and a reference community, Eteo, and administered
questionnaires to investigate water use, perceived water safety, and self
reported health symptoms. RESULTS: Our multivariate regression analyses show
statistically significant associations between exposure to Ogale drinking water
and self-reported health symptoms consistent with petroleum exposure.
Participants in Ogale more frequently reported health symptoms related to
neurological effects (OR = 2.8), hematological effects (OR = 3.3), and irritation
(OR = 2.7). CONCLUSIONS: Our results are the first from a community relying on
drinking water with such extremely high concentrations of benzene and other
hydrocarbons. The ongoing exposure and these pilot study results highlight the
need for more refined investigation as recommended by UNEP.
PMID- 26546279
TI - Towards Engineering Biological Systems in a Broader Context.
AB - Significant advances have been made in synthetic biology to program information
processing capabilities in cells. While these designs can function predictably in
controlled laboratory environments, the reliability of these devices in complex,
temporally changing environments has not yet been characterized. As human society
faces global challenges in agriculture, human health and energy, synthetic
biology should develop predictive design principles for biological systems
operating in complex environments. Natural biological systems have evolved
mechanisms to overcome innumerable and diverse environmental challenges.
Evolutionary design rules should be extracted and adapted to engineer stable and
predictable ecological function. We highlight examples of natural biological
responses spanning the cellular, population and microbial community levels that
show promise in synthetic biology contexts. We argue that synthetic circuits
embedded in host organisms or designed ecologies informed by suitable measurement
of biotic and abiotic environmental parameters could be used as engineering
substrates to achieve target functions in complex environments. Successful
implementation of these methods will broaden the context in which synthetic
biological systems can be applied to solve important problems.
PMID- 26546278
TI - Recent Advances in Deciphering the Structure and Molecular Mechanism of the AAA+
ATPase N-Ethylmaleimide-Sensitive Factor (NSF).
AB - N-ethylmaleimide-sensitive factor (NSF), first discovered in 1988, is a key
factor for eukaryotic trafficking, including protein and hormone secretion and
neurotransmitter release. It is a member of the AAA+ family (ATPases associated
with diverse cellular activities). NSF disassembles soluble N-ethylmaleimide
sensitive factor attachment protein receptor (SNARE) complexes in conjunction
with soluble N-ethylmaleimide-sensitive factor attachment protein (SNAP).
Structural studies of NSF and its complex with SNAREs and SNAPs (known as 20S
supercomplex) started about 20years ago. Crystal structures of individual N and
D2 domains of NSF and low-resolution electron microscopy structures of full
length NSF and 20S supercomplex have been reported over the years. Nevertheless,
the molecular architecture of the 20S supercomplex and the molecular mechanism of
NSF-mediated SNARE complex disassembly remained unclear until recently. Here we
review recent atomic-resolution or near-atomic resolution structures of NSF and
of the 20S supercomplex, as well as recent insights into the molecular mechanism
and energy requirements of NSF. We also compare NSF with other known AAA+ family
members.
PMID- 26546280
TI - Association between anthropometric indices and cardiometabolic risk factors in
pre-school children.
AB - BACKGROUND: The world health organization (WHO) and the Identification and
prevention of dietary- and lifestyle-induced health effects in children and
infants- study (IDEFICS), released anthropometric reference values obtained from
normal body weight children. This study examined the relationship between WHO
[body mass index (BMI) and triceps- and subscapular-skinfolds], and IDEFICS
(waist circumference, waist to height ratio and fat mass index) anthropometric
indices with cardiometabolic risk factors in pre-school children ranging from
normal body weight to obesity. METHODS: A cross-sectional study with 232 children
(aged 4.1 +/- 0.05 years) was performed. Anthropometric measurements were
collected and BMI, waist circumference, waist to height ratio, triceps- and
subscapular-skinfolds sum and fat mass index were calculated. Fasting glucose,
fasting insulin, homeostasis model analysis insulin resistance (HOMA-IR), blood
lipids and apolipoprotein (Apo) B-100 (Apo B) and Apo A-I were determined.
Pearson's correlation coefficient, multiple regression analysis and the receiver
operating characteristic (ROC) curve analysis were run. RESULTS: 51% (n = 73) of
the boys and 52% (n = 47) of the girls were of normal body weight, 49% (n = 69)
of the boys and 48% (n = 43) of the girls were overweight or obese.
Anthropometric indices correlated (p < 0.001) with insulin: [BMI (r = 0.514),
waist circumference (r = 0.524), waist to height ratio (r = 0.304), triceps- and
subscapular-skinfolds sum (r = 0.514) and fat mass index (r = 0.500)], and HOMA
IR: [BMI (r = 0.509), waist circumference (r = 0.521), waist to height ratio (r =
0.296), triceps- and subscapular-skinfolds sum (r = 0.483) and fat mass index (r
= 0.492)]. Similar results were obtained after adjusting by age and sex. The
areas under the curve (AUC) to identify children with insulin resistance were
significant (p < 0.001) and similar among anthropometric indices (AUC > 0.68 to
AUC < 0.76). CONCLUSIONS: WHO and IDEFICS anthropometric indices correlated
similarly with fasting insulin and HOMA-IR. The diagnostic accuracy of the
anthropometric indices as a proxy to identify children with insulin resistance
was similar. These data do not support the use of waist circumference, waist to
height ratio, triceps- and subscapular- skinfolds sum or fat mass index, instead
of the BMI as a proxy to identify pre-school children with insulin resistance,
the most frequent alteration found in children ranging from normal body weight to
obesity.
PMID- 26546281
TI - Mechanisms of Toll-like Receptor 4 Endocytosis Reveal a Common Immune-Evasion
Strategy Used by Pathogenic and Commensal Bacteria.
AB - Microbe-induced receptor trafficking has emerged as an essential means to promote
innate immune signal transduction. Upon detection of bacterial
lipopolysaccharides (LPS), CD14 induces an inflammatory endocytosis pathway that
delivers Toll-like receptor 4 (TLR4) to endosomes. Although several regulators of
CD14-dependent TLR4 endocytosis have been identified, the cargo-selection
mechanism during this process remains unknown. We reveal that, in contrast to
classic cytosolic interactions that promoted the endocytosis of transmembrane
receptors, TLR4 was selected as cargo for inflammatory endocytosis entirely
through extracellular interactions. Mechanistically, the extracellular protein MD
2 bound to and dimerized TLR4 in order to promote this endocytic event. Our
analysis of LPS variants from human pathogens and gut commensals revealed a
common mechanism by which bacteria prevent inflammatory endocytosis. We suggest
that evasion of CD14-dependent endocytosis is an attribute that transcends the
concept of pathogenesis and might be a fundamental feature of bacteria that
inhabit eukaryotic hosts.
PMID- 26546283
TI - Five cancer drugs back on NHS list after deals with drug companies.
PMID- 26546282
TI - Activation-Induced Cytidine Deaminase Expression in Human B Cell Precursors Is
Essential for Central B Cell Tolerance.
AB - Activation-induced cytidine deaminase (AID), the enzyme-mediating class-switch
recombination (CSR) and somatic hypermutation (SHM) of immunoglobulin genes, is
essential for the removal of developing autoreactive B cells. How AID mediates
central B cell tolerance remains unknown. We report that AID enzymes were
produced in a discrete population of immature B cells that expressed
recombination-activating gene 2 (RAG2), suggesting that they undergo secondary
recombination to edit autoreactive antibodies. However, most AID+ immature B
cells lacked anti-apoptotic MCL-1 and were deleted by apoptosis. AID inhibition
using lentiviral-encoded short hairpin (sh)RNA in B cells developing in humanized
mice resulted in a failure to remove autoreactive clones. Hence, B cell intrinsic
AID expression mediates central B cell tolerance potentially through its RAG
coupled genotoxic activity in self-reactive immature B cells.
PMID- 26546284
TI - Attention deficit hyperactivity disorder: From parents to children.
AB - INTRODUCTION: Multiple studies of attention deficit hyperactivity disorder (ADHD)
have recognised a heritability factor in that a higher frequency of the disorder
is observed in children with an affected relative. Our aim was to determine the
association between ADHD symptoms in young children enrolled in five schools in
Bogota and a history of ADHD symptoms in their parents using the Wender-Utah
Rating Scale. METHODOLOGY: Case-control study of participants selected according
to DSM-IV criteria for ADHD and the Behavioral Assessment System for Children
(BASC) completed by parents and teachers; the WISC-IV scale was used to exclude
children with cognitive deficit. Parents completed the Wender-Utah Rating Scale
to retrospectively identify any ADHD symptoms in childhood. A score of 36 was
used as a cutoff point. RESULTS: The study included 202 children: 117 cases and
85 controls. A positive history of ADHD symptoms in childhood was identified for
16% of 175 mothers and 20.6% of 141 fathers. The presence of symptoms in either
parent, especially the mother, constitutes a significant risk factor for ADHD in
children and this relationship persists after controlling for different
variables. If both parents have the disorder, the risk tends to increase.
CONCLUSION: Although ADHD has been linked to a genetic component, other
environmental factors may be involved in the disorder.
PMID- 26546285
TI - Early- and late-onset Alzheimer disease: Are they the same entity?
AB - Early-onset Alzheimer disease (EOAD), which presents in patients younger than 65
years, has frequently been described as having different features from those of
late-onset Alzheimer disease (LOAD). This review analyses the most recent studies
comparing the clinical presentation and neuropsychological, neuropathological,
genetic, and neuroimaging findings of both types in order to determine whether
EOAD and LOAD are different entities or distinct forms of the same entity. We
observed consistent differences between clinical findings in EOAD and in LOAD.
Fundamentally, the onset of EOAD is more likely to be marked by atypical
symptoms, and cognitive assessments point to poorer executive and visuospatial
functioning and praxis with less marked memory impairment. Alzheimer-type
features will be more dense and widespread in neuropathology studies, with
structural and functional neuroimaging showing greater and more diffuse atrophy
extending to neocortical areas (especially the precuneus). In conclusion,
available evidence suggests that EOAD and LOAD are 2 different forms of a single
entity. LOAD is likely to be influenced by ageing-related processes.
PMID- 26546286
TI - Educational differentials in disability vary across and within welfare regimes: a
comparison of 26 European countries in 2009.
AB - BACKGROUND: Social differentials in disability prevalence exist in all European
countries, but their scale varies markedly. To improve understanding of this
variation, the article focuses on each end of the social gradient. It compares
the extent of the higher disability prevalence in low social groups (referred to
as disability disadvantage) and of the lower prevalence in high social groups
(disability advantage); country-specific advantages/disadvantages are discussed
regarding the possible influence of welfare regimes. METHODS: Cross-sectional
disability prevalence is measured by longstanding health-related activity
limitation (AL) in the 2009 European Statistics on Income and Living Conditions
(EU-SILC) across 26 countries classified into four welfare regime groups.
Logistic models adjusted by country, age and sex (in all 30-79 years and in three
age-bands) measured the country-specific ORs across education, representing the
AL-disadvantage of low-educated and AL-advantage of high-educated groups relative
to middle-educated groups. RESULTS: The relative AL-disadvantage of the low
educated groups was small in Sweden (eg, 1.2 (1.0-1.4)), Finland, Romania,
Bulgaria and Spain (youngest age-band), but was large in the Czech Republic (eg,
1.9 (1.7-2.2)), Denmark, Belgium, Italy and Hungary. The high-educated groups had
a small relative AL-advantage in Denmark (eg, 0.9 (0.8-1.1)), but a large AL
advantage in Lithuania (eg, 0.5 (0.4-0.6)), half of the Baltic and Eastern
European countries, Norway and Germany (youngest age-band). There were notable
differences within welfare regime groups. CONCLUSIONS: The country-specific
disability advantages/disadvantages across educational groups identified here
could help to identify determining factors and the efficiency of national
policies implemented to tackle social differentials in health.
PMID- 26546287
TI - Trust and health: testing the reverse causality hypothesis.
AB - BACKGROUND: Social capital research has consistently shown positive associations
between generalised trust and health outcomes over 2 decades. Longitudinal
studies attempting to test causal relationships further support the theory that
trust is an independent predictor of health. However, as the reverse causality
hypothesis has yet to be empirically tested, a knowledge gap remains. The aim of
this study, therefore, was to investigate if health status predicts trust.
METHODS: Data employed in this study came from 4 waves of the British Household
Panel Survey between years 2000 and 2007 (N=8114). The sample was stratified by
baseline trust to investigate temporal relationships between prior self-rated
health (SRH) and changes in trust. We used logistic regression models with random
effects, as trust was expected to be more similar within the same individuals
over time. RESULTS: From the 'Can trust at baseline' cohort, poor SRH at time (t
1) predicted low trust at time (t) (OR=1.38). Likewise, good health predicted
high trust within the 'Cannot' trust cohort (OR=1.30). These patterns of positive
association remained after robustness checks, which adjusted for
misclassification of outcome (trust) status and the existence of other temporal
pathways. CONCLUSIONS: This study offers empirical evidence to support the
circular nature of trust/health relationship. The stability of association
between prior health status and changes in trust over time differed between
cohorts, hinting at the existence of complex pathways rather than a simple
positive feedback loop.
PMID- 26546288
TI - Dissecting ventricular pseudoaneurysm after perimyocarditis-a case report.
AB - BACKGROUND: The current case describes the fast development of a pseudoaneurysm
in a patient that presented with signs of systemic inflammation and generally
deranged blood work. CASE PRESENTATION: The pseudoaneurysm appeared within one
week of disease onset. The anatomic extent of the pseudoaneurysm was unusual, as
it dissected intramurally beneath the septum, inferior to the right ventricle and
had effect on the RV filling. The etiology could not be definitely defined, since
in adults the most common cause for pseudoaneurysm development is recent
myocardial infarction, but in this patient the coronary arteries were healthy.
Instead it could have been a consequence of an aggressive perimyocarditis.
CONCLUSIONS: Due to the unpredictable nature of pseudoaneurysms we advocate early
contact with a center with cardiothoracic surgery expertise for rapid surgical
intervention.
PMID- 26546289
TI - Genetic diversity of the Korean field strains of porcine reproductive and
respiratory syndrome virus.
AB - Porcine reproductive and respiratory syndrome (PRRS) is one of the most
economically significant diseases in the swine industry. The PRRS virus (PRRSV)
has genetically diverse populations, like other RNA viruses, and various field
strains continue to be reported worldwide. The molecular epidemiological study of
PRRSV can provide important data for use in controlling the disease. In this
study, 50 oral fluid samples from conventional farms in Korea were taken to
analyze nucleotide sequences of the open reading frame 5 of PRRSV. The viruses
present in more than 80% of oral fluid samples genetically originated from the
type 2 PRRSV, which is North American (NA) lineage. In addition 8.9% of samples
contained both of the type 1 PRRSV, which is European (EU) lineage and the type 2
PRRSV. About 60% of farms involved in this study had more than two strains of
PRRSV. In phylogenetic analysis, the Korean field strains of PRRSV detected from
the oral fluid samples were divided into several subgroups: four subgroups of
Korean field strains clustered with the type 1 PRRSV, and other five subgroups of
Korean field strains clustered with the type 2. These results suggest that the
type 2 PRRSV is more prevalent than the type 1 in Korea and heterologous strains
of PRRSV can simultaneously infect a single pig farm.
PMID- 26546290
TI - Bone fractures and lumbar mineral density after renal transplantation. A long
term cross-sectional study.
AB - BACKGROUND: The purpose of this work was to investigate the association of
vertebral and peripheral fractures 10 yr after grafting with bone metabolic
markers and body mass density (BMD). PATIENTS AND METHODS: One hundred thirty
eight recipients with stable graft function were included in a cross-sectional
study. Graft function, biochemical mineral metabolism markers and body mass
density (DEXA) were measured. Vertebral fractures were assessed by a
semiquantitative analysis of lateral spine X-ray exam. RESULTS: At the time of
the study, intact parathyroid hormone levels were 127.5 +/- 78.4 pg/mL and serum
calcidiol 20.4 +/- 9.3 ng/mL. DEXA showed osteopenia in 47% and osteoporosis in
23% at lumbar spine, 51% and 14% at femoral neck, and 53% and 8% at trochanter.
Eighty-five recipients presented vertebral fractures, 69 mild and 16
moderate/severe fractures. In the multivariate analysis, vertebral fractures were
associated with older age (p = 0.010), length of follow-up (p = 0.022) and
trochanter T-score (p = 0.038). Twenty-three patients presented peripheral
fractures and 19 of them also had vertebral fractures. Patients with peripheral
fractures were younger, mostly women and had lower BMD. CONCLUSIONS: Vertebral
fractures were associated with lower BMD at trochanter. Most fractures were mild
and were several times more frequent than in general population. Their clinical
significance needs to be determined.
PMID- 26546291
TI - MDCT features of hepatocellular carcinoma (HCC) in non-cirrhotic liver.
AB - PURPOSE: To describe the multidetector row computed tomography (MDCT) imaging
features of HCC that develops in patients who are free from underlying liver
cirrhosis and to determine if the MDCT presentation of this specific tumor
differs from that of the more common HCC that develops in patients with liver
cirrhosis using a retrospective case-control study. PATIENTS AND METHODS: The
MDCT examinations of 38 patients with HCC in non-cirrhotic liver (group 1) were
quantitatively and qualitatively analyzed and compared to those obtained in 38
patients with HCC in cirrhotic liver (group 2) matched for age and gender.
Quantitative and qualitative characteristics of HCC of both groups were compared
using univariate analysis. RESULTS: HCCs were significantly larger in group 1
(81.5mm+/-55.5) than in group 2 (44.5mm+/-39.1 SD; P=0.0015). In group 1, HCCs
were more frequently single tumors (87%) than in group 2 (37%) (P<0.0001),
encapsulated (92% vs. 47% respectively; P<0.0001), had more frequently fatty
component (24% vs. 8%, respectively; P=0.0279) and internal hemorrhage (29% vs.
3%, respectively; P=0.0033). No significant differences were found between the
two groups for location, hyperenhancement of HCC during the arterial phase,
washout during the portal phase, endoluminal portal involvement by HCC,
endoportal cruoric thrombus, invasion of adjacent organs and underlying liver
steatosis. CONCLUSION: HCC in non-cirrhotic liver are larger than those observed
in cirrhotic liver and more frequently present as a single encapsulated tumor.
They have the same patterns of enhancement than HCC that develops in cirrhotic
liver.
PMID- 26546292
TI - TOR Complexes and the Maintenance of Cellular Homeostasis.
AB - The Target of Rapamycin (TOR) is a conserved serine/threonine (ser/thr) kinase
that functions in two, distinct, multiprotein complexes called TORC1 and TORC2.
Each complex regulates different aspects of eukaryote growth: TORC1 regulates
cell volume and/or mass by influencing protein synthesis and turnover, while
TORC2, as detailed in this review, regulates cell surface area by influencing
lipid production and intracellular turgor. TOR complexes function in feedback
loops, implying that downstream effectors are also likely to be involved in
upstream regulation. In this regard, the notion that TORCs function primarily as
mediators of cellular and organismal homeostasis is fundamentally different from
the current, predominate view of TOR as a direct transducer of extracellular
biotic and abiotic signals.
PMID- 26546293
TI - Super-Resolution Microscopy: From Single Molecules to Supramolecular Assemblies.
AB - Super-resolution microscopy (SRM) methods have allowed scientists to exceed the
diffraction limit of light, enabling the discovery and investigation of cellular
structures at the nanometer scale, from individual proteins to entire organelles.
In this review we survey the application of SRM in elucidating the structure of
macromolecules in the native cellular environment. We emphasize how SRM can
generate molecular maps of protein complexes and extract quantitative information
on the number, size, distribution, and spatial organization of macromolecules. We
discuss both the novel information that can be generated through SRM as well as
the experimental considerations to examine while conducting such studies. With
the increasing popularity of SRM in the biological sciences, this review will
serve as a tool to navigate the range of applications and harness the power of
SRM to elucidate biological structures.
PMID- 26546294
TI - Phylogenetic and morphological characterization of trypanosomes from Brazilian
armoured catfishes and leeches reveal high species diversity, mixed infections
and a new fish trypanosome species.
AB - BACKGROUND: Several Trypanosoma species transmitted by leeches infect marine and
freshwater fish worldwide. To date, all South American fish trypanosome species
identified have been based on unreliable morphological parameters. We recently
isolated and cultured trypanosomes from the Brazilian armoured catfishes
Hypostomus luetkeni and H. affinis. Here, we report the first phylogenetic
analyses of South American (Brazilian) trypanosomes isolated from fish, and from
leeches removed from these fish. We also analysed morphologically and
morphometrically the different forms of fish, leech and cultured trypanosomes.
METHODS: V7V8 SSU rRNA and gGAPDH sequences were used for phylogenetic analysis
of Brazilian fish and leech trypanosomes. Trypanosomes from cultures, fish blood
and leech samples were also characterized morphologically and morphometrically by
light and electron microscopy. RESULTS: In blood smears from fish high
trypanosome prevalence (90-100 %) and parasitemia (0.9-1.0x10(2)) were observed.
Phylogenetic relationships using SSU rRNA and gGAPDH showed that, despite
relevant sequence divergence, all Brazilian fish (and derived cultures) and leech
trypanosomes clustered together into a single clade. The Brazilian clade
clustered with European, North American and African fish trypanosomes. Based on
sequence analysis, we uncovered a new species of Brazilian fish trypanosome,
Trypanosoma abeli n. sp. Trypanosoma abeli cultures contained pleomorphic
epimastigotes, small trypomastigotes and rare sphaeromastigotes. Ultrastructural
features of T. abeli included a cytostome-cytopharynx complex in epi- and
trypomastigotes, a compact rod-like kinetoplast, lysosome-related organelles
(LROs) and multivesicular bodies. Trypanosomes found in fish blood smears and
leech samples were highly pleomorphic, in agreement with sequence data suggesting
that catfishes and leeches often have mixed trypanosome infections. CONCLUSIONS:
Trypanosoma abeli n. sp. is the first trypanosome from South American fishes
isolated in culture, positioned in phylogenetic trees and characterized at the
ultrastructural level. Trypanosoma abeli n. sp. is highly prevalent in H.
luetkeni and H. affinis armoured catfish from the Atlantic Forest biome, and in
other catfish species from the Amazon and the Pantanal. Sequencing data suggested
that Brazilian catfish often have mixed trypanosome infections, highlighting the
importance of molecular characterization to identify trypanosome species in
fishes and leeches.
PMID- 26546295
TI - Acquired Resistance to the TRK Inhibitor Entrectinib in Colorectal Cancer.
AB - Entrectinib is a first-in-class pan-TRK kinase inhibitor currently undergoing
clinical testing in colorectal cancer and other tumor types. A patient with
metastatic colorectal cancer harboring an LMNA-NTRK1 rearrangement displayed a
remarkable response to treatment with entrectinib, which was followed by the
emergence of resistance. To characterize the molecular bases of the patient's
relapse, circulating tumor DNA (ctDNA) was collected longitudinally during
treatment, and a tissue biopsy, obtained before entrectinib treatment, was
transplanted in mice (xenopatient), which then received the same entrectinib
regimen until resistance developed. Genetic profiling of ctDNA and xenopatient
samples showed acquisition of two point mutations in the catalytic domain of
NTRK1, p.G595R and p.G667C. Biochemical and pharmacologic analysis in multiple
preclinical models confirmed that either mutation renders the TRKA kinase
insensitive to entrectinib. These findings can be immediately exploited to design
next-generation TRKA inhibitors. SIGNIFICANCE: We provide proof of principle that
analyses of xenopatients (avatar) and liquid biopsies allow the identification of
drug resistance mechanisms in parallel with clinical treatment of an individual
patient. We describe for the first time that p.G595R and p.G667C TRKA mutations
drive acquired resistance to entrectinib in colorectal cancers carrying NTRK1
rearrangements.
PMID- 26546297
TI - Huge Data-Sharing Project Launched.
AB - Aiming to advance precision medicine in oncology and improve patient care, the
American Association for Cancer Research has launched an international initiative
known as AACR Project Genomics, Evidence, Neoplasia, Information, Exchange
(GENIE). The venture will pool existing and future next-generation clinical
sequencing data with longitudinal clinical outcomes and related pathology reports
from several institutions in the United States, Canada, and Europe, to find new
mutations, assess potential biomarkers, and identify patient populations that
might benefit from existing treatments.
PMID- 26546296
TI - MECP2 Is a Frequently Amplified Oncogene with a Novel Epigenetic Mechanism That
Mimics the Role of Activated RAS in Malignancy.
AB - An unbiased genome-scale screen for unmutated genes that drive cancer growth when
overexpressed identified methyl cytosine-guanine dinucleotide (CpG) binding
protein 2 (MECP2) as a novel oncogene. MECP2 resides in a region of the X
chromosome that is significantly amplified across 18% of cancers, and many cancer
cell lines have amplified, overexpressed MECP2 and are dependent on MECP2
expression for growth. MECP2 copy-number gain and RAS family member alterations
are mutually exclusive in several cancer types. The MECP2 splicing isoforms
activate the major growth factor pathways targeted by activated RAS, the MAPK and
PI3K pathways. MECP2 rescued the growth of a KRAS(G12C)-addicted cell line after
KRAS downregulation, and activated KRAS rescues the growth of an MECP2-addicted
cell line after MECP2 downregulation. MECP2 binding to the epigenetic
modification 5-hydroxymethylcytosine is required for efficient transformation.
These observations suggest that MECP2 is a commonly amplified oncogene with an
unusual epigenetic mode of action. SIGNIFICANCE: MECP2 is a commonly amplified
oncogene in human malignancies with a unique epigenetic mechanism of action.
Cancer Discov; 6(1); 45-58. (c)2015 AACR.This article is highlighted in the In
This Issue feature, p. 1.
PMID- 26546299
TI - The Art of the Diagnostic Report.
PMID- 26546298
TI - Hysteroscopic local anesthetic intrauterine cornual block in office endometrial
ablation: a randomized controlled trial.
AB - OBJECTIVE: To evaluate the efficacy of a hysteroscopic local anesthetic
intrauterine cornual block (ICOB) on pain experienced during office endometrial
ablation (EA) in addition to a traditional direct local anesthetic cervical block
(DCB). DESIGN: Prospective, randomized, double-blind, placebo-controlled trial.
SETTING: University teaching hospital. PATIENT(S): Women with heavy menstrual
bleeding scheduled for an office endometrial ablation. INTERVENTION(S): Before
office EA, DCB plus hysteroscopic ICOB just medial to each tubal ostium using
local anesthetic mixture made up of 1 mL 3% mepivacaine plus 1 mL 0.5%
bupivacaine versus control group receiving DBC plus ICOB with 2 mL of placebo
(saline). MAIN OUTCOME MEASURE(S): PRIMARY OUTCOME: pain reported during
procedure via visual analogue scale (VAS) from 0 to 10; secondary outcomes:
postoperative pain, rescue analgesic requirement, and duration of hospital stay.
RESULT(S): Most characteristics were similar across groups. The mean VAS score
during the procedure was statistically significantly lower by 1.44 (95%
confidence interval, -2.65 to -0.21) in the active group compared with the
placebo group. There were no statistically significant differences between the
two groups in the postprocedural mean VAS scores, rescue analgesic requirement,
or duration of hospital stay. CONCLUSION(S): Used in addition to DCB, ICOB
reduces the pain experienced during office EA compared with DCB alone. CLINICAL
TRIAL REGISTRATION NUMBER: NCT01808898.
PMID- 26546300
TI - Vertebral Augmentation After Recent Randomized Controlled Trials: A New Rise in
Kyphoplasty Volumes.
AB - PURPOSE: In 2009, the results of two randomized controlled trials refuting the
effectiveness of vertebroplasty compared with sham procedures were published in a
leading journal. The purpose of the present study was to evaluate the impact of
these randomized trials on subsequent volume and utilization rates of vertebral
augmentation (VA) in the United States. METHODS: Using nationwide Medicare Part B
databases, Current Procedural Terminology, version 4, codes for thoracic and
lumbar vertebroplasty and kyphoplasty were studied from 2006 to 2013 (codes 22520
to 22525). The total volumes of procedures were determined and utilization rates
were calculated. Volumes and rates by provider specialty were also studied.
RESULTS: The total volume of VA procedures peaked in 2008 at 101,807 and
thereafter fell steadily to 80,940 in 2013. The utilization rates per 100,000
beneficiaries also showed a similar trend. Radiologists performed the largest
number of VA procedures in 2013 (33,618 procedures [42%]), followed by orthopedic
surgeons (19,886 procedures [25%]). After 2009, vertebroplasty volumes decreased
sharply. Kyphoplasty volumes increased in 2011, after an initial decrease in
2010. The divergent trend in the volumes of the two procedures persisted through
2013. CONCLUSIONS: After the publication of the two trials' results in 2009,
vertebroplasty volumes and rates decreased sharply. However, there is an emerging
trend toward performing more kyphoplasty procedures, mitigating the decrease in
total volume of VA procedures. Radiologists have the strongest role in performing
these procedures among all medical specialties.
PMID- 26546301
TI - Small-Diameter Awls Improve Articular Cartilage Repair After Microfracture
Treatment in a Translational Animal Model.
AB - BACKGROUND: Microfracture is the most commonly applied arthroscopic marrow
stimulation procedure. HYPOTHESIS: Articular cartilage repair is improved when
the subchondral bone is perforated by small-diameter microfracture awls compared
with larger awls. STUDY DESIGN: Controlled laboratory study. METHODS:
Standardized rectangular (4 * 8 mm) full-thickness chondral defects (N = 24) were
created in the medial femoral condyle of 16 adult sheep and debrided down to the
subchondral bone plate. Three treatment groups (n = 8 defects each) were tested:
6 microfracture perforations using small-diameter awls (1.0 mm; group 1), large
diameter awls (1.2 mm; group 2), or without perforations (debridement control;
group 3). Osteochondral repair was assessed at 6 months in vivo using established
macroscopic, histological, immunohistochemical, biochemical, and micro-computed
tomography analyses. RESULTS: Compared with control defects, histological
cartilage repair was always improved after both microfracture techniques (P <
.023). Application of 1.0-mm microfracture awls led to a significantly improved
histological overall repair tissue quality (7.02 +/- 0.70 vs 9.03 +/- 0.69; P =
.008) and surface grading (1.05 +/- 0.28 vs 2.10 +/- 0.19; P = .001) compared
with larger awls. The small-diameter awl decreased relative bone volume of the
subarticular spongiosa (bone volume/tissue volume ratio: 23.81% +/- 3.37% vs
30.58% +/- 2.46%; P = .011). Subchondral bone cysts and intralesional osteophytes
were frequently observed after either microfracture treatment. Macroscopic
grading, DNA, proteoglycan, and type I and type II collagen contents as well as
degenerative changes within the adjacent cartilage remained unaffected by the awl
diameter. CONCLUSION: Small-diameter microfracture awls improve articular
cartilage repair in the translational sheep model more effectively than do larger
awls. CLINICAL RELEVANCE: These data support the use of small microfracture
instruments for the surgical treatment of cartilage defects and warrant prolonged
clinical investigations.
PMID- 26546302
TI - Sex Differences in Self-Reported Hip Function Up to 2 Years After Arthroscopic
Surgery for Femoroacetabular Impingement.
AB - BACKGROUND: Femoroacetabular impingement (FAI) is a significant cause of
disability in young adults. Hip arthroscopic surgery restores bony congruence and
improves function in the majority of patients, but recent evidence indicates that
women may experience worse pre- and postoperative function than men.
PURPOSE/HYPOTHESIS: The purpose of this study was to identify whether self
reported hip function differed between men and women with symptomatic FAI. The
hypothesis was that mean self-reported hip function scores would improve after
arthroscopic surgery but that women would report poorer function than men both
before and up to 2 years after arthroscopic surgery. STUDY DESIGN: Cohort study;
Level of evidence, 2. METHODS: A total of 229 patients (68.4% women; mean [+/-SD]
age, 31.6 +/- 10.8 years; mean [+/-SD] body mass index, 26.8 +/- 11.9 kg/m(2))
underwent hip arthroscopic surgery for unilateral symptomatic FAI. All eligible
and consenting patients with radiologically and clinically confirmed FAI
completed the International Hip Outcome Tool (iHOT-33) and the Hip Outcome Score
activities of daily living subscale (HOS-ADL) before hip arthroscopic surgery and
at 3, 6, 12, and 24 months after arthroscopic surgery. A linear mixed model for
repeated measures was used to test for differences in self-reported hip function
between men and women over the study period (P <= .05). RESULTS: There were no
significant time * sex interactions for either the HOS-ADL (P = .12) or iHOT-33
(P = .64), but both measures showed significant improvements between the
preoperative time point and each of the 4 follow-up points (P < .0001); however,
self-reported hip function did not improve between 6 and 24 months after
arthroscopic surgery (P >= .11). Post hoc independent t tests indicated that
women reported poorer hip function than did men before surgery (P <= .003) both
on the HOS-ADL (mean +/- standard error of the mean [SEM], 67.4 +/- 1.9 [men] vs
60.5 +/- 1.3 [women]) and iHOT-33 (mean +/- SEM, 38.0 +/- 1.9 [men] vs 30.9 +/-
1.3 [women]); scores were not different between sexes at any other time point.
CONCLUSION: These findings indicate improvements in self-reported hip function in
patients with FAI, regardless of sex, until 6 months after hip arthroscopic
surgery. Although women reported poorer preoperative function than did men, the
differences were not significant 2 years after surgery.
PMID- 26546303
TI - Epidemiology of Elbow Dislocations in High School Athletes.
AB - BACKGROUND: The elbow is the second most commonly dislocated major joint in the
general population. Previous studies that focused on emergency department
populations indicate that such injuries occur most frequently among adolescent
athletes. PURPOSE: To describe the epidemiological rates and patterns of sports
related elbow dislocations in high school athletes. STUDY DESIGN: Descriptive
epidemiology study. METHODS: Sports-related injury data for the 2005-2006 through
2013-2014 academic years from a national convenience sample of high schools
participating in the National High School Sports-Related Injury Surveillance
Study (High School Reporting Information Online [RIO]) were analyzed. RESULTS:
Certified athletic trainers participating in High School RIO reported 115 of 1246
(9.2%) elbow injuries as elbow dislocations. A total of 30,415,179 athlete
exposures (AEs) were reported during the study period, resulting in a dislocation
rate of 0.38 per 100,000 AEs. The majority of the dislocations resulted from
boys' wrestling (46.1%) and football (37.4%). Elbow dislocation rates were higher
in competition than in practice. Also, 91.3% of dislocations occurred in boys'
sports. Among both boys (60.4%) and girls (88.9%), the majority of injuries
occurred during varsity sports activities. Contact with another person was the
most common injury mechanism (46.9%), followed by contact with the playing
surface (46.0%). Dislocations more commonly resulted in removal from play for
more than 3 weeks (23.4% vs 6.9%, respectively) or medical disqualification
(36.9% vs 7.0%, respectively) compared with other elbow injuries. Dislocations
were also more likely to result in surgical treatment than other elbow injuries
(13.6% vs 4.7%, respectively). CONCLUSION: In high school athletes, elbow
dislocations result in longer removal from play and are more likely to require
surgical treatment than nondislocation-associated elbow injuries. Rates and
patterns of elbow dislocations vary by sport. In high-risk sports, focused sport
specific prevention strategies may help to decrease the rates and severity of
elbow dislocation injuries.
PMID- 26546304
TI - Epidemiology of Sports-Related Concussions in National Collegiate Athletic
Association Athletes From 2009-2010 to 2013-2014: Symptom Prevalence, Symptom
Resolution Time, and Return-to-Play Time.
AB - BACKGROUND: Limited data exist among collegiate student-athletes on the
epidemiology of sports-related concussion (SRC) outcomes, such as symptoms,
symptom resolution time, and return-to-play time. PURPOSE: This study used the
National Collegiate Athletic Association (NCAA) Injury Surveillance Program (ISP)
to describe the epidemiology of SRC outcomes in 25 collegiate sports. STUDY
DESIGN: Descriptive epidemiology study. METHODS: SRC data from the NCAA ISP
during the 2009-2010 to 2013-2014 academic years were analyzed regarding
symptoms, time to resolution of symptoms, and time to return to play. Findings
were also stratified by sex in sex-comparable sports (ie, ice hockey, soccer,
basketball, lacrosse, baseball/softball) and whether SRCs were reported as
recurrent. RESULTS: Of the 1670 concussions reported during the 2009-2010 to 2013
2014 academic years, an average (+/-SD) of 5.29 +/- 2.94 concussion symptoms were
reported, with the most common being headache (92.2%) and dizziness (68.9%). Most
concussions had symptoms resolve within 1 week (60.1%); however, 6.2% had a
symptom resolution time of over 4 weeks. Additionally, 8.9% of concussions
required over 4 weeks before return to play. The proportion of SRCs that required
at least 1 week before return to play increased from 42.7% in 2009-2010 to 70.2%
in 2013-2014 (linear trend, P < .001). Within sex-comparable sports analyses, the
average number of symptoms and symptom resolution time did not differ by sex.
However, a larger proportion of concussions in male athletes included amnesia and
disorientation; a larger proportion of concussions in female athletes included
headache, excess drowsiness, and nausea/vomiting. A total of 151 SRCs (9.0%) were
reported as recurrent. The average number of symptoms reported with recurrent
SRCs (5.99 +/- 3.43) was greater than that of nonrecurrent SRCs (5.22 +/- 2.88; P
= .01). A greater proportion of recurrent SRCs also resulted in a long symptom
resolution time (14.6% vs 5.4%, respectively; P < .001) and long return-to-play
time (21.2% vs 7.7%, respectively; P < .001) compared with nonrecurrent SRCs.
CONCLUSION: Trends in return-to-play time may indicate changing concussion
management practices in which team medical staff members withhold players from
participation longer to ensure symptom resolution. Concussion symptoms may differ
by sex and recurrence. Future research should continue to examine the trends and
discrepancies in symptom resolution time and return-to-play time.
PMID- 26546305
TI - User Perceptions and Reactions to an Online Cancer Risk Assessment Tool: a
Process Evaluation of Cancer Risk Check.
AB - Online cancer risk assessment tools, which provide personalized cancer
information and recommendations based on personal data input by users, are a
promising cancer education approach; however, few tools have been evaluated. A
randomized controlled study was conducted to compare user impressions of one
tool, Cancer Risk Check (CRC), to non-personalized educational information
delivered online as series of self-advancing slides (the control). CRC users (N =
1452) rated the tool to be as interesting as the control (p > .05), but users
were more likely to report that the information was difficult to understand and
not applicable to them (p < .05). Information seeking and sharing also were lower
among CRC users; thus, although impressions of CRC were favorable, it was not
shown to be superior to existing approaches. We hypothesized CRC was less
effective because it contained few visual and graphical elements; therefore, CRC
was compared to a text-based control (online PDF file) post hoc. CRC users rated
the information to be more interesting, less difficult to understand, and better
able to hold their attention (p < .05). Post hoc results suggest the visual
presentation of risk is critical to tool success.
PMID- 26546306
TI - Use of antiarrhythmic drugs during ablation of persistent atrial fibrillation:
observations from a large single-centre cohort.
AB - Catheter ablation of complex fractionated atrial electrograms (CFAE), also known
as defragmentation ablation, may be considered for the treatment of persistent
atrial fibrillation (AF) beyond pulmonary vein isolation (PVI). Concomitant
antiarrhythmic drug (AAD) therapy is common, but the relevance of AAD
administration and its optimal timing during ablation remain unclear. Therefore,
we investigated the use and timing of AADs during defragmentation ablation and
their possible implications for AF termination and ablation success in a large
cohort of patients. Retrospectively, we included 200 consecutive patients (age:
61 +/- 12 years, LA diameter: 47 +/- 8 mm) with persistent AF (episode duration
47 +/- 72 weeks) who underwent de novo ablation including CFAE ablation. In all
patients, PVI was performed prior to CFAE ablation. The use and timing of AADs
were registered. The follow-ups consisted of Holter ECGs and clinical visits.
Termination of AF was achieved in 132 patients (66 %). Intraprocedural AADs were
administered in 168/200 patients (84 %) 45 +/- 27 min after completion of PVI.
Amiodarone was used in the majority of the patients (160/168). The timing of AAD
administration was predicted by the atrial fibrillation cycle length (AFCL). At
follow-up, 88 patients (46 %) were free from atrial arrhythmia. Multivariate
logistic regression analysis revealed that administration of AAD early after PVI,
LA size, duration of AF history, sex and AFCL were predictors of AF termination.
The administration of AAD and its timing were not predictive of outcome, and age
was the sole independent predictor of AF recurrence. The administration of AAD
during ablation was common in this large cohort of persistent AF patients. The
choice to administer AAD therapy and the timing of the administration during
ablation were influenced by AFCL, and these factors did not significantly
influence the moderate single procedure success rate in this retrospective
analysis.
PMID- 26546307
TI - Cloning and characterization of the gene for L-amino acid oxidase in hybrid
tilapia.
AB - Tilapia is the common name for a group of cichlid fishes. Identification of DNA
markers significantly associated with important traits in candidate genes may
speed up genetic improvement. L-Amino acid oxidase (LAO) plays a crucial role in
the innate immune defences of animals. Previously, whether LAO variants were
associated with economic traits had not been studied in fish. We characterized
the cDNA sequence of the LAO gene of hybrid tilapia (Oreochromis spp.). Its ORF
was 1536 bp, encoding a flavoenzyme of 511 amino acids. This gene consisted of
seven exons and six introns. Its expression was detected in the intestine, blood,
kidney, skin, liver. It was highly expressed in the intestine. After a challenge
with a bacterial pathogen, Streptococcus agalactiae, its expression was up
regulated significantly in the liver, intestine and spleen (P < 0.05). We
identified one SNP in the genomic sequence of the gene and found that this SNP
was associated significantly with body length (P < 0.05), but not with resistance
to S. agalactiae. The results of this study suggest that the LAO gene plays an
important role in innate immune responses to the bacterial pathogen in tilapia.
The investigation of relationship between polymorphism of LAO gene and disease
resistance and growth in tilapia showed that one SNP was associated significantly
with body length. Further experiments on whether SNPs in the LAO gene are
associated with growth in tilapia and other populations could be useful in
understanding more functions of the LAO gene.
PMID- 26546309
TI - Using Ancient Samples in Projection Analysis.
AB - Projection analysis is a tool that extracts information from the joint allele
frequency spectrum to better understand the relationship between two populations.
In projection analysis, a test genome is compared to a set of genomes from a
reference population. The projection's shape depends on the historical
relationship of the test genome's population to the reference population. Here,
we explore in greater depth the effects on the projection when ancient samples
are included in the analysis. First, we conduct a series of simulations in which
the ancient sample is directly ancestral to a present-day population (one
population model), or the ancient sample is ancestral to a sister population that
diverged before the time of sampling (two-population model). We find that there
are characteristic differences between the projections for the one-population and
two-population models, which indicate that the projection can be used to
determine whether a test genome is directly ancestral to a present-day population
or not. Second, we compute projections for several published ancient genomes. We
compare two Neanderthals and three ancient human genomes to European, Han Chinese
and Yoruba reference panels. We use a previously constructed demographic model
and insert these five ancient genomes to assess how well the observed projections
are recovered.
PMID- 26546308
TI - The Genomic Signature of Population Reconnection Following Isolation: From Theory
to HIV.
AB - Ease of worldwide travel provides increased opportunities for organisms not only
to colonize new environments but also to encounter related but diverged
populations. Such events of reconnection and secondary contact of previously
isolated populations are widely observed at different time scales. For example,
during the quaternary glaciation, sea water level fluctuations caused temporal
isolation of populations, often to be followed by secondary contact. At shorter
time scales, population isolation and reconnection of viruses are commonly
observed, and such events are often associated with epidemics and pandemics.
Here, using coalescent theory and simulations, we describe the temporal impact of
population reconnection after isolation on nucleotide differences and the site
frequency spectrum, as well as common summary statistics of DNA variation. We
identify robust genomic signatures of population reconnection after isolation. We
utilize our development to infer the recent evolutionary history of human
immunodeficiency virus 1 (HIV-1) in Asia and South America, successfully
retrieving the successive HIV subtype colonization events in these regions. Our
analysis reveals that divergent HIV-1 subtype populations are currently admixing
in these regions, suggesting that HIV-1 may be undergoing a process of
homogenization, contrary to popular belief.
PMID- 26546311
TI - The development of the Hong Kong Heat Index for enhancing the heat stress
information service of the Hong Kong Observatory.
AB - This paper presents a study to develop a heat index, for use in hot and humid sub
tropical climate in Hong Kong. The study made use of hospitalization data and
heat stress measurement data in Hong Kong from 2007 to 2011. The heat index,
which is called Hong Kong Heat Index (HKHI), is calculated from the natural wet
bulb temperature, the globe temperature, and the dry bulb temperature together
with a set of coefficients applicable to the high humidity condition in the
summer of Hong Kong. Analysis of the response of hospitalization rate to
variation in HKHI and two other heat indices, namely Wet Bulb Globe Temperature
(WBGT) and Net Effective Temperature (NET), revealed that HKHI performed
generally better than WBGT and NET in reflecting the heat stress impact on excess
hospitalization ratio in Hong Kong. Based on the study results, two reference
criteria of HKHI were identified to establish a two-tier approach for the
enhancement of the heat stress information service in Hong Kong.
PMID- 26546310
TI - Disruption of Methionine Metabolism in Drosophila melanogaster Impacts Histone
Methylation and Results in Loss of Viability.
AB - Histone methylation levels, which are determined by the action of both histone
demethylases and methyltransferases, impact multiple biological processes by
affecting gene expression activity. Methionine metabolism generates the major
methyl donor S-adenosylmethionine (SAM) for histone methylation. The functions of
methionine metabolic enzymes in regulating biological processes as well as the
interaction between the methionine pathway and histone methylation, however, are
still not fully understood. Here, we report that reduced levels of some enzymes
involved in methionine metabolism and histone demethylases lead to lethality as
well as wing development and cell proliferation defects in Drosophila
melanogaster. Additionally, disruption of methionine metabolism can directly
affect histone methylation levels. Reduction of little imaginal discs (LID)
histone demethylase, but not lysine-specific demethylase 2 (KDM2) demethylase, is
able to counter the effects on histone methylation due to reduction of SAM
synthetase (SAM-S). Taken together, these results reveal an essential role of key
enzymes that control methionine metabolism and histone methylation. Additionally,
these findings are an indication of a strong connection between metabolism and
epigenetics.
PMID- 26546312
TI - Weather conditions and their effect on the increase of the risk of type A acute
aortic dissection onset in Berlin.
AB - In this study, a minimum distance classification and forward feature selection
technique are joined to determine the relationship between weather conditions and
the increase of the risk of type A acute aortic dissection (AAD) events in
Berlin. The results demonstrate that changes in the amount of cloudiness and air
temperature are the most representative weather predictors among the studied
parameters. A discrimination surface was developed for the prediction of AAD
events 6 h ahead, and it is found that, under a specific amount of cloudiness and
air temperature, the risk of AAD events in Berlin increases about 20 %.
PMID- 26546314
TI - Reply to comments on papers by Lu et al.
PMID- 26546313
TI - Could both vitamin D and geomagnetic activity impact serum levels of soluble cell
adhesion molecules in young men?
AB - Vitamin D might have a role in diminishing endothelial dysfunction (ED). The
initial aim was to test the hypothesis of reciprocity between levels of 25
hydroxyvitamin D (25(OH)D) and levels of soluble endothelial cell adhesion
molecules (CAMs) that could serve as biomarkers of ED. Randomly selected men of
age 20-39 were examined at February or March (cold season) and reexamined at
August or September (warm season). Some lifestyle and anthropometrical data were
recorded. Laboratory measurements, including those for serum levels of soluble
CAMs-sICAM-1, sVCAM-1, sE-selectin and sP-selectin-were also performed. As some
of the results were rather unexpected, indices of geomagnetic activity (GMA),
obtained from the online database, were included in further analysis as a
confounder. In 2012-2013, 130 men were examined in cold season, and 125 of them
were reexamined in warm season. 25(OH)D levels were found to be significantly
negatively associated with sVCAM-1 levels (beta = -0.15, p = 0.043 in warm
season; beta = -0.19, p = 0.007 for changes). Levels of sVCAM-1 and sICAM-1 from
the same seasons were notably different between years and have changed in an
opposite manner. Soluble P-selectin levels were higher at warm season in both
years. GMA was positively associated with sVCAM-1 (beta = 0.17, p = 0.039 in cold
season; beta = 0.22, p = 0.002 for changes) and negatively with sICAM-1 (beta =
0.30. p < 0.001 in cold season) levels. Vitamin D might play a role in
diminishing sVCAM-1 levels. Levels of sVCAM-1 and sICAM-1 were associated with
the GMA; this implies a need for further research.
PMID- 26546315
TI - Fatal Psychrobacter sp. infection in a pediatric patient with meningitis
identified by metagenomic next-generation sequencing in cerebrospinal fluid.
AB - The genus Psychrobacter contains environmental, psychrophilic and halotolerant
gram-negative bacteria considered rare opportunistic pathogens in humans.
Metagenomics was performed on the cerebrospinal fluid (CSF) of a pediatric
patient with meningitis. Nucleic acids were extracted, randomly amplified, and
sequenced with the 454 GS FLX Titanium next-generation sequencing (NGS) system.
Sequencing reads were assembled, and potential virulence genes were predicted.
Phylogenomic and phylogenetic studies were performed. Psychrobacter sp. 310 was
identified, and several virulence genes characteristic of pathogenic bacteria
were found. The phylogenomic study and 16S rRNA gene phylogenetic analysis showed
that the closest relative of Psychrobacter sp. 310 was Psychrobacter sanguinis.
To our knowledge, this is the first report of a meningitis case associated with
Psychrobacter sp. identified by NGS metagenomics in CSF from a pediatric patient.
The metagenomic strategy based on NGS was a powerful tool to identify a rare
unknown pathogen in a clinical case.
PMID- 26546316
TI - Transcriptional analysis of exopolysaccharides biosynthesis gene clusters in
Lactobacillus plantarum.
AB - Exopolysaccharides (EPS) from lactic acid bacteria contribute to specific
rheology and texture of fermented milk products and find applications also in non
dairy foods and in therapeutics. Recently, four clusters of genes (cps)
associated with surface polysaccharide production have been identified in
Lactobacillus plantarum WCFS1, a probiotic and food-associated lactobacillus.
These clusters are involved in cell surface architecture and probably in release
and/or exposure of immunomodulating bacterial molecules. Here we show a
transcriptional analysis of these clusters. Indeed, RT-PCR experiments revealed
that the cps loci are organized in five operons. Moreover, by reverse
transcription-qPCR analysis performed on L. plantarum WCFS1 (wild type) and WCFS1
2 (DeltaccpA), we demonstrated that expression of three cps clusters is under the
control of the global regulator CcpA. These results, together with the
identification of putative CcpA target sequences (catabolite responsive element
CRE) in the regulatory region of four out of five transcriptional units, strongly
suggest for the first time a role of the master regulator CcpA in EPS gene
transcription among lactobacilli.
PMID- 26546317
TI - Cytomegalovirus Encephalitis in a Patient with Severe Combined Immunodeficiency.
PMID- 26546319
TI - Overexpression of a Phytophthora Cytoplasmic CRN Effector Confers Resistance to
Disease, Salinity and Drought in Nicotiana benthamiana.
AB - The Crinkler (CRN) effector family is produced by oomycete pathogens and may
manipulate host physiological and biochemical events inside host cells. Here,
PsCRN161 was identified from Phytophthora sojae based on its broad and strong
cell death suppression activities. The effector protein contains two predicted
nuclear localization signals and localized to nuclei of plant cells, indicating
that it may target plant nuclei to modify host cell physiology and function. The
chimeric gene GFP:PsCRN161 driven by the Cauliflower mosaic virus (CaMV) 35S
promoter was introduced into Nicotiana benthamiana. The four independent PsCRN161
transgenic lines exhibited increased resistance to two oomycete pathogens (P.
parasitica and P. capsici) and showed enhanced tolerance to salinity and drought
stresses. Digital gene expression profiling analysis showed that defense-related
genes, including ABC transporters, Cyt P450 and receptor-like kinases (RLKs),
were significantly up-regulated in PsCRN161-transgenic plants compared with GFP
(green fluorescent protein) lines, implying that PsCRN161 expression may protect
plants from biotic and abiotic stresses by up-regulation of many defense-related
genes. The results reveal previously unknown functions of the oomycete effectors,
suggesting that the pathogen effectors could be directly used as functional genes
for plant molecular breeding for enhancement of tolerance to biotic and abiotic
stresses.
PMID- 26546318
TI - ATTED-II in 2016: A Plant Coexpression Database Towards Lineage-Specific
Coexpression.
AB - ATTED-II (http://atted.jp) is a coexpression database for plant species with
parallel views of multiple coexpression data sets and network analysis tools. The
user can efficiently find functional gene relationships and design experiments to
identify gene functions by reverse genetics and general molecular biology
techniques. Here, we report updates to ATTED-II (version 8.0), including new and
updated coexpression data and analysis tools. ATTED-II now includes eight
microarray- and six RNA sequencing-based coexpression data sets for seven dicot
species (Arabidopsis, field mustard, soybean, barrel medick, poplar, tomato and
grape) and two monocot species (rice and maize). Stand-alone coexpression
analyses tend to have low reliability. Therefore, examining evolutionarily
conserved coexpression is a more effective approach from the viewpoints of
reliability and evolutionary importance. In contrast, the reliability of species
specific coexpression data remains poor. Our assessment scores for individual
coexpression data sets indicated that the quality of the new coexpression data
sets in ATTED-II is higher than for any previous coexpression data set. In
addition, five species (Arabidopsis, soybean, tomato, rice and maize) in ATTED-II
are now supported by both microarray- and RNA sequencing-based coexpression data,
which has increased the reliability. Consequently, ATTED-II can now provide
lineage-specific coexpression information. As an example of the use of ATTED-II
to explore lineage-specific coexpression, we demonstrate monocot- and dicot
specific coexpression of cell wall genes. With the expanded coexpression data for
multilevel evaluation, ATTED-II provides new opportunities to investigate lineage
specific evolution in plants.
PMID- 26546320
TI - Laser Microdissection of Grapevine Leaves Reveals Site-Specific Regulation of
Transcriptional Response to Plasmopara viticola.
AB - Grapevine is one of the most important fruit crops in the world, and it is highly
susceptible to downy mildew caused by the biotrophic oomycete Plasmopara
viticola. Gene expression profiling has been used extensively to investigate the
regulation processes of grapevine-P. viticola interaction, but all studies to
date have involved the use of whole leaves. However, only a small fraction of
host cells is in contact with the pathogen, so highly localized transcriptional
changes of infected cells may be masked by the large portion of non-infected
cells when analyzing the whole leaf. In order to understand the transcriptional
regulation of the plant reaction at the sites of pathogen infection, we optimized
a laser microdissection protocol and analyzed the transcriptional changes in
stomata cells and surrounding areas of grapevine leaves at early stages of P.
viticola infection. The results indicate that the expression levels of seven P.
viticola-responsive genes were greater in microdissected cells than in whole
leaves, highlighting the site-specific transcriptional regulation of the host
response. The gene modulation was restricted to the stomata cells and to the
surrounding areas of infected tissues, indicating that the host response is
mainly located at the infection sites and that short-distance signals are
implicated. In addition, due to the high sensitivity of the laser microdissection
technique, significant modulations of three genes that were completely masked in
the whole tissue analysis were detected. The protocol validated in this study
could greatly increase the sensitivity of further transcriptomic studies of the
grapevine-P. viticola interaction.
PMID- 26546322
TI - Cardiac Remodeling, Circulating Biomarkers and Clinical Events in Patients with a
History of Atrial Fibrillation. Data from the GISSI-AF Trial.
AB - PURPOSE: Atrial fibrillation (AF) is the most common arrhythmia and has an
increasing impact on public health because of its morbidity and mortality.
Clinical and diagnostic tests to predict the recurrence of arrhythmia and
clinical events before AF becomes permanent are still an open issue. METHODS: 307
out of 1442 patients in sinus rhythm, at high risk of recurrence of AF enrolled
in the GISSI-AF study, participated in a substudy with echocardiographic and
biohumoral evaluation at baseline and at 12-month follow-up. The relations
between biomarker concentrations and echocardiographic parameters with study
endpoints in 1 year, were analysed by a stepwise multivariable Cox model (entry
criteria p < 0.5 and stay criteria p < 0.2). RESULTS: The echocardiographic
variables, cardiac markers and clinical variables considered in the statistical
model indicated a higher concentration of NT-proBNP at baseline as the strongest
factor related to time of first AF recurrence (HR 1.42; 95 %CI 1.23-1.46), first
CV hospitalization (HR 1.58; 95 %CI 1.31-1.92) and increasing duration of
recurrent AF (OR 2.16; 95 %CI 1.52-3.08). Valsartan treatment was not related to
clinical events. CONCLUSIONS: In patients in sinus rhythm with a history of AF a
higher concentration of NT-proBNP at baseline was the strongest independent risk
factor for first AF recurrence and its duration, and for the first hospital
admission for cardiovascular reasons.
PMID- 26546321
TI - Extravascular lung water in critical care: recent advances and clinical
applications.
AB - Extravascular lung water (EVLW) is the amount of fluid that is accumulated in the
interstitial and alveolar spaces. In lung oedema, EVLW increases either because
of increased lung permeability or because of increased hydrostatic pressure in
the pulmonary capillaries, or both. Increased EVLW is always potentially life
threatening, mainly because it impairs gas exchange and reduces lung compliance.
The only technique that provides an easy measurement of EVLW at the bedside is
transpulmonary thermodilution. The validation of EVLW measurements by
thermodilution was based on studies showing reasonable correlations with
gravimetry or thermo-dye dilution in experimental and clinical studies. EVLW
should be indexed to predicted body weight. This indexation reduces the
proportion of ARDS patients for whom EVLW is in the normal range. Compared to non
indexed EVLW, indexed EVLW (EVLWI) is better correlated with the lung injury
score and the oxygenation and it is a better predictor of mortality of patients
with acute lung injury or acute respiratory distress syndrome (ARDS).
Transpulmonary thermodilution also provides the pulmonary vascular permeability
index (PVPI), which is an indirect reflection of the integrity of the
alveolocapillary barrier. As clinical applications, EVLWI and PVPI may be useful
to guide fluid management of patients at risk of fluid overload, as during septic
shock and ARDS. High EVLWI and PVPI values predict mortality in several
categories of critically ill patients, especially during ARDS. Thus, fluid
administration should be limited when EVLWI is already high. Whatever the value
of EVLWI, PVPI may indicate that fluid administration is particularly at risk of
aggravating lung oedema. In the acute phase of haemodynamic resuscitation during
septic shock and ARDS, high EVLWI and PVPI values may warn of the risk of fluid
overload and prevent excessive volume expansion. At the post-resuscitation phase,
they may prompt initiation of fluid removal thereby achieving a negative fluid
balance.
PMID- 26546323
TI - Supplemental oxygen in patients without hypoxia in ST segment elevation
myocardial infarction increases myocardial injury and infarct size.
PMID- 26546324
TI - Tamsulosin and nifedipine did not improve stone passage over placebo nor were
they cost-effective in ureteric stone disease.
PMID- 26546325
TI - Graphene oxide liquid crystals: synthesis, phase transition, rheological
property, and applications in optoelectronics and display.
AB - Graphene oxide (GO) liquid crystals (LCs) are macroscopically ordered GO flakes
dispersed in water or polar organic solvents. Since the first report in 2011, GO
LCs have attracted considerable attention for their basic properties and
potential device applications. In this review, we summarize recent developments
and present a comprehensive understanding of GO LCs via many aspects ranging from
the exfoliation of GO flakes from graphite, to phases and phase transitions under
various conditions, the orientational responses of GO under external magnetic and
electric fields, and finally Kerr effect and display applications. The emphasis
is placed on the unique and basic properties of GO and their ordered assembly. We
will also discuss challenges and issues that need to be overcome in order to gain
a more fundamental understanding and exploit full device potentials of GO LCs.
PMID- 26546326
TI - Plasmon-Enhanced Light Absorption in GaAs Nanowire Array Solar Cells.
AB - In this paper, we propose a plasmon-enhanced solar cell structure based on a GaAs
nanowire array decorated with metal nanoparticles. The results show that by
engineering the metallic nanoparticles, localized surface plasmon could be
excited, which can concentrate the incident light and propagate the energy to
nanowires. The surface plasmon can dramatically enhance the absorbance of near
bandgap light, and the enhancement is influenced by the size and material of
nanoparticles. By optimizing the particle parameters, a large absorbance
enhancement of 50 % at 760 nm and a high conversion efficiency of 14.5 % can be
obtained at a low diameter and period ratio (D/P ratio) of 0.3. The structure is
promising for low-cost high-performance nanoscale solar cells.
PMID- 26546327
TI - Aminations with Hypervalent Iodine.
AB - Recent progress in the area of hypervalent iodine-mediated and catalyzed
amination reaction of hydrocarbons is reviewed. These reactions comprise
processes under both intra and intermolecular control and include the
functionalization of aromatic C-H bonds as well as conversion of sp-, sp(2)-, and
sp(3)-hybridized carbon atoms. These developments demonstrate that hypervalent
iodine(III) methodology has reached a high level in amination chemistry. The
individual reactions are discussed with a focus on mechanistic details and
emphasis is made to the underlying hypervalent iodine reagents, for which
structural information is available.
PMID- 26546328
TI - Persistence of non-typeable Haemophilus Influenzae in the pharynx of children
with adenotonsillar hypertrophy after treatment with azithromycin.
AB - This study was performed in children with adenotonsillar hypertrophy to evaluate
the effect of azithromycin (AZT) on the presence of NTHi in monocyte/macrophages
(CD14(+) cells) of adenoids/tonsils and the persistence of NTHi after
adenotonsillectomy. A total of 36 pediatric patients participated in the study:
20 children were treated with AZT before adenotonsillectomy, and 16 children did
not receive the antibiotic prior to surgery. NTHi were identified by culture and
PCR in swabs and tissue samples. NTHi was detected in the lysates of CD14(+)
cells by fluorescence in situ hybridization (FISH) and by culture. The molecular
typing was used to cluster NTHi isolates from each child. The intracellular NTHi
was found in 10 (62.5%) untreated patients and was identified in three (15%)
azithromycin-treated patients (P = 0.003). The proportion of the persistent NTHi
strains was similar in both groups. AZT treatment followed by adenotonsillectomy
did not completely eliminate NTHi from pharynges; however, it significantly
reduced the risk of carriage of Haemophilus influenzae inside the CD14(+) cells.
PMID- 26546329
TI - "Clinicopathological features and treatment outcomes of differentiated thyroid
cancer in Saudi children and adults".
AB - INTRODUCTION: Age is an important prognostic factor in differentiated thyroid
cancer (DTC). Our aim was to evaluate differences in clinicopathological features
and treatment outcomes among children and adult patients with DTC. MATERIALS AND
METHODS: We studied 27 children (below 18 years) with DTC treated during the
period 2000-2012 and were compared with (a) 78 adults aged 19-25 years and (b) 52
adults aged 26-30 years treated during the same period in terms of their
clinicopathological features and long term treatment outcomes. Locoregional
recurrence (LRR), locoregional control (LRC), distant metastasis (DM), distant
metastasis control (DMC), disease free survival (DFS) and overall survival (OS)
rates were evaluated. RESULTS: Mean age of children was 13.5 years (range: 5-18),
while mean age of adults was 24.6 years (range: 19-30). In children, female: male
ratio was 2.85:1, and in adults female: male ratio was 7.1:1 (P = 0.041). No
significant difference in tumor size was seen between the two groups (P = 0.653).
According to American Thyroid Association (ATA) risk stratification
classification, the children (85.2 %) were found to have at high risk as compared
to adults P = 0.001. Post-thyroidectomy complications and RAI induced toxicities
were observed more in children than adults (P = 0.043 and P = 0.041
respectively). LRR occurred in 6 (22.2 %), 9 (11.5 %) and 3 (5.8 %) in age groups
of <18 years, 19-25 years and 26-30 years respectively (P = 0.032); while DM was
seen in 10 (37.0 %), 9 (10.3 %) and 5 (9.6 %) in age groups of <18 years, 19-25
years and 26-30 years respectively (P = 0.002). Ten year DFS rates were 67.3 % in
age group below 18 years, 82.4 % in age group of 19-25 years and 90.1 % in age
group of 26-30 years (P = 0.021). CONCLUSION: At the time of diagnosis, children
with DTC were found to have more aggressive clinicopathological characteristics.
Comparatively lower LRC, DMC and DFS rates in children warrants further multi
institutional studies.
PMID- 26546330
TI - Survey provides more evidence that patients don't want Sunday GP appointments.
PMID- 26546331
TI - Relationship between body mass index and the expression of hormone receptors or
human epidermal growth factor receptor 2 with respect to breast cancer survival.
AB - BACKGROUND: The association between body mass index (BMI) at the time of breast
cancer diagnosis and the prognosis of breast cancer patients remains
controversial. Furthermore, the association between BMI and prognosis with
respect to different breast cancer subtypes is not clearly defined. METHODS: We
analyzed data from 41,021 invasive breast cancer patients between January 1988
and February 2008 from the Korean Breast Cancer Registry (KBCR) database. Overall
survival (OS) and breast cancer-specific survival (BCSS) were analyzed using the
Kaplan-Meier method and Cox's proportional hazard regression model among all
patients and specific breast cancer subtypes with respect to BMI categories.
RESULTS: A U-shaped association between BMI and mortality was observed in the
total cohort. Underweight and obese individuals exhibited worse OS (hazard ratio,
1.23 [95 % confidence interval {CI}, 1.05 to 1.44] and 1.29 [1.13 to 1.48],
respectively) and BCSS (1.26 [1.03 to 1.54] and 1.21 [1.02 to 1.43],
respectively) than normal-weight individuals. In the estrogen receptor (ER)
and/or progesterone receptor (PR)+/human epidermal growth factor receptor 2
(HER2) - subgroup, obese individuals exhibited worse OS (1.48 [1.18 to 1.85]) and
BCSS (1.31 [1.13 to 1.52]) than normal-weight individuals. Conversely, in the ER
and PR-/HER2+ subgroup, underweight individuals exhibited worse OS (1.68 [1.12 to
2.47]) and BCSS (1.79 [1.11 to 2.90]) than normal-weight individuals.
CONCLUSIONS: We observed a U-shaped relationship between BMI at diagnosis and
poor OS and BCSS among all breast cancer patients. However, obesity in the ER
and/or PR+/HER2- subgroup and underweight in the ER and PR-/HER2+ subgroup were
poor prognostic factors. Therefore, BMI at diagnosis and breast cancer subtype
should be considered simultaneously in various treatment decision processes and
surveillance schedules.
PMID- 26546333
TI - Capacity building in national influenza laboratories--use of laboratory
assessments to drive progress.
AB - BACKGROUND: Laboratory testing is a fundamental component of influenza
surveillance for detecting novel strains with pandemic potential and informing
biannual vaccine strain selection. The United States (U.S.) Centers for Disease
Control and Prevention (CDC), under the auspices of its WHO Collaborating Center
for Influenza, is one of the major public health agencies which provides support
globally to build national capacity for influenza surveillance. Our main
objective was to determine if laboratory assessments supported capacity building
efforts for improved global influenza surveillance. METHODS: In 2010, 35 national
influenza laboratories were assessed in 34 countries, using a standardized tool.
Post-assessment, each laboratory received a report with a list of recommendations
for improvement. Uptake of recommendations were reviewed 3.2 mean years after the
initial assessments and categorized as complete, in-progress, no action or no
update. This was a retrospective study; follow-up took place through routine
project management rather than at a set time-point post-assessment. WHO data on
National Influenza Centre (NIC) designation, External Quality Assessment Project
(EQAP) participation and FluNet reporting was used to measure laboratory capacity
longitudinally and independently of the assessments. All data was further
stratified by World Bank country income category. RESULTS: At follow-up, 81% of
614 recommendations were either complete (350) or in-progress (145) for 32
laboratories (91% response rate). The number of countries reporting to FluNet and
the number of specimens they reported annually increased between 2005, when they
were first funded by CDC, and 2010, the assessment year (p < 0.01). Improvements
were also seen in EQAP participation and NIC designation over time and more so
for low and lower-middle income countries. CONCLUSIONS: Assessments using a
standardized tool have been beneficial to improving laboratory-based influenza
surveillance. Specific recommendations helped countries identify and prioritize
areas for improvement. Data from assessments helped CDC focus its technical
assistance by country and region. Low and lower-middle income countries made
greater improvements in their laboratories compared with upper-middle income
countries. Future research could include an analysis of annual funding and
technical assistance by country. Our approach serves as an example for capacity
building for other diseases.
PMID- 26546334
TI - Comparison of FDG PET/CT and MRI in lymph node staging of endometrial cancer.
AB - OBJECTIVE: Endometrial cancer is the most frequent cancer occurring in the female
genital tract in the Western countries. Because surgical staging is currently the
standard, noninvasive techniques that accurately identify lymph node (LN)
metastases would be beneficial by reducing costs and complications. The purpose
of our study is to compare the diagnostic accuracy of 2-[(18)F]fluoro-2-deoxy-D
glucose ((18)F-FDG) positron emission tomography/computed tomography (PET/CT)
with that of magnetic resonance imaging (MRI) for detecting LN metastases in the
preoperative staging of endometrial cancer. METHODS: Two hundred eighty-seven
consecutive patients with endometrial cancer underwent preoperative PET/CT and
MRI for staging. The malignancy criteria for LNs were a short diameter of 1 cm or
more by MRI and focally increased (18)F-FDG uptake by PET/CT. After evaluating
PET/CT and MRI separately, morphologic and functional image findings were
compared with the histological findings regarding LN metastasis for all patients.
PET/CT and MRI images were classified on the basis of histological findings as
true-positive, true-negative, false-positive, or false-negative. Sensitivity,
specificity, positive predictive value (PPV), negative predictive value (NPV),
and accuracy were calculated. RESULTS: Histologic examination revealed LN
metastases in 51 patients (17.8%). The maximal standardized uptake values
(SUVmax) of the primary lesions by PET/CT ranged from 1.4 to 37.7, with a mean
value of 9.3, whereas those of the metastatic LNs ranged from 2.0 to 22.5 with a
mean of 7.3. On a per-patient basis, node staging resulted in sensitivities of
70.0% with (18)F-FDG PET/CT and 34.0% with MRI, and specificities of 95.4 % with
PET/CT and 95.0% with MRI. The NPV of PET/CT was 94.3%, and that of MRI was
87.2%. On a lesion base analysis, sensitivity of PET/CT was 79.4% while that of
MRI was 51.6%. In detecting distant metastasis, the sensitivity, specificity,
accuracy, PPV, and NPV of PET/CT were 92.9, 98.9, 98.6, 81.3, and 99.6%,
respectively. CONCLUSION: Diagnostic performance of FDG PET/CT was better than
MRI for detecting metastatic lymph nodes in patients with endometrial cancer both
by patient basis and lesion basis analyses. Due to high NPV, FDG PET-CT could aid
in selecting candidates for lymphadenectomy.
PMID- 26546332
TI - Exposure to sub-chronic and long-term particulate air pollution and heart rate
variability in an elderly cohort: the Normative Aging Study.
AB - BACKGROUND: Short-term particulate air pollution exposure is associated with
reduced heart rate variability (HRV), a risk factor for cardiovascular morbidity
and mortality, in many studies. Associations with sub-chronic or long-term
exposures, however, have been sparsely investigated. We evaluated the effect of
fine particulate matter (PM2.5) and black carbon (BC) exposures on HRV in an
elderly cohort: the Normative Aging Study. METHODS: We measured power in high
frequency (HF) and low frequency (LF), standard deviation of normal-to-normal
intervals (SDNN), and the LF:HF ratio among participants from the Greater Boston
area. Residential BC exposures for 540 men (1161 study visits, 2000-2011) were
estimated using a spatio-temporal land use regression model, and residential
PM2.5 exposures for 475 men (992 visits, 2003-2011) were modeled using a hybrid
satellite based and land-use model. We evaluated associations between moving
averages of sub-chronic (3-84 day) and long-term (1 year) pollutant exposure
estimates and HRV parameters using linear mixed models. RESULTS: One-standard
deviation increases in sub-chronic, but not long-term, BC were associated with
reduced HF, LF, and SDNN and an increased LF:HF ratio (e.g., 28 day BC: -2.3% HF
[95% CI:-4.6, -0.02]). Sub-chronic and long-term PM2.5 showed evidence of
relations to an increased LF and LF:HF ratio (e.g., 1 year PM: 21.0% LF:HF [8.6,
34.8]), but not to HF or SDNN, though the effect estimates were very imprecise
and mostly spanned the null. CONCLUSIONS: We observed some evidence of a relation
between longer-term BC and PM2.5 exposures and changes in HRV in an elderly
cohort. While previous studies focused on short-term air pollution exposures, our
results suggest that longer-term exposures may influence cardiac autonomic
function.
PMID- 26546335
TI - Adverse drug reactions leading to urgent hospital admission in an elderly
population: prevalence and main features.
AB - PURPOSE: To assess the prevalence of urgent hospitalization due to adverse drug
reactions (ADRs) in patients aged >= 65 years, to compare the in-hospital
mortality rates between patients admitted for ADRs and those admitted for other
causes, and to describe the ADRs, the used and suspected drugs, and the drug
reaction associations. METHODS: A cross-sectional study was conducted by using
the institutional database of the Pharmacovigilance Programme of Bellvitge
University Hospital, a 750-bed tertiary care hospital, with information
corresponding to a 7-year period. ADR-related admissions of patients aged >= 65
years prospectively identified through a systematic daily review of all admission
diagnosis were reviewed. RESULTS: ADRs were suspected to be the main reason for
urgent admission in 1976 out of 60,263 patients aged >= 65 years (prevalence of
ADR-related hospitalization 3.3 % [95 % CI 3.1-3.4 %]). The crude in-hospital
mortality rate was 10.2 % in patients with ADR-related admission and 9 % in
patients admitted for other causes (p = 0.077). Most patients (86 %) were exposed
to polypharmacy, and a drug-drug interaction was suspected in 49 % of cases. The
most frequent drug-reaction associations were acute renal failure related to
renin-angiotensin system inhibitors, gastrointestinal bleeding caused by
antithrombotics and/or non-steroidal anti-inflammatories, and intracranial
bleeding induced by vitamin K antagonists. CONCLUSIONS: One out of every 30
urgent admissions of patients aged >= 65 years is ADR-related. These ADRs can be
as serious and life-threatening as any other acute pathology that merits urgent
hospital admission. Most cases involve patients exposed to polypharmacy and
result from well-known reactions of a few commonly used drugs.
PMID- 26546336
TI - Use of antidepressants and the risk of myocardial infarction in middle-aged and
older adults: a matched case-control study.
AB - PURPOSE: Antidepressants, specifically selective serotonin reuptake-inhibiting
antidepressants (SSRIs), decrease platelet activation and aggregation in in vitro
experiments and could therefore decrease the risk of myocardial infarction (MI).
However, prior studies addressing this hypothesis showed contradictory results.
Our purpose was to investigate the association between the use of any
antidepressant drug and incident MI among middle-aged and older adults. METHODS:
We embedded a case-control study in the prospective Rotterdam Study (1991-2011).
Controls were matched to MI cases based on sex and age at the same calendar date,
and confounding factors were taken into account as time-varying covariates. The
relative risk of MI during current and past use of an antidepressant was analyzed
with conditional logistic regression with never use of antidepressant drugs as
the reference category. RESULTS: A total of 744 out of a cohort of 9499 study
participants developed MI during follow-up. After statistical adjustment for
traditional cardiovascular risk factors and depression, current use of any
antidepressant was associated with a lower risk of MI (odds ratio (OR), 0.71; 95
% confidence interval (CI), 0.51-0.98) compared with never use of any
antidepressant. SSRI use showed the lowest relative risk (OR, 0.65; 95 % CI, 0.41
1.02), albeit marginally not statistically significant. Past use of any of the
antidepressant classes was not associated with a lower risk of MI. CONCLUSIONS:
Current use of antidepressants was associated with a lower risk of MI. Of the
different classes, the use of SSRIs showed the lowest risk of MI, and therefore
confirming the research hypothesis.
PMID- 26546338
TI - "I make efforts, people make comments": Prof. H. Zanyin Gaw-pioneering the world,
the trailblazer and founder of China's virology research.
PMID- 26546337
TI - Engaging the Community in the Dissemination, Implementation, and Improvement of
Health-Related Research.
AB - To help maximize the real-world applicability of available interventions in
clinical and community healthcare practice, there has been greater emphasis over
the past two decades on engaging local communities in health-related research.
While there have been numerous successful community-academic partnered
collaborations, there continues to be a need to articulate the common barriers
experienced during the evolution of these partnerships, and to provide a roadmap
for best practices that engage healthcare providers, patients, families,
caregivers, community leaders, healthcare systems, public agencies and academic
medical centers. To this end, this paper presents a summary of a forum discussion
from the 2014 Southern California Dissemination, Implementation and Improvement
(DII) Science Symposium, sponsored by the University of California Los Angeles
(UCLA) Clinical Translational Science Institute (CTSI), University of Southern
California (USC) CTSI, and Kaiser Permanente. During this forum, a diverse group
of individuals representing multiple constituencies identified four key barriers
to success in community-partnered participatory research (CPPR) and discussed
consensus recommendations to enhance the development, implementation, and
dissemination of community health-related research. In addition, this group
identified several ways in which the over 60 NIH funded Clinical and
Translational Science Institutes across the country could engage communities and
researchers to advance DII science.
PMID- 26546339
TI - Three- and four-dimensional analysis of altered behavior of enteric neural crest
derived cells in the Hirschsprung's disease mouse model.
AB - BACKGROUND/AIM: The behavior of enteric neural crest-derived cells (ENCC) during
enteric nervous system (ENS) development is being gradually understood with the
introduction of live-cell imaging. However, many of the analyses to date are two
dimensional and the precise multidirectional migration of ENCC has been
challenging to interpret. Mice lacking the endothelin-B receptor gene, Ednrb (-/
) mice, are widely used as a model for Hirschsprung's disease (HD). We have
recently developed a Sox10 transgenic (Tg) mouse to visualize ENCC with enhanced
green fluorescent protein (Venus). By breeding these two models, we have created
a Venus-positive, Sox10 Tg mouse with a deletion of the Ednrb gene, Sox10
Venus(+)/Ednrb (-/-) mouse, to investigate the ENS in HD. The aim of this study
was to investigate the behavior of migrating ENCC in the hindgut of the Sox10
Venus(+)/Ednrb (-/-) mouse using three-dimensional and four-dimensional image
analysis software. METHODS: To compare the ENCC behavior when the wavefront of
ENCC reaches the mid-hindgut between HD mouse and control, we harvested the fetal
hindguts of Sox10-Venus(+)/Ednrb (-/-) mice on embryonic day 15.5 (E15.5) and
Sox10-Venus(+)/Ednrb (+/+) mice on E12.5, which was used as control. Dissected
hindguts were cultured for 360 min and the time-lapse images were obtained using
a confocal laser-scanning microscope. Each ENCC at the wavefront was tracked
after adjusting the longitudinal axis of the gut to the Y axis and analyzed using
Imaris software. RESULTS: Track displacement (TD)-Y indicates ENCC advancement in
a rostral-caudal direction. TD-X and TD-Z indicate ENCC advancement perpendicular
to the rostral-caudal axis. Mean TD-Y was 34.56 um in HD, but 63.48 um in
controls. TD-Y/TD-XZ was not significantly different in both groups. However, the
mean track speeds were significantly decreased in HD (72.87 um/h) compared to
controls (248.29 um/h). CONCLUSIONS: Our results showed that the track speed of
ENCC advancement was markedly decreased in the HD mice compared to controls. This
technique provides added information by tracking ENCC with depth perception,
which has potential for further elucidating the altered behavior of ENCC in HD.
PMID- 26546340
TI - Abdominal radiography is not necessary in children with intussusception.
AB - BACKGROUND: Children with intussusception require rapid and accurate diagnosis to
enable timely intervention for satisfactory outcome. Ultrasonography is the
recommended standard diagnostic modality; however, abdominal radiography (AR) is
still used as an initial investigation. The aim of this study was to investigate
the benefit of AR in intussusception by determining diagnostic accuracy and
analysing correlation of AR findings with outcome. METHODS: Index cases of
intussusception presenting over 15 years (1998-2013) were analysed. Those who had
AR performed were allocated into groups with positive or normal findings. Outcome
of pneumatic reduction of intussusception (PRI) between these groups was
compared. RESULTS: Six hundred and forty-four cases of intussusception treated
with PRI were identified, 412 (64 %) had AR performed and 232 (36 %) did not. 303
(74 %) radiographs had positive findings and 109 (26 %) were normal. The success
rate of PRI did not differ between AR positive (82 %) and AR normal (84 %).
Occult pneumoperitoneum was not detected in any patient by AR in our cohort.
CONCLUSION: AR is not recommended for the diagnosis of intussusception in
children, for the prediction of the outcome of PRI or for the detection of occult
pneumoperitoneum. AR should always be performed when clinical peritonism is
present but is not otherwise necessary in children with suspected or confirmed
intussusception.
PMID- 26546341
TI - Reassessment of an Arabidopsis cell wall invertase inhibitor AtCIF1 reveals its
role in seed germination and early seedling growth.
AB - In higher plants, cell wall invertase (CWI) and vacuolar invertase (VI) are
recognized as essential players in sugar metabolism and sugar signaling, thereby
affecting source-sink interactions, plant development and responses to
environmental cues. CWI and VI expression levels are transcriptionally
controlled; however, both enzymes are also subject to posttranslational control
by invertase inhibitor proteins. The physiological significances of inhibitor
proteins during seed germination and early seedling development are not yet fully
understood. Here, we demonstrate that the inhibitor isoform AtCIF1 impacted on
seed germination and early seedling growth in Arabidopsis. The primary target of
AtCIF1 was shown to be localized to the apoplast after expressing an AtCIF1 YFP
fusion construct in tobacco epidermis and transgenic Arabidopsis root. The
analysis of expression patterns showed that AtCWI1 was co-expressed
spatiotemporally with AtCIF1 within the early germinating seeds. Seed germination
was observed to be accelerated independently of exogenous abscisic acid (ABA) in
the AtCIF1 loss-of-function mutant cif1-1. This effect coincided with a drastic
increase of CWI activity in cif1-1 mutant seeds by 24 h after the onset of
germination, both in vitro and in planta. Accordingly, quantification of sugar
content showed that hexose levels were significantly boosted in germinating seeds
of the cif1-1 mutant. Further investigation of AtCIF1 overexpressors in
Arabidopsis revealed a markedly suppressed CWI activity as well as delayed seed
germination. Thus, we conclude that the posttranslational modulation of CWI
activity by AtCIF1 helps to orchestrate seed germination and early seedling
growth via fine-tuning sucrose hydrolysis and, possibly, sugar signaling.
PMID- 26546342
TI - Expression of microRNAs and isomiRs in the porcine endometrium: implications for
gene regulation at the maternal-conceptus interface.
AB - BACKGROUND: Embryo implantation is a complex, synchronized process that requires
establishment of a reciprocal dialogue between a receptive endometrium and
developing blastocysts. Recently, microRNAs (miRNAs), known to modulate gene
expression through post-transcriptional mechanisms, were implicated in regulation
of early pregnancy events including maternal recognition of pregnancy and
implantation. To characterize complex transcriptomic changes, expression of
miRNAs in pregnant and cyclic endometria collected on days 12, 16 and 20 was
analyzed using Illumina deep sequencing and analyzed with bioinformatic pipeline.
Moreover, expression profiles of ten genes related to miRNA synthesis and
transport such as DROSHA, DGCR8, XPO5, DICER, TARBP2, TNRC6A, and AGO1-4 were
determined. RESULTS: Among genes involved in miRNA transport and synthesis
DROSHA, XPO5, DICER1, TARBP, and AGO1 expression was affected by the reproductive
status. Moreover, DICER1 and AGO2 proteins were localized in luminal and
glandular epithelium with immunofluorescence staining. Several hundred mature,
canonical and non-canonical miRNAs were found to be expressed in the endometrial
samples. Detailed analysis revealed that miRNA length variants, isomiRs,
accounted for the vast majority of defined sequences. Both miRNA and isomiR of
miR-140-3p were shown to affect expression of putative targets in endometrial
stromal cells in vitro. Computational analysis of putative target genes for
miRNAs differentially expressed (DE) between pregnant and cyclic animals resulted
in lists of biological processes and regulatory pathways indicating their role in
cellular development, cell cycle, immunological response and organismal
development. Among predicted target genes for DE miRNAs, vascular endothelial
growth factor (VEGF), progesterone and estradiol receptors (PGR, ESR1) and
leukemia inhibitory factor (LIF) were found. CONCLUSIONS: This research revealed
a repertoire of pregnancy-related miRNAs in porcine endometrium during initial
stages of conceptus implantation and during the estrous cycle, and sheds light on
mechanisms regulating miRNA-mediated gene expression at the maternal-conceptus
interface.
PMID- 26546344
TI - Correction.
PMID- 26546343
TI - Summer heat: a cross-sectional analysis of seasonal differences in sexual
behaviour and sexually transmissible diseases in Melbourne, Australia.
AB - OBJECTIVES: To date, no study has correlated seasonal differences in sexual
behaviour with the seasonal differences in sexually transmitted infections
(STIs); and no seasonal study of STIs has been conducted in the southern
hemisphere. Our study aimed to describe seasonal differences in sexual behaviour
and correlate this with seasonal differences in STI diagnoses in Melbourne,
Australia. METHOD: This was a cross-sectional study of individuals attending the
Melbourne Sexual Health Centre over a 9-year period from 2006 to 2014. We
conducted separate analyses for men who have sex with men (MSM) and men who have
sex with women (MSW), and women. Seasonal patterns of sexual behaviour and STI
positivity were examined within each group. RESULTS: All groups reported a higher
number of partners over the preceding three months for consultations in summer
compared with winter (MSM mean 5.48 vs 5.03; MSW mean 2.46 vs 2.31; women mean
1.83 vs 1.72). Urethral gonorrhoea diagnoses among MSM were higher in summer
compared with winter (OR 1.23, 95% CI 1.04 to 1.46). Similarly, non-gonococcal
urethritis (NGU) diagnoses among MSW were the highest in summer (OR 1.11, 95% CI
1.03 to 1.20), but there was no seasonal difference in NGU diagnoses when we
adjusted for partner numbers. In women, pelvic inflammatory disease (PID)
diagnoses peaked in autumn, when rates were higher than in winter (OR 1.30, 95%
CI 1.09 to 1.55). CONCLUSIONS: Our results describe a peak in sexual partner
number and STI diagnoses during consultations in summer in men and a rise in PID
in autumn in women.
PMID- 26546345
TI - Epicardial Catheter Ablation Using High-Intensity Ultrasound: Validation in a
Swine Model.
AB - BACKGROUND: Epicardial radiofrequency catheter ablation of ventricular
tachycardia remains challenging because of the presence of deep myocardial scar
and adjacent cardiac structures, such as the coronary arteries, phrenic nerve,
and epicardial fat that limit delivery of radiofrequency energy. High-intensity
ultrasound (HIU) is an acoustic energy source able to deliver deep lesions
through fat, while sparing superficial structures. We developed and tested an
epicardial HIU ablation catheter in a closed chest, in vivo swine model. METHODS
AND RESULTS: The HIU catheter is an internally cooled, 14-French, side-facing
catheter, integrated with A-mode ultrasound guidance. Swine underwent
percutaneous subxyphoid epicardial access and ablation with HIU (n=10 swine) at
15, 20, and 30 W. Compared with irrigated radiofrequency lesions in control swine
(n = 5), HIU demonstrated increased lesion depth (HIU 11.6+/-3.2 mm versus
radiofrequency 4.7+/-1.6 mm; mean+/-SD) and epicardial sparing (HIU 2.9+/-2.1 mm
versus radiofrequency 0.1+/-0.2 mm) at all HIU powers, and increased lesion
volume at HIU 20 and 30 W (P<0.0001 for all comparisons). HIU ablation over
coronary arteries and surrounding epicardial fat resulted in deep lesions with
normal angiographic flow. Histological disruption of coronary adventitia, but not
media or intima, was noted in 44% of lesions. CONCLUSIONS: Compared with
radiofrequency, HIU ablation in vivo demonstrates significantly deeper and larger
lesions with greater epicardial sparing in a dose-dependent manner. Further
development of this catheter may lead to a promising alternative to epicardial
radiofrequency ablation.
PMID- 26546346
TI - Long-Term Outcome With Catheter Ablation of Ventricular Tachycardia in Patients
With Arrhythmogenic Right Ventricular Cardiomyopathy.
AB - BACKGROUND: Catheter ablation of ventricular tachycardia (VT) in arrhythmogenic
right ventricular cardiomyopathy improves short-term VT-free survival. We sought
to determine the long-term outcomes of VT control and need for antiarrhythmic
drug therapy after endocardial (ENDO) and adjuvant epicardial (EPI) substrate
modification in patients with arrhythmogenic right ventricular cardiomyopathy.
METHODS AND RESULTS: We examined 62 consecutive patients with Task Force criteria
for arrhythmogenic right ventricular cardiomyopathy referred for VT ablation with
a minimum follow-up of 1 year. Catheter ablation was guided by
activation/entrainment mapping for tolerated VT and pacemapping/targeting of
abnormal substrate for unmappable VT. Adjuvant EPI ablation was performed when
recurrent VT or persistent inducibility after ENDO-only ablation. Endocardial
plus adjuvant EPI ablation was performed in 39 (63%) patients, including 13 who
crossed over to ENDO-EPI after VT recurrence during follow-up, after ENDO-only
ablation. Before ablation, 54 of 62 patients failed a mean of 2.4 antiarrhythmic
drugs, including amiodarone in 29 (47%) patients. During follow-up of 56+/-44
months after the last ablation, VT-free survival was 71% with only a single VT
episode in additional 9 patients (15%). At last follow-up, 39 (64%) patients were
only on beta-blockers or no treatment, 21 were on class 1 or 3 antiarrhythmic
drugs (11 for atrial arrhythmias), and 2 were on amiodarone as a bridge to heart
transplantation. CONCLUSIONS: The long-term outcome after ENDO and adjuvant EPI
substrate ablation of VT in arrhythmogenic right ventricular cardiomyopathy is
good. Most patients have complete VT control without amiodarone therapy and
limited need for antiarrhythmic drugs.
PMID- 26546348
TI - Improvement of spontaneous locomotor activity with JAK inhibition by JTE-052 in
rat adjuvant-induced arthritis.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a chronic inflammatory disease that
leads to joint destruction, disability, and decreased quality of life (QOL).
Inhibition of Janus kinase (JAK) signaling ameliorates articular inflammation and
joint destruction in animal models of RA, but its effects on behaviors indicating
well-being are poorly understood. In this study, we evaluated the effect of JAK
inhibition on spontaneous locomotor activity in rats with adjuvant-induced
arthritis, a rodent model of RA. METHODS: Arthritis was induced in male Lewis
rats by a single subcutaneous injection of Freund's complete adjuvant. The novel
JAK inhibitor JTE-052 was orally administered for 7 days after the onset of
arthritis. RESULTS: Induction of arthritis suppressed the spontaneous locomotor
activity of the rats. Administration of JTE-052 completely improved the
spontaneous locomotor activity, with partial reductions in articular inflammation
and joint destruction. Hyperalgesia and motor functions were also improved, but
the efficacy was not complete. However, serum interleukin (IL)-6 levels were
completely decreased at 4 h after administration of the first dose of JTE-052.
CONCLUSIONS: This study demonstrated that JAK inhibition improved the spontaneous
locomotor activity of rats with adjuvant-induced arthritis, in association with
amelioration of pain and physical dysfunction as a consequence of suppression of
joint inflammation. Moreover, although further studies are needed, there was
possible participation of IL-6 downregulation in the improvement of locomotor
activity by JAK inhibition.
PMID- 26546349
TI - Echocardiographic and biochemical analysis of cardiac function and injury among
female amateur runners post-marathon.
AB - BACKGROUND: Numerous studies with male amateur runners have determined negative
changes in their cardiac function/of their myocard following long endurance
loads. This study aims to examine such potential changes in women, specifically,
after running a marathon. METHODS: A total of 18 female amateur runners (39.5 +/-
10.5 years) were examined before (T1), immediately after (T2) and 24 h post
marathon (T3). An echocardiography was performed using Tissue Doppler (TD)
imaging. In addition, the concentration of cardiac troponin T (cTnT) and the
activity of the myocardial muscle creatine kinase (CK-MB) were determined at T1
and T2. RESULTS: The echocardiographic parameters revealed impairment of the
diastolic function, without, however, documenting a diastolic dysfunction (in
accordance with the classification of Nagueh (J Am Soc Echocardiogr, 22:107-33,
2009)). The ratio of blood flow velocity through the mitral valve during early
versus late diastole (MV E/A ratio), for example, decreased. The values measured
at T3 were similar to those measured at T1. The ratio of early transmitral
diastolic filling velocity and of the transmitral diastolic filling velocity by
TD imaging (MV E/E') did not indicate any change from T1 to T2, but a significant
increase at T3 (in comparison with T1). The systolic function (measured by the
left ventricular ejection fraction) did not change significantly. The cTnT
concentration and CK-MB activity were significantly higher in T2 than in T1.
CONCLUSION: The data collected does not provide any solid evidence of
pathological changes in the cardiac function of female amateur runners post
marathon, although the lab values indicate a strongly increased myocardial
stimulation.
PMID- 26546350
TI - Factors associated with motor performance among overweight and nonoverweight
Tyrolean primary school children.
AB - BACKGROUND: The increasing prevalence of overweight and obesity among children is
often associated with motor deficits. Motor performance among children partly
depends on modifiable factors, for example, weight status, electronic media use,
sports club participation, and on nonmodifiable factors, for example, sex, age,
migration background, or socio-economic status. AIM: To evaluate factors
associated with motor performance among overweight and nonoverweight Tyrolean
primary school children. METHODS: Height, weight, and sport motor performance of
primary school children were measured using the German motor performance test DMT
6-18. In addition, children were asked about migration background, sports club
participation, and electronic media use in their room. RESULTS: A total of 304
children (48.7% girls) with a mean age of 8.0 +/- 1.2 years were tested. In
total, 61 (20.1%) children were overweight or obese. Regarding motor performance,
nonoverweight children showed significantly higher total z-scores (106.8 +/- 5.7
vs. 102.4 +/- 6.8). For the total cohort, results of the multiple linear
regression analysis (R (2) = 0.20) revealed that factors male sex (beta = 0.12),
nonoverweight children (beta = 0.28), higher school grade (beta = 0.23), sports
club participation (beta = 0.18),and > 2 weekly lessons of physical education
(beta = 0.26) were associated with an increased motor performance. For
nonoverweight children results of the multiple linear regression analysis (R (2)
= 0.09) found that a higher school grade (beta = 0.17), sports club participation
(beta = 0.16),and more than 2 weekly lessons of physical education (beta = 0.22)
were associated with an increased motor performance. For the overweight children,
results of the multiple linear regression analysis (R (2) = 0 .43) showed that no
migration background (beta = 0.23), a higher school grade (beta = 0.55), sports
club participation (beta = 0.33) and more than 2 weekly lessons of physical
education (beta = 0.48) were associated with an increased motor performance.
CONCLUSION: Regarding modifiable factors, motor performance among overweight and
nonoverweight children is strongly associated with a higher number of weekly
lessons in physical education. Therefore, daily lessons in physical education are
strongly recommended to improve motor performance especially among overweight
primary school children.
PMID- 26546347
TI - Time course of cardiometabolic alterations in a high fat high sucrose diet mice
model and improvement after GLP-1 analog treatment using multimodal
cardiovascular magnetic resonance.
AB - BACKGROUND: Cardiovascular complications of obesity and diabetes are major health
problems. Assessing their development, their link with ectopic fat deposition and
their flexibility with therapeutic intervention is essential. The aim of this
study was to longitudinally investigate cardiac alterations and ectopic fat
accumulation associated with diet-induced obesity using multimodal cardiovascular
magnetic resonance (CMR) in mice. The second objective was to monitor cardiac
response to exendin-4 (GLP-1 receptor agonist). METHODS: Male C57BL6R mice
subjected to a high fat (35 %) high sucrose (34 %) (HFHSD) or a standard diet
(SD) during 4 months were explored every month with multimodal CMR to determine
hepatic and myocardial triglyceride content (HTGC, MTGC) using proton MR
spectroscopy, cardiac function with cine cardiac MR (CMR) and myocardial
perfusion with arterial spin labeling CMR. Furthermore, mice treated with exendin
4 (30 MUg/kg SC BID) after 4 months of diet were explored before and 14 days post
treatment with multimodal CMR. RESULTS: HFHSD mice became significantly heavier
(+33 %) and displayed glucose homeostasis impairment (1-month) as compared to SD
mice, and developed early increase in HTGC (1 month, +59 %) and MTGC (2-month,
+63 %). After 3 months, HFHSD mice developed cardiac dysfunction with
significantly higher diastolic septum wall thickness (sWtnD) (1.28 +/- 0.03 mm
vs. 1.12 +/- 0.03 mm) and lower cardiac index (0.45 +/- 0.06 mL/min/g vs. 0.68 +/
0.07 mL/min/g, p = 0.02) compared to SD mice. A significantly lower cardiac
perfusion was also observed (4 months:7.5 +/- 0.8 mL/g/min vs. 10.0 +/- 0.7
mL/g/min, p = 0.03). Cardiac function at 4 months was negatively correlated to
both HTGC and MTGC (p < 0.05). 14-day treatment with Exendin-4 (Ex-4)
dramatically reversed all these alterations in comparison with placebo-treated
HFHSD. Ex-4 diminished myocardial triglyceride content (-57.8 +/- 4.1 %),
improved cardiac index (+38.9 +/- 10.9 %) and restored myocardial perfusion
(+52.8 +/- 16.4 %) under isoflurane anesthesia. Interestingly, increased wall
thickness and hepatic steatosis reductions were independent of weight loss and
glycemia decrease in multivariate analysis (p < 0.05). CONCLUSION: CMR
longitudinal follow-up of cardiac consequences of obesity and diabetes showed
early accumulation of ectopic fat in mice before the occurrence of microvascular
and contractile dysfunction. This study also supports a cardioprotective effect
of glucagon-like peptide-1 receptor agonist.
PMID- 26546351
TI - Treatment of proximal humerus fractures in children and young adolescents.
AB - BACKGROUND: Fractures of the proximal humerus in children are rare and represent
approximately 0.45% of all paediatric fractures. These injuries are common in
patients up to an age of 16 years. The treatment of displaced subcapital
fractures is still controversially discussed in literature. Therefore the aim of
this study was to evaluate the short-term outcome and to provide guidelines for
surgical treatment of these fractures in children and adolescents. METHODS:
Clinical and radiological results of 231 patients between 0 and 17 years with
subcapital humerus fractures were evaluated. Patients were devided according to
their treatment as followed (1) conservative treatment group (2) operative
treatment group. RESULTS: A total of 191 patients (82.7%) underwent conservative
treatment and 40 (17.3%) underwent operative treatment. Surgical treatment
consisted of open reduction and internal fixation (ORIF) (35.0%) or closed
reduction and internal fixation (CRIF) (52.5%). In all operated patients an axial
deviation of more than 20 degrees was observed preoperatively. According to our
groups; the surgical group presented in 90% (N = 36) of the patients with an
excellent result, in 5% (N = 2) an average result was observed and in 5% (N = 2)
a poor result according to Constant Murley Score was achieved. In the
conservative treatment group in 185 patients (96.9%) excellent results were
achieved and in 6 patients (3.1%) an average result in the Constant Murley Score
was achieved. CONCLUSION: Conservative treatment in children < 10 years and an
angulation angle < 20 degrees , as well as surgical treatment with ORIF or CRIF
in patients > 10 years and with an angulation angle > 20 degrees leads to
excellent short-term outcome. However, studies with longer observation time are
needed to evaluate long-term complications like limb length discrepancy.
PMID- 26546352
TI - [Not Available].
PMID- 26546353
TI - Medical studies and Nazi medicine: Nazi medicine as perceived by Austrian medical
students.
AB - BACKGROUND: Austrian medical universities have not covered the topic of Nazi
medicine in their curricula to any satisfactory degree to date. In the context of
medical-ethical education and on-going medical ethics debates, it seems
indispensable to be confronted also with the dark chapters of medical history,
and especially Nazi medicine. Students should learn to understand controversial
discussions, e.g. about euthanasia, in a historical context. The purpose of this
study was to investigate whether students had, during their studies, been
confronted with Nazi medical crime and whether they considered such a
confrontation as important. The survey also focused on extant knowledge about
this topic. METHODS: From late 2012 to May 2013, 341 late semester students of
the medical universities in Vienna, Graz, and Innsbruck were questioned about the
coverage of Nazi medicine during their courses, using multiple choice
questionnaires. The data were evaluated using a descriptive-statistical approach.
RESULTS: The study has shown a low level of knowledge of students about Nazi
medicine in the three universities. Only a third of the students had ever heard
about "Aktion T4". About 65% of the participants found it important to be
comprehensively informed about Nazi medicine during their studies, e.g. with a
view to their future career. On average across the three universities, only 43%
of the students had been confronted with this topic. CONCLUSION: The study found
a clear wish for more information about Nazi medicine. Universities should,
therefore, offer students various opportunities and ways of discussing this issue
in the university context.
PMID- 26546354
TI - Differences among South Tyrolean suicides: a psychological autopsy study.
AB - OBJECTIVE: The aim of the present study was to study gender differences in the
suicides in South Tyrol. METHODS: Between 2000 and 2009, the Department of
Psychiatry of Bolzano administered questionnaires to the Provincial Departments
of Public Health requesting information about causes and methods of completed
suicides. Each suicide was then examined using a psychological autopsy
methodology. RESULTS: There were 448 suicides studied (339 men and 109 women).
Compared with men, women were more likely to live alone, have attempted suicide
in the past, and to have contacted their general practitioners in the last weeks
before dying. They were also less likely to have an alcohol use disorder, have
used violent methods of suicide, and be 35 years or younger. CONCLUSION: The
differences identified for South Tyrolean suicides confirmed previously reported
gender differences in employment and marital status, history of a previous
suicide attempt, and alcohol abuse. Appropriate gender-based preventive
interventions are needed.
PMID- 26546355
TI - Serum soluble urokinase-type plasminogen activator receptor and interferon-gamma
induced protein 10 levels correlate with significant fibrosis in chronic
hepatitis B.
AB - BACKGROUND: Hepatitis B virus (HBV) presents an important public health problem.
Liver biopsy is currently the gold standard for assessing the degree of
intrahepatic inflammation and for staging liver fibrosis. However, the value of
liver biopsies is limited by sampling errors, understaging and interobserver
variability in interpretation. There is, therefore, a need to identify novel, non
invasive serologic biomarkers for the development of new predictive models of
fibrosis. METHODS: We enrolled patients with chronic hepatitis B infection (CHB)
and examined the relationships between serum soluble urokinase plasminogen
activator receptor (suPAR) and interferon-induced protein-10 (IP-10), and the
results of liver biopsies. Healthy volunteers with normal aminotransferase levels
and negative serological results for HBV, hepatitis C virus and human
immunodeficiency virus were recruited as controls. RESULTS: Mean platelet volume,
serum suPAR and IP-10 were significantly elevated in patients with CHB compared
with controls. Median serum suPAR and IP-10 levels were significantly higher in
patients with liver fibrosis compared with patients with mild fibrosis. There was
no significant difference in mean platelet volume or aspartate aminotransferase
to-platelet ratio index scores between patients with mild and significant
fibrosis. CONCLUSION: suPAR and IP-10 were able to distinguish between
significant and mild fibrosis with good sensitivity and specificity, and may thus
represent useful biomarkers for identifying patients with significant fibrosis.
PMID- 26546356
TI - Challenges of the epidemiological and economic burdens associated with
hypertension in middle income countries: evidence from Mexico.
AB - BACKGROUND: In order to identify the challenges resulting from hypertension in a
middle income country, this study has developed probabilistic models to determine
the epidemiological and economic burden of hypertension in Mexico. METHODS:
Considering a population base of 654,701 reported cases of adults with
hypertension, we conducted a longitudinal analyses in order to identify the
challenges of epidemiological changes and health care costs for hypertension in
the Mexican health system. The cost-evaluation method used was based on the
instrumentation technique. To estimate the epidemiological changes for 2015-2017,
probabilistic models were constructed according to the Box-Jenkins technique.
RESULTS: Regarding changes in expected cases for 2015 vs. 2017, an increase of 12
% is expected (p < 0.001). Comparing the economic impact in 2015 versus 2017 (p <
0.001), there is a 23 % increase in financial requirements. The total amount for
hypertension in 2016 (US dollars) will be $6306,685,320 Of these, $ 2990,109,035
will be as direct costs and $ 3316,576,285 as indirect costs. CONCLUSIONS: If the
risk factors and care models remain as they are currently in the health system,
the financial consequences will have a major impact on the out-of-pocket users,
following in order of importance, on social security providers and on public
assistance providers.
PMID- 26546357
TI - Predicting the outcome of head-up tilt test using heart rate variability and
baroreflex sensitivity parameters in patients with vasovagal syncope.
AB - PURPOSE: The aim of the study was to investigate whether a statistical model
could be used for an early prediction of the head-up tilt test (HUTT) outcome
from heart rate variability (HRV) and baroreflex sensitivity (BRS) data obtained
during early stages of the HUTT. METHODS: A modified Italian protocol was used
for HUTT in 105 patients with a previous history of vasovagal syncope. Beat-to
beat heart rate and blood pressure were continuously recorded. Fast Fourier
transformation was used for spectral analysis of HRV and a sequence technique for
measuring the BRS. RESULTS: Linear statistical models based on HRV and BRS data
from the first 15 min of HUTT were no more accurate than always naively predicted
majority class that a syncope will occur (average model out-of-sample accuracy
56.2 +/- 5.1 % vs. majority class relative frequency 54.2 %). Even when HRV and
BRS data from the first 30 min were used in the model, we did not obtain any
predictions of meaningful practical value (75.0 +/- 5.1 % accuracy vs. 72.2 %
majority class). CONCLUSIONS: While there are discernible and meaningful
differences between HUTT-P and HUTT-N subjects, they are not sufficient to
discriminate between the two groups and predict a syncope early in the HUTT. The
results might improve with a larger set of subjects; however, we can conclude
that it is not likely that syncope predictions of practical value can be obtained
from aggregate HRV spectral analysis and BRS values.
PMID- 26546358
TI - Immune thrombocytopenic purpurae presenting with cortical vein thrombosis: is it
rebalanced hemostasis?
PMID- 26546359
TI - The impact of comorbidity on health-related quality of life in elderly patients
with chronic myeloid leukemia.
AB - The primary objective of this study was to investigate whether the presence of
comorbidities was associated with a lower health-related quality of life (HRQOL)
in elderly patients with chronic myeloid leukemia (CML). A sample of 174 CML
patients aged 60 years or above was analyzed. HRQOL was assessed with the Medical
Outcomes Study 36-Item Short-Form Health Survey (SF-36). A number of pre-selected
sociodemographic and disease-related factors were considered as potential
confounding factors for the association between comorbidity and HRQOL. Mean age
of the 174 patients analyzed was 70 years (range 60-87 years) and 55 % were male.
Overall, 111 patients (64 %) reported at least one comorbidity. Analysis
stratified by age group category showed a greater proportion of patients with
comorbidities in the older sub-group population (>=70 years) compared to younger
patients (60 to 69 years). Differences in HRQOL outcomes between patients with no
comorbidity at all and those with two or more comorbid conditions were at least
twice the magnitude of a clinically meaningful difference in all the physical and
mental health scales of the SF-36. In multivariate analysis, after adjusting for
key confounding factors, the following scales were significantly lower in those
with comorbidity: general health (p < 0.001), bodily pain (p < 0.001), physical
functioning (p = 0.002), and vitality (p = 0.002). Assessing comorbidity in
elderly patients with CML is important to facilitate identification of those most
in need of HRQOL improvements.
PMID- 26546360
TI - Lack of CD2AP disrupts Glut4 trafficking and attenuates glucose uptake in
podocytes.
AB - The adapter protein CD2-associated protein (CD2AP) functions in various signaling
and vesicle trafficking pathways, including endosomal sorting and/or trafficking
and degradation pathways. Here, we investigated the role of CD2AP in insulin
dependent glucose transporter 4 (Glut4, also known as SLC2A4) trafficking and
glucose uptake. Glucose uptake was attenuated in CD2AP(-/-) podocytes compared
with wild-type podocytes in the basal state, and CD2AP(-/-) podocytes failed to
increase glucose uptake in response to insulin. Live-cell imaging revealed
dynamic trafficking of HA-Glut4-GFP in wild-type podocytes, whereas in CD2AP(-/-)
podocytes, HA-Glut4-GFP clustered perinuclearly. In subcellular membrane
fractionations, CD2AP co-fractionated with Glut4, IRAP (also known as LNPEP) and
sortilin, constituents of Glut4 storage vesicles (GSVs). We further found that
CD2AP forms a complex with GGA2, a clathrin adaptor, which sorts Glut4 to GSVs,
suggesting a role for CD2AP in this process. We also found that CD2AP forms a
complex with clathrin and connects clathrin to actin in the perinuclear region.
Furthermore, clathrin recycling back to trans-Golgi membranes from the vesicular
fraction containing GSVs was defective in the absence of CD2AP. This leads to
reduced insulin-stimulated trafficking of GSVs and attenuated glucose uptake into
CD2AP(-/-) podocytes.
PMID- 26546361
TI - Screen-based identification and validation of four new ion channels as regulators
of renal ciliogenesis.
AB - To investigate the contribution of ion channels to ciliogenesis, we carried out a
small interfering RNA (siRNA)-based reverse genetics screen of all ion channels
in the mouse genome in murine inner medullary collecting duct kidney cells. This
screen revealed four candidate ion channel genes: Kcnq1, Kcnj10, Kcnf1 and Clcn4.
We show that these four ion channels localize to renal tubules, specifically to
the base of primary cilia. We report that human KCNQ1 Long QT syndrome disease
alleles regulate renal ciliogenesis; KCNQ1-p.R518X, -p.A178T and -p.K362R could
not rescue ciliogenesis after Kcnq1-siRNA-mediated depletion in contrast to wild
type KCNQ1 and benign KCNQ1-p.R518Q, suggesting that the ion channel function of
KCNQ1 regulates ciliogenesis. In contrast, we demonstrate that the ion channel
function of KCNJ10 is independent of its effect on ciliogenesis. Our data suggest
that these four ion channels regulate renal ciliogenesis through the periciliary
diffusion barrier or the ciliary pocket, with potential implication as genetic
contributors to ciliopathy pathophysiology. The new functional roles of a subset
of ion channels provide new insights into the disease pathogenesis of
channelopathies, which might suggest future therapeutic approaches.
PMID- 26546362
TI - Glutaminase-containing microvesicles from HIV-1-infected macrophages and immune
activated microglia induce neurotoxicity.
AB - BACKGROUND: HIV-1-infected and/or immune-activated microglia and macrophages are
pivotal in the pathogenesis of HIV-1-associated neurocognitive disorders (HAND).
Glutaminase, a metabolic enzyme that facilitates glutamate generation, is
upregulated and may play a pathogenic role in HAND. Our previous studies have
demonstrated that glutaminase is released to the extracellular fluid during HIV-1
infection and neuroinflammation. However, key molecular mechanisms that regulate
glutaminase release remain unknown. Recent advances in understanding
intercellular trafficking have identified microvesicles (MVs) as a novel means of
shedding cellular contents. We posit that during HIV-1 infection and immune
activation, microvesicles may mediate glutaminase release, generating excessive
and neurotoxic levels of glutamate. RESULTS: MVs isolated through differential
centrifugation from cell-free supernatants of monocyte-derived macrophages (MDM)
and BV2 microglia cell lines were first confirmed in electron microscopy and
immunoblotting. As expected, we found elevated number of MVs, glutaminase
immunoreactivities, as well as glutaminase enzyme activity in the supernatants of
HIV-1 infected MDM and lipopolysaccharide (LPS)-activated microglia when compared
with controls. The elevated glutaminase was blocked by GW4869, a neutral
sphingomyelinase inhibitor known to inhibit MVs release, suggesting a critical
role of MVs in mediating glutaminase release. More importantly, MVs from HIV-1
infected MDM and LPS-activated microglia induced significant neuronal injury in
rat cortical neuron cultures. The MV neurotoxicity was blocked by a glutaminase
inhibitor or GW4869, suggesting that the neurotoxic potential of HIV-1-infected
MDM and LPS-activated microglia is dependent on the glutaminase-containing MVs.
CONCLUSIONS: These findings support MVs as a potential pathway/mechanism of
excessive glutamate generation and neurotoxicity in HAND and therefore MVs may
serve as a novel therapeutic target.
PMID- 26546363
TI - Prediction of hemodynamic reactivity using dynamic variations of
Analgesia/Nociception Index (?ANI).
AB - The Analgesia/Nociception Index (ANI), a 0-100 non-invasive index calculated from
heart rate variability, reflects the analgesia/nociception balance during general
anesthesia. We hypothesized that dynamic variations of ANI (?ANI) would provide
better performance than static values to predict hemodynamic reactivity during
desflurane/remifentanil general anesthesia. One hundred and twenty-eight patients
undergoing ear-nose-throat or lower limb orthopedic surgery were analyzed in this
prospective observational study. The ANI, heart rate and systolic blood pressure
were recorded before induction, at skin incision, during procedure and at
emergence from general anesthesia. Changes in these variables were recorded after
1 min for ANI (ANI1min) and 5 min for heart rate and systolic blood pressure. The
dynamic variation of ANI at the different time points was defined as: ?ANI =
(ANI1min - ANI)/([ANI + ANI1min]/2). Receiver-operating characteristic (ROC)
curves were built to evaluate the performance of ANI, ANI1 min and ?ANI to
predict hemodynamic reactivity (increase by more than 20 % in heart rate and/or
systolic blood pressure within 5 min). For the prediction of hemodynamic
reactivity, better performance was observed with ?ANI (area under ROC curve (AUC
ROC) = 0.90) in comparison to ANI (ROC AUC = 0.50) and ANI1min (ROC AUC = 0.77).
A ?ANI threshold of -19 % predicts hemodynamic reactivity with 85 % [95 % CI 77
91] sensitivity and 85 % [95 % CI 81-89] specificity. Dynamic variations of ANI
provide better performance than static values to predict hemodynamic reactivity
during desflurane/remifentanil general anesthesia. These findings may be of
interest for the individual adaptation of remifentanil doses guided by ?ANI
during general anesthesia, although this remains to be demonstrated.
PMID- 26546364
TI - Physiological differences between bud breaking and flowering after dormancy
completion revealed by DAM and FT/TFL1 expression in Japanese pear (Pyrus
pyrifolia).
AB - The regulatory mechanisms underlying bud breaking (scale leaf elongation) and
flowering in the lateral flower buds of Japanese pear (Pyrus pyrifolia Nakai
'Kosui') are unknown. To more fully characterize these processes, we treated pear
trees with different amounts of chilling initiated at different times. Chilling
for ~900 h at 6 degrees C always induced bud breaking (scale elongation in >=70%
lateral flower bud) when provided between October and February, whereas chilling
provided earlier (between October and December) was less effective on flowering
(floret growth and development) than later chilling and the flowering rate
increased with longer chilling durations. During chilling, the expression of pear
DAMs (PpMADS13-1, 13-2 and 13-3) in lateral flower buds decreased as chilling
accumulated irrespective of the timing of chilling. In addition, pear TFL1
(PpTFL1-1a) in the lateral flower buds was expressed at higher levels when the
time interval for chilling was earlier. On the other hand, during forcing at 15
degrees C after chilling, the expression pattern of all three PpMADS13 genes was
similar among the treatments, and the expression levels seemed lower in the
treatment where scale leaves of the lateral flower bud elongated faster, whereas
pear FT (PpFT2a) was expressed at higher levels in the buds whose flower clusters
elongated more vigorously during forcing. From these results, we infer that
flowering time may be mediated via the balance of flowering-related genes FT and
TFL1, whereas bud breaking may be regulated via the DAM genes in Japanese pear.
PMID- 26546365
TI - Symbiotic association between Salix purpurea L. and Rhizophagus irregularis:
modulation of plant responses under copper stress.
AB - There are increasing concerns about trace metal levels such as copper (Cu) in
industrial sites and the broader environment. Different studies have highlighted
the role of mycorrhizal associations in plant tolerance to trace metals,
modulating some of the plant metabolic and physiological responses. In this
study, we investigated the role of the symbiotic association betweenRhizophagus
irregularisandSalix purpureaL. in modulating plant responses under Cu stress. We
measured Cu accumulation, oxidative stress-related, photosynthetic-related and
hydraulic traits, for non-inoculated (non-arbuscular mycorrhizal fungi) and
inoculated saplings exposed to different Cu concentrations. We found thatS.
purpureais a suitable option for phytoremediation of Cu, acting as a
phytostabilizer of this trace metal in its root system. We observed that the
symbiotic association modulates a broad spectrum of metabolic and physiological
responses inS. purpureaunder Cu conditions, including (i) a reduction in gas
exchange associated with chlorophyll content changes and (ii) the sequestration
of Cu into the cell walls, modifying vessels anatomy and impacting leaf specific
conductivity (KL) and root hydraulic conductance (LP). UpholdingKLandLPunder Cu
stress might be related to a dynamic Aquaporin gene regulation ofPIP1;2along with
an up-regulation ofTIP2;2in the roots of inoculatedS. purpurea.
PMID- 26546366
TI - Reliance on shallow soil water in a mixed-hardwood forest in central
Pennsylvania.
AB - We investigated depth of water uptake of trees on shale-derived soils in order to
assess the importance of roots over a meter deep as a driver of water use in a
central Pennsylvania catchment. This information is not only needed to improve
basic understanding of water use in these forests but also to improve
descriptions of root function at depth in hydrologic process models. The study
took place at the Susquehanna Shale Hills Critical Zone Observatory in central
Pennsylvania. We asked two main questions: (i) Do trees in a mixed-hardwood,
humid temperate forest in a central Pennsylvania catchment rely on deep roots for
water during dry portions of the growing season? (ii) What is the role of tree
genus, size, soil depth and hillslope position on the depth of water extraction
by trees? Based on multiple lines of evidence, including stable isotope natural
abundance, sap flux and soil moisture depletion patterns with depth, the majority
of water uptake during the dry part of the growing season occurred, on average,
at less than ~60 cm soil depth throughout the catchment. While there were some
trends in depth of water uptake related to genus, tree size and soil depth, water
uptake was more uniformly shallow than we expected. Our results suggest that
these types of forests may rely considerably on water sources that are quite
shallow, even in the drier parts of the growing season.
PMID- 26546367
TI - Design and Performance of a Low-Cost Telemetric Laparoscopic Tactile Grasper.
AB - Tactile feedback is completely lost in laparoscopic surgery, which would provide
information about tissue compliance, texture, structural features, and foreign
bodies. We developed a system with artificial tactile feedback for laparoscopic
surgery that consists of a telemetric tactile laparoscopic grasper, a remote PC
with customized software, and a commercial video-mixer. A standard, nonsensorized
laparoscopic grasper was customized to allow the integration of a tactile sensor
and its electronics. The tactile sensor and the electronics module were designed
to be detachable from the instrument. These parts are lightweight and wireless,
thus not impeding the use of the device as surgical instrument. The remaining
system components used to generate visualization of the tactile data do not
influence the workflow in the operating room. The overall system design of the
described instrumentation allows for easy implementation in an operating room
environment. The fabrication of the tactile sensor is relatively easy and the
production costs are low. With this telemetric laparoscopic grasper instrument,
systematic preclinical studies can be performed in which surgeons execute
surgical tasks that are derived from clinical reality. The experience gained from
these investigations could then be used to define the requirements for any
further development of artificial tactile feedback systems.
PMID- 26546368
TI - Feasibility of Subxiphoid Anatomic Pulmonary Lobectomy in a Canine Model.
AB - Purpose Transthoracic thoracoscopic approach is the gold standard in surgical
treatment for thoracic disease. However, it is associated with significant
chronic postoperative wound discomfort. Currently, limited data are available
regarding the subxiphoid approach to the thoracic cavity. The present study is
aimed to evaluate the performance of a subxiphoid anatomic pulmonary lobectomy
(SAPL) in a canine model. Methods The SAPL procedure was performed in 10 beagle
dogs using a 3-cm incision over the xiphoid process. After thoracic exploration,
SAPL was performed under flexible bronchoscopy guidance. The pulmonary vessel was
divided with Ligasure and secured with a suture ligature. The bronchus was
divided with endostapler. Surgical outcomes were evaluated by the success of SAPL
and operative complications. Results SAPL was successfully completed in 9
animals. One animal required conventional thoracotomy to resuture the pulmonary
artery stump. Another animal encountered small middle lobe laceration after SAPL
and died at 8 days postoperation due to respiratory distress. Conclusion
Subxiphoid anatomic pulmonary lobectomy is technically feasible. Refinement of
endoscopic instruments combined with more research evidences may facilitate the
development of subxiphoid platform in thoracic surgery.
PMID- 26546369
TI - Knockdown of hippocampal cysteinyl leukotriene receptor 1 prevents depressive
behavior and neuroinflammation induced by chronic mild stress in mice.
AB - RATIONALE: Numerous studies have demonstrated that neuroinflammation is
associated with depression-like symptoms and neuropsychological disturbances, and
cysteinyl leukotriene receptor 1 (CysLT1R) was reported to be involved in
neuroinflammation. The pathophysiological role of CysLT1R has been reported in
several types of brain damage. However, the role of CysLT1R in depression remains
to be elucidated. OBJECTIVES: We aimed to investigate the effect of hippocampal
CysLT1R downregulation on depressive behaviors and neuroinflammatory responses in
mice exposed to chronic mild stress (CMS). RESULTS: We firstly found that
expression of hippocampal CysLT1R was gradually increased over CMS exposure,
while 3 weeks treatment with fluoxetine reversed the increment of hippocampal
CysLT1R expression. Hippocampal CysLT1R knockdown suppressed CMS-induced
depressive-like behaviors as evidenced by decreases in immobility time in tail
suspension test (TST), decreased latency to feed in novelty-suppressed feeding
(NSF) test, and by increase in the number of entries and decrease in time spent
in the open arm in elevated plus maze (EPM) test. Increments of hippocampal NF
kappaB p65, IL-1beta, and TNF-alpha induced by CMS were also prevented by
hippocampal CysLT1R knockdown beforehand. CONCLUSIONS: Hippocampal CysLT1R
participates in depression, and knockdown of hippocampal CysLT1R prevents CMS
induced depressive-like behaviors and neuroinflammation, suggesting that
suppression of CysLT1R could prevent the development of depression.
PMID- 26546371
TI - A disseminated Mycoplasma hominis infection in a patient with an underlying
defect in humoral immunity.
AB - Non-urogenital Mycoplasma hominis infections are rare, but may cause life
threatening complications. We describe a case of disseminated M. hominis
infection with extensive abscess formation in an immunocompromised patient with
iatrogenic hypogammaglobulinemia under rituximab treatment.
PMID- 26546370
TI - Role of the basolateral amygdala dopamine receptors in
arachidonylcyclopropylamide-induced fear learning deficits.
AB - There is much evidence suggesting that the mesoamygdala dopaminergic (DAergic)
system plays a crucial role in the formation and expression of fear conditioning,
with both D1 and D2 receptors being involved. In addition, cannabinoid CB1
receptor (CB1R) signaling modulates DAergic pathways. The present study sought to
determine the involvement of basolateral amygdala (BLA) dopamine receptors in
arachidonylcyclopropylamide (ACPA)-induced fear learning deficits. Context- and
tone-dependent fear conditioning in adult male NMRI mice was evaluated. Pre
training intraperitoneal administration of ACPA (0.1 mg/kg) decreased the
percentage of freezing in context- or tone-dependent fear conditioning,
suggesting an acquisition impairment. Pre-training intra-BLA microinjection of a
subthreshold dose of SKF38393 (D1-like receptor agonist), SCH23390 (D1-like
receptor antagonist), quinpirole (D2-like receptor agonist), or sulpiride (D2
like receptor antagonist) did not alter the context-dependent fear learning
deficit induced by ACPA, while SKF38393 or quinpirole restored ACPA effect on
tone-dependent fear learning. Moreover, SKF38393 (1 MUg/mouse), SCH23390 (0.04
and 0.08 MUg/mouse), or quinpirole (0.1 MUg/mouse) all impaired context-dependent
fear learning. It is concluded that D1 or D2 dopamine (DA) receptor activation
restores tone- but not context-dependent fear learning deficit induced by CB1
activation using ACPA.
PMID- 26546372
TI - Factors influencing antimicrobial resistance and outcome of Gram-negative
bloodstream infections in children.
AB - OBJECTIVE: The aim of this study was to collect data about pediatric Gram
negative bloodstream infections (BSI) to determine the factors that influence
multidrug resistance (MDR), clinical course and outcome of children affected by
Gram-negative sepsis. METHODS: In this observational, prospective, multicenter
study we collected cases of pediatric Gram-negative BSI during a 2-year period.
We analyzed epidemiological, microbiological and clinical factors that associated
with acquisition of MDR infections and outcome. RESULTS: One-hundred and thirty
five BSI episodes were analyzed. Median age of children was 0.5 years (IQR 0.1
6.17, range 0-17 years). Predominant bacteria were Enterobacteriaceae (68.3 %),
and Pseudomonas spp. (17.9 %). Multidrug resistance was detected in 45/134 cases
(33.6 %), with the highest rates in Escherichia coli, Enterobacter and
Pseudomonas spp. Acquisition of MDR pathogens was significantly associated with
prior cephalosporin treatment, older age, admission to hemato-oncology unit,
polymicrobial infections, higher rate of development of septic shock, and
multiple organ failures. All-cause mortality was 17.9 %. Presence of septic shock
at presentation and parenteral nutrition were associated with higher mortality.
Pseudomonas spp., and Enterobacter spp. BSIs had the highest rate of mortality.
Inappropriate empiric antibiotic therapy was more frequent in MDR patients,
although not significantly associated with poor outcome. CONCLUSION: Rates of
multidrug resistance and mortality in children with Gram-negative bloodstream
infections remain high in our settings. Empiric broad-spectrum antibiotics and
combination therapy could be recommended, especially in children with malignant
diseases, patients admitted to the PICU, and for cases with septic shock, who
have higher mortality risk.
PMID- 26546373
TI - Trichomonas vaginalis: pathogenicity and potential role in human reproductive
failure.
AB - PURPOSE: Trichomonas vaginalis, which colonizes the genitourinary tract of men
and women, is a sexually transmitted parasite causing symptomatic or asymptomatic
trichomoniasis. The host-parasite relationship is very complex, and clinical
symptoms cannot likely be attributed to a single pathogenic effect. Among the
many factors responsible for interactions between T. vaginalis and host tissues,
contact-dependent and contact-independent mechanisms are important in
pathogenicity, as is the immune response. METHODS: This review focuses on the
potential virulence properties of T. vaginalis and its role in female and male
infertility. RESULTS: It highlights the association between T. vaginalis
infection and serious adverse health consequences experienced by women, including
infertility, preterm birth and low-birth-weight infants. Long-term clinical
observations and results of in vitro experimental studies indicate that in men,
trichomoniasis has been also associated with infertility through inflammatory
damage to the genitourinary tract or interference with sperm function.
CONCLUSION: These results contribute significantly to improving our knowledge of
the role of parasitic virulence factors in the development of infection and its
role in human infertility.
PMID- 26546374
TI - Low-Level Vagus Nerve Stimulation Attenuates Myocardial Ischemic Reperfusion
Injury by Antioxidative Stress and Antiapoptosis Reactions in Canines.
AB - BACKGROUND: Low-level vagus nerve stimulation (LL-VNS) has been demonstrated to
protect myocardium against acute ischemia/reperfusion (I/R) injury. However, the
underlying mechanism of this protective effect remains unknown. OBJECTIVE: This
study aimed to test the hypothesis that LL-VNS exerts cardioprotective effect on
acute I/R injury in canines via antioxidative stress and antiapoptosis reactions.
METHOD: Thirty anesthetized mongrel dogs were randomly divided into three groups:
I/R group (N = 12, the left anterior descending coronary artery was occluded for
1 hour following by 1 hour reperfusion), LL-VNS group (N = 9, I/R plus LL-VNS),
and sham group (N = 9, sham surgery without LL-VNS). The voltage threshold was
set at 80% of the voltage required to slow the sinus rate. Infarct size was
assessed with Evans Blue and triphenyltetrazolium chloride. Activity assays,
TUNEL staining, and western blotting were performed to determine markers of
oxidative stress and apoptosis. RESULTS: LL-VNS significantly decreased the
incidence of ventricular arrhythmias, increased vagal tone, as confirmed by heart
rate viability, and reduced infarct size compared with the I/R group. This
improvement was associated with a reduction in myocardial neutrophil
infiltration, the inhibition of oxidative stress, and the suppression in
cardiomyocyte apoptosis. In contrast, the lack of LL-VNS in the I/R group induced
the opposite effect compared with the sham group. CONCLUSION: LL-VNS exerts
protective effects on myocardial I/R injury. Its potential mechanisms involve the
suppression of oxidative stress and cellular apoptosis.
PMID- 26546375
TI - Correlated polymorphism in cytotype and sexual system within a monophyletic
species, Lycium californicum.
AB - BACKGROUND AND AIMS: Polyploidy has important effects on reproductive systems in
plants and has been implicated in the evolution of dimorphic sexual systems. In
particular, higher ploidy is associated with gender dimorphism across Lycium
species (Solanaceae) and across populations within the species Lycium
californicum. Previous research on the association of cytotype and sexual system
within L. californicum sampled a limited portion of the species range, and did
not investigate evolutionary transitions between sexual systems. Lycium
californicum occurs in arid regions on offshore islands and mainland regions in
the south-western United States and Mexico, motivating a more comprehensive
analysis of intraspecific variation in sexual system and cytotype across the full
range of this species. METHODS: Sexual system (dimorphic vs. cosexual) was
determined for 34 populations across the geographical range of L. californicum
using field observations of pollen production, and was confirmed using
morphological measurements and among-plant correlations of primary sexual traits.
Ploidy was inferred using flow cytometry in 28 populations. DNA sequence data
from four plastid and two nuclear regions were used to reconstruct relationships
among populations and to map transitions in sexual system and ploidy. KEY
RESULTS: Lycium californicum is monophyletic, ancestrally diploid and cosexual,
and the association of gender dimorphism and polyploidy appears to have two
evolutionary origins in this species. Compared with cosexual populations,
dimorphic populations had bimodal anther size distributions, negative
correlations between male and female floral traits, and larger coefficients of
variation for primary sexual traits. Flow cytometry confirmed tetraploidy in
dimorphic populations, whereas cosexual populations were diploid. CONCLUSIONS:
Tetraploidy and gender dimorphism are perfectly correlated in L. californicum,
and the distribution of tetraploid-dimorphic populations is restricted to
populations in Arizona and the Baja California peninsula. The analysis suggests
that tetraploidy and dimorphism likely established in Baja California and may
have evolved multiple times.
PMID- 26546376
TI - C-arm as intraoperative control in reduction of isolated zygomatic arch
fractures: a randomized clinical trial.
AB - PURPOSE: Isolated zygomatic arch fractures (IZAFs) are habitually reduced at a
distance, via a temporal approach. Open reductions are not recommended due to the
associated morbidity and complications. However, performing closed reductions
makes it difficult to determine whether it was done satisfactorily. This study
aims to determine whether the acquisition of intraoperative images with a C-arm
to evaluate IZAF reductions is a useful technique in treating such fractures.
METHODS: Our hypothesis is that acquiring intraoperative images with a C-arm
reduces the need for a second surgery. Between 2009 and 2012, 50 patients who
were diagnosed with IZAF requiring surgery were randomly distributed into two
groups: 25 patients were in the experimental group, where fracture reduction was
performed and immediately corroborated intraoperatively for an adequate result
using a C-arm, and 25 patients were assigned to a control group where the
fracture reduction was controlled with post-surgery imaging. RESULTS: The results
did not reveal significant differences between both groups (p = 0.5).
Nevertheless, the experimental group had the advantage of being able to
immediately reduce the fracture again if the result was unsatisfactory.
CONCLUSIONS: Despite the fact that the results are not statistically significant
(p = 0.5), the authors recommend undertaking an intraoperative imaging analysis
in areas where we are not certain of the reduction.
PMID- 26546377
TI - The gamma-glutamyl transpeptidase-to-platelet ratio as a predictor of liver
fibrosis in patients co-infected with HBV and HIV.
PMID- 26546378
TI - Canopy leaf area of a mature evergreen Eucalyptus woodland does not respond to
elevated atmospheric [CO2] but tracks water availability.
AB - Canopy leaf area, quantified by the leaf area index (L), is a crucial driver of
forest productivity, water use and energy balance. Because L responds to
environmental drivers, it can represent an important feedback to climate change,
but its responses to rising atmospheric [CO2] and water availability of forests
have been poorly quantified. We studied canopy leaf area dynamics for 28 months
in a native evergreen Eucalyptus woodland exposed to free-air CO2 enrichment (the
EucFACE experiment), in a subtropical climate where water limitation is common.
We hypothesized that, because of expected stimulation of productivity and water
use efficiency, L should increase with elevated [CO2]. We estimated L from
diffuse canopy transmittance, and measured monthly leaf litter production.
Contrary to expectation, L did not respond to elevated [CO2]. We found that L
varied between 1.10 and 2.20 across the study period. The dynamics of L showed a
quick increase after heavy rainfall and a steady decrease during periods of low
rainfall. Leaf litter production was correlated to changes in L, both during
periods of decreasing L (when no leaf growth occurred) and during periods of
increasing L (active shedding of old foliage when new leaf growth occurred). Leaf
lifespan, estimated from mean L and total annual litter production, was up to 2
months longer under elevated [CO2] (1.18 vs. 1.01 years; P = 0.05). Our main
finding that L was not responsive to elevated CO2 is consistent with other forest
FACE studies, but contrasts with the positive response of L commonly predicted by
many ecosystem models.
PMID- 26546379
TI - Extremely Preterm Born Children at Very High Risk for Developing Autism Spectrum
Disorder.
AB - This study aimed to provide a more comprehensive picture of the prevalence of
autism spectrum disorder (ASD) in a geographic cohort of extremely preterm born
adolescents by using established diagnostic instruments in addition to screening
instruments. 53 participants passed a screening procedure with two screening
instruments and a diagnostic evaluation with a semi-structured assessment and a
parent interview. 28 % of the adolescents had a community based clinical
diagnosis of ASD. When research diagnoses were also taken into account, this rate
increased to 40 %. Intellectual disability, language impairment and behavioural
difficulties are characteristic for these children with ASD. This study is to our
knowledge the first to use ASD-specific diagnostic instruments to confirm ASD
diagnoses in extremely preterm born children in early adolescence. The study
expands findings of previous research and raises the need for follow-up into late
childhood and early adolescence.
PMID- 26546380
TI - The necessity of conducting a comprehensive literature review within low-income
countries.
PMID- 26546382
TI - Lactococcus nasutitermitis sp. nov. isolated from a termite gut.
AB - Bacterial strain M19T was isolated from the gut of a wood-feeding termite,
Nasutitermes hainanensis. Phylogenetic analysis based on 16S rRNA gene sequences
indicated that strain M19T was related to members of the genus Lactococcus, with
sequence similarities ranging from 84.8 to 95.5 %. Comparison of housekeeping
gene ropB sequences revealed that strain M19T was well separated from Lactococcus
fujiensis JCM 16395T and Lactococcus hircilactis 117T. The isolate was Gram-stain
positive, catalase-negative and non-motile. Cells were coccoid or ovoid-shaped,
and occurred singly, in pairs or as short chains. Growth of strain M19T occurred
at 10-40 degrees C and at pH 5.0-7.5. The DNA G+C content of strain M19T was
39.6 mol% and the major fatty acids were C16 : 0, cyclo-C19 : 0omega8c, C18 :
1omega9c, summed feature 7 and summed feature 8. Based on the phylogenetic,
chemotaxonomic and phenotypic data presented, strain M19T represents a novel
species of the genus Lactococcus, for which the name Lactococcus nasutitermitis
sp. nov. is proposed. The type strain is M19T ( = CGMCC 1.15204T = NBRC 111537T).
PMID- 26546383
TI - Breast Background Parenchymal Enhancement on Screening Magnetic Resonance Imaging
in Women Who Received Chest Radiotherapy for Childhood Hodgkin's Lymphoma.
AB - RATIONALE AND OBJECTIVES: Breast magnetic resonance imaging (MRI) is recommended
for the screening of women with a history of chest radiotherapy and consequent
increased breast cancer risk. The purpose of this study was to evaluate the
impact of prior chest radiotherapy on breast tissue background parenchymal
enhancement (BPE) at screening breast MRI. MATERIALS AND METHODS: A departmental
database was reviewed to identify asymptomatic women with either a history of
chest radiotherapy for Hodgkin's lymphoma or age-matched controls who underwent
screening breast MRI between 2009 and 2013. MRI studies were analyzed on an
automated breast MRI viewing platform to calculate breast BPE and breast density.
RESULTS: A total of 61 cases (mean age 41.6 +/- 6.75 years) and 61 controls (mean
age 40.8 +/- 6.99 years) were included. The age of patients at the time of chest
radiotherapy was 22.6 +/- 8.17 years. Screening MRI was performed 19.0 +/- 7.43
years after chest radiotherapy. BPE was significantly higher in patients who
received chest radiotherapy (50% vs. 37%, P <0.01). A weak to moderate positive
correlation (r > 0.3; P < 0.03) was found between BPE and number of years post
radiotherapy. There was a trend toward significant difference between the two
groups in the correlation of BPE and age (P = 0.05). Breast density was not
significantly different between the two groups. CONCLUSIONS: BPE is significantly
greater in women who receive chest radiotherapy for childhood Hodgkin's lymphoma,
and unexpectedly, it positively correlates with the number of years passed after
radiation therapy. Long-term biological effects of radiation therapy on breast
parenchyma need further research.
PMID- 26546381
TI - Evaluation of Simulated Clinical Breast Exam Motion Patterns Using Marker-Less
Video Tracking.
AB - OBJECTIVE: This study investigates using marker-less video tracking to evaluate
hands-on clinical skills during simulated clinical breast examinations (CBEs).
BACKGROUND: There are currently no standardized and widely accepted CBE screening
techniques. METHODS: Experienced physicians attending a national conference
conducted simulated CBEs presenting different pathologies with distinct tumorous
lesions. Single hand exam motion was recorded and analyzed using marker-less
video tracking. Four kinematic measures were developed to describe temporal (time
pressing and time searching) and spatial (area covered and distance explored)
patterns. RESULTS: Mean differences between time pressing, area covered, and
distance explored varied across the simulated lesions. Exams were objectively
categorized as either sporadic, localized, thorough, or efficient for both
temporal and spatial categories based on spatiotemporal characteristics. The
majority of trials were temporally or spatially thorough (78% and 91%),
exhibiting proportionally greater time pressing and time searching (temporally
thorough) and greater area probed with greater distance explored (spatially
thorough). More efficient exams exhibited proportionally more time pressing with
less time searching (temporally efficient) and greater area probed with less
distance explored (spatially efficient). Just two (5.9 %) of the trials exhibited
both high temporal and spatial efficiency. CONCLUSIONS: Marker-less video
tracking was used to discriminate different examination techniques and measure
when an exam changes from general searching to specific probing. The majority of
participants exhibited more thorough than efficient patterns. APPLICATION: Marker
less video kinematic tracking may be useful for quantifying clinical skills for
training and assessment.
PMID- 26546384
TI - Experience and efficacy of surgery for retaining viable subcutaneous tissue in
extensive full-thickness burns.
AB - BACKGROUND AND AIM: For adult patients with extensive full-thickness burns
(EFTB), a fascial excision is mostly used but it causes a very significant
deformity. This study aims to summarize experience and efficacy of surgery for
retaining viable subcutaneous tissue in EFTB. METHOD: Clinical data were reviewed
for 31 consecutive adult patients with full-thickness burn (FTB) over 70% total
body surface area (TBSA) and undergoing first tangential excision and skin
grafting on subcutaneous tissue wound (TESGSTW) within 7 days post burn at our
burn center between 2002 and 2013. RESULTS: Average age, total burn area, and FTB
area of 31 patients were 32.4 +/- 12.8 years, 89.0 +/- 6.2% and 80.4 +/- 7.6%
TBSA, respectively. Of these, 80.6% combined with inhalation injury and 71.0%
supervened early shock. Eighteen patients who survived (58.1%) totally underwent
121 times of surgery, of which TESGSTW and autologous skin grafting were 41 and
88 times, respectively. Their average time and area of first tangential excision
was 4.1 +/- 0.6 days post burn and 33.8 +/- 7.6% TBSA, respectively, and
accumulated tangential excision area was 58.4 +/- 10.8% TBSA. In 39 times of
TESGSTW within 14 days post burn, cryopreserved alloskin or fresh young pigskin
was applied on 84.6%, and average time and take rate of autologous skin grafting
instead of grafted alloskin or xenoskin was 14.6 +/- 0.7 days and 89.5 +/- 1.4%,
respectively. Scalp was the main donor site for autologous skin, especially
microskin grafting. Systemic wound healing time roughly was 67.3 +/- 1.9 days
post burn, meanwhile, viable subcutaneous tissue was retained. Healed wounds were
plump, and their extensibility and sensitivity were better by follow-up.
CONCLUSION: The surgical treatment in EFTB is practicable and effective.
PMID- 26546385
TI - Scar outcome of children with partial thickness burns: A 3 and 6 month follow up.
AB - INTRODUCTION: There is a paucity of research investigating the scar outcome of
children with partial thickness burns. The aim of this study was to assess the
scar outcome of children with partial thickness burns who received a silver
dressing acutely. METHOD: Children aged 0-15 years with an acute partial
thickness burn, <=10% TBSA were included. Children were originally recruited for
an RCT investigating three dressings for partial thickness burns. Children were
assessed at 3 and 6 months after re-epithelialization. 3D photographs were taken
of the burn site, POSAS was completed and skin thickness was measured using
ultrasound imaging. RESULTS: Forty-three children returned for 3 and 6 month
follow-ups or returned a photo. Days to re-epithelialization was a significant
predictor of skin/scar quality at 3 and 6 months (p<0.01). Patient-rated color
and observer-rated vascularity and pigmentation POSAS scores were comparable at 3
months (color vs. vascularity 0.88, p<0.001; color vs. pigmentation 0.64,
p<0.001), but patients scored higher than the observer at 6 months (color vs.
vascularity 0.57, p<0.05; color vs. pigmentation 0.15, p=0.60). Burn depth was
significantly correlated with skin thickness (r=0.51, p<0.01). Hypopigmentation
of the burn site was present in 25.8% of children who re-epithelialized in <= 2
weeks. CONCLUSION: This study has provided information on outcomes for children
with partial thickness burns and highlighted a need for further education of this
population.
PMID- 26546386
TI - Interactive gaming consoles reduced pain during acute minor burn rehabilitation:
A randomized, pilot trial.
AB - INTRODUCTION: Interactive gaming consoles (IGCs) have been used successfully in
rehabilitation settings as an adjunct to conventional exercise for restoring or
maintaining active function and augmenting pharmacological analgesia. AIM: The
objective of this pilot study was to assess if adjunctive use of the Nintendo Wii
IGC was of benefit to acute burn patients. METHOD: This was a randomized,
controlled trial. The intervention group received routine rehabilitation in
addition to up to 5 days of twice daily, 20-30 min of exercise using the Nintendo
Wii IGC. The control group received routine rehabilitation exercise therapy.
RESULTS: A total of 22 subjects were recruited and randomized by location of burn
to intervention and control groups. Pain scores were significantly improved in
the intervention group (r(2)=1.18; 95%CI -0.584 to -0.298, p=0.019) as indicated
by a 17% greater drop in the pre-post-study pain compared to controls. Fear
avoidance and ROM measurements were not statistically different between the
groups. CONCLUSION: The Nintendo Wii IGC was associated with a greater reduction
in pain, particularly in those with higher levels of pain at baseline.
PMID- 26546387
TI - Effects of ethinylestradiol-cyproterone acetate vs. pioglitazone-flutamide
metformin on plasma FGF21 levels in adolescent girls with androgen excess.
PMID- 26546388
TI - Exploring person-centredness in emergency departments: A literature review.
AB - Person-centred approaches to care delivery have been increasingly promoted in
international policy and strategy, but despite this there is evidence of failings
within healthcare systems that negatively impact on the care experience for
patients and staff. This paper explores the international literature on person
centredness within emergency departments (EDs). The Person-centred Practice
Framework was used as the underpinning theoretical framework. This theory
contends that staff must possess certain attributes to manage the care
environment appropriately to deliver effective care processes in order to achieve
effective person-centred outcomes for patients and staff. An initial search of
the literature identified no relevant papers that discussed person-centredness as
a concept within EDs. A further search using terms drawn from a definition of
person-centredness revealed literature that reflected components of person
centredness. Themes that emerged included medical-technical intervention, a
culture of worthiness, managing the patient journey, nurse/doctor relationships,
patients' and relatives' experience of care, and ED as a stressful environment.
The themes can be mapped onto the Person-centred Practice Framework, suggesting
that components of person-centred practice have emerged from studies in a
fragmented fashion, without consideration of person-centredness as a whole within
an ED context.
PMID- 26546389
TI - Screening for Pediatric Blunt Cerebrovascular Injury: Review of Literature and a
Cost-Effectiveness Analysis.
AB - BACKGROUND: Timely and accurate screening for pediatric blunt cerebrovascular
injury (BCVI) is important in order to administer appropriate anticoagulation
therapy thus preventing stroke. The recommended criteria for screening in
children are not clear. We performed a systematic review of the literature for
screening and management of BCVI in children and designed a cost-effectiveness
analysis in order to determine the optimal strategy for managing pediatric BCVI
from a societal perspective. METHODS: Comprehensive review of studies citing BCVI
in pediatric patients was carried out with data extraction and compilation. An
economic evaluation of 5 possible screening strategies was performed by designing
a decision tree over a 1-year horizon using parameters derived from literature
review. Base case calculations were made to compare cost effectiveness for each
strategy. Monte Carlo simulation and extensive sensitivity analyses were
performed to examine the robustness of the conclusion against key variables.
RESULTS: Selective anticoagulation therapy in patients with high-risk factors was
found to be the most cost-effective strategy and selective computed tomography
angiography (CTA) in high-risk patients was the optimal imaging strategy. This
conclusion was corroborated by a Monte Carlo simulation of 10,000 iterations. In
all sensitivity analyses, selective anticoagulation and selective CTA continue to
be the optimal strategy until the risk of anticoagulation complications rises
above 3.9%. CONCLUSIONS: Our study demonstrated selective CTA to be the optimal
imaging strategy in order to assess BCVI in children. Further studies are needed
for more clearly defined screening criteria.
PMID- 26546390
TI - N-Heterocyclic Carbene Catalyzed Dynamic Kinetic Resolution of Pyranones.
AB - The dynamic kinetic resolution of 6-hydroxypyranones with enals or alkynals
through an asymmetric redox esterification is catalyzed by a chiral N
heterocyclic carbene. The resulting esters are obtained in good to high yields
and with high levels of enantio- and diastereocontrol. The reaction products are
further derivatized to obtain functionalized sugar derivatives and natural
products.
PMID- 26546391
TI - Local full-thickness skin graft to cover the radial forearm free flap donor site.
PMID- 26546392
TI - Continuous postoperative pain control using a multiple-hole catheter after iliac
bone grafting: comparison between ropivacaine and levobupivacaine.
AB - The aim of this study was to compare the analgesic effects of ropivacaine and
levobupivacaine in continuous infiltration anaesthesia delivered via a multiple
hole catheter for the purpose of postoperative analgesia after iliac bone
grafting. Thirty-four patients scheduled for iliac bone grafting in the
maxillofacial region participated in this study. The patients were randomized to
a ropivacaine group (Ropi group) and a levobupivacaine group (Levo group). After
harvesting the iliac bone for grafting, a multiple-hole catheter was placed on
the periosteum of the iliac bone. When surgery was completed, continuous
administration was started at 4 ml/h of 0.2% ropivacaine (Ropi group) or 0.25%
levobupivacaine (Levo group). Pain was evaluated in the recovery room and at 4h
after surgery, as well as at 9:00 and 18:00 on postoperative days 1, 2, and 3,
using a visual analogue scale. Side effects were also recorded. No significant
difference in the visual analogue scale scores at rest or in motion was observed
between the two groups. In addition, there were no side effects in the two
groups. Both 0.2% ropivacaine and 0.25% levobupivacaine provided comparable
analgesic effects in continuous infiltration anaesthesia delivered via a multiple
hole catheter after iliac bone grafting.
PMID- 26546393
TI - Immediate implant placement in fresh sockets versus implant placement in healed
bone for full-arch fixed prostheses with conventional loading.
AB - This retrospective study assessed the success of immediate and non-immediate
implants installed in patients undergoing planned extraction of all remaining
teeth and rehabilitation with implant-supported full fixed prostheses. Patients
in need of dental implants for full fixed prostheses to replace teeth extracted
in the maxilla and mandible were included in this study. Dental implants were
installed in the same surgical procedure, immediately at the extraction site, or
in healed bone. Implant success, complications, and failures were recorded during
follow-up. Forty-one patients with 512 implants were included in the study.
Healing progressed uneventfully for 501 installed implants, but nine implants
were lost in the non-immediate group and two were lost in the immediate group,
during a mean follow-up of 44.9 months. All failures in both groups were observed
in the maxilla. The success rate was the same in both groups, at 97.8%. This
retrospective analysis showed that with thorough patient evaluation, the
extraction of all residual teeth and implant installation in a single surgical
procedure is a safe and predictable treatment modality for the successful
rehabilitation of the edentulous patient with a fixed prosthesis.
PMID- 26546394
TI - Mid Staffordshire trust pleads guilty to health and safety breaches.
PMID- 26546395
TI - Change and stability in depressive symptoms in young adults with type 1 diabetes.
AB - This study examined inter-individual differences in depressive symptom
development in young adults with type 1 diabetes. Individuals with persistent
depressive symptoms were at risk for suboptimal development in terms of illness
perceptions, illness functioning, and self-esteem. Individuals reporting
no/minimal depressive symptoms over time were characterized by the most optimal
development.
PMID- 26546396
TI - On the problem of type 2 diabetes-related mortality in the Canary Islands, Spain.
The DARIOS Study.
AB - AIMS: To compare diabetes-related mortality rates and factors associated with
this disease in the Canary Islands compared with other 10 Spanish regions.
METHODS: In a cross-sectional study of 28,887 participants aged 35-74 years in
Spain, data were obtained for diabetes, hypertension, dyslipidemia, obesity,
insulin resistance (IR), and metabolic syndrome. Healthcare was measured as
awareness, treatment and control of diabetes, dyslipidemia, and hypertension.
Standardized mortality rate ratios (SRR) were calculated for the years 1981 to
2011 in the same regions. RESULTS: Diabetes, obesity, and hypertension were more
prevalent in people under the age of 64 in the Canary Islands than in Spain. For
all ages, metabolic syndrome and insulin resistance (IR) were also more prevalent
in those from the Canary Islands. Healthcare parameters were similar in those
from the Canary Islands and the rest of Spain. Diabetes-related mortality in the
Canary Islands was the highest in Spain since 1981; the maximum SRR was reached
in 2011 in men (6.3 versus the region of Madrid; p<0.001) and women (9.5 versus
Madrid; p<0.001). Excess mortality was prevalent from the age of 45 years and
above. CONCLUSIONS: Diabetes-related mortality is higher in the Canary Islands
population than in any other Spanish region. The high mortality and prevalence of
IR warrants investigation of the genetic background associated with a higher
incidence and poor prognosis for diabetes in this population. The rise in SRR
calls for a rapid public health policy response.
PMID- 26546397
TI - IL-1alpha induces angiogenesis in brain endothelial cells in vitro: implications
for brain angiogenesis after acute injury.
AB - Inflammation is a major contributor to neuronal injury and is associated with
poor outcome after acute brain injury such as stroke. The pro-inflammatory
cytokine interleukin (IL)-1 is a critical regulator of cerebrovascular
inflammation after ischemic injury, mainly through action of both of its
isoforms, IL-1alpha and IL-1beta, at the brain endothelium. In contrast, the
differential action of these ligands on endothelial activation and post-stroke
angiogenesis is largely unknown. Here, we demonstrate that IL-1alpha is
chronically elevated in the brain after experimental stroke suggesting that it is
present during post-stroke angiogenic periods. Furthermore, we demonstrate that
IL-1alpha is a potent mediator of endothelial activation and inducer of
angiogenic markers in endothelial cells in vitro. Using brain endothelial cell
lines, we found that IL-1alpha was significantly more potent than IL-1beta at
inducing endothelial cell activation, as measured by expression of the pro
angiogenic chemokine CXCL-1. IL-1alpha also induced strong expression of the
angiogenic mediator IL-6 in a concentration-dependent manner. Furthermore, IL
1alpha induced significant proliferation and migration of endothelial cells, and
promoted formation of tube-like structures that are established key hallmarks of
angiogenesis in vitro. Finally, all of those responses were blocked by the IL-1
receptor antagonist (IL-1RA). In conclusion, our data highlights a potential new
role for IL-1 in brain repair mechanisms and identifies IL-1alpha as a potential
new therapy to promote post-stroke angiogenesis. Inflammation is a major
contributor to neuronal injury and is associated with poor outcome after
neurotrauma. We demonstrate that cytokine IL-1alpha is chronically elevated in
the brain after experimental stroke suggesting that it is present chronically
post-stroke. We demonstrate that IL-1alpha is a potent mediator of endothelial
activation and inducer of angiogenic markers in endothelial cells. Our data
highlights a new role for IL-1 in brain repair mechanisms and identifies IL
1alpha as a potential therapy to promote post-stroke angiogenesis.
PMID- 26546398
TI - The effect of weirs on nutrient concentrations.
AB - The removal of a weir in 1999 from the River Nidd in Yorkshire, UK, was assessed
in terms of its impact on in-stream nitrate removal along a 15.8 km long stretch
of river. Models of channel hydraulics and denitrification quantified the impact
on an annual basis, using, as inputs, river flow, water temperature, water
quality data and cross-section geometry collected both before and after the weir
was removed. To remove the confounding influences of year-specific conditions,
two counterfactual simulations were set up whereby the pre-removal configuration
was driven by data from the post-removal period (and vice versa). Results
revealed the removal of the weir to have reduced the annual fraction of the
upstream nitrate load being retained along the stretch by 2.6% (i.e. 812 kg) and
1.8% (382 kg) for the years 1997 and 2000 respectively. Differences resulting
from the presence or absence of the weir were most marked during low flow summer
conditions.
PMID- 26546399
TI - The experience and impact of traumatic perinatal event experiences in midwives: A
qualitative investigation.
AB - BACKGROUND: Through their work midwives may experience distressing events that
fulfil criteria for trauma. However, there is a paucity of research examining the
impact of these events, or what is perceived to be helpful/unhelpful by midwives
afterwards. OBJECTIVE: To investigate midwives' experiences of traumatic
perinatal events and to provide insights into experiences and responses reported
by midwives with and without subsequent posttraumatic stress symptoms. DESIGN:
Semi-structured telephone interviews were conducted with a purposive sample of
midwives following participation in a previous postal survey. METHODS: 35
midwives who had all experienced a traumatic perinatal event defined using the
Diagnostic and Statistical Manual of Mental Disorders (version IV) Criterion A
for posttraumatic stress disorder were interviewed. Two groups of midwives with
high or low distress (as reported during the postal survey) were purposefully
recruited. High distress was defined as the presence of clinical levels of PTSD
symptomatology and high perceived impairment in terms of impacts on daily life.
Low distress was defined as any symptoms of PTSD present were below clinical
threshold and low perceived life impairment. Interviews were analysed using
template analysis, an iterative process of organising and coding qualitative data
chosen for this study for its flexibility. An initial template of four a priori
codes was used to structure the analysis: event characteristics, perceived
responses and impacts, supportive and helpful strategies and reflection of change
over time codes were amended, integrated and collapsed as appropriate through the
process of analysis. A final template of themes from each group is presented
together with differences outlined where applicable. RESULTS: Event
characteristics were similar between groups, and involved severe, unexpected
episodes contributing to feeling 'out of a comfort zone.' Emotional upset, self
blame and feelings of vulnerability to investigative procedures were reported.
High distress midwives were more likely to report being personally upset by
events and to perceive all aspects of personal and professional lives to be
affected. Both groups valued talking about the event with peers, but perceived
support from senior colleagues and supervisors to be either absent or
inappropriate following their experience; however, those with high distress were
more likely to endorse this view and report a perceived need to seek external
input. CONCLUSION: Findings indicate a need to consider effective ways of
promoting and facilitating access to support, at both a personal and
organisational level, for midwives following the experience of a traumatic
perinatal event.
PMID- 26546400
TI - Natural Products as Source of Therapeutics against Parasitic Diseases.
AB - An end to suffering: Parasitic infections with protozoa and worms cause
unimaginable misery, in particular in the tropics. Fortunately, natural products,
such as the antimalarial artemisinin (1) and the anthelmintic avermectin (2) were
discovered and developed into therapeutics. These major achievements now
culminated in the 2015 Nobel Prize for Medicine.
PMID- 26546401
TI - Problems in biology with many scales of length: Cell-cell adhesion and cell
jamming in collective cellular migration.
AB - As do all things in biology, cell mechanosensation, adhesion and migration begin
at the scale of the molecule. Collections of molecules assemble to comprise
microscale objects such as adhesions, organelles and cells. And collections of
cells in turn assemble to comprise macroscale tissues. From the points of view of
mechanism and causality, events at the molecular scale are seen most often as
being the most upstream and, therefore, the most fundamental and the most
important. In certain collective systems, by contrast, events at many scales of
length conspire to make contributions of equal importance, and even interact
directly and strongly across disparate scales. Here we highlight recent examples
in cellular mechanosensing and collective cellular migration where physics at
some scale bigger than the cell but smaller than the tissue - the mesoscale -
becomes the missing link that is required to tie together findings that might
otherwise seem counterintuitive or even unpredictable. These examples, taken
together, establish that the phenotypes and the underlying physics of collective
cellular migration are far richer than previously anticipated.
PMID- 26546402
TI - Management of malignant pleural mesothelioma: a French multicenter retrospective
study (GFPC 0802 study).
AB - BACKGROUND: Malignant pleural mesothelioma (MPM) is a rare disease with poor
prognosis in spite of significant improvement in survival, due to new
chemotherapy regimens. We describe here patients' profiles and management in
daily practice in France. METHODS: Observational retrospective study. Data were
collected from medical files. All patients with histologically proven MPM
diagnosed from January 2005 to December 2008 were included in the participating
sites. RESULTS: Four hundred and six patients were included in 37 sites: mean age
68.9 +/- 9.8 years, male predominance (sex ratio 3.27), latency of the disease
45.7 years, epithelioid type 83 %. Diagnosis was made using thoracoscopy in 80.8
% of patients. Radical surgery was performed in 6.2 % of cases. Chemotherapy was
administered to 74.6 % of patients. First line regimens consisted mainly of
platinum + pemetrexed (91 %) or pemetrexed alone (7 %). Objective response rate
was 17.2 % and another 41.6 % of patients experienced disease stabilization. Half
of these patients underwent second line chemotherapy (platinium + pemetrexed 31.6
%, pemetrexed alone 24.6 %), resulting in a 6 % response rate. Third-line
chemotherapy (56 patients) yielded disease control in 5.4 % of cases.
CONCLUSIONS: The management of MPM in France is usually in accordance with
guidelines. Response rates are somewhat lower than those described in clinical
trials.
PMID- 26546403
TI - Magnesium Sulfate Plus Lidocaine Reduces Propofol Injection Pain: A Double-blind,
Randomized Study.
AB - PURPOSE: Propofol injection can cause distressing pain, and no method can inhibit
it completely. Neither lidocaine nor magnesium sulfate (MgSO4) was sufficient to
prevent pain from the injection of propofol. This prospective, double-blind,
placebo-controlled study was designed to investigate the efficacy of the MgSO4
plus lidocaine on suppressing propofol injection pain. METHODS: Three hundred
women received 300 mg MgSO4 (Group M), 40 mg lidocaine (Group L), or 300 mg MgSO4
plus 40 mg lidocaine (Group M+L). This was followed by administration of 50 mg
propofol. Pain scores, behavior-related responses, and diameter of the vein were
recorded following the injection of propofol. FINDINGS: Patients in Group M + L
had lower pain scores. Patients' behavior-related responses in Group M + L were
also better compared with the other groups. There were no differences in pain
scores between Group L and Group M. The target vein diameter change in Group M
and Group M + L was more obvious than in Group L. IMPLICATIONS: Administration of
300 mg MgSO4 plus 40 mg lidocaine reduces propofol injection pain very well. No
complications were observed in the treatment groups.
PMID- 26546404
TI - Pharmacology Portal: An Open Database for Clinical Pharmacologic Laboratory
Services.
AB - PURPOSE: More than 50 Norwegian public and private laboratories provide one or
more analyses for therapeutic drug monitoring or testing for drugs of abuse.
Practices differ among laboratories, and analytical repertoires can change
rapidly as new substances become available for analysis. METHODS: The
Pharmacology Portal was developed to provide an overview of these activities and
to standardize the practices and terminology among laboratories. The Pharmacology
Portal is a modern dynamic web database comprising all available analyses within
therapeutic drug monitoring and testing for drugs of abuse in Norway. Content can
be retrieved by using the search engine or by scrolling through substance lists.
The core content is a substance registry updated by a national editorial board of
experts within the field of clinical pharmacology. This ensures quality and
consistency regarding substance terminologies and classification. FINDINGS: All
laboratories publish their own repertoires in a user-friendly workflow, adding
laboratory-specific details to the core information in the substance registry.
The user management system ensures that laboratories are restricted from editing
content in the database core or in repertoires within other laboratory subpages.
The portal is for nonprofit use, and has been fully funded by the Norwegian
Medical Association, the Norwegian Society of Clinical Pharmacology, and the 8
largest pharmacologic institutions in Norway. IMPLICATIONS: The database server
runs an open-source content management system that ensures flexibility with
respect to further development projects, including the potential expansion of the
Pharmacology Portal to other countries.
PMID- 26546405
TI - Pharmacokinetics of a New Orally Disintegrating Tablet Formulation of
Aripiprazole 15 mg Administered Without Water in Healthy Middle-aged Korean
Subjects.
AB - PURPOSE: The main objective of this study was to compare the pharmacokinetic
properties and relative bioavailability of two 15-mg aripiprazole formulations
(an orally disintegrating tablet [ODT] as the test drug and a conventional tablet
as the reference drug) in healthy middle-aged Korean subjects. METHODS: This
study was conducted in a population of healthy middle-aged Korean subjects as a
randomized, open-label, single-dose, 2-sequence, 2-period crossover trial. After
administration of a single dose of a 15-mg aripiprazole standard tablet with 240
mL water or an aripiprazole 15-mg ODT without water, blood samples were collected
at specific time intervals from 0 to 240 hours. Concentrations of aripiprazole in
plasma were analyzed by using a LC-MS/MS method of detection. Data on the
pharmacokinetic parameters were recorded, and the 90% CIs of the ratios of the
geometric means of the parameters were determined from the logarithmically
transformed data by using an ANOVA model. FINDINGS: Thirty-nine healthy middle
aged Korean subjects were enrolled (mean age, 52.7 years; mean height, 167 cm;
mean weight, 67.6 kg); 33 participants completed the study (29 male subjects and
4 female subjects). The 90% CIs of the geometric means ratio (test drug/reference
drug) of Cmax, AUC0-last, and AUC0-infinity values were 0.95 to 1.14, 0.98 to
1.09, and 0.97 to 1.08, respectively. All of the subjects who experienced adverse
events recovered without sequelae, and no serious adverse events were observed.
IMPLICATIONS: The aripiprazole pharmacokinetics was similar for the ODT and
standard tablet of 15-mg aripiprazole in these healthy middle-aged Korean
subjects. The aripiprazole ODT formulation is therefore expected to offer a
convenient alternative for patients who have difficulty swallowing tablets
without water. The study was registered at http://cris.nih.go.kr (registration
number: KCT0001677).
PMID- 26546406
TI - PpiA antigen specific immune response is a potential biomarker for latent
tuberculosis infection.
AB - One third of the world's population is estimated to harbour latent tuberculosis
infection (LTBI). Around 10% of them have the life time risk of developing active
tuberculosis (PTB). Currently there is no gold standard test for identifying
LTBI. Therefore identification of specific markers for LTBI will help as to
develop a test specific for LTBI. Earlier, in our immunoproteomic analysis, we
found that peptidyl-prolyl cis-trans isomerase A (PpiA) protein-containing
fractions induced significantly higher interferon-gamma (IFN-gamma) response in
LTBI than in PTB. Immunological characterisation of recombinant PpiA protein was
carried out in the current study. We have studied 10 cytokines and 2 chemokine
responses against PpiA and standard antigens such as early secretory antigenic
target-6 (ESAT-6) and culture filtrate antigen-10 (CFP-10). In healthy household
contacts (HHC), all the tested antigens induced significantly higher levels of
IFN-gamma and Interlukin-8 (IL-8) compared with those in PTB. PpiA-specific IL
12p40 response was significantly increased in HHC compared with that in PTB. PpiA
antigen-specific IFN-gamma and IL-12p40 both showed 86% positivity in HHC,
whereas in PTB, they showed 20% and 38% positivity, respectively. In terms of IFN
gamma/TNF-alpha ratio, PpiA displayed 86% (30/35) positivity in HHC and 18%
(7/39) positivity in PTB. In summary we found that PpiA-specific IFN-gamma and
IFN-gamma/TNF-alpha ratio response were specific biomarkers for LTBI
identification.
PMID- 26546407
TI - New Insights into Eosinophilic Otitis Media.
AB - Eosinophilic otitis media (EOM) is a type of intractable otitis media that occurs
mainly in patients with bronchial asthma (BA). In 2011, the diagnostic criteria
for EOM were established. EOM is characterized by the presence of a highly
viscous yellowish effusion containing eosinophils and immunoglobulin E (IgE),
eosinophil chemoattractants, such as eosinophil cationic protein, interleukin-5,
and eotaxin. Local sensitization against foreign agents such as fungi or bacteria
(e.g., Staphylococcus aureus) may result in local IgE production in the middle
ear and may be responsible for the severity of EOM. The clinical features of EOM
closely resemble localized eosinophilic granulomatosis polyangiitis, therefore it
is necessary to be vigilant to the symptoms of mononeuritis, polyneuritis, and
skin purpura during diagnosis. Standard treatment for EOM is the instillation of
triamcinolone acetonide into the mesotympanum. However, severe cases exhibiting
strong inflammation and otorrhea are not easily controlled with antibiotics
and/or corticosteroids. We proposed the introduction of a severity score to
evaluate the severity of EOM. This score correlated with local IgE levels in
middle ear effusion. Clinically, the risk factors associated with this severity
score were body mass index, and the duration of bronchial asthma (from the onset
of BA to the age of the first consultation of otitis media to our hospital). We
emphasize that early diagnosis and adequate treatment are vital in preventing
progressive and sudden hearing loss resulting from EOM.
PMID- 26546409
TI - Reproducibility of kinematic measures of the thoracic spine, lumbar spine and
pelvis during fast running.
AB - This study evaluated the reproducibility of the angular rotations of the thoracic
spine, lumbar spine, pelvis and lower extremity during running. In addition, the
study compared kinematic reproducibility between two methods for calculating
kinematic trajectories: a six degrees of freedom (6DOF) approach and a global
optimisation (GO) approach. With the first approach segments were treated
independently, however with GO approach joint constraints were imposed to stop
translation of adjacent segments. A total of 12 athletes were tested on two
separate days whilst running over ground at a speed of 5.6ms(-1). The results
demonstrated good between-day reproducibility for most kinematic parameters in
the frontal and transverse planes with typical angular errors of 1.4-3 degrees .
Acceptable repeatability was also found in the sagittal plane. However, in this
plane, although kinematic waveform shape was preserved between testing session,
there were sometimes shifts in curve offset which lead to slightly higher angular
errors, typically ranging from 1.9 degrees to 3.5 degrees . In general, the
results demonstrated similar levels of reproducibility for both computational
approaches (6DOF and, GO) and therefore suggest that GO may not lead to improved
kinematic reproducibility during running.
PMID- 26546408
TI - Distinct innate responses are induced by attenuated Salmonella enterica serovar
Typhimurium mutants.
AB - Upon bacterial infection the host cells generate a wide variety of cytokines.
Genetic attenuation of bacterial physiological pathogens can be accomplished not
only by disruption of normal bacterial processes, but also by the loss of the
ability to redirect the host immune system. We examined nine attenuated
Salmonella Typhimurium mutants for their ability to replicate as well as the
cytokines produced after infection of Bone Marrow Derived Macrophages (BMDM).
Infection of BMDM with attenuated Salmonella mutants led to host cytokine
patterns distinct from those that followed WT infection. Surprisingly, each
bacterial mutant had a unique cytokine signature. Because some of the mutants
induced an IL-10 response not seen in WT, we examined the role of IL-10 on
Salmonella replication. Surprisingly, addition of IL-10 before or concurrent with
infection restricted growth of WT Salmonella in BMDM. Bacterial attenuation is
not a single process and results in attenuated host responses, which result in
unique patterns for each attenuated mutants.
PMID- 26546410
TI - Cloning and expression of codon-optimized recombinant darbepoetin alfa in
Leishmania tarentolae T7-TR.
AB - Darbepoetin alfa is an engineered and hyperglycosylated analog of recombinant
human erythropoietin (EPO) which is used as a drug in treating anemia in patients
with chronic kidney failure and cancer. This study desribes the secretory
expression of a codon-optimized recombinant form of darbepoetin alfa in
Leishmania tarentolae T7-TR. Synthetic codon-optimized gene was amplified by PCR
and cloned into the pLEXSY-I-blecherry3 vector. The resultant expression vector,
pLEXSYDarbo, was purified, digested, and electroporated into the L. tarentolae.
Expression of recombinant darbepoetin alfa was evaluated by ELISA, reverse
transcription PCR (RT-PCR), Western blotting, and biological activity. After
codon optimization, codon adaptation index (CAI) of the gene raised from 0.50 to
0.99 and its GC% content changed from 56% to 58%. Expression analysis confirmed
the presence of a protein band at 40 kDa. Furthermore, reticulocyte experiment
results revealed that the activity of expressed darbepoetin alfa was similar to
that of its equivalent expressed in Chinese hamster ovary (CHO) cells. These data
suggested that the codon optimization and expression in L. tarentolae host
provided an efficient approach for high level expression of darbepoetin alfa.
PMID- 26546411
TI - p21 deficiency is susceptible to osteoarthritis through STAT3 phosphorylation.
AB - INTRODUCTION: Osteoarthritis (OA) is a multifactorial disease, and recent studies
have suggested that cell cycle-related proteins play a role in OA pathology. p21
was initially identified as a potent inhibitor of cell cycle progression.
However, it has been proposed that p21 is a regulator of transcription factor
activity. In this study, we evaluated the role of p21 in response to
biomechanical stress. METHODS: Human chondrocytes were treated with p21-specific
small interfering RNA (siRNA), and cyclic tensile strain was introduced in the
presence or absence of a signal transducer and activator of transcription 3
(STAT3)-specific inhibitor. Further, we developed an in vivo OA model in a p21
knockout background for in vivo experiments. RESULTS: The expression of matrix
metalloproteinase (MMP13) mRNA increased in response to cyclic tensile strain
following transfection with p21 siRNA, whereas the expression of aggrecan was
decreased. Phospho-STAT3 and MMP-13 protein levels increased following
downregulation of p21, and this was reversed by treatment with a STAT3 inhibitor.
p21-deficient mice were susceptible to OA, and this was associated with increased
STAT3 phosphorylation, elevated MMP-13 expression, and elevation of synovial
inflammation. The expression of p21 mRNA was decreased and phosphorylation of
STAT3 was elevated in human OA chondrocytes. CONCLUSIONS: The lack of p21 has
catabolic effects by regulation of aggrecan and MMP-13 expression through STAT3
phosphorylation in the cartilage tissue. p21 may function as a regulator of
transcriptional factors other than the inhibitor of cell cycle progression in the
cartilage tissue. Thus, the regulation of p21 may be a therapeutic strategy for
the treatment of OA.
PMID- 26546413
TI - Influence of molecular weight of PEG chain on interaction between streptavidin
and biotin-PEG-conjugated phospholipids studied with QCM-D.
AB - Poly(ethylene glycol)-conjugated phospholipid (PEG-lipid) derivatives
spontaneously incorporate into lipid bilayer membranes, thus, they are useful for
immobilizing bioactive substances onto cell surfaces. Here, we investigated how
the density and molecular weight of PEG molecules influenced immobilization and
cellular uptake of a bioactive substance. We analyzed how three biotin-PEG-lipids
(1k, 5k, and 40k, with PEG molecular weights: 1kD, 5kD, and 40kD, respectively)
interacted with streptavidin on a surface attached to a quartz crystal
microbalance with dissipation (QCM-D). We found that the volume excluded by 1k
PEG could not effectively prevent adsorption of bovine serum albumin (BSA). In
contrast, 5k PEG chains could completely prevent protein adsorption. However, due
to strong static repulsion, 40k PEG chains could not be packed at high density.
Thus, BSA migrated between PEG chains, and adsorption was not effectively
prevented. When streptavidin was added, it bound to multiple neighboring sites on
1k and 5k biotin-PEG-lipids, which reduced chain viscoelasticity. In contrast,
streptavidins bound at a one-to-one stoichiometry with the 40k biotin-PEG-lipids,
which increased chain viscoelasticity. However, differences in PEG
viscoelasticity and PEG molecular weights did not influence cellular uptake of
immobilized streptavidin. Therefore, these are not important factors in designing
polymers that prevent cellular endocytosis. STATEMENT OF SIGNIFICANCE:
Poly(ethylene glycol)-conjugated phospholipid (PEG-lipid) derivatives have been
widely used to modify not only liposome surface, but also the surfaces of cells
and pancreatic islets for cell transplantation. Since the entire cell surface can
be modified with PEG-lipid through hydrophobic interactions, it is a promising
approach for improving graft survival in clinical settings. Although the surface
modification is protective in the early stages of transplantation, it is
important to understand the factors that influence on the cellular uptake. In
this study, we examined the influence of the surface density and molecular
weights of PEG-lipids on the cellular uptake by QCM-D and cellular experiments.
It was found that the differences in viscoelasticity of PEG chain did not affect
on the cellular uptake.
PMID- 26546414
TI - Short, multiple-stranded beta-hairpin peptides have antimicrobial potency with
high selectivity and salt resistance.
AB - The beta-hairpin structure has been proposed to exhibit potent antimicrobial
properties with low cytotoxicity, thus, multiple beta-hairpin structures have
been proved to be highly stable in structures containing tightly packed
hydrophobic cores. The aim of this study was to develop peptide-based synthetic
strategies for generating short, but effective AMPs as inexpensive antimicrobial
agents. Multiple-stranded beta-hairpin peptides with the same beta-hairpin unit,
(WRXxRW)n where n=1, 2, 3, or 4 and Xx represent the turn sequence, were
synthesized, and their potential as antimicrobial agents was evaluated. Owning to
the tightly packed hydrophobic core and paired Trp of this multiple-stranded beta
hairpin structure, all the 12-residues peptides exhibited high cell selectivity
towards bacterial cells over human red blood cells (hRBCs), and the peptide W2
exhibited stronger antimicrobial activities with the MIC values of 2-8MUM against
various tested bacteria. Not only that, but W2 also showed obvious synergy with
streptomycin and chloramphenicol against Escherichia coli, and displayed synergy
with ciprofloxacin against Staphylococcus aureus with the FICI values ?0.5.
Fluorescence spectroscopy and electron microscopy analyses indicated that W2
kills microbial cells by permeabilizing the cell membrane and damaging membrane
integrity. Collectively, based on the multiple beta-hairpin peptides, the ability
to develop libraries of short and effective peptides will be a powerful approach
to the discovery of novel antimicrobial agents. STATEMENT OF SIGNIFICANCE: We
successfully screened a peptide W2 ((WRPGRW)2) from a series of multiple-stranded
beta-hairpin antimicrobial peptides based on the "S-shaped" motif that induced
the formation of a globular structure, and Trp zipper was used to replace the
disulfide bonds to reduce the cost of production. This novel structure applied to
AMPs improved cell selectivity and salt stability. The findings of this study
will promote the development of peptide-based antimicrobial biomaterials. Further
exploration of these AMPs will allow for diverse biotechnological and clinical
applications such as biomedical coating, food storaging, and animal feeding.
PMID- 26546412
TI - Bone morphogenetic protein 7 sensitizes O6-methylguanine methyltransferase
expressing-glioblastoma stem cells to clinically relevant dose of temozolomide.
AB - BACKGROUND: Temozolomide (TMZ) is an oral DNA-alkylating agent used for treating
patients with glioblastoma. However, therapeutic benefits of TMZ can be
compromised by the expression of O6-methylguanine methyltransferase (MGMT) in
tumor tissue. Here we used MGMT-expressing glioblastoma stem cells (GSC) lines as
a model for investigating the molecular mechanism underlying TMZ resistance,
while aiming to explore a new treatment strategy designed to possibly overcome
resistance to the clinically relevant dose of TMZ (35 MUM). METHODS: MGMT
expressing GSC cultures are resistant to TMZ, and IC50 (half maximal inhibitory
concentration) is estimated at around 500 MUM. Clonogenic GSC surviving 500 MUM
TMZ (GSC-500 MUM TMZ), were isolated. Molecular signatures were identified via
comparative analysis of expression microarray against parental GSC (GSC
parental). The recombinant protein of top downregulated signature was used as a
single agent or in combination with TMZ, for evaluating therapeutic effects of
treatment of GSC. RESULTS: The molecular signatures characterized an activation
of protective stress responses in GSC-500 MUM TMZ, mainly including
biotransformation/detoxification of xenobiotics, blocked endoplasmic reticulum
stress-mediated apoptosis, epithelial-to-mesenchymal transition (EMT), and
inhibited growth/differentiation. Bone morphogenetic protein 7 (BMP7) was
identified as the top down-regulated gene in GSC-500 MUM TMZ. Although augmenting
BMP7 signaling in GSC by exogenous BMP7 treatment did not effectively stop GSC
growth, it markedly sensitized both GSC-500 MUM TMZ and GSC-parental to 35 MUM
TMZ treatment, leading to loss of self-renewal and migration capacity. BMP7
treatment induced senescence of GSC cultures and suppressed mRNA expression of
CD133, MGMT, and ATP-binding cassette drug efflux transporters (ABCB1, ABCG2), as
well as reconfigured transcriptional profiles in GSC by downregulating genes
associated with EMT/migration/invasion, stemness, inflammation/immune response,
and cell proliferation/tumorigenesis. BMP7 treatment significantly prolonged
survival time of animals intracranially inoculated with GSC when compared to
those untreated or treated with TMZ alone (p = 0.0017), whereas combination of
two agents further extended animal survival compared to BMP7 alone (p = 0.0489).
CONCLUSIONS: These data support the view that reduced endogenous BMP7
expression/signaling in GSC may contribute to maintained stemness, EMT, and
chemoresistant phenotype, suggesting that BMP7 treatment may provide a novel
strategy in combination with TMZ for an effective treatment of glioblastoma
exhibiting unmethylated MGMT.
PMID- 26546415
TI - Linear and nonlinear optical waveguiding in bio-inspired peptide nanotubes.
AB - Unique linear and nonlinear optical properties of bioinspired peptide
nanostructures such as wideband transparency and high second-order nonlinear
optical response, combined with elongated tubular shape of variable size and
rapid self-assembly fabrication process, make them promising for diverse bio-nano
photonic applications. This new generation of nanomaterials of biological origin
possess physical properties similar to those of biological structures. Here, we
focus on new specific functionality of ultrashort peptide nanotubes to guide
light at fundamental and second-harmonic generation (SHG) frequency in horizontal
and vertical peptide nanotubes configurations. Conducted simulations and
experimental data show that these self-assembled linear and nonlinear optical bio
waveguides provide strong optical power confinement factor, demonstrate
pronounced directionality of SHG and high conversion efficiency of SHG ~10(-5).
Our study gives new insight on physics of light propagation in nanostructures of
biological origin and opens the avenue towards new and unexpected applications of
these waveguiding effects in bio-nanomaterials both for biomedical nonlinear
microscopy imaging recognition and development of novel integrated nanophotonic
devices.
PMID- 26546416
TI - Neuroprotective effect of allicin in a rat model of acute spinal cord injury.
AB - AIMS: This study aims to investigate the effect of allicin on motor functions and
histopathologic changes after spinal cord injury and the mechanism underlying its
neuroprotective effects. MAIN METHODS: The motor function of rats was evaluated
with the Basso, Beattie, and Bresna test. Histopathologic changes were evaluated
by hematoxylin and eosin and Nissl staining. Spinal cord oxidative stress markers
were determined by measuring glutathione and malondialdehyde content and
superoxide dismutase activity using commercial kits. Inflammatory factors were
determined by measuring tumor necrosis factor-alpha, interleukin-1beta and
interleukin-6 using ELISA assay. Apoptosis was examined using TUNEL staining. The
effect of allicin on Nrf2 protein levels and localization was assessed using
immunofluorescence staining and Western blotting analysis. KEY FINDINGS: Results
demonstrated that allicin accelerated the motor functional recovery and protected
neuron damage against spinal cord injury (SCI). SCI-induced oxidative stress,
inflammatory response and cell apoptosis in the spinal cord were also prevented
by allicin. In addition, we observed that SCI increased Nrf2 nuclear expression,
and allicin treatment further increased Nrf2 nuclear translocation in neurons and
astrocytes. siRNA-mediated Nrf2 gene knockdown completely blocked the effect of
allicin on spinal cord tissue. SIGNIFICANCE: Our finding suggests that allicin
promotes the recovery of motor function after SCI in rats, and this effect may be
related to its anti-oxidant, anti-inflammatory and anti-apoptotic effects.
Allicin mediated Nrf2 nuclear translocation may be involved in the protective
effect as well.
PMID- 26546417
TI - Unique DNA Methylation Patterns in Offspring of Hypertensive Pregnancy.
AB - Epigenomic processes are believed to play a pivotal role for the effect of
environmental exposures in early life to modify disease risk throughout the
lifespan. Offspring of women with hypertensive complications of pregnancy
(HTNPREG ) have an increased risk of developing systemic and pulmonary vascular
dysfunction in adulthood. In this preliminary report, we sought to determine
whether epigenetic modifications of genes involved in the regulation of vascular
function were present in HTNPREG offspring. We contrasted DNA methylation and
gene expression patterns of peripheral blood mononuclear cells obtained from
young male offspring of HTNPREG (n = 5) to those of normotensive controls (n =
19). In HTNPREG offspring we identified six differentially methylated regions
(DMRs) including three genes (SMOC2, ARID1B and CTRHC1) relevant to vascular
function. The transcriptional activity of ARID1B and CTRCH1 was inversely related
to methylation status. HTNPREG offspring had higher systolic pulmonary artery
pressure (sPPA ) versus controls. Our findings demonstrate that epigenetic marks
are altered in offspring of HTNPREG with a modest elevation of sPPA and introduce
novel epigenomic targets for further study. On the basis of these findings we
speculate that epigenomic mechanisms may be involved in mediating the effect of
HTNPREG to raise the risk of vascular disease later in life.
PMID- 26546418
TI - Characterization of 5'UTR of rice ClpB-C/Hsp100 gene: evidence of its involvement
in post-transcriptional regulation.
AB - Rice (Oryza sativa) ClpB-C (OsClpB-C) protein is expressed upon heat stress in
vegetative tissues and constitutively in seeds. We produced stably transformed
Arabidopsis plants carrying beta-glucuronidase (Gus) reporter gene downstream to
1-kb OsClpB-C promoter (1kbPro plants). In the 1kbPro plants, expression of Gus
transcript and protein followed the expression pattern of OsClpB-C gene in rice
plants, i.e., heat induced in vegetative tissues and constitutive in seeds. Next,
we produced transgenic Arabidopsis plants containing Gus downstream to 862-bp
fragment of OsClpB-C promoter [lacking 138 nucleotides from 3' end of the
5'untranslated region (5'UTR); ?UTR plants). In ?UTR plants, Gus transcript was
expressed in heat-inducible manner, but strikingly, Gus protein levels were
negligible after heat treatment. However, Gus protein was expressed in ?UTR
seedlings at levels comparable to 1kbPro seedlings when recovery treatment of 22
degrees C/2 h was given post heat stress (38 degrees C/15 min). This suggests
that 5'UTR of OsClpB-C gene is involved in its post-transcriptional regulation
and is an obligate requirement for protein expression during persistent heat
stress. Furthermore, the Gus transcript levels were higher in the polysomal RNA
fraction in heat-stressed seedlings of 1kbPro plants as compared to ?UTR plants,
indicating that 5'UTR aids in assembly of ribosomes onto the Gus transcript
during heat stress. Unlike the case of seedlings, Gus protein was formed
constitutively in ?UTR seeds at levels comparable to 1kbPro seeds. Hence, the
function of 5'UTR of OsClpB-C is dispensable for expression in seeds.
PMID- 26546419
TI - Annual incidence rates of herpes zoster among an immunocompetent population in
the United States.
AB - BACKGROUND: Herpes zoster (HZ), also known as shingles, is a painful and commonly
occurring condition in the United States. In spite of a universally recommended
vaccine for use in immunocompetent adults aged 60 years and older, HZ continues
to impact the American public, and a better understanding of its current
incidence is needed. The objective of the current study is to estimate the
overall and age- and gender-specific incidence rates (IRs) of HZ among an
immunocompetent US population in 2011 following availability of a vaccine.
METHODS: Claims data from the Truven Health MarketScan(r) Research databases
between 01/01/2011 and 12/31/2011 were extracted. Immunocompetent adult patients,
enrolled as of January 1, 2011 were analyzed. The denominator was defined as
eligible subjects who were immunocompetent, had no evidence of zoster
vaccination, and no diagnosis of HZ (International Classification of Diseases,
Ninth Revision, Clinical Modification diagnosis code 053.xx) in the 90 days prior
to January 1, 2011. Subjects contributed person-days to the denominator until the
occurrence of one of the following events: end of continuous enrollment in the
database, a claim for zoster vaccination, diagnosis of HZ or end of the
observation period (December 31, 2011). The numerator was defined as enrollees
within the denominator file exhibiting evidence of HZ. Annual IRs were calculated
for the entire population in the database as well as by gender and age group;
standardized IRs were also produced using the 2010 US Census data. RESULTS: The
overall annual IR of HZ across all ages was 4.47 per 1000 person-years (95%
confidence interval [CI]: 4.44-4.50) which monotonically increased with age from
0.86 (95% CI: 0.84-0.88) for those aged <= 19 to 12.78 (95% CI: 12.49-13.07) for
patients >= 80 years. The IR was 8.46 (95% CI: 8.39-8.52) among adults >= 50
years and 10.46 (95% CI: 10.35-10.56) among those aged >= 60 years. Women
compared to men had higher HZ incidence (5.25, 95% CI: 5.21-5.29 vs. 3.66, 95%
CI: 3.62-3.69) and this was seen across all age groups. When adjusted for age and
gender using 2010 US Census data, the annual IR was 4.63 per 1000 person-years
(95% CI: 4.61-4.66). CONCLUSIONS: Despite the availability of a vaccine, HZ
remains common among immunocompetent adults in the US with incidence rates of HZ
observed to increase with age and be higher in women than men.
PMID- 26546420
TI - Distribution and Sources of Petroleum Hydrocarbons in Recent Sediments of the Imo
River, SE Nigeria.
AB - The distribution of aliphatic and aromatic hydrocarbons in surface sediments of
the lower course of the Imo River (Nigeria) was investigated to determine the
sources and fate of these compounds. The aliphatic fraction is characterized by a
widespread contribution of highly weathered/biodegraded hydrocarbon residues
(reflected in the absence of prominent n-alkane peaks coupled with the presence
of 17alpha(H),21beta(H)-25-norhopane, an indicator of heavy hydrocarbon
biodegradation) of Nigerian crude oils (confirmed by the occurrence of 18alpha(H)
oleanane, a compound characteristic of oils of deltaic origin). The
concentrations of polycyclic aromatic hydrocarbons (PAHs) ranging from 48 to 117
ng/g dry weight (dw; ?13PAHs) indicate a moderate pollution, possibly lowered by
the sandy lithology and low organic carbon (OC) content of the sediments.
Concentrations slightly decrease towards the estuary of the river, probably due
to the fact that these stations are affected by tidal flushing of pollutants
adsorbed on sediment particles and carried away by occasional storm to the
Atlantic Ocean. A number of PAH ratios, including parent/alkylated and isomeric
compounds, indicates a predominance of petrogenic sources, with a low
contribution of pyrolytic inputs, particularly of fossil fuel combustion. On the
basis of OC/ON (>10) and Per/SigmaPAHpenta- (>10) values, a diagenetic
terrigenous OC was proposed as a source of perylene to the river.
PMID- 26546421
TI - Spatial Variation in the Accumulation of Elements in Thalli of the Lichen
Pseudevernia furfuracea (L.) Zopf Transplanted Around a Biomass Power Plant in
Italy.
AB - Thalli of the lichen Pseudevernia furfuracea were transplanted for 3 months at 32
sites located in and around an industrial area of S Italy whose main
anthropogenic sources of atmospheric trace elements are a biomass power plant and
vehicular emissions. Meteorological stations were deployed at four sites for
finer detection of local wind patterns. The station near the biomass power plant
showed a significant S-SE wind component not detectable by measurements made at
the regional scale or by the other local meteorological stations. Sb, Sn, and Mo
showed a very high degree of covariance and a statistically significant
correlation with traffic rate. No element concentrations in the exposed thalli
were correlated with distance from the biomass power plant, although Ti and Co
concentrations showed a significant correlation with the "Potential Number of
Times the Winds coming from the biomass power plant Reach each exposure Site"
(PNTWRS). This value is calculated dividing the time (minutes) during the
experimental trimester that the wind blows from the power plant into each of the
four geographical sides by the time (minutes) the winds passing through the power
plant take to reach the exposure sites in each of the four geographical sides.)
during the period of thalli transplantation. Moreover, there were significant
differences among clusters of sites with different levels of enrichment of Ti,
Co, Al, V, and Cu and a "local control" group. These results, together with the
high covariance of the Al-Ti and V-Co pairs, indicate an association between the
biomass power plant and spatial variation of Ti, Co, Al, and V levels in the
transplanted lichens. The nature of the fuels used in the biomass power plant
explains the spatial variation of As, Cr, Cu, and Zn concentrations.
PMID- 26546422
TI - Divergent Evolution of the repFII Replicon of IncF Plasmids Carrying Cytotoxic
Necrotizing Factor cnf2, Cytolethal Distending Toxin cdtIII, and f17Ae Fimbrial
Variant Genes in Type 2 Necrotoxigenic Escherichia coli Isolates from Calves.
AB - Among the pathovars of Escherichia coli in cattle, necrotoxigenic E. coli (NTEC)
is defined by the production of cytotoxic necrotizing factors (CNFs). In
particular, type 2 NTEC (NTEC2) strains are frequent in diarrheic and septicemic
calves and usually coproduce CNF type 2 (CNF2), cytolethal distending toxin type
III (CDTIII), and fimbrial adhesins of the F17 family, whose genetic determinants
have frequently been reported on the same Vir-like plasmid. In this study, we
investigated the genetic environment of the cnf2, f17Ae, and cdtIII genes in a
collection of fecal E. coli isolates recovered from 484 French and 58 Iranian
calves. In particular, we highlighted the spread of cnf2, f17Ae, and cdtIII on
similar 150-kb IncF plasmids harboring the newly assigned repFII replicon allele
F74 in NTEC2 isolates. Interestingly, this 150-kb IncF plasmid differed from the
140-kb IncF plasmid harboring the newly assigned repFII replicon allele F75 and
carrying cnf2 alone. These results suggest two divergent lineages of cnf2
carrying IncF plasmids depending on the presence of the f17Ae and cdtIII genes.
This partition was observed in E. coli strains of unrelated backgrounds,
suggesting two different evolutionary paths of cnf2-carrying IncF plasmids rather
than divergent evolutions of NTEC2 clones. The driving forces for such divergent
evolutions are not known, and further studies are required to clarify the
selection of plasmid subtypes spreading virulence determinants in E. coli, in
particular, plasmids of the IncF family.
PMID- 26546423
TI - Archaeal Inorganic Pyrophosphatase Displays Robust Activity under High-Salt
Conditions and in Organic Solvents.
AB - Soluble inorganic pyrophosphatases (PPAs) that hydrolyze inorganic pyrophosphate
(PPi) to orthophosphate (Pi) are commonly used to accelerate and detect
biosynthetic reactions that generate PPi as a by-product. Current PPAs are
inactivated by high salt concentrations and organic solvents, which limits the
extent of their use. Here we report a class A type PPA of the haloarchaeon
Haloferax volcanii (HvPPA) that is thermostable and displays robust PPi
hydrolyzing activity under conditions of 25% (vol/vol) organic solvent and salt
concentrations from 25 mM to 3 M. HvPPA was purified to homogeneity as a
homohexamer by a rapid two-step method and was found to display non-Michaelis
Menten kinetics with a Vmax of 465 U . mg(-1) for PPi hydrolysis (optimal at 42
degrees C and pH 8.5) and Hill coefficients that indicated cooperative binding to
PPi and Mg(2+). Similarly to other class A type PPAs, HvPPA was inhibited by
sodium fluoride; however, hierarchical clustering and three-dimensional (3D)
homology modeling revealed HvPPA to be distinct in structure from characterized
PPAs. In particular, HvPPA was highly negative in surface charge, which explained
its extreme resistance to organic solvents. To demonstrate that HvPPA could drive
thermodynamically unfavorable reactions to completion under conditions of reduced
water activity, a novel coupled assay was developed; HvPPA hydrolyzed the PPi by
product generated in 2 M NaCl by UbaA (a "salt-loving" noncanonical E1 enzyme
that adenylates ubiquitin-like proteins in the presence of ATP). Overall, we
demonstrate HvPPA to be useful for hydrolyzing PPi under conditions of reduced
water activity that are a hurdle to current PPA-based technologies.
PMID- 26546424
TI - Impact of Nisin-Activated Packaging on Microbiota of Beef Burgers during Storage.
AB - Beef burgers were stored at 4 degrees C in a vacuum in nisin-activated
antimicrobial packaging. Microbial ecology analyses were performed on samples
collected between days 0 and 21 of storage to discover the population diversity.
Two batches were analyzed using RNA-based denaturing gradient gel electrophoresis
(DGGE) and pyrosequencing. The active packaging retarded the growth of the total
viable bacteria and lactic acid bacteria. Culture-independent analysis by
pyrosequencing of RNA extracted directly from meat showed that Photobacterium
phosphoreum, Lactococcus piscium, Lactobacillus sakei, and Leuconostoc carnosum
were the major operational taxonomic units (OTUs) shared between control and
treated samples. Beta diversity analysis of the 16S rRNA sequence data and RNA
DGGE showed a clear separation between two batches based on the microbiota.
Control samples from batch B showed a significant high abundance of some taxa
sensitive to nisin, such as Kocuria rhizophila, Staphylococcus xylosus,
Leuconostoc carnosum, and Carnobacterium divergens, compared to control samples
from batch A. However, only from batch B was it possible to find a significant
difference between controls and treated samples during storage due to the active
packaging. Predicted metagenomes confirmed differences between the two batches
and indicated that the use of nisin-based antimicrobial packaging can determine a
reduction in the abundance of specific metabolic pathways related to spoilage.
The present study aimed to assess the viable bacterial communities in beef
burgers stored in nisin-based antimicrobial packaging, and it highlights the
efficacy of this strategy to prolong beef burger shelf life.
PMID- 26546425
TI - Terracidiphilus gabretensis gen. nov., sp. nov., an Abundant and Active Forest
Soil Acidobacterium Important in Organic Matter Transformation.
AB - Understanding the activity of bacteria in coniferous forests is highly important,
due to the role of these environments as a global carbon sink. In a study of the
microbial biodiversity of montane coniferous forest soil in the Bohemian Forest
National Park (Czech Republic), we succeeded in isolating bacterial strain
S55(T), which belongs to one of the most abundant operational taxonomic units
(OTUs) in active bacterial populations, according to the analysis of RNA-derived
16S rRNA amplicons. The 16S rRNA gene sequence analysis showed that the species
most closely related to strain S55(T) include Bryocella elongata SN10(T) (95.4%
identity), Acidicapsa ligni WH120(T) (95.2% identity), and Telmatobacter bradus
TPB6017(T) (95.0% identity), revealing that strain S55(T) should be classified
within the phylum Acidobacteria, subdivision 1. Strain S55(T) is a rod-like
bacterium that grows at acidic pH (3 to 6). Its phylogenetic, genotypic,
phenotypic, and chemotaxonomic characteristics indicate that strain S55(T)
corresponds to a new genus within the phylum Acidobacteria; thus, we propose the
name Terracidiphilus gabretensis gen. nov., sp. nov. (strain S55(T) = NBRC
111238(T) = CECT 8791(T)). This strain produces extracellular enzymes implicated
in the degradation of plant-derived biopolymers. Moreover, analysis of the genome
sequence of strain S55(T) also reveals the presence of enzymatic machinery
required for organic matter decomposition. Soil metatranscriptomic analyses found
132 genes from strain S55(T) being expressed in the forest soil, especially
during winter. Our results suggest an important contribution of T. gabretensis
S55(T) in the carbon cycle in the Picea abies coniferous forest.
PMID- 26546426
TI - Hydrocarbon-Degrading Bacteria Exhibit a Species-Specific Response to Dispersed
Oil while Moderating Ecotoxicity.
AB - The Deepwater Horizon blowout in April 2010 represented the largest accidental
marine oil spill and the largest release of chemical dispersants into the
environment to date. While dispersant application may provide numerous benefits
to oil spill response efforts, the impacts of dispersants and potential
synergistic effects with crude oil on individual hydrocarbon-degrading bacteria
are poorly understood. In this study, two environmentally relevant species of
hydrocarbon-degrading bacteria were utilized to quantify the response to Macondo
crude oil and Corexit 9500A-dispersed oil in terms of bacterial growth and oil
degradation potential. In addition, specific hydrocarbon compounds were
quantified in the dissolved phase of the medium and linked to ecotoxicity using a
U.S. Environmental Protection Agency (EPA)-approved rotifer assay. Bacterial
treatment significantly and drastically reduced the toxicity associated with
dispersed oil (increasing the 50% lethal concentration [LC50] by 215%). The
growth and crude oil degradation potential of Acinetobacter were inhibited by
Corexit by 34% and 40%, respectively; conversely, Corexit significantly enhanced
the growth of Alcanivorax by 10% relative to that in undispersed oil.
Furthermore, both bacterial strains were shown to grow with Corexit as the sole
carbon and energy source. Hydrocarbon-degrading bacterial species demonstrate a
unique response to dispersed oil compared to their response to crude oil, with
potentially opposing effects on toxicity. While some species have the potential
to enhance the toxicity of crude oil by producing biosurfactants, the same
bacteria may reduce the toxicity associated with dispersed oil through
degradation or sequestration.
PMID- 26546427
TI - Identification of Genes Conferring Tolerance to Lignocellulose-Derived Inhibitors
by Functional Selections in Soil Metagenomes.
AB - The production of fuels or chemicals from lignocellulose currently requires
thermochemical pretreatment to release fermentable sugars. These harsh conditions
also generate numerous small-molecule inhibitors of microbial growth and
fermentation, limiting production. We applied small-insert functional metagenomic
selections to discover genes that confer microbial tolerance to these inhibitors,
identifying both individual genes and general biological processes associated
with tolerance to multiple inhibitory compounds. Having screened over 248 Gb of
DNA cloned from 16 diverse soil metagenomes, we describe gain-of-function
tolerance against acid, alcohol, and aldehyde inhibitors derived from
hemicellulose and lignin, demonstrating that uncultured soil microbial
communities hold tremendous genetic potential to address the toxicity of
pretreated lignocellulose. We recovered genes previously known to confer
tolerance to lignocellulosic inhibitors as well as novel genes that confer
tolerance via unknown functions. For instance, we implicated galactose metabolism
in overcoming the toxicity of lignin monomers and identified a decarboxylase that
confers tolerance to ferulic acid; this enzyme has been shown to catalyze the
production of 4-vinyl guaiacol, a valuable precursor to vanillin production.
These metagenomic tolerance genes can enable the flexible design of hardy
microbial catalysts, customized to withstand inhibitors abundant in specific
bioprocessing applications.
PMID- 26546428
TI - Application of the Environmental Relative Moldiness Index in Finland.
AB - The environmental relative moldiness index (ERMI) metric was previously developed
to quantify mold contamination in U.S. homes. This study determined the
applicability of the ERMI for quantifying mold and moisture damage in Finnish
residences. Homes of the LUKAS2 birth cohort in Finland were visually inspected
for moisture damage and mold, and vacuumed floor dust samples were collected. An
ERMI analysis including 36 mold-specific quantitative PCR assays was performed on
the dust samples (n = 144), and the ERMI metric was analyzed against inspection
based observations of moisture damage and mold. Our results show that the ERMI
was significantly associated with certain observations of visible mold in Finnish
homes but not with moisture damage. Several mold species occurred more frequently
and at higher levels in Finnish than in U.S. homes. Modification of the ERMI
toward Finnish conditions, using a subsample of LUKAS2 homes with and without
moisture damage, resulted in a simplified metric based on 10 mold species. The
Finnish ERMI (FERMI) performed substantially better in quantifying moisture and
mold damage in Finnish homes, showing significant associations with various
observations of visible mold, strongest when the damage was located in the
child's main living area, as well as with mold odor and moisture damage. As shown
in Finland, the ERMI as such is not equally well usable in different climates and
geographic regions but may be remodeled to account for local outdoor and indoor
fungal conditions as well as for moisture damage characteristics in a given
country.
PMID- 26546429
TI - The Extracellular Wall-Bound beta-N-Acetylglucosaminidase from Lactobacillus
casei Is Involved in the Metabolism of the Human Milk Oligosaccharide Lacto-N
Triose.
AB - Human milk oligosaccharides (HMOs) are considered to play a key role in
establishing and maintaining the infant gut microbiota. Lacto-N-triose forms part
of both type 1 and type 2 HMOs and also of the glycan moieties of glycoproteins.
Upstream of the previously characterized gene cluster involved in lacto-N-biose
and galacto-N-biose metabolism from Lactobacillus casei BL23, there are two
genes, bnaG and manA, encoding a beta-N-acetylglucosaminidase precursor and a
mannose-6-phosphate isomerase, respectively. In this work, we show that L. casei
is able to grow in the presence of lacto-N-triose as a carbon source.
Inactivation of bnaG abolished the growth of L. casei on this oligosaccharide,
demonstrating that BnaG is involved in its metabolism. Interestingly, whole cells
of a bnaG mutant were totally devoid of beta-N-acetylglucosaminidase activity,
suggesting that BnaG is an extracellular wall-attached enzyme. In addition to
hydrolyzing lacto-N-triose into N-acetylglucosamine and lactose, the purified
BnaG enzyme also catalyzed the hydrolysis of 3'-N-acetylglucosaminyl-mannose and
3'-N-acetylgalactosaminyl-galactose. L. casei can be cultured in the presence of
3'-N-acetylglucosaminyl-mannose as a carbon source, but, curiously, the bnaG
mutant strain was not impaired in its utilization. These results indicate that
the assimilation of 3'-N-acetylglucosaminyl-mannose is independent of BnaG.
Enzyme activity and growth analysis with a manA-knockout mutant showed that ManA
is involved in the utilization of the mannose moiety of 3'-N-acetylglucosaminyl
mannose. Here we describe the physiological role of a beta-N
acetylglucosaminidase in lactobacilli, and it supports the metabolic adaptation
of L. casei to the N-acetylglucosaminide-rich gut niche.
PMID- 26546430
TI - Microbial Activation of Wooden Vats Used for Traditional Cheese Production and
Evolution of Neoformed Biofilms.
AB - Three Lactococcus lactis subsp. cremoris strains were used to develop ad hoc
biofilms on the surfaces of virgin wooden vats used for cheese production. Two
vats (TZ) were tested under controlled conditions (pilot plant), and two vats
(TA) were tested under uncontrolled conditions (industrial plant). In each plant,
one vat (TA1 and TZ1) was used for the control, traditional production of PDO
Vastedda della Valle del Belice (Vastedda) cheese, and one (TA2 and TZ2) was used
for experimental production performed after lactococcal biofilm activation and
the daily addition of a natural whey starter culture (NWSC). Microbiological and
scanning electron microscopy analyses showed differences in terms of microbial
levels and composition of the neoformed biofilms. The levels of the microbial
groups investigated during cheese production showed significant differences
between the control trials and between the control and experimental trials, but
the differences were not particularly marked between the TA2 and TZ2 productions,
which showed the largest numbers of mesophilic lactic acid bacterium (LAB) cocci.
LAB populations were characterized phenotypically and genotypically, and 44
dominant strains belonging to 10 species were identified. Direct comparison of
the polymorphic profiles of the LAB collected during cheese making showed that
the addition of the NWSC reduced their biodiversity. Sensory evaluation showed
that the microbial activation of the wooden vats with the multistrain Lactococcus
culture generated cheeses with sensory attributes comparable to those of
commercial cheese. Thus, neoformed biofilms enable a reduction of microbial
variability and stabilize the sensorial attributes of Vastedda cheese.
PMID- 26546431
TI - A c-Myc/miR-17-5p feedback loop regulates metastasis and invasion of
hepatocellular carcinoma.
AB - The molecular mechanisms that control metastasis of hepatocellular cancer (HCC)
are still poorly understood. It has been determined that microRNA (miRNA)
expression has tissue and cell specific, and decreased expression of specific
miRNA could induce tumor genesis or metastasis. In this study, we identified that
miR-17-5p was expressed lower in high metastatic capability HCC cell lines HCCLM3
and MHCC97H than low metastatic HCC cell line HepG2 by real-time (RT)-PCR.
Restoration of miR-17-5p could significantly repress the invasiveness and
metastasis of MHCC97H cell line. Furthermore, we validated c-Myc as a downstream
and functional target of miR-17-5p using luciferase reporter assay.
Immunohistochemical assay revealed that the expression of c-Myc protein levels
was significantly increased in cancerous tissues compared with para-tumor
tissues. After clinical data analysis, we observed that the higher level of c-Myc
was significantly associated with a reduced overall survival (p = 0.0209).
Consistent with previous research, we also demonstrated that c-Myc could
upregulate the expression of miR-17-5p. Taken together, our data indicated that
there is a regulatory feedback loop between miR-17-5p and c-Myc, in which miR-17
5p could suppress some of the distinguishing features, invasion, and metastasis,
of oncogenic c-Myc in HCC cells, and meanwhile, miR-17-5p is upregulated by c-Myc
role as a transcription factor, although further studies are still needed.
PMID- 26546433
TI - PRSS1 mutations and the proteinase/antiproteinase imbalance in the pathogenesis
of pancreatic cancer.
AB - This study aimed to investigate the mutations in the serine protease 1 gene
(PRSS1) and the imbalance between trypsin and alpha1-antitrypsin in patients with
pancreatic cancer. Polymerase chain reaction (PCR) was performed to amplify the
sequences of PRSS1 from 65 patients with pancreatic cancer and 260 healthy
controls, direct sequencing was performed, and the clinical features were
analyzed. In addition, enzyme-linked immunosorbent assay (ELISA) was employed to
detect serum trypsin and alpha1-antitrypsin in pancreatic cancer patients and
healthy controls in the same period. Mutations were found at the promoter and
exon 3 of the PRSS1 in patients with pancreatic cancer. That is, five patients
had c.410 C > T mutation causing p.Thr 137 Met, and three patients had c. -338 T
> G mutation at the promoter of the PRSS1. In patients with PRSS1 mutations,
serum trypsin was 34.5 +/- 18.3 ng/mL, which was significantly higher than that
in normal controls (10.65 +/- 6.03 ng/mL) and other pancreatic cancer (28.61 +/-
8.96 ng/mL). What is more, in pancreatic cancer patients, serum alpha1
antitrypsin was 1.69 +/- 0.86 g/L, which was comparable to that in normal
controls (1.55 +/- 0.53 g/L), while the ratio of serum trypsin to alpha1
antitrypsin was 1.46-fold to normal controls. The results presented here have
provided a greater insight into the PRSS1 mutations and proteinase-inhibitor
interactions occurring in pancreatic cancer.
PMID- 26546432
TI - Enhanced SLC34A2 in breast cancer stem cell-like cells induces chemotherapeutic
resistance to doxorubicin via SLC34A2-Bmi1-ABCC5 signaling.
AB - Even though early detection methods and treatment options are greatly improved,
chemoresistance is still a tremendous challenge for breast cancer therapy. Breast
cancer stem cells (BCSCs) represent a subpopulation that is central to
chemoresistance. We aim to investigate the relationship between SLC34A2 and
chemoresistance in BCSCs and identify the underlying mechanisms by which SLC34A2
regulates chemoresistance in BCSCs. Fluorescence Activated Cell Sorting (FACS)
analysis showed the presence of a variable fraction of CD44(+)CD24(-) cells in 25
out of 25 breast cancer samples. We cultured primary breast cancer sample cells
and breast cancer cell line cells to induce sphere formation in serum-free
medium. Following sorting of CD44(+)CD24(-) cells from spheres, we showed that
CD44(+)CD24(-) cells displayed stem cell-like features and were resistant to
chemotherapy drug doxorubicin. Significantly, enhanced SLC34A2 expression
correlated with chemoresponse and survival of breast cancer patients. We
subsequently indicated that increased SLC34A2 expression in BCSCs directly
contributed to their chemoresistance by a series of in vitro and in vivo
experiments. Furthermore, we demonstrated that SLC34A2 induced chemoresistance in
BCSCs via SLC34A2-Bmi1-ABCC5 signaling. Finally, we showed that ABCC5 was a
direct transcriptional target of Bmi1 by chromatin immunoprecipitation (ChIP). In
conclusion, our work indicated that decreased SLC34A2 expression sensitized BCSCs
to doxorubicin via SLC34A2-Bmi1-ABCC5 signaling and shed new light on
understanding the mechanism of chemoresistance in BCSCs. This study not only
bridges the missing link between stem cell-related transcription factor (Bmi1)
and ABC transporter (ABCC5) but also contributes to development of potential
therapeutics against breast cancer.
PMID- 26546434
TI - DLL4 as a predictor of pelvic lymph node metastasis and a novel prognostic
biomarker in patients with early-stage cervical cancer.
AB - Delta-like ligand 4 (DLL4), one of the five Notch signaling ligands in mammals,
has an important function in proliferation, invasion, metastasis, progression,
and angiogenesis of malignancies. This study aimed to investigate DLL4 expression
level in early-stage cervical carcinoma and to evaluate its clinical
significance. We used fresh frozen and paraffin-embedded cervical cancer tissues
to analyze DLL4 expression and its clinical significance. DLL4 expression at both
mRNA and protein levels in cervical cancer tissues was significantly higher than
that in normal cervical tissues. High DLL4 protein level was clearly correlated
with high International Federation of Gynecology and Obstetrics (FIGO) stage (P =
0.044), lymphovascular space involvement (LVSI) (P = 0.015), pelvic lymph node
metastasis (PLNM) (P = 0.001), and recurrence (P < 0.001). Univariate and
multivariate logistic regression analyses demonstrated that DLL4 overexpression
was strongly associated with lymph node metastasis (odds ratio, 2.790; 95 % CI,
1.344-5.791; P = 0.006). Moreover, survival analysis revealed that DLL4
expression was an independent factor of unfavorable overall survival (hazard
ratio, 2.130; 95 % CI, 1.108-4.097; P = 0.023) and disease-free survival (hazard
ratio, 1.965; 95 % CI, 1.085-3.560; P = 0.026) in patients with cervical cancer.
Overall, our data indicate that high DLL4 expression predicts pelvic lymph node
metastasis and poor survival in cervical cancer. Therefore, DLL4 may be a
potential clinical diagnostic marker for patients with early-stage cervical
cancer.
PMID- 26546435
TI - CPEB4 interacts with Vimentin and involves in progressive features and poor
prognosis of patients with astrocytic tumors.
AB - Cytoplasmic polyadenylation element binding protein 4 (CPEB4) is a regulator of
gene transcription and has been reported to be associated with biological
malignancy in cancers. However, it is unclear whether CPEB4 has any clinical
significance in patients with astrocytic tumors, and mechanisms that CPEB4
contribute to progression of astrocytic tumors remain largely unknown. Here,
correlation between CPEB4 expression and prognosis of patients with astrocytic
tumors were explored by using qPCR, WB and IHC, and X-tile, SPSS software. Cell
lines U251 MG and A172 were used to study CPEB4's function and mechanisms. Co
immunoprecipitation, mass spectrometry, immunofluorescent assay, and western blot
were performed to observe the interaction between CPEB4 and Vimentin. CPEB4 mRNA
and protein levels were markedly elevated in 12/12 astrocytic tumors in
comparison to paratumor. High expression of CPEB4 was significantly correlated
with clinical progressive futures and work as an independent adverse prognostic
factor for overall survival of patients with astrocytic tumors (relative risk
4.5, 95 % CI 2.1-11.2, p = 0.001). Moreover, knockdown of CPEB4 in astrocytic
tumor cells inhibited their proliferation ability , clonogenicity, and
invasiveness. Five candidate proteins, GRP78, Mortalin, Keratin, Vimentin, and
beta-actin, were identified, and the interaction between CPEB4 and Vimentin was
finally confirmed. Downregulation of CPEB4 could reduce the protein expression of
Vimentin. Our studies first validated that CPEB4 interacts with Vimentin and
indicated that high CPEB4 expression in astrocytic tumors correlates closely with
a clinically aggressive future, and that CPEB4 might represent a valuable
prognostic marker for patients with astrocytic tumors.
PMID- 26546436
TI - miR-122 inhibits cancer cell malignancy by targeting PKM2 in gallbladder
carcinoma.
AB - Gallbladder cancer (GBC) is one of the lethal diseases of digestive system.
Increasing evidence prompt that microRNAs (miRs) might provide a novel
therapeutical target for malignant disease. The antitumor effect of miR-122 to
GBC is worth to be investigated. miR-122 expression level in GBC tissue sample
and cell lines were assayed by qRT-PCR. miR-122 mimics were transfected for
upregulation of miR-122 expression. Cell function was assayed by CCK8, flow
cytometry, wound healing assay, migration assay, and invasion assay. The target
genes of miR-122 were predicated by TargetScan online program and verified by
western blot and luciferase report gene assay. miR-122 was decreased in GBC
tissue and cell lines. The exogenous introduction of miR-122 exhibits multiple
antitumor effect in GBC cell proliferation, invasion, and metastasis. Further
studies revealed that the PKM2 was a regulative target of miR-122 in GBC cell.
miR-122 also inhibits TGF-beta-induced epithelium mesenchymal transformation of
GBC cell by downregulating PKM2 expression. These findings suggest that miR-122
plays an important role in tumorigenesis of GBC through interfering PKM2,
highlighting its usefulness as a potential therapeutic agent in GBC.
PMID- 26546437
TI - Coexpression of CXCR4 and MMP9 predicts lung metastasis and poor prognosis in
resected osteosarcoma.
AB - Osteosarcoma is a highly aggressive bone disease with a tendency to metastasize
to the lung. The 5-year survival of patients with metastatic osteosarcoma is only
20 %. Many studies have demonstrated SDF-1/CXCR4 and MMP9 play important roles in
the metastasis of malignant tumors, including osteosarcoma. The aim of this study
was to investigate the association of CXCR4 and MMP9 expression with
clinicopathological features and pulmonary metastasis in osteosarcoma. Using
tumor tissue microarrays, we analyzed the expression of CXCR4 and MMP9 among 34
primary osteosarcomas with pulmonary metastasis and 62 primary osteosarcomas
without metastasis. A median time of 57.5 months (range: 6 to 171 months) follow
up was performed to evaluate tumor metastasis and the patient survival. The
prognostic values were determined by univariate Kaplan-Meier survival analysis
and multivariate Cox proportional hazard model analysis. The accuracy of
oncologic outcome prediction was evaluated by receiver-operating characteristics
(ROC) curves (AUC). The expression of CXCR4 and MMP9 was significantly correlated
in tumor tissues (P = 0.026). Both CXCR4 and MMP9 were independent predictors for
overall survival and metastasis-free survival by Cox multivariate analysis, and
high expression for both CXCR4 and MMP9 were even more significant and better
biomarkers for osteosarcoma metastasis and survival. The combination of CXCR4 and
MMP9 high expression is very likely to be a valuable independent predictor of
lung metastasis and survival in osteosarcoma patients.
PMID- 26546438
TI - RRAD inhibits aerobic glycolysis, invasion, and migration and is associated with
poor prognosis in hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) is one of the most prevalent and lethal cancer
worldwide. However, the mechanism underlying the HCC development remains unclear.
Ras-related associated with diabetes (RRAD) is a small Ras-related GTPase which
has been implicated in metabolic disease and several types of cancer, yet its
functions in HCC remain unknown. A tissue microarray constructed by 90 paired HCC
tissues and adjacent non-cancerous liver tissues was used to examine the protein
levels of RRAD, and the messenger RNA (mRNA) expression of RRAD was also detected
in a subset of this cohort. The prognostic significance of RRAD was estimated by
the Kaplan-Meier analysis and Cox regression. The glucose utilization assay and
lactate production assay were performed to measure the role of RRAD in HCC
glycolysis. The effect of RRAD in HCC invasion and metastasis was analyzed by
transwell assays. Our results suggested that the expression of RRAD was
downregulated in HCC tissues compared to the adjacent non-tumorous liver tissues
both in mRNA and protein levels and lower RRAD expression served as an
independent prognostic indicator for the survival of HCC patients. Moreover, RRAD
inhibited hepatoma cell aerobic glycolysis by negatively regulating the
expression of glucose transporter 1 (GLUT1) and hexokinase II (HK-II). In
addition, RRAD inhibition dramatically increased hepatoma cell invasion and
metastasis. In conclusion, our study revealed that RRAD expression was decreased
in HCC tumor tissues and predicted poor clinical outcome for HCC patients and
played an important role in regulating aerobic glycolysis and cell invasion and
metastasis and may represent potential targets for improving the treatment of
HCC.
PMID- 26546439
TI - Filamin A (FLNA) modulates chemosensitivity to docetaxel in triple-negative
breast cancer through the MAPK/ERK pathway.
AB - A previous RNA interference (RNAi) screen identified filamin A (FLNA) as a
potential biomarker to predict chemosensitivity in triple-negative breast cancer
(TNBC). However, its ability to modulate chemosensitivity and the underlying
mechanism has not been investigated. Genetic manipulation of FLNA expression has
been performed in an immortalized noncancerous human mammary epithelial cell line
and four TNBC cell lines to investigate its effect on chemosensitivity. Western
blot analysis was performed to identify the potential signaling pathway involved.
Xenograft mouse model was used to examine the in vivo role of FLNA in modulating
chemosensitivity. Overexpression of FLNA conferred chemoresistance to docetaxel
in noncancerous human mammary epithelial cells. Knockdown of FLNA sensitized four
TNBC cell lines, MDA-MB-231, HCC38, Htb126, and HCC1937 to docetaxel which was
reversed by reconstituted FLNA expression. Decreased FLNA expression correlated
with decreased activation of ERK. Constitutive activation of ERK2 reversed siFLNA
induced chemosensitization. Inhibition of MEK1 recapitulates the effect of FLNA
knockdown. MDA-MB-231 xenograft with FLNA knockdown showed enhanced response to
docetaxel compared with control xenograft with increased apoptosis. FLNA can
function as a modulator of chemosensitivity to docetaxel in TNBC cells through
regulation of the MAPK/ERK pathway both in vitro and in vivo. FLNA may serve as a
novel therapeutic target for improvement of chemotherapy efficacy in TNBC.
PMID- 26546440
TI - The pig as preclinical model for laparoscopic vagus nerve stimulation.
AB - PURPOSE: Cervical vagus nerve stimulation (VNS) prevents manipulation-induced
intestinal inflammation and improves intestinal transit in a mouse model of
postoperative ileus (POI). Cervical VNS, however, is accompanied by
cardiovascular and respiratory side effects. In view of potential clinical
application, we therefore evaluated the safety and feasibility of abdominal VNS
via laparoscopic approach in a porcine model. METHODS: Six pigs were used in a
non-survival study for both cervical and abdominal VNS. Two cardiac pacing
electrodes were positioned around the right cervical and posterior abdominal
vagus nerve and connected to an external stimulator. VNS was performed using four
different settings (5 and 20 Hz, 0.5 and 1 ms pulse width) during 2 min with ECG
recording. Laparoscopic VNS was timed and videotaped, and technical difficulties
were noted. A validated National Aeronautics and Space Administration Task Load
Index (NASA-TLX) questionnaire was used to evaluate the task and workload.
RESULTS: The procedure was completed in all pigs with 4-port laparoscopic
technique. Cervical and abdominal VNS were performed after correct identification
and isolation of the nerve, and positioning of the electrodes around the nerve.
Median laparoscopic operating time was 16 min (range 8-33 min), and median NASA
TLX was 31 (range 11-74). No major complications were encountered. Reduction of
heart rate was between 5.5 and 14% for cervical VNS and undetectable for
abdominal VNS. CONCLUSION: In a porcine model, laparoscopic VNS is feasible and
safe with cardiac pacing electrodes and may lead to a similar novel approach in
humans in the near future.
PMID- 26546441
TI - Isolated colorectal metastasis to the stomach 10 years after primary resection.
PMID- 26546442
TI - Letter to the Editor on the article "Extraperitoneal vs. intraperitoneal route
for permanent colostomy: a meta-analysis of 1071 patients".
PMID- 26546443
TI - Anatomy of the transverse colon revisited with respect to complete mesocolic
excision and possible pathways of aberrant lymphatic tumor spread.
AB - PURPOSE: Although lymph node metastases to pancreatic and gastroepiploic lymph
node stations in transverse colon cancer have been described, the mode of
lymphatic spread in this area remains unclear. This study was undertaken to
describe possible pathways of aberrant lymphatic spread in the complex anatomic
area of the proximal superior mesenteric artery and vein, the greater omentum,
and the lower pancreatic border. METHODS: Abdominal specimens obtained from four
cadaveric donors were dissected according to the principles of complete mesocolic
excision. The vascular architecture of the transverse colon was scrutinized in
search of possible pathways of lymphatic spread to the pancreatic and
gastroepiploic lymph nodes. RESULTS: Vascular connections between the transverse
colon and the greater omentum at the level of both the hepatic and the splenic
flexures could be identified. In addition, small vessels running from the
transverse mesocolon to the lower pancreatic border in the area between the
middle colic artery and the inferior mesenteric vein were demonstrated. Moreover,
venous tributaries to the gastrocolic trunk could be exposed to highlight its
surgical importance as a guiding structure for complete mesocolic excision.
CONCLUSION: The technical feasibility to clearly separate embryologic
compartments by predefined tissue planes in complete mesocolic excision was
confirmed. However, the vicinity of all three endodermal intestinal segments
(foregut, midgut, and hindgut) obviously gives way to vascular connections that
might serve as potential pathways for lymphatic metastatic spread of transverse
colon cancer.
PMID- 26546444
TI - Photosynthesis in estuarine intertidal microphytobenthos is limited by inorganic
carbon availability.
AB - The effects of dissolved inorganic carbon (DIC) availability on photosynthesis
were studied in two estuarine intertidal microphytobenthos (MPB) communities and
in the model diatom species Phaeodactylum tricornutum. Kinetics of DIC
acquisition, measured with a liquid-phase oxygen electrode, showed higher
K(1/2)(DIC) (0.31 mM) and Vm (7.78 nmol min(-1) ug (Chl a)(-1)) for MPB
suspensions than for P. tricornutum (K(1/2)(DIC) = 0.23 mM; Vm = 4.64 nmol min(
1) ug (Chl a)(-1)), suggesting the predominance of species with lower affinity
for DIC and higher photosynthetic capacity in the MPB. The net photosynthetic
rate of the MPB suspensions reached saturation at a DIC concentration of 1-1.5
mM. This range was lower than the concentrations found in the interstitial water
of the top 5-mm sediment layer, suggesting no limitation of photosynthesis by DIC
in the MPB communities. Accordingly, carbon isotope discrimination revealed a
moderate activity of CO2-concentrating mechanisms in the MPB. However, addition
of NaHCO3 to intact MPB biofilms caused a significant increase in the relative
maximum photosynthetic electron transport rate (rETR max) measured by imaging
pulse-amplitude modulated chlorophyll a fluorescence. These results suggest local
depletion of DIC at the photic layer of the sediment (the first few hundred um),
where MPB cells accumulate during diurnal low tides. This work provides the first
direct experimental evidence of DIC limitation of photosynthesis in highly
productive intertidal MPB communities.
PMID- 26546445
TI - Functional Conservation in the SIAMESE-RELATED Family of Cyclin-Dependent Kinase
Inhibitors in Land Plants.
AB - The best-characterized members of the plant-specific SIAMESE-RELATED (SMR) family
of cyclin-dependent kinase inhibitors regulate the transition from the mitotic
cell cycle to endoreplication, also known as endoreduplication, an altered
version of the cell cycle in which DNA is replicated without cell division. Some
other family members are implicated in cell cycle responses to biotic and abiotic
stresses. However, the functions of most SMRs remain unknown, and the specific
cyclin-dependent kinase complexes inhibited by SMRs are unclear. Here, we
demonstrate that a diverse group of SMRs, including an SMR from the bryophyte
Physcomitrella patens, can complement an Arabidopsis thaliana siamese (sim)
mutant and that both Arabidopsis SIM and P. patens SMR can inhibit CDK activity
in vitro. Furthermore, we show that Arabidopsis SIM can bind to and inhibit both
CDKA;1 and CDKB1;1. Finally, we show that SMR2 acts to restrict cell
proliferation during leaf growth in Arabidopsis and that SIM, SMR1/LGO, and SMR2
play overlapping roles in controlling the transition from cell division to
endoreplication during leaf development. These results indicate that differences
in SMR function in plant growth and development are primarily due to differences
in transcriptional and posttranscriptional regulation, rather than to differences
in fundamental biochemical function.
PMID- 26546446
TI - Strigolactone Signaling in Arabidopsis Regulates Shoot Development by Targeting
D53-Like SMXL Repressor Proteins for Ubiquitination and Degradation.
AB - Strigolactones (SLs) are carotenoid-derived phytohormones that control many
aspects of plant development, including shoot branching, leaf shape, stem
secondary thickening, and lateral root growth. In rice (Oryza sativa), SL
signaling requires the degradation of DWARF53 (D53), mediated by a complex
including D14 and D3, but in Arabidopsis thaliana, the components and mechanism
of SL signaling involving the D3 ortholog MORE AXILLARY GROWTH2 (MAX2) are
unknown. Here, we show that SL-dependent regulation of shoot branching in
Arabidopsis requires three D53-like proteins, SUPPRESSOR OF MORE AXILLARY GROWTH2
LIKE6 (SMXL6), SMXL7, and SMXL8. The smxl6 smxl7 smxl8 triple mutant suppresses
the highly branched phenotypes of max2 and the SL-deficient mutant max3.
Overexpression of a mutant form of SMXL6 that is resistant to SL-induced
ubiquitination and degradation enhances shoot branching. Exogenous application of
the SL analog rac-GR24 causes ubiquitination and degradation of SMXL6, 7, and 8;
this requires D14 and MAX2. D53-like SMXLs form complexes with MAX2 and TOPLESS
RELATED PROTEIN2 (TPR2) and interact with D14 in a GR24-responsive manner.
Furthermore, D53-like SMXLs exhibit TPR2-dependent transcriptional repression
activity and repress the expression of BRANCHED1. Our findings reveal that in
Arabidopsis, D53-like SMXLs act with TPR2 to repress transcription and so allow
lateral bud outgrowth but that SL-induced degradation of D53-like proteins
activates transcription to inhibit outgrowth.
PMID- 26546447
TI - SMAX1-LIKE/D53 Family Members Enable Distinct MAX2-Dependent Responses to
Strigolactones and Karrikins in Arabidopsis.
AB - The plant hormones strigolactones and smoke-derived karrikins are butenolide
signals that control distinct aspects of plant development. Perception of both
molecules in Arabidopsis thaliana requires the F-box protein MORE AXILLARY
GROWTH2 (MAX2). Recent studies suggest that the homologous SUPPRESSOR OF MAX2 1
(SMAX1) in Arabidopsis and DWARF53 (D53) in rice (Oryza sativa) are downstream
targets of MAX2. Through an extensive analysis of loss-of-function mutants, we
demonstrate that the Arabidopsis SMAX1-LIKE genes SMXL6, SMXL7, and SMXL8 are co
orthologs of rice D53 that promote shoot branching. SMXL7 is degraded rapidly
after treatment with the synthetic strigolactone mixture rac-GR24. Like D53,
SMXL7 degradation is MAX2- and D14-dependent and can be prevented by deletion of
a putative P-loop. Loss of SMXL6,7,8 suppresses several other strigolactone
related phenotypes in max2, including increased auxin transport and PIN1
accumulation, and increased lateral root density. Although only SMAX1 regulates
germination and hypocotyl elongation, SMAX1 and SMXL6,7,8 have complementary
roles in the control of leaf morphology. Our data indicate that SMAX1 and
SMXL6,7,8 repress karrikin and strigolactone signaling, respectively, and suggest
that all MAX2-dependent growth effects are mediated by degradation of SMAX1/SMXL
proteins. We propose that functional diversification within the SMXL family
enabled responses to different butenolide signals through a shared regulatory
mechanism.
PMID- 26546448
TI - Circular RNAs in monkey muscle: age-dependent changes.
AB - Circular RNAs (circRNAs) have been identified in numerous species, including
human, mouse, nematode, and coelacanth. They are believed to function as
regulators of gene expression at least in part by sponging microRNAs. Here, we
describe the identification of circRNAs in monkey (Rhesus macaque) skeletal
muscle. RNA sequencing analysis was employed to identify and annotate ~12,000
circRNAs, including numerous circular intronic RNAs (ciRNAs), from skeletal
muscle of monkeys of a range of ages. Reverse transcription followed by real-time
quantitative (q)PCR analysis verified the presence of these circRNAs, including
the existence of several highly abundant circRNAs, and the differential abundance
of a subset of circRNAs as a function of age. Taken together, our study has
documented systematically circRNAs expressed in skeletal muscle and has
identified circRNAs differentially abundant with advancing muscle age. We propose
that some of these circRNAs might influence muscle function.
PMID- 26546449
TI - Neuropsychiatric systemic lupus erythematosus persists despite attenuation of
systemic disease in MRL/lpr mice.
AB - BACKGROUND: Systemic lupus erythematosus (SLE) is a prototypical autoimmune
disease marked by both B and T cell hyperactivity which commonly affects the
joints, skin, kidneys, and brain. Neuropsychiatric disease affects about 40 % of
SLE patients, most frequently manifesting as depression, memory deficits, and
general cognitive decline. One important and yet unresolved question is whether
neuropsychiatric SLE (NPSLE) is a complication of systemic autoimmunity or
whether it is primarily driven by brain-intrinsic factors. METHODS: To dissect
the relative contributions of the central nervous system from those of the
hematopoietic compartment, we generated bone marrow chimeras between healthy
control (MRL/+) and lupus-prone MRL/Tnfrsf6 (lpr/lpr) mice (MRL/+ -> MRL/lpr), as
well as control chimeras. After bone marrow reconstitution, mice underwent
extensive behavioral testing, analysis of brain tissue, and histological
assessment. RESULTS: Despite transfer of healthy MRL/+ bone marrow and marked
attenuation of systemic disease, we found that MRL/+ -> MRL/lpr mice had a
behavioral phenotype consisting of depressive-like behavior and visuospatial
memory deficits, comparable to MRL/lpr -> MRL/lpr control transplanted mice and
the behavioral profile previously established in MRL/lpr mice. Moreover, MRL/+ ->
MRL/lpr chimeric mice displayed increased brain RANTES expression,
neurodegeneration, and cellular infiltration in the choroid plexus, as well as
blood brain barrier disruption, all in the absence of significant systemic
autoimmunity. CONCLUSIONS: Chimeric MRL/+ -> MRL/lpr mice displayed no
attenuation of the behavioral phenotype found in MRL/lpr mice, despite normalized
serum autoantibodies and conserved renal function. Therefore, neuropsychiatric
disease in the MRL/lpr lupus-prone strain of mice can occur absent any major
contributions from systemic autoimmunity.
PMID- 26546450
TI - Antioxidant potential, in vitro cytotoxicity and apoptotic effect induced by
crude organic extract of Anthracophyllum lateritium against RD sarcoma cells.
AB - BACKGROUND: Macrofungi have an established history of use in traditional oriental
medicine. Anthracophyllum lateritium is a terrestrial macrofungus found in the
dry zone forest reserves in Sri Lanka. Yet there are no scientific reports on
bioactive properties of this species. Hence, the current study was aimed at
determining the antioxidant potential, in vitro antiproliferative activity and
apoptotic effect induced by crude methanolic extract of A. lateritium against RD
sarcoma cell line. METHOD: The crude extract of A. lateritium was dissolved in
methanol (MEFCA) and antioxidant activity was evaluated using in vitro assays:
inhibition of DPPH (1,1-diphenyl-2-picrylhydrazyl) radical scavenging, ferric ion
reducing power and 2-deoxy-D-ribose degradation assay. Total phenol and flavonoid
contents of MEFCA were assayed using folin Ciocalteu method and aluminium
chloride colorimetric method. In vitro cytotoxicity was determined using MTT
assay against RD cells after 24 h exposure to MEFCA. Ethidium bromide/ acridine
orange staining, DNA fragmentation and protein synthesis experiments were used to
study the apoptotic features and antiproliferative activities of the treated
cells. Glutathione assay and griess nitrite assay were used to analyze the
reduced glutathione content and liberation of nitric oxide from apoptotic cells.
RESULTS: MEFCA showed promising antioxidant activity with EC50 values of 8.00 +/-
0.35 MUg/mL for DPPH scavenging and 83.33 +/- 0.45 MUg/mL for 2-deoxy-D-ribose
degradation assay. The phenolic content was 265.15 +/- 0.46 of (w/w) % of Gallic
acid equivalents and flavonoid content was 173.01 +/- 0.35 of (w/w) % of
Epigallocatechingallate. A. lateritium showed strong in vitro cytotoxic activity
with an EC50 of 18.80 +/- 4.83 MUg/mL for MTT assay against RD cells. Ethidium
bromide/acridine orange staining and DNA fragmentation indicated the apoptotic
features of treated cells. Protein levels showed a dose dependent decrease
supporting the fact that A. lateritium induces apoptosis of treated cells.
Glutathione content and nitric oxide content of cells exhibited a dose dependent
increase suggesting the apoptosis of RD cells was mediated by both nitrie ions
and nitric oxide. CONCLUSIONS: The crude extract of the A. lateritium exhibited
potent antioxidant, antiproliferative activity and apoptotic effect against RD
cells providing supportive evidence for the ethnopharmacological use of this
fungus in control of oxidative damage and remedy of cancer.
PMID- 26546451
TI - Validation of Intratumoral T-bet+ Lymphoid Cells as Predictors of Disease-Free
Survival in Breast Cancer.
AB - We previously observed T-bet(+) lymphocytes to be associated with a good
prognosis in a cohort of women with familial breast cancer. To validate this
finding, we evaluated lymphocyte T-bet expression in an independent unselected
prospectively accrued series of women with lymph node-negative breast carcinoma.
T-bet and clinicopathologic data were available for 614 women. Hormone receptors,
HER2, Ki-67, CK5, EGFR, p53, and T-bet status were determined using IHC and/or
biochemical methods. Tumors were assigned to luminal A, luminal B, HER2, and
basal subtypes based on the expression of IHC markers. Multiple cutpoints were
examined in a univariate penalized Cox model to stratify tumors into T
bet(+/high) and T-bet(-/low). Fisher exact test was used to analyze T-bet
associations with clinicopathologic variables, IHC markers, and molecular
subtype. Survival analyses were by the Cox proportional hazards model. All tests
were two sided. A test with a P value < 0.05 was considered statistically
significant. T-bet(+/high) tumor status was significantly associated with large
tumor size, high grade, hormone receptor negativity, CK5, EGFR and p53
positivity, high Ki-67, and basal subtype. With a median follow-up of 96.5
months, T-bet(-/low) tumor status was associated with a reduced disease-free
survival compared with T-bet(+/high) tumor status in multivariate analysis (P =
0.0027; relative risk = 5.62; 95% confidence intervals, 1.48-50.19). Despite
being associated with adverse clinicopathologic characteristics, T-bet(+) tumor
infiltrating lymphoid cells are associated with a favorable outcome. This
supports their role in Th1-mediated antitumor activity and may provide insight
for the development of new therapeutic strategies.
PMID- 26546452
TI - PD-L1 Antibodies to Its Cytoplasmic Domain Most Clearly Delineate Cell Membranes
in Immunohistochemical Staining of Tumor Cells.
AB - Blocking the programmed death-1 (PD-1) pathway has clinical benefit in metastatic
cancer and has led to the approval of the mAbs pembrolizumab and nivolumab to
treat melanoma and nivolumab for non-small cell lung cancer. Expression of PD-L1
on the cell surface of either tumor cells or infiltrating immune cells is
associated with a higher likelihood of response to PD-1 blockade in multiple
studies. Most mAbs to PD-L1 in use are directed to its extracellular domain and
immunohistochemically stain tumor tissue with a mixture of cytoplasmic and
membrane staining. Cytoplasmic staining obscures the interpretation of a positive
reaction on the tumor cell membrane, and thus affects the accuracy of PD-L1
scoring systems. We developed a mAb to the cytoplasmic domain of PD-L1, 405.9A11
(9A11), which is both more selective for membranous PD-L1 and more sensitive in
IHC and Western blotting, compared with previous mAbs specific for the PD-L1
extracellular domain. Here, we compare immunohistochemical staining patterns of
PD-L1 expression in five types of tumors, using five PD-L1 mAbs: 9A11, 7G11, and
three commercially available mAbs. We demonstrate that 9A11, as well as two other
cytoplasmic domain-specific mAbs, E1L3N and SP142, can clearly delineate the
membrane of PD-L1-positive cells in formalin-fixed paraffin-embedded tissue and
facilitate interpretation of staining results.
PMID- 26546453
TI - Glioblastoma Eradication Following Immune Checkpoint Blockade in an Orthotopic,
Immunocompetent Model.
AB - Inhibition of immune checkpoints, including cytotoxic T-lymphocyte antigen-4
(CTLA-4), programmed death-1 (PD-1), and its ligand PD-L1, has demonstrated
exciting and durable remissions across a spectrum of malignancies. Combinatorial
regimens blocking complementary immune checkpoints further enhance the
therapeutic benefit. The activity of these agents for patients with glioblastoma,
a generally lethal primary brain tumor associated with significant systemic and
microenvironmental immunosuppression, is not known. We therefore systematically
evaluated the antitumor efficacy of murine antibodies targeting a broad panel of
immune checkpoint molecules, including CTLA-4, PD-1, PD-L1, and PD-L2 when
administered as single-agent therapy and in combinatorial regimens against an
orthotopic, immunocompetent murine glioblastoma model. In these experiments, we
observed long-term tumor-free survival following single-agent anti-PD-1, anti-PD
L1, or anti-CTLA-4 therapy in 50%, 20%, and 15% of treated animals, respectively.
Combination therapy of anti-CTLA-4 plus anti-PD-1 cured 75% of the animals, even
against advanced, later-stage tumors. In long-term survivors, tumor growth was
not seen upon intracranial tumor rechallenge, suggesting that tumor-specific
immune memory responses were generated. Inhibitory immune checkpoint blockade
quantitatively increased activated CD8(+) and natural killer cells and decreased
suppressive immune cells in the tumor microenvironment and draining cervical
lymph nodes. Our results support prioritizing the clinical evaluation of PD-1, PD
L1, and CTLA-4 single-agent targeted therapy as well as combination therapy of
CTLA-4 plus PD-1 blockade for patients with glioblastoma.
PMID- 26546454
TI - Transcriptomic analysis of cobalt stress in the marine yeast Debaryomyces
hansenii.
AB - The yeast Debaryomyces hansenii overproduces riboflavin upon exposure to subtoxic
levels of cobalt (Co(+2)). However, mechanisms for survival have yet to be
studied and have been hindered by D. hansenii's high genetic heterogeneity among
strains. In this study, we used transcriptomic analyses and RNA-seq in order to
identify differentially expressed genes in D. hansenii in response to cobalt
exposure. Highly upregulated genes under this condition were identified to
primarily comprise DNA damage and repair genes, oxidative stress response genes,
and genes for cell wall integrity and growth. The main response of D. hansenii to
heavy metal stress is the activation of non-enzymatic oxidative stress response
mechanisms and control of biological production of reactive oxygen species. Our
results indicate that D. hansenii does not seem to be pre-adapted to survive high
concentrations of heavy metals. These organisms appear to possess genetic
survival and detoxification mechanisms that enable the cells to recover from
heavy metal stress.
PMID- 26546455
TI - Proteomic analysis of hyperadhesive Candida glabrata clinical isolates reveals a
core wall proteome and differential incorporation of adhesins.
AB - Attachment to human host tissues or abiotic medical devices is a key step in the
development of infections by Candida glabrata. The genome of this pathogenic
yeast codes for a large number of adhesins, but proteomic work using reference
strains has shown incorporation of only few adhesins in the cell wall. By making
inventories of the wall proteomes of hyperadhesive clinical isolates and
reference strain CBS138 using mass spectrometry, we describe the cell wall
proteome of C. glabrata and tested the hypothesis that hyperadhesive isolates
display differential incorporation of adhesins. Two clinical strains (PEU382 and
PEU427) were selected, which both were hyperadhesive to polystyrene and showed
high surface hydrophobicity. Cell wall proteome analysis under biofilm-forming
conditions identified a core proteome of about 20 proteins present in all C.
glabrata strains. In addition, 12 adhesin-like wall proteins were identified in
the hyperadherent strains, including six novel adhesins (Awp8-13) of which only
Awp12 was also present in CBS138. We conclude that the hyperadhesive capacity of
these two clinical C. glabrata isolates is correlated with increased and
differential incorporation of cell wall adhesins. Future studies should elucidate
the role of the identified proteins in the establishment of C. glabrata
infections.
PMID- 26546456
TI - Sarcopenia and inflammation are independent predictors of survival in male
patients newly diagnosed with small cell lung cancer.
AB - PURPOSE: Sarcopenia is suggested to be associated with cancer-related
inflammation. We assessed the clinical outcome of small cell lung cancer (SCLC)
patients according to sarcopenia and the neutrophil-to-lymphocyte ratio (NLR).
METHODS: A total of 117 male SCLC patients treated with first-line chemo- or
chemoradiotherapy were assessed based on a retrospective chart review. The mass
of the pectoralis muscle was measured by computed tomography and normalized to
height. Patients with the lowest quartile of muscle mass were considered to have
sarcopenia. Patients were classified into four groups according to their
sarcopenia and NLR statuses: sarcopenia/high NLR, sarcopenia/low NLR, non
sarcopenia/high NLR, and non-sarcopenia/low NLR. RESULTS: Sarcopenic patients had
lower progression-free survival (PFS) than did non-sarcopenic patients (median
6.0 vs. 7.5 months, p = 0.009), but the difference in overall survival (OS) was
not statistically significant (median 10.5 vs. 13.5 months, p = 0.052). However,
the OS of sarcopenic patients with high NLR was significantly lower than that in
all other groups (median 3.2 vs. 16.0 vs. 12.5 vs. 13.7 months, respectively, p <
0.001), as was PFS (median 3.2 vs. 7.7 vs. 7.6 vs. 7.1 months, respectively, p <
0.001). On multivariate analysis, sarcopenia with high NLR was an independent
prognostic factor for shorter PFS and OS. Early discontinuation of treatment
(20.0 vs. 10.3 %) and treatment-related mortality (50.0 vs. 8.4 %) occurred more
frequently in these patients than in the other groups (p < 0.001). CONCLUSIONS:
In SCLC, sarcopenic male patients with high NLR have a poor prognosis and do not
tolerate standard treatment. Intensive supportive care is needed in these
patients.
PMID- 26546457
TI - Efficacy of venlafaxine for the relief of taxane and oxaliplatin-induced acute
neurotoxicity: a single-center retrospective case-control study.
AB - BACKGROUND: Oxaliplatin and taxane-induced neurosensory toxicity is dose-limiting
and mostly presents with acute symptoms that affect the activities of daily
living and overall quality of life. The objective of the present study is to
assess the relief of acute neuropathy with venlafaxine treatment during the
chemotherapy period. PATIENTS AND METHODS: In this retrospective case-control
study, from January 2010 to February 2015, patients who experienced treatment
with oxaliplatin and taxane-induced acute neurotoxicity were evaluated according
to the NCI-CTCAE v. 4.03 grading scale. Neurotoxicity was evaluated using a
numeric rating scale (NRS) for pain intensity and experienced relief under the
treatment of venlafaxine and using a neuropathic pain symptom inventory scale
(NPSI) for the style of complaints. Patients who were diagnosed as mildly
depressed according to the HOST anxiety and depression scale and who had grade 1
to 3 sensory neurotoxicity based on the NCI-CTCAE v. 4.03 grading scale, and who
also reported >= 4/10 on a NRS were eligible. The primary end point was the rate
of more than 75 % symptomatic relief under venlafaxine treatment. RESULTS: Two
hundred six patients were included (82 % female, median age: 52.7 years). Most
patients had breast, gynecologic, and colon cancer (93.4 %). Ninety-one patients
who received venlafaxine and 115 patients as the control group were assessed for
neurotoxicity every 3 weeks. Based on the NRS, a rate of more than 75 %
symptomatic relief was 53.5, 58.3, and 45.2 % in venlafaxine arm versus 0, 0, and
0 % in the control arm in the first, second, and third visits, respectively. Side
effects of venlafaxine (n = 7) were grade 1-2 nausea/vomiting (3.2 %) and
asthenia/somnolence (3.2 %) without grade 3-4 events. CONCLUSION: Venlafaxine has
a significant clinical activity against taxane-oxaliplatin-induced acute
neurosensory toxicity.
PMID- 26546458
TI - The current status of malaria epidemiology in Bolifamba, atypical Cameroonian
rainforest zone: an assessment of intervention strategies and seasonal
variations.
AB - BACKGROUND: Global malaria has been on the decline over the past decade due to
expansion of interventions. The present study aimed at determining the current
status of malaria epidemiology in the context of sustained interventions and
seasonal variations in Bolifamba, which represents a typical semi-urban malaria
endemic community in the Cameroonian rainforest. METHODS: A monthly cross
sectional survey was carried out in Bolifamba, a multi ethnic semi-urban locality
on the eastern flanks of Mt Cameroon, for a year during which blood samples were
collected from participants and examined for malaria parasites by microscopy.
Correlation analysis of seasonal/monthly malaria prevalence was done with weather
data from Ekona, a nearby village with a meteorological station. Intervention
strategy such as use of Insecticide Treated Bed Net (ITBN) and risk factors such
as duration of stay in the locality, age and housing type were also investigated.
RESULTS: The results revealed a malaria prevalence of 38.3 % in the rainy season,
which was significantly higher than 24.4 % observed in the dry season (P <
0.0001). A high prevalence of asymptomatic malaria which was more than double the
prevalence of symptomatic malaria on a monthly basis was observed, 30.7 % vs 17.8
% in the rainy and dry season respectively (p < 0.0001) and asymptomatic malaria
was significantly associated with anemia (p < 0.005). April was the peak month of
malaria prevalence and coincided with peak periods of both asymptomatic and
symptomatic malaria. The Plasmodium falciparum parasite rates in the 2- up to 10
years age group (PfPR(2-10)) was 40.8 %. The regular use of ITBN was
significantly associated with low prevalence of 31.7 % as opposed to irregular or
non-usage of ITBN 38.2 % (p < 0.05). Log of parasite load was found to initially
increase to 2.49 with less than 5 years of stay, and decreased gradually with
increasing duration of stay in the locality (p = 0.046). Climatic factors were
significantly and positively associated with monthly malaria prevalence and the
strongest predictors of malaria prevalence were rainfall and minimum temperature
with r values of 0.563 and 0.6 respectively. CONCLUSIONS: The study highlights
the role of seasonal change in modifying malaria prevalence during the year and
the beneficial effect of ITBN. It also underscores a sublime problem of
asymptomatic malaria associated with anemia, and indicates that partial immunity
is acquired with prolonged stay in Bolifamba. This preliminary result is the
basis of ongoing work to identify the antigens involved in acquired immunity.
PMID- 26546459
TI - Production of grooming-associated sounds by chimpanzees (Pan troglodytes) at
Ngogo: variation, social learning, and possible functions.
AB - Chimpanzees (Pan troglodytes) use some communicative signals flexibly and
voluntarily, with use influenced by learning. These signals include some
vocalizations and also sounds made using the lips, oral cavity, and/or teeth, but
not the vocal tract, such as "attention-getting" sounds directed at humans by
captive chimpanzees and lip smacking during social grooming. Chimpanzees at
Ngogo, in Kibale National Park, Uganda, make four distinct sounds while grooming
others. Here, I present data on two of these ("splutters" and "teeth chomps") and
consider whether social learning contributes to variation in their production and
whether they serve social functions. Higher congruence in the use of these two
sounds between dyads of maternal relatives than dyads of non-relatives implies
that social learning occurs and mostly involves vertical transmission, but the
results are not conclusive and it is unclear which learning mechanisms may be
involved. In grooming between adult males, tooth chomps and splutters were more
likely in long than in short bouts; in bouts that were bidirectional rather than
unidirectional; in grooming directed toward high-ranking males than toward low
ranking males; and in bouts between allies than in those between non-allies.
Males were also more likely to make these sounds while they were grooming other
males than while they were grooming females. These results are expected if the
sounds promote social bonds and induce tolerance of proximity and of grooming by
high-ranking males. However, the alternative hypothesis that the sounds are
merely associated with motivation to groom, with no additional social function,
cannot be ruled out. Limited data showing that bouts accompanied by teeth
chomping or spluttering at their initiation were longer than bouts for which this
was not the case point toward a social function, but more data are needed for a
definitive test. Comparison to other research sites shows that the possible
existence of grooming-specific sound dialects in chimpanzees deserves further
investigation.
PMID- 26546460
TI - Evodiamine inhibits the migration and invasion of nasopharyngeal carcinoma cells
in vitro via repressing MMP-2 expression.
AB - PURPOSE: Evodiamine is one of active alkaloids isolated from the traditional
Chinese medicine Evodia rutaecarpa Bentham and has various pharmacological
properties. In this study, we investigated its effects on the migration,
invasion, and associated mechanism in human nasopharyngeal carcinoma (NPC) cells.
METHODS: Cell viability was determined by MTT assay after evodiamine treatment.
Wound-healing assay and Boyden transwell system were used to evaluate the
inhibitory effects of evodiamine on cell migration and invasion. MMP-2/9 activity
was determined using commercial detection kits. The levels of associated proteins
involved in the regulation of cell migration and invasion were analyzed by
Western blotting. RESULTS: Evodiamine effectively inhibited the migration and
invasion of HONE1 and CNE1 cells, and hardly affected cell proliferation, but
significantly suppressed cell adhesion activity in vitro. Additionally,
evodiamine treatment significantly decreased mRNA and protein levels of MMP-2 and
its activity in the NPC cells, but had little effects on MMP-9 mRNA and protein
levels and its activity. Further investigation revealed that evodiamine inhibited
the translocation of NF-kappaB p65, which involves the regulation of MMP-2
expression in cancer invasion. Additionally, evodiamine treatment did not
significantly affect the protein levels of JNK, p38, Akt, and their
phosphorylated forms and ERK1/2, but strongly attenuated ERK1/2 phosphorylation
level, which at least partly accounts for the signal pathway of evodiamine
inhibited migration and invasion of NPC cells. CONCLUSION: These findings
demonstrate that evodiamine inhibits the migration and invasiveness of NPC cells,
and it is probably a potential agent for the treatment of NPC invasion and
metastasis.
PMID- 26546461
TI - A study to explore the correlation of ABCB1, ABCG2, OCT1 genetic polymorphisms
and trough level concentration with imatinib mesylate-induced thrombocytopenia in
chronic myeloid leukemia patients.
AB - PURPOSE: Imatinib mesylate is presently the first-line treatment for chronic
myeloid leukemia (CML). Therapeutic drug monitoring (TDM) and pharmacogenetic
screening is warranted for better management of imatinib therapy. The present
study was framed to explore the influence of common drug transporter gene
polymorphisms of ABCB1, ABCG2, OCT1 and trough level concentration on commonly
occurring adverse events in CML patients treated with imatinib mesylate. METHODS:
A total number of 111 patients in chronic phase (Philadelphia chromosome +ve)
were included in the study. The plasma drug concentration of imatinib was
estimated using LC-MS/MS method. RESULTS: The mean +/- SD trough level
concentration of imatinib mesylate was found to be 1430.7 +/- 438.7 ng/ml. The
trough level concentration at steady state (Cmin.ss) was significantly higher in
patients with grade 2-4 thrombocytopenia compared with patients without the
adverse event (P value 0.033). CONCLUSION: The drug level of imatinib in plasma
correlates with the severity of thrombocytopenia, which adds to the utility of
TDM in the management of CML patients.
PMID- 26546462
TI - NR6A1 couples with cAMP response element binding protein and regulates vascular
smooth muscle cell migration.
AB - Vascular smooth muscle cell (VSMC) migration is implicated in atherosclerosis and
restenosis. Nuclear receptor subfamily 6, group A, member 1 (NR6A1) is involved
in regulating embryonic stem cell differentiation, reproduction, neuronal
differentiation. Functional cooperation between cAMP response element modulator
tau (CREMtau) and NR6A1 can direct gene expression in cells. cAMP response
element binding protein (CREB) plays a key role in VSMC migration. In this study,
we sought to determine whether CREB involved in NR6A1-modulated VSMC migration.
VSMCs treated with platelet-derived growth factor-BB (PDGF-BB) displayed reduced
mRNA and protein levels of NR6A1. Adenovirus-mediated expression of NR6A1 (Ad
NR6A1) could inhibit PDGF-BB- and serum-induced VSMC migration. The mRNA and
protein expressions of secreted phosphoprotein 1 (SPP1) were down-regulated by
NR6A1 overexpression. SPP1 promoter reporter activity was repressed by NR6A1.
NR6A1 was found to physically couple with nuclear actin and the large subunit of
RNA polymerase II. Furthermore, we showed that CREB interacted with NR6A1 in
VSMCs. NR6A1 overexpression repressed cAMP response element (CRE) activity. ChIP
assay revealed that NR6A1 bind to SPP1 promoter. Luciferase reporter assay showed
that NR6A1 regulated SPP1 promoter activity via a putative CRE site. Adenovirus
mediated local NR6A1 gene transfer attenuated stenosis after balloon-induced
arterial injury in Sprague-Dawley rats. Taken together, this study provided
experimental evidence that NR6A1 modulated SPP1 expression via its binding with
CREB protein in VSMCs. We also revealed a NR6A1-CREB-SPP1 axis that serves as a
regulatory mechanism for atherosclerosis and restenosis.
PMID- 26546463
TI - Ubiquitin conjugating enzyme E2-N and sequestosome-1 (p62) are components of the
ubiquitination process mediated by the malin-laforin E3-ubiquitin ligase complex.
AB - Lafora disease (LD, OMIM254780, ORPHA501) is a rare neurodegenerative form of
epilepsy related to mutations in two proteins: laforin, a dual specificity
phosphatase, and malin, an E3-ubiquitin ligase. Both proteins form a functional
complex, where laforin recruits specific substrates to be ubiquitinated by malin.
However, little is known about the mechanism driving malin-laforin mediated
ubiquitination of its substrates. In this work we present evidence indicating
that the malin-laforin complex interacts physically and functionally with the
ubiquitin conjugating enzyme E2-N (UBE2N). This binding determines the topology
of the chains that the complex is able to promote in the corresponding substrates
(mainly K63-linked polyubiquitin chains). In addition, we demonstrate that the
malin-laforin complex interacts with the selective autophagy adaptor sequestosome
1 (p62). Binding of p62 to the malin-laforin complex allows its recognition by
LC3, a component of the autophagosomal membrane. In addition, p62 enhances the
ubiquitinating activity of the malin-laforin E3-ubiquitin ligase complex. These
data enrich our knowledge on the mechanism of action of the malin-laforin complex
as an E3-ubiquitin ligase and reinforces the role of this complex in targeting
substrates toward the autophagy pathway.
PMID- 26546464
TI - Co-delivery of chemotherapeutics and proteins for synergistic therapy.
AB - Combination therapy with chemotherapeutics and protein therapeutics, typically
cytokines and antibodies, has been a type of crucial approaches for synergistic
cancer treatment. However, conventional approaches by simultaneous administration
of free chemotherapeutic drugs and proteins lead to limitations for further
optimizing the synergistic effects, due to the distinct in vivo pharmacokinetics
and distribution of small drugs and proteins, insufficient tumor selectivity and
tumor accumulation, unpredictable drug/protein ratios at tumor sites, short half
lives, and serious systemic adverse effects. Consequently, to obtain optimal
synergistic anti-tumor efficacy, considerable efforts have been devoted to
develop the co-delivery systems for co-incorporating chemotherapeutics and
proteins into a single carrier system and subsequently releasing the dual or
multiple payloads at desired target sites in a more controllable manner. The co
delivery systems result in markedly enhanced blood stability and in vivo half
lives of the small drugs and proteins, elevated tumor accumulation, as well as
the capability of delivering the multiple agents to the same target sites with
rational drug/protein ratios, which may facilitate maximizing the synergistic
effects and therefore lead to optimal antitumor efficacy. This review emphasizes
the recent advances in the co-delivery systems for chemotherapeutics and
proteins, typically cytokines and antibodies, for systemic or localized
synergistic cancer treatment. Moreover, the proposed mechanisms responsible for
the synergy of chemotherapeutic drugs and proteins are discussed.
PMID- 26546465
TI - "Combo" nanomedicine: Co-delivery of multi-modal therapeutics for efficient,
targeted, and safe cancer therapy.
AB - The dynamic and versatile nature of diseases such as cancer has been a pivotal
challenge for developing efficient and safe therapies. Cancer treatments using a
single therapeutic agent often result in limited clinical outcomes due to tumor
heterogeneity and drug resistance. Combination therapies using multiple
therapeutic modalities can synergistically elevate anti-cancer activity while
lowering doses of each agent, hence, reducing side effects. Co-administration of
multiple therapeutic agents requires a delivery platform that can normalize
pharmacokinetics and pharmacodynamics of the agents, prolong circulation,
selectively accumulate, specifically bind to the target, and enable controlled
release in target site. Nanomaterials, such as polymeric nanoparticles, gold
nanoparticles/cages/shells, and carbon nanomaterials, have the desired
properties, and they can mediate therapeutic effects different from those
generated by small molecule drugs (e.g., gene therapy, photothermal therapy,
photodynamic therapy, and radiotherapy). This review aims to provide an overview
of developing multi-modal therapies using nanomaterials ("combo" nanomedicine)
along with the rationale, up-to-date progress, further considerations, and the
crucial roles of interdisciplinary approaches.
PMID- 26546466
TI - Combining antigen and immunomodulators: Emerging trends in antigen-specific
immunotherapy for autoimmunity.
AB - A majority of current therapies for autoimmune diseases are general
immunosuppressants, which can compromise patient response to opportunistic
infection and lead to adverse events. Using antigen-specific immunotherapy (ASIT)
to selectively disarm autoimmune diseases, without suppressing the global immune
response, would be a transformative therapy for patients. ASIT has been used
historically in allergy hyposensitization therapy to induce tolerance to an
allergen. Similar strategies to induce immune tolerance toward autoantigens
responsible for autoimmune disease have been attempted but have yielded limited
clinical success. Recent studies of ASIT for autoimmunity have explored
combination therapy, combining the disease-causing autoantigen with an
immunomodulatory compound. ASIT combination therapy may direct the immune
response in an antigen-specific manner, potentially reversing the root cause of
autoimmunity while limiting side effects. This review analyzes recent advances in
ASIT applied to autoimmune diseases, emphasizing current combination therapies
and future strategies.
PMID- 26546467
TI - Clinical characteristics of epilepsy of unknown origin in the Rottweiler breed.
AB - BACKGROUND: Epilepsy is one of the most common neurological conditions in dogs.
Despite that epilepsy appears to be common in the Rottweiler breed, published
literature about the phenotype of epilepsy in this breed is lacking. The aim of
this questionnaire-based study was to describe the clinical characteristics of
epilepsy in the Rottweiler breed including; signalment, pedigree, housing
conditions and information about the seizures such as age at onset, seizure type,
duration, and progression, as well as number of seizure days (24 h), effect and
side effects of anti-epileptic drugs, and potential comorbidities. The diagnosis
for epilepsy of unknown origin was based on the following inclusion criteria: >=2
seizure days, starting between 6 months and 7 years of age, no known history of
poisoning or serious head trauma, and (when available), pre-study routine serum
biochemical parameters were within the reference intervals. RESULTS: A total of
37 cases (23 females and 14 males) were included in the study. The median age at
onset of seizures was 36 months (range 8-84 months). The dogs suffered from
generalized tonic-clonic seizures, and more than 50 % of the dogs had experienced
cluster seizures (>1 seizure in 24 h). The dogs commonly started to seizure while
resting (23/36) and/or sleeping (20/36). Only 3 of the 36 dogs experienced
seizures during activities such as walking or training. All of the 24/37 (64.9 %)
dogs on antiepileptic drugs received phenobarbital. Five dogs needed add-on
treatment (n = 5), and of these: one dog was on 3 drugs (phenobarbital, potassium
bromid and levetiracetam) (n = 1), three dogs were on phenobarbital and potassium
bromide (n = 3), and one dog received phenobarbital and imepitoin (n = 1).
Seizure frequency did not necessarily improve following antiepileptic treatment,
and for six of 21 (28.6 %) of the dogs, seizure frequency increased. All of the
Rottweilers in this study had relatives with epilepsy reported. CONCLUSIONS: The
Rottweilers suffering from epilepsy in this study presented with generalized
tonic-clonic seizures, and their response to antiepileptic treatment was
variable. More than 50 % of the dogs had experienced cluster seizures (>1 seizure
in 24 h).
PMID- 26546468
TI - The influence of short-interval intracortical facilitation when assessing
developmental changes in short-interval intracortical inhibition.
AB - OBJECTIVE: Measures of short-interval intracortical inhibition (SICI) can be
contaminated by excitatory influences of short-interval intracortical
facilitation (SICF), unless examined at individually-optimized interstimulus
intervals (ISIs). We hypothesized that age-related differences in SICF would
explain previously reported reduced SICI in children and adolescents compared
with adults. METHODS: Fifty-one participants, aged 8-29years, underwent
transcranial magnetic stimulation. SICF curves were constructed to determine the
ISI at which SICF was minimal (i.e. the first trough). SICI curves were
constructed at this individually-determined ISI with conditioning stimulus (S1)
intensities of 60-110% of active motor threshold. RESULTS: There was no effect of
age on the ISI corresponding with the SICF trough. However, there was a main
effect of age on the amplitude of the conditioned motor-evoked potential at the
different ISIs, such that children aged 8-12years demonstrated greater SICF than
those aged 16-18 and 19-21years. There was no effect of age on SICI, and no
interaction between age group and S1 intensity. CONCLUSIONS: Compared with that
in older adolescents and young adults, SICF is enhanced in children aged 8
12years. Surprisingly, this enhanced SICF does not appear to reduce the degree of
SICI that can be evoked at the first trough in this age group. SIGNIFICANCE: This
is the first report of enhanced SICF in young children. It remains possible that
enhanced SICF may have confounded earlier reports of reduced SICI in children
less than 8years.
PMID- 26546470
TI - Regulation of electrical activity and neuronal excitability in Helisoma trivolvis
by carbon monoxide.
AB - Carbon monoxide (CO), like other gaseous neuromodulators, has a dual nature as
both a toxic gas and a physiologically relevant signaling molecule. In the
nervous system, high concentrations of CO can lead to neuronal injury while lower
concentrations are found to be neuroprotective. The number of cellular targets
affected by physiological concentrations of CO is rapidly growing and includes
ion channels in various cell types. The modulation of ion channels by CO in
neurons, however, and the effect it has on neural activity are incompletely
understood. Here, the well-characterized buccal neurons, B5 and B19, of the
freshwater snail, Helisoma trivolvis, were used to investigate the role that CO
plays in regulating spontaneous firing activity and neuronal excitability.
Neurons were studied in single-cell culture, thereby removing other signals
normally present in the intact nervous system and allowing for the optimal
characterization of physiological effects of CO. We found that the CO donor
molecule, carbon monoxide releasing molecule-2 (CORM-2), hyperpolarized the
resting membrane potential of B5 neurons and silenced their spontaneous firing
activity. These effects were mediated through the inhibition of a persistent
sodium current. CORM-2 also inhibited neuronal excitability. This effect was
mediated by the inhibition of voltage-gated calcium channels by CO. The general
findings of CO acting as a hyperpolarizing signal and an inhibitor of neuronal
excitability extended to B19 neurons. Taken together, these findings suggest that
CO is a potent modulator of ion channels with broad implications for the
modulation of neural activity in a wide range of neuron-types.
PMID- 26546469
TI - The multifaceted subventricular zone astrocyte: From a metabolic and pro
neurogenic role to acting as a neural stem cell.
AB - A few decades ago it was discovered that two regions of the adult brain retain
the ability to generate new neurons. These regions include the subgranular zone
of the hippocampal dentate gyrus and the ventricular-subventricular zone (V-SVZ)
located at the border of the lateral ventricle. In the V-SVZ, it was discovered
that neural progenitor cells (NPCs) share many features of mature astrocytes and
are often referred as V-SVZ astrocytes. We will first describe the markers, the
morphology, and the neurophysiological characteristics of the mouse V-SVZ
astrocytes. We will then discuss the fact that V-SVZ astrocytes constitute a
mixed population with respect to their neurogenic properties, e.g., quiescent
versus activated state, neurogenic fate, and transcription factors expression.
Finally, we will describe two functions of V-SVZ astrocytes, their metabolic
coupling to blood vessels and their neurogenic-supportive role consisting of
providing guidance and survival cues to migrating newborn neurons.
PMID- 26546471
TI - Changes in the expression of neurotransmitter receptors in Parkin and DJ-1
knockout mice--A quantitative multireceptor study.
AB - Parkinson's disease (PD) is a well-characterized neurological disorder with
regard to its neuropathological and symptomatic appearance. At the genetic level,
mutations of particular genes, e.g. Parkin and DJ-1, were found in human
hereditary PD with early onset. Neurotransmitter receptors constitute decisive
elements in neural signal transduction. Furthermore, since they are often altered
in neurological and psychiatric diseases, receptors have been successful targets
for pharmacological agents. However, the consequences of PD-associated gene
mutations on the expression of transmitter receptors are largely unknown.
Therefore, we studied the expression of 16 different receptor binding sites of
the neurotransmitters glutamate, GABA, acetylcholine, adrenaline, serotonin,
dopamine and adenosine by means of quantitative receptor autoradiography in
Parkin and DJ-1 knockout mice. These knockout mice exhibit electrophysiological
and behavioral deficits, but do not show the typical dopaminergic cell loss. We
demonstrated differential changes of binding site densities in eleven brain
regions. Most prominently, we found an up-regulation of GABA(B) and kainate
receptor densities in numerous cortical areas of Parkin and DJ-1 knockout mice,
as well as increased NMDA but decreased AMPA receptor densities in different
brain regions of the Parkin knockout mice. The alterations of three different
glutamate receptor types may indicate the potential relevance of the
glutamatergic system in the pathogenesis of PD. Furthermore, the cholinergic M1,
M2 and nicotinic receptors as well as the adrenergic alpha2 and the adenosine
A(2A) receptors showed differentially increased densities in Parkin and DJ-1
knockout mice. Taken together, knockout of the PD-associated genes Parkin or DJ-1
results in differential changes of neurotransmitter receptor densities,
highlighting a possible role of altered non-dopaminergic, and in particular of
glutamatergic neurotransmission in PD pathogenesis.
PMID- 26546472
TI - Rapid lung MRI in children with pulmonary infections: Time to change our
diagnostic algorithms.
AB - BACKGROUND: To determine the diagnostic utility of a new rapid MRI protocol, as
compared with computed tomography (CT) for the detection of various pulmonary and
mediastinal abnormalities in children with suspected pulmonary infections.
METHODS: Seventy-five children (age range of 5 to 15 years) with clinically
suspected pulmonary infections were enrolled in this prospective study, which was
approved by the institutional ethics committee. All patients underwent thoracic
MRI (1.5T) and CT (64 detector) scan within 48 h of each other. The sensitivity,
specificity, positive predictive value (PPV), and negative predictive value (NPV)
of MRI were evaluated with CT as a standard of reference. Inter-observer
agreement was measured with the kappa coefficient. RESULTS: MRI with a new rapid
MRI protocol demonstrated sensitivity, specificity, PPV, and NPV of 100% for
detecting pulmonary consolidation, nodules (>3 mm), cyst/cavity, hyperinflation,
pleural effusion, and lymph nodes. The kappa-test showed almost perfect agreement
between MRI and multidetector CT (MDCT) in detecting thoracic abnormalities (k =
0.9). No statistically significant difference was observed between MRI and MDCT
for detecting thoracic abnormalities by the McNemar test (P = 0.125). CONCLUSION:
Rapid lung MRI was found to be comparable to MDCT for detecting thoracic
abnormalities in pediatric patients with clinically suspected pulmonary
infections. It has a great potential as the first line cross-sectional imaging
modality of choice in this patient population. However, further studies will be
helpful for confirmation of our findings.
PMID- 26546473
TI - Smoking habits and clinical patterns can alter the inflammatory infiltrate in
oral lichenoid lesions.
AB - OBJECTIVE: The present immunohistochemical study aimed to investigate the
possible correlation between demographic variables and clinical presentation of
oral lichenoid lesions (OLL), in addition to the potential effects of these
variables and smoking status on OLL inflammatory infiltrate. STUDY DESIGN: A
total of 53 patients with OLL were assigned, according to their smoking status at
the time of diagnosis, to either a smokers group (n = 27) or a nonsmokers group
(n = 26). Demographic and clinical data, including the site and pattern of the
OLL, symptoms, and medical history, were analyzed. Immunohistochemical expression
of clusters of differentiation, including CD3, CD4, CD8, CD68, and CD1a, was
compared between the two groups. RESULTS: Gingival involvement in OLL was found
to be significantly associated with older age. Buccal mucosa as the sole OLL site
showed a significantly higher expression of CD3+ cells compared with other sites
(P < .05). OLL presenting as a reticular type alone was significantly associated
with less CD3+ expression (P < .05), whereas a significantly higher CD1a+
expression was seen with plaque-like type OLL (P < .05). Smoking was
significantly associated with less expression of macrophages (CD68+ cells) and
less clinical symptoms (P < .05 and P < .01, respectively). CONCLUSION: The
inflammatory infiltrate in OLL can be affected by their clinical distribution and
presentation. Smoking reduces the expression of macrophages in OLL, and this may
alter the immune surveillance and the mechanisms of malignant transformation.
PMID- 26546474
TI - Emission Timetable and Quantitative Patterns of Wound-Induced Volatiles Across
Different Leaf Damage Treatments in Aspen (Populus Tremula).
AB - Plant-feeding herbivores can generate complex patterns of foliar wounding, but it
is unclear how wounding-elicited volatile emissions scale with the severity of
different wounding types, and there is no common protocol for wounding
experiments. We investigated the rapid initial response to wounding damage
generated by different numbers of straight cuts and punctures through leaf lamina
as well as varying area of lamina squeezing in the temperate deciduous tree
Populus tremula. Wounding-induced volatile emission time-courses were
continuously recorded by a proton-transfer-reaction time-of-flight mass
spectrometer. After the mechanical wounding, an emission cascade was rapidly
elicited resulting in sequential emissions of key stress volatiles methanol,
acetaldehyde, and volatiles of the lipoxygenase pathway, collectively
constituting more than 97% of the total emission. The maximum emission rates,
reached after one to three minutes after wounding, and integrated emissions
during the burst were strongly correlated with the severity in all damage
treatments. For straight cuts and punch hole treatments, the emissions per cut
edge length were constant, indicating a direct proportionality. Our results are
useful for screening wounding-dependent emission capacities.
PMID- 26546475
TI - Environmental impact and risk assessments and key factors contributing to the
overall uncertainties.
AB - There is a significant number of nuclear and radiological sources that have
contributed, are still contributing, or have the potential to contribute to
radioactive contamination of the environment in the future. To protect the
environment from radioactive contamination, impact and risk assessments are
performed prior to or during a release event, short or long term after deposition
or prior and after implementation of countermeasures. When environmental impact
and risks are assessed, however, a series of factors will contribute to the
overall uncertainties. To provide environmental impact and risk assessments,
information on processes, kinetics and a series of input variables is needed.
Adding problems such as variability, questionable assumptions, gaps in knowledge,
extrapolations and poor conceptual model structures, a series of factors are
contributing to large and often unacceptable uncertainties in impact and risk
assessments. Information on the source term and the release scenario is an
essential starting point in impact and risk models; the source determines
activity concentrations and atom ratios of radionuclides released, while the
release scenario determine the physico-chemical forms of released radionuclides
such as particle size distribution, structure and density. Releases will most
often contain other contaminants such as metals, and due to interactions,
contaminated sites should be assessed as a multiple stressor scenario. Following
deposition, a series of stressors, interactions and processes will influence the
ecosystem transfer of radionuclide species and thereby influence biological
uptake (toxicokinetics) and responses (toxicodynamics) in exposed organisms. Due
to the variety of biological species, extrapolation is frequently needed to fill
gaps in knowledge e.g., from effects to no effects, from effects in one organism
to others, from one stressor to mixtures. Most toxtests are, however, performed
as short term exposure of adult organisms, ignoring sensitive history life stages
of organisms and transgenerational effects. To link sources, ecosystem transfer
and biological effects to future impact and risks, a series of models are usually
interfaced, while uncertainty estimates are seldom given. The model predictions
are, however, only valid within the boundaries of the overall uncertainties.
Furthermore, the model predictions are only useful and relevant when
uncertainties are estimated, communicated and understood. Among key factors
contributing most to uncertainties, the present paper focuses especially on
structure uncertainties (model bias or discrepancies) as aspects such as particle
releases, ecosystem dynamics, mixed exposure, sensitive life history stages and
transgenerational effects, are usually ignored in assessment models. Research
focus on these aspects should significantly reduce the overall uncertainties in
the impact and risk assessment of radioactive contaminated ecosystems.
PMID- 26546476
TI - Evaluation of a Drowning Prevention Program Based on Testimonial Videos: A
Randomized Controlled Trial.
AB - OBJECTIVE: Unintentional drowning is the most common cause of childhood death in
rural China. Global intervention efforts offer mixed results regarding the
efficacy of educational programs. METHODS: Using a randomized controlled design,
we evaluated a testimonial-based intervention to reduce drowning risk among 280
3rd- and 4th-grade rural Chinese children. Children were randomly assigned to
view either testimonials on drowning risk (intervention) or dog-bite risk
(control). Safety knowledge and perceived vulnerability were measured by self
report questionnaires, and simulated behaviors in and near water were assessed
with a culturally appropriate dollhouse task. RESULTS: Children in the
intervention group had improved children's safety knowledge and simulated
behaviors but not perceived vulnerability compared with controls. CONCLUSIONS:
The testimonial-based intervention's efficacy appears promising, as it improved
safety knowledge and simulated risk behaviors with water among rural Chinese
children.
PMID- 26546477
TI - Edoxaban: A Review in Deep Vein Thrombosis and Pulmonary Embolism.
AB - Edoxaban (Lixiana, Savaysa) is an oral, direct factor Xa inhibitor which has
recently been approved for use in the treatment of deep vein thrombosis (DVT) and
pulmonary embolism (PE) [collectively, venous thromboembolism (VTE)] and for the
prevention of recurrent VTE. This article reviews the pharmacological properties
of edoxaban as well as its tolerability and therapeutic efficacy in the treatment
and prevention of recurrent VTE events. As demonstrated in the pivotal Hokusai
VTE phase III trial, once-daily edoxaban after initial treatment with heparin was
non-inferior to standard therapy with heparin/warfarin in preventing recurrent
VTE events and was associated with a significantly lower risk of clinically
relevant bleeding than the traditional therapy. Edoxaban shares the advantages of
other direct oral anticoagulants (DOACs) over traditional therapies, including
the lack of requirement for routine coagulation monitoring, a rapid onset and
offset of action, and few drug-drug interactions. It offers the convenience of
once-daily dosing, can be taken without regard to food and allows for a dose
reduction in patients with certain clinical features, such as moderate renal
impairment or low body weight. In conclusion, edoxaban represents an effective
and potentially safer alternative to traditional vitamin K antagonist therapy for
the treatment and prevention of recurrent VTE. Its recent approval expands the
range of DOAC agents for recurrent VTE, further facilitating treatment
individualization.
PMID- 26546478
TI - Value of preoperative 6-minute walk test for predicting postoperative pulmonary
complications.
AB - OBJECTIVES: The objective of this study was to determine the performance of
preoperative 6-minute walk test (6MWT) for predicting postoperative pulmonary
complications (PPC) in high risk patients undergoing elective surgery under
general anesthesia. METHODS: A prospective cohort study was conducted in patients
scheduled to undergo elective thoracic or nonthoracic surgery and indicated for
preoperative pulmonary evaluation in Songklanagarind Hospital, Songkhla,
Thailand. Preoperative spirometry, 6MWT were done and 30-day PPC were recorded.
The multiple regression analysis and receiver operating characteristic (ROC)
curves were used to analyze the variables and to compare the performance of 6MWT
and spirometry tests. RESULTS: A total of 78 participants were recruited into the
study. 6MWT was done completely in all cases without any complications. Among
these tests, 14 cases (17.9%) had contraindications to spirometry and two cases
were unable to achieve the criteria for acceptable efforts. PPC developed in 17
cases (21%) with a high mortality (17.7%). A multiple regression analysis showed
elderly, poor general health status, smoking history, low level of the mean value
of forced expiratory volume in 1 second (FEV1) % predicted (by spirometry) and
shorter 6-minute walk distance (6MWD) were the independent factors associated
with PPC. Patients developing PPC had a significantly lower preoperative 6MWD
compared with patients without PPC (256.0 +/- 48.0 versus 440.0 +/- 117.1 meters,
p < 0.001). 6MWD of ?325 meters was a threshold for predicting PPC with 77%
sensitivity and 100% specificity, and had a good predictive value for PPC similar
to that for the FEV1 %. CONCLUSIONS: Preoperative 6MWT is a very useful
alternative test for predicting PPC in high risk patients scheduled to undergo
surgery under GA.
PMID- 26546481
TI - Surgical considerations for patients with metastatic renal cell carcinoma.
AB - Among patients with renal cell carcinoma (RCC), 25-30% present with metastatic
disease at the time of initial diagnosis. Despite the ever-increasing array of
treatment options available for these patients, surgery remains one of the
cornerstones of therapy. Proper patient selection for cytoreductive surgery is
paramount to its effective use in the management of patients with metastatic RCC
despite the decrease in reported morbidity rates. We explore the evolving role
cytoreductive surgery in metastatic RCC spanning the immunotherapy era to the
targeted therapy era. Despite significant advances in the management of patients
with metastatic RCC, further evidence on the definitive role of cytoreductive
surgery in the targeted therapy era is awaited through large randomized trials.
PMID- 26546479
TI - Adipocyte-derived factors in age-related dementia and their contribution to
vascular and Alzheimer pathology.
AB - Age-related dementia is increasingly recognized as having a mixed pathology, with
contributions from both cerebrovascular factors and pathogenic factors associated
with Alzheimer's disease (AD). Furthermore, there is accumulating evidence that
vascular risk factors in midlife, e.g., obesity, diabetes, and hypertension,
increase the risk of developing late-life dementia. Since obesity and changes in
body weight/adiposity often drive diabetes and hypertension, understanding the
relationship between adiposity and age-related dementia may reveal common
underlying mechanisms. Here we offer a brief appraisal of how changes in body
weight and adiposity are related to both AD and dementia on vascular basis, and
examine the involvement of two key adipocyte-derived hormones: leptin and
adiponectin. The evidence suggests that in midlife increased body
weight/adiposity and subsequent changes in adipocyte-derived hormones may
increase the long-term susceptibility to dementia. On the other hand, later in
life, decreases in body weight/adiposity and related hormonal changes are early
manifestations of disease that precede the onset of dementia and may promote AD
and vascular pathology. Understanding the contribution of adiposity to age
related dementia may help identify the underlying pathological mechanisms common
to both vascular dementia and AD, and provide new putative targets for early
diagnosis and therapy. This article is part of a Special Issue entitled: Vascular
Contributions to Cognitive Impairment and Dementia, edited by M. Paul Murphy,
Roderick A. Corriveau and Donna M. Wilcock.
PMID- 26546480
TI - Rapid immunocytochemistry based on alternating current electric field using
squash smear preparation of central nervous system tumors.
AB - The role of intraoperative pathological diagnosis for central nervous system
(CNS) tumors is crucial for neurosurgery when determining the surgical procedure.
Especially, treatment of carmustine (BCNU) wafers requires a conclusive diagnosis
of high-grade glioma proven by intraoperative diagnosis. Recently, we
demonstrated the usefulness of rapid immunohistochemistry (R-IHC) that
facilitates antigen-antibody reaction under alternative current (AC) electric
field in the intraoperative diagnosis of CNS tumors; however, a higher proportion
of water and lipid in the brain parenchyma sometimes leads to freezing artifacts,
resulting in poor quality of frozen sections. On the other hand, squash smear
preparation of CNS tumors for cytology does not affect the frozen artifacts, and
the importance of smear preparation is now being re-recognized as being better
than that of the tissue sections. In this study, we established the rapid
immunocytochemistry (R-ICC) protocol for squash smears of CNS tumors using AC
electric field that takes only 22 min, and demonstrated its usefulness for semi
quantitative Ki-67/MIB-1 labeling index and CD 20 by R-ICC for intraoperative
diagnosis. R-ICC by AC electric field may become a substantial tool for
compensating R-IHC and will be applied for broad antibodies in the future.
PMID- 26546482
TI - Patients with ClearCode34-identified molecular subtypes of clear cell renal cell
carcinoma represent unique populations with distinct comorbidities.
AB - PURPOSE: The 34-gene classifier, ClearCode34, identifies prognostically distinct
molecular subtypes of clear cell renal cell carcinoma (ccRCC) termed clear cell A
(ccA) and clear cell B (ccB). The primary objective of this study was to describe
clinical characteristics and comorbidities of relevance in patients stratified by
ClearCode34. PATIENTS AND METHODS: In this retrospective analysis, 282 patients
from Moffitt Cancer Center with ccRCC with gene expression analyses of the
primary tumor were identified and ClearCode34 was applied to identify tumors as
ccA or ccB. The medical record and institutional databases were queried to define
patient characteristics, comorbidities, and outcomes. RESULTS: We validated in
this external cohort the superior overall survival, cancer-specific survival, and
recurrence-free survival of ccA patients relative to ccB patients (P<0.001).
Addressing other clinical characteristics, the ccA patients were more likely to
be obese (48% vs. 34%, P = 0.021) and diabetic (26% vs. 13%, P = 0.035). The ccA
patients also trended toward having been more frequent users of angiotensin
system inhibitors (71% vs. 52%, P = 0.055). In multivariate analyses, ccB status
is independently associated with inferior cancer-specific survival (hazard ratio
= 3.26, 95% confidence interval: 1.84-5.79) and overall survival (hazard ratio =
2.50, 95% confidence interval: 1.53-4.08). CONCLUSIONS: ClearCode34, after
considering distinct patterns of comorbidities in each molecular subtype, remains
a strong prognostic tool in patients with ccRCC. Obesity and diabetes mellitus
emerged as factors that may influence ccRCC phenotypes and further studies
investigating the effect of these metabolic conditions functionally onto tumor
biology are warranted. Additionally, use of angiotensin system inhibitors could
be studied in the context of ccRCC molecular classification in future studies to
better understand its effect on ccRCC outcomes.
PMID- 26546483
TI - Left Ventricular Systolic Longitudinal Function as Predictor of Outcome in
Patients With Sepsis.
AB - BACKGROUND: In sepsis, whether the assessment of left ventricular global
longitudinal systolic strain (GLS) is feasible and prognostically relevant
remains controversial. METHODS AND RESULTS: Consecutive patients admitted to a
high-dependency observational unit with sepsis or septic shock were evaluated.
Left ventricular ejection fraction (EF) by planimetry and peak GLS by 2D speckle
tracking were available at admission in 115 of 149 (77%) patients. Compared with
patients included in the study, those excluded (n=34, 23%) showed higher
proportion of chronic obstructive pulmonary disease (P<0.01), but with comparable
clinical characteristics and mortality rates. GLS showed lowest variability for
low EF and highest for higher EF. By day-28 follow-up, all-cause mortality was
30% (n=34 and n=19 within 7 days from hospitalization). GLS and EF were both more
abnormal in deceased than in those alive by day-28 follow-up (both P<0.05,
findings consistent using day-7 follow-up data). GLS showed a borderline
relationship with mortality by day-28 follow-up (hazard ratio 1.16/%, P=0.05),
whereas EF did not (hazard ratio 0.99/%, P=0.63) accounting for age; the lack of
association of all-cause mortality with EF was consistent at day-7 follow-up
(hazard ratio 0.94/%, P=0.9), whereas more abnormal GLS correlated significantly
with higher mortality rate (hazard ratio 1.30/%, P=0.03) independent to age.
CONCLUSIONS: In patients with sepsis assisted in a high-dependency observational
unit, feasibility of assessments of left ventricular EF and GLS within 24 h from
the hospitalization was acceptable and EF showed no prognostic relevance, whereas
GLS showed a correlation with mortality rate potentially relevant in shorter more
than in longer follow-ups.
PMID- 26546484
TI - Assessing Contractile Function When Ejection Fraction Is Normal: A Case for
Strain Imaging.
PMID- 26546485
TI - Immune regulatory and neuroprotective properties of preimplantation factor: From
newborn to adult.
AB - Embryonic-maternal interaction from the earliest stages of gestation has a key,
sustained role in neurologic development, persisting into adulthood. Early
adverse events may be detrimental in adulthood. Protective factors present during
gestation could significantly impact post-natal therapy. The role of
PreImplantation Factor (PIF) within this context is herein examined. Secreted by
viable early embryos, PIF establishes effective embryonic-maternal communication
and exerts essential trophic and protective roles by reducing oxidative stress
and protein misfolding and by blunting the nocive let-7 microRNA related pathway.
PIF's effects on systemic immunity lead to comprehensive immune modulation, not
immune suppression. We examine PIF's role in protecting embryos from adverse
maternal environment, which can lead to neurological disorders that may only
manifest post-nataly: Synthetic PIF successfully translates endogenous PIF
features in both pregnant and non-pregnant clinically relevant models.
Specifically PIF has neuroprotective effects in neonatal prematurity. In adult
relapsing-remitting neuroinflammation, PIF reverses advanced paralysis while
promoting neurogenesis. PIF reversed Mycobacterium smegmatis induced brain
infection. In graft-vs.-host disease, PIF reduced skin ulceration, liver
inflammation and colon ulceration while maintaining beneficial anti-cancer, graft
vs.-leukemia effect. Clinical-grade PIF has high-safety profile even at
supraphysiological doses. The FDA awarded Fast-Track designation, and university
sponsored clinical trials for autoimmune disorder are ongoing. Altogether, PIF
properties point to its determining regulatory role in immunity, inflammation and
transplant acceptance. Specific plans for using PIF for the treatment of complex
neurological disorders (ie. traumatic brain injury, progressive paralysis),
including neuroprotection from newborn to adult, are presented.
PMID- 26546486
TI - Comparative Effectiveness of Insecticides for Use Against the House Fly (Diptera:
Muscidae): Determination of Resistance Levels on a Malaysian Poultry Farm.
AB - In this study, the toxicology of two commercial larvicides--cyromazine (Neporex
50SP) and ChCy (combination of chlorpyrifos and cypermethrin, Naga 505)--and five
commercial adulticides--thiamethoxam (Agita 10WG), cyfluthrin (Responsar WP),
lambda-cyhalothrin (Icon 2.8EC), fipronil (Regent 50SC), and imidacloprid
(Toxilat 10WP)--was examined against the WHO/VCRU (World Health Organization/
Vector Control Research Unit) susceptible strain and the AYTW (Ayer Tawar) field
strain of house fly, Musca domestica L. These pesticides were administered
topically, in the diet, or as a dry residue treatment on plywood. Probit analysis
using at least five concentrations and the concentration that was lethal to 50%
(LC(50)) of the organisms was applied to compare the toxicology and resistance
levels of the AYTW population to different insecticides. In the larvicide
laboratory study, ChCy was more effective than cyromazine, with a significantly
lower LC(50) value when administered topically or in the diet, although the AYTW
population was susceptible to both larvicides with a resistance ratio (RR) <10.
For the adulticide laboratory study, cyfluthrin and fipronil exhibited the lowest
LC50 values of the adulticides, indicating that they are both effective at
controlling adult flies, although lambda-cyhalothrin showed moderate resistance
(RR = 11.60 by topical application; 12.41 by plywood treatment). Further
investigation of ChCy, cyromazine, cyfluthrin, and fipronil under field
conditions confirmed that ChCy and cyromazine strikingly reduced larval density,
and surprisingly, ChCy also exhibited adulticidal activity, which significantly
reduced adult fly numbers compared with the control group. Cyfluthrin and
fipronil were also confirmed to be effective, with a significant reduction in
adult fly numbers compared with the control group.
PMID- 26546487
TI - Individual and Joint Acute Toxicities of Selected Insecticides Against Bombyx
mori (Lepidoptera: Bombycidae).
AB - As widely used pesticides, organophosphate, pyrethroid, and neonicotinoid
insecticides have different modes of action. In the present study, we evaluated
individual and joint acute toxicities of two organophosphates, two pyrethroids,
and two neonicotinoids against the second-instar silkworm by feeding silkworm
with the insecticide-treated mulberry leaves. The 96-h lethal concentration 50
(LC(50)) values of chlorpyrifos, acephate, imidacloprid, thiamethoxam,
cypermethrin, and deltamethrin against silkworm were 3.45 (2.95-4.31), 44.45
(39.34-48.56), 1.27 (1.19-1.35), 2.38 (2.19-2.54), 0.36 (0.30-0.43), and 0.037
(0.033-0.041) mg/liter, respectively. Moreover, the 96-h LC(50) values of 50:50
binary mixtures of insecticides against silkworm ranged from 0.048 (0.043-0.054)
to 3.52 (2.09-4.51) mg/liter. In addition, the combination coefficient (Q) values
of all tested mixtures ranged from 0.36 to 3.37. According to the obtained Q
values, the binary mixture of deltamethrin-chlorpyrifos showed antagonistic
effects at 96-h interval, while the other binary mixtures had additive effects.
Taken together, our results provided valuable guidelines in assessing the
ecological risk of these insecticide mixtures against silkworm.
PMID- 26546488
TI - Resistance of Dusky Cotton Bug, Oxycarenus hyalinipennis Costa (Lygaidae:
Hemiptera), to Conventional and Novel Chemistry Insecticides.
AB - The dusky cotton bug, Oxycarenus hyalinipennis Costa (Lygaidae: Hemiptera), is
polyphagous in nature and has become one of the severe sucking pests of cotton in
Pakistan. O. hyalinipennis has the potential to develop resistance to a number of
insecticides, and as a result, O. hyalinipennis outbreaks occur. There is no
previous study from Pakistan regarding O. hyalinipennis resistance to
insecticides. Therefore, the aim of this study was to assess the resistance of
different field populations of O. hyalinipennis to conventional (bifenthrin,
deltamethrin, lambda-cyhalothrin, profenofos, triazophos) and novel chemistry
(emamectin benzoate, spinosad, chlorfenapyr, imidacloprid, and nitenpyram)
insecticides. Five populations of O. hyalinipennis, collected from Multan,
Khanewal, Muzaffargarh, Lodhran, and Bahawalpur, were tested for resistance to
selected insecticides by the leaf dip method. For three pyrethroids, the
resistance ratios were in the range of 14- to 30-fold for bifenthrin, 2.14- to
8.41-fold for deltamethrin, and 9.12- to 16-fold for lambda-cyhalothrin, compared
with the laboratory susceptible strain (Lab-PK). For two organophosphates, the
range of resistance ratios was 12- to 14-fold for profenofos and 9.04- to 15-fold
for triazophos. For five novel chemistry insecticides, the range of resistance
ratios was 4.68- to 9.83-fold for emamectin benzoate, 6.38- to 17-fold for
spinosad, 16- to 46-fold for chlorfenapyr, 11- to 22-fold for imidacloprid, and
1.32- to 11-fold for nitenpyram. Regular assessment of resistance to insecticides
and integrated management plans like judicious use of insecticides and rotation
of insecticides along with different modes of action are required to delay
resistance development in O. hyalinipennis.
PMID- 26546489
TI - Baseline Susceptibility of Lygus lineolaris (Hemiptera: Miridae) to Novaluron.
AB - Tarnished plant bug, Lygus lineolaris (Palisot de Beauvois), populations were
collected from field locations in the Mississippi River Delta of Arkansas,
Louisiana, and Mississippi. Third-instar F(1) nymphs from each field location, in
addition to a laboratory colony, were screened for susceptibility to novaluron.
Both a glass vial bioassay and a diet-incorporated bioassay used dose-response
regression lines to calculate LC(50) and LC(90) values for novaluron. Mean
LC(50s) for glass vial bioassays ranged from 44.70 +/- 3.58 to 66.54 +/- 4.19
MUg/vial, while mean LC(50s) for diet-incorporated bioassays ranged from 12.10 +/
0.77 to 17.63 +/- 2.42 MUg/200 ml of artificial diet. A comparison of LC(50)
values from the same field population screened using both bioassay methods failed
to show a relationship. LC(50) values from field locations were compared with a
historically susceptible population from Crossett, AR. Results indicated that
considerable variability in susceptibility to novaluron exists within field
populations of tarnished plant bugs across the Delta, including some locations
with lower LC(50) values than a historically susceptible population.
PMID- 26546490
TI - Sedative and echocardiographic effects of dexmedetomidine combined with
butorphanol in healthy dogs.
AB - OBJECTIVES: To evaluate the echocardiographic variables and sedation after two
dosages of dexmedetomidine combined with butorphanol in healthy dogs. ANIMALS:
Fourteen healthy dogs. METHODS: The dogs received dexmedetomidine 5 mcg/kg IM and
butorphanol 0.4 mg/kg (low dose (LD), n = 6) or dexmedetomidine 10 mcg/kg IM and
butorphanol 0.4 mg/kg (recommended dose (RD), n = 8). Sedation scoring,
noninvasive blood pressure measurement, and echocardiography were performed
before sedation at baseline, at 20 minutes (T20), and 60 minutes (T60) after drug
administration. RESULTS: The median sedation scores were increased at both T20
and T60 in the RD group, and at T60 in the LD group, compared with baseline (p <
0.0001, p = 0.012). At T60, the RD dogs were more sedated than the LD dogs (p =
0.0093). The median cardiac output (CO) decreased at both T20 (63%) and T60 (65%)
in the RD group and at T60 (42%) in the LD group, compared with baseline (p =
0.0011, p = 0.0055). The median heart rate (HR) was decreased at both T20 and T60
in the RD group and at T60 in the LD group, compared with baseline (p = 0.0009, p
= 0.0001). In both RD and LD dogs, valvular regurgitation developed and was
identified by color Doppler imaging. CONCLUSIONS: There were significant
hemodynamic changes, mainly related to HR and indices of systolic function,
following administration of dexmedetomidine in these healthy dogs. The changes
also included decreases in systolic function and CO, as well as appearance of
'new' valvular regurgitation. Caution should be used when considering
dexmedetomidine for sedation in dogs with, or being screened for, cardiovascular
disease.
PMID- 26546495
TI - Colloidal CsPbBr3 Perovskite Nanocrystals: Luminescence beyond Traditional
Quantum Dots.
AB - Traditional CdSe-based colloidal quantum dots (cQDs) have interesting
photoluminescence (PL) properties. Herein we highlight the advantages in both
ensemble and single-nanocrystal PL of colloidal CsPbBr3 nanocrystals (NCs) over
the traditional cQDs. An ensemble of colloidal CsPbBr3 NCs (11 nm) exhibits ca.
90 % PL quantum yield with narrow (FWHM=86 meV) spectral width. Interestingly,
the spectral width of a single-NC and an ensemble are almost identical, ruling
out the problem of size-distribution in PL broadening. Eliminating this problem
leads to a negligible influence of self-absorption and Forster resonance energy
transfer, along with batch-to-batch reproducibility of NCs exhibiting PL peaks
within +/-1 nm. Also, PL peak positions do not alter with measurement temperature
in the range of 25 to 100 degrees C. Importantly, CsPbBr3 NCs exhibit suppressed
PL blinking with ca. 90 % of the individual NCs remain mostly emissive (on-time
>85 %), without much influence of excitation power.
PMID- 26546496
TI - De-escalation of Infliximab Maintenance Therapy from 8- to 10-week Dosing
Interval Based on Faecal Calprotectin in Patients with Crohn's Disease.
PMID- 26546497
TI - Identification of a mutation causing hypertrophic cardiomyopathy using whole
exome sequencing: A proof-of-concept.
PMID- 26546498
TI - Prevalence and clinical characteristics of degenerative mitral stenosis.
AB - BACKGROUND: Degenerative mitral stenosis (DMS) is found in the elderly
population. However, there are a few reports regarding the prevalence rate of DMS
and, its clinical characteristics. The aim of this study was to determine the
relationship between age, gender, and the prevalence rate of DMS. METHODS:
Patients with DMS and rheumatic mitral stenosis (RMS) were searched
retrospectively in consecutive patients who underwent echocardiography from
January 2011 to December 2013 in a community hospital. DMS was defined as
presence of both turbulent antegrade flow with a mean transmitral pressure
gradient (PG) of >=2mmHg and mitral annular calcification without restriction of
leaflets tip motion. RESULTS: We identified 19 patients (17 female and 2 male)
with DMS (0.22%) and 19 patients with RMS in 8683 patients. The prevalence rate
of DMS significantly increased with aging, especially in patients >90 years old.
There was no significant difference in the prevalence rates of RMS among the age
groups. Patients with DMS were older (86+/-8 years vs. 73+/-10 years, p<0.01) and
had higher rates of hypertension and aortic stenosis, larger left ventricular
mass index, and mean PG of aortic valve, smaller aortic valve area, less degree
of left atrial dilatation, and lower rate of atrial fibrillation, compared with
those values in patients with RMS. CONCLUSIONS: DMS is rare (0.22%) and almost
exclusively found in females in routine echocardiography. The prevalence of DMS
increases with aging to 2.5% in patients >90 years of age, and DMS is often
associated with aortic valve stenosis.
PMID- 26546499
TI - Comparative efficacy and acceptability of combined antipsychotics and mood
stabilizers versus individual drug classes for acute mania: Network meta
analysis.
AB - OBJECTIVES: Recent network meta-analyses of drug treatments for acute mania have
only evaluated the efficacy and acceptability of individual drug treatments. The
relative efficacy and acceptability of combined drug treatment has not been
assessed. METHODS: Double-blind drug trials in acute mania were identified using
a systematic search strategy. We recorded numbers of patients enrolled, endpoints
for efficacy (changes in mania rating scales, numbers of responders) and
acceptability (numbers of dropouts) and treatment administered (categorized as
antipsychotic, mood stabilizer, combined antipsychotic/mood stabilizer or
placebo). Data were analyzed using a random effects frequentist network meta
analysis. RESULTS: All three drug categories were more effective than placebo.
Antipsychotics and combined antipsychotic/mood stabilizer were significantly more
effective than mood stabilizers for changes in mania rating scales. Combined
antipsychotic/mood stabilizer was significantly more effective than mood
stabilizers and antipsychotics for responder rate. Dropout rates were
significantly lower for antipsychotics compared with placebo and mood
stabilizers. Combined antipsychotic/mood stabilizer had the highest probability
of being the best treatment based on change in mania rating scales (96.1% for all
mania scales; 85.5% for Young Mania Rating Scale), and 99.3% for being the best
treatment for responders. Antipsychotics had 82.0% probability as the best
treatment to minimize dropouts. CONCLUSION: Combined antipsychotic/mood
stabilizer appears to have efficacy advantages over antipsychotic or mood
stabilizer monotherapy in acute mania, and should be considered as first line
therapy.
PMID- 26546500
TI - The prevalence and age of onset of psychiatric disorders in Australian men.
AB - OBJECTIVE: Given the burden of common psychiatric disorders and their consequent
service and planning requirements, it is important to have a thorough knowledge
of their distribution and characteristics in the population. Thus, we aimed to
report the prevalence and age of onset of mood, anxiety and substance-use
disorders in an age-stratified representative sample of Australian men. METHOD:
Psychiatric disorders (mood, anxiety and substance-use disorders) were diagnosed
utilising a structured clinical interview (Structured Clinical Interview for
Diagnostic and Statistical Manual of Mental Disorders-Fourth Edition, Non-Patient
Edition) for 961 men aged 24-98 years enrolled in the Geelong Osteoporosis Study.
The lifetime and current prevalence of these disorders was determined from the
study population and standardised to 2006 census data for Australia. RESULTS:
Approximately one in three men (28.8%, 95% confidence interval [CI] = [26.8%,
30.8%]) reported a lifetime history of any psychiatric disorder, with mood
disorders (18.2%, 95% CI = [15.2%, 21.2%]) being more prevalent than anxiety
(7.2%, 95% CI = [5.0%, 9.4%]) and substance-use disorders (12.9%, 95% CI = [9.7%,
16.0%]). Approximately 8.7% (95% CI = [7.5%, 10.0%]) were identified as having a
current disorder, with 3.8% (95% interquartile range [IQR] = [2.2%, 5.4%]), 2.4%
(95% CI = [1.1%, 3.8%]) and 3.4% (95% CI = [1.8%, 4.9%]) meeting criteria for
current mood, anxiety and substance-use disorders, respectively. The median age
of onset for mood disorders was 37.5 years (IQR = 27.0-48.0 years), 25.0 years
(IQR = 20.0-40.3 years) for anxiety and 22.0 years (IQR = 18.0-34.3 years) for
substance-use disorders. CONCLUSION: This study reports the lifetime and current
prevalence of psychiatric disorders in the Australian male population. These
findings emphasise the extent of the burden of these disorders in the community.
PMID- 26546501
TI - Associations between recent gay-related stressful events, emotional distress,
social support and unprotected anal intercourse behavior among Chinese men who
have sex with men.
AB - BACKGROUND: This study was designed to assess the levels of and associations
between gay-related stressful events, social support, emotional distress and the
number of unprotected anal intercourse partners among Chinese men who have sex
with men. METHODS: Using a respondent-driven sampling method, 807 men who have
sex with men were recruited in urban areas of northeast China and data were
collected via face-to-face interviews. Gay-related stressful events were measured
using the Gay-Related Stressful Life Events Scale; levels of depression, anxiety
symptoms and social support were measured using the Self-Rating Depression Scale,
the Self-Rating Anxiety Scale and the Social Support Rating Scale, respectively.
RESULTS: Over a quarter of study participants experienced gay-related stressful
events during the preceding 3 months. Their average Self-Rating Depression Scale,
Self-Rating Anxiety Scale and Social Support scores differed significantly from
the national norm. Gay-related stressful events significantly correlated with
anxiety (r = 0.167, p < 0.001), depression (r = 0.165, p < 0.001), social support
(r = -0.107, p = 0.002) and number of unprotected anal intercourse partners (r =
0.13, p < 0.001), showing a clear dose-response relationship. CONCLUSION: Gay
related stressful events are common and are significantly associated with
emotional distress, lack of social support and high-risk sexual behaviors among
Chinese men who have sex with men. Multifaceted approaches are warranted to
increase social support and reduce intolerance toward homosexual behaviors and to
reduce risky sexual behaviors related to the rapid HIV epidemic among men who
have sex with men population in China.
PMID- 26546502
TI - Steroidal saponins from stems and leaves of Paris polyphylla var. yunnanensis.
AB - Phytochemical investigation of the stems and leaves of Paris polyphylla var.
yunnanensis led to isolation of 12 steroidal saponins, chonglouosides SL-9-SL-20,
which had not been described previously, along with 13 known compounds. Their
structures were established on the basis of extensive spectroscopic analysis and
chemical methods. Four of the twelve steroidal saponins possessed three steroidal
aglycones which have not been reported in nature. Steroidal saponins were also
evaluated for their cytotoxicities against two human cancer cell lines (HepG2 and
HEK293) and anti-HCV effects. One known steroidal saponin was the most cytotoxic
compound overall with IC50 values of 2.9 +/- 0.5 MUM and 5.0 +/- 0.6 MUM against
HepG2 and HEK293 cell lines, respectively, while none showed anti-HCV activity at
a concentration of 20 MUM.
PMID- 26546503
TI - Spinal glial activation and oxidative stress are alleviated by treatment with
curcumin or coenzyme Q in sickle mice.
PMID- 26546504
TI - The role of CD44 in fetal and adult hematopoietic stem cell regulation.
AB - Throughout development, hematopoietic stem cells migrate to specific
microenvironments, where their fate is, in part, extrinsically controlled. CD44
standard as a member of the cell adhesion molecule family is extensively
expressed within adult bone marrow and has been previously reported to play
important roles in adult hematopoietic regulation via CD44 standard-ligand
interactions. In this manuscript, CD44 expression and function are further
assessed and characterized on both fetal and adult hematopoietic stem cells.
Using a CD44(-/-) mouse model, conserved functional roles of CD44 are revealed
throughout development. CD44 is critical in the maintenance of hematopoietic stem
and progenitor pools, as well as in hematopoietic stem cell migration. CD44
expression on hematopoietic stem cells as well as other hematopoietic cells
within the bone marrow microenvironment is important in the homing and lodgment
of adult hematopoietic stem cells isolated from the bone/bone marrow interface.
CD44 is also involved in fetal hematopoietic stem cell migration out of the
liver, via a process involving stromal cell-derived factor-1alpha. The absence of
CD44 in neonatal bone marrow has no impact on the size of the long-term
reconstituting hematopoietic stem cell pool, but results in an enhanced long-term
engraftment potential of hematopoietic stem cells.
PMID- 26546506
TI - Septic arthritis due to Clostridium ramosum.
AB - OBJECTIVES: Clostridium species are anaerobic bacilli that are rarely reported as
etiologic agents of infectious arthritis. Previous cases of arthritis caused by
Clostridium ramosum have not been reported. We describe the first 2 cases of C.
ramosum arthritis. METHODS: We reviewed the etiology of arthritis in our hospital
during the previous 15 years. RESULTS: Both patients had underlying
immunocompromising conditions and their infections involved a joint with
preexisting disease: patient 1 had rheumatic arthritis and a prosthetic joint;
patient 2, chronic renal failure on dialysis and hip osteoarthritis. The
infection was hematogenously acquired and the course was indolent but destructive
in both the cases. Management included open arthrotomy and resection
arthroplasty. The infection had a persisting and relapsing course, and prolonged
antibiotic treatment was required. In the literature review, we found 55 previous
cases of arthritis caused by Clostridium species between 1966 and 2014;
Clostridium perfringens was the most common infecting species; the infection was
traumatically acquired in most of the cases. A total of 15 patients have been
described with infections caused by C. ramosum; none had septic arthritis. The
majority were elderly or immunocompromised adults. Proper collection,
transportation and processing of clinical specimens is essential for diagnosing
clostridial infections. More information about the best management of clostridial
arthritis are needed. CONCLUSIONS: We describe the first 2 cases of septic
arthritis caused by C. ramosum. They shared several pathogenic and clinical
features. The possibility of anaerobic arthritis should always be considered when
collecting diagnostic specimens. An increasing number of clostridial arthritis
cases are likely to be diagnosed in future years.
PMID- 26546505
TI - SIRT2 inhibition exacerbates neuroinflammation and blood-brain barrier disruption
in experimental traumatic brain injury by enhancing NF-kappaB p65 acetylation and
activation.
AB - Sirtuin 2 (SIRT2) is a member of the sirtuin family of NAD(+) -dependent protein
deacetylases. In recent years, SIRT2 inhibition has emerged as a promising
treatment for neurodegenerative diseases. However, to date, there is no evidence
of a specific role for SIRT2 in traumatic brain injury (TBI). We investigated the
effects of SIRT2 inhibition on experimental TBI using the controlled cortical
impact (CCI) injury model. Adult male mice underwent CCI or sham surgery. A
selective brain-permeable SIRT2 inhibitor, AK-7, was administrated 30 min before
injury. The volume of the brain edema lesion and the water content of the brain
were significantly increased in mice treated with AK-7 (20 mg/kg), compared with
the vehicle group, following TBI (p < 0.05 at 1 day and p < 0.05 at 3 days,
respectively). Concomitantly, AK-7 administration greatly worsened
neurobehavioral deficits on days 3 and 7 after CCI. Furthermore, blood-brain
barrier disruption and matrix metalloproteinases (MMP)-9 activity increased
following SIRT2 inhibition. AK-7 treatment increased TBI-induced microglial
activation both in vivo and in vitro, accompanied by a large increase in the
expression and release of inflammatory cytokines. Mechanistically, SIRT2
inhibition increased both K310 acetylation and nuclear translocation of NF-kappaB
p65, leading to enhanced NF-kappaB activation and up-regulation of its target
genes, including aquaporin 4 (AQP4), MMP-9, and pro-inflammatory cytokines.
Together, these data demonstrate that SIRT2 inhibition exacerbates TBI by
increasing NF-kappaB p65 acetylation and activation. Our findings provide
additional evidence of an anti-inflammatory effect of SIRT2. SIRT2 is a member of
the sirtuin family of NAD+-dependent protein deacetylases. Our study suggests
that the SIRT2 inhibitor AK-7 exacerbates traumatic brain injury (TBI) via a
potential mechanism involving increased acetylation and nuclear translocation of
NF-kappaB p65, resulting in up-regulation of NF-kappaB target genes, including
aquaporin 4 (AQP4), matrix metalloproteinase 9 (MMP-9), and pro-inflammatory
cytokines. Our findings provide additional evidence of an anti-inflammatory
effect of SIRT2.
PMID- 26546507
TI - Promoting Policy and Environmental Change in Faith-Based Organizations: Outcome
Evaluation of a Mini-Grants Program.
AB - High rates of heart disease, cancer, and stroke exist in rural South Georgia
where the Emory Prevention Research Center's Cancer Prevention and Control
Research Network provided mini-grant funding to six churches to implement policy
and environmental change to promote healthy eating and physical activity. This
study sought to determine whether perceptions of the health promotion environment
changed over time and whether perceived environmental change was associated with
healthy behavior at church and in general. This study used a single-group pre
post design with 1-year follow-up. Parishioners (N = 258) completed self
administered questionnaires assessing perceptions of the church health promotion
environment relative to healthy eating and physical activity, eating behavior and
intention to use physical activity facilities at church, and eating and physical
activity behaviors generally. Results indicate that perceived improvements in
church nutrition environments were most strongly associated with decreases in
unhealthy food consumed and stronger intentions to use physical activity
resources at church (ps <= .05). Perceived changes in the physical activity
environment were unrelated to church or general behavior. Findings suggest that
church environments may play an important role in supporting healthy eating and
physical activity at church; however, whether the influence of the church
environment extends to other settings is unknown.
PMID- 26546508
TI - Developing and Implementing "Waupaca Eating Smart": A Restaurant and Supermarket
Intervention to Promote Healthy Eating Through Changes in the Food Environment.
AB - PURPOSE: Restaurants and food stores are suitable settings for healthy eating
interventions. A community-academic partnership developed and implemented
"Waupaca Eating Smart" (WES), a healthy eating program in restaurants and
supermarkets of a rural, Midwest community. Previous interventions targeted
either restaurants or small food stores nearly all in urban areas. Intervention
design and implementation is rarely documented, making replication difficult for
interested researchers and communities. In this article, we report the activities
we undertook to develop and implement WES. METHODS: Working with a local
nutrition and activity coalition, we used evidence-based strategies guided by the
social ecological model and social marketing principles to inform the content of
WES. Formative assessment included a review of the literature, statewide key
informant interviews and focus groups with restaurant and food store operators
and patrons, a local community survey, and interviews with prospective WES
businesses. WES was implemented in seven restaurants and two supermarkets and
evaluated for feasibility and acceptance using surveys and direct observation of
WES implementation. FINDINGS: Prior to this intervention, only one of seven
restaurants had three or more meals that met WES nutrition criteria. By the end
of the program, 38 meals were labeled and promoted to restaurant customers, and
the team had staffed four side salad taste tests for supermarket customers. Four
and 10 months after intervention launch, the majority of the program's strategies
were observed in participating outlets, suggesting that these program's
strategies are feasible and can be sustained. Operators reported strong
satisfaction overall. CONCLUSIONS: A combined restaurant- and supermarket-based
healthy eating intervention is feasible and positively valued in rural
communities. Further research is needed to better understand how to foster
sustainability of these interventions and their impact on customer food choices.
PMID- 26546509
TI - A case series of anal melanoma including the results of treatment with imatinib
in selected patients.
AB - AIM: Anal melanoma is a rare malignancy with a poor prognosis. METHOD: All
patients with a diagnosis of anal melanoma treated at a single institution
between 2000 and 2012 were identified and their treatment and outcome were
evaluated. RESULTS: Sixteen patients had a median survival of 2.9 years. Fourteen
had Stage I or II disease with a median survival of 4.0 years and progression
free survival of 1.5 years. When used for disease staging, whole body positron
emission tomography/CT identified an additional three sites of metastasis in five
patients compared with CT of the chest, abdomen and pelvis. Surgery involved wide
local excision or abdominoperineal excision with respective local recurrence
rates of 50% and 66%. Eleven patients underwent testing for c-Kit mutations, of
whom five were positive. Four of these were treated with the tyrosine kinase
inhibitor imatinib, and showed rapid response of metastases outside the central
nervous system. CONCLUSION: The outcome of this malignancy remains poor. PET is
the modality of choice for disease staging. Testing tumours for c-Kit mutations
may allow selected patients to participate in trials of tyrosine kinase
inhibitors.
PMID- 26546510
TI - Celecoxib reverts oxaliplatin-induced neuropathic pain through inhibiting
PI3K/Akt2 pathway in the mouse dorsal root ganglion.
AB - Oxaliplatin (OXA) is the common and extremely potent anti-advanced colorectal
cancer chemotherapeutic. Accumulating evidence reveals that OXA evokes mechanical
and cold hypersensitivity. However, the mechanism underlying these bothersome and
dose-limiting adverse effects is poorly understood. It is well known that
cyclooxygenase-2 (COX-2) as well as phosphoinositide 3-kinase (PI3K)/Akt
signaling mediate the neuropathic pain. But it is still unclear whether COX-2 or
PI3K/Akt signaling participates in the regulation of OXA-induced
hypersensitivity, as well as the linkage between COX-2 and PI3K/Akt signaling in
mediating OXA-induced hypersensitivity. In this paper, we investigated the anti
nociceptive effect of celecoxib, an inhibitor of COX-2, on the OXA-induced
neuropathic pain. We found that OXA increased the expression of cyclooxygenase-2
(COX-2) and Akt2 in the lumbar 4-5 (L4-5) dorsal root ganglion (DRG). And the
administration of celecoxib alleviates the OXA-induced hypersensitivity and
suppresses the COX-2 and PI3K/Akt2 signaling. Our findings showed that COX-2 and
PI3K/Akt2 signaling in DRG contributed to the OXA-induced neuropathic pain. In
addition, celecoxib enhanced the OXA-induced mortality of the human colon cancer
cell line HCT-116. Thus, celecoxib might play a dual role in colorectal cancer
treatment: alleviating OXA-induced neuropathic pain and facilitating the anti
tumor effects of OXA through their synergistic role.
PMID- 26546511
TI - Is too much hope placed in egg freezing?
PMID- 26546512
TI - A predictive modeling approach for cell line-specific long-range regulatory
interactions.
PMID- 26546513
TI - Identification of bacterial sRNA regulatory targets using ribosome profiling.
AB - Bacteria express large numbers of non-coding, regulatory RNAs known as 'small
RNAs' (sRNAs). sRNAs typically regulate expression of multiple target messenger
RNAs (mRNAs) through base-pairing interactions. sRNA:mRNA base-pairing often
results in altered mRNA stability and/or altered translation initiation.
Computational identification of sRNA targets is challenging due to the
requirement for only short regions of base-pairing that can accommodate
mismatches. Experimental approaches have been applied to identify sRNA targets on
a genomic scale, but these focus only on those targets regulated at the level of
mRNA stability. Here, we utilize ribosome profiling (Ribo-seq) to experimentally
identify regulatory targets of the Escherichia coli sRNA RyhB. We not only
validate a majority of known RyhB targets using the Ribo-seq approach, but also
discover many novel ones. We further confirm regulation of a selection of known
and novel targets using targeted reporter assays. By mutating nucleotides in the
mRNA of a newly discovered target, we demonstrate direct regulation of this
target by RyhB. Moreover, we show that Ribo-seq distinguishes between mRNAs
regulated at the level of RNA stability and those regulated at the level of
translation. Thus, Ribo-seq represents a powerful approach for genome-scale
identification of sRNA targets.
PMID- 26546514
TI - DESM: portal for microbial knowledge exploration systems.
AB - Microorganisms produce an enormous variety of chemical compounds. It is of
general interest for microbiology and biotechnology researchers to have means to
explore information about molecular and genetic basis of functioning of different
microorganisms and their ability for bioproduction. To enable such exploration,
we compiled 45 topic-specific knowledgebases (KBs) accessible through DESM portal
(www.cbrc.kaust.edu.sa/desm). The KBs contain information derived through text
mining of PubMed information and complemented by information data-mined from
various other resources (e.g. ChEBI, Entrez Gene, GO, KOBAS, KEGG, UniPathways,
BioGrid). All PubMed records were indexed using 4,538,278 concepts from 29
dictionaries, with 1 638 986 records utilized in KBs. Concepts used are
normalized whenever possible. Most of the KBs focus on a particular type of
microbial activity, such as production of biocatalysts or nutraceuticals. Others
are focused on specific categories of microorganisms, e.g. streptomyces or
cyanobacteria. KBs are all structured in a uniform manner and have a standardized
user interface. Information exploration is enabled through various searches.
Users can explore statistically most significant concepts or pairs of concepts,
generate hypotheses, create interactive networks of associated concepts and
export results. We believe DESM will be a useful complement to the existing
resources to benefit microbiology and biotechnology research.
PMID- 26546515
TI - Legume information system (LegumeInfo.org): a key component of a set of federated
data resources for the legume family.
AB - Legume Information System (LIS), at http://legumeinfo.org, is a genomic data
portal (GDP) for the legume family. LIS provides access to genetic and genomic
information for major crop and model legumes. With more than two-dozen
domesticated legume species, there are numerous specialists working on particular
species, and also numerous GDPs for these species. LIS has been redesigned in the
last three years both to better integrate data sets across the crop and model
legumes, and to better accommodate specialized GDPs that serve particular legume
species. To integrate data sets, LIS provides genome and map viewers, holds
synteny mappings among all sequenced legume species and provides a set of gene
families to allow traversal among orthologous and paralogous sequences across the
legumes. To better accommodate other specialized GDPs, LIS uses open-source GMOD
components where possible, and advocates use of common data templates, formats,
schemas and interfaces so that data collected by one legume research community
are accessible across all legume GDPs, through similar interfaces and using
common APIs. This federated model for the legumes is managed as part of the
'Legume Federation' project (accessible via http://legumefederation.org), which
can be thought of as an umbrella project encompassing LIS and other legume GDPs.
PMID- 26546516
TI - Thermodynamic fingerprints of ligand binding to human telomeric G-quadruplexes.
AB - Thermodynamic studies of ligand binding to human telomere (ht) DNA quadruplexes,
as a rule, neglect the involvement of various ht-DNA conformations in the binding
process. Therefore, the thermodynamic driving forces and the mechanisms of ht-DNA
G-quadruplex-ligand recognition remain poorly understood. In this work we
characterize thermodynamically and structurally binding of netropsin (Net),
dibenzotetraaza[14]annulene derivatives (DP77, DP78), cationic porphyrin (TMPyP4)
and two bisquinolinium ligands (Phen-DC3, 360A-Br) to the ht-DNA fragment (Tel22)
AGGG(TTAGGG)3 using isothermal titration calorimetry, CD and fluorescence
spectroscopy, gel electrophoresis and molecular modeling. By global thermodynamic
analysis of experimental data we show that the driving forces characterized by
contributions of specific interactions, changes in solvation and conformation
differ significantly for binding of ligands with low quadruplex selectivity over
duplexes (Net, DP77, DP78, TMPyP4; KTel22 ~ KdsDNA). These
contributions are in accordance with the observed structural features (changes)
and suggest that upon binding Net, DP77, DP78 and TMPyP4 select hybrid-1 and/or
hybrid-2 conformation while Phen-DC3 and 360A-Br induce the transition of hybrid
1 and hybrid-2 to the structure with characteristics of antiparallel or hybrid-3
type conformation.
PMID- 26546519
TI - Review: The Prolonged QT Interval: Role of Pro-inflammatory Cytokines, Reactive
Oxygen Species and the Ceramide and Sphingosine-1 Phosphate Pathways.
AB - Patients with QT prolongation have delayed cardiac repolarization and may suffer
fatal ventricular arrhythmias. To determine the role of cytokines in causing this
syndrome, we reviewed reports on patients with rheumatoid arthritis, psoriasis
and other inflammatory conditions. These patients frequently have prolonged QT,
which correlates with increases in tumor necrosis factor alpha, and interleukin
1beta and 6. Studies in experimental models have shown that these cytokines act
through stimulation of reactive oxygen species. Our review of data on
phospholipidosis and on QT-shortening agents suggests a key role in QT
prolongation for the ceramide/sphingosine-1-phosphate rheostat. We conclude that
the cause of prolonged QT in inflammatory conditions is cytokine induction of
reactive oxygen species and then ceramides, and believe that QT-prolonging agents
bypass initial steps of this pathway and directly affect ceramides. Since both
pro-inflammatory cytokines and numerous medications cause QT prolongation and
ventricular arrhythmias by this mechanism, extra caution is needed when using
these agents in patients with inflammatory conditions.
PMID- 26546518
TI - The Transporter Classification Database (TCDB): recent advances.
AB - The Transporter Classification Database (TCDB; http://www.tcdb.org) is a freely
accessible reference database for transport protein research, which provides
structural, functional, mechanistic, evolutionary and disease/medical information
about transporters from organisms of all types. TCDB is the only transport
protein classification database adopted by the International Union of
Biochemistry and Molecular Biology (IUBMB). It consists of more than 10,000 non
redundant transport systems with more than 11 000 reference citations, classified
into over 1000 transporter families. Transporters in TCDB can be single or multi
component systems, categorized in a functional/phylogenetic hierarchical system
of classes, subclasses, families, subfamilies and transport systems. TCDB also
includes updated software designed to analyze the distinctive features of
transport proteins, extending its usefulness. Here we present a comprehensive
update of the database contents and features and summarize recent discoveries
recorded in TCDB.
PMID- 26546520
TI - Review: The Role of Insulin-like Growth Factor-1 Signaling Pathways in Uterine
Leiomyoma.
AB - A growing body of evidence suggests the association of the IGF-I bio-regulatory
system with leiomyoma occurrence and growth. The complex interplay between IGF
I/IGF-IR and hormonal and other growth factors is, thus, now receiving
significant attention. Elucidation of the molecular mechanisms driving the
disease may allow for development of novel targeted-therapeutic strategies for
the treatment of leiomyomas. Herein, we provide a concise update and overview of
the function and regulation of IGF-I and its role in leiomyoma growth.
PMID- 26546517
TI - Cross talk of tyrosine kinases with the DNA damage signaling pathways.
AB - Tyrosine kinases respond to extracellular and intracellular cues by activating
specific cellular signaling cascades to regulate cell cycle, growth,
proliferation, differentiation and survival. Likewise, DNA damage response
proteins (DDR) activated by DNA lesions or chromatin alterations recruit the DNA
repair and cell cycle checkpoint machinery to restore genome integrity and
cellular homeostasis. Several new examples have been uncovered in recent studies
which reveal novel epigenetic and non-epigenetic mechanisms by which tyrosine
kinases interact with DDR proteins to dictate cell fate, i.e. survival or
apoptosis, following DNA damage. These studies reveal the ability of tyrosine
kinases to directly regulate the activity of DNA repair and cell cycle check
point proteins by tyrosine phosphorylation. In addition, tyrosine kinases
epigenetically regulate DNA damage signaling pathways by modifying the core
histones as well as chromatin modifiers at critical tyrosine residues. Thus,
deregulated tyrosine kinase driven epigenomic alterations have profound
implications in cancer, aging and genetic disorders. Consequently, targeting
oncogenic tyrosine kinase induced epigenetic alterations has gained significant
traction in overcoming cancer cell resistance to various therapies. This review
discusses mechanisms by which tyrosine kinases interact with DDR pathways to
regulate processes critical for maintaining genome integrity as well as clinical
strategies for targeted cancer therapies.
PMID- 26546521
TI - Effects of Targeted Proton Radiation on Spinal Cord in a Porcine Model: A Pilot
Study.
AB - AIM: To determine whether proton radiation can be used to treat chronic
intractable pain. The focus of this study was on the biological effects of spinal
cord irradiation. MATERIALS AND METHODS: Proton radiation (0-25 Gy, single
fraction) was applied to the spinal cord within L3-L5 of Yucatan mini-pigs
(n=20). Skin reaction, body mass and behavior were monitored. At euthanasia,
blood and spinal cord were analyzed. RESULTS: Skin morbidity was mild and overall
health for the 5-20 Gy-treated groups was good based on behavior and weight gain
up to 8.5-9 months post-exposure. The 25 Gy-treated animals developed hind limb
weakness at 2.5-3 months and were euthanized. Radiation had a significant effect
on white blood cell count (p<0.05), with the 25 Gy-treated mini-pigs having the
highest number of all three major leukocyte populations. A few differences were
also noted for erythrocyte parameters, but the blood chemistry panel was normal.
Apoptosis in the targeted portion of the spinal cord was elevated in the 20- and
25 Gy-treated groups versus 0 Gy (p<0.05) based on the terminal deoxynucleotidyl
transferase dUTP nick-end labeling assay. There was a trend (p<0.1) for a
radiation effect on glial fibrillary acidic protein expression, with the highest
value being found after 25 Gy. Histology showed no difference between 0 versus 25
Gy. CONCLUSION: The data demonstrated that a small segment of the spinal cord can
be readily targeted using proton radiation; doses ranging from 5-20 Gy were well
tolerated in an animal model with radiosensitivity similar to humans. Future
studies with a pain model should use <=15 Gy.
PMID- 26546522
TI - Hoechst 33342 Staining Identifies the Progenitor Side Population in NOD.Cg
PrkdcscidIL2rgtmWjl/Sz Mice Harboring Pediatric Leukemias.
AB - BACKGROUND: A sub-population of stem cells termed side population (SP) has a
unique ability for the efflux of Hoechst 33342 dye. Recently, it was hypothesized
that efflux properties might facilitate the efflux of accumulated
chemotherapeutic drugs and as such constitute a tumor escape mechanism. MATERIALS
AND METHODS: As SP characterization in leukemia is incomplete, we characterized
SP frequencies in 19 children diagnosed with acute B cell precursor leukemia (BCP
ALL), AML and T-ALL and described engraftment properties in immune-compromised
NOD.Cg-Prkdc(scid)IL2rg(tmWjl)/Sz (NSG) mice. RESULTS: SP cells are detectable in
children and mice irrespective of the origin of the leukemia and flow-cytometric
analysis reveals that the SP population is a distinct sub-population.
Functionally, the SP size remains stable over serial transplantations indicating
that the "stemness" potential of our SP sample cohort was overall low.
CONCLUSION: SP cells exist in pediatric leukemia and are maintainable in NSG
mice. Thus, our observations may facilitate down-stream characterization of LSCs
in future studies.
PMID- 26546523
TI - Influence of Palmatine on Bone Metabolism in Ovariectomized Mice and Cytokine
Secretion of Osteoblasts.
AB - BACKGROUND/AIM: Receptor activator of nuclear factor-kappaB ligand (RANKL) and
osteoprotegerin (OPG), regulate the cognate receptor RANK on osteoclast precursor
cells. Herein we examined the inhibitory effects of palmatine on bone metabolism
using ovariectomized (OVX) mice. MATERIALS AND METHODS: The first experimentaI
set was designed to histologically and biochemically examine mice randomly
divided into four groups: sham-operated, OVX, and OVX-palmatine intake groups (1
mg/kg and 10 mg/kg). The second experimental set examined the influence of
palmatine on osteoblast-like cells in vitro. RESULTS: Palmatine caused
significant suppression of osteoclast numbers in tissues. In palmatine-treated
mice, RANKL and OPG expression decreased. In the culture supernatant of MC3T3-E1
cells, RANKL and OPG levels were significantly reduced by palmatine addition.
CONCLUSION: Palmatine may attenuate osteoclast differentiation through inhibition
of RANKL and OPG expression by osteoblasts. Therefore, palmatine might be a
candidate anti-resorptive agent for osteoporosis therapy.
PMID- 26546524
TI - Influence of Electroacupuncture Stimulation on Nitric Monoxide Production in
Vascular Endothelial Cells in Rats.
AB - BACKGROUND/AIM: In Chinese medicine, blood stasis termed as 'Oketsu' means
'preceding state' or 'symptomatic of sickness'. Traditional Chinese medicine may
improve blood flow by vasodilation or blood clotting inhibition. Although
acupuncture influences the blood circulatory system, its underlying mechanisms
remain unclear. Herein we evaluated changes in NO, as reflected by changes in NO2
(-), platelet aggregation, oxidative stress and endocrine responses after
acupuncture stimulation in rats. MATERIALS AND METHODS: Acupuncture stimulation
was administered to rats randomly divided into five groups: control, N(G)-nitro-L
arginine methyl ester hydrochloride (L-NAME) injection, restraint stress (RS),
restraint plus acupuncture stimulation (RA), and restraint plus acupuncture with
L-NAME (RLA). RESULTS: Compared to those in the RS group, levels of NO2 (-),
endothelial nitric oxide synthase (NOS) protein and its mRNA significantly
increased and those of hydroperoxide and soluble P-selectin significantly
decreased in the RA group. CONCLUSION: Acupuncture stimulation regulates vascular
endothelium NOS function and affects vascular resistance and blood
characteristics through NO. Additionally, NO produced may modulate excessive
reactive oxygen development and blood platelet activation.
PMID- 26546525
TI - Suppression of BCL2 by Antisense Oligonucleotides and Compensation by Non
Targeted Genes May Enhance Tumor Proliferation.
AB - Antisense oligonucleotides have been used to target regulatory proteins in both
in vivo and in vitro models of prostate cancer. Our previous studies showed that
oligonucleotide-treated LNCaP prostate cancer cells compensate for diminished
expression of B-cell chronic lymphocytic leukemia/lymphoma 2 (BCL2), an apoptosis
inhibitor, by suppressing the expression of caspase-3 (an apoptosis promoter)
while enhancing that of serine/threonine protein kinase (AKT1) (another apoptosis
inhibitor). In addition, we found an enhanced expression of the androgen receptor
(AR), its p300 and interleukin-6 (IL6) co-activators, polymerase transcription
mediator (MED12), and growth-regulating signal transducer (STAT3). The net result
was an altered pattern of gene expression often associated with more aggressive
and proliferative tumors. To further evaluate adaptive compensatory mechanisms
related to tumor resistance, aggression and proliferation, herein we evaluated
the level of expression of a proliferation antigen (KI-67) and mitosis-regulating
cyclins (B1 and D1). Compared to the relative levels of compensation detailed
above, we found the expression of KI-67 to be statistically the most enhanced non
targeted protein yet identified in compensation for suppression of BCL2.
Expression of cyclin D1 was also significantly enhanced, although to a much
lesser extent. As a result, we propose that oligonucleotide-mediated treatment
could be more effective when directed towards KI-67 and BCL2. This could be
accomplished by dual monospecific targeting KI-67 and BCL2, or with a bispecific
(or proposed multispecific) oligonucleotide simultaneously targeting both.
PMID- 26546526
TI - The Contribution of Interleukin-10 Promoter Genotypes to Susceptibility to Asthma
in Adults.
AB - AIM: Accumulating evidence suggests that inflammatory processes play a role in
asthma etiology, and interleukin-10 (IL10) is an important immunosuppressive
cytokine. The present study aimed to evaluate the contribution of IL10 promoter A
1082G (rs1800896), T-819C (rs3021097), A-592C (rs1800872) genetic polymorphisms
to the risk of asthma in Taiwan. MATERIALS AND METHODS: Associations of three
IL10 polymorphic genotypes with risk of asthma were investigated among 198
patients with asthma and 453 non-asthmatic healthy controls, by polymerase chain
reaction-restriction fragment length polymorphism genotyping method. RESULTS: The
results showed that the percentages of TT, TC and CC for IL-10 T-819C genotypes
were differentially represented at 63.1%, 32.3% and 4.6%, respectively, in the
patient group and 53.0%, 36.4% and 10.6%, respectively, in the healthy control
group (p for trend=0.0114). The CC genotype carriers were at lower risk for
asthma (odds ratio=0.36, 95% confidence interval=0.17-0.76, p=0.0055). There was
no difference in the distribution of A-1082G or A-592C genotype between the
asthma and non-asthma groups. The protective effects of the CC genotype were
obvious among males, but not females, and those aged 25 up to 40 years but not
those aged over 40 years. CONCLUSION: The CC genotype of IL10 T-819C compared to
the TT genotype may have a protective effect on asthma risk in younger adults (25
40 years old), and males in Taiwan.
PMID- 26546527
TI - Radical-scavenging and Anti-inflammatory Activity of Quercetin and Related
Compounds and Their Combinations Against RAW264.7 Cells Stimulated with
Porphyromonas gingivalis Fimbriae. Relationships between Anti-inflammatory
Activity and Quantum Chemical Parameters.
AB - BACKGROUND/AIM: The flavonoid quercetin exerts significant anti-inflammatory
activity against chronic infections, including periodontal disease. However, it
is unclear whether combination of quercetin with other flavonoids enhances
antioxidant and anti-inflammatory activity. To clarify the molecular mechanism
responsible for the anti-inflammatory activity of quercetin, we investigated the
antioxidant, cytotoxicity and anti-inflammatory activity of quercetin and its
related compounds, catechin and epicatechin, and their combinations. MATERIALS
AND METHODS: Radical-scavenging activities were determined by the 1,1-diphenyl-2
picrylhydrazyl (DPPH) assay, and cytotoxicity against RAW264.7 cells was
determined using a cell counting kit (CCK-8). The inhibitory effects of these
compounds on the mRNA expression of cyclooxygenase-2 (Cox2), tumor necrosis
factor-alpha (Tnfalpha) and nitric oxide synthase 2 (Nos2), in RAW264.7 cells
stimulated with Porphyromonas gingivalis (Pg) fimbriae, was also determined using
real-time polymerase chain reaction analysis. The phenolic O-H bond dissociation
enthalpy (BDE) and quantum chemical parameters were calculated on the basis of
density function theory (DFT) BLYP/6-31G*. RESULTS: The DPPH(*) radical
scavenging activity (EC50) of quercetin, catechin and epicatechin was 5.5, 7.7
and 6.2 MUM, respectively, whereas the cytotoxicity (LC50) was 4.45, 4.80 and
4.95 mM, respectively. Quercetin had slightly higher cytotoxicity and anti
DPPH(*) activity than catechin and epicatechin. The BDE for the three flavonoids
at the 4'-OH in the B ring, which is the initial active site, was about 75
kcal/mol. Furthermore, various combinations of quercetin with catechin or
epicatechin exerted an antagonistic effect on anti-DPPH(*) activity. Gene
expression of Cox2, Tnfalpha and Nos2 stimulated by exposure to Pg-fimbriae was
markedly suppressed by quercetin, but was not modulated by its combination with
epicatechin. The 50% inhibitory concentration of quercetin for Cox2 expression
was approximately 10 MUM, while that of catechin and epicatechin was
approximately 500 MUM. Values of the quantum chemical parameters softness (sigma)
and electronegativity (chi) were highest for quercetin among the three flavonoids
tested. CONCLUSION: The potent anti-inflammatory activity of quercetin appears to
be attributable to its high sigma and chi values. Quercetin may be applicable as
a preventive agent against inflammatory periodontal disease as a manifestation of
systemic disease.
PMID- 26546528
TI - Bisdemethoxycurcumin (BDMC) Alters Gene Expression-associated Cell Cycle, Cell
Migration and Invasion and Tumor Progression in Human Lung Cancer NCI-H460 Cells.
AB - BACKGROUND/AIM: Lung cancer is one of the most common malignancies and a
predominant cause of cancer-related death. It can metastasize in almost all
organs, and currently, while new cases are increasing, treatment is still
insufficient. Bisdemethoxycurcumin (BDMC), one of the components of turmeric, has
been known to possess biological activities. However, the effects of BDMC on the
genetic level remain unclear. MATERIALS AND METHODS: Human lung cancer NCI-H460
cells were treated with 35 MUM BDMC for 24 h and cells were harvested for total
RNA extraction. The purified RNA was used for cDNA synthesis, labeling,
microarray hybridization, and flour-labeled cDNA on-chip hybridization. The
expression Console software (Affymetrix) with default RNA parameters was used to
detect and quantitate concentrations of fluorescent molecules. The key genes
involved and their possible interaction pathways were analyzed by the GeneGo
software. RESULTS: Seven genes, such as CCNE2 (cyclin E), associated with cell
cycle, were over 4-fold overexpressed, 22 genes, such as ERCC6L (excision repair
cross-complementing rodent repair deficiency, complementation group 6-like)
associated with DNA damage and repair, were from 3- to 4-fold overexpressed and
266, such as cell division cycle, S-phase associated kinase and associated with
cell death, genes were from 2- to 3-fold overexpressed. CONCLUSION: BDMC induced
changes in gene expression that may reveal cytotoxic information on the genetic
level while presenting novel biomarkers or targets for treatment of human lung
cancer in the future.
PMID- 26546529
TI - Mutagenic Effects of Potassium Dichromate as Evaluated by Means of Animal and
Plant Bioindicators.
AB - BACKGROUND: Chromium typically occurs in two oxidation states in the natural
environment, Cr(3+) [Cr(III)] and Cr(6+) [Cr(VI)]. Out of the two chromium
species, Cr(VI) is the most mobile, labile and toxic. Hexavalent chromium
[Cr(VI)] compounds are classified by the International Agency for Research on
Cancer (IARC) as carcinogenic agents to humans. The main source of release of
chromium in aquatic ecosystems is related to the industrial application of this
metal in metallurgies, tanneries, and in the manufacturing of paints and dyes.
The ecotoxicology of Cr(VI) is linked to its environmental persistence and the
ability to induce adverse effects in biological systems. In the present study, we
evaluated mutagenic effects of Cr(VI) in animal and plant bioindicators.
MATERIALS AND METHODS: We evaluated primary DNA damage and frequencies of
micronuclei (MN) and morphological nuclear abnormalities (NA) in erythrocytes in
peripheral blood of the fish Oreochromis niloticus exposed to potassium
dichromate at 12 mg l(-1). The genotoxicity and cytotoxicity of Cr(VI) in the
onion (Allium cepa) test were also assessed. RESULTS: The comet assay showed a
significant increase of tailed nucleoids in the erythrocytes of fish treated with
K2Cr2O7; MN frequency was also increased in the treatments; cytotoxicity of a low
concentration of potassium dichromate, however, was not confirmed. CONCLUSION:
The combination of both systems - animal and plant - is adequate and advantageous
for mutagenicity evaluation. The findings indicate that at the concentration
tested, the chromium compound is a clastogenic as well as an aneugenic.
PMID- 26546530
TI - Novel Prognostic Markers Derived from Cardiovascular Magnetic Resonance Imaging
in Patients with Stable Chronic Coronary Artery Disease.
AB - BACKGROUND: In patients with coronary artery disease (CAD), risk stratification
remains a challenge. Recently, epicardial adipose tissue (EAT) assessed by
cardiovascular magnetic resonance imaging (CMRI) has emerged as a new marker in
patients with CAD. Thus, we aimed to investigate the association of CMR
parameters with all-cause and cardiac mortality in patients with CAD. PATIENTS
AND METHODS: CMRI examination was performed in 260 patients with CAD. RESULTS: In
the 40 patients who died, left ventricular (LV) ejection fraction, right
ventricular fractioning shortening, LV remodeling index and indexed EAT were
significantly reduced, whereas LV mass index, LV end-diastolic volume index, LV
end-systolic volume index, LV end-diastolic diameter and the extent of late
gadolinium enhancement expressed as a percentage of the maximum possible score to
estimate the extent of LGE relative to LV mass (LGE %), were significantly
elevated. Using multivariate analysis, age, LV mass index, extent of LGE % and
indexed EAT proved to be independently associated with all-cause and cardiac
mortality. CONCLUSION: Age, LV mass index, the extent of LGE % and indexed EAT
are independent predictors of mortality that might contribute to a more accurate
risk stratification of patients with CAD.
PMID- 26546531
TI - Radiation Therapy for Metastatic Spinal Cord Compression in Patients with
Hepatocellular Carcinoma.
AB - AIM: This is the first study to investigate patients with metastatic spinal cord
compression (MSCC) from hepatocellular carcinoma (HCC). PATIENTS AND METHODS:
Eight patients were analyzed with regard to survival and motor dysfunction.
RESULTS: Out of seven factors (age, affected vertebrae, ambulatory status, bone
lesions, other distant metastases, time developing motor dysfunction, performance
score) ambulatory status (p=0.005) and distant metastases (p=0.032) had a
significant influence on survival. Both factors were used as a predictive tool
(points: not ambulatory 0, ambulatory 1, distant metastases 0, no distant
metastases 1). Total scores were 0, 1 or 2 points. Three-month survival rates
were 0%, 67% and 100%, six-month survival rates 0%, 0% and 100%. Progression of
motor dysfunction was prevented in 63% of patients; time developing motor
deficits showed a trend (p=0.08). CONCLUSION: Many patients with MSCC from HCC
have a short survival, which can be predicted with a new tool. Radiation therapy
can stop progression of motor dysfunction.
PMID- 26546532
TI - Immunosuppression and Abdominal Wall Defects: Use of Autologous Dermis.
AB - AIM: The purpose of the present study was to analyze the use of autologous dermis
compared to non-biological meshes in repair of abdominal wall defects in patients
with redundant skin and immunosuppression. PATIENTS AND METHODS: Eighteen
patients with abdominal wall defects, immunosuppression and with redundant skin
were divided into two groups: Group A consisted of 11 patients treated with
autologous dermis. In these patients, autologous dermis was isolated from the
amount of skin resected from the inferior abdominal region that was used as a
reinforcement of fascial margins above the defect. Group B consisted of seven
patients treated with non-biological meshes We evaluated the infection rate of
these groups. RESULTS: The infection rate was significantly lower in group A
patients. CONCLUSION: The transplantation of autologous dermis as a reinforcement
for the reconstruction of abdominal wall defects is reasonable for highly
selected patients. The use of the dermis was proven useful and we found a lower
rate of infection and recurrence.
PMID- 26546533
TI - Abdominoplasty in Mildly Obese Patients (BMI 30-35 kg/m2): Metabolic, Biochemical
and Complication Analysis at One Year.
AB - BACKGROUND/AIM: Dermolipectomy dramatically improves the quality of life of
previously obese patients. Fat removal in patients undergoing liposuction and
reduction mammoplasty has positive effects, but no data regarding sequelae of
abdominal dermolipectomy on metabolism have been reported. Thus, the aim of the
present study was to assess metabolic and biochemical modifications occurring
after abdominoplasty. A correlation between the results and the rate of
complications was also evaluated. PATIENTS AND METHODS: One hundred and twenty
eight patients with body mass index (BMI) between 30 and 35 kg/m(2) were
enrolled. Clinical assessment, anthropometric measurements, blood biochemical
analysis (fasting plasma glucose, total cholesterol, free fatty acids, plasma
insulin), plasma adipocytokines (leptin, adiponectin,resistin), levels of
inflammatory markers [tumor necrosis factor-alpha (TNFalpha), interleukin-6
(IL6), C-reactive protein (CRP)] and insulin sensitivity by Homeostasis Model
Assessment were performed three times. RESULTS: The average age was 37.6 years.
At baseline, the mean (+/-SD) BMI of patients overall was 33.44 (+/-2.3) kg/m(2)
and the amount of fat surgically removed was 1,578.33 (+/-1,013.02) g. A
significant decrease in BMI at 1 year was found. Adiponectin levels were found to
have significantly increased (p<0.05) in long-term evaluation. We noted
significant increases in the mean TNFalpha (p<0.05), IL6 (p<0.01) and CRP
(p<0.05), with a return to baseline values of all variables. The majority of
patients were satisfied with the surgical procedure after 12 months. We noted a
lower rate (10%) of complications in patients whose blood analysis showed an
increase of adiponectin. CONCLUSION: Abdominal dermolipectomy is a safe surgical
procedure that improves the quality of life of previously obese patients from an
aesthetic functional view. A statistically significant increase of adiponectin
after the procedure was found. A low level of adiponectin after surgery indicates
a higher probability of complications.
PMID- 26546534
TI - Association of TRPM Channel Gene Polymorphisms with Systemic Sclerosis.
AB - BACKGROUND/AIM: Systemic sclerosis (SSc) is an inflammatory disease characterized
by vascular abnormalities and fibrosis. The aim of the present study was to
investigate the possible role of transient receptor potential melastatin (TRPM)
channel genes in the susceptibility and phenotype expression of SSc. MATERIALS
AND METHODS: A total of 339 patients with SSc and 302 healthy controls were
studied. Genomic DNA was extracted from leukocytes of the peripheral blood, and
25 single nucleotide polymorphisms in the TRPM channel genes were analyzed by the
BioMark HD dynamic array system. RESULTS: There were marked increases in the CC
genotype (94.7% vs 81.8%, p<0.0001) and C allele frequencies (97.0% vs. 90.1%,
p<0.0001) in the TRPM3 rs1328142, and TT genotype (19.0% vs. 7.8%, p=0.0002) in
TRPM5 rs34551253 (Ala456Thr) polymorphism in SSc patients when compared to
controls. TRPM3 gene rs1328142 polymorphism was also markedly associated with
disease phenotype. However, no associations with the other 23 polymorphisms
studied were found. CONCLUSION: This is the first study to examine the
involvement of TRPM channel gene variations on the risk of SSc incidence. Our
results suggest roles of TRPM3 and TRPM5 gene variants in the susceptibility to
or clinical expression of SSc in the Turkish population.
PMID- 26546536
TI - Errata.
PMID- 26546535
TI - Prevalence and Risk Factors for Significant Liver Fibrosis in Patients with HIV
Infection.
AB - AIM: The aim of the present study was the evaluation of liver fibrosis in a
population of patients monoinfected with HIV using the transient liver
elastography (FibroScan) method. PATIENTS AND METHODS: A total of 228 consecutive
patients with HIV were evaluated: 80 (35.09%) were HIV-1 monoinfected and 148
(64.91%) (HIV)/hepatitis C virus (HCV) co-infected. Echoic liver diagnosis was
also performed. RESULTS: F2 Metavir-score fibrosis or higher was found to be
associated with drug addiction, alanine aminotransferase >80 UI/l, cluster of
differentiation 4 (CD4(+)) T lymphocytes nadir <200 copies/ml, therapy duration,
protease inhibitor (PI)-based antiretroviral regimen, HCV infection and AIDS
diagnosis. Multivariate analysis highlighted a significant association with drug
addiction, AIDS diagnosis, therapy duration and HCV co-infection. Echoic liver
diagnosis showed signs of damage among 43.75% of monoinfected patients vs. 62.84%
among co-infected. CONCLUSION: Monoinfected patients showed pathological signs
both at liver ultrasonography and at FibroScan. In the onset of these changes, a
significant role by HIV disease and duration of therapy is observed.
PMID- 26546538
TI - Bringing the Teams Together.
PMID- 26546539
TI - Impressions from the 2015 ENA General Assembly.
PMID- 26546540
TI - 2015 Academy Inductee Reflection: ENA Culture Provides a Foundation for
Professional Success.
PMID- 26546541
TI - Falls in the Emergency Department.
PMID- 26546542
TI - Peripheral Venous Access Catheters Placed in Emergency Care Have a Short Life
Expectancy in Intensive Care Units--Voi-Rea Study.
PMID- 26546543
TI - Author Response to Potter Letter to the Editor.
PMID- 26546544
TI - Comment on "Emergency Nurses' Perceptions of Discharge Processes for Patients
Receiving Schedule II and III Medications for Pain Management in the Emergency
Department".
PMID- 26546545
TI - Response to Coronado Letter.
PMID- 26546547
TI - Duration of immune responses after Ebola virus vaccination.
PMID- 26546549
TI - Late diagnosis of massive peritoneal hidatidosis after blunt abdominal trauma.
PMID- 26546550
TI - Experience with the Nuss technique for the treatment of Pectus Excavatum in
Spanish Thoracic Surgery Departments.
AB - INTRODUCTION: Although the Nuss technique revolutionized the surgical treatment
of pectus excavatum, its use has not become widespread in our country. The aim of
this study was to analyze the current use of this technique in a sample of
Thoracic Surgery Departments in Spain. METHODS: Observational rectrospective
multicentric study analyzing the main epidemiological aspects and clinical
results of ten years experience using the Nuss technique. RESULTS: Between 2001
and 2010 a total of 149 patients were operated on (mean age 21.2 years), 74%
male. Initial aesthetic results were excellent or good in 93.2%, mild in 4.1% and
bad in 2.7%. After initial surgery there were complications in 45 patients
(30.6%). The most frequent were wound seroma, bar displacement, stabilizer break,
pneumothorax, haemothorax, wound infection, pneumonia, pericarditis and cardiac
tamponade that required urgent bar removal. Postoperative pain appeared in all
patients. In 3 cases (2%) it was so intense that it required bar removal. After a
mean follow-up of 39.2 months, bar removal had been performed in 72 patients
(49%), being difficult in 5 cases (7%). After a 1.6 year follow-up period good
results persisted in 145 patients (98.7%). CONCLUSION: Nuss technique in adults
has had good results in Spanish Thoracic Surgery Departments, however its use has
not been generalized. The risk of complications must be taken into account and
its indication must be properly evaluated. The possibility of previous
conservative treatment is being analyzed in several departments at present.
PMID- 26546551
TI - Myelinated mouse nerves studied by X-ray phase contrast zoom tomography.
AB - We have used X-ray phase contrast tomography to resolve the structure of uncut,
entire myelinated optic, saphenous and sciatic mouse nerves. Intrinsic electron
density contrast suffices to identify axonal structures. Specific myelin labeling
by an osmium tetroxide stain enables distinction between axon and surrounding
myelin sheath. Utilization of spherical wave illumination enables zooming
capabilities which enable imaging of entire sciatic internodes as well as
identification of sub-structures such as nodes of Ranvier and Schmidt-Lanterman
incisures.
PMID- 26546548
TI - Use of ChAd3-EBO-Z Ebola virus vaccine in Malian and US adults, and boosting of
Malian adults with MVA-BN-Filo: a phase 1, single-blind, randomised trial, a
phase 1b, open-label and double-blind, dose-escalation trial, and a nested,
randomised, double-blind, placebo-controlled trial.
AB - BACKGROUND: The 2014 west African Zaire Ebola virus epidemic prompted worldwide
partners to accelerate clinical development of replication-defective chimpanzee
adenovirus 3 vector vaccine expressing Zaire Ebola virus glycoprotein (ChAd3-EBO
Z). We aimed to investigate the safety, tolerability, and immunogenicity of ChAd3
EBO-Z in Malian and US adults, and assess the effect of boosting of Malians with
modified vaccinia Ankara expressing Zaire Ebola virus glycoprotein and other
filovirus antigens (MVA-BN-Filo). METHODS: In the phase 1, single-blind,
randomised trial of ChAd3-EBO-Z in the USA, we recruited adults aged 18-65 years
from the University of Maryland medical community and the Baltimore community. In
the phase 1b, open-label and double-blind, dose-escalation trial of ChAd3-EBO-Z
in Mali, we recruited adults 18-50 years of age from six hospitals and health
centres in Bamako (Mali), some of whom were also eligible for a nested,
randomised, double-blind, placebo-controlled trial of MVA-BN-Filo. For randomised
segments of the Malian trial and for the US trial, we randomly allocated
participants (1:1; block size of six [Malian] or four [US]; ARB produced computer
generated randomisation lists; clinical staff did randomisation) to different
single doses of intramuscular immunisation with ChAd3-EBO-Z: Malians received 1 *
10(10) viral particle units (pu), 2.5 * 10(10) pu, 5 * 10(10) pu, or 1 * 10(11)
pu; US participants received 1 * 10(10) pu or 1 * 10(11) pu. We randomly
allocated Malians in the nested trial (1:1) to receive a single dose of 2 * 10(8)
plaque-forming units of MVA-BN-Filo or saline placebo. In the double-blind
segments of the Malian trial, investigators, clinical staff, participants, and
immunology laboratory staff were masked, but the study pharmacist (MK), vaccine
administrator, and study statistician (ARB) were unmasked. In the US trial,
investigators were not masked, but participants were. Analyses were per protocol.
The primary outcome was safety, measured with occurrence of adverse events for 7
days after vaccination. Both trials are registered with ClinicalTrials.gov,
numbers NCT02231866 (US) and NCT02267109 (Malian). FINDINGS: Between Oct 8, 2014,
and Feb 16, 2015, we randomly allocated 91 participants in Mali (ten [11%] to 1 *
10(10) pu, 35 [38%] to 2.5 * 10(10) pu, 35 [38%] to 5 * 10(10) pu, and 11 [12%]
to 1 * 10(11) pu) and 20 in the USA (ten [50%] to 1 * 10(10) pu and ten [50%] to
1 * 10(11) pu), and boosted 52 Malians with MVA-BN-Filo (27 [52%]) or saline (25
[48%]). We identified no safety concerns with either vaccine: seven (8%) of 91
participants in Mali (five [5%] received 5 * 10(10) and two [2%] received 1 *
10(11) pu) and four (20%) of 20 in the USA (all received 1 * 10(11) pu) given
ChAd3-EBO-Z had fever lasting for less than 24 h, and 15 (56%) of 27 Malians
boosted with MVA-BN-Filo had injection-site pain or tenderness. INTERPRETATION: 1
* 10(11) pu single-dose ChAd3-EBO-Z could suffice for phase 3 efficacy trials of
ring-vaccination containment needing short-term, high-level protection to
interrupt transmission. MVA-BN-Filo boosting, although a complex regimen, could
confer long-lived protection if needed (eg, for health-care workers). FUNDING:
Wellcome Trust, Medical Research Council UK, Department for International
Development UK, National Cancer Institute, Frederick National Laboratory for
Cancer Research, Federal Funds from National Institute of Allergy and Infectious
Diseases.
PMID- 26546552
TI - Erratum to: Genetic and Histopathological Responses to Cadmium Toxicity in
Rabbit's Kidney and Liver: Protection by Ginger (Zingiber officinale).
PMID- 26546553
TI - Effects of Selenium-Enriched Probiotics on Lipid Metabolism, Antioxidative
Status, Histopathological Lesions, and Related Gene Expression in Mice Fed a High
Fat Diet.
AB - A total of 80 female albino mice were randomly allotted into five groups (n = 16)
as follows: (A) normal control, (B) high-fat diet (HFD),; (C) HFD + probiotics
(P), (D) HFD + sodium selenite (SS), and (E) HFD + selenium-enriched probiotics
(SP). The selenium content of diets in groups A, B, C, D, and E was 0.05, 0.05,
0.05, 0.3, and 0.3 MUg/g, respectively. The amount of probiotics contained in
groups C and E was similar (Lactobacillus acidophilus 0.25 * 10(11)/mL and
Saccharomyces cerevisiae 0.25 * 10(9)/mL colony-forming units (CFU)). The high
fat diet was composed of 15 % lard, 1 % cholesterol, 0.3 % cholic acid, and 83.7
% basal diet. At the end of the 4-week experiment, blood and liver samples were
collected for the measurements of lipid metabolism, antioxidative status,
histopathological lesions, and related gene expressions. The result shows that
HFD significantly increased the body weights and liver damages compared to
control, while P, SS, or SP supplementation attenuated the body weights and liver
damages in mice. P, SS, or SP supplementation also significantly reversed the
changes of alanine aminotransferase (AST), aspartate aminotransferase (ALT),
total cholesterol (TC), triglyceride (TG), low-density lipoprotein (LDL), total
protein (TP), high-density lipoprotein (HDL), glutathione peroxidase (GSH-Px),
superoxide dismutase (SOD), catalasa (CAT), and malondialdehyde (MDA) levels
induced by HFD. Generally, adding P, SS, or SP up-regulated mRNA expression of
carnitine palmitoyltransferase-I (CPT1), carnitine palmitoyltransferase II
(CPT2), acetyl-CoA acetyltransferase II (ACAT2), acyl-coenzyme A oxidase (ACOX2),
and peroxisome proliferator-activated receptor alpha (PPARalpha) and down
regulated mRNA expression of fatty acid synthase (FAS), lipoprotein lipase (LPL),
peroxisome proliferator-activated receptor gamma (PPARgamma), and sterol
regulatory element-binding protein-1 (SREBP1) involved in lipid metabolism. Among
the group, adding SP has a maximum effect in improving lipid metabolism,
antioxidative status, histopathological lesions, and related gene expression in
mice fed a HFD.
PMID- 26546554
TI - Aluminum Activates PERK-EIF2alpha Signaling and Inflammatory Proteins in Human
Neuroblastoma SH-SY5Y Cells.
AB - Aluminum is the third most abundant element present in the earth's crust and
human exposure to it is possible due to industrialization, utensils, medicines,
antiperspirants, etc. Evidences suggest involvement of aluminum in a variety of
neurodegenerative disorders including Alzheimer's disease. Endoplasmic reticulum
(ER) stress has been implicated in various neurological disorders. ER stress may
be a result of impaired calcium homeostasis due to perturbed redox balance and is
known to elicit inflammation through the activation of unfolded protein response
(UPR). In the present study, we aimed to investigate the role of aluminum in ER
stress-mediated activation of inflammatory responses in neuroblastoma cells.
Lactate dehydrogenase (LDH) release assay revealed that aluminum compromised the
membrane integrity of neuroblastoma cells, probably due to membrane damage, as
indicated by enhanced levels of lipid peroxidation (LPO). Besides this, our
results clearly demonstrated elevated reactive oxygen species (ROS) levels and a
weakened antioxidant defence system manifested by decrease in catalase (CAT)
activity and cellular glutathione (GSH). Moreover, we studied the expression of
key apoptosis-related proteins, ER stress-mediated activation of UPR, and its
downstream inflammatory pathway. It was observed that aluminum potentially
enhanced protein levels of PERK, EIF2alpha, caspase 9, caspase 3, and
inflammatory markers like NF-kappaB, NLRP3, HMGB1, and nitric oxide (NO).
Furthermore, aluminum altered TNFalpha, IL1beta, IL6, and IL10 mRNA levels as
well. The overall findings indicated that aluminum mediates UPR activation
through ER stress, which results in induction of inflammatory pathway and
apoptotic proteins in neuronal cells.
PMID- 26546555
TI - Novel interactions of domain III from the envelope glycoprotein of dengue 2 virus
with human plasma proteins.
AB - Blood cells and plasma are important media for the four serotypes of dengue virus
(DENV1-4) spreading into an infected person. Thus, interactions with human plasma
proteins are expected to be decisive in the course of the viral infection.
Affinity purification followed by MS analysis (AP/MS) was used to isolate and
identify plasma-derived proteins capable to interact with a recombinant protein
comprising the domain III of the envelope protein of DENV2 (DIIIE2). The elution
of the AP potently inhibits DENV2 infection. Twenty-nine proteins were identified
using a label-free approach as specifically captured by DIIIE2. Of these, a
direct interaction with C reactive protein, thrombin and Inter-alpha-inhibitor
complexes was confirmed by ELISA. Results provide further evidence of a
significant representation of proteins from complement and coagulation cascades
on DENV2 interactome in human plasma and stand out the domain III of the viral
envelope protein as participant on these interactions. A functional clustering
analysis highlights the presence of three structural motifs among putative DIIIE2
binding proteins: hydroxylation and EGF-like calcium-binding- and Gla domains.
BIOLOGICAL SIGNIFICANCE: Early cycles of dengue virus replication take place in
human blood cells. Thus, the characterization of the interactome of dengue virus
proteins in human plasma can lead to the identification of pivotal interactions
for the infection that can eventually constitute the target for the development
of methods to control dengue virus-caused disease. In this work we identified 29
proteins from human plasma that potentially interact with the envelope protein of
dengue 2 virus either directly or through co-complex formation. C reactive
protein, thrombin and Inter-alpha-inhibitor complexes were validated as
interactors of the domain III of the envelope protein of dengue 2. Results
highlight the presence of three structural motifs among putative DIIIE2-binding
proteins: hydroxylation and EGF-like calcium-binding- and Gla domains. This
finding together with the participation of domain III of the envelope protein on
the interactions with human plasma proteins should contribute to a better
understanding of dengue virus interactome in human plasma. Such knowledge can
contribute to the development of more effective treatments to infected persons.
PMID- 26546556
TI - The phosphoproteome of human Jurkat T cell clones upon costimulation with anti
CD3/anti-CD28 antibodies.
AB - Phosphorylation is a reversible post-translational modification, playing a vital
role in protein function. In T cells, protein phosphorylation is the key
mechanism regulating T cell receptor-driven signaling pathways. In order to gain
insights into the phosphoproteome evolution of T cell activation, we performed a
large-scale quantitative phosphoproteomics study of Jurkat E6.1 (wild type) and
Jurkat gamma1 (Phospholipase gamma1 null) cell clones upon costimulation with
anti-CD3 and anti-CD28 antibodies at times ranging from 15min to as long as
120min. In total, we identified 5585 phosphopeptides belonging to 2008
phosphoproteins from both cell clones. We detected 130 and 114 novel
phosphopeptides in Jurkat E6.1 and Jurkat gamma1 clones, respectively. A
significantly lower number of proteins containing regulated phosphorylation sites
were identified in Jurkat gamma1 in comparison to Jurkat E6.1, reflecting the
vital role of Phospholipase gamma1 in T cell signaling. Several new
phosphorylation sites from lymphocyte-specific protein tyrosine kinase (Lck) were
identified. Of these, serine-121 showed significant changes in JE6.1 while only
small changes in the Jgamma1 clone. Our data may contribute to the current human
T cell phosphoproteome and provide a better understanding on T cell receptor
signaling. Data are available via ProteomeXchange with identifier PXD002871.
PMID- 26546557
TI - Maestro, Marguerite, morphine: The last years in the life of Mikhail Bulgakov.
AB - The manuscript pages of the final draft of Master i Margarita, the masterpiece by
Mikhail Bulgakov, written in the last four years of his life (1936-1940), have
been treated with a mixture of chromatographic beads, namely a strong cation
exchanger and a C8 resin. Potential substances captured by the beads, after
harvesting them, were eluted with a mixture of isopropyl alcohol, dichloromethane
and ammonium hydroxide and the eluate subjected to GC-MS analysis in order to
detect the presence, if any, of drugs, due to the fact that the writer suffered
intense pains caused by an inherited nephrotic syndrome. Indeed all the pages
under investigation (a total of ten, taken at random among 127 foils) contained
traces of morphine, from as little as 5 up to 100ng/cm(2). In addition to the
intact drug, we could detect one of its metabolites, namely 6-O-acetyl morphine.
The significance of these findings in terms of a possible improvement of the
novel and in terms of drug use (or abuse) in the modern world is discussed and
evaluated. BIOLOGICAL SIGNIFICANCE: The extraction of metabolites/proteins from
the surface of the original manuscript pages of Bulgakov masterpiece Master i
Margarita has permitted to monitor his health state and intake of medicaments
over the last four years of his life. We have ascertained that: (1) he was
assuming large doses of morphine as pain killers; (2) he was affected by a
nephrotic syndrome, since we could identify three proteins known as biomarkers of
this pathology. The double extraction procedure here reported could open up a
novel field of investigation of (relatively) ancient manuscripts for
metabolome/proteome analysis on the health status of the writer/artist.
PMID- 26546558
TI - Comparative proteomics of uropathogenic Escherichia coli during growth in human
urine identify UCA-like (UCL) fimbriae as an adherence factor involved in biofilm
formation and binding to uroepithelial cells.
AB - Uropathogenic Escherichia coli (UPEC) are the primary cause of urinary tract
infection (UTI) in humans. For the successful colonisation of the human urinary
tract, UPEC employ a diverse collection of secreted or surface-exposed virulence
factors including toxins, iron acquisition systems and adhesins. In this study, a
comparative proteomic approach was utilised to define the UPEC pan and core
surface proteome following growth in pooled human urine. Identified proteins were
investigated for subcellular origin, prevalence and homology to characterised
virulence factors. Fourteen core surface proteins were identified, as well as
eleven iron uptake receptor proteins and four distinct fimbrial types, including
type 1, P, F1C/S and a previously uncharacterised fimbrial type, designated UCA
like (UCL) fimbriae in this study. These pathogenicity island (PAI)-associated
fimbriae are related to UCA fimbriae of Proteus mirabilis, associated with UPEC
and exclusively found in members of the E. coli B2 and D phylogroup. We further
demonstrated that UCL fimbriae promote significant biofilm formation on abiotic
surfaces and mediate specific attachment to exfoliated human uroepithelial cells.
Combined, this study has defined the surface proteomic profiles and core surface
proteome of UPEC during growth in human urine and identified a new type of
fimbriae that may contribute to UTI.
PMID- 26546559
TI - An environmental route of exposure affects the formation of nanoparticle coronas
in blood plasma.
AB - Nanoparticles (NPs) in contact with biological fluids become covered by a tightly
bound layer of proteins, the "protein corona", and it is largely accepted that
this corona gives a new identity to NPs in biological milieu. We here consider
the exposing scenario of NPs through an environmental route exemplified by the
use of hydrophobins, highly adhesive proteins that are secreted into the
environment in large quantities by fungi. HFBII of Trichoderma reesei has been
used as a model protein and we have shown strong binding to polystyrene NPs of
different sizes and surface groups. Hydrophobin coated NPs are shown to strongly
increase the stability and the dispersion when exposed to human plasma compared
to pristine ones particles. It is also shown that the presence of hydrophobin on
the NPs results in an attenuated protein corona formation, in a different corona
composition, and we also show that hydrophobin remained strongly associated to
the NPs in competition with plasma proteins. As a conclusion we therefore suggest
that the route of exposure of nanoparticles strongly affects their surface
properties and their possible physiological behavior. SIGNIFICANCE: This work
shows how a self-assembling protein, class II hydrophobin HFBII, with interesting
biocompatible coating properties, strongly adsorbs on polystyrene NPs. HFBII is
also shown to reduce aggregation of the NPs in human plasma which can increase
their bioavailability with potential use in biomedical applications. The results
here are also of significance for understanding possible interactions of NPs with
living organisms. Hydrophobins are secreted in large quantities into the
environment by fungi and this work shows how the biological environment of NPs
determines the surface and colloidal properties of the particles by forming a
protein corona, and that the history of the particle environment, here simulated
with hydrophobin exposure, affects both plasma protein corona formation and
dispersion behavior. This work thus simulates how alternative exposure routes
affect nanoparticle properties, important in understanding the biological fate of
NPs.
PMID- 26546560
TI - Towards muscle-specific meat color stability of Chinese Luxi yellow cattle: A
proteomic insight into post-mortem storage.
AB - Searching for potential predictors of meat color is a challenging task for the
meat industry. In this study, the relationship between meat color parameters and
the sarcoplasmic proteome of M. longissimuss lumborum (LL) and M. psoas major
(PM) from Chinese Luxi yellow cattle during post-mortem storage (0, 5, 10 and
15days) were explored with the aid of the integrated proteomics and
bioinformatics approaches. Meat color attributes revealed that LL displayed
better color stability than PM during storage. Furthermore, sarcoplasmic proteins
of these two muscles were compared between days 5, 10, 15 and day 0. Several
proteins were closely correlated with meat color attributes and they were muscle
specific and responsible for the meat color stability at different storage
periods. Glycerol-3-phosphate dehydrogenase, fructose-bisphosphate aldolase A
isoform, glycogen phosphorylase, peroxiredoxin-2, phosphoglucomutase-1,
superoxide dismutase [Cu-Zn], heat shock cognate protein (71kDa) might serve as
the candidate predictors of meat color stability during post-mortem storage. In
addition, bioinformatics analyses indicated that more proteins were involved in
glycolytic metabolism of LL, which contributed to better meat color stability of
LL than PM. The present results could provide a proteomic insight into muscle
specific meat color stability of Chinese Luxi yellow cattle during post-mortem
storage.
PMID- 26546561
TI - You may now kiss the bride: Interpretation of social situations by individuals
with right or left hemisphere injury.
AB - While left hemisphere damage (LHD) has been clearly shown to cause a range of
language impairments, patients with right hemisphere damage (RHD) also exhibit
communication deficits, such as difficulties processing prosody, discourse, and
social contexts. In the current study, individuals with RHD and LHD were directly
compared on their ability to interpret what a character in a cartoon might be
saying or thinking, in order to better understand the relative role of the right
and left hemisphere in social communication. The cartoon stimuli were manipulated
so as to elicit more or less formulaic responses (e.g., a scene of a couple being
married by a priest vs. a scene of two people talking, respectively).
Participants' responses were scored by blind raters on how appropriately they
captured the gist of the social situation, as well as how formulaic and typical
their responses were. Results showed that RHD individuals' responses were rated
as significantly less appropriate than controls and were also significantly less
typical than controls and individuals with LHD. Individuals with RHD produced a
numerically lower proportion of formulaic expressions than controls, but this
difference was only a trend. Counter to prediction, the pattern of performance
across participant groups was not affected by how constrained/formulaic the
social situation was. The current findings expand our understanding of the roles
that the right and left hemispheres play in social processing and communication
and have implications for the potential treatment of social communication
deficits in individuals with RHD.
PMID- 26546562
TI - Higher education is associated with a better rheumatoid arthritis outcome
concerning for pain and function but not disease activity: results from the EIRA
cohort and Swedish rheumatology register.
AB - INTRODUCTION: Whether low socioeconomic status (SES) is associated with worse
rheumatoid arthritis (RA) outcomes in countries with general tax-financed
healthcare systems (such as Sweden) remains to be elucidated. Our aim was to
investigate the influence of educational background (achieving university/college
degree (high) or not (low)) on the outcomes of early RA, in terms of disease
activity (DAS28), pain (VAS-pain), and functional impairment (HAQ). METHODS: We
evaluated DMARD-naive RA patients recruited in the Epidemiological Investigation
of RA (EIRA) study with outcomes followed in the Swedish Rheumatology Quality
(SRQ) register (N = 3021). Outcomes were categorized in three ways: (1) scores
equal to/above median vs. below median; (2) DAS28-based low disease activity,
good response, remission; (3) scores decreased over the median vs. less than
median. Associations between educational background and outcomes were calculated
by modified Poisson regressions, at diagnosis and at each of the three standard
(3, 6, 12 months) follow-up visits. RESULTS: Patients with different educational
background had similar symptom durations (195 days) and anti-rheumatic therapies
at baseline, and comparable treatment patterns during follow-up. Patients with a
high education level had significantly less pain and less functional disability
at baseline and throughout the whole follow-up period (VAS-pain: baseline: 49 (28
67) vs. 53 (33-71), p <0.0001; 1-year visit: RR = 0.81 (95% CI 0.73-0.90). HAQ:
baseline: 0.88 (0.50-1.38) vs. 1.00 (0.63-1.50), p = 0.001; 1-year visit: 0.84
(0.77-0.92)). They also had greater chances to achieve pain remission (VAS-pain
<=20) after one year (1.17 (1.07-1.28)). Adjustments for smoking and BMI altered
the results only marginally. Educational background did not influence DAS28-based
outcomes. CONCLUSION: In Sweden, with tax-financed, generally accessible
healthcare system, RA patients with a high education level experienced less pain
and less functional disability. Further, these patients achieved pain remission
more often during the first year receiving standard care. Importantly, education
background affected neither time to referral to rheumatologists, disease activity
nor anti-rheumatic treatments.
PMID- 26546563
TI - Choroidal Line Scan Measurements in Swept-Source Optical Coherence Tomography as
Surrogates for Volumetric Thickness Assessment.
AB - PURPOSE: To compare choroidal thickness of different areas on swept-source
optical coherence tomography (SSOCT) line and cube scans for their
interchangeable use. DESIGN: Validity analysis. METHODS: SSOCT line and cube
scans were obtained from 21 patients with various choroidal thicknesses.
Subfoveal center point choroidal thickness, mean central millimeter choroidal
thickness, and mean 6-mm-area choroidal thicknesses were obtained from both eyes
by 2 independent graders in a reading center setting. Cross-correlations were
performed using Passing and Bablok regression models. A 95% confidence interval
of slope that included 1 was considered to indicate no significant difference.
Average choroidal thickness of center point, Early Treatment Diabetic Retinopathy
Study grid subfields, and total grid area of 6 mm on both scans and the
correlation between different areas served as main outcome measures. RESULTS: No
significant difference between line scans/corresponding subfields of cube scans
(outer nasal 0.92-1.11, inner nasal 0.88-1.06, central 0.94-1.11, inner temporal
0.95-1.12, outer temporal 0.93-1.17). No significant difference between subfoveal
center point measurement/mean of choroidal thickness in the central millimeter of
cube scans (0.89-1.08). Significant difference of subfoveal center point
measurement or mean of central millimeter area of cube scans to entire 6-mm area
of cube scans (1.01-1.53 and 1.03-1.38). CONCLUSIONS: Measurements on a single
SSOCT horizontal line scan can represent the entire choroid but subfoveal center
point measurements are only indicative for the central millimeter area. There is
a consistent overestimation of choroidal thickness when trying to estimate
overall choroidal thickness from any central measurement.
PMID- 26546564
TI - Effect of Photorefractive Keratectomy on Nystagmus and Visual Functions in Myopic
Patients With Infantile Nystagmus Syndrome.
AB - PURPOSE: To determine the effect of photorefractive keratectomy (PRK) on
involuntary eye movements, visual acuity, and contrast sensitivity in myopic
patients with infantile nystagmus syndrome. DESIGN: Prospective interventional
case series. METHODS: This study was conducted on patients with infantile
nystagmus syndrome and myopia equal to or more than -1 diopter (D), who were
referred to our clinic over a 2-year period. Patients older than 18 years of age
with a stable refraction for at least 1 year who were good candidates for PRK
were included. Complete ophthalmologic examinations including assessment of best
corrected visual acuity (BCVA), contrast sensitivity, and videonystagmography
were performed for all patients before and 3 months after surgery. RESULTS:
Twenty-four eyes of 12 patients with mean age of 23 +/- 2 years were enrolled in
this study. Spherical equivalent refractive error was -2.82 +/- 1.65 D and -0.26
+/- 0.25 D before and after PRK, respectively (P < .001). Monocular BCVA improved
from 0.36 +/- 0.21 logMAR to 0.27 +/- 0.25 logMAR and binocular BCVA improved
from 0.33 +/- 0.2 logMAR to 0.17 +/- 0.16 logMAR (P < .001). Contrast sensitivity
significantly improved at low (P < .001), intermediate (P < .001), and high
frequencies (P = .01). The frequency, amplitude, and intensity of nystagmus were
significantly decreased after PRK (P < .001). There was no correlation between
the degree of myopia correction and improvement in sensory and motor indices of
nystagmus (P > .1, Spearman correlation coefficient). CONCLUSION: PRK in patients
with infantile nystagmus syndrome and myopia improved monocular and binocular
BCVA and contrast sensitivity. Furthermore, motor indices of nystagmus
(frequency, amplitude, and intensity) were significantly improved after surgery
in these patients.
PMID- 26546565
TI - Chemical Potency and Degradation Products of Medications Stored Over 550 Earth
Days at the International Space Station.
AB - Medications degrade over time, and degradation is hastened by extreme storage
conditions. Current procedures ensure that medications aboard the International
Space Station (ISS) are restocked before their expiration dates, but resupply may
not be possible on future long-duration exploration missions. For this reason,
medications stored on the ISS were returned to Earth for analysis. This was an
opportunistic, observational pilot-scale investigation to test the hypothesis
that ISS-aging does not cause unusual degradation. Nine medications were analyzed
for active pharmaceutical ingredient (API) content and degradant amounts; results
were compared to 2012 United States Pharmacopeia (USP) requirements. The
medications were two sleep aids, two antihistamines/decongestants, three pain
relievers, an antidiarrheal, and an alertness medication. Because the samples
were obtained opportunistically from unused medical supplies, each medication was
available at only 1 time point and no control samples (samples aged for a similar
period on Earth) were available. One medication met USP requirements 5 months
after its expiration date. Four of the nine (44% of those tested) medications
tested met USP requirements 8 months post expiration. Another three medications
(33%) met USP guidelines 2-3 months before expiration. One compound, a dietary
supplement used as a sleep aid, failed to meet USP requirements at 11 months post
expiration. No unusual degradation products were identified. Limited, evidence
based extension of medication shelf-lives may be possible and would be useful in
preparation for lengthy exploration missions. Only analysis of flight-aged
samples compared to appropriately matched ground controls will permit
determination of the spaceflight environment on medication stability.
PMID- 26546566
TI - Pediatric schwannomatosis, a rare but distinct form of neurofibromatosis.
AB - Schwannomatosis is the third major form of neurofibromatosis, distinct from
neurofibromatosis type 2 (NF2) and type 1 (NF1). This condition is rare with a
variable phenotypic presentation and complex molecular and genetic findings. In
this case, a previously healthy teenager was found to have multiple spinal
lesions and an enhancing right parotid mass on MRI. On extensive further work-up,
this patient met the existing clinical criteria for schwannomatosis. This case
report aims to review the clinical features and current diagnostic criteria for
schwannomatosis and compare it to NF1 and NF2. Special emphasis will be placed on
imaging features that should prompt the radiologist to suggest this rare
diagnosis.
PMID- 26546567
TI - Candida albicans pancreatitis in a child with cystic fibrosis post lung
transplantation.
AB - We present a case of Candida albicans infection of a previously intact pancreas
in a child with cystic fibrosis status post lung transplantation. Although
Candida superinfection in necrotizing pancreatitis is not uncommon, this is a
unique case of Candida infection of non-necrotic pancreatic parenchyma. This case
presented a diagnostic dilemma for radiologists because it appeared virtually
identical to acute interstitial edematous pancreatitis on imaging. Ultimately,
endoscopic US-based biopsy was pursued for diagnosis. Although difficult to treat
and compounded by the immunocompromised status of the child, the pancreatic
infection improved with antifungal therapy.
PMID- 26546568
TI - Knowledge-based iterative model reconstruction: comparative image quality and
radiation dose with a pediatric computed tomography phantom.
AB - BACKGROUND: CT of pediatric phantoms can provide useful guidance to the
optimization of knowledge-based iterative reconstruction CT. OBJECTIVE: To
compare radiation dose and image quality of CT images obtained at different
radiation doses reconstructed with knowledge-based iterative reconstruction,
hybrid iterative reconstruction and filtered back-projection. MATERIALS AND
METHODS: We scanned a 5-year anthropomorphic phantom at seven levels of
radiation. We then reconstructed CT data with knowledge-based iterative
reconstruction (iterative model reconstruction [IMR] levels 1, 2 and 3; Philips
Healthcare, Andover, MA), hybrid iterative reconstruction (iDose(4), levels 3 and
7; Philips Healthcare, Andover, MA) and filtered back-projection. The noise,
signal-to-noise ratio and contrast-to-noise ratio were calculated. We evaluated
low-contrast resolutions and detectability by low-contrast targets and subjective
and objective spatial resolutions by the line pairs and wire. RESULTS: With
radiation at 100 peak kVp and 100 mAs (3.64 mSv), the relative doses ranged from
5% (0.19 mSv) to 150% (5.46 mSv). Lower noise and higher signal-to-noise,
contrast-to-noise and objective spatial resolution were generally achieved in
ascending order of filtered back-projection, iDose(4) levels 3 and 7, and IMR
levels 1, 2 and 3, at all radiation dose levels. Compared with filtered back
projection at 100% dose, similar noise levels were obtained on IMR level 2 images
at 24% dose and iDose(4) level 3 images at 50% dose, respectively. Regarding low
contrast resolution, low-contrast detectability and objective spatial resolution,
IMR level 2 images at 24% dose showed comparable image quality with filtered back
projection at 100% dose. Subjective spatial resolution was not greatly affected
by reconstruction algorithm. CONCLUSION: Reduced-dose IMR obtained at 0.92 mSv
(24%) showed similar image quality to routine-dose filtered back-projection
obtained at 3.64 mSv (100%), and half-dose iDose(4) obtained at 1.81 mSv.
PMID- 26546569
TI - Multimodality Evaluation of Intravenous Leiomyomatosis: A Rare, Benign but
Potentially Life-Threatening Tumor.
AB - BACKGROUND: Intravenous leiomyomatosis (IVL) is a rare tumor, which is usually of
uterine origin, characterized by intravascular nodular masses of histologically
benign smooth muscle that may extend variable distances, including into the
inferior vena cava, right atrium and pulmonary arteries. Tumors may arise from
uterine leiomyoma, walls of the uterine vessel, or myometrium. It usually occurs
at between 20-70 years of age with a median age of 45 years. The most commonly
affected women are pre-menopausal and multiparous. Intra-cardiac extension may
represent a diagnostic challenge as it is usually misdiagnosed as a right atrial
myxoma and may cause multiple symptoms, such as shortness of breath, tachycardia,
chest pain, syncope, and even death. CASE REPORT: We present the case of a 40
year-old female patient with past medical history of arterial hypertension, who
was referred to a cardiovascular center due to an intra-cardiac mass found on 2D
echocardiogram. The patient was given the rare diagnosis of intravenous
leiomyomatosis of the uterus with extension into the gonadal veins, inferior vena
cava, right atrium, right ventricle, and main pulmonary arteries. Imaging workup
including trans-esophageal echocardiogram, cardiac catheterization, contrast
enhanced abdomen and pelvic CT scans, and cardiac MRI was performed for
evaluation. CONCLUSIONS: Intravenous leiomyomatosis is a rare diagnosis that
merits consideration in a young pre-menopausal female patient with cardiac
symptoms associated with a right atrial mass. Radiologists play a vital role in
the diagnosis and follow-up of patients with the diagnosis of intravenous
leiomyomatosis. Differential diagnosis includes vascular thrombus as well as
primary and metastatic tumors. Early detection is imperative for appropriate
treatment and surgical planning.
PMID- 26546570
TI - Ascaridoid parasites infecting in the frequently consumed marine fishes in the
coastal area of China: A preliminary investigation.
AB - Marine fishes represent the important components of the diet in the coastal areas
of China and they are also natural hosts of various parasites. However, to date,
little is known about the occurrence of ascaridoid parasites in the frequently
consumed marine fishes in China. In order to determine the presence of ascaridoid
parasites in the frequently consumed marine fishes in the coastal town Huizhou,
Guangdong Province, China, 211 fish representing 45 species caught from the South
China Sea (off Daya Gulf) were examined. Five species of ascaridoid nematodes at
different developmental stages were detected in the marine fishes examined
herein, including third-stage larva of Anisakis typica (Diesing, 1860), third and
fourth-stage larvae of Hysterothylacium sp. IV-A of Shamsi, Gasser & Beveridge,
2013, adult and third-stage larvae of Hysterothylacium zhoushanense Li, Liu &
Zhang, 2014, adults and third-stage larvae of Raphidascaris lophii (Wu, 1949) and
adults of Raphidascaris longispicula Li, Liu & Zhang, 2012. The overall
prevalence of infection is 18.0%. Of them, Hysterothylacium sp. IV-A with the
highest prevalence (17.5%) and intensity (mean=14.6) of infection was the
predominant species. The prevalence and intensity of A. typica were very low
(1/211 of marine fish infected with an intensity of one parasite per fish). The
morphological and molecular characterization of all nematode species was
provided. A cladistic analysis based on ITS sequence was constructed in order to
determine the phylogenetic relationships of these ascaridoid parasites obtained
herein. The present study provided important information on the occurrence and
diagnosis of ascaridoid nematodes in the commercially important marine fishes
from the South China Sea. The low level of infection and the species composition
of ascaridoid nematodes seem to indicate the presence of low risk of human
anisakidosis when local population consumed these marine fishes examined herein.
PMID- 26546571
TI - Atorvastatin and metformin administration modulates experimental Trichinella
spiralis infection.
AB - The host-parasite interaction can be altered by the changes in the host
environment that may be or may not be in favor of successful invasion by the
nematode parasite Trichinella spiralis. Metformin and atorvastatin are applied on
a wide scale, to the degree that they could be considered as part of the host
biochemical environment that can affect the parasite. Therefore, this study aimed
to investigate the impact of alteration of the host's biochemical environment by
these commonly used drugs upon the course of T. spiralis infection. Mice were
divided into three groups: (1) received atorvastatin, (2) received metformin, and
(3) untreated, then after one week, animals were infected with T. spiralis. The
treatment continued until the end of the experiment. From each group, small
intestines and muscles were removed for histopathological, immunohistochemical,
and biochemical analyses as well as total muscle larval counts. We found that the
oxidative stress and the expression of vascular endothelial growth factor (VEGF)
in the muscles were significantly reduced in both drug-receiving groups, while
the total larval counts in muscles were only significantly reduced in
atorvastatin-receiving group as compared to the infected control group. Moreover,
marked reduction in the inflammatory cellular infiltration, cyclooxygenase-2 (COX
2) expression, and oxidative stress was noted in the small intestines of the
treated groups as compared to the infected control group. In conclusion, this
study provides many insights into the different biochemical changes in the host
that the parasite has to face. Moreover, the anti-inflammatory and anti
angiogenic effects should be taken into consideration when treating infections in
patients on therapy with atorvastatin or metformin.
PMID- 26546572
TI - NF-kappaB transcriptional inhibition ameliorates cisplatin-induced acute kidney
injury (AKI).
AB - The nuclear factor kappa-light-chain-enhancer of activated B cells (NF-kappaB)
cell signaling pathway is important in inflammation and cell survival.
Inflammation and cell death in the kidney are features of cisplatin-induced AKI.
While it is known that cisplatin induces NF-kappaB signaling in the kidney, the
NF-kappaB responsive genes and the effect of direct NF-kappaB transcriptional
inhibition in cisplatin-induced AKI is not known. Mice injected with cisplatin,
25mg/kg, developed AKI, acute tubular necrosis (ATN) and apoptosis on day 3. Mice
were treated with JSH-23 (20 or 40 mg/kg) which directly affects NF-kappaB
transcriptional activity. Kidney function, tubular injury (ATN, serum neutrophil
gelatinase-associated lipocalin [NGAL], but not apoptosis) and myeloperoxidase
(MPO) activity were significantly improved by JSH-23 (40 mg/kg). Sixty one NF
kappaB responsive genes were increased by cisplatin of which 21 genes were
decreased by JSH-23. Genes that were decreased by JSH-23 that are known to play a
role in cisplatin-induced AKI were IL-10, IFN-gamma, chemokine [C-C motif] ligand
2 (CCL2) and caspase-1. Another gene, caspase recruitment domain family, member
11 (CARD11), not previously known to play a role in AKI, was increased more than
20-fold and completely inhibited by JSH-23. CXCL1 and TNF-alpha, known mediators
of cisplatin-induced AKI, were decreased by JSH-23. RIPK1 and 3, receptor
interacting serine/threonine-protein kinases, that play an important role in
necroptosis, were decreased by JSH-23. In mouse proximal tubule cells in culture,
JSH-23 resulted in an increase in apoptosis suggesting that the mechanism of
protection against AKI by JSH-23 is not due to a direct effect on proximal
tubules. In conclusion, NF-kappaB transcriptional inhibition in cisplatin-induced
AKI ameliorates kidney function and ATN without a significant effect on apoptosis
and is associated with a decrease pro-inflammatory mediators and CARD11.
PMID- 26546574
TI - Importance of Implantable Cardioverter-Defibrillator Back-Up in Cardiac
Resynchronization Therapy Recipients: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: It remains to be determined whether patients receiving cardiac
resynchronization therapy (CRT) benefit from the addition of an implantable
cardioverter-defibrillator (ICD). METHODS AND RESULTS: We performed a literature
search looking for studies of patients implanted with CRTs. Comparisons were
performed between patients receiving CRT-defibrillator (CRT-D) versus CRT
pacemaker (CRT-P). The primary outcome was all-cause mortality. Data were pooled
using a random-effects model. The relative risk (RR) and hazard ratio (HR, when
available) were used as measurements of treatment effect. Nineteen entries were
entitled for inclusion, comprising 12 378 patients (7030 receiving CRT-D and 5348
receiving CRT-P) and 29 799 patient-years of follow-up. Those receiving CRT-D
were younger, were more often males, had lower NYHA class, lower prevalence of
atrial fibrillation, higher prevalence of ischemic heart disease, and were more
often on beta-blockers. Ten studies showed significantly lower mortality rates
with the CRT-D device, while the remaining 9 were neutral. The pooled data of
studies revealed that CRT-D patients had significantly lower mortality rates
compared with CRT-P patients (mortality rates: CRT-D 16.6% versus CRT-P 27.1%;
RR=0.69, 95% CI 0.62-0.76; P<0.00001). The number needed to treat to prevent one
death was 10. The observed I(2) values showed moderate heterogeneity among
studies (I(2)=48%). The benefit of CRT-D was more pronounced in ischemic
cardiomyopathy (HR=0.70, 95% CI 0.59-0.83, P<0.001, I(2)=0%), but a trend for
benefit, albeit of lower magnitude, could also be seen in non-ischemic dilated
cardiomyopathy (HR=0.79, 95% CI 0.61-1.02, P=0.07, I(2)=36%). CONCLUSIONS: The
addition of the ICD associates with a reduction in the risk of all-cause
mortality in CRT patients. This seems to be more pronounced in patients with
ischemic cardiomyopathy.
PMID- 26546573
TI - Thiosulfate Mediates Cytoprotective Effects of Hydrogen Sulfide Against Neuronal
Ischemia.
AB - BACKGROUND: Hydrogen sulfide (H2S) exhibits protective effects in various disease
models including cerebral ischemia-reperfusion (I/R) injury. Nonetheless,
mechanisms and identity of molecules responsible for neuroprotective effects of
H2S remain incompletely defined. In the current study, we observed that
thiosulfate, an oxidation product of H2S, mediates protective effects of an H2S
donor compound sodium sulfide (Na2S) against neuronal I/R injury. METHODS AND
RESULTS: We observed that thiosulfate in cell culture medium is not only required
but also sufficient to mediate cytoprotective effects of Na2S against oxygen
glucose deprivation and reoxygenation of human neuroblastoma cell line (SH-SY5Y)
and murine primary cortical neurons. Systemic administration of sodium
thiosulfate (STS) improved survival and neurological function of mice subjected
to global cerebral I/R injury. Beneficial effects of STS, as well as Na2S, were
associated with marked increase of thiosulfate, but not H2S, in plasma and brain
tissues. These results suggest that thiosulfate is a circulating "carrier"
molecule of beneficial effects of H2S. Protective effects of thiosulfate were
associated with inhibition of caspase-3 activity by persulfidation at Cys163 in
caspase-3. We discovered that an SLC13 family protein, sodium sulfate
cotransporter 2 (SLC13A4, NaS-2), facilitates transport of thiosulfate, but not
sulfide, across the cell membrane, regulating intracellular concentrations and
thus mediating cytoprotective effects of Na2S and STS. CONCLUSIONS: The
protective effects of H2S are mediated by thiosulfate that is transported across
cell membrane by NaS-2 and exerts antiapoptotic effects via persulfidation of
caspase-3. Given the established safety track record, thiosulfate may be
therapeutic against ischemic brain injury.
PMID- 26546575
TI - DFL23448, A Novel Transient Receptor Potential Melastin 8-Selective Ion Channel
Antagonist, Modifies Bladder Function and Reduces Bladder Overactivity in Awake
Rats.
AB - The transient receptor potential melastin 8 ion channel (TRPM8) is implicated in
bladder sensing but limited information on TRPM8 antagonists in bladder
overactivity is available. This study characterizes a new TRPM8-selective
antagonist (DFL23448 [5-(2-ethyl-2H-tetrazol-5-yl)-2-(3-fluorophenyl)-1,3-thiazol
4-ol]) and evaluates it in cold-induced behavioral tests and tests on bladder
function and experimental bladder overactivity in vivo in rats. DFL23448
displayed IC50 values of 10 and 21 nM in hTRPM8 human embryonic kidney 293 cells
activated by Cooling Agent 10 or cold, but it had limited activity (IC50 > 10
MUM) at transient receptor potential vanilloids TRPV1, TRPA1, or TRPV4 or at
various G protein-coupled receptors. In rats, DFL23448 administered intravenously
or orally had a half-life of 37 minutes or 4.9 hours, respectively. DLF23448 (10
mg/kg i.v.) reduced icilin-induced "wet dog-like" shakes in rats. Intravesical
DFL23448 (10 mg/l), but not vehicle, increased micturition intervals, micturition
volume, and bladder capacity. During bladder overactivity by intravesical
prostaglandin E2 (PGE2), vehicle controls exhibited reductions in micturition
intervals, micturition volumes, and bladder capacity by 37%-39%, whereas the same
parameters only decreased by 12%-15% (P < 0.05-0.01 versus vehicle) in DFL23448
treated rats. In vehicle-treated rats, but not in DFL23448-treated rats,
intravesical PGE2 increased bladder pressures. Intravenous DFL23448 at 10 mg/kg,
but not 1 mg/kg DFL23448 or vehicle, increased micturition intervals, micturition
volumes, and bladder capacity. During bladder overactivity by intravesical PGE2,
micturition intervals, micturition volumes, and bladder capacity decreased in
vehicle- and 1 mg/kg DFL23448-treated rats, but not in 10 mg/kg DFL23448-treated
rats. Bladder pressures increased less in rats treated with DFL23448 10 mg/kg
than in vehicle- or 1 mg/kg DFL23448-treated rats. DFL23448 (10 mg/kg i.v.), but
not vehicle, prevented cold stress-induced bladder overactivity. Our results
support a role for bladder TRPM8-mediated signals in experimental bladder
overactivity.
PMID- 26546576
TI - Simulation-Based Cryosurgery Training: Variable Insertion Depth Planning in
Prostate Cryosurgery.
AB - A proof-of-concept for an advanced-level computerized training tool for
cryosurgery is demonstrated, based on three-dimensional cryosurgery simulations
and a variable insertion depth strategy for cryoprobes. The objective for system
development is two-fold: to identify a cryoprobe layout in order to best match a
planning isotherm with the target region shape and to verify that cryoprobe
placement does not violate accepted geometric constraints. System validation has
been performed by collecting training data from 17 surgical residents having no
prior experience or advanced knowledge of cryosurgery. This advanced-level study
includes an improved training session design in order to enhance knowledge
dissemination and elevate participant motivation to excel. In terms of match
between a planning isotherm and the target region shape, results of this
demonstrate trainee performance improvement from 4.4% in a pretest to 44.4% in a
posttest over a course of 50 minutes of training. In terms of combined
performance, including the above-mentioned geometrical match and constraints on
cryoprobe placement, this study demonstrates trainee performance improvement from
2.2% in the pretest to 31.1% in the posttest. Given the relatively short training
session and the lack of prior knowledge, these improvements are significant and
encouraging. These results are of particular significance, as they have been
obtained from a surgical resident population which are exposed to the typical
stress and constraints in advanced surgical education.
PMID- 26546577
TI - Efficacy of various percutaneous interventions for in-stent restenosis:
comprehensive network meta-analysis of randomized controlled trials.
AB - BACKGROUND: In-stent restenosis (ISR) remains a difficult problem in
interventional cardiology. The relative efficacy and safety of available
interventions is not clear. We aimed to perform a network meta-analysis using
both direct evidence and indirect evidence to compare all available
interventions. METHODS AND RESULTS: We systematically searched electronic
databases for randomized trials comparing >=2 treatments for ISR. A network meta
analysis was performed using a Bayesian approach. Eleven treatments were compared
in 31 studies with 8157 patient-years follow-up. Compared with balloon
angioplasty, everolimus-eluting stent (hazard ratio [95% credibility interval],
0.13 [0.048-0.35]), paclitaxel-eluting balloon (0.32 [0.20-0.49]), paclitaxel
eluting cutting balloon (0.054 [0.0017-0.5]), paclitaxel-eluting stent (0.39
[0.24-0.62]), and sirolimus-eluting stent (0.32 [0.18-0.50]) are associated with
lower target vessel revascularization. Balloon angioplasty is not different from
cutting balloon (0.73 [0.31-1.5]), excimer laser (0.89 [0.29-2.7]), rotational
atherectomy (0.96 [0.53-1.7]), and vascular brachytherapy (0.60 [0.35-1.0]). In
drug-eluting stent ISR, balloon angioplasty was inferior to everolimus-eluting
stent (0.19 [0.049-0.76]), paclitaxel-eluting balloon (0.43 [0.18-0.80]),
paclitaxel-eluting stent (0.35 [0.13-0.76]), and sirolimus-eluting stent (0.36
[0.11-0.86]) for target vessel revascularization. There was no difference between
treatments in probable or definitive stent thrombosis. The results of binary
restenosis and target lesion revascularization were similar. Paclitaxel-eluting
cutting balloon, everolimus-eluting stent, and paclitaxel-eluting balloon have
the highest probability of being in the top 3 treatments based on low target
lesion revascularization, but there was no statistical significant difference
between them. CONCLUSIONS: Balloon angioplasty is inferior to all drug-eluting
treatments for ISR, including drug-eluting stent ISR. Drug-eluting stent,
particularly everolimus-eluting stent, or paclitaxel-eluting cutting balloon and
paclitaxel-eluting balloon should be preferred for treating ISR.
PMID- 26546578
TI - Up-regulation of abscisic acid signaling pathway facilitates aphid xylem
absorption and osmoregulation under drought stress.
AB - The activation of the abscisic acid (ABA) signaling pathway reduces water loss
from plants challenged by drought stress. The effect of drought-induced ABA
signaling on the defense and nutrition allocation of plants is largely unknown.
We postulated that these changes can affect herbivorous insects. We studied the
effects of drought on different feeding stages of pea aphids in the wild-type A17
of Medicago truncatula and ABA signaling pathway mutant sta-1. We examined the
impact of drought on plant water status, induced plant defense signaling via the
abscisic acid (ABA), jasmonic acid (JA), and salicylic acid (SA) pathways, and on
the host nutritional quality in terms of leaf free amino acid content. During the
penetration phase of aphid feeding, drought decreased epidermis/mesophyll
resistance but increased mesophyll/phloem resistance of A17 but not sta-1 plants.
Quantification of transcripts associated with ABA, JA and SA signaling indicated
that the drought-induced up-regulation of ABA signaling decreased the SA
dependent defense but increased the JA-dependent defense in A17 plants. During
the phloem-feeding phase, drought had little effect on the amino acid
concentrations and the associated aphid phloem-feeding parameters in both plant
genotypes. In the xylem absorption stage, drought decreased xylem absorption time
of aphids in both genotypes because of decreased water potential. Nevertheless,
the activation of the ABA signaling pathway increased water-use efficiency of A17
plants by decreasing the stomatal aperture and transpiration rate. In contrast,
the water potential of sta-1 plants (unable to close stomata) was too low to
support xylem absorption activity of aphids; the aphids on sta-1 plants had the
highest hemolymph osmolarity and lowest abundance under drought conditions. Taken
together this study illustrates the significance of cross-talk between biotic
abiotic signaling pathways in plant-aphid interaction, and reveals the mechanisms
leading to alter aphid fecundity in water stresses plants.
PMID- 26546580
TI - Clinical Outcomes Associated With a Collaborative Pharmacist-Endocrinologist
Diabetes Intense Medical Management "Tune Up" Clinic in Complex Patients.
AB - BACKGROUND: No previous studies exist examining the impact of a short-term
pharmacist-endocrinologist collaborative practice model on glycemic control in
complex patients. OBJECTIVE: Evaluate outcomes associated with a PharmD
Endocrinologist Diabetes Intense Medical Management (DIMM) "tune up" clinic for
complex patients. METHODS: A retrospective cohort study of 99 patients referred
to DIMM clinic versus a comparator group of 56 primary care provider (PCP)
patients meeting the same criteria (adult type 2 diabetes patients, glycosylated
hemoglobin [A1C] >= 8%, follow-up visit within 6 months) in a Veterans Affairs
Medical Center. DIMM clinic used a short-term model that coupled personalized
clinical care with real-time, patient-specific diabetes education during two to
four 60-minute visits over 6 months. PCP patients received usual care. Primary
outcome was mean A1C change after 6 months. Secondary measures included fasting
blood glucose, lipids, blood pressure, weight, body mass index, and percentage of
patients meeting goals. RESULTS: Patients in each group had an average of 8 and
were taking 12 to 14 medications daily. Mean A1C (%) improvement in DIMM group
was significantly greater at 6 months (-2.4 [SD = 2.1] vs -0.8 [SD = 1.7]; P <
0.001), than PCP group. Percentage meeting A1C goal levels (<7%, <8%, and <9%)
was significantly greater at 3 and 6 months compared with baseline in the DIMM
group (P < 0.001) versus (only <8%) at 3 and 6 months compared with baseline in
PCP group. CONCLUSIONS: The DIMM clinic "tune up" model demonstrates a successful
collaborative practice which helped complex diabetes patients achieve glycemic
control in a 6-month period.
PMID- 26546581
TI - The uncertain future of lay counsellors: continuation of HIV services in Lesotho
under pressure.
AB - Between 2006 and 2011, when antiretroviral therapy (ART) was scaled up in a
context of severe human resources shortages, transferring responsibility for
elements in human immunodeficiency virus (HIV) care from conventional health
workers to lay counsellors (LCs) contributed to increased uptake of HIV services
in Lesotho. HIV tests rose from 79 394 in 2006 to 274 240 in 2011 and, in that
same period, the number of people on ART increased from 17 352 to 83 624.
However, since 2012, the jobs of LCs have been at risk because of financial and
organizational challenges. We studied the role of LCs in HIV care in Lesotho
between 2006 and 2013, and discuss potential consequences of losing this cadre.
Methods included a case study of LCs in Lesotho based on: (1) review of LC
related health policy and planning documents, (2) HIV programme review and (3)
workload analysis of LCs. LCs are trained to provide HIV testing and counselling
(HTC) and ART adherence support. Funded by international donors, 487 LCs were
deployed between 2006 and 2011. However, in 2012, the number of LCs decreased to
165 due to a decreasing donor funds, while administrative and fiscal barriers
hampered absorption of LCs into the public health system. That same year, ART
coverage decreased from 61% to 51% and facility-based HTC decreased by 15%, from
253 994 in 2011 to 215 042 tests in 2012. The workload analysis indicated that
LCs work averagely 77 h per month, bringing considerable relief to the scarce
professional health workforce. HIV statistics in Lesotho worsened dramatically in
the recent era of reduced support to LCs. This suggests that in order to ensure
access to HIV care in an under-resourced setting like Lesotho, a recognized and
well-supported counsellor cadre is essential. The continued presence of LCs
requires improved prioritization, with national and international support.
PMID- 26546582
TI - Relationship between Chinese adjective descriptors of personality and emotional
symptoms in young Chinese patients with bipolar disorders.
AB - OBJECTIVE: To investigate whether personality traits are related to emotional
symptoms (mania, hypomania, and depression) in Chinese patients with bipolar
disorders. METHODS: Patients with bipolar I and II disorders, and healthy
volunteers, were assessed using the Chinese Adjective Descriptors of Personality
(CADP) questionnaire, Mood Disorder Questionnaire (MDQ), Hypomanic Checklist (HCL
32), and Plutchik-van Praag Depression Inventory (PVP). RESULTS: Seventy-three
patients with bipolar I disorder, 35 with bipolar II disorder and 216 healthy
controls were included. Bipolar I and II groups scored significantly higher on
MDQ, HCL-32 and PVP scales than controls; the bipolar II group scored lower on
the MDQ, but higher on the HCL-32 and PVP than bipolar I. In the bipolar I group,
the CADP Intelligent trait (beta, 0.25) predicted MDQ; Intelligent (beta, -0.24),
Agreeable (beta, 0.22) and Emotional (beta, 0.34) traits predicted PVP. In the
bipolar II group, Intelligent (beta, 0.22), Agreeable (beta, -0.24) and Unsocial
(beta, 0.31) traits predicted MDQ; Intelligent (beta, -0.20), Agreeable (beta,
0.31) and Emotional (beta, -0.26) traits predicted HCL-32. CONCLUSIONS: Four out
of five Chinese personality traits were associated with emotional symptoms in
patients with bipolar I or II disorder, but displayed different associations
depending on disorder type.
PMID- 26546583
TI - Fifteen minute consultation: a structured approach to the recognition and
management of concussion in children and adolescents.
AB - Concussion is a disturbance in brain function caused by a direct or indirect
force, which is transmitted to the head. The incidence of concussion is rising,
and poor recognition of symptoms, with inappropriate management strategies,
increases the risk of long-term cognitive and neuropsychiatric complications. We
address some common questions that arise when assessing and managing patients
with suspected concussion.
PMID- 26546584
TI - Postnatal care: a neonatal perspective (NICE guideline CG 37).
PMID- 26546585
TI - Margaret McCartney: is Jeremy Hunt our colleague?
PMID- 26546579
TI - Signaling pathways regulating neuron-glia interaction and their implications in
Alzheimer's disease.
AB - Astrocytes are the most abundant cells in the central nervous system. They play
critical roles in neuronal homeostasis through their physical properties and
neuron-glia signaling pathways. Astrocytes become reactive in response to
neuronal injury and this process, referred to as reactive astrogliosis, is a
common feature accompanying neurodegenerative conditions, particularly
Alzheimer's disease. Reactive astrogliosis represents a continuum of
pathobiological processes and is associated with morphological, functional, and
gene expression changes of varying degrees. There has been a substantial growth
of knowledge regarding the signaling pathways regulating glial biology and
pathophysiology in recent years. Here, we attempt to provide an unbiased review
of some of the well-known players, namely calcium, proteoglycan, transforming
growth factor beta, NFkappaB, and complement, in mediating neuron-glia
interaction under physiological conditions as well as in Alzheimer's disease.
This review discusses the role of astrocytic NFkappaB and calcium as well as
astroglial secreted factors, including proteoglycans, TGFbeta, and complement in
mediating neuronal function and AD pathogenesis through direct interaction with
neurons and through cooperation with microglia.
PMID- 26546587
TI - Is Free Testosterone Concentration a Prognostic Factor of Survival in Chronic
Renal Failure (CRF)?
AB - BACKGROUND: Lowered testosterone level in CRF patients is associated with
elevated risk of death due to cardiovascular reasons, and is influenced by many
factors, including acid-base balance disorders. AIMS: evaluation of testoste-rone
concentration (TT) and free testosterone concentration (fT) in pre-dialysis and
dialysis patients; assessment of TT and fT relationships with biochemical
parameters; evaluation of prognostic importance of TT and fT in predicting
patient survival. MATERIAL AND METHODS: 4 groups of men: 14 - on hemodialysis
(HD), 13 - on peritoneal dialysis (PD), 9 - with chronic renal failure (CRF) and
8 - healthy (CG), aged 56+/-17, 53+/-15, 68+/-12, 43+/-10 years, respectively. TT
and biochemical para-meters were measured; fT was calculated. RESULTS: The lowest
TT and fT were observed in HD and CRF, the highest - in CG (p=0.035 for TT;
p=0.007 for fT). fT in CRF and CG were different (p=0.031). TT and age was
associated in HD (p=0.026). Age and fT was strongly associated in PD (p<0.001).
After adjustment for age, TT was negatively associated with BMI (p=0.013) and fT
was positively associated with HCO3 level (p=0.007). fT was lower in those who
died during 5 years of observation than in survivors (p=0.009). We have found
that, opposite to TT, fT appeared to be a better predictor of 5-year survival
than age. After combining pH and HCO3 levels into a single variable - no
acidosis, acidosis with HCO3 normal serum level, acidosis with low concentrations
of HCO3 and adjustment for age and the study group - a trend toward the lowest
values of free testosterone in decompensated acidosis was observed
(ptrend=0.027). Such a trend was not seen for testosterone concentrations
(ptrend=0.107). CONCLUSIONS: Total and free testosterone levels were lower in HD
and pre-dialysis than in healthy patients. Free testost-erone level may predict
long-term survival better than age. Total and free testosterone levels are lower
in metabolic acidosis and total and free testosterone levels were positively
associated with HCO3 level.
PMID- 26546586
TI - Increased pretreatment serum IFN-beta/alpha ratio predicts non-response to tumour
necrosis factor alpha inhibition in rheumatoid arthritis.
AB - OBJECTIVE: Studies suggest that circulating type I interferon (IFN) may predict
response to biological agents in rheumatoid arthritis (RA). Prediction of
response prior to initiating therapy would represent a major advancement.
METHODS: We studied sera from a test set of 32 patients with RA from the Auto
immune Biomarkers Collaborative Network Consortium and a validation set of 92
patients with RA from the Treatment Efficacy and Toxicity in Rheumatoid Arthritis
Database and Repository registry. The test set included those with good response
or no response to tumour necrosis factor (TNF) inhibitors at 14 weeks by European
League Against Rheumatism criteria. The validation set included subjects with
good, moderate or no response at 12 weeks. Total serum type I IFN activity, IFN
alpha and IFN-beta activity were measured using a functional reporter cell assay.
RESULTS: In the test set, an increased ratio of IFN-beta to IFN-alpha (IFN
beta/alpha activity ratio) in pretreatment serum associated with lack of response
to TNF inhibition (p=0.013). Anti-cyclic citrullinated peptide antibody titre and
class of TNF inhibitor did not influence this relationship. A receiver-operator
curve supported a ratio of 1.3 as the optimal cut-off. In the validation set,
subjects with an IFN-beta/alpha activity ratio >1.3 were significantly more
likely to have non-response than good response (OR=6.67, p=0.018). The test had
77% specificity and 45% sensitivity for prediction of non-response compared with
moderate or good response. Meta-analysis of test and validation sets confirmed
strong predictive capacity of IFN-beta/alpha activity ratio (p=0.005).
CONCLUSIONS: Increased pretreatment serum IFN-beta/alpha ratio strongly
associated with non-response to TNF inhibition. This study supports further
investigation of serum type I IFN in predicting outcome of TNF inhibition in RA.
PMID- 26546588
TI - Paediatric medial epicondyle fracture without elbow dislocation associated with
intra-articular ulnar nerve entrapment.
AB - Elbow fractures are not uncommon in children, and some are associated with
neurovascular injuries. Having a nerve injury in an elbow fracture without
dislocation is rare and was not described in the literature. Here, we have
reported probably the first case of an ulnar nerve injury in an elbow fracture
without dislocation. A 9-year-old female presented to the emergency department
after falling off a monkey bar. She had a painful, swollen and tender right elbow
with no history or clinical signs of an elbow dislocation but had complete ulnar
nerve palsy. She was managed initially with analgesia and plaster application and
was taken directly to the operating theatre. Examination under anaesthesia
revealed no elbow joint instability. The ulnar nerve was found entrapped between
the trochlea and proximal ulna, intra-articularly. The medial epicondyle was also
found avulsed from the humerus, with an incarcerated medial epicondylar fragment
in the elbow joint.
PMID- 26546589
TI - 2015 AHA Late-Breaking Basic Science Abstracts.
PMID- 26546590
TI - Micro-RNA analysis of renal biopsies in human lupus nephritis demonstrates up
regulated miR-422a driving reduction of kallikrein-related peptidase 4.
AB - BACKGROUND: Aberrancies in gene expression in immune effector cells and in end
organs are implicated in lupus pathogenesis. To gain insights into the mechanisms
of tissue injury, we profiled the expression of micro-RNAs in inflammatory kidney
lesions of human lupus nephritis (LN). METHODS: Kidney specimens were from
patients with active proliferative, membranous or mixed LN and unaffected control
tissue. Micro-RNAs were quantified by TaqMan Low Density Arrays. Bioinformatics
was employed to predict gene targets, gene networks and perturbed signaling
pathways. Results were validated by transfection studies (luciferase assay, real
time PCR) and in murine LN. Protein expression was determined by immunoblotting
and immunohistochemistry. RESULTS: Twenty-four micro-RNAs were dysregulated (9 up
regulated, 15 down-regulated) in human LN compared with control renal tissue.
Their predicted gene targets participated in pathways associated with TGF-beta,
kinases, NF-kappaB, HNF4A, Wnt/beta-catenin, STAT3 and IL-4. miR-422a showed the
highest upregulation (17-fold) in active LN and correlated with fibrinoid
necrosis lesions (beta = 0.63, P = 0.002). In transfection studies, miR-422a was
found to directly target kallikrein-related peptidase 4 (KLK4) mRNA.
Concordantly, KLK4 mRNA was significantly reduced in the kidneys of human and
murine LN and correlated inversely with miR-422a levels. Immunohistochemistry
confirmed reduced KLK4 protein expression in renal mesangial and tubular
epithelial cells in human and murine LN. CONCLUSIONS: KLK4, a serine esterase
with putative renoprotective properties, is down-regulated by miR-422a in LN
kidney suggesting that, in addition to immune activation, local factors may be
implicated in the disease.
PMID- 26546591
TI - Treating hypertension in hemodialysis improves symptoms seemingly unrelated to
volume excess.
AB - BACKGROUND: Among hemodialysis patients, probing dry weight is an effective
strategy for improving control of hypertension. Whether controlling hypertension
improves or worsens symptoms among such patients remains unclear. The purpose of
the study was to develop a tool to evaluate symptoms and examine the relationship
of the change in these symptoms with blood pressure (BP) control. METHODS: Among
patients participating in the Hemodialysis Patients Treated with Atenolol or
Lisinopril (HDPAL) randomized controlled trial, a confirmatory factor analysis
(CFA) was performed to establish the relationship between symptoms and organ
systems. Next, the change in symptom scores pertaining to organ systems was
analyzed using a mixed model. Finally, the independent effect of lowering home BP
on change in symptoms was evaluated. RESULTS: Among 133 participants where
symptoms were available at baseline, CFA revealed four level 1 domains:
gastrointestinal symptoms, dialysis-related symptoms, cardiovascular symptoms and
general symptoms. All except dialysis-related symptoms were ascribed to uremia
(level 2 domain). Uremic symptoms improved over 6 months and then increased.
Dialysis-related symptoms (fatigue, cramps and orthostatic dizziness) did not
worsen despite lowering home BP. Probing dry weight was independently associated
with an improvement in cardiovascular symptoms such as shortness of breath.
CONCLUSIONS: Reducing BP through the use of a strategy that includes volume
control and medication improves symptoms seemingly unrelated to volume excess. In
long-term hemodialysis patients, treating hypertension using home BP measurements
may improve well-being.
PMID- 26546593
TI - E-health in patients with atrial fibrillation.
PMID- 26546592
TI - The clinicopathological relevance of pretransplant anti-angiotensin II type 1
receptor antibodies in renal transplantation.
AB - Background: Anti-angiotensin II type 1 receptor antibodies (AT1R-Abs) have been
suggested as a risk factor for graft failure and acute rejection (AR). However,
the prevalence and clinical significance of pretransplant AT1R-Abs have seldom
been evaluated in Asia. Methods: In this multicenter, observational cohort study,
we tested the AT1R-Abs in pretransplant serum samples obtained from 166 kidney
transplant recipients. Statistical analysis was used to set a threshold AT1R-Abs
level at 9.05 U/mL. Results: Pretransplant AT1R-Abs were detected in 98/166
(59.0%) of the analyzed recipients. No graft loss or patient death was reported
during the study period. AT1R-Abs (+) patients had a significantly higher
incidence of biopsy-proven AR than AT1R-Abs (-) patients (27.6 versus 10.3%, P =
0.007). Recipients with pretransplant AT1R-Abs had a 3.2-fold higher risk of AR
within a year of transplantation (P = 0.006). Five study subjects developed
microcirculation inflammation (score >=2). Four of them were presensitized to
AT1R-Abs. In particular, three patients had a high titer of anti-AT1R-Abs (>22.7
U/mL). Conclusions: Pretransplant AT1R-Abs is an independent risk factor for AR,
especially acute cellular rejection, and is possibly associated with the risk of
antibody-mediated injury. Pretransplant assessment of AT1R-Abs may be useful for
stratifying immunologic risks.
PMID- 26546594
TI - Who smokes in smoke-free public places in China? Findings from a 21 city survey.
AB - Efforts toward controlling secondhand smoke in public places have been made
throughout China. However, in contrast to the western world, significant
challenges remain for effectively implementing smoke-free regulations. This study
explores individual and regional factors which influence smoking in smoke-free
public places. Participants included 16 866 urban residents, who were identified
through multi-stage sampling conducted in 21 Chinese cities. The reported smoking
prevalence in smoke-free public places was 41.2%. Of those who smoked in smoke
free public places, 45.9% had been advised to stop smoking. Participants stated
that no-smoking warnings/signs with 'please' in the statement had a better
likelihood of gaining compliance and preventing smoking in public spaces.
Multilevel logistic regression analysis showed that ethnicity, education,
occupation, type of smoking, age of smoking initiation, smoking situation,
stress, household smoking restrictions and city population were all associated
with smoking in smoke-free public places. Interestingly local smoke-free
regulations were not associated with smoking in public places. The findings
underscore that efforts to restrict smoking in public places in China should
emphasize strong enforcement, while simultaneously raising public awareness of
the perils of second hand smoke.
PMID- 26546595
TI - Exploring the Life Expectancy Increase in Poland in the Context of CVD Mortality
Fall: The Risk Assessment Bottom-Up Approach, From Health Outcome to Policies.
AB - Life expectancy at birth is considered the best mortality-based summary indicator
of the health status of the population and is useful for measuring long-term
health changes. The objective of this article was to present the concept of the
bottom-up policy risk assessment approach, developed to identify challenges
involved in analyzing risk factor reduction policies and in assessing how the
related health indicators have changed over time. This article focuses on the
reasons of the significant life expectancy prolongation in Poland over the past 2
decades, thus includes policy context. The methodology details a bottom-up risk
assessment approach, a chain of relations between the health outcome, risk
factors, and health policy, based on Risk Assessment From Policy to Impact
Dimension project guidance. A decline in cardiovascular disease mortality was a
key factor that followed life expectancy prolongation. Among basic factors,
tobacco and alcohol consumption, diet, physical activity, and new treatment
technologies were identified. Poor health outcomes of the Polish population at
the beginning of 1990s highlighted the need of the implementation of various
health promotion programs, legal acts, and more effective public health policies.
Evidence-based public health policy needs translating scientific research into
policy and practice. The bottom-up case study template can be one of the focal
tools in this process. Accountability for the health impact of policies and
programs and legitimization of the decisions of policy makers has become one of
the key questions nowadays in European countries' decision-making process and in
EU public health strategy.
PMID- 26546596
TI - Mountain Pine Beetle Host Selection Between Lodgepole and Ponderosa Pines in the
Southern Rocky Mountains.
AB - Recent evidence of range expansion and host transition by mountain pine beetle
(Dendroctonus ponderosae Hopkins; MPB) has suggested that MPB may not primarily
breed in their natal host, but will switch hosts to an alternate tree species. As
MPB populations expanded in lodgepole pine forests in the southern Rocky
Mountains, we investigated the potential for movement into adjacent ponderosa
pine forests. We conducted field and laboratory experiments to evaluate four
aspects of MPB population dynamics and host selection behavior in the two hosts:
emergence timing, sex ratios, host choice, and reproductive success. We found
that peak MPB emergence from both hosts occurred simultaneously between late July
and early August, and the sex ratio of emerging beetles did not differ between
hosts. In two direct tests of MPB host selection, we identified a strong
preference by MPB for ponderosa versus lodgepole pine. At field sites, we
captured naturally emerging beetles from both natal hosts in choice arenas
containing logs of both species. In the laboratory, we offered sections of bark
and phloem from both species to individual insects in bioassays. In both tests,
insects infested ponderosa over lodgepole pine at a ratio of almost 2:1,
regardless of natal host species. Reproductive success (offspring/female) was
similar in colonized logs of both hosts. Overall, our findings suggest that MPB
may exhibit equally high rates of infestation and fecundity in an alternate host
under favorable conditions.
PMID- 26546597
TI - Does cryostripping add anything to the treatment of the ascending
thrombophlebitis of the great saphenous vein?
AB - OBJECTIVE: To analyse the differences of outcome between cryostripping and
conservative therapy in the treatment of superficial vein thrombosis. PATIENTS
AND METHODS: A retrospective analysis was performed between the October of 2001
and the October of 2014. In all, 246 cases were eligible for the study. High
ligation, cryostripping and local thrombectomy was carried out on 94 patients
with thrombophlebitis of the proximal part of the great saphenous vein.
Thromboembolic events, the presence of residual varices and time for recovery
were compared to 152 cases treated conservatively because of superficial vein
thrombosis. RESULTS: Thromboembolic events were found without significant
difference (mean +/- SD for surgery: 1.11 +/- 0.60 and conservative therapy: 1.11
+/- 0.55; p = 0.988) in each group. The presence of residual varices (mean +/- SD
for surgery: 1.03 +/- 0.52 and conservative therapy: 1.42 +/- 0.99; p = 0.001)
and the time for recovery (mean +/- SD for surgery: 15 +/- 10.50 and conservative
therapy: 26 +/- 12.32; p < 0.001) were more favourable in the cryostripping
group. CONCLUSION: Our analysis confirmed that cryostripping does not result in a
lower risk for thromboembolic complications due to superficial vein thrombosis
but can be an alternative method to treat the ascending thrombophlebitis of the
great saphenous vein because it has some advantages over conservative treatment
on the short term.
PMID- 26546598
TI - Development of an ovine model of occlusive arterial injury for the evaluation of
endovascular interventions.
AB - Endovascular intervention for occlusive arterial trauma is becoming more common
in clinical practice. The aim of this study is to present an ovine model of
extremity arterial injury for use in future endovascular translational research.
Animals under general anesthesia had their left superficial femoral artery
exposed, which was bluntly injured over a 2-cm section using a hemostat and
injection of air. Occlusion was confirmed on angiography and the flow
characteristics measured by ultrasonography. Of five animals enrolled, four
occluding lesions were created successfully. Post injury, there was a significant
reduction in the median (interquartile range) systolic velocity (cm/sec) on the
left (injury) compared to the right (control) side (3.5 (0-16.5) vs. 29 (23.8
43.3); p < 0.001). The ovine superficial femoral artery can be used to
consistently produce an occlusive lesion that is suitable for use in the future
evaluation of endovascular trauma interventions.
PMID- 26546599
TI - Phosphate, pyrophosphate, and vascular calcification: a question of balance.
PMID- 26546600
TI - Endovascular reconstruction of unruptured intradural vertebral artery dissecting
aneurysms with the Pipeline embolization device.
AB - BACKGROUND: Dissecting aneurysms of the vertebral artery (VA) are difficult to
treat using current surgical and endovascular techniques. OBJECTIVE: To analyze
retrospectively the efficacy and safety of flow diverters in the treatment of
dissecting aneurysms of the vertebral artery. METHODS: We identified six patients
with six unruptured VA dissecting aneurysms either arising from the V4 or V3-V4
junction that were treated with the Pipeline embolization device (PED) at our
institution between July 2012 and February 2015. Among other parameters,
technical feasibility of the procedure, procedure-related complications,
angiographic results, and clinical outcome were evaluated. RESULTS: PED placement
was achieved in all cases and immediate angiography follow-up demonstrated intra
aneurysmal contrast stasis with parent artery preservation. A temporary episode
of dysarthria was noted in one patient. Major procedure-related complications
were not observed. The 6-month follow-up (n=6) demonstrated complete/near
complete aneurysm obliteration in five patients and partial obliteration in one.
At the 1-year follow-up (n=5) stable complete aneurysm occlusion was seen in two
patients. Two cases showed progression from near complete occlusion and partial
occlusion at 6 months to complete occlusion and near complete occlusion. One
cases showed unchanged near complete occlusion. No aneurysmal bleeding, in-stent
stenosis or thromboembolic complication was seen. National Institutes of Health
Stroke Scale and modified Rankin scale scores remained unchanged from admission
to discharge. CONCLUSIONS: Our preliminary experience with the use of PED for the
treatment of intradural VA dissecting aneurysms shows promising short-term
results, making this technique a feasible and safe treatment option in patients
suitable for this approach. However, long-term and larger cohort studies are
needed to validate these results.
PMID- 26546601
TI - The Delphi Oracle and the management of aneurysms.
PMID- 26546602
TI - Rule of 5: angiographic diameters of cervicocerebral arteries in children and
compatibility with adult neurointerventional devices.
AB - BACKGROUND AND PURPOSE: The safety of using adult-sized neuroendovascular devices
in the smaller pediatric vasculature is not known. In this study we measure
vessel diameters in the cervical and cranial circulation in children to
characterize when adult-approved devices might be compatible in children.
METHODS: For 54 children without vasculopathy (mean age 9.5+/-4.9 years (range
0.02-17.8), 20F/34M) undergoing catheter angiography, the diameters of the large
vessels in the cervical and cranial circulation (10 locations, 611 total
measurements) were assessed by three radiologists. Mean+/-SD diameter was
calculated for the following age groups: 0-6 months, 1, 2, 3, 4, 5-9, 10-14, and
15-18 years. To compare with adult sizes, each vessel measurement was normalized
to the respective region mean diameter in the oldest age group (15-18 years).
Normalized measurements were compared with age and fitted to a segmented
regression. RESULTS: Vessel diameters increased rapidly from 0 to 5 years of age
(slope=0.069/year) but changed minimally beyond that (slope=0.005/year)
(R(2)=0.2). The regression model calculated that, at 5 years of age, vessels
would be 94% of the diameter of the oldest age group (compared with 59% at
birth). In addition, most vessels in children under 5, while smaller, were still
potentially large enough to be compatible with many adult devices. CONCLUSIONS:
The growth curve of the cervicocerebral vasculature displays rapid growth until
age 5, at which point most children's vessels are nearly adult size. By age 5,
most neuroendovascular devices are size-compatible, including thrombectomy
devices for stroke. Under 5 years of age, some devices might still be compatible.
PMID- 26546603
TI - The outcomes of digital tip amputation replacement as a composite graft in a
paediatric population.
AB - Limited studies exist on the outcome of replacing an amputated fingertip as a
composite graft. We report the outcomes and predictors for composite graft
survival along with the long-term morbidity. A retrospective review of all
patients <16 years who underwent composite graft replacement of an amputated
fingertip was performed. Long-term morbidity was evaluated through a standardized
parental questionnaire. A total of 120 patients were identified, of whom 97 were
eligible for inclusion. Parental questionnaires were completed for 42 (43%)
patients. There was a 10% complete and 34% partial graft survival rate. Patients
aged ?4 were significantly more likely to have complete graft take than those >4.
Of the patients, 17% developed post-operative complications; 48% of patients
reported a hook-nail deformity and 17% reported cold intolerance. Only 5% of
patients reported any functional difficulties long term. The rate of complete
composite graft survival in a paediatric population is low, although the long
term function of these patients is good. LEVEL OF EVIDENCE: 3.
PMID- 26546604
TI - Long-term results of syndactyly correction by the trilobed flap technique
focusing on hand function and quality of life.
AB - Syndactyly is usually corrected surgically during the first years of life. The
trilobed flap, a surgical method that does not require skin grafting, was
developed in the 1990s and the short-term results were comparable with previously
reported techniques. Here we report on long-term outcomes, focusing on how
children perceive their hand function and quality of life when they grow up. A
total of 19 patients (29 web spaces) were operated on between 1990 and 2000, and
followed-up 16 years later with questionnaires and clinical tests. The patients
reported low QuickDASH scores, normal sensibility and dexterity, and minor cold
intolerance. Only two reoperations, due to early web creep, were needed. The
condition minimally affected the choice of occupations, leisure activities and
perceptions of appearance. The trilobed flap technique for release of syndactyly
provides a good long-term outcome with good hand function and minimal impact on
the quality of life. LEVEL OF EVIDENCE: IV.
PMID- 26546605
TI - Clinical and ultrasound features in patients with intersection syndrome or de
Quervain's disease.
AB - We investigated the demographic characteristics of patients who were diagnosed
with intersection syndrome and also investigated the dominance of the affected
hand, duration of symptoms and any precipitating factor for pain of the wrist.
These features were compared with patients who had de Quervain's disease.
Ultrasonography was used to confirm the clinical diagnosis. Intersection syndrome
occurred more frequently in men and in the dominant hand than de Quervain's
disease when all the patients were compared and when peripartum women were
excluded. It occurred at a younger age than de Quervain's disease only when the
comparison excluded peripartum women. Patients with intersection syndrome
presented with a much shorter duration of symptoms. These results were consistent
with previous reports about occupational factors in intersection syndrome, and
might be helpful in the understanding of epidemiological difference between the
two conditions. LEVEL OF EVIDENCE: Level 3.
PMID- 26546606
TI - DNA-PKcs Is Involved in Ig Class Switch Recombination in Human B Cells.
AB - Nonhomologous end-joining (NHEJ) is one of the major DNA double-strand break
repair pathways in mammalian cells and is required for both V(D)J recombination
and class switch recombination (CSR), two Ig gene-diversification processes
occurring during B cell development. DNA-dependent protein kinase, catalytic
subunit (DNA-PKcs) is a component of the classical NHEJ machinery and has a
critical function during V(D)J recombination. However, its role in CSR has been
controversial. In this study, we examined the pattern of recombination junctions
from in vivo-switched B cells from two DNA-PKcs-deficient patients. One of them
harbored mutations that did not affect DNA-PKcs kinase activity but caused
impaired Artemis activation; the second patient had mutations resulting in
diminished DNA-PKcs protein expression and kinase activity. These results were
compared with those from DNA-PKcs-deficient mouse B cells. A shift toward the
microhomology-based alternative end-joining at the recombination junctions was
observed in both human and mouse B cells, suggesting that the classical NHEJ
pathway is impaired during CSR when DNA-PKcs is defective. Furthermore, cells
from the second patient showed additional or more severe alterations in CSR
and/or NHEJ, which may suggest that DNA-PKcs and/or its kinase activity have
additional, Artemis-independent functions during these processes.
PMID- 26546607
TI - Protection of Insects against Viral Infection by Apoptosis-Dependent
Phagocytosis.
AB - We investigated whether phagocytosis participates in the protection of insects
from viral infection using the natural host-virus interaction between Drosophila
melanogaster and Drosophila C virus (DCV). Drosophila S2 cells were induced to
undergo apoptotic cell death upon DCV infection. However, UV-inactivated virus
was unable to cause apoptosis, indicating the need for productive infection for
apoptosis induction. S2 cells became susceptible to phagocytosis by hemocyte
derived l(2)mbn cells after viral infection, and the presence of phagocytes in S2
cell cultures reduced viral proliferation. Phagocytosis depended, in part, on
caspase activity in S2 cells, as well as the engulfment receptors Draper and
integrin betanu in phagocytes. To validate the in vivo situation, adult flies
were abdominally infected with DCV, followed by the analysis of fly death and
viral growth. DCV infection killed flies in a dose-responding manner, and the
activation of effector caspases was evident, as revealed by the cleavage of a
target protein ectopically expressed in flies. Furthermore, hemocytes isolated
from infected flies contained DCV-infected cells, and preinjection of latex beads
to inhibit the phagocytic activity of hemocytes accelerated fly death after viral
infection. Likewise, viral virulence was exaggerated in flies lacking the
engulfment receptors, and was accompanied by the augmented proliferation of
virus. Finally, phagocytosis of DCV-infected cells in vitro was inhibited by
phosphatidylserine-containing liposome, and virus-infected flies died early when
a phosphatidylserine-binding protein was ectopically expressed. Collectively, our
study demonstrates that the apoptosis-dependent, phosphatidylserine-mediated
phagocytosis of virus-infected cells plays an important role in innate immune
responses against viral infection in Drosophila.
PMID- 26546608
TI - Intracellular ATP Decrease Mediates NLRP3 Inflammasome Activation upon Nigericin
and Crystal Stimulation.
AB - Activation of the nucleotide-binding oligomerization domain-like receptor family,
pyrin domain-containing 3 (NLRP3) inflammasome initiates an inflammatory
response, which is associated with host defense against pathogens and the
progression of chronic inflammatory diseases such as gout and atherosclerosis.
The NLRP3 inflammasome mediates caspase-1 activation and subsequent IL-1beta
processing in response to various stimuli, including extracellular ATP, although
the roles of intracellular ATP (iATP) in NLRP3 activation remain unclear. In this
study, we found that in activated macrophages artificial reduction of iATP by 2
deoxyglucose, a glycolysis inhibitor, caused mitochondrial membrane
depolarization, leading to IL-1beta secretion via NLRP3 and caspase-1 activation.
Additionally, the NLRP3 activators nigericin and monosodium urate crystals
lowered iATP through K(+)- and Ca(2+)-mediated mitochondrial dysfunction,
suggesting a feedback loop between iATP loss and lowering of mitochondrial
membrane potential. These results demonstrate the fundamental roles of iATP in
the maintenance of mitochondrial function and regulation of IL-1beta secretion,
and they suggest that maintenance of the intracellular ATP pools could be a
strategy for countering NLRP3-mediated inflammation.
PMID- 26546609
TI - Reversible Reprogramming of Circulating Memory T Follicular Helper Cell Function
during Chronic HIV Infection.
AB - Despite the overwhelming benefits of antiretroviral therapy (ART) in curtailing
viral load in HIV-infected individuals, ART does not fully restore cellular and
humoral immunity. HIV-infected individuals under ART show reduced responses to
vaccination and infections and are unable to mount an effective antiviral immune
response upon ART cessation. Many factors contribute to these defects, including
persistent inflammation, especially in lymphoid tissues, where T follicular
helper (Tfh) cells instruct and help B cells launch an effective humoral immune
response. In this study we investigated the phenotype and function of circulating
memory Tfh cells as a surrogate of Tfh cells in lymph nodes and found significant
impairment of this cell population in chronically HIV-infected individuals,
leading to reduced B cell responses. We further show that these aberrant memory
Tfh cells exhibit an IL-2-responsive gene signature and are more polarized toward
a Th1 phenotype. Treatment of functional memory Tfh cells with IL-2 was able to
recapitulate the detrimental reprogramming. Importantly, this defect was
reversible, as interfering with the IL-2 signaling pathway helped reverse the
abnormal differentiation and improved Ab responses. Thus, reversible
reprogramming of memory Tfh cells in HIV-infected individuals could be used to
enhance Ab responses. Altered microenvironmental conditions in lymphoid tissues
leading to altered Tfh cell differentiation could provide one explanation for the
poor responsiveness of HIV-infected individuals to new Ags. This explanation has
important implications for the development of therapeutic interventions to
enhance HIV- and vaccine-mediated Ab responses in patients under ART.
PMID- 26546610
TI - A novel N-acetyl-glucosamine lectin of Lonchocarpus araripensis attenuates acute
cellular inflammation in mice.
AB - OBJECTIVE AND DESIGN: This study had investigated the anti-inflammatory activity
of a seed lectin (LAL) isolated from Lonchocarpus araripensis. MATERIAL/METHODS:
LAL was purified by affinity chromatography (chitin column) and ion exchange
chromatography (DEAE-Sephacel). In vitro LAL was tested for hemagglutinating
activity against rabbit erythrocytes. In vivo LAL was assessed for the anti
inflammatory activity via intravenous injection (i.v.) in Swiss mice (25-30 g; n
= 6/group) in models of paw edema and peritonitis. STATISTICAL ANALYSIS: ANOVA (p
< 0.05). RESULTS: LAL revealed two bands of 30 and 60 kDa (SDS-PAGE) and
exhibited hemagglutinating activity. LAL (10 mg/kg) inhibited the paw edema (77%)
and vascular permeability (26%) induced by carrageenan, and the paw edema induced
by serotonin (80%), bradykinin (49%), sodium nitroprusside (83%), TNF-alpha (75%)
and PGE2 (64%). LAL also inhibited the neutrophil migration induced by fMLP (70%)
or carrageenan (69%). The intravital microscopy showed that LAL inhibited rolling
(83%) and adhesion (70%) of leukocytes. LAL anti-inflammatory effect was reversed
by its association with N-acetyl-glucosamine. The nine-daily treatment with LAL
(10 mg/kg; i.v.) showed no toxicity. CONCLUSION: The novel N-acetyl-D-glucosamine
binding lectin isolated from L. araripensis seeds presents anti-inflammatory
effect involving the lectin domain and the inhibition of 5-HT, BK, PGE2, NO, TNF
alpha and leukocyte rolling and adhesion.
PMID- 26546611
TI - Mortality in patients with non-functioning pituitary adenoma is increased:
systematic analysis of 546 cases with long follow-up.
AB - OBJECTIVE: Non-functioning pituitary adenomas (NFAs) have a prevalence of 7
22/100,000 people. A significant number of patients suffer from morbidities
related to the tumor, possible recurrence(s), and treatments utilized. Our aim
was to assess mortality of patients with macroNFA and predictive factors. DESIGN:
Retrospective cohort study in a tertiary referral center in the UK. METHODS: A
total of 546 patients operated for a macroNFA between 1963 and 2011 were studied.
Mortality data were retrieved through the National Health Service Central
Register and hospital records and recorded as standardized mortality ratio (SMR).
Mortality was estimated for the total and various subgroups with clinical follow
up data. RESULTS: Median follow-up was 8 years (range: 1 month-48.5 years). SMR
was 3.6 (95% CI, 2.9-4.5), for those operated before 1990, 4.7 (95% CI, 2.7-7.6)
and for those after 1990, 3.5 (95% CI, 2.8-4.4). Main causes of death were
cardio/cerebrovascular (33.7%), infections (30.1%), and malignancy (28.9%). Cox
regression analysis demonstrated that only age at diagnosis remained an
independent predictor of mortality (hazard ratio 1.10; 95% CI, 1.07-1.13,
P<0.001), whereas sex, presentation with acute apoplexy, extent of tumor removal,
radiotherapy, recurrence, untreated GH deficiency, FSH/LH deficiency, ACTH
deficiency, TSH deficiency, and treatment with desmopressin had no impact.
CONCLUSIONS: Despite the improvement of treatments over the last three decades,
the mortality of patients with NFAs in our series remains high. Apart from age,
factors related with the management/outcome of the tumor are not independent
predictors, and pituitary hormone deficits managed with the currently-used
substitution protocols do not adversely affect mortality.
PMID- 26546612
TI - Effects of sitagliptin on circulating zinc-alpha2-glycoprotein levels in newly
diagnosed type 2 diabetes patients: a randomized trial.
AB - OBJECTIVE: Zinc-alpha2-glycoprotein (ZAG) has recently been characterized as a
potent metabolic regulator. However, the effects of anti-diabetic agents on
circulating ZAG levels in humans remain largely unknown. To explore the possible
mechanisms by which the dipeptidyl peptidase-IV (DPP-IV) inhibitor improves
insulin resistance, we investigated the effect of sitagliptin, a DPP-IV
inhibitor, on circulating cytokine levels in newly diagnosed type 2 diabetes
(nT2DM) patients. DESIGN AND METHODS: A subset of 141 subjects with nT2DM were
assigned to receive placebo (n=47) or sitagliptin (n=94) for 3 months. Before and
after treatment, subjects received a 75 g oral glucose tolerance test, euglycemic
hyperinsulinemic clamp (EHC), and measurement of ZAG and adiponectin (ADI)
concentrations. RESULTS: Circulating ZAG levels were lower in nT2DM than in
control individuals (P<0.01). After 3 months of sitagliptin treatment, HbA1c,
fasting plasma glucose, postprandial glucose, 2-h insulin after glucose overload,
triglycerides, and homeostasis model assessment of insulin resistance (HOMA-IR)
were decreased significantly compared with pre-treatment (P<0.05 or P<0.01),
whereas the glucose infusion rate during the stable period of the clamp (M
values) during EHC were significantly increased (P<0.01). In addition,
circulating ZAG and ADI concentrations were significantly increased along with
improved glucose metabolism and insulin sensitivity compared with pre-treatment
(both P<0.01) and the change of ZAG (DeltaZAG) was positively associated with
DeltaADI, DeltaHOMA-IR, DeltaBMI, Deltafasting insulin and negatively associated
with Delta tumor necrosis factor-alpha (TNF-alpha). Furthermore, sitagliptin
treatment resulted in significantly lowered plasma TNF-alpha level (P<0.05).
CONCLUSION: A low level of circulating ZAG is associated with insulin resistance
and sitagliptin treatment significantly increases circulating ZAG levels. These
observations have implications in relation to the mode of action of the DPP-IV
inhibitor as an insulin sensitizing agent.
PMID- 26546613
TI - Functional implications of disease-specific variants in loci jointly associated
with coeliac disease and rheumatoid arthritis.
AB - Hundreds of genomic loci have been associated with a significant number of immune
mediated diseases, and a large proportion of these associated loci are shared
among traits. Both the molecular mechanisms by which these loci confer disease
susceptibility and the extent to which shared loci are implicated in a common
pathogenesis are unknown. We therefore sought to dissect the functional
components at loci shared between two autoimmune diseases: coeliac disease (CeD)
and rheumatoid arthritis (RA). We used a cohort of 12 381 CeD cases and 7827
controls, and another cohort of 13 819 RA cases and 12 897 controls, all
genotyped with the Immunochip platform. In the joint analysis, we replicated 19
previously identified loci shared by CeD and RA and discovered five new non-HLA
loci shared by CeD and RA. Our fine-mapping results indicate that in nine of 24
shared loci the associated variants are distinct in the two diseases. Using cell
type-specific histone markers, we observed that loci which pointed to the same
variants in both diseases were enriched for marks of promoters active in CD14+
and CD34+ immune cells (P < 0.001), while loci pointing to distinct variants in
one of the two diseases showed enrichment for marks of more specialized cell
types, like CD4+ regulatory T cells in CeD (P < 0.0001) compared with Th17 and
CD15+ in RA (P = 0.0029).
PMID- 26546614
TI - 14-3-3 Proteins regulate mutant LRRK2 kinase activity and neurite shortening.
AB - Mutations in leucine-rich repeat kinase 2 (LRRK2) are the most common known cause
of inherited Parkinson's disease (PD), and LRRK2 is a risk factor for idiopathic
PD. How LRRK2 function is regulated is not well understood. Recently, the highly
conserved 14-3-3 proteins, which play a key role in many cellular functions
including cell death, have been shown to interact with LRRK2. In this study, we
investigated whether 14-3-3s can regulate mutant LRRK2-induced neurite shortening
and kinase activity. In the presence of 14-3-3theta overexpression, neurite
length of primary neurons from BAC transgenic G2019S-LRRK2 mice returned back to
wild-type levels. Similarly, 14-3-3theta overexpression reversed neurite
shortening in neuronal cultures from BAC transgenic R1441G-LRRK2 mice.
Conversely, inhibition of 14-3-3s by the pan-14-3-3 inhibitor difopein or
dominant-negative 14-3-3theta further reduced neurite length in G2019S-LRRK2
cultures. Since G2019S-LRRK2 toxicity is likely mediated through increased kinase
activity, we examined 14-3-3theta's effects on LRRK2 kinase activity. 14-3-3theta
overexpression reduced the kinase activity of G2019S-LRRK2, while difopein
promoted the kinase activity of G2019S-LRRK2. The ability of 14-3-3theta to
reduce LRRK2 kinase activity required direct binding of 14-3-3theta with LRRK2.
The potentiation of neurite shortening by difopein in G2019S-LRRK2 neurons was
reversed by LRRK2 kinase inhibitors. Taken together, we conclude that 14-3-3theta
can regulate LRRK2 and reduce the toxicity of mutant LRRK2 through a reduction of
kinase activity.
PMID- 26546615
TI - Prenatal and early life influences on epigenetic age in children: a study of
mother-offspring pairs from two cohort studies.
AB - DNA methylation-based biomarkers of aging are highly correlated with actual age.
Departures of methylation-estimated age from actual age can be used to define
epigenetic measures of child development or age acceleration (AA) in adults. Very
little is known about genetic or environmental determinants of these epigenetic
measures of aging. We obtained DNA methylation profiles using Infinium
HumanMethylation450 BeadChips across five time-points in 1018 mother-child pairs
from the Avon Longitudinal Study of Parents and Children. Using the Horvath age
estimation method, we calculated epigenetic age for these samples. AA was defined
as the residuals from regressing epigenetic age on actual age. AA was tested for
associations with cross-sectional clinical variables in children. We identified
associations between AA and sex, birth weight, birth by caesarean section and
several maternal characteristics in pregnancy, namely smoking, weight, BMI,
selenium and cholesterol level. Offspring of non-drinkers had higher AA on
average but this difference appeared to resolve during childhood. The
associations between sex, birth weight and AA found in ARIES were replicated in
an independent cohort (GOYA). In children, epigenetic AA measures are associated
with several clinically relevant variables, and early life exposures appear to be
associated with changes in AA during adolescence. Further research into
epigenetic aging, including the use of causal inference methods, is required to
better our understanding of aging.
PMID- 26546616
TI - An Investigator-Initiated Open-Label Trial of Sonidegib in Advanced Basal Cell
Carcinoma Patients Resistant to Vismodegib.
AB - PURPOSE: To assess the tumor response to the smoothened (SMO) inhibitor,
sonidegib (LDE225), in patients with an advanced basal cell carcinoma (BCC)
resistant to treatment with vismodegib (GDC0449). EXPERIMENTAL DESIGN: Nine
patients with an advanced BCC that was previously resistant to treatment with
vismodegib were given sonidegib in this investigational, open-label study. Tumor
response was determined using the response evaluation criteria in solid tumors.
SMO mutations were identified using biopsy samples from the target BCC location.
RESULTS: The median duration of treatment with sonidegib was 6 weeks (range, 3-58
weeks). Five patients experienced progressive disease with sonidegib. Three
patients experienced stable disease and discontinued sonidegib either due to
adverse events (n = 1) or due to election for surgery (n = 2). The response of
one patient was not evaluable. SMO mutations with in vitro data suggesting
resistance to Hh pathway inhibition were identified in 5 patients, and none of
these patients experienced responses while on sonidegib. CONCLUSIONS: Patients
with advanced BCCs that were previously resistant to treatment with vismodegib
similarly demonstrated treatment resistance with sonidegib. Patients who have
developed treatment resistance to an SMO inhibitor may continue to experience
tumor progression in response to other SMO inhibitors.
PMID- 26546617
TI - Combination of Photodynamic Therapy and Specific Immunotherapy Efficiently
Eradicates Established Tumors.
AB - PURPOSE: The efficacy of immunotherapy against advanced cancer may be improved by
combination strategies. Photodynamic therapy (PDT) is a local tumor ablation
method based on localized activation of a photosensitizer, leading to oxygen
radical-induced tumor cell death. PDT can enhance antitumor immune responses by
release of antigen and danger signals, supporting combination protocols of PDT
with immunotherapy. EXPERIMENTAL DESIGN: We investigated the local and systemic
immune effects of PDT after treatment of established tumors. In two independent
aggressive mouse tumor models, TC-1 and RMA, we combined PDT with therapeutic
vaccination using synthetic long peptides (SLP) containing epitopes from tumor
antigens. RESULTS: PDT of established tumors using the photosensitizer
Bremachlorin resulted in significant delay of tumor outgrowth. Combination
treatment of PDT with therapeutic SLP vaccination cured one third of mice.
Importantly, all cured mice were fully protected against subsequent tumor
rechallenge, and combination treatment of primary tumors led to eradication of
distant secondary tumors, indicating the induction of a systemic antitumor immune
response. Indeed, PDT by itself induced a significant CD8(+) T-cell response
against the tumor, which was increased when combined with SLP vaccination and
essential for the therapeutic effect of combination therapy. CONCLUSIONS: We show
that immunotherapy can be efficiently combined with PDT to eradicate established
tumors, based on strong local tumor ablation and the induction of a robust
systemic immune response. These results suggest combination of active
immunotherapy with tumor ablation by PDT as a feasible novel treatment strategy
for advanced cancer.
PMID- 26546618
TI - Combined Tumor Suppressor Defects Characterize Clinically Defined Aggressive
Variant Prostate Cancers.
AB - PURPOSE: Morphologically heterogeneous prostate cancers that behave clinically
like small-cell prostate cancers (SCPC) share their chemotherapy responsiveness.
We asked whether these clinically defined, morphologically diverse, "aggressive
variant prostate cancer (AVPC)" also share molecular features with SCPC.
EXPERIMENTAL DESIGN: Fifty-nine prostate cancer samples from 40 clinical trial
participants meeting AVPC criteria, and 8 patient-tumor derived xenografts (PDX)
from 6 of them, were stained for markers aberrantly expressed in SCPC. DNA from
36 and 8 PDX was analyzed by Oncoscan for copy number gains (CNG) and losses
(CNL). We used the AVPC PDX to expand observations and referenced publicly
available datasets to arrive at a candidate molecular signature for the AVPC.
RESULTS: Irrespective of morphology, Ki67 and Tp53 stained >=10% cells in 80% and
41% of samples, respectively. RB1 stained <10% cells in 61% of samples and AR in
36%. MYC (surrogate for 8q) CNG and RB1 CNL showed in 54% of 44 samples each and
PTEN CNL in 48%. All but 1 of 8 PDX bore Tp53 missense mutations. RB1 CNL was the
strongest discriminator between unselected castration-resistant prostate cancer
(CRPC) and the AVPC. Combined alterations in RB1, Tp53, and/or PTEN were more
frequent in the AVPC than in unselected CRPC and in The Cancer Genome Atlas
samples. CONCLUSIONS: Clinically defined AVPC share molecular features with SCPC
and are characterized by combined alterations in RB1, Tp53, and/or PTEN.
PMID- 26546619
TI - mTOR Inhibitors Suppress Homologous Recombination Repair and Synergize with PARP
Inhibitors via Regulating SUV39H1 in BRCA-Proficient Triple-Negative Breast
Cancer.
AB - PURPOSE: Triple-negative breast cancer (TNBC) is a highly heterogeneous disease
and has the worst outcome among all subtypes of breast cancers. Although PARP
inhibitors represent a promising treatment in TNBC with BRCA1/BRCA2 mutations,
there is great interest in identifying drug combinations that can extend the use
of PARP inhibitors to a majority of TNBC patients with wild-type BRCA1/BRCA2 Here
we explored whether mTOR inhibitors, through modulating homologous recombination
(HR) repair, would provide therapeutic benefit in combination with PARP
inhibitors in preclinical models of BRCA-proficient TNBC. EXPERIMENTAL DESIGN: We
have studied the effects of mTOR inhibitors on HR repair following DNA double
strand breaks (DSB). We further demonstrated the in vitro and in vivo activities
of combined treatment of mTOR inhibitors with PARP inhibitors in BRCA-proficient
TNBC. Moreover, microarray analysis and rescue experiments were used to
investigate the molecular mechanisms of action. RESULTS: We found that mTOR
inhibitors significantly suppressed HR repair in two BRCA-proficient TNBC cell
lines. mTOR inhibitors and PARP inhibitors in combination exhibited strong
synergism against these TNBC cell lines. In TNBC xenografts, we observed enhanced
efficacy of everolimus in combination with talazoparib (BMN673) compared with
either drug alone. We further identified through microarray analysis and by
rescue assays that mTOR inhibitors suppressed HR repair and synergized with PARP
inhibitors through regulating the expression of SUV39H1 in BRCA-proficient TNBCs.
CONCLUSIONS: Collectively, these findings strongly suggest that combining mTOR
inhibitors and PARP inhibitors would be an effective therapeutic approach to
treat BRCA-proficient TNBC patients.
PMID- 26546621
TI - Urgent resection of a giant left atrial appendage aneurysm and mitral valve
replacement in a complex case of Hurler-Scheie syndrome.
AB - Hurler-Scheie syndrome is a rare lysosomal storage disease affecting the
cardiovascular system. Besides the cardiac manifestations, it presents with
complications from abnormal proteoglycan deposition in soft tissues in many
locations, resulting in joint contractures, paraplegia, impaired vision, airway
narrowing and restrictive lung function, to name a few. There are very few
reports of surgical management of valvular heart disease due to
mucopolysaccharidosis (MPS). We describe the successful management of a patient
with an extremely challenging case of mitral valve stenosis and a giant left
atrial appendage aneurysm due to MPS type 1 (Hurler-Scheie syndrome). The patient
underwent mitral valve replacement and excision of the giant left atrial
appendage aneurysm; a similar case has not been previously reported.
PMID- 26546620
TI - HLA-B*57:01 Confers Susceptibility to Pazopanib-Associated Liver Injury in
Patients with Cancer.
AB - PURPOSE: Pazopanib is an effective treatment for advanced renal cell carcinoma
and soft-tissue sarcoma. Transaminase elevations have been commonly observed in
pazopanib-treated patients. We conducted pharmacogenetic analyses to explore
mechanistic insight into pazopanib-induced liver injury. EXPERIMENTAL DESIGN: The
discovery analysis tested association between four-digit HLA alleles and alanine
aminotransferase (ALT) elevation in pazopanib-treated patients with cancer from
eight clinical trials (N = 1,188). We conducted confirmatory analysis using an
independent dataset of pazopanib-treated patients from 23 additional trials (N =
1,002). Genome-wide association study (GWAS) for transaminase elevations was also
conducted. RESULTS: The discovery study identified an association between HLA
B*57:01 carriage and ALT elevation [P = 5.0 * 10(-5) for maximum on-treatment ALT
(MaxALT); P = 4.8 * 10(-4) for time to ALT > 3* upper limit of normal (ULN)
event; P = 4.1 * 10(-5) for time to ALT > 5* ULN event] that is significant after
adjustment for number of HLA alleles tested. We confirmed these associations with
time to ALT elevation event (P = 8.1 * 10(-4) for ALT > 3* ULN, P = 9.8 * 10(-3)
for ALT > 5* ULN) in an independent dataset. In the combined data, HLA-B*57:01
carriage was associated with ALT elevation (P = 4.3 * 10(-5) for MaxALT, P = 5.1
* 10(-6) for time to ALT > 3*ULN event, P = 5.8 * 10(-6) for time to ALT > 5* ULN
event). In HLA-B*57:01 carriers and noncarriers, frequency of ALT > 3* ULN was
31% and 19%, respectively, and frequency of ALT > 5* ULN was 18% and 10%,
respectively. GWAS revealed a possible borderline association, which requires
further evaluation. CONCLUSIONS: These data indicate that HLA-B*57:01 carriage
confers higher risk of ALT elevation in patients receiving pazopanib and provide
novel insight implicating an immune-mediated mechanism for pazopanib-associated
hepatotoxicity in some patients.
PMID- 26546622
TI - Combined surgical treatment for severe sleep apnoea, to improve BiPAP compliance.
AB - Positive airway pressure (PAP) devices are used in the treatment of obstructive
sleep apnoea syndrome (OSAS). In cases of PAP failure, many different surgical
methods can be used for the treatment. The authors present an unusual case of a
patient with Bi-level PAP (BiPAP)-intolerant severe OSAS who was treated with
combined surgical methods. A 55-year-old man was treated with BiPAP due to OSAS;
he was admitted to the clinic with nose stuffiness, respiratory distress and
BiPAP adherence with tolerance and compliance problems. Septal deviation, concha
hypertrophy, lateral pharyngeal band hypertrophy and Thornwaldt cyst were
determined in the examination. Combined surgical methods were administered. The
patient's apnoea hypopnoea index (AHI) was 72.8 in diagnostic polysomnography.
Preoperative AHI was 7.3 and postoperative AHI was 2.3 while using BiPAP and,
after the surgery, the BiPAP intolerance was eliminated. The authors suggest that
a combination of different surgical methods would be an adjuvant treatment to
increase BiPAP compliance.
PMID- 26546623
TI - Enterobius vermicularis infection of the liver in a patient with colorectal
carcinoma with suspected liver metastasis.
AB - A 68-year-old man diagnosed with cT3N2 adenocarcinoma of the rectum presented
with a synchronous solitary liver metastasis on CT scan. Neoadjuvant
chemoradiotherapy was started to downstage the primary tumour. Resection of the
rectal tumour followed 3 months after the last radiotherapy session and primary
resection of the isolated liver lesion was performed in the intervening period.
Histopathological assessment of the liver lesion, however, showed no malignancy,
but did reveal a necrotic infection due to Enterobius vermicularis. This parasite
is frequently found in the intestines, but only rarely infects the liver. The
patient was subsequently treated with the anthelmintic drug mebendazole 100 mg
once a week for 2 weeks. Histopathological assessment of the rectal specimen
showed complete regression after neoadjuvant chemoradiotherapy without evidence
of remaining E. vermicularis, suggesting pinworm eradication. The patient
recovered promptly after both surgical procedures.
PMID- 26546624
TI - Chondrosarcoma of the hyoid bone: an atypical site of a sarcoma of the head and
the neck.
AB - We describe a case of a 73-year-old man with a chondrosarcoma of the hyoid bone.
The patient presented with a painless palpable lump in the upper anterior
cervical region that had been growing for over 10 months. Fine-needle aspiration
cytology suggested pleomorphic adenoma but further imaging investigation with CT
revealed an exophytic tumour originating from the body of the hyoid bone with
prominent chondroid-like calcifications typical of a chondrosarcoma.
Histopathology of the surgical specimen confirmed the diagnosis of a moderately
differentiated chondrosarcoma. Chondrosarcomas account for 11% of all bone
cancers, of which up to 12% are in the head and neck. Primary sites of the head
and the neck include the skull base, the nasal cavity, the maxilla, the mandible
and the larynx. Chondrosarcomas of the hyoid bone are exceptionally rare, with
only 20 such cases previously reported in the English literature.
PMID- 26546625
TI - Thyroid papillary microcarcinoma: an incidental finding in a patient with
coronoid hyperplasia.
PMID- 26546626
TI - A rare case of metachronous penile and urethral metastases from a rectal mucinous
adenocarcinoma.
AB - Metastatic lesions in the penis are uncommon in patients with prostate or bladder
cancer but penile metastatic lesions from rectal tumours are rare with only 65
cases reported in the literature. We describe the case of a 70-year-old man who
developed metastatic lesions within his corpus cavernosum 2 years after being
diagnosed and treated for a mucinous adenocarcinoma of the rectum and a year
after a wedge resection of an isolated lung metastasis. He proceeded with total
penectomy and intraoperatively two skip lesions were also found within the wall
of his urethra; histological analysis proved that these were also metastatic
lesions. A perineal urethrostomy was formed with the remaining macroscopically
healthy urethra. He made a good recovery from his operation and continued his
treatment under the oncology team.
PMID- 26546627
TI - Think beyond simple pneumonia.
PMID- 26546628
TI - Severe ischemic stroke: Too severe for thrombolysis?
PMID- 26546629
TI - DTI of tuber and perituberal tissue can predict epileptogenicity in tuberous
sclerosis complex.
AB - OBJECTIVE: To evaluate whether diffusion tensor imaging (DTI) can predict
epileptogenic tubers by measuring apparent diffusion coefficient (ADC),
fractional anisotropy, axial diffusivity, and radial diffusivity in both tubers
and perituberal tissue in pediatric patients with tuberous sclerosis complex
(TSC) undergoing epilepsy surgery. METHODS: We retrospectively selected 23
consecutive patients (aged 0.4-19.6 years, mean age of 5.2; 13 female, 10 male)
who underwent presurgical DTI and subsequent surgical resection between 2004 and
2013 from the University of California-Los Angeles TSC Clinic. We evaluated
presurgical examinations including video-EEG, brain MRI, (18)F-fluorodeoxyglucose
PET, magnetic source imaging, and intraoperative electrocorticography for
determining epileptogenic tubers. A total of 545 tubers, 33 epileptogenic and 512
nonepileptogenic, were identified. Two observers generated the regions of
interest (ROIs) of tubers (ROI(tuber)), the 4-mm-thick ring-shaped ROIs
surrounding the tubers (ROI(perituber)), and the combined ROIs
(ROI(tuber+perituber)) in consensus and calculated maximum, minimum, mean, and
median values of each DTI measure in each ROI for all tubers. RESULTS: The Mann
Whitney U test demonstrated that the epileptogenic group showed higher maximum
ADC and radial diffusivity values in all ROIs, and that maximum ADC in
ROI(tuber+perituber) showed the strongest difference (p = 0.001). Receiver
operating characteristic analysis demonstrated that maximum ADC measurements in
ROI(tuber+perituber) (area under curve = 0.68 +/- 0.05, p < 0.001) had 81%
sensitivity and 44% specificity for correctly identifying epileptogenic tubers
with a cutoff value of 1.32 MUm(2)/ms. CONCLUSIONS: DTI analysis of tubers and
perituberal tissue may help to identify epileptogenic tubers in presurgical
patients with TSC more easily and effectively than current invasive methods.
PMID- 26546630
TI - IV thrombolysis in very severe and severe ischemic stroke: Results from the SITS
ISTR Registry.
AB - OBJECTIVE: To study the safety of off-label IV thrombolysis in patients with very
severe stroke (NIH Stroke Scale [NIHSS] scores >25) compared with severe stroke
(NIHSS scores 15-25), where treatment is within European regulations. METHODS:
Data were analyzed from 57,247 patients with acute ischemic stroke receiving IV
tissue plasminogen activator in 793 hospitals participating in the Safe
Implementation of Thrombolysis in Stroke (SITS) International Stroke Thrombolysis
Registry (2002-2013). Eight hundred sixty-eight patients (1.5%) had NIHSS scores
>25 and 19,995 (34.9%) had NIHSS scores 15-25. Outcome measures were parenchymal
hemorrhage, symptomatic intracerebral hemorrhage, mortality, and functional
outcome. RESULTS: Parenchymal hemorrhage occurred in 10.7% vs 11.0% (p = 0.79),
symptomatic intracerebral hemorrhage per SITS-MOST (SITS-Monitoring Study) in
1.4% vs 2.5% (p = 0.052), death at 3 months in 50.4% vs 26.9% (p < 0.001), and
functional independence at 3 months in 14.0% vs 29.0% (p < 0.001) of patients
with NIHSS scores >25 and NIHSS scores 15-25, respectively. Multivariate
adjustment did not change findings from univariate comparisons. Posterior
circulation stroke was more common in patients with NIHSS scores >25 (36.2% vs
7.4%, p < 0.001), who were also more often obtunded or comatose on presentation
(58.4% vs 7.1%, p < 0.001). Of patients with NIHSS scores >25, 26.2% were treated
>3 hours from symptom onset vs 14.5% with NIHSS scores of 15-25. CONCLUSIONS: Our
data show no excess risk of cerebral hemorrhage in patients with NIHSS score >25
compared to score 15-25, suggesting that the European contraindication to IV
tissue plasminogen activator treatment at NIHSS levels >25 may be unwarranted.
Increased mortality and lower rates of functional independence in patients with
NIHSS score >25 are explained by higher stroke severity, impaired consciousness
on presentation due to posterior circulation ischemia, and longer treatment
delays.
PMID- 26546631
TI - Small DWI lesions after intracerebral hemorrhage: Are perivascular spaces the
missing link?
PMID- 26546632
TI - Enlarged perivascular spaces and small diffusion-weighted lesions in
intracerebral hemorrhage.
AB - OBJECTIVE: To examine the association between enlarged perivascular spaces (EPVS)
and the prevalence and extent of small acute diffusion-weighted imaging (DWI)
lesions (SA-DWIL) in patients with spontaneous supratentorial intracerebral
hemorrhage (ICH). METHODS: We conducted a retrospective review of a consecutive
cohort of 201 patients with spontaneous supratentorial ICH who had brain MRI with
DWI within 1 month of ICH onset. We compared the clinical and imaging
characteristics, including EPVS, of patients with and without SA-DWIL. We used
univariate and multivariate logistic regression analyses to determine the
variables associated with SA-DWIL. RESULTS: Small acute DWI lesions were detected
in 27.9% (n = 56) of patients. Intraventricular and subarachnoid extension of ICH
(p <= 0.001), high centrum semiovale (CSO)-EPVS (p < 0.001), high basal ganglia
EPVS (p = 0.007), overall extent of white matter hyperintensity (p = 0.018),
initial ICH volume (p < 0.001), and mean change in mean arterial blood pressure
(delta MAP = MAP at admission - the lowest MAP before MRI scan) (p = 0.027) were
associated with SA-DWIL on univariate analyses. On multivariate logistic
regression analyses, larger ICH volume (odds ratio [OR] 1.03; 95% confidence
interval [CI] 1.01-1.06; p = 0.006) and high CSO-EPVS (OR 12.56; 95% CI 4.40
35.85; p < 0.001) were independently associated with the presence of SA-DWIL.
CONCLUSIONS: In our cohort, high EPVS, in particular CSO-EPVS, and larger
hematoma volume emerged as independent predictors for SA-DWIL after ICH. Our
findings might provide a new explanation for the pathophysiologic mechanisms
predisposing to SA-DWIL after ICH.
PMID- 26546633
TI - The Power of Mass Spectroscopy as Arbiter for Immunoassays.
PMID- 26546634
TI - Putting Designer Drugs Back in Pandora's Box: Analytical Challenges and
Metabolite Identification.
PMID- 26546635
TI - Short- and Long-term Biologic Variability of Galectin-3 and Other Cardiac
Biomarkers in Patients with Stable Heart Failure and Healthy Adults.
AB - BACKGROUND: Galectin-3 (Gal-3) has been suggested as a prognostic biomarker in
heart failure (HF) patients that may better reflect disease progression than
traditional markers, including B-type natriuretic peptide (BNP) and cardiac
troponins. To fully establish the utility of any biomarker in HF, its biologic
variability must be characterized. METHODS: To assess biologic variability, 59
patients were prospectively recruited, including 23 male and 16 female patients
with stable HF and 10 male and 10 female healthy individuals. Gal-3, BNP, and
high-sensitivity cardiac troponin I (hs-cTnI) were assayed at 5 time points
within a 3-week period to assess short-term biologic variability. Long-term (3
month) biologic variability was assessed with samples collected at enrollment and
after 4, 8, and 12 weeks. RESULTS: Among healthy individuals, mean short-term
biologic variability, expressed as intraindividual CV (CVI), was 4.5% for Gal-3,
29.0% for BNP, and 14.5% for hs-cTnI; long-term biologic variability was 5.5% for
Gal-3, 34.7% for BNP, and 14.7% for hs-cTnI. In stable HF patients, mean short
term biologic variability was 7.1% for Gal-3, 22.5% for BNP, and 8.5% for hs
cTnI, and mean long-term biologic variability was 7.7% for Gal-3, 27.6% for BNP,
and 9.6% for hs-cTnI. CONCLUSIONS: The finding that Gal-3 has minimal
intraindividual biological variability adds to its potential as a useful
biomarker in HF patients.
PMID- 26546636
TI - Use of a new generation of adaptive servo ventilation for sleep-disordered
breathing in patients with multiple system atrophy.
AB - A 70-year-old man (case 1) and a 64-year-old woman (case 2) with multiple system
atrophy (MSA) and snoring were admitted for polysomnography. Their awake PaCO2
indicated normocapnia. Apnoea-hypopnoea index (AHI), max transcutaneous carbon
dioxide partial pressure (PtcCO2) and DeltaPtcCO2 (max PtcCO2 (during sleep)
baseline PtcCO2 (while awake)) were 11.4/h, 63 mm Hg and 18 mm Hg, respectively,
in case 1 and 53.1/h, 59 mm Hg and 13 mm Hg, respectively, in case 2. Their sleep
disordered breathing (SDB) was diagnosed as obstructive sleep apnoea with
hypoventilation. We thought that variable expiratory positive airway pressure and
pressure support ventilation (advanced-adaptive servo ventilation (ASV)) might be
favourable for their SDB. Polysomnography after introducing advanced-ASV revealed
that AHI, max PtcCO2 and DeltaPtcCO2 were 0.2/h, 53 mm Hg and 5 mm Hg,
respectively, in case 1 and 1.5/h, 56 mm Hg and 9 mm Hg, respectively, in case 2.
Advanced-ASV for treating Cheyne-Stokes breathing may be helpful in SDB in
patients with MSA.
PMID- 26546638
TI - Farewell and Hail: A Message From the Editor-in-Chief.
PMID- 26546637
TI - A direct aspiration first pass technique for retrieval of a detached coil.
AB - A 64-year-old man was referred to our hospital for treatment of a cerebral
aneurysm that was incidentally found. The aneurysm was 7 mm in size and located
on the left anterior communicating artery. Using a balloon assisted technique, we
performed coil embolization. During the second coil insertion, the first coil was
dislodged into the anterior communicating artery. We attempted coil retrieval
using a snare, which was unsuccessful. We applied a direct aspiration first pass
technique (ADAPT) and advanced a Penumbra 4MAX immediately proximal to the
dislodged coil; the dislodged coil was then successfully retrieved. ADAPT is a
simple procedure for retrieval of a detached coil, and it can be used as an
alternative to the snare technique.
PMID- 26546641
TI - New Tools and Approaches for Family Physicians.
AB - This issue of the journal is filled with useful information for practicing family
physicians. Several articles introduce new ideas for family physicians to use in
the care of their patients, whereas other articles cover new approaches to old
problems. Several studies report on procedures performed by family physicians:
battlefield acupuncture, colonoscopy, and ultrasound. Some unique alternative
care models are described and evaluated. An innovative method of delivering
diabetes education seems to work well. Ways to use technology to improve patient
care, an update on chronic hepatitis B, and a novel use of social media to
understand a rare disease are also included. Readers will come away from this
issue with many ideas to consider implementing in their own practices.
PMID- 26546642
TI - Family Physicians with a Sports Medicine Certificate of Added Qualification
(CAQ): Well Prepared to Meet a Significant Patient Care Need.
PMID- 26546643
TI - Family Physicians with a Certificate of Added Qualifications (CAQs) in Sports
Medicine Spend the Majority of Their Time Practicing Sports Medicine.
AB - While family physicians holding certificates of added qualifications in sports
medicine practice in multiple settings, little is currently known about the
proportion of their time devoted exclusively to the practice of sports medicine.
We found that most spend a majority of their time doing so, and this number has
been increasing over the past decade.
PMID- 26546644
TI - Ear Acupuncture for Acute Sore Throat: A Randomized Controlled Trial.
AB - BACKGROUND: Sore throat is a common cause of pain in outpatient encounters.
Battlefield auricular acupuncture (the placing of needles in specific points in
the ear) is a modality used to treat acute pain associated with a variety of
ailments. The aim of our study was to determine whether auricular acupuncture
reduces pain, medication usage, and missed work hours when added to standard
therapy in adult patients with acute sore throat. METHODS: We conducted an
unblinded, pragmatic, randomized controlled trial among adult, nonpregnant
patients presenting to an Air Force family medicine clinic with pain from acute
sore throat. A total of 54 patients were followed for 48 hours after treatment.
RESULTS: Patients receiving auricular acupuncture reported lower pain scores than
those who did not at 15 minutes (6.0 [95% confidence interval (CI), 5.4-6.6] vs
2.6 [95% CI, 1.7-3.5]; P < .0001), 6 hours (4.8 [95% CI, 4.0-5.6] vs 2.5 [95% CI,
1.6-3.4]; P = .0005), and 24 hours (4.1 [95% CI, 3.3-4.9] vs 1.3 [95% CI, 1.0
2.8]; P = .0006). They also reported taking fewer cumulative doses of pain
medication at 6 hours (1.07 [95% CI, 0.69-1.45] vs 0.39 [95% CI, 0.2-0.58]; P =
.003), 24 hours (2.63 [95% CI, 1.95-3.31] vs 1.37 [95% CI, 0.92-1.82]; P = .004),
and 48 hours (4.07 [95% CI, 2.9-5.24] vs 2.19 [95% CI, 1.44-2.94]; P = .009).
There was no difference in time missed from work between the auricular
acupuncture and standard therapy groups. CONCLUSIONS: Compared with usual
treatment, battlefield auricular acupuncture was associated with reduced sore
throat pain for 24 hours and decreased use of pain medication for up to 48 hours.
There was no apparent effect on hours missed from work.
PMID- 26546645
TI - Assessment of Primary Care Physicians' Use of a Pocket Ultrasound Device to
Measure Left Ventricular Mass in Patients with Hypertension.
AB - PURPOSE: Left ventricular hypertrophy (LVH) is common in primary care and is
associated with increased morbidity and mortality. Treatment of underlying
hypertension can reverse LVH and eliminate the associated risks.
Electrocardiography is widely available and commonly used to screen hypertensive
patients for LVH, but it is limited by low sensitivity. Limited echocardiographic
measurement of the left ventricle is a method for screening with improved
sensitivity; however, it is not currently widely used in the primary care
setting. This study attempts to test the accuracy of primary care physicians'
(PCPs) measurements of the left ventricle using a pocket-sized ultrasound (pUS)
device after a brief training session. METHODS: This study was performed in an
outpatient cardiology clinic by 3 family medicine residents and 1 family medicine
faculty member after a 4-hour training session. Measurements of the left
ventricle were made by PCPs using a pUS device; these measurements were compared
with cardiologists' measurements from images obtained by echocardiography
technicians. Left ventricular mass index (LVMI) was calculated based on these
measurements and then compared between groups. RESULTS: There was no
statistically significant difference between the mean LVMI calculations in the 2
groups. The agreement in measurements between the groups, however, showed high
variability. This was manifested by the low sensitivity (70%) and specificity
(76%) of PCPs in the detection of LVH. CONCLUSIONS: This study showed that
limited echocardiography for the detection of LVH performed by PCPs at the point
of care was feasible. Future studies are needed to determine the ideal training
and experience necessary to yield competency.
PMID- 26546646
TI - Expanding Access to Colorectal Cancer Screening: Benchmarking Quality Indicators
in a Primary Care Colonoscopy Program.
AB - BACKGROUND: An inadequate supply of physicians who perform colonoscopies
contributes to suboptimal screening rates, especially among the underserved. This
shortage could be reduced if primary care physicians perform colonoscopies. This
purpose of this article is to report quality indicators from colonoscopy
procedures performed by family medicine physicians as part of a colorectal cancer
prevention program targeting uninsured, low-income individuals. METHODS: A grant
funded colorectal cancer screening program was implemented to increase access to
affordable colonoscopies for underinsured or uninsured residents of target
counties while providing colonoscopy training to family medicine resident
physicians. Colonoscopies were performed or supervised by 4 board-certified
family physicians. Data were collected between 2011 and 2014. RESULTS: A total of
1155 colonoscopies were performed on 1101 individuals over a 3-year period. Cecal
intubation rate was 96.25%. Adenoma detection rates among men and women >50 years
old were 38.15% and 25.96%, respectively. There was 1 perforation, which was
referred to a hospital, and 1 instance of postprocedural bleeding, which
spontaneously resolved. CONCLUSIONS: Primary care physicians performing
colonoscopies met the recommended quality indicators set forth by the American
Society for Gastrointestinal Endoscopy.
PMID- 26546647
TI - Connecting Emergency Department Patients to Primary Care.
AB - BACKGROUND: Inappropriate emergency department (ED) use among Medicaid enrollees
is considered a problem because of cost. We developed and evaluated a system
change innovation designed to remove system barriers to primary care access for
Medicaid patients. METHODS: Patients who presented to the ED without an
identified primary care provider were randomized to the intervention (n = 72) or
comparison group (n = 68) for a 12-month study designed to connect these patients
to primary care offices. Evaluation was mixed quantitative/qualitative. RESULTS:
Significantly more intervention participants attended at least 1 primary care
visit 3 months after the intervention (odds ratio [OR], 2.52; 95% confidence
interval [CI], 1.06-6.02), though this difference was not significant by 12
months (OR, 1.74; 95% CI, 0.79-3.84). The intervention participants also did not
have lower odds of returning to the ED for nonurgent reasons by the 12-month
follow-up (OR, 1.27; 95% CI, 0.65-2.48). Patient-reported barriers to attending a
primary care appointment were primarily social and health system-related factors.
CONCLUSION: The intervention did not decrease ED visits nor increase primary care
use over the 12 months of the study period. The qualitative results provide
insight into nonurgent ED utilization by patients with Medicaid, suggesting
potential future interventions.
PMID- 26546648
TI - Primary Care Attributes Associated with Receipt of Preventive Care Services: A
National Study.
AB - BACKGROUND: Primary care attributes (PCAs) encompassed by patient-centered
medical homes may increase receipt of preventive care, though national studies
are lacking. METHODS: We performed cross-sectional adjusted analyses of self
report data from adults in the 2007 to 2010 US Medical Expenditure Panel Surveys
(N = 50,457). PCAs were considered individually and as a total score for each
respondent and included comprehensiveness (a usual source of care for new and
ongoing problems, preventive care, and referrals); patient-centeredness (shared
decision making); and enhanced access (night and weekend hours). Preventive care
measures included mammography, influenza vaccination, annual exams, colorectal
cancer screening, and Papanicolaou, prostate-specific antigen, and cholesterol
testing. RESULTS: The total PCA score was positively associated with increased
receipt of each preventive care measure. Colorectal cancer screening (18.5%) and
prostate-specific antigen testing (20.7%) showed the largest increases across PCA
score quartiles. Individual primary care attributes except enhanced access were
positively associated with each preventive care measure. Enhanced access was
negatively associated with annual examination (adjusted odds ratio, 0.83; 95%
confidence interval, 0.77-0.91). CONCLUSION: In a nationally representative
sample, greater reported exposure to key primary care attributes, with the
exception of enhanced access, was associated with increased preventive care.
These findings may inform best practices for maximizing preventive care delivery.
PMID- 26546649
TI - Effect of Physician Participation in a Multi-element Health Information and Data
Exchange Program on Chronic Illness Medication Adherence.
AB - BACKGROUND: The Primary Care Information Project (PCIP) includes a network of
more than 10,000 physicians across New York City focusing on improving the
quality of patient care through the use of health information technology and data
exchange. METHODS: We assessed adherence, defined as the percentage with a
medication possession ratio (MPR) >=80%, across 2 time periods for union members
whose primary care providers participated in the PCIP compared with those whose
providers did not participate. Using prescription claims data from 2008 and 2011,
the MPR was calculated for disease-specific categories of drugs among patients
with diabetes, hypertension, and both conditions. RESULTS: Greater improvements
in the number of adherent members were observed for the PCIP patients with
diabetes who were taking diabetes-specific medications (odds ratio [OR], 2.03;
95% confidence interval [CI], 1.08-3.83 for PCIP, versus OR, 1.14; 95% CI, 0.81
1.60 for non-PCIP) and patients with diabetes who are taking lipid-controlling
medications (OR, 1.64; 95% CI, 0.73-3.65 for PCIP versus OR, 0.85; 95% CI, 0.55
1.32 for non-PCIP). However, the magnitude and significance of these associations
were diminished when practices providing reduced prescription co-pays were
excluded from the analyses. CONCLUSION: Access to primary care providers
participating in a public health initiative was associated with some improvement
in medication adherence. However, reducing prescription co-pays may be a stronger
factor for higher medication adherence among union members.
PMID- 26546650
TI - Experiences of Parents Caring for Infants with Rare Scalp Mass as Identified
through a Disease-Specific Blog.
AB - BACKGROUND: Delayed subaponeurotic fluid collection (DSFC) is a self-limited
disorder of unknown etiology characterized by a benign, fluid-filled mass in the
subaponeurotic layer of an infant's scalp. While a few case series describe DSFC,
the experiences of families whose infants develop this condition have not
previously been reported. METHODS: We used a disease-specific blog to evaluate
the experiences of 69 families affected by DSFC. We identified self-reported
clinical features of DSFC and qualitatively analyzed the families' experiences
with obtaining a diagnosis and care for their infants. RESULTS: Infants presented
in several clinical settings, and multiple diagnostic procedures were
administered, including ultrasound (46%), computed tomography (30%), and head
radiography (22%). Qualitative themes emerged: lack of provider awareness of
DSFC, concern about potentially harmful diagnostic procedures, suspicion of child
abuse, and the importance of the website in providing support to families.
CONCLUSIONS: Though DSFC can be diagnosed clinically and its natural history is
benign, its presence can be emotionally draining for parents. Physicians should
be aware of this clinical entity to rapidly allay parental distress and avoid
unnecessary procedures. Disease-specific blogs can help providers learn about
rare diseases, contain useful clinical information for research, and can benefit
patient care by providing social support for families.
PMID- 26546651
TI - Patient Preferences for Receiving Reports of Test Results.
AB - INTRODUCTION: The general public's preferences for modes of communication (other
than in-person communication) for medical test results were investigated. We
hypothesized that patients would prefer a variety of methods to receive common
tests results (blood cholesterol and colonoscopy) compared with genetics test
results. METHODS: This study was a cross-sectional survey. RESULTS: A total of
409 participants responded to the survey. Among these participants, >=50%
reported that they were comfortable receiving results for a blood cholesterol
test or colonoscopy via 4 of the 7 non-in-person communication methods (password
protected website, personal voicemail, personal E-mail, and letter were preferred
over home voicemail, fax, and mobile phone text message). In comparison, >50% of
participants were comfortable with only 1 non-in-person communication method for
non-HIV sexually transmitted infections (STIs) and none for genetic tests.
Patients were least comfortable receiving any information via fax, regardless of
test type. There were statistical differences among comfort levels for blood
cholesterol and colonoscopy tests and both STIs and genetic testing for personal
voicemail, personal E-mail, mobile phone text message, and password-protected
website, but there were no differences between STIs and genetic testing. No
correlation was found between "familiarity" with test and "comfort" of receiving
information about specific test. CONCLUSIONS: Participants demonstrated
preferences in how they received test results by non-in-person communication
methods, preferring personal E-mail and password-protected websites, but did not
prefer fax. Importantly, participants also demonstrated that preference was
dependent on test type.
PMID- 26546652
TI - Participation in the Journey to Life Conversation Map Improves Control of
Hypertension, Diabetes, and Hypercholesterolemia.
AB - BACKGROUND: The Diabetes Conversation Map program includes 4 "board game-like"
education tools. We describe how the Journey to Life Conversation Map Education
Class improves diabetes performance measures of hemoglobin A1c (HbA1c), low
density lipoprotein (LDL), and blood pressure (BP). METHODS: Retrospective case
control study in a military family medicine clinic from January 2007 to January
2010. We included 202 patients who completed >=1 conversation map class and a
comparison group of 209 patients who did not attend. RESULTS: Attendees started
with HbA1c 8.25 (95% confidence interval [CI], 7.86-8.64) and decreased to 6.96
(95% CI, 6.69-7.23). Patients in the comparison group started at 8.57 (95% CI,
8.18-8.95) and decreased to 8.27 (95% CI, 8.01-8.54) (P < .001). Attendees began
with LDL of 111 mg/dL (95% CI, 103-119) and decreased to 94 mg/dL (95% CI, 81
106). Patients in the comparison group started at 89 mg/dL (95% CI, 81-98) and
increased to 98 mg/dL (95% CI, 85-110) (P < .007). Systolic BP decreased 5.4 mmHg
among attendees versus 0.8 mmHg among those in the comparison group (P = .014),
whereas diastolic BP was unchanged (P = .110). CONCLUSION: The Journey to Life
Healthy Interactions Conversation Map Education Class for diabetes improves
diabetes performance measures.
PMID- 26546653
TI - Intimate Partner Violence and Current Mental Health Needs Among Female Veterans.
AB - BACKGROUND: The Veterans Health Administration (VHA) recommends screening female
patients for intimate partner violence (IPV), yet few studies inform IPV
screening efforts among this population. This study examined the proportion of
women who experienced IPV within the past year and the associations between IPV
and depression, post-traumatic stress disorder (PTSD), alcohol dependence, mental
health multimorbidity (ie, 2 or 3 of these conditions), and military sexual
trauma (MST) among female veterans. METHODS: A cross-sectional mail survey of 160
female VHA patients with an intimate partner within the past year was conducted
in 2012 in New England. Self-reported IPV was assessed using the Hurt, Insult,
Threaten, Scream screening tool. The survey also included validated screening
measures of depression (Center for Epidemiologic Studies Depression Scale), PTSD
(PTSD Checklist-Civilian), alcohol misuse (10-item Alcohol Use Disorders
Identification Test), and MST. RESULTS: Approximately 37% of women reported IPV
within the past year on the Hurt, Insult, Threaten, Scream tool. Odds ratios for
the associations between reporting IPV and mental health outcomes ranged between
2.75 and 3.67. With the exception of alcohol dependence, IPV remained strongly
associated with mental health conditions when adjusting for MST. CONCLUSIONS:
These findings can increase provider knowledge of the strong connection between
past-year IPV and mental health conditions among female veterans. This may
encourage IPV screening and facilitate appropriate referrals, treatment
conceptualization, and planning within the VHA and other health care settings.
PMID- 26546654
TI - Variations in Metformin Prescribing for Type 2 Diabetes.
AB - BACKGROUND: Reasons for suboptimal metformin prescribing are unclear, but may be
due to perceived risk of lactic acidosis. The purpose of this study is to
describe provider attitudes regarding metformin prescribing in various patient
situations. METHODS: An anonymous, electronic survey was distributed
electronically to 76 health care providers across the nation. The 14-item survey
contained demographic questions and questions related to prescribing of metformin
for T2DM in various patient situations, including suboptimal glycemic control,
alcohol use, history of lactic acidosis, and varying degrees of severity for
certain health conditions, including renal and hepatic dysfunction, chronic
obstructive pulmonary disease, and heart failure. RESULTS: There were a total of
100 respondents. For suboptimal glycemic control, most providers (75%) would
increase metformin from 1500 to 2000 mg daily; however, 25% would add an
alternate agent, such as a sulfonylurea (18%) or dipeptidyl peptidase-4 inhibitor
(7%). Although 51% of providers would stop metformin based on serum creatinine
thresholds, the remainder would rely on glomerular filtration rate thresholds of
<60 mL/min (15%), <30 mL/min (33%), or <15 mL/min (1%) to determine when to stop
metformin. For heart failure, 45% of providers would continue metformin as
currently prescribed regardless of severity. Most providers would adjust
metformin for varying severity of hepatic dysfunction (74%) and alcohol abuse
(40%). CONCLUSIONS: Despite evidence supporting the cardiovascular benefits of
metformin, provider attitudes toward prescribing metformin are suboptimal in
certain patient situations and vary greatly by provider.
PMID- 26546655
TI - The Effect of Achieving Patient-Reported Outcome Measures on Satisfaction.
AB - OBJECTIVE: To determine how frequently patients with advanced imaging for back or
abdominal pain achieve outcomes that are identified by patients as important and
whether those achieving those outcomes are more satisfied. METHODS: Cross
sectional analysis of survey responses from patients of an 800-physician multi
specialty group in Minnesota in 2013. A total of 201 patients with abdominal pain
and 167 patients with back pain 1 year earlier that was serious enough for a
computed tomography or magnetic resonance imaging scan (67% of those contacted).
The main outcomes were the frequency of occurrence of 19 outcomes previously
identified by patients as important, plus satisfaction with the results of care.
RESULTS: The majority of patients surveyed had achieved most of the desired
outcomes. For abdominal pain, 17 of 19 of the desired outcomes were achieved by
>50% of patients, while 11 of 19 desired outcomes were achieved by >50% of
patients with back pain. Seven of the desired outcomes were significantly
associated with satisfaction. CONCLUSION: Achieving outcomes important to
patients is associated with greater patient satisfaction. Such measures are
potentially valuable measures of quality.
PMID- 26546656
TI - Direct Primary Care: Practice Distribution and Cost Across the Nation.
AB - Direct primary care (DPC) is an emerging practice alternative that (1) eliminates
traditional third-party fee-for-service billing and (2) charges patients a
periodic fee for primary care services. We describe the DPC model by identifying
DPC practices across the United States; distinguish it from other practice
arrangements, such as the "concierge" practice; and describe the model's pricing
using data compiled from existing DPC practices across the United States. Lower
price points and a broad distribution of DPC practices were confirmed, but data
about quality are lacking.
PMID- 26546657
TI - Health Considerations in Regulation and Taxation of Electronic Cigarettes.
AB - The use of electronic cigarettes (e-cigarettes) is experiencing unprecedented
growth. This can be contrasted to the use of conventional cigarettes which showed
a decrease among adults with the current smoker prevalence dropping from 20.9% in
2005 to 17.8% in 2013. There is some data that e-cigarettes are attracting both
former smokers and never smokers, and in particular, young people as users.
Currently most states do not tax e-cigarettes. Taxation and regulation may have a
similar overall goal of decreasing smoking but regulation tends to focus reduced
availability of products. In terms of tobacco control, taxation focuses on the
demand side of the equation. Taxation is a distinct strategy from regulation and
has been shown to decrease new adopters of conventional cigarettes. A variety of
potential taxation strategies can be considered by policymakers based on
different assumptions about e-cigarettes and their utility, ranging from untaxed
to taxation at moderate levels compared to conventional cigarettes to taxation
equal to conventional cigarettes. Until more evidence for the benefits of e
cigarettes is presented, it seems prudent to view them as a potentially harmful
and addictive product that ought to be regulated and taxed in an equivalent
manner to conventional cigarettes.
PMID- 26546658
TI - Out-of-Hours Care Collaboration between General Practitioners and Hospital
Emergency Departments in the Netherlands.
AB - OBJECTIVE: In the Netherlands, general practitioners (GPs) and emergency
departments (EDs) collaborate increasingly in what is called an Urgent Care
Collaboration (UCC). In UCCs, GPs and EDs share 1 combined entrance and joint
triage. The objective of this study was to determine if GPs treat a larger
proportion of out-of-hours patients in the UCC system, and how this relates to
patient characteristics. METHODS: This observational study compared patients
treated within UCCs with patients treated in the usual care setting, that is, GPs
and EDs operating separately. Data on the characteristics of the patients, their
consultations, and their health problems were derived from electronic medical
records. We performed chi(2) tests, independent sample t tests, and multiple
logistic regression analyses. RESULTS: A significantly higher proportion of
patients attended their on-call GP within the UCC system. The proportion of ED
patients was 22% smaller in UCCs compared to the usual care setting. Controlled
for patient and health problem characteristics the difference remained
statistically significant (OR=0.69; CI 0.66-0.72) but there were substantial
differences between regions. Especially patients with trauma were treated more by
general practitioners. Controlled for case mix, patients in the largest UCC
region were 1.2 times more likely to attend a GP than the reference group.
CONCLUSION: When GPs and EDs collaborate, GPs take a substantially higher
proportion of all out-of-hours patients.
PMID- 26546659
TI - Association of Older Sister's HPV Vaccination Status on HPV Vaccine Receipt by
Adolescents.
AB - OBJECTIVE: Efforts to increase human papillomavirus (HPV) vaccine coverage rates
in adolescents include finding ways to improve discussions between clinicians and
parents. One potentially important piece of information for these discussions is
the HPV vaccination status of older siblings. METHODS: A nationally
representative online panel was used to conduct a cross-sectional survey of
parents of children aged 9 to 17 years in October 2012. RESULTS: Overall, 768
adolescents (35%) had >=1 older sister aged 10 to 26 years. Male and female
adolescents with an older sister who had received no HPV vaccine doses
demonstrated higher rates of having no doses themselves, compared with those who
had no older sister or those who had an older sister who had received >=1 HPV
vaccine dose. CONCLUSIONS: Discussing the HPV vaccination status of older sisters
may be a useful strategy for providers to differentiate HPV vaccine messages to
parents of unvaccinated younger siblings.
PMID- 26546660
TI - Optimizing Fracture Prevention in Patients with Osteoporosis.
AB - PURPOSE: This Maintenance of Certification activity was designed to help address
gaps in osteoporosis management, provide practice improvement based on recognized
models, and evaluate the impact of the initiative. METHODS: The program comprised
3 stages: stage A, practice self-assessment and chart review; stage B, an
intervention to address at least 1 problem; and stage C, additional chart review
(new patients). Data from stages A and C were compared to evaluate the impact of
the activity. RESULTS: Of 217 registrants, 62 completed the program, reviewing
2107 and 1814 charts in stages A and C, respectively. Statistically significant
improvements occurred in all quality attributes from stage A to stage C in 6
specified domains, except for the proportion of patients with an osteoporosis
diagnosis. CONCLUSIONS: Results of this activity support the benefit of
performance improvement initiatives and are consistent with other reports.
PMID- 26546661
TI - Management of Chronic Hepatitis B: An Overview of Practice Guidelines for Primary
Care Providers.
AB - Despite the introduction of hepatitis B virus (HBV) vaccination programs, chronic
hepatitis B (CHB) remains an important disease burden worldwide and in the United
States. A number of clinical practice guidelines are available to assist in the
clinical management of CHB by providing recommendations regarding screening and
diagnosis, treatment indications, and the choice, duration, and monitoring of
treatment. Adherence to these guidelines has proven beneficial in terms of better
treatment compliance, improved clinical outcomes, and lower likelihoods of
emergency admission. This review summarizes current recommendations from the
major clinical CHB practice guidelines and presents a simple algorithm for the
treatment of patients with CHB to help primary care providers make informed
choices in clinical practice. In general, antiviral treatment should be initiated
in patients with CHB who have a high risk of liver-related morbidity and who are
likely to respond to treatment, that is, patients with persistently elevated
serum HBV DNA and either increased serum alanine aminotransferase concentrations
or advanced liver disease. In patients who are eligible for antiviral therapy,
treatment should be initiated with one of the recommended first-line therapies
(pegylated interferon-alpha, entecavir, or tenofovir), and treatment efficacy
should be monitored regularly for serum HBV DNA, alanine aminotransferase, and
serologic responses. Patients who are not immediately considered for treatment
should be monitored and started on antiviral therapy in case of disease
progression. A number of issues in CHB management remain controversial or
unresolved, such as identifying treatment candidates, managing partial or
nonresponders, and predicting treatment response; we discuss some of the latest
evidence around these topics.
PMID- 26546662
TI - Cutaneous Sinus Tracts of Odontogenic Origin: Two Case Reports.
AB - BACKGROUND: Cutaneous odontogenic fistulas or sinus tracts are frequently
misdiagnosed and incorrectly treated, leading to unnecessary procedures and
patient suffering. An understanding of the draining of cutaneous sinus tracts
will lead to more appropriate treatment. Most cases respond to conservative,
nonsurgical root canal therapy. Our objective is to report 2 cases of cutaneous
sinus tract secondary to chronic periapical dental infection that were recently
observed at our hospital. METHODS: We present 2 cases of recurrent suppurative
facial lesions that were initially misdiagnosed and treated with oral antibiotics
without response. RESULTS: Clinical findings included palpable facial nodules
with drainage, palpable intraoral cords, and poor dentition with gingivitis;
radiologic examination showed a periapical disease process consistent with dental
sinus tracts. Both of the cases were referred to the maxillofacial department,
where the cyst and nonrestorable teeth were extracted. CONCLUSION: Because
patients with cutaneous facial sinus tracts of dental origin often do not have
obvious dental symptoms, a possible dental etiology may be overlooked. If dental
origin is suspected, the diagnosis is easily confirmed by dental examination and
dental roentgenograms of the involved area. Early correct diagnosis, based on
radiologic evidence of a periapical root infection and treatment of these lesions
can help prevent unnecessary and ineffective antibiotic therapy or surgical
treatment, reducing the possibility of further complications such as sepsis and
osteomyelitis.
PMID- 26546663
TI - Where I Practice: On the Spaces of Family Medicine.
AB - In this essay, the author explores 3 distinct "spaces" that have helped him
metaphorically map the nature of his work as a family physician. These "spaces"
represent cultural, personal, and relational environments in which he has
practiced over the course of >30 years since beginning medical school. They
concern, respectively, the dominant culture of medicine, one core philosophy of
family medicine (the biopsychosocialexistential model), and the development of
strong therapeutic bonds with patients. The author presents this textual and
graphic exploration of "space" in hopes that others might reflect on their work,
examine how they approach it, and bring richness and renewed meaning to their
work as family physicians.
PMID- 26546666
TI - The Adaptor Complex AP-4 Regulates Vacuolar Protein Sorting at the trans-Golgi
Network by Interacting with VACUOLAR SORTING RECEPTOR1.
AB - Adaptor protein (AP) complexes play critical roles in protein sorting among
different post-Golgi pathways by recognizing specific cargo protein motifs. Among
the five AP complexes (AP-1-AP-5) in plants, AP-4 is one of the most poorly
understood; the AP-4 components, AP-4 cargo motifs, and AP-4 functional mechanism
are not known. Here, we identify the AP-4 components and show that the AP-4
complex regulates receptor-mediated vacuolar protein sorting by recognizing
VACUOLAR SORTING RECEPTOR1 (VSR1), which was originally identified as a sorting
receptor for seed storage proteins to target protein storage vacuoles in
Arabidopsis (Arabidopsis thaliana). From the vacuolar sorting mutant library
GREEN FLUORESCENT SEED (GFS), we isolated three gfs mutants that accumulate
abnormally high levels of VSR1 in seeds and designated them as gfs4, gfs5, and
gfs6. Their responsible genes encode three (AP4B, AP4M, and AP4S) of the four
subunits of the AP-4 complex, respectively, and an Arabidopsis mutant (ap4e)
lacking the fourth subunit, AP4E, also had the same phenotype. Mass spectrometry
demonstrated that these four proteins form a complex in vivo. The four mutants
showed defects in the vacuolar sorting of the major storage protein 12S
globulins, indicating a role for the AP-4 complex in vacuolar protein transport.
AP4M bound to the tyrosine-based motif of VSR1. AP4M localized at the trans-Golgi
network (TGN) subdomain that is distinct from the AP-1-localized TGN subdomain.
This study provides a novel function for the AP-4 complex in VSR1-mediated
vacuolar protein sorting at the specialized domain of the TGN.
PMID- 26546668
TI - Validating hospital antibiotic purchasing data as a metric of inpatient
antibiotic use.
AB - OBJECTIVES: Antibiotic purchasing data are a widely used, but unsubstantiated,
measure of antibiotic consumption. To validate this source, we compared
purchasing data from hospitals and external medical databases with patient-level
dispensing data. METHODS: Antibiotic purchasing and dispensing data from internal
hospital records and purchasing data from IMS Health were obtained for two
hospitals between May 2013 and April 2015. Internal purchasing data were
validated against dispensing data, and IMS data were compared with both internal
metrics. Scatterplots of individual antimicrobial data points were generated;
Pearson's correlation and linear regression coefficients were computed. A
secondary analysis re-examined these correlations over shorter calendar periods.
RESULTS: Internal purchasing data were strongly correlated with dispensing data,
with correlation coefficients of 0.90 (95% CI = 0.83-0.95) and 0.98 (95% CI =
0.95-0.99) at hospitals A and B, respectively. Although dispensing data were
consistently lower than purchasing data, this was attributed to a single
antibiotic at both hospitals. IMS data were favourably correlated with, but
underestimated, internal purchasing and dispensing data. This difference was
accounted for by eight antibiotics for which direct sales from some manufacturers
were not included in the IMS database. The correlation between purchasing and
dispensing data was consistent across periods as short as 3 months, but not at
monthly intervals. CONCLUSIONS: Both internal and external antibiotic purchasing
data are strongly correlated with dispensing data. If outliers are accounted for
appropriately, internal purchasing data could be used for cost-effective
evaluation of antimicrobial stewardship programmes, and external data sets could
be used for surveillance and research across geographical regions.
PMID- 26546667
TI - The EXS Domain of PHO1 Participates in the Response of Shoots to Phosphate
Deficiency via a Root-to-Shoot Signal.
AB - The response of shoots to phosphate (Pi) deficiency implicates long-distance
communication between roots and shoots, but the participating components are
poorly understood. We have studied the topology of the Arabidopsis (Arabidopsis
thaliana) PHOSPHATE1 (PHO1) Pi exporter and defined the functions of its
different domains in Pi homeostasis and signaling. The results indicate that the
amino and carboxyl termini of PHO1 are both oriented toward the cytosol and that
the protein spans the membrane twice in the EXS domain, resulting in a total of
six transmembrane alpha-helices. Using transient expression in Nicotiana
benthamiana leaf, we demonstrated that the EXS domain of PHO1 is essential for Pi
export activity and proper localization to the Golgi and trans-Golgi network,
although the EXS domain by itself cannot mediate Pi export. In contrast, removal
of the amino-terminal hydrophilic SPX domain does not affect the Pi export
capacity of the truncated PHO1 in N. benthamiana. While the Arabidopsis pho1
mutant has low shoot Pi and shows all the hallmarks associated with Pi
deficiency, including poor shoot growth and overexpression of numerous Pi
deficiency-responsive genes, expression of only the EXS domain of PHO1 in the
roots of the pho1 mutant results in a remarkable improvement of shoot growth
despite low shoot Pi. Transcriptomic analysis of pho1 expressing the EXS domain
indicates an attenuation of the Pi signaling cascade and the up-regulation of
genes involved in cell wall synthesis and the synthesis or response to several
phytohormones in leaves as well as an altered expression of genes responsive to
abscisic acid in roots.
PMID- 26546669
TI - Deep analysis of HIV-1 natural variability across HIV-1 variants at residues
associated with integrase inhibitor (INI) resistance in INI-naive individuals.
AB - OBJECTIVES: We evaluated variant-associated variability at positions related to
resistance to the integrase (IN) inhibitors (INIs) raltegravir, elvitegravir and
dolutegravir using HIV-1 IN sequences from naive individuals retrieved from
GenBank. METHODS: We evaluated the frequency of major, secondary and rare amino
acid changes associated with INI resistance (INI-R) in 6706 sequences from 3791
INI-naive individuals carrying a large panel of different HIV-1 variants
retrieved from GenBank, including four groups: M (6663), O (24), N (15) and P
(4). HIV-1 group M sequences included 4599 sequences from the nine group M
subtypes and 2064 recombinants ascribed to 54 circulating recombinant forms
(CRFs). RESULTS: Primary INI-R mutations were rare in INI-naive participants and
only present at a low rate in subtypes B, C and D and recombinants CRF01_AE and
CRF14_BG, ranging from one to five per variant. Three secondary INI-R changes
appeared with variable frequency in INI-naive individuals carrying specific HIV-1
variants: L74M in CRF43_02G (33.3%); T97A in group P (50%), J (33.3%), CRF18_cpx
(20%) and F2 (11.5%); and G163RK in CRF44_BF (100%), CRF46_BF (66.7%), CRF17_BF
(28.6%), F1 (21.7%), CRF12_BF (16.7%) and CRF29_BF (12.5%). Rare mutations were
absent. CONCLUSIONS: Natural variability in INI-R positions across HIV-1 variants
should be studied as they may facilitate or delay the emergence of INI-R viruses.
PMID- 26546671
TI - Measurement of true ileal calcium digestibility in meat and bone meal for broiler
chickens using the direct method.
AB - The objective of the study that is presented herein was to determine the true
ileal calcium (Ca) digestibility in meat and bone meal (MBM) for broiler chickens
using the direct method. Four MBM samples (coded as MBM-1, MBM-2, MBM-3 and MBM
4) were obtained and analyzed for nutrient composition, particle size
distribution and bone to soft tissue ratio. The Ca concentrations of MBM-1, MBM
2, MBM-3 and MBM-4 were determined to be 71, 118, 114 and 81 g/kg, respectively.
The corresponding geometric mean particle diameters and bone to soft tissue
ratios were 0.866, 0.622, 0.875 and 0.781 mm, and 1:1.49, 1:0.98, 1:0.92 and
1:1.35, respectively. Five experimental diets, including four diets with similar
Ca concentration (8.3 g/kg) from each MBM and a Ca and phosphorus-free diet, were
developed. Meat and bone meal served as the sole source of Ca in the MBM diets.
Titanium dioxide (3 g/kg) was incorporated in all diets as an indigestible
marker. Each experimental diet was randomly allotted to six replicate cages
(eight birds per cage) and offered from d 28 to 31 post-hatch. Apparent ileal Ca
digestibility was calculated by the indicator method and corrected for ileal
endogenous Ca losses to determine the true ileal Ca digestibility. Ileal
endogenous Ca losses were determined to be 88 mg/kg dry matter intake. True ileal
Ca digestibility coefficients of MBM-1, MBM-2, MBM-3 and MBM-4 were determined to
be 0.560, 0.446, 0.517 and 0.413, respectively. True Ca digestibility of MBM-1
was higher (P < 0.05) than MBM-2 and MBM-4 but similar (P > 0.05) to that of MBM
3. True Ca digestibility of MBM-2 was similar (P > 0.05) to MBM-3 and MBM-4,
while that of MBM-3 was higher (P < 0.05) than MBM-4. These results demonstrated
that the direct method can be used for the determination of true Ca digestibility
in feed ingredients and that Ca in MBM is not highly available as often assumed.
The variability in true Ca digestibility of MBM samples could not be attributed
to Ca content, percentage bones or particle size.
PMID- 26546670
TI - Histone chaperone CAF-1 mediates repressive histone modifications to protect
preimplantation mouse embryos from endogenous retrotransposons.
AB - Substantial proportions of mammalian genomes comprise repetitive elements
including endogenous retrotransposons. Although these play diverse roles during
development, their appropriate silencing is critically important in maintaining
genomic integrity in the host cells. The major mechanism for retrotransposon
silencing is DNA methylation, but the wave of global DNA demethylation that
occurs after fertilization renders preimplantation embryos exceptionally
hypomethylated. Here, we show that hypomethylated preimplantation mouse embryos
are protected from retrotransposons by repressive histone modifications mediated
by the histone chaperone chromatin assembly factor 1 (CAF-1). We found that
knockdown of CAF-1 with specific siRNA injections resulted in significant up
regulation of the retrotransposons long interspersed nuclear element 1, short
interspersed nuclear element B2, and intracisternal A particle at the morula
stage. Concomitantly, increased histone H2AX phosphorylation and developmental
arrest of the majority (>95%) of embryos were observed. The latter was caused at
least in part by derepression of retrotransposons, as treatment with reverse
transcriptase inhibitors rescued some embryos. Importantly, ChIP analysis
revealed that CAF-1 mediated the replacement of H3.3 with H3.1/3.2 at the
retrotransposon regions. This replacement was associated with deposition of
repressive histone marks, including trimethylation of histone H3 on lysine 9
(H3K9me3), H3K9me2, H3K27me3, and H4K20me3. Among them, H4K20me3 and H3K9me3
seemed to play predominant roles in retrotransposon silencing, as assessed by
knockdown of specific histone methyltransferases and forced expression of
unmethylatable mutants of H3.1K9 and H4K20. Our data thus indicate that CAF-1 is
an essential guardian of the genome in preimplantation mouse embryos by
deposition of repressive histone modifications via histone variant replacement.
PMID- 26546672
TI - A novel phosphorylation site at Ser130 adjacent to the pseudosubstrate domain
contributes to the activation of protein kinase C-delta.
AB - Protein kinase C-delta (PKCdelta) is a signalling kinase that regulates many
cellular responses. Although most studies focus on allosteric mechanisms that
activate PKCdelta at membranes, PKCdelta also is controlled via multi-site
phosphorylation [Gong et al. (2015) Mol. Cell. Biol. 35: , 1727-1740]. The
present study uses MS-based methods to identify PKCdelta phosphorylation at
Thr(50) and Ser(645) (in resting and PMA-treated cardiomyocytes) as well as
Thr(37), Thr(38), Ser(130), Thr(164), Thr(211), Thr(215), Ser(218), Thr(295),
Ser(299) and Thr(656) (as sites that increase with PMA). We focused on the
consequences of phosphorylation at Ser(130) and Thr(141) (sites just N-terminal
to the pseudosubstrate domain). We show that S130D and T141E substitutions co
operate to increase PKCdelta's basal lipid-independent activity and that
Ser(130)/Thr(141) di-phosphorylation influences PKCdelta's substrate specificity.
We recently reported that PKCdelta preferentially phosphorylates substrates with
a phosphoacceptor serine residue and that this is due to constitutive
phosphorylation at Ser(357), an ATP-positioning G-loop site that limits
PKCdelta's threonine kinase activity [Gong et al. (2015) Mol. Cell. Biol. 35: ,
1727-1740]. The present study shows that S130D and T141E substitutions increase
PKCdelta's threonine kinase activity indirectly by decreasing G loop
phosphorylation at Ser(357). A S130F substitution [that mimics a S130F single-nt
polymorphism (SNP) identified in some human populations] also increases
PKCdelta's maximal lipid-dependent catalytic activity and confers threonine
kinase activity. Finally, we show that Ser(130)/Thr(141) phosphorylations relieve
auto-inhibitory constraints that limit PKCdelta's activity and substrate
specificity in a cell-based context. Since phosphorylation sites map to similar
positions relative to the pseudosubstrate domains of other PKCs, our results
suggest that phosphorylation in this region of the enzyme may constitute a
general mechanism to control PKC isoform activity.
PMID- 26546673
TI - Bacillus subtilis delta Factor Functions as a Transcriptional Regulator by
Facilitating the Open Complex Formation.
AB - Most bacterial RNA polymerases (RNAP) contain five conserved subunits, viz.
2alpha, beta, beta', and omega. However, in many Gram-positive bacteria,
especially in fermicutes, RNAP is associated with an additional factor, called
delta. For over three decades since its identification, it had been thought that
delta functioned as a subunit of RNAP to enhance the level of transcripts by
recycling RNAP. In support of the previous observations, we also find that delta
is involved in recycling of RNAP by releasing the RNA from the ternary complex.
We further show that delta binds to RNA and is able to recycle RNAP when the
length of the nascent RNA reaches a critical length. However, in this work we
decipher a new function of delta. Performing biochemical and mutational analysis,
we show that Bacillus subtilis delta binds to DNA immediately upstream of the
promoter element at A-rich sequences on the abrB and rrnB1 promoters and
facilitates open complex formation. As a result, delta facilitates RNAP to
initiate transcription in the second scale, compared with minute scale in the
absence of delta. Using transcription assay, we show that delta-mediated
recycling of RNAP cannot be the sole reason for the enhancement of transcript
yield. Our observation that delta does not bind to RNAP holo enzyme but is
required to bind to DNA upstream of the -35 promoter element for transcription
activation suggests that delta functions as a transcriptional regulator.
PMID- 26546674
TI - Cooperative Binding of Stromal Interaction Molecule 1 (STIM1) to the N and C
Termini of Calcium Release-activated Calcium Modulator 1 (Orai1).
AB - Calcium flux through store-operated calcium entry is a central regulator of
intracellular calcium signaling. The two key components of the store-operated
calcium release-activated calcium channel are the Ca(2+)-sensing protein stromal
interaction molecule 1 (STIM1) and the channel pore-forming protein Orai1. During
store-operated calcium entry activation, calcium depletion from the endoplasmic
reticulum triggers a series of conformational changes in STIM1 that unmask a
minimal Orai1-activating domain (CRAC activation region (CAD)). To gate Orai1
channels, the exposed STIM1-activating domain binds to two sites in Orai1, one in
the N terminus and one in the C terminus. Whether the two sites operate as
distinct binding domains or cooperate in CAD binding is unknown. In this study,
we show that the N and C-terminal domains of Orai1 synergistically contribute to
the interaction with STIM1 and couple STIM1 binding with channel gating and
modulation of ion selectivity.
PMID- 26546675
TI - Specificity of Collybistin-Phosphoinositide Interactions: IMPACT OF THE
INDIVIDUAL PROTEIN DOMAINS.
AB - The regulatory protein collybistin (CB) recruits the receptor-scaffolding protein
gephyrin to mammalian inhibitory glycinergic and GABAergic postsynaptic membranes
in nerve cells. CB is tethered to the membrane via phosphoinositides. We
developed an in vitro assay based on solid-supported 1-palmitoyl-2-oleoyl-sn
glycero-3-phosphocholine membranes doped with different phosphoinositides on
silicon/silicon dioxide substrates to quantify the binding of various CB2
constructs using reflectometric interference spectroscopy. Based on adsorption
isotherms, we obtained dissociation constants and binding capacities of the
membranes. Our results show that full-length CB2 harboring the N-terminal Src
homology 3 (SH3) domain (CB2SH3+) adopts a closed and autoinhibited conformation
that largely prevents membrane binding. This autoinhibition is relieved upon
introduction of the W24A/E262A mutation, which conformationally "opens" CB2SH3+
and allows the pleckstrin homology domain to properly bind lipids depending on
the phosphoinositide species with a preference for phosphatidylinositol 3
monophosphate and phosphatidylinositol 4-monophosphate. This type of membrane
tethering under the control of the release of the SH3 domain of CB is essential
for regulating gephyrin clustering.
PMID- 26546676
TI - The Inhibitory Mechanism of the zeta Subunit of the F1FO-ATPase Nanomotor of
Paracoccus denitrificans and Related alpha-Proteobacteria.
AB - The zeta subunit is a novel inhibitor of the F1FO-ATPase of Paracoccus
denitrificans and related alpha-proteobacteria. It is different from the
bacterial (epsilon) and mitochondrial (IF1) inhibitors. The N terminus of zeta
blocks rotation of the gamma subunit of the F1-ATPase of P. denitrificans (Zarco
Zavala, M., Morales-Rios, E., Mendoza-Hernandez, G., Ramirez-Silva, L., Perez
Hernandez, G., and Garcia-Trejo, J. J. (2014) FASEB J. 24, 599-608) by a hitherto
unknown quaternary structure that was first modeled here by structural homology
and protein docking. The F1-ATPase and F1-zeta models of P. denitrificans were
supported by cross-linking, limited proteolysis, mass spectrometry, and
functional data. The final models show that zeta enters into F1-ATPase at the
open catalytic alphaE/betaE interface, and two partial gamma rotations lock the N
terminus of zeta in an "inhibition-general core region," blocking further gamma
rotation, while the zeta globular domain anchors it to the closed alphaDP/betaDP
interface. Heterologous inhibition of the F1-ATPase of P. denitrificans by the
mitochondrial IF1 supported both the modeled zeta binding site at the
alphaDP/betaDP/gamma interface and the endosymbiotic alpha-proteobacterial origin
of mitochondria. In summary, the zeta subunit blocks the intrinsic rotation of
the nanomotor by inserting its N-terminal inhibitory domain at the same
rotor/stator interface where the mitochondrial IF1 or the bacterial epsilon
binds. The proposed pawl mechanism is coupled to the rotation of the central
gamma subunit working as a ratchet but with structural differences that make it a
unique control mechanism of the nanomotor to favor the ATP synthase activity over
the ATPase turnover in the alpha-proteobacteria.
PMID- 26546677
TI - Cytokine Activation by Antibody Fragments Targeted to Cytokine-Receptor Signaling
Complexes.
AB - Exogenous cytokine therapy can induce systemic toxicity, which might be prevented
by activating endogenously produced cytokines in local cell niches. Here we
developed antibody-based activators of cytokine signaling (AcCS), which recognize
cytokines only when they are bound to their cell surface receptors. AcCS were
developed for type I interferons (IFNs), which induce cellular activities by
binding to cell surface receptors IFNAR1 and IFNAR2. As a potential alternative
to exogenous IFN therapy, AcCS were shown to potentiate the biological activities
of natural IFNs by ~100-fold. Biochemical and structural characterization
demonstrates that the AcCS stabilize the IFN-IFNAR2 binary complex by recognizing
an IFN-induced conformational change in IFNAR2. Using IFN mutants that disrupt
IFNAR1 binding, AcCS were able to enhance IFN antiviral potency without
activating antiproliferative responses. This suggests AcCS can be used to
manipulate cytokine signaling for basic science and possibly for therapeutic
applications.
PMID- 26546678
TI - Antiviral Cystine Knot alpha-Amylase Inhibitors from Alstonia scholaris.
AB - Cystine knot alpha-amylase inhibitors are cysteine-rich, proline-rich peptides
found in the Amaranthaceae and Apocynaceae plant species. They are characterized
by a pseudocyclic backbone with two to four prolines and three disulfides
arranged in a knotted motif. Similar to other knottins, cystine knot alpha
amylase inhibitors are highly resistant to degradation by heat and protease
treatments. Thus far, only the alpha-amylase inhibition activity has been
described for members of this family. Here, we show that cystine knot alpha
amylase inhibitors named alstotides discovered from the Alstonia scholaris plant
of the Apocynaceae family display antiviral activity. The alstotides (As1-As4)
were characterized by both proteomic and genomic methods. All four alsotides are
novel, heat-stable and enzyme-stable and contain 30 residues. NMR determination
of As1 and As4 structures reveals their conserved structural fold and the
presence of one or more cis-proline bonds, characteristics shared by other
cystine knot alpha-amylase inhibitors. Genomic analysis showed that they contain
a three-domain precursor, an arrangement common to other knottins. We also showed
that alstotides are antiviral and cell-permeable to inhibit the early phase of
infectious bronchitis virus and Dengue infection, in addition to their ability to
inhibit alpha-amylase. Taken together, our results expand membership of cystine
knot alpha-amylase inhibitors in the Apocynaceae family and their bioactivity,
functional promiscuity that could be exploited as leads in developing
therapeutics.
PMID- 26546679
TI - Sar1 GTPase Activity Is Regulated by Membrane Curvature.
AB - The majority of biosynthetic secretory proteins initiate their journey through
the endomembrane system from specific subdomains of the endoplasmic reticulum. At
these locations, coated transport carriers are generated, with the Sar1 GTPase
playing a critical role in membrane bending, recruitment of coat components, and
nascent vesicle formation. How these events are appropriately coordinated remains
poorly understood. Here, we demonstrate that Sar1 acts as the curvature-sensing
component of the COPII coat complex and highlight the ability of Sar1 to bind
more avidly to membranes of high curvature. Additionally, using an atomic force
microscopy-based approach, we further show that the intrinsic GTPase activity of
Sar1 is necessary for remodeling lipid bilayers. Consistent with this idea, Sar1
mediated membrane remodeling is dramatically accelerated in the presence of its
guanine nucleotide-activating protein (GAP), Sec23-Sec24, and blocked upon
addition of guanosine-5'-[(beta,gamma)-imido]triphosphate, a poorly hydrolysable
analog of GTP. Our results also indicate that Sar1 GTPase activity is stimulated
by membranes that exhibit elevated curvature, potentially enabling Sar1 membrane
scission activity to be spatially restricted to highly bent membranes that are
characteristic of a bud neck. Taken together, our data support a stepwise model
in which the amino-terminal amphipathic helix of GTP-bound Sar1 stably penetrates
the endoplasmic reticulum membrane, promoting local membrane deformation. As
membrane bending increases, Sar1 membrane binding is elevated, ultimately
culminating in GTP hydrolysis, which may destabilize the bilayer sufficiently to
facilitate membrane fission.
PMID- 26546681
TI - The Redox State Regulates the Conformation of Rv2466c to Activate the
Antitubercular Prodrug TP053.
AB - Rv2466c is a key oxidoreductase that mediates the reductive activation of TP053,
a thienopyrimidine derivative that kills replicating and non-replicating
Mycobacterium tuberculosis, but whose mode of action remains enigmatic. Rv2466c
is a homodimer in which each subunit displays a modular architecture comprising a
canonical thioredoxin-fold with a Cys(19)-Pro(20)-Trp(21)-Cys(22) motif, and an
insertion consisting of a four alpha-helical bundle and a short alpha-helical
hairpin. Strong evidence is provided for dramatic conformational changes during
the Rv2466c redox cycle, which are essential for TP053 activity. Strikingly, a
new crystal structure of the reduced form of Rv2466c revealed the binding of a C
terminal extension in alpha-helical conformation to a pocket next to the active
site cysteine pair at the interface between the thioredoxin domain and the
helical insertion domain. The ab initio low-resolution envelopes obtained from
small angle x-ray scattering showed that the fully reduced form of Rv2466c adopts
a "closed" compact conformation in solution, similar to that observed in the
crystal structure. In contrast, the oxidized form of Rv2466c displays an "open"
conformation, where tertiary structural changes in the alpha-helical subdomain
suffice to account for the observed conformational transitions. Altogether our
structural, biochemical, and biophysical data strongly support a model in which
the formation of the catalytic disulfide bond upon TP053 reduction triggers local
structural changes that open the substrate binding site of Rv2466c allowing the
release of the activated, reduced form of TP053. Our studies suggest that similar
structural changes might have a functional role in other members of the
thioredoxin-fold superfamily.
PMID- 26546680
TI - Negative Feed-forward Control of Tumor Necrosis Factor (TNF) by Tristetraprolin
(ZFP36) Is Limited by the Mitogen-activated Protein Kinase Phosphatase, Dual
specificity Phosphatase 1 (DUSP1): IMPLICATIONS FOR REGULATION BY
GLUCOCORTICOIDS.
AB - TNF is central to inflammation and may play a role in the pathogenesis of asthma.
The 3'-untranslated region of the TNF transcript contains AU-rich elements (AREs)
that are targeted by the RNA-binding protein, tristetraprolin (also known as zinc
finger protein 36 (ZFP36)), which is itself up-regulated by inflammatory stimuli,
to promote mRNA degradation. Using primary human bronchial epithelial and
pulmonary epithelial A549 cells, we confirm that interleukin-1beta (IL1B) induces
expression of dual-specificity phosphatase 1 (DUSP1), ZFP36, and TNF. Whereas
IL1B-induced DUSP1 is involved in feedback control of MAPK pathways, ZFP36 exerts
negative (incoherent) feed-forward control of TNF mRNA and protein expression.
DUSP1 silencing increased IL1B-induced ZFP36 expression at 2 h and profoundly
repressed TNF mRNA at 6 h. This was partly due to increased TNF mRNA degradation,
an effect that was reduced by ZFP36 silencing. This confirms a regulatory
network, whereby DUSP1-dependent negative feedback control reduces feed-forward
control by ZFP36. Conversely, whereas DUSP1 overexpression and inhibition of
MAPKs prevented IL1B-induced expression of ZFP36, this was associated with
increased TNF mRNA expression at 6 h, an effect that was predominantly due to
elevated transcription. This points to MAPK-dependent feed-forward control of TNF
involving ZFP36-dependent and -independent mechanisms. In terms of repression by
dexamethasone, neither silencing of DUSP1, silencing of ZFP36, nor silencing of
both together prevented the repression of IL1B-induced TNF expression, thereby
demonstrating the need for further repressive mechanisms by anti-inflammatory
glucocorticoids. In summary, these data illustrate why understanding the
competing effects of feedback and feed-forward control is relevant to the
development of novel anti-inflammatory therapies.
PMID- 26546683
TI - Comment on "Primary Central Nervous System (CNS) Lymphoma B Cell Receptors
Recognize CNS Proteins".
PMID- 26546682
TI - Untargeted Plasma Metabolomics Identifies Endogenous Metabolite with Drug-like
Properties in Chronic Animal Model of Multiple Sclerosis.
AB - We performed untargeted metabolomics in plasma of B6 mice with experimental
autoimmune encephalitis (EAE) at the chronic phase of the disease in search of an
altered metabolic pathway(s). Of 324 metabolites measured, 100 metabolites that
mapped to various pathways (mainly lipids) linked to mitochondrial function,
inflammation, and membrane stability were observed to be significantly altered
between EAE and control (p < 0.05, false discovery rate <0.10). Bioinformatics
analysis revealed six metabolic pathways being impacted and altered in EAE,
including alpha-linolenic acid and linoleic acid metabolism (PUFA). The
metabolites of PUFAs, including omega-3 and omega-6 fatty acids, are commonly
decreased in mouse models of multiple sclerosis (MS) and in patients with MS.
Daily oral administration of resolvin D1, a downstream metabolite of omega-3,
decreased disease progression by suppressing autoreactive T cells and inducing an
M2 phenotype of monocytes/macrophages and resident brain microglial cells. This
study provides a proof of principle for the application of metabolomics to
identify an endogenous metabolite(s) possessing drug-like properties, which is
assessed for therapy in preclinical mouse models of MS.
PMID- 26546684
TI - Response to Comment on "Primary Central Nervous System (CNS) Lymphoma B Cell
Receptors Recognize CNS Proteins".
PMID- 26546685
TI - Comment on "Deficient NLRP3 and AIM2 Inflammasome Function in Autoimmune NZB
Mice".
PMID- 26546686
TI - Response to Comment on "Deficient NLRP3 and AIM2 Inflammasome Function in
Autoimmune NZB Mice".
PMID- 26546687
TI - CD Nomenclature 2015: Human Leukocyte Differentiation Antigen Workshops as a
Driving Force in Immunology.
AB - CD (cluster of differentiation) Ags are cell surface molecules expressed on
leukocytes and other cells relevant for the immune system. CD nomenclature has
been universally adopted by the scientific community and is officially approved
by the International Union of Immunological Societies and sanctioned by the World
Health Organization. It provides a unified designation system for mAbs, as well
as for the cell surface molecules that they recognize. This nomenclature was
established by the Human Leukocyte Differentiation Antigens Workshops. In
addition to defining the CD nomenclature, these workshops have been instrumental
in identifying and determining the expression and function of cell surface
molecules. Over the past 30 y, the data generated by the 10 Human Leukocyte
Differentiation Antigens Workshops have led to the characterization and formal
designation of more than 400 molecules. CD molecules are commonly used as cell
markers, allowing the identification and isolation of leukocyte populations,
subsets, and differentiation stages. mAbs against these molecules have proven to
be essential for biomedical research and diagnosis, as well as in biotechnology.
More recently, they have been recognized as invaluable tools for the treatment of
several malignancies and autoimmune diseases. In this article, we describe how
the CD nomenclature was established, present the official updated list of CD
molecules, and provide a rationale for their usefulness in the 21st century.
PMID- 26546689
TI - An adaptive kernel smoothing method for classifying Austrosimulium tillyardianum
(Diptera: Simuliidae) larval instars.
AB - In insects, the frequency distribution of the measurements of sclerotized body
parts is generally used to classify larval instars and is characterized by a
multimodal overlap between instar stages. Nonparametric methods with fixed
bandwidths, such as histograms, have significant limitations when used to fit
this type of distribution, making it difficult to identify divisions between
instars. Fixed bandwidths have also been chosen somewhat subjectively in the
past, which is another problem. In this study, we describe an adaptive kernel
smoothing method to differentiate instars based on discontinuities in the growth
rates of sclerotized insect body parts. From Brooks' rule, we derived a new
standard for assessing the quality of instar classification and a bandwidth
selector that more accurately reflects the distributed character of specific
variables. We used this method to classify the larvae of Austrosimulium
tillyardianum (Diptera: Simuliidae) based on five different measurements. Based
on head capsule width and head capsule length, the larvae were separated into
nine instars. Based on head capsule postoccipital width and mandible length, the
larvae were separated into 8 instars and 10 instars, respectively. No reasonable
solution was found for antennal segment 3 length. Separation of the larvae into
nine instars using head capsule width or head capsule length was most robust and
agreed with Crosby's growth rule. By strengthening the distributed character of
the separation variable through the use of variable bandwidths, the adaptive
kernel smoothing method could identify divisions between instars more effectively
and accurately than previous methods.
PMID- 26546691
TI - Myostatin induces DNA damage in skeletal muscle of streptozotocin-induced type 1
diabetic mice.
PMID- 26546690
TI - Trousseau's syndrome: cancer-associated thrombosis.
AB - Trousseau's syndrome (cancer-associated thrombosis) is the second leading cause
of death in cancer patients, after death from cancer itself. The risk of a venous
thromboembolism is 4- to 7-fold higher in patients with cancer than in those
without cancer. The causes of this impaired coagulation are associated with
general patient-related risk factors, and other factors that are specific to the
particular cancer or treatment. It is important to assess the risk of thrombotic
events in cancer patients and administer effective prophylaxis and treatment.
Effective prophylaxis and treatment of venous thromboembolism reduces morbidity
and mortality, and improves patients' quality of life. Low molecular weight
heparin is the first-line treatment for venous thromboembolism, as an effective
and safe means for prophylaxis and treatment, according to guidelines released by
international scientific societies. Oral anticoagulation therapy with warfarin is
preferable to no therapy. However, warfarin has low efficacy and is associated
with high rates of recurrence. If low molecular weight heparin is unavailable,
some guidelines recommend the use of vitamin K antagonists that have a target
international normalized ratio in the range of 2-3, as acceptable alternatives.
Novel oral anticoagulants that directly inhibit factor Xa or thrombin are
promising for the prophylaxis of high-risk cancer patients and in the long-term
treatment of venous thromboembolism. However, to date, there is insufficient
evidence to support the use of these new anticoagulants.
PMID- 26546688
TI - APOBEC3 Proteins in Viral Immunity.
AB - Apolipoprotein B editing complex 3 family members are cytidine deaminases that
play important roles in intrinsic responses to infection by retroviruses and have
been implicated in the control of other viruses, such as parvoviruses,
herpesviruses, papillomaviruses, hepatitis B virus, and retrotransposons.
Although their direct effect on modification of viral DNA has been clearly
demonstrated, whether they play additional roles in innate and adaptive immunity
to viruses is less clear. We review the data regarding the various steps in the
innate and adaptive immune response to virus infection in which apolipoprotein B
editing complex 3 proteins have been implicated.
PMID- 26546692
TI - Cysteine-independent catalase-like activity of vertebrate peroxiredoxin 1 (Prx1).
PMID- 26546693
TI - TNF-TNFR2/p75 signaling inhibits early and increases delayed nontargeted effects
in bone marrow-derived endothelial progenitor cells.
PMID- 26546694
TI - Nitroxides protect against peroxynitrite-induced nitration and oxidation.
AB - Nitroxides are promising compounds for prevention of undesired protein
modifications. The aim of this study was to compare the efficiency of 11
nitroxides, derivatives of 2,2,6,6-tetramethylpiperidine-1-oxide (TEMPO) and
2,2,5,5-tetramethylpirrolidine-1-oxyl (PROXYL) in prevention of nitration and
oxidation of model compounds and human serum albumin (HSA). Most nitroxides were
very efficient in preventing loss of fluorescein fluorescence induced by
peroxynitrite (PN) (IC50 in the nanomolar range) and preventing HSA nitration.
The loss of fluorescein fluorescence was demonstrated to be due to nitration.
Nitroxides were more effective in prevention nitration than oxidation reactions.
They showed a concentration window for preventing dihydrorhodamine (DHR) 123
oxidation but exerted a prooxidant effect at both high and low concentrations. No
prooxidant effect of nitroxides was seen in prevention of DHR123 oxidation
induced by SIN-1. In all essays hydrophobic nitroxides (especially 4-nonylamido
TEMPO and 3-carbamolyl-dehydroPROXYL) showed the lowest efficiency. An exception
was the prevention of thiol group oxidation by PN and SIN-1 where hydrophobic
nitroxides were the most effective, apparently due to binding to the protein.
Nitroxides showed low toxicity to MCF-7 cells. Most nitroxides, except for the
most hydrophobic ones, protected cells from the cytotoxic action of SIN-1 and SIN
1-induced protein nitration. These results point to potential usefulness of
nitroxides for prevention of PN-induced oxidation and, especially, nitration.
PMID- 26546696
TI - Protective effects of batimastat against hemorrhagic injuries in delayed
jellyfish envenomation syndrome models.
AB - Previously, we established delayed jellyfish envenomation syndrome (DJES) models
and proposed that the hemorrhagic toxins in jellyfish tentacle extracts (TE) play
a significant role in the liver and kidney injuries of the experimental model.
Further, we also demonstrated that metalloproteinases are the central toxic
components of the jellyfish Cyanea capillata (C. capillata), which may be
responsible for the hemorrhagic effects. Thus, metalloproteinase inhibitors
appear to be a promising therapeutic alternative for the treatment of hemorrhagic
injuries in DJES. In this study, we examined the metalloproteinase activity of TE
from the jellyfish C. capillata using zymography analyses. Our results confirmed
that TE possessed a metalloproteinase activity, which was also sensitive to heat.
Then, we tested the effect of metalloproteinase inhibitor batimastat (BB-94) on
TE-induced hemorrhagic injuries in DJES models. Firstly, using SR-based X-ray
microangiography, we found that BB-94 significantly improved TE-induced hepatic
and renal microvasculature alterations in DJES mouse model. Secondly, under
synchrotron radiation micro-computed tomography (SR-MUCT), we also confirmed that
BB-94 reduced TE-induced hepatic and renal microvasculature changes in DJES rat
model. In addition, being consistent with the imaging results, histopathological
and terminal deoxynucleotidyl transferase-mediated UTP end labeling (TUNEL)-like
staining observations also clearly corroborated this hypothesis, as BB-94 was
highly effective in neutralizing TE-induced extensive hemorrhage and necrosis in
DJES rat model. Although it may require further clinical studies in the near
future, the current study opens up the possibilities for the use of the
metalloproteinase inhibitor, BB-94, in the treatment of multiple organ
hemorrhagic injuries in DJES.
PMID- 26546695
TI - Phytoestrogens modulate hepcidin expression by Nrf2: Implications for dietary
control of iron absorption.
AB - Hepcidin is a liver-derived antimicrobial peptide that regulates iron absorption
and is also an integral part of the acute phase response. In a previous report,
we found evidence that this peptide could also be induced by toxic heavy metals
and xenobiotics, thus broadening its teleological role as a defensin. However it
remained unclear how its sensing of disparate biotic and abiotic stressors might
be integrated at the transcriptional level. We hypothesized that its function in
cytoprotection may be regulated by NFE2-related factor 2 (Nrf2), the master
transcriptional controller of cellular stress defenses. In this report, we show
that hepcidin regulation is inextricably linked to the acute stress response
through Nrf2 signaling. Nrf2 regulates hepcidin expression from a prototypical
antioxidant response element in its promoter, and by synergizing with other basic
leucine-zipper transcription factors. We also show that polyphenolic small
molecules or phytoestrogens commonly found in fruits and vegetables including the
red wine constituent resveratrol can induce hepcidin expression in vitro and post
prandially, with concomitant reductions in circulating iron levels and
transferrin saturation by one such polyphenol quercetin. Furthermore, these
molecules derepress hepcidin promoter activity when its transcription by Nrf2 is
repressed by Keap1. Taken together, the data show that hepcidin is a prototypical
antioxidant response or cytoprotective gene within the Nrf2 transcriptional
circuitry. The ability of phytoestrogens to modulate hepcidin expression in vivo
suggests a novel mechanism by which diet may impact iron homeostasis.
PMID- 26546698
TI - Recovery and separation of sulfuric acid and iron from dilute acidic sulfate
effluent and waste sulfuric acid by solvent extraction and stripping.
AB - The recovery and simultaneous separation of sulfuric acid and iron from dilute
acidic sulfate effluent (DASE) and waste sulfuric acid (WSA) have been an earnest
wish for researchers and the entire sulfate process-based titanium pigment
industry. To reduce the pollution of the waste acid and make a comprehensive use
of the iron and sulfuric acid in it, a new environmentally friendly recovery and
separation process for the DASE and the WSA is proposed. This process is based on
the reactive extraction of sulfuric acid and Fe(III) from the DASE.
Simultaneously, stripping of Fe(III) is carried out in the loaded organic phase
with the WSA. Compared to the conventional ways, this innovative method allows
the effective extraction of sulfuric acid and iron from the DASE, and the
stripping of Fe(III) from the loaded organic phase with the WSA. Trioctylamine
(TOA) and tributyl phosphate (TBP) in kerosene (10-50%) were used as organic
phases for solvent extraction. Under the optimal conditions, about 98% of Fe(III)
and sulfuric acid were removed from the DASE, and about 99.9% of Fe(III) in the
organic phase was stripped with the WSA.
PMID- 26546697
TI - Orthogonal optimization of prokaryotic expression of a natural snake venom
phospholipase A2 inhibitor from Sinonatrix annularis.
AB - Phospholipase A2 (PLA2) is a calcium-dependent enzyme that is involved in
inflammatory processes such as the liberation of free arachidonic acid from the
membrane pool for the biosynthesis of eicosanoids. Snake venom are known
containing PLA2s (svPLA2s) which exhibit a wide variety of pharmacological
effects including neurotoxicity, cardiotoxicity, myotoxicity and hemorrhage.
Therefore, inhibition of svPLA2 would be advantageous to successful envenomation
treatment. A gamma type PLI (PLA2 inhibitor) has been extracted from the serum of
Sinonatrix annularis, a non-venomous snake indigenous to China. This showed
strong inhibition of Deinagkistrrodon acutus PLA2, however, the PLIgamma level in
the serum and snake resource are not sufficiently sustainable for further
research. To overcome these limitations, we constructed a His6-PLIgamma pET28
fusion expression vector and transformed Escherichia coli BL21. To improve the
expression of PLIgamma, an orthogonal experiment [L16(4)(5)] was performed to
optimize induction parameters. The optimized condition was determined to be:
induction by 0.4 mM isopropyl-beta-D-thiogalactoside (IPTG) for 6 h to the
recombinant BL21 after its OD600 was 0.8, with continuous shaking cultivation at
190 rpm and 35 degrees C. Under these conditions, the amount of expressed
protein could reach 57 mg/L. The His6-PLIgamma was purified by nickel affinity
chromatography and renatured by On-column refolding. The resulting PLIgamma
showed a good inhibitory effect of enzymatic activities to venom PLA2 isolated
from D. acutus. Moreover, the PLIgamma had a wide anti-hemorrhage activities to
D. acutus, Naja atra and Agkistrodon halys venom.
PMID- 26546699
TI - Effects of flue gas components on removal of elemental mercury over Ce-MnOx/Ti
PILCs.
AB - The adsorption and oxidation of elemental mercury (Hg(0)) under various flue gas
components were investigated over a series of Ce-MnOx/Ti-PILC catalysts, which
were synthesized by an impregnation method. To discuss the mechanism, the
catalysts were characterized by various techniques such as N2 adsorption
desorption, scanning electron microscope (SEM), X-ray diffraction (XRD), Fourier
transform infrared (FTIR) analysis and X-ray photoelectron spectroscopy (XPS).
The results indicated that the presence of 500 ppm SO2 in the flue gas
significantly restrained the Hg(0) adsorption and oxidation over 6%Ce-6%MnOx/Ti
PILC due to the formation of SO4(2-) species. Hg(0) could be oxidized to HgCl2 in
the presence of HCl, because the Deacon process occurred. NO would react with
active oxygen to form NO2-containing species, which facilitated Hg(0) oxidation.
While the presence of NO limited the Hg(0) adsorption on 6%Ce-6%MnOx/Ti-PILC due
to the competitive adsorption of NO with Hg(0). The addition of NH3 in the flue
gas significantly restrained Hg(0) adsorption and oxidation, because the formed
NH4(+) species covered the active adsorption sites on the surfaces, and further
limited Hg(0) oxidation. However, when NO and NH3 were simultaneously added into
the flue gas, the Hg(0) oxidation efficiency of 6%Ce-6%MnOx/Ti-PILC exhibited a
relatively high value (72%) at 250 degrees C, which indicated the practicability
to use Ce-MnOx/Ti-PILC for Hg(0) removal under SCR conditions.
PMID- 26546700
TI - Behavior of antibiotics and antibiotic resistance genes in eco-agricultural
system: A case study.
AB - This study aims to determine abundance and persistence of antibiotics and
antibiotic resistance genes (ARGs) in eco-agricultural system (EAS), which starts
from swine feces to anaerobic digestion products, then application of anaerobic
digestion solid residue (ADSR) and anaerobic digestion liquid residue (ADLR) to
the soil to grow ryegrass, one of swine feed. Oxytetracycline had the highest
concentration in manure reaching up to 138.7 mg/kg. Most of antibiotics could be
effectively eliminated by anaerobic digestion and removal rates ranged from 11%
to 86%. ARGs abundance fluctuated within EAS. TetQ had the highest relative
abundance and the relative abundance of tetG had the least variation within the
system, which indicates that tetG is persistent in the agricultural environment
and requires more attention. Compared to the relative abundance in manure, tetC
and tetM increased in biogas residue while three ribosomal protection proteins
genes (tetO, tetQ, tetW) decreased (p<0.05), with other genes showing no
significant change after anaerobic fermentation (p>0.05). Most ARGs in downstream
components (soils and fishpond) of EAS showed significantly higher relative
abundance than the control agricultural system (p<0.05), except for tetG and
sulI.
PMID- 26546701
TI - Brominated flame retardants and the formation of dioxins and furans in fires and
combustion.
AB - The widespread use and increasing inventory of brominated flame retardants (BFRs)
have caused considerable concern, as a result of BFRs emissions to the
environment and of the formation of both polybrominated dibenzo-p-dioxins and
dibenzofurans (PBDD/Fs) and mixed polybromochloro-dibenzo-p-dioxins and
dibenzofurans (PBCDD/Fs or PXDD/Fs). Structural similarities between PBDD/Fs and
polychlorinated dibenzo-p-dioxins and dibenzofurans (PCDD/Fs) suggest the
existence of comparable formation pathways of both PBDD/Fs and PCDD/Fs, yet BFRs
also act as specific precursors to form additional PBDD/Fs. Moreover, elementary
bromine (Br2) seems to facilitate chlorination by bromination of organics,
followed by Br/Cl-exchange based on displacement through the more reactive
halogen. Overall, PBDD/Fs form through three possible pathways: precursor
formation, de novo formation, and dispersion of parts containing BFRs as
impurities and surviving a fire or other events. The present review summarises
the formation mechanisms of both brominated (PBDD/Fs) and mixed dioxins (PXDD/Fs
with X=Br or Cl) from BFRs, recaps available emissions data of PBDD/Fs and mixed
PXDD/Fs from controlled waste incineration, uncontrolled combustion sources and
accidental fires, and identifies and analyses the effects of several local
factors of influence, affecting the formation of PBDD/Fs and mixed PXDD/Fs during
BFRs combustion.
PMID- 26546702
TI - The influence of biochar type on long-term stabilization for Cd and Cu in
contaminated paddy soils.
AB - Long-term effect of biochar on PTEs (potential toxic elements) immobilization
depends upon biochar own property and its aging process in soil. To understand
the role of biachar type on PTEs stabilization, two types of biochar, corn-straw
derived biochar (CB) and hardwood-derived biochar (HB), were compared for their
efficacy in achieving a stable decrease in the bio-availability of Cd and Cu in
soils. The 3-year pot-culture experiment showed that HB reduced the concentration
of CaCl2-extractable Cd and Cu by 57.9 and 63.8% in soil, and Cd and Cu uptake by
63.6 and 56.3% in rice tissue respectively, in the first year, whereas these
values increased in the next two years. On the other hand, CB decreased these
values steadily year by year. At the end of the 3 years, CB at 5% level had
lowered the levels of CaCl2-extractable Cd and Cu by 53.6 and 66.8%,
respectively. These variations between CB and HB were due to the differences in
the way the two types of biochar age in the soil. The aging process was simulated
in the laboratory, and the XPS results showed that the oxidization of the
biochars introduced more oxygen-containing groups (especially carboxyl) on the
surface of CB than HB, leading to a correspondingly greater number of oxygenated
binding sites for Cd and Cu in the case of CB. The content of lignin was the
major factor resulting in the variation of oxidation degree in two biochars.
These results suggest that it is important to select the right kind of biochar to
stably decrease the bio-availability of potential toxic elements (Cd and Cu) in
contaminated soils.
PMID- 26546703
TI - Variations in the fate and biological effects of sulfamethoxazole, norfloxacin
and doxycycline in different vegetable-soil systems following manure application.
AB - The fate of sulfamethoxazole (SMZ), norfloxacin (NOR) and doxycycline (DOX) and
their biological effects in radish and pakchoi culture systems were investigated.
DOX dissipated more rapidly than SMZ and NOR, while radish and pakchoi
cultivation increased the removal of residual DOX in soils. Dissipation of NOR
was accelerated in radish soils but was slowed down slightly in pakchoi soils.
Vegetable cultivation exerted an insignificant effect on SMZ removal.
Investigation of antibiotic bioaccumulation showed that the uptake of DOX by
radish and pakchoi was undetectable, but the radish accumulated more SMZ and NOR
than pakchoi. Among the three antibiotics, only SMZ use exhibited an apparent
suspension of plant seed germination, up-ground plant growth and soil microbial
diversity. Pakchoi responded more sensitively to SMZ than did the radish.
Principal component analysis (PCA) based on MicroRESPTM indicated that the
sampling time and antibiotic treatments could influence the soil microbial
community. Only in the pakchoi soils did antibiotic application exert a more
robust effect on the microbial community than the sampling time; SMZ treatments
and DOX treatments could be clearly discriminated from the control treatments.
These results are crucial for an assessment of the potential risks of antibiotics
to culture system practices and suggest that good agricultural practices help to
limit or even reduce antibiotic pollution.
PMID- 26546704
TI - Influence of environmental parameters and of their interactions on the release of
metal(loid)s from a construction material in hydraulic engineering.
AB - Besides the leaching behaviour of a construction material under standardised test
specific conditions with laboratory water, for some construction materials it is
advisable to test their environmental behaviour also under close to end use
conditions. The envisaged end use combined with the product characteristics (e.g.
mineral phases) is decisive for the choice of environmental factors that may
change the release of substance that potentially cause adverse environmental
effects (e.g. fertilisation or ecotoxicity). At the moment an experimental link
is missing between mono-factorial standardised test systems and non standardised
complex incubation experiments such as mesocosms which are closer to
environmental conditions. Multi-factorial batch experiments may have the
potential to close the gap. To verify this, batch experiments with copper slag
were performed which is used as armour stones in hydraulic engineering. Design of
experiments (DoE) was applied to evaluate the impact of pH, ionic strength,
temperature and sediment content on the release of As, Cu, Mo, Ni, Pb, Sb and Zn.
The study shows that release and sediment-eluent partitioning of metal(loid)s are
impacted by interactions between the studied factors. Under the prevalent test
conditions sediment acts as a sink enhancing most strongly the release of
elements from the material.
PMID- 26546705
TI - Fluorescent silver nanoclusters for ultrasensitive determination of chromium(VI)
in aqueous solution.
AB - In this work, a simple and sensitive Cr(VI) sensor is proposed based on
fluorescent polyethyleneimine-stabilized Ag nanoclusters, which allows the
determination over a wide concentration range of 0.1 nM-3.0 MUM and with a
detection limit as low as 0.04 nMU and a good selectivity. The quenching
mechanism was discussed in terms of the absorption and fluorescence spectra,
suggesting that Cr(VI) is connected to Ag nanoclusters by hydrogen bond between
the oxygen atom at the vertex of tetrahedron structure of Cr(VI) and the amino
nitrogen of polyethyleneimine that surrounded Ag nanoclusters and electron
transfer from Ag nanoclusters to highly electron-deficient Cr(VI) results in
fluorescence quenching. Despite the failure to quench the fluorescence
efficiently, Cr(III) can also be measured using the proposed Ag nanoclusters by
being oxidized to Cr(VI) in alkaline solution (pH ~ 9) containing H2O2.
Therefore, our approach could be used to detect Cr(VI), Cr(III) and the total
chromium level in aqueous solution. In addition, Cr(VI) analysis in real water
samples were satisfactory, indicating this method could be practically promising
for chromium measurements.
PMID- 26546706
TI - Models to estimate the minimum ignition temperature of dusts and hybrid mixtures.
AB - The minimum ignition temperatures (MIT) of hybrid mixtures have been investigated
by performing several series of tests in a modified Godbert-Greenwald furnace.
Five dusts as well as three perfect gases and three real were used in different
combinations as test samples. Further, seven mathematical models for prediction
of the MIT of dust/air mixtures were presented of which three were chosen for
deeper study and comparison with the experimental results based on the
availability of the input quantities needed and their applicability.
Additionally, two alternative models were proposed to calculate the MIT of hybrid
mixtures and were validated against the experimental results. A significant
decrease of the minimum ignition temperature of either the gas or the vapor as
well as an increase in the explosion likelihood could be observed when a small
amount of dust which was either below its minimum explosible concentration or not
ignitable itself at that particular temperature was mixed with the gas. The
various models developed by Cassel, Krishma and Mitsui to predict the MIT of dust
were in good agreement with the experimental results as well as the two models
proposed to predict the MIT of hybrid mixtures were also in agreement with the
experimental value.
PMID- 26546707
TI - Micropollutant removal in an algal treatment system fed with source separated
wastewater streams.
AB - Micropollutant removal in an algal treatment system fed with source separated
wastewater streams was studied. Batch experiments with the microalgae Chlorella
sorokiniana grown on urine, anaerobically treated black water and synthetic urine
were performed to assess the removal of six spiked pharmaceuticals (diclofenac,
ibuprofen, paracetamol, metoprolol, carbamazepine and trimethoprim).
Additionally, incorporation of these pharmaceuticals and three estrogens
(estrone, 17beta-estradiol and ethinylestradiol) into algal biomass was studied.
Biodegradation and photolysis led to 60-100% removal of diclofenac, ibuprofen,
paracetamol and metoprolol. Removal of carbamazepine and trimethoprim was
incomplete and did not exceed 30% and 60%, respectively. Sorption to algal
biomass accounted for less than 20% of the micropollutant removal. Furthermore,
the presence of micropollutants did not inhibit C. sorokiniana growth at applied
concentrations. Algal treatment systems allow simultaneous removal of
micropollutants and recovery of nutrients from source separated wastewater.
Nutrient rich algal biomass can be harvested and applied as fertilizer in
agriculture, as lower input of micropollutants to soil is achieved when algal
biomass is applied as fertilizer instead of urine.
PMID- 26546708
TI - Single molecule force measurements of perlecan/HSPG2: A key component of the
osteocyte pericellular matrix.
AB - Perlecan/HSPG2, a large, monomeric heparan sulfate proteoglycan (HSPG), is a key
component of the lacunar canalicular system (LCS) of cortical bone, where it is
part of the mechanosensing pericellular matrix (PCM) surrounding the osteocytic
processes and serves as a tethering element that connects the osteocyte cell body
to the bone matrix. Within the pericellular space surrounding the osteocyte cell
body, perlecan can experience physiological fluid flow drag force and in that
capacity function as a sensor to relay external stimuli to the osteocyte cell
membrane. We previously showed that a reduction in perlecan secretion alters the
PCM fiber composition and interferes with bone's response to a mechanical loading
in vivo. To test our hypothesis that perlecan core protein can sustain tensile
forces without unfolding under physiological loading conditions, atomic force
microscopy (AFM) was used to capture images of perlecan monomers at nanoscale
resolution and to perform single molecule force measurement (SMFMs). We found
that the core protein of purified full-length human perlecan is of suitable size
to span the pericellular space of the LCS, with a measured end-to-end length of
170+/-20 nm and a diameter of 2-4 nm. Force pulling revealed a strong protein
core that can withstand over 100 pN of tension well over the drag forces that are
estimated to be exerted on the individual osteocyte tethers. Data fitting with an
extensible worm-like chain model showed that the perlecan protein core has a mean
elastic constant of 890 pN and a corresponding Young's modulus of 71 MPa. We
conclude that perlecan has physical properties that would allow it to act as a
strong but elastic tether in the LCS.
PMID- 26546709
TI - Serum pentraxin-3 levels in acute stroke: No association with stroke prognosis.
AB - BACKGROUND: Stroke is the leading cause of serious disability. Estimating
severity of the disease and early risk assessment is crucial. Several studies
have been carried on and several biomarkers have been proposed in the literature
for risk assessment and to estimate the stroke prognosis. In this study we
assessed the association of predictors such as patient age, gender, stroke volume
and NIHS scores on prognosis of stroke event. We investigated whether the serum
pentraxin-3 levels are linked with stroke prognosis. METHODS: Forty-four stroke
patients without cardiovascular risk factors were included in this study. Initial
NIHS scores, stroke volumes, serum pentraxin-3 levels and the data regarding the
risk factors were collected in the first and seventh days of event. Association
of predictors with final NIHS scores were investigated using multivariate
regression model. RESULTS: Initial NIHS score, initial and final stroke volumes
were independently associated with final NIHS score whereas serum pentraxin-3
levels, whether acquired at the first or seventh day of stroke, were not
associated with final NIHS score. CONCLUSIONS: In stroke patients without
cardiovascular, cardiopulmonary and infectious diseases, serum pentraxin-3 levels
are not associated with stroke prognosis.
PMID- 26546710
TI - Background differences in baseline and stimulated MMP levels influence abdominal
aortic aneurysm susceptibility.
AB - OBJECTIVE: Evidence has demonstrated profound influence of genetic background on
cardiovascular phenotypes. Murine models in Marfan syndrome (MFS) have shown that
genetic background-related variations affect thoracic aortic aneurysm formation,
rupture, and lifespan of mice. MFS mice with C57Bl/6 genetic background are less
susceptible to aneurysm formation compared to the 129/SvEv genetic background. In
this study, we hypothesize that susceptibility to abdominal aortic aneurysm (AAA)
will be increased in 129/SvEv mice versus C57Bl/6 mice. We tested this hypothesis
by assessing differences in aneurysm size, tissue properties, immune response,
and MMP expression. METHODS: Mice of C57Bl/6 or 129/SvEv background underwent AAA
induction by periaortic application of CaCl2. Baseline aortic diameters, tissue
properties and MMP levels were measured. After aneurysm induction, diameters, MMP
expression, and immune response (macrophage infiltration and bone marrow
transplantation) were measured. RESULTS: Aneurysms were larger in 129/SvEv mice
than C57Bl/6 mice (83.0% +/- 13.6 increase compared to 57.8% +/- 6.4). The aorta
was stiffer in the 129/SvEv mice compared to C57Bl/6 mice (952.5 kPa +/- 93.6
versus 621.4 kPa +/- 84.2). Baseline MMP-2 and post-aneurysm MMP-2 and -9 levels
were higher in 129/SvEv aortas compared to C57Bl/6 aortas. Elastic lamella
disruption/fragmentation and macrophage infiltration were increased in 129/SvEv
mice. Myelogenous cell reversal by bone marrow transplantation did not affect
aneurysm size. CONCLUSIONS: These data demonstrate that 129/SvEv mice are more
susceptible to AAA compared to C57Bl/6 mice. Intrinsic properties of the aorta
between the two strains of mice, including baseline expression of MMP-2,
influence susceptibility to AAA.
PMID- 26546711
TI - Antiproliferative and antioxidant potential of hesperetin against benzo(a)pyrene
induced lung carcinogenesis in Swiss albino mice.
AB - Lung cancer is the foremost cause of cancer mortality and is a growing economic
burden worldwide. Chemoprevention, employing the use of natural, dietary or
synthetic agents has become an appealing strategy to combat the increasing cases
of cancers worldwide. The present study was designed to investigate the mechanism
based chemopreventive nature of hesperetin (HSP) against B[a]P induced lung
carcinogenesis in Swiss albino mice. We analyzed the chemopreventive potential of
HSP by estimating the status of lipid peroxidation (LPO), enzymic (SOD, CAT, GPx,
GR, and GST), nonenzymic antioxidants (GSH, Vit C and Vit E), proinflammatory
cytokine (TNF-alpha), western blotting (CYP1A1, PCNA, Nrf2 and NF-kappaB
expression) and histopathology of lung tissues of control and experimental mice.
Administration of B[a]P (50 mg/kg, p.o.) resulted in an increase in lung weight,
LPO with concomitant decrease in body weight, enzymic (SOD, CAT, GPx, GR, and
GST) and non-enzymic (GSH, Vit C and Vit E) antioxidants. Histological
examination of lungs revealed severe alveolar and bronchiolar damages in B[a]P
induced mice. Further, elevated levels of TNF-alpha along with activated
expression of NF-kappaB, PCNA and CYP1A1, and downregulation of Nrf2 was observed
in B[a]P intoxicated animals. Pre- and post-treatment with HSP effectively
suppressed B[a]P induced lung carcinoma and the associated preneoplastic lesions
by alleviating LPO, modulating antioxidants and decreasing the expression of NF
kappaB, PCNA and CYP1A1. These findings demonstrate that HSP possesses a
potential chemopreventive activity against B[a]P induced lung cancer and this is
attributed to its free radical scavenging, antioxidant, anti-inflammatory and
antiproliferative properties.
PMID- 26546713
TI - Functional screen for microRNAs of Nilaparvata lugens reveals that targeting of
glutamine synthase by miR-4868b regulates fecundity.
AB - Insect fecundity is regulated by the interaction of genotypes and the
environment. MicroRNAs (miRNAs) also act in insect development and reproduction
by regulating genes involved in these physiological processes. Although hundreds
of insect miRNAs have been identified, the biological roles of most remain poorly
understood. Here, we used a multi-algorithm approach for miRNA target prediction
in 3'UTRs of fecundity-related genes in the brown planthopper (BPH) Nilaparvata
lugens and identified 38 putative miRNAs targeting 9 fecundity-related genes.
High-ranked miRNAs were selected for target validation. Using a dual luciferase
reporter assay in S2 cells, we experimentally verified N. lugens glutamine
synthetase (NlGS) as an authentic target of microRNA-4868b (miR-4868b). In the
females, NlGS protein expression was down-regulated after injection of a miR
4868b mimic but up-regulated after injection of a miR-4868b inhibitor. In
addition, overexpression of miR-4868b reduced fecundity, and disrupted ovary
development and Vg expression in N. lugens. These findings showed that miR-4868b
is involved in regulating N. lugens fecundity by targeting NlGS. Moreover, this
study may lead to better understanding of the fecundity of this important
agricultural insect pest.
PMID- 26546712
TI - Uptake of Marasmius oreades agglutinin disrupts integrin-dependent cell adhesion.
AB - BACKGROUND: Fruiting body lectins have been proposed to act as effector proteins
in the defense of fungi against parasites and predators. The Marasmius oreades
agglutinin (MOA) is a lectin from the fairy ring mushroom with specificity for
Galalpha1-3Gal containing carbohydrates. This lectin is composed of an N-terminal
carbohydrate-binding domain and a C-terminal dimerization domain. The
dimerization domain of MOA shows in addition calcium-dependent cysteine protease
activity, similar to the calpain family. METHODS: Cell detachment assay, cell
viability assay, immunofluorescence, live cell imaging and Western blot using
MDCKII cell line. RESULTS: In this study, we demonstrate in MDCKII cells that
after internalization, MOA protease activity induces profound physiological
cellular responses, like cytoskeleton rearrangement, cell detachment and cell
death. These changes are preceded by a decrease in FAK phosphorylation and an
internalization and degradation of beta1-integrin, consistent with a disruption
of integrin-dependent cell adhesion signaling. Once internalized, MOA accumulates
in late endosomal compartments. CONCLUSION: Our results suggest a possible toxic
mechanism of MOA, which consists of disturbing the cell adhesion and the cell
viability. GENERAL SIGNIFICANCE: After being ingested by a predator, MOA might
exert a protective role by diminishing host cell integrity.
PMID- 26546714
TI - Indicators of recent mating success in the pipevine swallowtail butterfly (Battus
philenor) and their relationship to male phenotype.
AB - A key determinant of the intensity of sexual selection acting on a trait is how
variation in that trait is related to variance in reproductive success of
individuals. This connection compels efforts to assess lifetime mating number and
how it varies among individuals in a population. In the Lepidoptera, female
mating success can be assessed relatively easily by counting by the number of
spermatophores in the female's copulatory sac but male mating success in the
field can often only be documented by observing copulations. Here we report a
method for identifying whether or not males have recently mated that relies on
the effect of mating on the appearance of the male's reproductive tract in the
pipevine swallowtail, Battus philenor. In this species laboratory experiments
reveal that during mating, components of a male's reproductive tract become
shorter, decrease in mass, and change in appearance, irrespective of male age.
These changes persist for at least two days after mating. After documenting these
indicators of recent mating, we examined the reproductive tract of 68 field
caught males and found that twelve (17.6%) showed strong evidence of having mated
recently. We found that older males were more likely to have recently mated. In
addition, the color of the dorsal hindwing, a feature that females use in mate
choice, was significantly greener in males, that according to our criteria, had
recently-mated than in males that had not.
PMID- 26546715
TI - Supramolecular organization of bacterial aerobic respiratory chains: From cells
and back.
AB - Aerobic respiratory chains from all life kingdoms are composed by several
complexes that have been deeply characterized in their isolated form. These
membranous complexes link the oxidation of reducing substrates to the reduction
of molecular oxygen, in a process that conserves energy by ion translocation
between both sides of the mitochondrial or prokaryotic cytoplasmatic membranes.
In recent years there has been increasing evidence that those complexes are
organized as supramolecular structures, the so-called supercomplexes and
respirasomes, being available for eukaryotes strong data namely obtained by
electron microscopy and single particle analysis. A parallel study has been
developed for prokaryotes, based on blue native gels and mass spectrometry
analysis, showing that in these more simple unicellular organisms such
supercomplexes also exist, involving not only typical aerobic-respiration
associated complexes, but also anaerobic-linked enzymes. After a short overview
of the data on eukaryotic supercomplexes, we will analyse comprehensively the
different types of prokaryotic aerobic respiratory supercomplexes that have been
thus far suggested, in both bacteria and archaea. This article is part of a
Special Issue entitled Organization and dynamics of bioenergetic systems in
bacteria, edited by Prof Conrad Mullineaux.
PMID- 26546716
TI - Characterizing the output settings of dental curing lights.
AB - OBJECTIVES: For improved inter-study reproducibility and ultimately improved
patient care, researchers and dentists need to know what electromagnetic
radiation (light) is emitted from the light-curing unit (LCU) they are using and
what is received by the resin. This information cannot be obtained from a dental
radiometer, even though many studies have used a dental radiometer. METHODS: The
light outputs from six LCUs (two QTH and four broad-spectrum LED units) were
collected in real-time using an integrating sphere connected to a fiberoptic
spectrometer during different light exposures. RESULTS: It was found that the
spectral emissions were unique to each LCU, and there was no standardization in
what was emitted on the various ramp (soft-start) settings. Relative to the
normal use setting, using the ramp setting reduced the radiant energy (J)
delivered from each LCU. For one of the four broad-spectrum LED LCUs, the
spectral emissions in the violet range did not increase when the overall radiant
power output was increased. In addition, this broad-spectrum LED LCU emitted no
light from the violet LED chip for the first 5s and only emitted violet light
when the ramp phase finished. CONCLUSIONS: A single irradiance value derived from
a dental radiometer or from a laboratory grade power meter cannot adequately
describe the output from the LCU. Manufacturers should provide more information
about the light output from their LCUs. Ideally, future assessments and research
publications that include resin photopolymerization should report the spectral
radiant power delivered from the LCU throughout the entire exposure cycle.
PMID- 26546717
TI - Vasculitides: Proposal for an integrated nomenclature.
AB - The vasculitides form a heterogeneous group of systemic diseases that differ in
etiology, histological patterns, and, consequently, clinical significance and
prognosis but are traceable to the same pathological event, namely, vessel wall
inflammation. The clinical heterogeneity among these diseases, together with yet
unknown pathogenetic mechanisms for many of them, creates difficulties in the
early diagnosis and correct management of affected patients. Therefore, several
groups of investigators have elaborated nomenclatures to set some order in the
definition and grouping of the vasculitides. The two main naming systems used for
decades, i.e., the Fauci nomenclature and the 1994 Chapel Hill Consensus
Conference (CHCC) nomenclature, were recently superseded by a revised CHCC
nomenclature published in 2012. The aim of that revision was to update the names
and definitions of the vasculitides and to include novel forms, considering the
advances in knowledge made since the first consensus conference was held. Here,
we critically discuss the 2012 CHCC nomenclature in light of the earlier naming
systems and raise some concerns in how several vasculitides were grouped. On the
basis of this analysis, we propose an integrated nomenclature that we believe
will have a more direct impact in the clinic, perfectly aware that any
redefinition may present contradictions.
PMID- 26546718
TI - Enzymatic properties of chitinase-producing antagonistic bacterium Paenibacillus
chitinolyticus with various substrates.
AB - Various chitin substrates were used to investigate the properties of enzymes
produced from the chitinase-producing bacterium Paenibacillus chitinolyticus MP
306 against phytopathogens. The MP-306 bacterium was incubated in nine culture
media [crab shell powder chitin (CRS), chitin-protein complex powder (CPC),
carboxymethyl-chitin powder (CMC), yeast extract only (YE), LB (Trypton, NaCl,
and yeast extract), GT (Trypton, NaCl, and glucose), crab shell colloidal chitin
(CSC), squid pen powder chitin (SPC), and cicada slough powder chitin (CSP)] at
30 degrees C for 3 days. Chitinase isozymes in CPC medium were expressed
strongly as CN1, CN2, CN3, CN4, CN5, and CN6 bands on native-PAGE gels. Chitinase
isozymes in CPC and CMC medium were expressed as 13 bands (CS1-CS13) on SDS-PAGE
gels. Chitinase isozymes were expressed strongly on SDS-PAGE gels as two bands
(CS6 and CS8) on YE and LB medium and 13 bands (CS1-CS13) on SPC medium. In crude
enzyme, chitinase isozymes at pH 7 and pH 9 in chitin media appeared strongly on
SDS-PAGE gels. Partial purified enzyme indicated high stability of enzyme
activity at various temperatures and pHs in chitin medium, while these enzymes
indicated low activity staining of enzyme on electrophoresis gels at various
temperatures and pHs condition of chitin medium.
PMID- 26546719
TI - Biofilm formation in invasive Staphylococcus aureus isolates is associated with
the clonal lineage.
AB - The contribution of the genetic background of Staphylococcus aureus to biofilm
formation is poorly understood. We investigated the association between the
genetic background and the biofilm forming ability of clinical invasive S. aureus
isolates. Secondary objectives included investigating any correlation with
biofilm formation and methicillin resistance or the source of bacteraemia. The
study was conducted at a 1300-bed tertiary hospital in Cape Town, South Africa.
S. aureus isolates obtained from blood cultures between January 2010 and January
2012 were included. Genotypic characterization was performed by PFGE, spa typing,
SCCmec typing and MLST. Thirty genotypically unique strains were assessed for
phenotypic biofilm formation with the microtitre plate assay. All isolates were
tested in triplicate and an average optical density, measured at a wavelength of
490 nm, was determined. The biofilm forming ability of isolates with A490 <= 0.17
were considered non-adherent, A490 > 0.17 'weak positive' and A490 > 0.34 'strong
positive'. Fifty seven percent of isolates formed biofilms. Weak biofilm
formation occurred in 40% (n = 12) and strong biofilm formation in 17% (n = 5) of
isolates. All 5 isolates capable of strong biofilm formation belong to one spa
clonal complex (spa-CC 064). Strains from spa-CC 064 were capable of higher
biofilm formation than other spa clonal complexes (p = 0.00002). These 5 strains
belonged to MLST CC5 and CC8. Biofilm formation correlates with the spa clonal
lineage in our population of invasive S. aureus strains. Biofilm formation did
not correlate with methicillin resistance and was not related to the source of
bacteraemia.
PMID- 26546720
TI - The benefits of coffee on skeletal muscle.
AB - Coffee is consumed worldwide with greater than a billion cups of coffee ingested
every day. Epidemiological studies have revealed an association of coffee
consumption with reduced incidence of a variety of chronic diseases as well as
all-cause mortality. Current research has primarily focused on the effects of
coffee or its components on various organ systems such as the cardiovascular
system, with relatively little attention on skeletal muscle. Summary of current
literature suggests that coffee has beneficial effects on skeletal muscle. Coffee
has been shown to induce autophagy, improve insulin sensitivity, stimulate
glucose uptake, slow the progression of sarcopenia, and promote the regeneration
of injured muscle. Much more research is needed to reveal the full scope of
benefits that coffee consumption may exert on skeletal muscle structure and
function.
PMID- 26546721
TI - Inhibition of the interactions between metastatic human breast cancer cells and
platelets by beta-nitrostyrene derivatives.
AB - AIMS: The interactions between cancer cells and platelets have been recognized to
play an important role in cancer progress as well as metastasis, and interference
with cancer-platelet interactions is an attractive strategy for cancer therapy.
In the present study, two beta-nitrostyrene derivatives: 3, 4-methylene-dioxy
beta-nitrostyrene (MNS) and 4-O-benzoyl-3-methoxyl-beta-nitrostyrene (BMNS) have
been tested for their inhibitory effect on platelet activation caused by
metastatic human breast cancer MDA-MB-231 and Hs578T cells. MAIN METHODS: Washed
human platelets were co-incubated with breast cancer cells, and platelet
aggregation was determined turbidimetrically. Platelet adhesion to cancer cells
and P-selectin expression were measured by flow cytometry. Platelet-derived
growth factor (PDGF) released from cancer cell-stimulated platelets was
determined by enzyme-linked immunosorbent assay (ELISA). KEY FINDINGS: MNS and
BMNS prevented cancer cell-induced platelet aggregation, P-selectin expression,
and PDGF secretion. Moreover, the beta-nitrostyrenes reduced platelet adhesion to
cancer cells, suggesting the initial cancer-platelet interactions are inhibited.
In contrast to current antiplatelet strategies, the glycoprotein IIb/IIIa
(GPIIb/IIIa) antagonist RGDS peptide only prevented cancer cells-induced platelet
aggregation, but not platelet adhesion and secretion; whereas the cyclooxygenase
inhibitor aspirin and the adenosine diphosphate (ADP) scavenger apyrase affected
neither platelet aggregation nor platelet secretion. SIGNIFICANCE: The inhibitory
effects of the beta-nitrostyrene derivatives on cancer-platelet interactions may
offer a potential approach for repressing cancer metastasis.
PMID- 26546723
TI - Docosapentaenoic acid derived metabolites and mediators - The new world of lipid
mediator medicine in a nutshell.
AB - Recent years have seen the description and elucidation of a new class of anti
inflammatory and pro-resolving lipid mediators. The arachidonic acid (AA)-derived
compounds in this class are called lipoxins and have been described in great
detail since their discovery thirty years ago. The new players are mediators
derived from fish oil omega-3 fatty acids eicosapentaenoic acid (EPA) and
docosahexaenoic acid (DHA), called resolvins, protectins and maresins. Taken
together, these mediators are also called specialized pro-resolution mediators
(SPMs). As compared to the AA/EPA/DHA-derived compounds, research regarding
mediators formed from the n-3 and n-6 docosapentaenoic acids (DPAn-3 and DPAn-6)
is sparse. However, mono- di- and trihydroxy derivates of the DPAs have anti
inflammatory properties as well, even though mechanisms of their anti
inflammatory action have not been fully elucidated. This review aims to summarize
current knowledge regarding the DPA-derived SPMs and their actions.
PMID- 26546722
TI - Osmolar regulation of endothelin-1 production by the inner medullary collecting
duct.
AB - AIMS: Endothelin-1 (ET-1) is an autocrine inhibitor of collecting duct (CD) Na(+)
and water reabsorption. CD ET-1 production is increased by a high salt diet and
is important in promoting a natriuretic response. The mechanisms by which a high
salt diet enhances CD ET-1 are being uncovered. In particular, elevated tubule
fluid flow, as occurs in salt loading, enhances CD ET-1 synthesis. Tubule fluid
solute content and interstitial osmolality can also be altered by a high salt
diet, however their effect on CD ET-1 alone, or in combination with flow, is
poorly understood. MAIN METHODS: ET-1 mRNA production by a mouse inner medullary
CD cell line (mIMCD3) in response to changing flow and/or osmolality was
assessed. KEY FINDINGS: Flow or hyperosmolality (using NaCl, mannitol or urea)
individually caused an ~2-fold increase in ET-1 mRNA, while flow and
hyperosmolality together increased ET-1 mRNA by ~14 fold. The hyperosmolality
effect alone and the synergistic effect of flow + hyperosmolality was inhibited
by chelation of intracellular Ca(2+), however were not altered by blockade of
downstream Ca(2+)-signaling pathways (calcineurin or NFATc), inhibition of
cellular Ca(2+) entry channels (purinergic receptors or polycystin-2), or
blockade of the epithelial Na(+) channel. Inhibition of NFAT5 with rottlerin or
NFAT5 siRNA greatly reduced the stimulatory effect of osmolality alone and
osmolality + flow on mIMCD3 ET-1 mRNA levels. SIGNIFICANCE: Both flow and
osmolality individually and synergistically stimulate mIMCD3 ET-1 mRNA content.
These findings may be relevant to explaining high salt diet induction of CD ET-1
production.
PMID- 26546724
TI - NFAT-133 increases glucose uptake in L6 myotubes by activating AMPK pathway.
AB - NFAT-133 is an aromatic compound with cinammyl alcohol moiety, isolated from
streptomycetes strain PM0324667. We have earlier reported that NFAT-133 increases
insulin stimulated glucose uptake in L6 myotubes using a PPARgamma independent
mechanism and reduces plasma or blood glucose levels in diabetic mice. Here we
investigated the effects of NFAT-133 on cellular signaling pathways leading to
glucose uptake in L6 myotubes. Our studies demonstrate that NFAT-133 increases
glucose uptake in a dose- and time-dependent manner independent of the effects of
insulin. Treatment with Akti-1/2, wortmannin and increasing concentrations of
insulin had no effect on NFAT-133 mediated glucose uptake. NFAT-133 induced
glucose uptake is completely mitigated by Compound C, an AMPK inhibitor. Further,
the kinases upstream of AMPK activation namely; LKB-1 and CAMKKbeta are not
involved in NFAT-133 mediated AMPK activation nor does the compound NFAT-133 have
any effect on AMPK enzyme activity. Further analysis confirmed that NFAT-133
indirectly activates AMPK by reducing the mitochondrial membrane potential and
increasing the ratio of AMP:ATP.
PMID- 26546725
TI - Evaluating Sarconesiopsis magellanica blowfly-derived larval therapy and
comparing it to Lucilia sericata-derived therapy in an animal model.
AB - Larval therapy is used as alternative treatment for hard-to-heal chronic and
infected wounds. Lucilia sericata is the most used blowfly species. However, it
has been shown recently that Sarconesiopsis magellanica larval excretions and
secretions have potent antibacterial activity; this blowfly belongs to the
Calliphoridae family. The present work has dealt with evaluating larval therapy
using S. magellanica on wounds induced in diabetic rabbits and its action was
compared to the effect induced by L. sericata. Twelve New Zealand White rabbits
(Oryctolagus cuniculus) were used; they were divided into 4 groups, the first two
being treated with larval therapy derived from both aforementioned necrophagous
blowflies, an antibiotic was used in the third and the fourth was used as
control. All the animals were wounded on the back and infected with Pseudomonas
aeruginosa and Staphylococcus aureus. Samples of the secretion from each animal's
infected wound were taken and sown on blood agar. The colony forming units were
then counted. The PUSH scale was used for the macroscopic evaluation of the
wounds. Bacterial control was encountered 48 h post-treatment in the treatments
involving larval therapy and to a lesser extent with the antibiotic. Likewise,
wound debridement was quicker and more efficient with larval therapy compared to
the antibiotic group; however, wound closing time was 23 days in all treatments.
The group treated with S. magellanica larvae had relatively quicker evolution
until the proliferation phase and the start of maturation, even though there were
no significant differences between both blowfly species evaluated here regarding
treatments by the end of the treatment period. The present study has validated
the diabetic rabbit model for inducing chronic wounds regarding larval therapy
and has likewise confirmed the effectiveness of S. magellanica-derived larval
therapy as an alternative for curing and healing wounds.
PMID- 26546726
TI - Molecular mechanism of fluoroquinolones modulation on corneal fibroblast
motility.
AB - Topical fluoroquinolones are widely used to prevent ocular infections after
ophthalmic surgery. However, they have been shown to affect the corneal cell
motility, whose mechanism remains indefinite. The purpose of this study was to
investigate how fluoroquinolones affect corneal stromal cell motility. Human
corneal fibroblasts (HCFs) were incubated in ciprofloxacin (CIP), levofloxacin
(LEV), or moxifloxacin (MOX) at 0, 10, 50, and 100 MUg/ml for up to 3 days.
Effect of CIP, LEV, or MOX on HCF migration was monitored using migration assay.
HCF viability was determined by WST-1 assay. Expression of focal adhesion kinase
(FAK), paxillin (PXN), and their phosphorylated forms were analyzed by
immunoblotting. Binding affinity between FAK and PXN was determined by co
immunoprecipitation. Our results revealed that CIP and MOX, but not LEV,
noticeably retarded HCF migration. HCF proliferation was significantly reduced by
CIP (38.2%), LEV (29.5%), and MOX (21.3%), respectively (p = 0.002). CIP and MOX
suppressed the phosphorylation of PXN at tyrosines (10.2 +/- 4.3%, p < 0.001;
11.7 +/- 2.4%, p < 0.001, respectively), including tyrosine 118 (33.3 +/- 5.2%, p
< 0.001; 34.0 +/- 4.4%, p < 0.001, respectively). CIP and MOX diminished the
binding affinity between FAK and PXN (8.2 +/- 1.8%, p < 0.001; 9.0 +/- 4.5%, p <
0.001, respectively). Nevertheless, tyrosine dephosphorylation and FAK
dissociation of PXN were not found in LEV-treated HCFs. None of these
fluoroquinolones affect phosphorylation of FAK-Y397. We conclude that CIP and
MOX, but not LEV, might delay corneal fibroblast migration via interfering with
recruitment of PXN to focal adhesions and dephosphorylation of PXN at the
tyrosines.
PMID- 26546727
TI - In silico and experimental validation of protein-protein interactions between
PknI and Rv2159c from Mycobacterium tuberculosis.
AB - Protein-protein interactions control the diverse and essential molecular
processes inside the cell. To maintain the cellular physiology, protein kinases
not only signal their substrates through reversible phosphorylation, but they
also physically interact with them. PknI, a serine/threonine protein kinase of
Mycobacterium tuberculosis is known to be important for cellular homoeostasis. In
this study, we have identified the interacting proteins for PknI. We screened for
proteins interacting with PknI using an in vitro assay, Far-western blot. This
protein kinase specifically interacts with two peroxidase proteins of M.
tuberculosis, Rv2159c and Rv0148. The PknI-Rv2159c interaction pair was further
studied for the critical amino acid residues in Rv2159c that are responsible for
the interaction. Rv2159c, a hypothetical protein is predicted to be an
antioxidant with peroxidase activity. We performed homology modelling of Rv2159c
protein and molecular docking using multiple docking servers such as Z-Dock and
ClusPro. Further, the most favorable conformation of PknI-Rv2159c interaction was
obtained using molecular dynamics simulation. The critical amino acid residues of
the Rv2159c involved in interaction with PknI were identified. Mutation and
docking analysis showed that the Ala1-Gly2-Trp3 residues in Rv2159c structure are
responsible for the interaction. The free binding energy between the wild type
and mutant complexes using MM-GBSA has provided insight about the stability of
PknI-Rv2159c interaction. We propose that, PknI physically interacts with Rv2159c
both in vitro and in silico studies.
PMID- 26546728
TI - Insight into the relationship between structure and magnetic properties in
icosahedral FenPt55-n (n=0-55) nanoparticles: DFT approach.
AB - Structural, electronic and magnetic properties of FenPt55-n nanoparticles have
been systematically studied based on the density functional theory. Firstly, the
results show that surface Fe fraction has the same change tendency with excess
energy and the nanoparticles have high stability when surface Fe fraction is
small and excess energy is low. Secondly, analysis of Bader charge illustrates
that the charge transfer reaches the maximum with Pt/Fe atomic ratio of 1:1.
Thirdly, total magnetic moments of FenPt55-n nanoparticles increase with the
increasing of Fe composition. Pt atom at the center site has promotion effect on
the total magnetic moments of FenPt55-n nanoparticles, while Pt atom at the
sublayer or outmost layer has inhibition effect. For the atomic magnetic moment,
Fe atom occupying the edge of vertex in the second shell site (the center site)
has maximum (minimum) atomic magnetic moment.
PMID- 26546729
TI - Use of trimetasphere metallofullerene MRI contrast agent for the non-invasive
longitudinal tracking of stem cells in the lung.
AB - Magnetic Resonance Imaging (MRI) is a commonly used, non-invasive imaging
technique that provides visualization of soft tissues with high spatial
resolution. In both a research and clinical setting, the major challenge has been
identifying a non-invasive and safe method for longitudinal tracking of delivered
cells in vivo. The labeling and tracking of contrast agent labeled cells using
MRI has the potential to fulfill this need. Contrast agents are often used to
enhance the image contrast between the tissue of interest and surrounding tissues
with MRI. The most commonly used MRI contrast agents contain Gd(III) ions.
However, Gd(III) ions are highly toxic in their ionic form, as they tend to
accumulate in the liver, spleen, kidney and bones and block calcium channels.
Endohedral metallofullerenes such as trimetallic nitride endohedral
metallofullerenes (Trimetasphere(r)) are one unique class of fullerene molecules
where a Gd3N cluster is encapsulated inside a C80 carbon cage referred to as
Gd3N@C80. These endohedral metallofullerenes have several advantages over small
chelated Gd(III) complexes such as increased stability of the Gd(III) ion,
minimal toxic effects, high solubility in water and high proton relativity. In
this study, we describe the evaluation of gadolinium-based Trimetasphere(r)
positive contrast agent for the in vitro labeling and in vivo tracking of human
amniotic fluid-derived stem cells within lung tissue. In addition, we conducted a
'proof-of-concept' experiment demonstrating that this methodology can be used to
track the homing of stem cells to injured lung tissue and provide longitudinal
analysis of cell localization over an extended time course.
PMID- 26546730
TI - Biowire platform for maturation of human pluripotent stem cell-derived
cardiomyocytes.
AB - Human pluripotent stem cells (hPSCs)-derived cardiomyocytes (hPSC-CMs) represent
a potential indefinite cell supply for cardiac tissue engineering and possibly
regenerative medicine applications. However, these cells are immature compared
with adult ventricular cardiomyocytes. In order to overcome this limitation, an
engineered platform, called biowire, was devised to provide cultured
cardiomyocytes important biomimetic cues present during embryo development, such
as three-dimensional cell culture, extracellular matrix composition, soluble
factors and pacing through electrical stimulation, to induce the maturation of
hPSC-CMs in vitro.
PMID- 26546731
TI - Exploration of rice pistil responses during early post-pollination through a
combined proteomic and transcriptomic analysis.
AB - Pollen-stigma interaction is a multi-step and complex physiological process which
contains different signaling and biochemical pathways. However, little is known
about the molecular mechanism underlying this process in rice (Oryza sativa). In
this study, the changes of gene expression were investigated through a
combination study of transcriptome and proteome profiles in rice pistil during
pollination. Totally, 1117 differentially expressed genes were identified, among
which 962 and 167 were detected at transcriptional and protein level
respectively. Functional categorization analysis showed that the genes involved
in central metabolism were up-regulated, which can lead to the enhancement of
these metabolisms. The reactive oxygen species (ROS) were over-accumulated in the
stigma. In response to this, the proteins or transcripts involved in redox
homeostasis regulation were differentially expressed. Furthermore, significant
changes of protein ubiquitination and its related genes or proteins, especially
some E3 ligases encoding genes, indicate that protein ubiquitination might play
important roles in cell signal transduction during the pollination process. Our
study sheds some lights on gene and protein expression profiles of rice pistil
pollination process, and gives us a comprehensive understanding of the basic
molecular mechanisms controlling pollination in rice. BIOLOGICAL SIGNIFICANCE:
Using RNA-seq, 2-DE and iTRAQ assays, we have generated the large-scale
transcriptomic and proteomic data containing abundant information on genes
involved in pollen and pistil interaction. Our results showed that ROS were
significantly accumulated in stigma after pollination, and the abundance of genes
involve in redox homeostasis system were changed variously. We also show that,
changes of some E3 ligases encoding genes might indicate that protein
ubiquitination play important roles in cell signal transduction during the
pollination process. Data in this study might be helpful to deeply understand the
pollination in rice.
PMID- 26546732
TI - Inactivation of Clostridium difficile spores by microwave irradiation.
AB - Spores are a potent agent for Clostridium difficile transmission. Therefore,
factors inhibiting spores have been of continued interest. In the present study,
we investigated the influence of microwave irradiation in addition to conductive
heating for C. difficile spore inactivation in aqueous suspension. The spores of
15 C. difficile isolates from different host origins were exposed to conductive
heating and microwave irradiation. The complete inhibition of spore viability at
10(7) CFU/ml was encountered following microwave treatment at 800 W for 60 s, but
was not observed in the conductive-heated spores at the same time-temperature
exposure. The distinct patterns of ultrastructural alterations following
microwave and conductive heat treatment were observed and the degree of damages
by microwave was in the exposure time-dependent manner. Microwave would therefore
be a simple and time-efficient tool to inactivate C. difficile spores, thus
reducing the risk of C. difficile transmission.
PMID- 26546733
TI - Capsular typing of Streptococcus pneumoniae isolated in an Algerian hospital
using a new multiplex PCR-based scheme.
AB - We developed a new sequential multiplex-PCR-based typing scheme (MPBTS) for
pneumococcal capsular classification. The serogroup/type of 37 control isolates
obtained by the Quellung reaction, MPBTS, and nucleotide sequencing, were fully
concordant. The serogroups/types of 75 invasive isolates determined by MPBTS,
presented 100% specificity and 96% sensitivity, when compared with the Quellung
reaction.
PMID- 26546735
TI - Facial emotion recognition in alcohol and substance use disorders: A meta
analysis.
AB - People with alcohol and substance use disorders (AUDs/SUDs) show worse facial
emotion recognition (FER) than controls, though magnitude and potential
moderators remain unknown. The aim of this meta-analysis was to estimate the
association between AUDs, SUDs and FER impairment. Electronic databases were
searched through April 2015. Pooled analyses were based on standardized mean
differences between index and control groups with 95% confidence intervals,
weighting each study with random effects inverse variance models. Risk of
publication bias and role of potential moderators, including task type, were
explored. Nineteen of 70 studies assessed for eligibility met the inclusion
criteria, comprising 1352 individuals, of whom 714 (53%) had AUDs or SUDs. The
association between substance related disorders and FER performance showed an
effect size of -0.67 (-0.95, -0.39), and -0.65 (-0.93, -0.37) for AUDs and SUDs,
respectively. There was no publication bias and subgroup and sensitivity analyses
based on potential moderators confirmed core results. Future longitudinal
research should confirm these findings, clarifying the role of specific clinical
issues of AUDs and SUDs.
PMID- 26546737
TI - Cross protection between the first and second waves of the 1918 influenza
pandemic among soldiers of the Canadian Expeditionary Force (CEF) in Ontario.
AB - OBJECTIVES: This research analyses morbidity and mortality during the 1918
influenza pandemic among Ontario soldiers in the Canadian Expeditionary Force
(CEF). This paper asks: did exposure to influenza during the first wave confer
protection against illness and death during the second wave of the pandemic?
METHODS: Pneumonia and influenza (P&I) cases and deaths among Ontario soldiers
were transcribed from the 1918 Admission and Discharge books for the CEF.
Following the methods of Barry et al. [10], hospital admission and mortality
rates for P&I were compared for new recruits (<1 month service) and seasoned
soldiers (>1 month service) in order to assess the possibility of cross
protection during successive waves of the pandemic. RESULTS: The first wave of
the 1918 influenza pandemic occurred between March and May of 1918, with the
second wave erupting from September to December. Mortality in the second wave was
more severe, with a case fatality rate of 4.7%, which was more than double the
rate of 2.3% from March to May. Seasoned soldiers experienced 82.5% protection
from illness due to P&I illness in the fall, and 84% protection from death.
CONCLUSIONS: The morbidity data for the soldier population of Ontario, data
unavailable for civilians, confirms the presence of a herald wave in Ontario. The
findings support the hypothesis that exposure to influenza during the first wave
of the pandemic had a protective effect during the second more deadly wave in the
fall. Regional heterogeneity characterized the pandemic among soldiers in
Ontario. Conscription practices may have funnelled vulnerable recruits, such as
rural farmers, into training camps after the first wave of the pandemic, but
prior to the second wave.
PMID- 26546734
TI - The interactions of multisensory integration with endogenous and exogenous
attention.
AB - Stimuli from multiple sensory organs can be integrated into a coherent
representation through multiple phases of multisensory processing; this
phenomenon is called multisensory integration. Multisensory integration can
interact with attention. Here, we propose a framework in which attention
modulates multisensory processing in both endogenous (goal-driven) and exogenous
(stimulus-driven) ways. Moreover, multisensory integration exerts not only bottom
up but also top-down control over attention. Specifically, we propose the
following: (1) endogenous attentional selectivity acts on multiple levels of
multisensory processing to determine the extent to which simultaneous stimuli
from different modalities can be integrated; (2) integrated multisensory events
exert top-down control on attentional capture via multisensory search templates
that are stored in the brain; (3) integrated multisensory events can capture
attention efficiently, even in quite complex circumstances, due to their
increased salience compared to unimodal events and can thus improve search
accuracy; and (4) within a multisensory object, endogenous attention can spread
from one modality to another in an exogenous manner.
PMID- 26546736
TI - Analysis of T cell responses to chimpanzee adenovirus vectors encoding HIV gag
pol-nef antigen.
AB - Adenoviruses have been shown to be both immunogenic and efficient at presenting
HIV proteins but recent trials have suggested that they may play a role in
increasing the risk of HIV acquisition. This risk may be associated with the
presence of pre-existing immunity to the viral vectors. Chimpanzee adenoviruses
(chAd) have low seroprevalence in human populations and so reduce this risk.
ChAd3 and chAd63 were used to deliver an HIV gag, pol and nef transgene. ELISpot
analysis of T cell responses in mice showed that both chAd vectors were able to
induce an immune response to Gag and Pol peptides but that only the chAd3 vector
induced responses to Nef peptides. Although the route of injection did not
influence the magnitude of immune responses to either chAd vector, the dose of
vector did. Taken together these results demonstrate that chimpanzee adenoviruses
are suitable vector candidates for the delivery of HIV proteins and could be used
for an HIV vaccine and furthermore the chAd3 vector produces a broader response
to the HIV transgene.
PMID- 26546738
TI - Superior protection elicited by live-attenuated vaccines in the murine model of
paratuberculosis.
AB - Mycobacterium avium subspecies paratuberculosis (M. paratuberculosis) causes
Johne's disease, a chronic enteric infection in ruminants with severe economic
impact on the dairy industry in the USA and worldwide. Currently, available
vaccines have limited protective efficacy against disease progression and does
not prevent spread of the infection among animals. Because of their ability to
elicit wide-spectrum immune responses, we adopted a live-attenuated vaccine
approach based on a sigH knock-out strain of M. paratuberculosis (DeltasigH).
Earlier analysis of the DeltasigH mutant in mice indicated their inadequate
ability to colonize host tissues, unlike the isogenic wild-type strain,
validating the role of this sigma factor in M. paratuberculosis virulence. In the
present study, we evaluated the performance of the DeltasigH mutant compared to
inactivated vaccine constructs in a vaccine/challenge model of murine
paratuberculosis. The presented analysis indicated that DeltasigH mutant with or
without QuilA adjuvant is capable of eliciting strong immune responses (such as
interferon gamma-gamma, IFN-gamma) suggesting their immunogenicity and ability to
potentially initiate effective vaccine-induced immunity. Following a challenge
with virulent strains of M. paratuberculosis, DeltasigH conferred protective
immunity as indicated by the reduced bacterial burden accompanied with reduced
lesions in main body organs (liver, spleen and intestine) usually infected with
M. paratuberculosis. More importantly, our data indicated better ability of the
DeltasigH vaccine to confer protection compared to the inactivated vaccine
constructs even with the presence of oil-adjuvant. Overall, our approach provides
a rational basis for using live-attenuated mutant strains to develop improved
vaccines that elicit robust immunity against this chronic infection.
PMID- 26546740
TI - Trans-3,4-dideoxyglucone-3-ene (trans-3,4-DGE), a most reactive glucose
degradation product in freshly heat sterilized glucose solutions.
AB - In our study, one or more glucose degradation products (GDPs) in freshly heat
sterilized dextrose 5% in water (D5W) were found to react with a drug candidate
having a beta-keto amide group (Compound A) to form several drug related
compounds with the same molecular weight. However the previously identified GDPs
did not react with Compound A to produce the observed adducts, indicating that
unidentified GDP(s) reacted with Compound A to form these adducts. Our
investigation by reaction-directed fractionation of the reactive D5W with HPLC
led to the identification of the reactive GDP, trans-3,4-dideoxyglucosone-3-ene
(trans-3,4-DGE), responsible for producing these reaction products. The trans-3,4
DGE was identified from its derivatives of dinitrophenylhydrazine (DNPH) and
acetoacetanilide and confirmed by (1) admixing Compound A with authentic trans
3,4-DGE to produce the identical impurities as admixing with freshly heat
sterilized D5W, and (2) NMR analysis of the reactive fraction of glucose
solutions.
PMID- 26546741
TI - Recognition of facial expressions of emotion by adults with intellectual
disability: Is there evidence for the emotion specificity hypothesis?
AB - This study aimed to evaluate the emotion recognition abilities of adults (n=23)
with an intellectual disability (ID) compared with a control group of children
(n=23) without ID matched for estimated cognitive ability. The study examined the
impact of: task paradigm, stimulus type and preferred processing style
(global/local) on accuracy. We found that, after controlling for estimated
cognitive ability, the control group performed significantly better than the
individuals with ID. This provides some support for the emotion specificity
hypothesis. Having a more local processing style did not significantly mediate
the relation between having ID and emotion recognition, but did significantly
predict emotion recognition ability after controlling for group. This suggests
that processing style is related to emotion recognition independently of having
ID. The availability of contextual information improved emotion recognition for
people with ID when compared with line drawing stimuli, and identifying a target
emotion from a choice of two was relatively easier for individuals with ID,
compared with the other task paradigms. The results of the study are considered
in the context of current theories of emotion recognition deficits in individuals
with ID.
PMID- 26546739
TI - A novel autosomal recessive TERT T1129P mutation in a dyskeratosis congenita
family leads to cellular senescence and loss of CD34+ hematopoietic stem cells
not reversible by mTOR-inhibition.
AB - The TERT gene encodes for the reverse transcriptase activity of the telomerase
complex and mutations in TERT can lead to dysfunctional telomerase activity
resulting in diseases such as dyskeratosis congenita (DKC). Here, we describe a
novel TERT mutation at position T1129P leading to DKC with progressive bone
marrow (BM) failure in homozygous members of a consanguineous family. BM
hematopoietic stem cells (HSCs) of an affected family member were 300-fold
reduced associated with a significantly impaired colony forming capacity in vitro
and impaired repopulation activity in mouse xenografts. Recent data in yeast
suggested improved cellular checkpoint controls by mTOR inhibition preventing
cells with short telomeres or DNA damage from dividing. To evaluate a potential
therapeutic option for the patient, we treated her primary skin fibroblasts and
BM HSCs with the mTOR inhibitor rapamycin. This led to prolonged survival and
decreased levels of senescence in T1129P mutant fibroblasts. In contrast, the
impaired HSC function could not be improved by mTOR inhibition, as colony forming
capacity and multilineage engraftment potential in xenotransplanted mice remained
severely impaired. Thus, rapamycin treatment did not rescue the compromised stem
cell function of TERTT1129P mutant patient HSCs and outlines limitations of a
potential DKC therapy based on rapamycin.
PMID- 26546742
TI - MicroRNA-7: A miRNA with expanding roles in development and disease.
AB - MicroRNAs (miRNAs) are a family of short, non-coding RNA molecules (~22nt)
involved in post-transcriptional control of gene expression. They act via base
pairing with mRNA transcripts that harbour target sequences, resulting in
accelerated mRNA decay and/or translational attenuation. Given miRNAs mediate the
expression of molecules involved in many aspects of normal cell development and
functioning, it is not surprising that aberrant miRNA expression is closely
associated with many human diseases. Their pivotal role in driving a range of
normal cellular physiology as well as pathological processes has established
miRNAs as potential therapeutics, as well as potential diagnostic and prognostic
tools in human health. MicroRNA-7 (miR-7) is a highly conserved miRNA which
displays restricted spatiotemporal expression during development and in maturity.
In humans and mice, mature miR-7 is generated from three different genes,
illustrating unexpected redundancy and also the importance of this miRNA in
regulating key cellular processes. In this review we examine the expanding role
of miR-7 in the context of health, with emphasis on organ differentiation and
development, as well as in various mammalian diseases, particularly of the brain,
heart, endocrine pancreas and skin, as well as in cancer. The more we learn about
miR-7, the more we realise the complexity of its regulation and potential
functional application both from a biomarker and therapeutic perspective.
PMID- 26546743
TI - Arginine deprivation induces endoplasmic reticulum stress in human solid cancer
cells.
AB - Deprivation for the single amino acid arginine is a rapidly developing metabolic
anticancer therapy, which allows growth control in a number of highly malignant
tumors. Here we report that one of the responses of human solid cancer cells to
arginine starvation is the induction of prolonged endoplasmic reticulum (ER)
stress and activation of the unfolded protein response (UPR). Systematic study of
two colorectal carcinoma HCT-116 and HT29, glioblastoma U251 MG and ovarian
carcinoma SKOV3 cell lines revealed, however, that the ER stress triggered by the
absence of arginine does not result in massive apoptosis despite a profound
upregulation of the proapoptotic gene CHOP. Instead, Akt- and MAPK-dependent
pathways were activated which may counteract proapoptotic signaling. Treatment
with DMSO as a disaggregating agent or with cycloheximide to block protein
synthesis reduced ER stress evoked by arginine deprivation. On the other hand, ER
stress and apoptosis induction in arginine-starved cells could be critically
augmented by the arginine analog of plant origin canavanine, but not by the
classic ER stress inducer tunicamycin. Our data suggest that canavanine treatment
applied under the lack of arginine may enhance the efficacy of arginine
deprivation-based anticancer therapy.
PMID- 26546744
TI - miR-17 is involved in Japanese Flounder (Paralichthys olivaceus) development by
targeting the Cdc42 mRNA.
AB - The expression patterns of 197 miRNAs during Japanese flounder metamorphic
development were recently analyzed. miR-17 was differentially expressed during
the metamorphic period of the Japanese flounder; however, the role of miR-17 in
Japanese flounder development has remained elusive to date. Bioinformatics
analysis showed that Cdc42 was a putative target of miR-17. Cdc42 is a gene
related to cell adhesion, migration, polarity, cytokinesis, growth, actin
cytoskeleton, microtubule dynamics and transcription factor activity; thus, Cdc42
may contribute to metamorphic development. In our study, overexpression of miR-17
in FEC cells suppressed Cdc42 expression. The luciferase reporter assay confirmed
that Cdc42 was the target of miR-17. The Cdc42 cDNA from the Japanese flounder
was cloned and characterized for the first time. The expression of miR-17 was
found to be negatively correlated with Cdc42 mRNA expression during temporal
development and in the tissues of adult Japanese flounders. These results
indicated that the decrease in miR-17 contributed to the up-regulation of Cdc42
during Japanese flounder metamorphosis. Cdc42 gene expression was down-regulated
by thyroid hormone during Japanese flounder metamorphosis, whereas miR-17 was
significantly up-regulated by thyroid hormone during these stages. These results
indicated that miR-17 was a negative regulator of Cdc42.
PMID- 26546745
TI - Activation of RXR/PPARgamma underlies neuroprotection by bexarotene in ischemic
stroke.
AB - The identification of novel drug targets for the treatment of ischemic stroke is
currently an urgent challenge. Recent experimental findings have highlighted the
neuroprotective potential of immunomodulatory strategies, based on polarization
of myeloid cells toward non-inflammatory, beneficial phenotypes. Given the role
of retinoid X receptors (RXR) in myeloid cells differentiation and polarization,
here we have explored the neuroprotective potential of the RXR agonist bexarotene
in mice subjected to focal cerebral ischemia. Acute administration of bexarotene
significantly reduced blood brain barrier leakage, brain infarct damage and
neurological deficit produced by transient middle cerebral artery occlusion in
mice, without affecting cerebral blood flow. The rexinoid exerted neuroprotection
with a wide time-window, being effective when administered up to 4.5h after the
insult. The amelioration of histological outcome, as well as the ability of
bexarotene to revert middle cerebral artery occlusion (MCAo)-induced spleen
atrophy, was antagonised by BR1211, a pan-RXR antagonist, or by the selective
peroxisome proliferator-activated receptor (PPAR)gamma antagonist bisphenol A
diglycidyl ether (BADGE), highlighting the involvement of the RXR/PPARgamma
heterodimer in the beneficial effects exerted by the drug. Immunofluorescence
analysis revealed that bexarotene elevates Ym1-immunopositive N2 neutrophils both
in the ipsilateral hemisphere and in the spleen of mice subjected to transient
middle cerebral artery occlusion, pointing to a major role for peripheral
neutrophil polarization in neuroprotection. Thus, our findings suggest that the
RXR agonist bexarotene exerts peripheral immunomodulatory effects under ischemic
conditions to be effectively repurposed for the acute therapy of ischemic stroke.
PMID- 26546746
TI - Aclidinium bromide combined with formoterol inhibits remodeling parameters in
lung epithelial cells through cAMP.
AB - Combined muscarinic receptor antagonists and long acting beta2-agonists improve
symptom control in chronic obstructive pulmonary disease (COPD) significantly. In
clinical studies aclidinium bromide achieved better beneficial effects than other
bronchodilators; however, the underlying molecular mechanisms are unknown. This
study assessed the effect of aclidinium bromide combined with formoterol on COPD
lung (n=20) and non-COPD lung (n=10) derived epithelial cells stimulated with TGF
beta1+carbachol on: (i) the generation of mesenchymal cells in relation to
epithelial cells, (II) extracellular matrix (ECM) deposition, and (iii) the
interaction of ECM on the generation of epithelial and mesenchymal cells. TGF
beta1+carbachol enhanced the generation of mesenchymal cells, which was
significantly reduced by aclidinium bromide or formoterol. The effect of combined
drugs was additive. Inhibition of p38 MAP kinase and Smad by specific inhibitors
or aclidinium bromide reduced the generation of mesenchymal cells. In mesenchymal
cells, TGF-beta1+carbachol induced the deposition of collagen-I and fibronectin
which was prevented by both drugs dose-dependently. Formoterol alone reduced
collagen-I deposition via cAMP, this however, was overruled by TGF
beta1+carbachol and rescued by aclidinium bromide. Inhibition of fibronectin was
cAMP independent, but involved p38 MAP kinase and Smad. Seeding epithelial cells
on ECM collagen-I and fibronectin induced mesenchymal cell generation, which was
reduced by aclidinium bromide and formoterol. Our results suggest that the
beneficial effect of aclidinium bromide and formoterol involves cAMP affecting
both, the accumulation of mesenchymal cells and ECM remodeling, which may explain
the beneficial effect of the drugs on lung function in COPD.
PMID- 26546747
TI - Cholinergic transmission underlies modulation of frustration by open field
exposure.
AB - Frustration can be defined as an emotional state generated by the omission or
devaluation in the quantity or quality of an expected appetitive reward. Thus,
reactivity to a reward is affected by prior experience with the different
reinforcer values of that reward. This phenomenon is known as incentive
relativity, and can be studied by different paradigms. Although methodologically
simple, the exploration of a novel open field (OF) is a complex situation that
involves several behavioral processes, including stress induction and novelty
detection. OF exposure can enhance or block the acquisition of associative and
non-associative memories. These experiments evaluated the effect of OF
exploration on frustration and the role played by the cholinergic system in this
phenomenon. OF exploration before first or second trial of incentive downshift
modulated the expression of frustration. This effect of OF was blocked by the
administration of scopolamine either before or after OF exploration. These
results indicate that the cholinergic system is involved in the acquisition and
consolidation of OF information.
PMID- 26546748
TI - p-Methoxycinnamic acid, an active phenylpropanoid induces mitochondrial mediated
apoptosis in HCT-116 human colon adenocarcinoma cell line.
AB - Among the eight phytochemicals (dihydrocarveol, sinapic acid, vanillic acid,
ethylgallate, myrtenol, transcarveol, p-methoxycinnamic acid, and isoferulic
acid) we tested, p-methoxycinnamic acid (p-MCA) [10 MUM] showed the most potent
in vitro growth inhibition on human colon adenocarcinoma (HCT-116 cells).
Antiproliferative activity of p-MCA at 24h was associated with DNA damage,
morphological changes and the results were comparable with doxorubicin. p-MCA
induced phosphatidylserine translocation, increased the levels of reactive oxygen
species (ROS), thiobarbituric acid reactive substances (TBARS), protein carbonyl
content (PCC) and decreased enzymic antioxidant status (SOD, CAT, GPx) in HCT
116. p-MCA treatment increased the percentage of apoptotic cells, decreased the
mitochondrial membrane potential and triggered cytochrome C release to cytosol.
The induction of apoptosis by p-MCA was accompanied by an increase in caspase 3
and caspase 9 activities, increased expression of Bax and decreased expression of
Bcl-2. Thus p-MCA induces mitochondria mediated intrinsic pathway of apoptosis in
HCT-116 and has potential for treatment and prevention of colon cancer.
PMID- 26546749
TI - Hematopoiesis "awakens": Evolving technologies, the force behind them.
AB - Amid the beauty of the Kyoto countryside, leaders in the field of hematology met
at the 44th annual International Society for Experimental Hematology (ISEH)
meeting in late September 2015. Led by ISEH President Paul Frenette and President
Elect David Traver, the meeting covered many aspects of hematopoiesis with a
focus on technology. At the meeting, it became clear that the future of
hematology is being shaped by innovations in single-cell "omics" and imaging
approaches that will provide answers to age-old questions on cellular identity.
In this meeting review, we highlight the advances presented in understanding the
hematopoietic stem cell (HSC) niche, heterogeneity, stress response, epigenetics,
and how these processes change from birth to old age.
PMID- 26546750
TI - Impact of subsequent screening episodes on the positive predictive value for
advanced neoplasia and on the distribution of anatomic subsites of colorectal
cancer: A population-based study on behalf of the French colorectal cancer
screening program.
AB - BACKGROUND: The anatomic distribution of advanced colorectal neoplasia is
increasingly important for choosing screening strategies and treatment options.
We sought to evaluate the impact of repeated screening on the positive predictive
value (PPV) for advanced colorectal neoplasia (advanced adenoma, AA, and
colorectal cancer, CRC) and their distribution according to anatomic subsite
distribution in average-risk adults. METHOD: The study included 98,031 men and
women aged 50-74 who had a positive g-FOBT in 2010 and 2011 and underwent total
colonoscopy. The PPV for detection of AA and CRC and the relative risks were
determined with log-binomial models, and the distribution of anatomic subsites
was estimated according to screening history. RESULT: The median age was 61 years
(62 years for participants with AA and 64 for those with CRC). The PPV for
detection of advanced neoplasia was 24.5%, substantially higher in men than women
(30.7% vs 17.7%), and it increased with age. It also fell at all screening
episodes after the first. Subsequent screening episodes were associated with an
increased RR for proximal AA (RR 1.13, 95% CI 1.16-1.20). Advancing age (RR 1.28,
95% CI 1.19-1.39 for every 10-year increase in age), female gender (RR 1.31, 95%
CI 1.19-1.44), and subsequent screening (RR 1.15, 95% CI 1.04-1.27) were
significantly and independently associated with detection of proximal
adenocarcinoma. The latter was also detected at an advanced stage more often (RR,
1.24, 95% CI: 1.09-1.42). Early stages of invasive adenocarcinoma (stages I and
II) was more likely to be detected in a subsequent than an initial screening (RR
1.07, 95% CI 1.01-1.13). CONCLUSION: This study found that subsequent screening
episodes using g-FOBT were associated with an increase in the detection rate of
proximal AA and CRC, especially among women. The more frequent detection of
proximal invasive adenocarcinoma at an advanced stage in subsequent screenings
suggests that some of these tumors may well not be real incident lesions, but are
likely to include lesions that were missed on the previous screens. Although
modest, the increase in the rate of detection of invasive adenocarcinoma at early
(and more curable) stages from the first to subsequent screenings, together with
this potential for missed diagnoses on initial screening and the increased
detection rate for proximal or rectal AA in subsequent screening episodes,
underlines the need to reinforce the population's awareness of the importance of
regular consistent screening, after negative results.
PMID- 26546752
TI - The broad-spectrum antiinfective drug artesunate interferes with the canonical
nuclear factor kappa B (NF-kappaB) pathway by targeting RelA/p65.
AB - Infection with human cytomegalovirus (HCMV) is a serious medical problem,
particularly in immunocompromised individuals and neonates. The success of
standard antiviral therapy is hampered by low drug compatibility and induction of
viral resistance. A novel strategy is based on the exploitation of cell-directed
signaling inhibitors. The broad antiinfective drug artesunate (ART) offers
additional therapeutic options such as oral bioavailability and low levels of
toxic side-effects. Here, novel ART-derived compounds including dimers and
trimers were synthesized showing further improvements over the parental drug.
Antiviral activity and mechanistic aspects were determined leading to the
following statements: (i) ART exerts antiviral activity towards human and animal
herpesviruses, (ii) no induction of ART-resistant HCMV mutants occurred in vitro,
(iii) chemically modified derivatives of ART showed strongly enhanced anti-HCMV
efficacy, (iv) NF-kappaB reporter constructs, upregulated during HCMV
replication, could be partially blocked by ART treatment, (v) ART activity
analyzed in stable reporter cell clones indicated an inhibition of stimulated NF
kappaB but not CREB pathway, (vi) solid-phase immobilized ART was able to bind to
NF-kappaB RelA/p65, and (vii) peptides within NF-kappaB RelA/p65 represent
candidates of ART binding as analyzed by in silico docking and mass spectrometry.
These novel findings open new prospects for the future medical use of ART and ART
related drug candidates.
PMID- 26546753
TI - A Rare Cause of Malabsorption.
PMID- 26546754
TI - Achalasia: All Sticks in One Bundle!
PMID- 26546755
TI - Clinical indicators associated with the mode of twin delivery: an analysis of
22,712 twin pairs.
AB - OBJECTIVE: To identify clinical indicators associated with the planned and actual
mode of delivery in women with a twin pregnancy. STUDY DESIGN: We performed a
retrospective cohort study in women with a twin pregnancy who delivered at a
gestational age of 32+0-41+0 weeks and days between 2000 and 2008 in the
Netherlands. Data were obtained from a nationwide database. We identified
maternal, pregnancy-related, fetal, neonatal and hospital-related indicators that
were associated with planned cesarean section (CS) and, for women with planned
vaginal delivery (VD), for intrapartum CS. The associations between indicators
and mode of delivery were studied with uni- and multivariate logistic regression
analyses. RESULTS: We included 22,712 women with a twin pregnancy, of whom 4,310
women (19.0%) had a planned CS. Of the 18,402 women who had a planned VD, 14,034
(76.3%) delivered vaginally, 3,545 (19.3%) had an intrapartum CS, while 823
(4.5%) delivered twin A vaginally and twin B by intrapartum CS. The clinical
indicators for a planned CS and an intrapartum CS were comparable: non-cephalic
position of both twins (aOR 25.32; 95% CI 22.50-28.50, and aOR 21.94; 95% CI
18.67-25.78, respectively), non-cephalic position of twin A only (aOR 21.67 95%
CI 19.12-24.34, and aOR 13.71; 95% CI 11.75-16.00, respectively), previous CS
(aOR 3.69; 95% CI 3.12-4.36, and aOR 7.00; 95% CI 5.77-8.49, respectively),
nulliparity (aOR 1.51; 95% CI 1.32-1.72, and aOR 4.20; 95% CI 3.67-4.81,
respectively), maternal age >=41 years (aOR 3.00; 95% CI 2.14-4.22, and aOR 2.50;
95% CI 1.75-3.59, respectively), and pre-eclampsia (aOR 2.12; 95% CI 1.83-2.46,
and aOR 1.34; 95% CI 1.16-1.56, respectively). CONCLUSION: Both planned and
intrapartum CS in twins had comparable predictors: non-cephalic position of both
twins or twin A only, previous CS, nulliparity, advanced maternal age, and pre
eclampsia.
PMID- 26546751
TI - Recent advances of cocktail chemotherapy by combination drug delivery systems.
AB - Combination chemotherapy is widely exploited for enhanced cancer treatment in the
clinic. However, the traditional cocktail administration of combination regimens
often suffers from varying pharmacokinetics among different drugs. The emergence
of nanotechnology offers an unparalleled opportunity for developing advanced
combination drug delivery strategies with the ability to encapsulate various
drugs simultaneously and unify the pharmacokinetics of each drug. This review
surveys the most recent advances in combination delivery of multiple small
molecule chemotherapeutics using nanocarriers. The mechanisms underlying
combination chemotherapy, including the synergistic, additive and potentiation
effects, are also discussed with typical examples. We further highlight the
sequential and site-specific co-delivery strategies, which provide new guidelines
for development of programmable combination drug delivery systems. Clinical
outlook and challenges are also discussed in the end.
PMID- 26546756
TI - The impact of GnRH agonists in patients with endometriosis on prolactin and sex
hormone levels: a pilot study.
AB - OBJECTIVE: Gonadotropin releasing hormone agonists (GnRHa) decrease the
expression of growth factors involved in the development of human endometriotic
tissue. As endometriosis has been found to be associated with a mild increase in
prolactin (PRL) serum levels, we aimed to evaluate changes in PRL serum levels as
well as other hormones relevant to endometriosis and infertility during long-term
administration of GnRHas in women with endometriosis. STUDY DESIGN: In this
prospective pilot study we obtained blood samples on the first day of leuporeline
administration and then subsequently after 4, 8 and 12 weeks in 22 patients.
RESULTS: Median PRL levels were unchanged after 4 weeks, but significantly
decreased 8 and 12 weeks after the first leuporeline administration (p1=0.085,
p2=0.020, p3=0.001). There was no significant decrease in serum anti-Mullerian
hormone (AMH) levels over the whole period of down regulation with leuporeline
(p1-3>0.05). CONCLUSION: Our data support the hypothesis that the decrease of PRL
levels might contribute to the known effect of GnRH treatment in patients with
endometriosis via suppression of VEGF expression in endometriotic lesions.
Moreover this study lends support to the thesis that AMH remains stable under
GnRHa therapy and therefore can be also used as a marker of ovarian function
prior to IVF-stimulation during down regulation.
PMID- 26546757
TI - Prediction models for successful external cephalic version: a systematic review.
AB - To provide an overview of existing prediction models for successful ECV, and to
assess their quality, development and performance. We searched MEDLINE, EMBASE
and the Cochrane Library to identify all articles reporting on prediction models
for successful ECV published from inception to January 2015. We extracted
information on study design, sample size, model-building strategies and
validation. We evaluated the phases of model development and summarized their
performance in terms of discrimination, calibration and clinical usefulness. We
collected different predictor variables together with their defined significance,
in order to identify important predictor variables for successful ECV. We
identified eight articles reporting on seven prediction models. All models were
subjected to internal validation. Only one model was also validated in an
external cohort. Two prediction models had a low overall risk of bias, of which
only one showed promising predictive performance at internal validation. This
model also completed the phase of external validation. For none of the models
their impact on clinical practice was evaluated. The most important predictor
variables for successful ECV described in the selected articles were parity,
placental location, breech engagement and the fetal head being palpable. One
model was assessed using discrimination and calibration using internal (AUC 0.71)
and external validation (AUC 0.64), while two other models were assessed with
discrimination and calibration, respectively. We found one prediction model for
breech presentation that was validated in an external cohort and had acceptable
predictive performance. This model should be used to council women considering
ECV.
PMID- 26546758
TI - New insights into the transformation of trimethoprim during biological wastewater
treatment.
AB - The antibiotic trimethoprim (TMP), a micropollutant found at MUg/L levels in raw
wastewater, was investigated with regard to its (bio)transformation during
biological wastewater treatment. A pilot-scale, nitrifying/denitrifying
Sequencing Batch Reactor (SBR) fed with municipal wastewater was monitored for
TMP removal during a 16-month monitoring study. Laboratory-scaled bioreactors
spiked with TMP were applied to identify the transformation products (TPs). In
total, six TPs could be identified from TMP. However, the TP formation was
influenced by the spike concentration. At an initial concentration of 500 MUg/L
TMP, only two TPs were found, whereas at 5 MUg/L a completely different
transformation pathway led to four further TPs. At low concentrations, TMP was
demethylated forming 4-desmethyl-TMP, which was then quickly hydroxylated,
oxidized and cleaved forming 2,4-diaminopyrimidine-5-carboxylic acid (DAPC) via
two intermediate TPs. DAPC was detected in the SBR effluent in a 3-d composite
sample with 61 ng/L, which accounts for 52% of the attenuated TMP. The primary
degradation at low spiking levels was best modelled by a pseudo-first order
kinetic. Considering the SBR, the model predicted a TMP removal of 88-94% for the
reactor, consistent with a monitoring campaign exhibiting an average removal of
>83%. Both the TP formation profiles and kinetic modelling indicated that only
the results from the bioreactor tests at low spike concentrations were
representative of the transformation in the SBR.
PMID- 26546759
TI - Simulation of saxitoxins adsorption in full-scale GAC filter using HSDM.
AB - Many different species of cyanobacteria capable of producing saxitoxins have been
identified as a threat to the safety of drinking water supplies worldwide.
Removal of these contaminants can be accomplished by adsorption on granular
activated carbon (GAC) but little is yet known about the kinetics of this
process. This research investigated adsorption kinetics and diffusion behaviour
of decarbomoyl saxitoxin (dc-STX) and carbamate saxitoxin (STX) on a GAC sample
and simulated a full-scale GAC filter using batch experimental data and the
homogeneous surface diffusion model (HSDM). HSDM was able to successfully
describe batch adsorption of STX and dc-STX onto GAC sample and the surface
diffusion coefficient was identified as the main adjustment parameter for this
model. Different scenarios of STX and dc-STX removal in a GAC filter were
simulated, offering engineers and scientists an option for the design of GAC full
scale filters, bench or pilot-scale experiments.
PMID- 26546760
TI - Water management impacts on arsenic behavior and rhizosphere bacterial
communities and activities in a rice agro-ecosystem.
AB - Although rice cultivated under water-saturated conditions as opposed to submerged
conditions has received considerable attention with regard to reducing As levels
in rice grain, the rhizosphere microbiome potentially influencing As
biotransformation and bioavailability in a rice ecosystem has rarely been
studied. In this study, the impacts of flooded, non-flooded and alternate wetting
and drying (AWD) practices on rhizosphere bacterial composition and activities
that could potentially impact As speciation and accumulation in rhizosphere soil
and pore water, As fractions in rhizosphere soil and As speciation and
distribution in plant parts were assessed. The results revealed that in addition
to pore water As concentration, non-specifically sorbed As fraction, specifically
sorbed As fraction and amorphous iron oxide bound As fraction in soil were bio
available to rice plants. In the flooded treatment, As(III) in the pore water was
the predominant As species, accounting for 87.3-93.6% of the total As, whereas in
the non-flooded and AWD treatments, As(V) was the dominant As species, accounting
for 89.6-96.2% and 73.0-83.0%, respectively. The genera Ohtaekwangia, Geobacter,
Anaeromyxobacter, Desulfuromonas, Desulfocapsa, Desulfobulbus, and Lacibacter
were found in relatively high abundance in the flooded soil, whereas the genera
Acinetobacter, Ignavibacterium, Thiobacillus, and Lysobacter were detected in
relatively high abundance in the non-flooded soil. Admittedly, the decrease in As
level in rice cultivated under the non-flooded and AWD conditions was mostly
linked to a relatively high soil redox potential, low As(III) concentration in
the soil pore water, a decrease in the relative abundance of As-, Fe- and sulfur
reducing bacteria and an increase in the relative abundance of As-, Fe- and
sulfur-oxidizing bacteria in the rhizosphere soil of the rice. This study
demonstrated that with substantial reduction in grain As levels and higher water
productivity, AWD practice in rice cultivation should be favored over the non
flooded and continuously flooded rice cultivations in As-contaminated sites.
PMID- 26546761
TI - Evaluation of serum markers of blood redox homeostasis and inflammation in PCB
naturally contaminated heifers undergoing decontamination.
AB - Dioxins and polychlorinated biphenyls (PCBs) are widely spread and long
persistent contaminants. The aim of this study was to evaluate physiological
changes associated with the decontamination of animals previously exposed to
environmental pollutants. Eight Limousine heifers were removed from a polluted
area and fed a standard ration for six months. The extent of contamination was
defined by measuring total toxic equivalents (TEQ) values of dioxin like-PCBs (DL
PCBs), polychlorinated dibenzo-p-dioxins (PCDDs), and polychlorinated
dibenzofurans (PCDFs), and NDL-PCBs amount in pericaudal fat two weeks after the
removal from the contaminated area (day 0) and then bimonthly for six months
during the decontamination (days 59, 125, and 188). The concentrations of both DL
PCBs + PCDD/Fs and NDL-PCBs at the start of decontamination (day 0) were higher
than those legally admitted, and they were strongly decreased at the end of the
experimental period. Specific indices of blood redox homeostasis and inflammation
were also measured at each time. Serum concentrations of Retinol, Tocopherol and
Ascorbate, the total antioxidant capacity (TAC) and the activities of superoxide
dismutase and glutathione peroxidase were lower at day 0 than after 59, 125 or
188 days of decontamination. Protein-bound carbonyls (PC), nitro-tyrosine (N
Tyr), and lipid hydroperoxides concentrations were higher at day 0 than during
decontamination. In addition, TAC, PC and N-Tyr levels correlated with both DL
PCB and NDL-PCB concentrations only at day 0. Serum concentrations of TNF-alpha
and Haptoglobin were higher in samples collected at day 0 than in those obtained
during decontamination. As Haptoglobin and TNF-alpha levels correlated with both
DL-PCB and NDL-PCB concentrations at day 0 and at day 59 (when these
concentrations are still over legal limit), they might represent easily
measurable parameters for assessing acute exposure to pollutants. Further both N
Tyr and TNF-alpha concentrations could be used as bio-monitoring markers of the
decontamination procedure.
PMID- 26546762
TI - Quinolone resistant Aeromonas spp. as carriers and potential tracers of acquired
antibiotic resistance in hospital and municipal wastewater.
AB - Members of the genus Aeromonas are recognized carriers of antibiotic resistance
in aquatic environments. However, their importance on the spread of resistance
from hospital effluents to the environment is poorly understood. Quinolone
resistant Aeromonas spp. (n = 112) isolated from hospital effluent (HE) and from
raw (RWW) and treated wastewater (TWW) of the receiving urban wastewater
treatment plant (UWTP) were characterized. Species identification and genetic
intraspecies diversity were assessed based on the 16S rRNA, cpn60 and gyrB genes
sequence analysis. The antibiotic resistance phenotypes and genotypes (qnrA,
qnrB, qnrC, qnrD, qnrS, qnrVC; qepA; oqxAB; aac(6')-Ib-cr; blaOXA; incU) were
analyzed in function of the origin and taxonomic group. Most isolates belonged to
the species Aeromonas caviae and Aeromonas hydrophila (50% and 41%,
respectively). The quinolone and the beta-lactamase resistance genes aac(6')-Ib
cr and blaOXA, including gene blaOXA-101, identified for the first time in
Aeromonas spp., were detected in 58% and 56% of the isolates, respectively, with
identical prevalence in HE and UWTP wastewater. In contrast, the gene qnrS2 was
observed mainly in isolates from the UWTP (51%) and rarely in HE isolates (3%),
suggesting that its origin is not the clinical setting. Bacterial groups and
genes that allow the identification of major routes of antibiotic resistance
dissemination are valuable tools to control this problem. In this study, it was
concluded that members of the genus Aeromonas harboring the genes aac(6')-Ib-cr
and blaOXA are relevant tracers of antibiotic resistance dissemination in
wastewater habitats, while those yielding the gene qnrS2 allow the traceability
from non-clinical sources.
PMID- 26546763
TI - Comparing a silver-impregnated activated carbon with an unmodified activated
carbon for disinfection by-product minimisation and precursor removal.
AB - During disinfection, bromide, iodide and natural organic matter (NOM) in source
waters can lead to the formation of brominated and/or iodinated disinfection by
products (DBPs), which are often more toxic than their chlorinated analogues. The
objective of this study was to compare the efficiency of a silver-impregnated
activated carbon (SIAC) with the equivalent unimpregnated granular activated
carbon (GAC) for the removal of bromide, iodide and NOM from a matrix of
synthetic waters with variable NOM, halide, and alkalinity concentrations, and to
investigate the impact on DBP formation. An enhanced coagulation (EC) pre
treatment was employed prior to sample exposure to either carbon adsorbent.
Excellent halide removals were observed by the SIAC treatment across the sample
matrix, with iodide concentrations consistently reduced to below the method
reporting limit (<2 MUg/L) from as high as 25 MUg/L, and 95+/-4% removal of
bromide achieved. Bromide removal by unimpregnated GAC was poor, however iodide
removal was comparable to that achieved by SIAC. The combination of EC with SIAC
treatment removed 77+/-8% of the dissolved organic carbon (DOC) present, across
the sample matrix, which was similar to removals by EC/GAC (67+/-14%). Combined
EC/SIAC treatment reduced both total trihalomethanes (tTHMs) and total
dihaloacetonitriles (tDHANs) formation by 97+/-3%, while also achieving a greater
than 74% removal of two chloropropanones and a 92+/-8% decrease in chloral
hydrate (CH), compared to untreated samples, regardless of the sample's starting
water quality (bromide, alkalinity and NOM concentration). Combined EC/GAC
treatment led to similar DBP removals to EC/SIAC for the fully chlorinated DBPs,
however, brominated DBPs were less efficiently removed, or experienced
concentration increases.
PMID- 26546764
TI - Edaphic factors controlling summer (rainy season) greenhouse gas emissions (CO2
and CH4) from semiarid mangrove soils (NE-Brazil).
AB - The soil attributes controlling the CO2, and CH4 emissions were assessed in
semiarid mangrove soils (NE-Brazil) under different anthropogenic activities.
Soil samples were collected from different mangroves under different
anthropogenic impacts, e.g., shrimp farming (Jaguaribe River); urban wastes (Coco
River) and a control site (Timonha River). The sites were characterized according
to the sand content; physicochemical parameters (Eh and pH); total organic C;
soil C stock (SCS) and equivalent SCS (SCSEQV); total P and N; dissolved organic
C (DOC); and the degree of pyritization (DOP). The CO2 and CH4 fluxes from the
soils were assessed using static closed chambers. Higher DOC and SCS and the
lowest DOP promote greater CO2 emission. The CH4 flux was only observed at
Jaguaribe which presented higher DOP, compared to that found in mangroves from
humid tropical climates. Semiarid mangrove soils cannot be characterized as
important greenhouse gas sources, compared to humid tropical mangroves.
PMID- 26546765
TI - The changing trend in nitrate concentrations in major aquifers due to historical
nitrate loading from agricultural land across England and Wales from 1925 to
2150.
AB - Nitrate is necessary for agricultural productivity, but can cause considerable
problems if released into aquatic systems. Agricultural land is the major source
of nitrates in UK groundwater. Due to the long time-lag in the groundwater
system, it could take decades for leached nitrate from the soil to discharge into
freshwaters. However, this nitrate time-lag has rarely been considered in
environmental water management. Against this background, this paper presents an
approach to modelling groundwater nitrate at the national scale, to simulate the
impacts of historical nitrate loading from agricultural land on the evolution of
groundwater nitrate concentrations. An additional process-based component was
constructed for the saturated zone of significant aquifers in England and Wales.
This uses a simple flow model which requires modelled recharge values, together
with published aquifer properties and thickness data. A spatially distributed and
temporally variable nitrate input function was also introduced. The sensitivity
of parameters was analysed using Monte Carlo simulations. The model was
calibrated using national nitrate monitoring data. Time series of annual average
nitrate concentrations along with annual spatially distributed nitrate
concentration maps from 1925 to 2150 were generated for 28 selected aquifer
zones. The results show that 16 aquifer zones have an increasing trend in nitrate
concentration, while average nitrate concentrations in the remaining 12 are
declining. The results are also indicative of the trend in the flux of
groundwater nitrate entering rivers through baseflow. The model thus enables the
magnitude and timescale of groundwater nitrate response to be factored into
source apportionment tools and to be taken into account alongside current
planning of land-management options for reducing nitrate losses.
PMID- 26546766
TI - Role of biotransformation, sorption and mineralization of (14)C-labelled
sulfamethoxazole under different redox conditions.
AB - (14)C-sulfamethoxazole biotransformation, sorption and mineralization was studied
with heterotrophic and autotrophic biomass under aerobic and anoxic conditions,
as well as with anaerobic biomass. The (14)C-radiolabelled residues distribution
in the solid, liquid and gas phases was closely monitored along a total
incubation time of 190 h. Biotransformation was the main removal mechanism,
mineralization and sorption remaining below 5% in all the cases, although the
presence of a carbon source exerted a positive effect on the mineralization rate
by the aerobic heterotrophic bacteria. In fact, an influence of the type of
primary substrate and the redox potential was observed in all cases on the
biotransformation and mineralization rates, since an enhancement of the removal
rate was observed when an external carbon source was used as a primary substrate
under aerobic conditions, while a negligible effect was observed under nitrifying
conditions. In the liquid phases collected from all assays, up to three
additional peaks corresponding to (14)C-radiolabelled residues were detected. The
highest concentration was observed under anaerobic conditions, where two
radioactive metabolites were detected representing each around 15% of the total
applied radioactivity after 180 h incubation. One of the metabolites detected
under anoxic and anaerobic conditions, is probably resulting from ring cleavage
of the isoxazole ring.
PMID- 26546767
TI - The influence of rainwater composition on the conservation state of cementitious
building materials.
AB - Rainwater is one of the main pollution tracers around the world. There are many
reasons that can explain the presence of high concentrations of certain hazardous
elements (HEs) in the rainwater (traffic, marine port activities, industry,
etc.). In this work, rainwater samples were collected at six different locations
in the Metropolitan Bilbao (Basque Country, north of Spain) during November 2014.
HE concentrations were determined by means of inductively coupled plasma mass
spectrometry (ICP-MS) and anions by ion chromatography. The pH and redox
potential values on these samples were also assessed. According to the obtained
results, different trends along the estuary of Bilbao have been observed. To
corroborate some hypothesis, thermodynamic simulations and correlation analyses
were also carried out using quantitative data. These trends are closely related
to the surrounding pollution and marine influence. Finally, in order to ascertain
the influence of the Metropolitan Bilbao rainwater on buildings materials, a
recent construction was characterized. Using techniques such as Scanning Electron
Microscopy coupled with Energy Dispersive X-Ray Spectroscopy (SEM-EDS) and Raman
Spectroscopy, different types of sulfates and nitrates were observed.
PMID- 26546768
TI - Toxicity of seven priority hazardous and noxious substances (HNSs) to marine
organisms: Current status, knowledge gaps and recommendations for future
research.
AB - Shipping industry and seaborne trade have rapidly increased over the last fifty
years, mainly due to the continuous increasing demand for chemicals and fuels.
Consequently, despite current regulations, the occurrence of accidental spills
poses an important risk. Hazardous and noxious substances (HNSs) have been
raising major concern among environmental managers and scientific community for
their heterogeneity, hazardous potential towards aquatic organisms and associated
social-economic impacts. A literature review on ecotoxicological hazards to
aquatic organisms was conducted for seven HNSs: acrylonitrile, n-butyl acrylate,
cyclohexylbenzene, hexane, isononanol, trichloroethylene and xylene. Information
on the mechanisms of action of the selected HNS was also reviewed. The main
purpose was to identify: i) knowledge gaps in need of being addressed in future
research; and ii) a set of possible biomarkers suitable for ecotoxicological
assessment and monitoring in both estuarine and marine systems. Main gaps found
concern the scarcity of information available on ecotoxicological effects of HNS
towards marine species and their poorly understood mode of action in wildlife.
Differences were found between the sensitivity of freshwater and seawater
organisms, so endpoints produced in the former may not be straightforwardly
employed in evaluations for the marine environment. The relationship between sub
individual effects and higher level detrimental alterations (e.g. behavioural,
morphological, reproductive effects and mortality) are not fully understood. In
this context, a set of biomarkers associated to neurotoxicity, detoxification and
anti-oxidant defences is suggested as potential indicators of toxic
exposure/effects of HNS in marine organisms. Overall, to support the development
of contingency plans and the establishment of environmental safety thresholds, it
will be necessary to undertake targeted research on HNS ecotoxicity in the marine
environment. Research should address these issues under more realistic exposure
scenarios reflecting the prevailing spatial and temporal variability in
ecological and environmental conditions.
PMID- 26546769
TI - Association between greenness, urbanicity, and birth weight.
AB - BACKGROUND: More than half of the world's population lives in urban environments.
Due to urban related factors (e.g. higher air pollution), urban residents may
face higher risk of adverse health outcomes, while access to green space could
benefit health. PURPOSE: We explored associations between urban and green land
use and birth weight. METHODS: Connecticut, U.S., birth certificate data (2000
2006) were acquired (n=239,811), and land-use data were obtained from the
National Land Cover Database. We focused on three land-uses; urban space, urban
open space, and green space (i.e. forest, shrub, herbaceous, and cultivated
land). We estimated fractions of greenness and urbanicity within 250 m from
residence. A linear mixed effects model was conducted for birth weight and a
logistic mixed effects model for low birth weight (LBW) and small for gestational
age (SGA). RESULTS: An interquartile range (IQR) increment in the fraction of
green space within 250 m of residence was associated with 3.2g (95% Confidence
Interval [0.4, 6.0]) higher birth weight. Similarly, an IQR increase in green
space was associated with 7.6% [2.6, 12.4] decreased risk of LBW. Exposure to
urban space was negatively correlated with green space (Pearson correlation=
0.88), and it showed negative association with birth outcomes. Results were
generally robust with different buffer sizes and controlling for fine particles
(PM2.5) and traffic. CONCLUSIONS: We found protective associations by green space
on birth outcomes. Increasing green space and/or reducing urban space (e.g. the
greening of city environments) may reduce the risk of adverse birth outcomes such
as LBW and SGA. Populations living in urban environments will grow in the next
half century, and allocation of green space among urban areas may play a critical
role for public health in urban planning.
PMID- 26546770
TI - Couple comorbidity and correlates of postnatal depressive symptoms in mothers and
fathers in the first two weeks following delivery.
AB - BACKGROUND: Postnatal depression affects a significant number of parents;
however, its co-occurrence in mothers and fathers has not been studied
extensively. Identifying predictors and correlates of postnatal depressive
symptoms can help develop effective interventions. METHODS: Questionnaires on
several socio-demographic and psychosocial factors were administered to 276
couples within two weeks after birth. Depressive symptoms in mothers and fathers
were assessed using the Edinburgh Postnatal Depression Scale (EPDS). After
calculating the correlation coefficient between mothers and fathers' EPDS scores,
univariate and multivariate linear regression analyses were performed to identify
significant correlates of postnatal depressive symptoms in mothers and fathers.
RESULTS: Prevalence of maternal and paternal postnatal depressive symptoms was
15.9% (EPDS>12) and 5.4% (EPDS>10), respectively. There was a moderate positive
correlation between mothers and fathers' EPDS scores (r=.30, p<.001).
Multivariate analyses indicated that parental stress was the strongest predictor
for maternal and paternal postnatal depressive symptoms. Pregnancy- and birth
related distress and partners' EPDS scores were also associated with depressive
symptoms in both parents. Relationship satisfaction was only inversely related
with fathers' EPDS scores, while mothers' EPDS scores were additionally
associated with critical life events, history of childhood violence, and birth
related physiological complaints. LIMITATIONS: Since information about
participation rates (those who declined) is unavailable, we cannot rule out
sampling bias. Further, some psychosocial factors were assessed using single
items. CONCLUSION: Since co-occurrence of depressive symptoms in mothers and
fathers is high, developing and evaluating postnatal depression interventions for
couples may be beneficial. Interventions to reduce parenting stress may help
prevent parental postnatal depression.
PMID- 26546771
TI - Inclusion/exclusion criteria in placebo-controlled studies of vortioxetine:
Comparison to other antidepressants and implications for product labeling.
AB - BACKGROUND: We recently conducted a comprehensive review of the psychiatric
inclusion/exclusion criteria used in 170 placebo-controlled antidepressant
efficacy trials (AETs) published during the past 20 years and found that the
criteria of more recent studies were significantly more restrictive than prior
studies. Vortioxetine is the most recently approved medication for the treatment
of major depressive disorder (MDD). We compared the inclusion/exclusion criteria
of the vortioxetine studies to the criteria used in other AETs, and discuss the
broader issue of the generalizability of AETs and the implications this might
have for the labeling of antidepressants receiving FDA approval. METHODS: We
conducted a comprehensive literature review of placebo-controlled AETs published
from January, 1995 through December, 2014. We identified 170 AETs published
during this 20 year period and compared the inclusion/exclusion criteria used in
the 12 studies of vortioxetine to those used in the nonvortioxetine studies. A
second analysis compared vortioxetine to the 3 antidepressants most recently
approved prior to vortioxetine (desvenlafaxine, levomilnacipran extended release,
vilazodone). RESULTS: Compared to the nonvortioxetine AETs, the vortioxetine
studies significantly more often excluded patients with any comorbid Axis I
disorder (p<.001) and more often required the current depressive episode to be
longer than the DSM minimum symptom duration requirement of 2 weeks (p<.01). The
cutoff on the Montgomery Asberg Depression Rating Scale required for inclusion in
the vortioxetine studies was higher than the cutoff used in the other AETs
(p<.01). LIMITATIONS: A limitation of the present analysis is that it was based
on published placebo-controlled studies of antidepressants. CONCLUSION: The
inclusion/exclusion criteria in the studies of vortioxetine were more restrictive
than the criteria used in other AETs. Inconsistent with FDA guidelines on the
labeling of medications, the label of vortioxetine does not include a description
of the limits to the group of patients with MDD for whom the medication has been
shown to be effective.
PMID- 26546772
TI - Suicide and the Internet: Changes in the accessibility of suicide-related
information between 2007 and 2014.
AB - BACKGROUND: Following the ongoing concerns about cyber-suicide, we investigate
changes between 2007 and 2014 in material likely to be accessed by suicidal
individuals searching for methods of suicide. METHODS: 12 search terms relating
to suicide methods were applied to four search engines and the top ten hits from
each were categorised and analysed for content. The frequency of each category of
site across all searches, using particular search terms and engines, was counted.
RESULTS: Key changes: growth of blogs and discussion forums (from 3% of hits,
2007 to 18.5% of hits, 2014); increase in hits linking to general information
sites - especially factual sites that detail and evaluate suicide methods (from
9%, 2007 to 21.7%, 2014). Hits for dedicated suicide sites increased (from 19% to
23%), while formal help sites were less visible (from 13% to 6.5%). Overall, 54%
of hits contained information about new high-lethality methods. LIMITATIONS: We
did not search for help sites so cannot assess the balance of suicide promoting
versus preventing sites available online. Social media was beyond the scope of
this study. CONCLUSIONS: Working with ISPs and search engines would help optimise
support sites. Better site moderation and implementation of suicide reporting
guidelines should be encouraged.
PMID- 26546773
TI - Emotion dysregulation, psychological inflexibility, and shame as explanatory
factors between neuroticism and depression.
AB - BACKGROUND: The association between neuroticism and depression is well
documented. However, neuroticism is a general risk factor associated with many
forms of psychopathology, such as anxiety, eating, and personality disorders.
Past research has suggested that other factors may mediate the relationship
between neuroticism and symptoms of particular disorders. METHODS: Self-report
questionnaires measuring neuroticism, emotion dysregulation, psychological
inflexibility, shame, and symptoms of depression were administered to 105
inpatient adolescents (aged 12-17). The current study examined three factors
(emotion dysregulation difficulties, psychological inflexibility, and shame) as
concurrent mediators of the neuroticism/depression association. RESULTS:
Neuroticism was significantly associated with depression, as expected.
Neuroticism was also associated with emotion dysregulation and psychological
inflexibility, which, in combination, fully mediated the association between
neuroticism and depression. Shame was not significantly associated with
neuroticism or depression, when controlling for anxiety, externalizing, sex, and
age. Follow-up analyses examined six sub-factors of emotion dysregulation as
multiple mediators of the neuroticism/depression association. Goal directed
behavior, lack of emotion regulation strategies, and impulse control were
significant mediators, controlling for the other three emotion dysregulation sub
factors. LIMITATIONS: The study is limited by the cross sectional design, sample
size, and self-report measurement. CONCLUSIONS: Despite limitations, this study
demonstrated that the link between neuroticism and depression is explained by
both emotion dysregulation and psychological inflexibility and that specific
emotion dysregulation facets may be at play in adolescent depression.
PMID- 26546774
TI - Annual direct health care expenditures and employee absenteeism costs in high
risk, low-income mothers with major depression.
AB - BACKGROUND: To determine the health care and labor productivity costs associated
with major depressive disorder in high-risk, low-income mothers. METHODS: This
study was conducted using the 1996-2011 Medical Expenditure Panel Survey (MEPS).
The MEPS is a nationally-representative database that includes information on
health care utilization and expenditures for the civilian, non-institutionalized
population in the United States. High-risk mothers were between the ages of 18-35
years, and either unmarried, receiving Medicaid, or with incomes less than 300%
of the Federal Poverty Level. Mothers were categorized as being depressed if they
had an ICD-9 diagnosis code of 296 or 311 (N=2310) or not depressed (N=18,221).
Insurer expenditures, out-of-pocket (OOP) expenses, and lost wage earnings were
calculated. RESULTS: After controlling for comorbidities, demographics, region,
and year, high-risk depressed mothers were more likely to incur insurer (0.84 vs.
0.79) and OOP expenses (0.84 vs. 0.81) and to have higher insurer ($4448 vs.
$3072) and OOP expenses ($794 vs. $523). Depression significantly increased the
likelihood of missing work days (OR=1.40; p<0.01). Depression increased overall
direct health care expenditures by $1.89 billion (range=$1.28-$2.60 billion) and
indirect costs by $523 million annually, with a range of $353-$719 million.
CONCLUSIONS: In this high-risk population, the direct and indirect aggregate
costs of depression-related to health care expenditures and lost work
productivity were substantial. These findings establish a quantifiable cost for
policy makers and highlight the need to target this population for prevention and
treatment efforts.
PMID- 26546775
TI - The identification of symptom-based subtypes of depression: A nationally
representative cohort study.
AB - BACKGROUND: In recent years, researchers have used various techniques to
elucidate the heterogeneity in depressive symptoms. This study seeks to resolve
the extent to which variations in depression reflect qualitative differences
between symptom categories and/or quantitative differences in severity. METHODS:
Data were used from the Netherlands Mental Health Survey and Incidence Study-2, a
nationally representative face-to-face survey of the adult general population. In
a subsample of respondents with a lifetime key symptom of depression at baseline
and who participated in the first two waves (n=1388), symptom profiles at
baseline were based on symptoms reported during their worst lifetime depressive
episode. Depressive symptoms and DSM-IV diagnoses were assessed with the
Composite International Diagnostic Interview 3.0. Three latent variable
techniques (latent class analysis, factor analysis, factor mixture modelling)
were used to identify the best subtyping model. RESULTS: A latent class analysis,
adjusted for local dependence between weight change and appetite change,
described the data best and resulted in four distinct depressive subtypes: severe
depression with anxiety (28.0%), moderate depression with anxiety (29.3%),
moderate depression without anxiety (23.6%) and mild depression (19.0%). These
classes showed corresponding clinical correlates at baseline and corresponding
course and outcome indicators at follow-up (i.e., class severity was linked to
lifetime mental disorders at baseline, and service use for mental health problems
and current disability at follow-up). LIMITATIONS: Although the sample was
representative of the population on most parameters, the findings are not
generalisable to the most severely affected depressed patients. CONCLUSIONS:
Depression could best be described in terms of both qualitative differences
between symptom categories and quantitative differences in severity. In
particular anxiety was a distinguishing feature within moderate depression. This
study stresses the central position anxiety occupies in the concept of
depression.
PMID- 26546776
TI - Cytokines and their association with insulin resistance in obese pregnant women
with different levels of physical activity.
AB - BACKGROUND: Cytokines contribute to insulin resistance in pregnancy, but the role
of distinct cytokines is not fully understood. OBJECTIVES: To study whether
cytokines produced by tissues other than skeletal muscle are associated with
glucose and insulin metabolism activity in overweight and obese women and to
study whether these associations can be modified by physical activity. METHODS: A
longitudinal study with 44 overweight and obese pregnant women was conducted.
Changes in cytokines levels (IFN-gamma, IP-10, IL1-alpha, MIP1-alpha, adiponectin
and leptin) and ICAM1 from early (15wk) to late (32wk) pregnancy were determined.
Physical activity was measured objectively with accelerometers. In linear
regression models, the associations between (changes in) cytokine levels and
fasting glucose, fasting insulin and HOMA-IR were studied. RESULTS: Both IFN
gamma and IP-10 levels increased from early to late pregnancy, and adiponectin
levels decreased. IFN-gamma and IP-10 were positively associated with fasting
glucose, whereas IL-1alpha, ICAM1 and adiponectin were inversely associated with
insulin and insulin resistance. The association of IL-1alpha with insulin and
insulin resistance was only found in women with low levels of physical activity.
CONCLUSIONS: IFN-gamma, IP-10, IL1-alpha, ICAM1, and adiponectin may play a role
in glucose and insulin metabolism in pregnancy. The relationship of IL-1alpha
with insulin and insulin resistance might be moderated by levels of physical
activity. Further studies are required to confirm the role of these cytokines in
glucose and insulin metabolism in obese pregnant women.
PMID- 26546777
TI - IL28B gene polymorphisms and Th1/Th2 cytokine levels might be associated with
HTLV-associated arthropathy.
AB - The present study is the first investigation of the association between single
nucleotide polymorphisms (SNPs - rs8099917, rs12979860 and rs8103142) of the
IL28B gene and the development of human T-lymphotropic virus (HTLV)-associated
arthropathy (HAA). Individuals with HAA exhibited low interleukin (IL) 6 (p<0.05)
and high IL-10 (p<0.05) levels compared with asymptomatic patients. TNF
alpha/CD4(+) T cell count, TNF-alpha/CD8(+) T cell count and IFN-gamma/proviral
load positively correlated in asymptomatic patients. The allelic and genotypic
frequencies did not differ between patients with HAA and asymptomatic patients.
Seven haplotypes were detected in the investigated population, with haplotype CCT
(p<0.05) being the most frequent among the HTLV-infected individuals, while
haplotype TTG (p<0.05) was detected in the group with HAA only. Compared with
asymptomatic patients, individuals with HAA and genotype TT (rs8099917) exhibited
larger numbers of CD8(+) T cells (p<0.05) and higher proviral load levels
(p<0.05). Those patients with HAA and genotypes CC (rs12979860) and TT
(rs8103142) exhibited high TNF-beta (p<0.05) and IFN-gamma (p<0.05) levels. Those
patients with HAA and genotype CT/TT (rs12979860) exhibited high IL-10 levels
(p<0.05). These results suggest that haplotypes CCT and TTG might be associated
with susceptibility to HTLV infection and progression to HAA, respectively.
Genotype TT (rs8099917) might be a risk factor for elevation of the proviral load
and CD8(+) T cell count. In addition, genotypes CC (rs12979860) and TT
(rs8103142) seem to be associated with increased TNF-beta and IFN-gamma levels.
PMID- 26546778
TI - Cigarette smoke-mediated oxidative stress induces apoptosis via the MAPKs/STAT1
pathway in mouse lung fibroblasts.
AB - Cigarette smoking is the major aetiologic factor in chronic obstructive pulmonary
disease (COPD). Lung fibroblasts are key participants in the maintenance of the
extracellular matrix within the lung parenchyma. However, it still remains
unknown how pulmonary fibroblasts are affected by cigarette smoking. Therefore,
in this study, we isolated lung fibroblasts from mice and determined the
apoptotic mechanism in response to cigarette smoke extract (CSE). When the lung
fibroblasts were exposed to CSE, the generation of ROS was increased as shown by
H2-DCFDA staining and Flow Cytometry. By immunocytochemistry, Ki67 expressing
cells gradually decreased in a dose-dependent manner. The nitrite concentration
in the supernatants increased, while the SOD activity and GSH recycling decreased
in response to CSE. CSE increased the mRNA levels of TNF-alpha and COX-2, and the
secretory proteins TNF-alpha and IL-6 increased as measured by ELISA. We next
determined whether this inflammatory process is associated with the Bax/Bcl-2
apoptosis pathway. The Bax/Bcl-2 mRNA ratio increased, and cleaved caspase-3
protein was activated in the lung fibroblasts treated with CSE. Moreover, CSE
induced the phosphorylation of STAT1 at Tyr701/Ser727 and increased the
activation of ERK1/2, p38, and JNK in the MAPK pathway. Taken together, these
data suggest that CSE-mediated inflammation alters the redox regulation via the
MAPK-STAT1 pathway, leading to intrinsic apoptosis of lung fibroblasts.
PMID- 26546779
TI - Toxic effects of male Perna viridis gonad exposed to BaP, DDT and their mixture:
A metabolomic and proteomic study of the underlying mechanism.
AB - Benzo(a)pyrene and dichlorodiphenyltrichloroethane are typical persistent organic
pollutants, and also the widespread environmental estrogens with known toxicity
towards green mussels Perna viridis. In this study, the toxicological effects of
BaP and DDT and their mixture were assessed in green mussel gonads using
proteomic and metabolomic approaches. Metabolomics by NMR spectroscopy revealed
that BaP did not show obvious metabolite changes in the gonad of male green
mussel. DDT mainly caused some disturbance of osmotic regulation and energy
metabolism by changing BCAAs, alanine, threonine, arginine, etc., unknown
metabolite (3.53 ppm), glycine, homarine and ATP at different levels. However,
the mixture of BaP and DDT mainly caused some disturbance in osmotic regulation
and energy metabolism by differentially altering branched chain amino acids,
glutamate, alanine, arginine, unknown metabolite (3.53 ppm), glycine, 4
aminobutyrate, dimethylglycine, homarine and ATP. The results suggest that DDT
alone may cause most of metabolites changes in the mixture exposed male mussel
gonad, and the results also show that the male P. viridis gonad was more
sensitive to DDT than BaP exposures. Proteomic study showed that BaP, DDT and
their mixture may have different modes of action. Proteomic responses revealed
that BaP induced signal transduction, oxidative stress, spermatogenesis, etc. in
the male green mussel gonad; whereas DDT exposure altered proteins that were
associated with signal transduction, oxidative stress, cytoskeleton and cell
structure, cellular organization, energy metabolism, etc. However, the mixture of
BaP and DDT affected proteins related to cytoskeleton and cell structure,
oxidative stress, cellular organization, etc. This research demonstrated that
metabolomic and proteomic approaches could better elucidate the underlying
mechanism of environmental pollutants gonad toxicity.
PMID- 26546780
TI - A novel cell-based assay for the evaluation of immune- and inflammatory-related
gene expression as biomarkers for the risk assessment of drug-induced liver
injury.
AB - Drug-induced liver injury (DILI) is a major problem in drug development. Although
some in vitro methods assessing DILI risk that utilize hepatic cell death or
cellular stress as markers have been developed, the predictive ability of these
tests is low. In this study, we sought to develop a novel cell-based assay for
the risk assessment of DILI that considers drug metabolism as well as immune- and
inflammatory-related gene expression. To accomplish this goal, human hepatoma
HepaRG or HepG2 cells were treated with 96 drugs with different clinical DILI
risks. The conditioned media were subsequently used to treat human promyelocytic
leukemia HL-60 cells, and the mRNA expression levels of immune- and inflammatory
related genes in the cells were measured. An area under the receiver operating
characteristic curve (ROC-AUC) was calculated to evaluate the predictive
performance of the mRNA levels as markers to discriminate DILI risk. The
expression of interleukin-8 (IL-8) in HL-60 cells treated with conditioned media
from HepaRG cells (HL-60/HepaRG) exhibited the highest ROC-AUC value of 0.758,
followed by the expression of IL-1beta in HL-60/HepaRG (ROC-AUC: 0.726). Notably,
the ROC-AUC values of these genes were higher in HL-60/HepaRG than in HL
60/HepG2, which suggests that HL-60/HepaRG has a higher potential for detecting
the metabolic activation of drugs. An integrated score calculated from the levels
of S100 calcium-binding protein A9 (S100A9), IL-1beta, and IL-8 more precisely
determined the DILI risks than individual gene expression did. The developed cell
based assay that utilizes immune-related gene expression would aid in the
assessment of potential DILI risks.
PMID- 26546781
TI - Characterization and function of the 3-hydroxy-3-methylglutaryl-CoA reductase
gene in Alisma orientale (Sam.) Juz. and its relationship with protostane
triterpene production.
AB - Protostane triterpenes from Alisma orientale (Sam.) Juz. have exhibited distinct
pharmacological properties that are currently in high demand. 3-Hydroxy-3
methylglutaryl-CoA reductase (HMGR) is considered the first rate-limiting enzyme
in isoprenoid biosynthesis via the mevalonic acid (MVA) pathway. In this study,
we cloned a full-length cDNA of A. orientale (Sam.) Juz. HMGR (AoHMGR; 2252 bp;
GenBank accession no. KP342318) with an open reading frame (ORF) of 1809 bp. The
deduced protein sequence contained four conserved motifs and exhibited homology
with HMGR proteins from other plants. We next expressed the cloned gene in
Escherichia coli BL21 (Rosetta) cells, collected the expressed products, and
incubated those with 3-hydroxy-3-methylglutaryl-CoA (HMG-CoA) to determine
enzymatic activity. GC/MS analysis revealed that the products were able to
catalyze HMG-CoA and NADPH to form MVA. The purified protein was used to immunize
New Zealand rabbits and prepare an antibody against AoHMGR. Western blot results
demonstrated that the antibodies specifically recognized AoHMGR protein in A.
orientale (Sam.) Juz. We then established a rapid test to detect AoHMGR protein
in the plant, and found the tuber to be the most AoHMGR protein-abundant organ in
A. orientale (Sam.) Juz. Furthermore, we detected the expression level of AoHMGR
and contents of the main active component, Alisol B 23-acetate, at different
growth phases of A. orientale (Sam.) Juz. A significant positive correlation was
identified, indicating that AoHMGR represents a key enzyme in the synthetic
pathway of protostane triterpenes.
PMID- 26546782
TI - Mycorrhiza and PGPB modulate maize biomass, nutrient uptake and metabolic
pathways in maize grown in mining-impacted soil.
AB - Abiotic stress factors including poor nutrient content and heavy metal
contamination in soil, can limit plant growth and productivity. The main goal of
our study was to evaluate element uptake, biomass and metabolic responses in
maize roots growing in mining-impacted soil with the combination of arbuscular
mycorrhiza (My) and plant growth promoting bacteria (PGPB/B). Maize plants
subjected to PGPB, My and combined treatments showed a significant increase in
biomass and uptake of some elements in shoot and root. Metabolite analysis
identified 110 compounds that were affected >=2-fold compared to control, with 69
metabolites upregulated in the My group, 53 metabolites in the My+B group and 47
metabolites in B group. Pathway analysis showed that impact on glyoxylate and
dicarboxylate metabolism was common between My and My+B groups, whereas PGPB
group showed a unique effect on fatty acid biosynthesis with significant increase
in palmitic acid and stearic acid. Differential regulation of some metabolites by
mycorrhizal treatment correlated with root biomass while PGPB regulated
metabolites correlated with biomass increase in shoot. Overall, the combination
of rhizospheric microorganisms used in our study significantly increased maize
nutrient uptake and growth relative to control. The changes in metabolic pathways
identified during the symbiotic interaction will improve our understanding of
mechanisms involved in rhizospheric interactions that are responsible for
increased growth and nutrient uptake in crop plants.
PMID- 26546784
TI - Stress influences environmental donation behavior in men.
AB - Stress has been found to have both positive and negative effects on prosocial
behavior, suggesting the involvement of moderating factors such as context and
underlying motives. In the present study, we investigated the conditions under
which acute stress leads to an increase vs. decrease in environmental donation
behavior as an indicator of prosocial behavior. In particular, we examined
whether the effects of stress depended on preexisting pro-environmental
orientation and stage of the donation decision (whether or not to donate vs. the
amount to be donated). Male participants with either high (N=40) or low (N=39)
pro-environmental orientation were randomly assigned to a social stress test or a
control condition. Salivary cortisol was assessed repeatedly before and after
stress induction. At the end of the experiment, all subjects were presented with
an opportunity to donate a portion of their monetary compensation to a climate
protection foundation. We found that stress significantly increased donation
frequency, but only in subjects with low pro-environmental orientation.
Congruously, their decision to donate was positively associated with cortisol
response to the stress test and the emotion regulation strategy mood repair, as
well as accompanied by an increase in subjective calmness. In contrast, among the
participants who decided to donate, stress significantly reduced the donated
amount of money, regardless of pro-environmental orientation. In conclusion, our
findings suggest that acute stress might generally activate more self-serving
motivations, such as making oneself feel better and securing one's own material
interests. Importantly, however, a strong pro-environmental orientation partially
prevented these effects.
PMID- 26546785
TI - The predictive value of cortisol levels on 2-year course of depression in older
persons.
AB - BACKGROUND: Depressive disorders in older persons are associated with an altered
functioning of the Hypothalamic-Pituitary-Adrenal (HPA)-axis. In adults, a lower
cortisol awakening response is a predictor of a worse prognosis of depression,
but to date longitudinal studies in older depressed persons are lacking. We
hypothesised that a lower cortisol awakening response is also associated with
poorer course of depression in later life. METHODS: Data were derived from the
Netherlands Study of Depression in Older Persons (NESDO). Participants with a 6
month Major Depressive Disorder (MDD), who provided 2-year follow-up data, were
included (n=246). Logistic regression analyses were conducted to examine the
association between diurnal cortisol levels and depressive status at 2-year
follow-up. RESULTS: Both lower (OR=3.54; 95% CI=1.59-7.89) and higher evening
cortisol levels (OR=2.41; 95% CI=1.09-5.35) at baseline were associated with
poorer prognosis of MDD. Low dexamethasone suppression was associated with poorer
course (OR=2.37; 95% CI=1.09-5.16), but failed to reach significance after
additional adjustment for severity and chronicity of MDD (OR=1.98; 95% CI=0.89
4.42). Cortisol awakening response was not significantly associated with course.
Since smoking has a great impact on cortisol levels, we conducted post-hoc
analyses including non-smokers only, indicating that lower evening cortisol
levels (OR=2.83, 95% CI=1.31-6.13) predicted unfavourable course. CONCLUSIONS:
This first longitudinal study on cortisol and prognosis of depression in older
persons demonstrates that in particular lower evening cortisol levels may predict
poorer course in MDD. This finding may have clinical implications. Evening
cortisol values may serve as a marker to identify persons at risk for an
unfavourable course.
PMID- 26546783
TI - The role of cytokines in the pathophysiology of suicidal behavior.
AB - OBJECTIVE: Immune dysregulation has been implicated in depression and other
psychiatric disorders. What is less clear is how immune dysregulation can affect
risk of suicidal behavior. We reviewed the scientific literature concerning
cytokines related to suicidal ideation, suicidal behavior and suicide, and
surveyed clinical and neurobiological factors associated with cytokine levels
that may modulate effects of inflammation on suicide risk. METHODS: We searched
PubMed, Embase, Scopus and PsycINFO for relevant studies published from 1980
through February, 2015. Papers were included if they were written in English and
focused on cytokine measurements in patients with suicidal behaviors. RESULTS:
The literature search yielded 22 studies concerning cytokines and suicidal
ideation, suicide attempts or suicide completion. The most consistent finding was
elevated interleukin (IL)-6, found in 8 out of 14 studies, in CSF, blood, and
postmortem brain. In one study, IL-6 in CSF was also found to be higher in
violent than nonviolent attempters and to correlate with future suicide
completion. Low plasma IL-2 was observed in 2 studies of suicide attempters,
while divergent results were seen for tumor necrosis factor (TNF)-alpha,
interferon (IFN)-gamma, transforming growth factor (TGF)-beta, IL-4, and soluble
Il-2 receptors. CONCLUSIONS: Given the complexity suggested by the heterogenous
cytokine findings, putative mediators and moderators of inflammation on suicidal
behavior merit further study. Elevated IL-6 was the most robust cytokine finding,
associated with suicidal ideation and both nonfatal suicide attempts and
suicides. Future studies should evaluate the predictive value of high IL-6,
consider how this may alter brain function to impact suicidal behavior, and
explore the potential beneficial effects of reducing IL-6 on suicide risk.
PMID- 26546786
TI - The influence of Rho-kinase inhibition on acetic acid-induced detrusor
overactivity.
AB - AIMS: Accumulating evidence has shown that Rho-kinase (ROCK) is involved in the
regulation of bladder contraction. Our objective was to examine whether the ROCK
inhibitor, GSK 269962, could prevent acetic acid (AA)-induced detrusor
overactivity and to assess its influence on urine production (UP) and mean
arterial pressure (MAP). METHODS: The bladder was catheterized from the external
urethral orifice. 0.25 % (AA) solution was infused into the bladder for 5 min. In
the same session a catheter was inserted into the apex of the bladder dome. In
order to measure the blood pressure, the carotid artery was cannulated. Three
days after the intravesical instillation of AA, the ROCK-GSK 269962 inhibitor was
administered in a single dose of 10 mg/kg and a cystometry was carried out, along
with a 24 hr measurement of UP and MAP. RESULTS: GSK 269962 reversed the changes
induced by AA causing a drop in basal pressure, threshold pressure, micturition
voiding pressure, bladder contraction duration, relaxation time, detrusor
overactivity index, amplitude, and frequency of nonvoiding contractions while an
increase in voided volume, post-void residual, volume threshold, voiding
efficiency, intercontraction interval, bladder compliance, and volume threshold
to elicit nonvoiding contractions. ROCK inhibition did not show any significant
changes in UP and MAP. DISCUSSION: The results obtained indicate that ROCK
inhibition may ameliorate AA-induced bladder overactivity. CONCLUSION: ROCK
inhibitors appear to represent a potentially attractive pharmacological option
for the treatment of lower urinary tract disorders associated with changes in
detrusor contractility. Neurourol. Urodynam. 36:263-270, 2017. (c) 2015 Wiley
Periodicals, Inc.
PMID- 26546787
TI - Alkali-solubilized organic matter from sludge and its degradability in the
anaerobic process.
AB - This study investigates alkali-solubilized dissolved organic matter (DOM) and its
fate in the anaerobic treatment process. DOM was fractionated into high molecular
weight (HMW) protein-like substances (PL), HMW saccharide-like substances (SL),
low molecular weight (LMW) PL, LMW SL, and humic acid-like substances (HAL). The
results indicate alkali-solubilized DOM is primarily composed of LMW PL, HMW SL,
and HAL. Alkaline pretreatment improved the overall anaerobic degradability of
DOM in sludge (removal efficiency of total DOM increased by 28.4%). However,
certain DOM fractions (mainly HMW PL and HAL) exhibited low degradability during
anaerobic treatment, primarily caused by the low degradability of aromatic groups
(such as aromatic amine groups from tryptophan-like PL). Alkaline pretreatment
also resulted in an increase of residual DOM, which is mainly composed of HAL
(52.9%) and HMW SL (49.9%).
PMID- 26546788
TI - Long term effect of alkali types on waste activated sludge hydrolytic
acidification and microbial community at low temperature.
AB - The effect of four alkali reagents (NaOH, KOH, Ca(OH)2, mixed alkali) on waste
activated sludge (WAS) hydrolytic acidification and microbial community was
studied in semi-continuous fermentation systems at low temperature (15 degrees C)
over long term operational time (65day). The results showed that protein and
polysaccharide of NaOH (124.26, 11.92) was similar to that of KOH (109.53,
11.30), both were higher than Ca(OH)2 (70.66, 3.74) and mixed alkali (90.66,
8.71). The short chain fatty acids (SCFAs) of NaOH (231.62) was higher than KOH
(220.62mg chemical oxygen demand (COD)/g VSS). Although Ca(OH)2 system had strong
acidification capacity, the shortage of SCFAs occurred due to the low activity of
hydrolase. Illumina MiSeq sequencing revealed that Tissierella and Erysipelothrix
were enriched in the NaOH and Ca(OH)2 systems, where Peptostreptococcaceae
incertae_sedis was enriched in the NaOH and KOH systems, less Anaerolinea was
involved in Ca(OH)2 condition.
PMID- 26546789
TI - Experiences with food insecurity and risky sex among low-income people living
with HIV/AIDS in a resource-rich setting.
AB - BACKGROUND: Forty-nine million individuals are food insecure in the United
States, where food insecurity and HIV/AIDS are prevalent among the urban poor.
Food insecurity is associated with risky sexual behaviours among people living
with HIV/AIDS (PLHIV). No qualitative studies, however, have investigated the
mechanisms underlying this relationship either in a resource-rich setting or
among populations that include men who have sex with men (MSM). METHODS: Semi
structured in-depth interviews were conducted with 34 low-income PLHIV receiving
food assistance in the San Francisco Bay Area. The interviews explored
experiences with food insecurity and perceived associations with sexual risk
behaviours. Interviews were conducted in English, audio-recorded and transcribed
verbatim. Transcripts were coded and analyzed according to content analysis
methods using an inductive-deductive approach. RESULTS: Food insecurity was
reported to be a strong contributor to risky sexual practices among MSM and
female participants. Individuals described engaging in transactional sex for food
or money to buy food, often during times of destitution. Participants also
explained how food insecurity could lead to condomless sex despite knowledge of
and desire to use safe sexual practices, largely because the need to obtain food
in the short term was prioritized over the desire to use barrier protection.
CONCLUSIONS: Our data extend previous research by demonstrating that food
insecurity contributes to transactional and unprotected sex among urban poor
individuals in a resource-rich setting, including among MSM. These findings
underscore the importance of public health and social intervention efforts
focused on structural inequalities.
PMID- 26546790
TI - Anticipatory and consummatory effects of (hedonic) chocolate intake are
associated with increased circulating levels of the orexigenic peptide ghrelin
and endocannabinoids in obese adults.
AB - BACKGROUND: Hedonic hunger refers to consumption of food just for pleasure and
not to maintain energy homeostasis. Recently, consumption of food for pleasure
was reported to be associated with increased circulating levels of both the
orexigenic peptide ghrelin and the endocannabinoid 2-arachidonoyl-glycerol (2-AG)
in normal-weight subjects. To date, the effects of hedonic hunger, and in
particular of chocolate craving, on these mediators in obese subjects are still
unknown. METHODS: To explore the role of some gastrointestinal orexigenic and
anorexigenic peptides and endocannabinoids (and some related congeners) in
chocolate consumption, we measured changes in circulating levels of ghrelin,
glucagon-like peptide 1 (GLP-1), peptide YY (PYY), anandamide (AEA), 2-AG,
palmitoylethanolamide (PEA), and oleoylethanolamide (OEA) in 10 satiated severely
obese subjects after consumption of chocolate and, on a separate day, of a non
palatable isocaloric food with the same bromatologic composition. Evaluation of
hunger and satiety was also performed by visual analogic scale. RESULTS: The
anticipatory phase and the consumption of food for pleasure were associated with
increased circulating levels of ghrelin, AEA, 2-AG, and OEA. In contrast, the
levels of GLP-1, PYY, and PEA did not differ before and after the
exposure/ingestion of either chocolate or non-palatable foods. Hunger and satiety
were higher and lower, respectively, in the hedonic session than in the non
palatable one. CONCLUSIONS: When motivation to eat is generated by exposure to,
and consumption of, chocolate a peripheral activation of specific endogenous
rewarding chemical signals, including ghrelin, AEA, and 2-AG, is observed in
obese subjects. Although preliminary, these findings predict the effectiveness of
ghrelin and endocannabinoid antagonists in the treatment of obesity.
PMID- 26546791
TI - Unusual Chlorination Patterns of Three IPR Isomers of C88 Fullerene in C88
(7)Cl12/24 , C88 (17)Cl22 , and C88 (33)Cl12/14.
AB - High-temperature chlorination of three IPR isomers of fullerene C88 , C2 -C88
(7), Cs -C88 (17), and C2 -C88 (33), resulted in the isolation and X-ray
structural characterization of C88 (7)Cl12 , C88 (7)Cl24 , C88 (17)Cl22 , and C88
(33)Cl12/14 . Chlorination patterns of C88 (7) and C88 (33) isomers are unusual
in that one or more pentagons remain free from chlorination while some other
pentagons are occupied by two or three Cl atoms. The addition patterns of the
isolated chlorides are discussed in terms of the distribution of twelve pentagons
on the carbon cages and the formation of stabilizing isolated C=C bonds and
benzenoid rings.
PMID- 26546792
TI - Memory for action: from cognitive models to clinical evaluation.
PMID- 26546793
TI - Social interaction is associated with changes in infants' motor activity.
AB - BACKGROUND: In developmental research, infants are commonly assumed to be early
stakeholders in interactions with their caregivers. The tools that infants can
use to interact with others vary from visual contact to smiling or vocalizing,
and also include motor activity. However, surprisingly few studies have explored
how the nature and context of social interactions affect infants' engagement in
motor activity. METHODS: We investigated the kinematic properties of foot and
face movements produced by 11 infants aged between 5 and 9 months during six
contrasting dyadic episodes (i.e. passive presence of a stranger or the infant's
mother, weak or intense interaction with the stranger/mother as she sings a
nursery play song). RESULTS: The infants' face and foot motor activity was
significantly reduced during the interactive episodes, compared with the episodes
without any interaction, in both the mother and stranger conditions. Furthermore,
the level of their motor activity was significantly lower in the stranger
condition than in the mother one for some parameters. CONCLUSION: These results
are in line with those reported by previous studies and confirm the relevance of
using motor activity to delineate the early forms of interactive episodes in
infants.
PMID- 26546794
TI - [Dignity and Ethics of Care in the Neurodegenerative Diseases].
AB - In the context of neurodegenerative diseases the doctor is called more than in
other areas to respond not only to the simple question of health, but also to the
need of assistance, which implicates the necessity of relationship, too. The
scheme of symptom diagnosis treatment healing is to be replaced in these cases
with a treatment based on an open system of uncertain length and results. It is a
model called "medicine of incurable", which aims to combat the discomfort of the
disease rather than the fight against the disease. In this perspective, the
commitment to ensure a quality of life to the sick in itself means attention to
his dignity, which is expressed in acting towards him treating him always as a
person, that is protagonist of his life, and then to recognize his right to be
assisted in physical, psychological and spiritual dimensions. In this model it
becomes particularly important to converse with the patient, even if affected by
cognitive pathologies, as well as to stimulate hope, with the belief that human
being, if properly supported, is still able, even in extremely critical
situations, to make out of his personal experience a chance to grow, thanks to
the construction of new balances, however weak they may be.
PMID- 26546795
TI - [Neuroethics and Human Vulnerability in Philosophical Perspective].
AB - This article tries to assess the potentials and limits of neuroethics. It argues
that neuroscience and ethics should collaborate each other with mutual respect
and preservation of their respective identities, scientific in the first case and
philosophical in the second one ( neuroethics as cooperation). The text develops
also a criticism in front of any attempt to replace the philosophical ethics by
the neurosciences ( neuroethics as substitution). Consequently, the most
appropriate ontological and anthropological foundations are explored to develop a
cooperative neuroethics. These foundations refer to the Aristotelian hylomorphic
conception of the substances. On such foundations it is possible to develop a
collaborative neuroethics which includes two aspects: on the one hand, we have an
ethics of neuroscience and, on the other one, a neuroscience of ethics. The first
one shows us how to conduct neuroscience while preserving human dignity. The
second one teaches us about the neurobiological basis of our moral agency. These
bases enable our moral behavior without determining it. By studying them our
vulnerability as moral agents emerges as evidence. This vulnerability, which is
rooted in the very human nature, must be, as it is argued along the last pages of
the text, recognized as well as mitigated.
PMID- 26546796
TI - [Neuroethics: Ethical Endowments of Human Brain].
AB - The neurobiological processes underlying moral judgement have been the focus of
Neuroethics. Neurosciences demonstrate which cerebral areas are active and
inactive whilst people decide how to act when facing a moral dilemma; in this way
we know the correlation between determined cerebral areas and our human acts. We
can explain how the "ethical endowments" of each person, common to all human
beings, is "embedded" in the dynamic of cerebral flows. Of central interest is
whether emotions play a causal role in moral judgement, and, in parallel, how
emotion related areas of the brain contribute to moral judgement. The outcome of
man's natural inclinations is on one hand linked to instinctive systems of animal
survival and to basic emotions, and on the other, to the life of each individual
human uninhibited by automatism of the biological laws, because he is governed by
the laws of freedom. The capacity to formulate an ethical judgement is an innate
asset of the human mind.
PMID- 26546797
TI - [Regulation (EU) No 536/2014 on Clinical Trials and Vulnerable Groups].
AB - A complete review of the normative established for clinical trials in vulnerable
patient is performed. To do that, the basis is the last European norm, that is,
the Regulation (EU) No 536/2014 of the European Parliament and of the Council of
16 April 2014 on clinical trials on medicinal products for human use, and
repealing Directive 2001/20/EC (Text with EEA relevance). It is checked all
related to vulnerable patients from the previous European norm. Directive
2001/20/EC of the European Parliament and of the Council of 4 April 2001 and the
corresponding transpositions into Spanish law by Royal Decree 223/2004 , of
February 6, 2004 , whereby clinical drug trials and Law 29/2006 of 26 July, on
guarantees and regulates use rational use of medicines and health products.
PMID- 26546798
TI - [Twenty Five Years of Cuadernos de Bioetica (1990-2015): Present and Future].
AB - In this article a brief history of the journal "Cuadernos de Bioetica" is made, a
general analysis of the published works in the diverse journal sections is
realized. The last changes in it over recent years are also indicated. The
article ends with some considerations on the recent history of the magazine and
stating some of the lines of improvement that has raised the current editorial
board for the next years.
PMID- 26546799
TI - Mapping a Major Gene for Resistance to Rift Valley Fever Virus in Laboratory
Rats.
AB - The Rift Valley Fever virus (RVFV) presents an epidemic and epizootic threat in
sub-Saharan Africa, Egypt, and the Arabian Peninsula, and has furthermore
recently gained attention as a potential weapon of bioterrorism due to its
ability to infect both livestock and humans. Inbred rat strains show similar
characteristic responses to the disease as humans and livestock, making them a
suitable model species. Previous studies had indicated differences in
susceptibility to RVFV hepatic disease among various rat strains, including a
higher susceptibility of Wistar-Furth (WF) compared to a more resistant Lewis
(LEW) strain. Further study revealed that this resistance trait exhibits the
pattern of a major dominant gene inherited in Mendelian fashion. A genome scan of
a congenic WF.LEW strain, created from the susceptible WF and resistant LEW
strains and itself resistant to infection with RVFV, revealed 2 potential regions
for the location of the gene, 1 on chromosome 3 and the other on chromosome 9.
Through backcrossing of WF.LEW rats to WF rats, genotyping offspring using SNPs
and microsatellites, and viral challenges of 3 N1 litters, we have mapped the
gene to the distal end of chromosome 3.
PMID- 26546800
TI - RISKS, REASONS AND RIGHTS: THE EUROPEAN CONVENTION ON HUMAN RIGHTS AND ENGLISH
ABORTION LAW.
AB - Although there is no right to abort in English law but rather abortion is a
crime, the lawful grounds for which are instantiated in the Abortion Act 1967 (as
amended by the Human Fertilisation and Embryology Act 1990), the regulation of
abortion is sometimes perceived as being fairly 'liberal'. Accordingly, the idea
that aspects of English law could be criticised under the European Convention on
Human Rights, with which the UK must comply following the Human Rights Act 1998,
may seem unlikely. Indeed, English law is compatible with the consensus amongst
contracting states that abortion should be available on maternal health grounds.
However, analysis of the UK's negative obligations under Article 8 shows that
section 1(1)(a) of the Act is problematic as it operates in the first trimester.
Further, given the European Court of Human Rights' emphasis on the reduced margin
of appreciation once a state has legalised abortion to some degree and its
jurisprudence relating to a state's positive obligations, the analysis shows
that, while English law may not be problematic in relation to the lack of
guidelines relating to the lawful grounds for abortion, it may well be in
relation to the lack of a formal system for the review of any two doctors'
decision not to grant a termination. Notwithstanding the morally serious nature
of the decision to abort, the analysis overall raises questions about the need
for at least some degree of abortion law reform, particularly in relation to the
first trimester, towards a more autonomy-focused, though time-limited, rights
based approach.
PMID- 26546802
TI - Ultrasonographic vascular mechanics to assess arterial stiffness: a review.
AB - In recent years, the role of arterial stiffness in the development of
cardiovascular diseases has been explored more extensively. Local arterial
stiffness may be gauged via ultrasound, measuring pulse transit time relative to
changing vessel diameters and distending pressures. Recently, direct vessel-wall
tracking systems have been devised based on new ultrasonographic methodologies,
such as tissue Doppler imaging and speckle-tracking analysis--vascular mechanics.
These advances have been evaluated in varying arterial distributions, are proved
surrogates of pulse wave velocity, and are ascending in clinical importance. In
the course of this review, we describe fundamental concepts and methodologies
involved in ultrasound assessment of vascular mechanics. We also present relevant
clinical studies and discuss the potential clinical utility of such diagnostic
pursuits.
PMID- 26546801
TI - Acetyltransferase p300 collaborates with chromodomain helicase DNA-binding
protein 4 (CHD4) to facilitate DNA double-strand break repair.
AB - Chromatin remodelling is critical for repairing DNA damage and maintaining
genomic integrity. Previous studies have reported that histone acetyltransferase
p300 and ATP-dependent chromatin remodeler chromodomain helicase DNA-binding
protein 4 (CHD4) functions, respectively, in DNA double-strand breaks (DSBs)
repair. But the physiological significance of their interaction remains elusive.
Here, we showed that p300 and CHD4 were both recruited to the sites of DSBs.
Their ablation led to impaired DSBs repair and sensitised cells to laser and the
anti-cancer drug, etoposide. Using DR-GFP and EJ5-GFP reporter systems, we found
that knockdown of p300 or CHD4 impaired the homologous recombination (HR) repair
but no the non-homologous end joining (NHEJ) repair. Furthermore, p300 or CHD4
knockdown respectively suppressed the recruitment of replication protein A (RPA),
a key protein for HR, to the DSB sites. In addition, immunofluorescence results
showed that knockdown of p300 reduced the recruitment of CHD4 at DSB sites. In
turn, CHD4 knockdown also decreased p300 assembly. Moreover, immunoprecipitation
and purified protein pull down assay revealed that p300 physically interacted
with CHD4 at DNA damage sites, and this interaction was dependent on the
chromodomain and ATPase/helicase domain of CHD4 and the CH2, Bd and HAT domains
of p300. These results indicate that p300 and CHD4 could function cooperatively
at DSB sites and provide a new insight into the detailed crosstalk among the
chromatin remodelling proteins.
PMID- 26546803
TI - Therapeutic Potential of Human Chorionic Gonadotropin Against Overactive Bladder.
AB - Overactive bladder (OAB) is a common form of urinary incontinence, resulting from
spontaneous and random contractions of the urinary bladder. The affected
individuals have an uncontrollable urge to urinate and experience incontinence
and nocturia, which can greatly reduce the quality of daily life. There are
several drugs for the treatment, and all of them have serious side effects. The
following findings suggested that human chorionic gonadotropin (hCG) has a
therapeutic potential that is worth investigating for the treatment of OAB. The
finding are (1) human detrusor muscle contains hCG receptors, (2) detrusor muscle
becomes quiescent during pregnancy, (3) hCG can inhibit detrusor muscle
contractions induced by cholinergic stimulation in rats, and (4) hCG can mimic
the anticholinergic drug on detrusor muscle contractions.
PMID- 26546804
TI - Re: "Associations of Body Mass Index, Smoking, and Alcohol Consumption With
Prostate Cancer Mortality in the Asia Cohort Consortium".
PMID- 26546805
TI - Two Authors Reply.
PMID- 26546806
TI - Upregulated CDK16 Expression in Serous Epithelial Ovarian Cancer Cells.
AB - BACKGROUND: As CDK-16 has been shown to be upregulated in several transformed
cancer lines, we hypothesized that the cyclin-dependent kinase 16 (CDK-16) may be
upregulated in serous epithelial ovarian cancer (EOC) cells. Therefore, we
comparatively examined the mRNA and protein expression of CDK-16 in samples
resected from serous EOC patients and normal controls. MATERIAL AND METHODS:
Tissue samples were collected from 70 serous EOC patients and 40 normal controls.
Quantitative real-time reverse transcription polymerase chain reaction (qRT-PCR)
was conducted to assess mRNA expression. CDK-16 protein expression was assessed
by semi-quantitative immunohistochemical staining. Differences in mRNA and
protein expression between serous EOC cells and normal tissue cells were tested
with the Kruskal-Wallis test and analysis of variance (ANOVA). RESULTS: Both CDK
16 mRNA and protein expression were significantly higher in serous EOC tumor
cells as compared to normal control ovarian cells (p<0.01). Although there was no
significant correlation between CDK-16 mRNA expression and serous EOC stage
(p=0.0794), there was a significant correlation between CDK-16 mRNA expression
and serous EOC grade (p<0.0001). Moreover, there were significant correlations
between CDK-16 protein expression and serous EOC stage (p<0.0001) and grade
(p<0.0001). CONCLUSIONS: CDK-16 upregulation in serous EOC cells may represent a
negative feedback loop to promote ovarian cell differentiation in malignantly
transformed serous EOC cells. Further in-depth investigation on CDK-16's role in
serous EOC is needed.
PMID- 26546807
TI - Literature review in biomedical research: useful search engines beyond PubMed.
PMID- 26546808
TI - Addressing contact tracing challenges-critical to halting Ebola virus disease
transmission.
AB - BACKGROUND: Delayed and ineffective contact tracing contributed to the extensive
transmission of Ebola virus disease (EVD) in the 2014-2015 West African outbreak.
Understanding and addressing the challenges to implementing and managing contact
tracing is essential to stopping EVD transmission and preventing large-scale EVD
outbreaks in the future. METHODS: Interviews were conducted with United States
Centers for Disease Control and Prevention staff members engaged in contact
tracing activities in the affected West African countries of Sierra Leone,
Guinea, Liberia, Senegal, Nigeria, and Mali from September through December 2014.
Two staff members from each country were interviewed. The five most frequently
cited contact tracing challenges were identified. RESULTS: Challenges have been
evident in every step of the contact tracing process from implementation to
management, including identifying, locating, and enrolling contact-persons, as
well as managing personnel and ensuring contact tracing performance. Common
themes observed in all of the affected West African countries have included fear,
stigma, and community misperceptions regarding EVD. Countries that have overcome
these challenges, ensuring immediate and comprehensive contact tracing, have been
successful in halting EVD transmission. CONCLUSIONS: Addressing challenges to
contact tracing implementation and management in the West African EVD outbreak is
essential to stopping ongoing transmission.
PMID- 26546809
TI - Oxalate Formation From Glyoxal in Erythrocytes.
AB - OBJECTIVE: To determine whether glyoxal can be converted to oxalate in human
erythrocytes. Glyoxal synthesis is elevated in diabetes, cardiovascular disease,
and other diseases with significant oxidative stress. Erythrocytes are a good
model system for such studies as they lack intracellular organelles and have a
simplified metabolism. MATERIALS AND METHODS: Erythrocytes were isolated from
healthy volunteers and incubated with varying concentrations of glyoxal for
different amounts of time. Metabolic inhibitors were used to help characterize
metabolic steps. The conversion of glyoxal to glycolate and oxalate in the
incubation medium was determined by chromatographic techniques. RESULTS: The bulk
of the glyoxal was converted to glycolate, but ~1% was converted to oxalate.
Inclusion of the pro-oxidant, menadione, in the medium increased oxalate
synthesis, and the inclusion of disulfiram, an inhibitor of aldehyde
dehydrogenase activity, decreased oxalate synthesis. CONCLUSION: The glyoxalase
system, which utilizes glutathione as a cofactor, converts the majority of the
glyoxal taken up by erythrocytes to glycolate, but a small portion is converted
to oxalate. A reduction in intracellular glutathione increases oxalate synthesis
and a decrease in aldehyde dehydrogenase activity lowers oxalate synthesis and
suggests that glyoxylate is an intermediate. Thus, oxidative stress in tissues
could potentially increase oxalate synthesis.
PMID- 26546810
TI - Re: Guzel et al: Can Bladder Wall Thickness Measurement Be Used for Detecting
Bladder Outlet Obstruction?
PMID- 26546811
TI - Effect of Tamsulosin in Lower Urinary Tract Symptom Patients With Metabolic
Syndrome.
AB - OBJECTIVE: To investigate the efficacy of tamsulosin, a selective alpha-1
blocker, in lower urinary tract symptoms (LUTS) patients with metabolic syndrome
(MS). PATIENTS AND METHODS: This prospective, multicenter clinical trial included
men and women (20-75 years old) with LUTS, with or without MS. Patients were
categorized as MS+ or MS-, respectively, and all of them were administered
tamsulosin 0.2 mg per oral once daily for 24 weeks. Patients were assessed based
on the International Prostate Symptom Score, King's Health Questionnaire (KHQ),
Overactive Bladder Questionnaire, uroflowmetry with postvoid residuals, and MS
factors (blood pressure, waist-to-hip ratio, and serum levels of fasting blood
glucose, triglyceride, and high-density lipoprotein cholesterol) at baseline and
at 4, 12, and 24 weeks of treatment. RESULTS: Ninety-two patients were enrolled
in this study (53/92 were MS- [57.6%]; 39/92 were MS+ [42.4%]). After 24 weeks of
tamsulosin treatment, fasting blood glucose (P = .02) and triglyceride (P < .001)
levels of changes were significantly greater in the MS+ group than in the MS-
group. Total International Prostate Symptom Score, total Overactive Bladder
Questionnaire score, and the scores of each question on the KHQ showed
significant improvement after treatment without intergroup differences. In KHQ,
although improvements in emotional status, sleep quality, fatigue, and personal
distress were greater in the MS+ group (P = .05), the difference between the
groups did not reach statistical significance. CONCLUSION: Tamsulosin was
effective in both LUTS patients with and without MS. Furthermore, tamsulosin had
beneficial effects on some of the factors associated with MS.
PMID- 26546812
TI - Predictors of Reporting Success With Increased Fluid Intake Among Kidney Stone
Patients.
AB - OBJECTIVE: To determine how kidney stone patients' knowledge, behaviors, and
preferences toward fluid intake differed from those reporting being successful or
unsuccessful at increasing fluid intake for prevention. MATERIALS AND METHODS:
Three hundred two kidney stone patients filled out a survey on stone history,
fluid intake success, and knowledge, behaviors, and preferences toward fluid
intake. Responses were compared between those reporting being very successful at
fluid intake and those reporting being not successful or only somewhat
successful. Self-reported fluid intake success was correlated in a subset of 41
subjects using 24-hour urine volumes. RESULTS: Self-reported fluid intake success
correlated significantly with 24-hour urine volumes. Unsuccessful fluid drinkers
were less likely to be aware of their future stone risk and were less likely to
be counseled on prevention by a urologist. Successful fluid drinkers reported the
fewest barriers per person, were more likely to prefer water for fluid intake,
and were more likely to like the "taste" of water. Strategies used for
remembering to drink varied significantly with those unsuccessful most often
reporting "just tried to remember" and those successful at fluid intake most
likely to carry a water bottle. All groups reported similar perceived severity
from stones, perceived benefits of drinking fluids, and preference for using
urine color to monitor hydration. CONCLUSION: Awareness of future stone risk,
preference for water, counseling on stone prevention by a urologist, and specific
strategies used for increasing fluid intake varied between patients who were
successful or unsuccessful with fluid intake. Addressing these differences may
help improve fluid intake success.
PMID- 26546813
TI - Clitoral Abnormalities in the Absence of Virilization: Etiology and Treatment
Strategies.
AB - OBJECTIVE: To report 3 cases of pseudoclitoromegaly and to describe the
strategies used for differential diagnosis and treatment. METHODS: Three cases
and a literature review were described in this study. RESULTS: Three cases
referred for treatment of clitoromegaly were in fact cases of pseudoclitoromegaly
caused by a hamartoma, a neurofibroma, and a hemangioma of the clitoral prepuce.
Abnormal virilization was excluded and the tumors were successfully treated by
surgical resection and genital esthetic reconstruction. CONCLUSION: Clitoral
abnormalities not associated with virilization syndromes are rare. Isolated
abnormalities may affect the clitoris and the clitoral prepuce
(pseudoclitoromegaly) and have multiple causes. The most frequent are inclusion
cysts secondary to female circumcision, but a multiplicity of tumors, usually
benign, may be found. Treatment is surgical, except for most infantile
hemangiomas. Before deciding to offer surgical treatment, one should consider the
degree of genital deformity and the risks of sensitivity loss and/or clitoral
ischemia.
PMID- 26546814
TI - New Technique for the Treatment of Buried Penis in Children.
AB - OBJECTIVE: To present our treatment experience of buried penis, which has no
consensus therapeutic technique for all cases of buried penis, by using a new
technique for the repair of this condition, in which the approach is through the
ventral penile root. MATERIALS AND METHODS: We performed a retrospective review
of 153 patients (median age: 6.5 years) who underwent repair of a buried penis
between March 2005 and March 2013. The technique involves the creation of a wedge
shaped cut of the ventral penile skin, followed by fixation of the subcutaneous
penile skin at the base of the degloved penis to the Buck fascia at the 2- and 10
o'clock positions. The ventral outer preputial skin is split down the midline,
and the dorsal inner preputial skin is cut with oblique incision. RESULTS: All
patients were followed for an average of 12 months after repair. Other than 2
cases (1.3%) of trapped penis with a ring of scar tissue, which required
subsequent excision, there were no complications and the cosmetic appearance was
satisfactory. CONCLUSION: The described ventral penile approach is a simple and
effective procedure with good cosmetic outcomes and few complications.
PMID- 26546815
TI - Very high-density lipoprotein and vitellin as carriers of novel biliverdins
IXalpha with a farnesyl side-chain presumably derived from heme A in Spodoptera
littoralis.
AB - Bilins in complex with specific proteins play key roles in many forms of life.
Biliproteins have also been isolated from insects; however, structural details
are rare and possible functions largely unknown. Recently, we identified a high
molecular weight biliprotein from a moth, Cerura vinula, as an arylphorin-type
hexameric storage protein linked to a novel farnesyl biliverdin IXalpha; its
unusual structure suggests formation by cleavage of mitochondrial heme A. In the
present study of another moth, Spodoptera littoralis, we isolated two different
biliproteins. These proteins were identified as a very high-density lipoprotein
(VHDL) and as vitellin, respectively, by mass spectrometric sequencing. Both
proteins are associated with three different farnesyl biliverdins IXalpha: the
one bilin isolated from C. vinula and two new structurally closely related
bilins, supposed to be intermediates of heme A degradation. The different bilin
composition of the two biliproteins suggests that the presumed oxidations at the
farnesyl side-chain take place mainly during egg development. The egg bilins are
supposedly transferred from hemolymph VHDL to vitellin in the female. Both
biliproteins show strong induced circular dichroism activity compatible with a
predominance of the M-conformation of the bilins. This conformation is opposite
to that of the arylphorin-type biliprotein from C. vinula. Electron microscopy of
the VHDL-type biliprotein from S. littoralis provided a preliminary view of its
structure as a homodimer and confirmed the biochemically determined molecular
mass of ~350 kDa. Further, images of S. littoralis hexamerins revealed a 2 * 3
construction identical to that known from the hexamerin from C. vinula.
PMID- 26546816
TI - MicroRNA-134 actives lipoprotein lipase-mediated lipid accumulation and
inflammatory response by targeting angiopoietin-like 4 in THP-1 macrophages.
AB - Angiopoietin-like 4 (Angptl4), a secreted protein, is an important regulator to
irreversibly inhibit lipoprotein lipase (LPL) activity. Macrophage LPL
contributes to foam cell formation via a so-called"molecular bridge" between
lipoproteins and receptors on cell surface. It has been reported that macrophage
ANGPTL4 suppresses LPL activity, foam cell formation and inflammatory gene
expression to reduce atherosclerosis development. Recently, some studies
demonstrated that microRNA-134 is upregulated in atherosclerotic macrophages.
Here we demonstrate that miR-134 directly binds to 3'UTR of ANGPTL4 mRNA to
suppression the expression of ANGPTL4. To investigate the potential roles of
macrophage miR-134, THP-1 macrophages were transfected with miR-134 mimics or
inhibitors. Our results showed that LPL activity and protein were dramatically
increased. We also found that miR-134 activated LPL-mediated lipid accumulation.
Collectively, our findings indicate that miR-134 may regulate lipid accumulation
and proinfiammatory cytokine secretion in macrophages by targeting the ANGPTL4
gene. Our results have also suggested a promising and potential therapeutic
target for atherosclerosis.
PMID- 26546817
TI - Apelin-13 upregulates Egr-1 expression in rat vascular smooth muscle cells
through the PI3K/Akt and PKC signaling pathways.
AB - Previous studies have shown that Apelin-13 upregulates early growth response
factor-1 (Egr-1) via the extracellular signal-regulated protein kinase (ERK)
signaling pathway. Apelin-13 induces proliferation and migration of vascular
smooth muscle cells (VSMCs) as well as the upregulation of osteopontin (OPN) via
the upregulation of Egr-1. This study was designed to further explore the
activity of Apelin-13 in VSMCs by investigating members of the mitogen-activated
protein kinase (MAPK) family, in particular Jun kinase (JNK) and p38 mitogen
activated protein kinase (P38). We also examined whether the phosphatidylinositol
3 kinase (PI3K)/protein kinase B (Akt) and protein kinase C (PKC) signaling
pathways were involved in the regulation of Egr-1 by Apelin-13. We treated rat
aortic VSMCs with Apelin-13 and examined the expression of JNK, p-JNK, P38, and p
P38 to investigate whether Apelin-13-mediated increases in Egr-1 occurred through
the JNK and P38 signaling pathways. We then pretreated VSMCs with the Gi protein
inhibitor pertussis toxin (PTX) and the Gq inhibitor YM254890, added Apelin-13
and looked for changes in Egr-1 expression. Finally, we pretreated with the PI3K
inhibitor LY294002 and the PKC inhibitor GF109203X, and treated with Apelin-13.
Our results showed that JNK and P38 did not participate in Apelin-13-mediated
increase in Egr-1. Instead, Apelin-13 upregulation of Egr-1 was mediated by a PTX
sensitive Gi protein. Apelin-13 did increase ERK phosphorylation through the
PI3K/Akt and PKC signaling pathways, resulting in changes in Egr-1 expression.
These data provide important targets for future studies to modulate vascular
remodeling.
PMID- 26546818
TI - Internal ribosome entry site (IRES) from Encephalomyocarditis virus (EMCV) as a
tool for shuttle expression plasmids.
AB - In eukaryotes, IRES sequences aid the recruitment of factors needed for
translation to occur, enabling protein production independent of 5' capped mRNA.
Many patents and commercially available plasmids exploit their properties for
polycistronic expression of recombinant proteins. However, these applications
have been restricted to eukaryotic organisms, since it was thought that elements
of this origin were essential for their activity. Here, using two tricistronic
vectors designed for expression in mammalian hosts, we present evidence of EMCV
IRES activity in prokaryotes. This finding enables the development of new and
more versatile plasmid vectors for the production of recombinant proteins in
multiple hosts from a single construct. Additionally, it provides new hints for
the elaboration of alternative models describing the molecular mechanism of EMCV
IRES mediated translation, in the absence of eukaryotic elements that were
considered indispensable for its function.
PMID- 26546819
TI - Impaired fatty acid oxidation as a cause for lipotoxicity in cardiomyocytes.
AB - A major cause for diabetic cardiomyopathy is excess lipid accumulation. To
elucidate mechanisms of lipotoxicity mediated diabetic heart disease we need to
further our understanding of how lipid metabolism is altered in the diabetic
heart. Here we investigated the role of lipid clearance by oxidation as a
regulator of lipid-mediated toxicity (lipotoxicity). We evaluated the effect of
pre-treating rat neonatal cardiomyocytes (NCMs) with either oleate (mono
unsaturated fatty acid) or palmitate (saturated fatty acid) on fatty acid
oxidation (FAO) by measuring (14)C-CO2 production. We evaluated carnitine
palmitoyltransferase (Cpt1b) expression by western blotting and mitochondrial
membrane potential by quantitative and qualitative fluorescence analyses using
the JC-1 dye. We inhibited the Cpt1b pharmacologically using etomoxir and
genetically by knocking down its expression using LentiVector mediated
transduction of siRNAs targeting the Cpt1b gene. We found that palmitate had a
slower clearance rate from NCMs than oleate, and this was associated with a
significant decrease in FAO. This impairment in FAO was not the result of either
loss of Cpt1b protein or mitochondrial integrity. Enhancing FAO with either
oleate or carnitine was associated with a significant attenuation of palmitate
mediated lipotoxicity. In contrast impairing FAO in oleate treated NCMs caused
lipotoxicity. Here we demonstrate that a major difference between non-toxic
unsaturated fatty acids and toxic saturated fatty acids is there ability to
stimulate or inhibit fatty acid oxidation, respectively. This has important
implications for diabetic cardiomyopathy since diabetic hearts consistently
exhibit elevated lipid accumulation.
PMID- 26546820
TI - Islet-specific monoamine oxidase A and B expression depends on MafA
transcriptional activity and is compromised in type 2 diabetes.
AB - Lack or dysfunction of insulin producing beta cells results in the development of
type 1 and type 2 diabetes mellitus, respectively. Insulin secretion is
controlled by metabolic stimuli (glucose, fatty acids), but also by monoamine
neurotransmitters, like dopamine, serotonin, and norepinephrine. Intracellular
monoamine levels are controlled by monoamine oxidases (Mao) A and B. Here we show
that MaoA and MaoB are expressed in mouse islet beta cells and that inhibition of
Mao activity reduces insulin secretion in response to metabolic stimuli.
Moreover, analysis of MaoA and MaoB protein expression in mouse and human type 2
diabetic islets shows a significant reduction of MaoB in type 2 diabetic beta
cells suggesting that loss of Mao contributes to beta cell dysfunction. MaoB
expression was also reduced in beta cells of MafA-deficient mice, a mouse model
for beta cell dysfunction, and biochemical studies showed that MafA directly
binds to and activates MaoA and MaoB transcriptional control sequences. Taken
together, our results show that MaoA and MaoB expression in pancreatic islets is
required for physiological insulin secretion and lost in type 2 diabetic mouse
and human beta cells. These findings demonstrate that regulation of monoamine
levels by Mao activity in beta cells is pivotal for physiological insulin
secretion and that loss of MaoB expression may contribute to the beta cell
dysfunction in type 2 diabetes.
PMID- 26546821
TI - Kruppel-like factor 4 synergizes with CREB to increase the activity of
apolipoprotein E gene promoter in macrophages.
AB - Kruppel-like factor 4 (KLF4) is a critical regulator of monocyte differentiation
and macrophage polarization, and it also plays an important role in several
vascular diseases, including atherosclerosis. Apolipoprotein E (apoE) is an
essential anti-atherosclerotic glycoprotein involved in lipid metabolism,
expressed by the liver, macrophages and other cell types. We hypothesized that
KLF4 is involved in apoE gene regulation in macrophages. Our experiments showed
that differentiation of THP-1 monocytes to macrophages using PMA was associated
with a robust induction of both KLF4 and apoE genes. KLF4 bound to the apoE
promoter, as revealed by chromatin immunoprecipitation and DNA pull-down (DNAP)
assays, and transactivated the apoE promoter in a dose-dependent manner. Using a
series of apoE promoter deletion mutants we revealed the biological activity of
multiple KLF4 binding sites located in the [-500/-100] region of apoE promoter.
Moreover, overexpression of cAMP-response-element-binding protein (CREB) further
increased KLF4 up-regulatory effect on apoE promoter. Despite the fact that no
putative CREB binding sites were predicted in silico, we found that in
macrophages CREB bound to apoE proximal promoter in the region -200/+4 and even
more strongly on -350/-274 region. In similar DNAP experiments using cell
extracts obtained from monocytes (lacking KLF4), a very weak binding of CREB was
detected, indicating that interaction of CREB with apoE promoter takes place
indirectly. In conclusion our results show: (i) a robust synchronized induction
of KLF4 and apoE expression during differentiation of monocytes to macrophages;
(ii) KLF4 up-regulates apoE gene in a dose-dependent manner; (iii) biologically
active KLF4 binding sites are present on apoE promoter and (iv) the interaction
of KLF4 with CREB results in an enhanced up-regulatory effect of KLF4 on apoE
promoter. Taken together these data provide novel knowledge on apoE gene
regulation mechanism in macrophages, and offer insight into the therapeutic
potential of KLF4 in atherosclerosis.
PMID- 26546822
TI - K(+)-Responsive off-to-on switching of hammerhead ribozyme through dual G
quadruplex formation requiring no heating and cooling treatment.
AB - Functional RNAs that switch their activities in response to K(+) may sense the
intracellular (100 mM) and extracellular (5 mM) K(+) concentrations and regulate
their functions accordingly. Previously, we developed a quadruplex hammerhead
ribozyme (QHR) whose conformational change, from a duplex to a G-quadruplex,
triggered by K(+) results in expression of the activity. However, this QHR
required heating and cooling treatment (annealing) to induce the K(+)-responsive
conformational change and activity. Here, we developed a new quadruplex
hammerhead ribozyme (QHR) system that does not require annealing to induce the
K(+)-responsive conformational change and activity. This system is composed of
QHR and a G-quadruplex-forming complementary DNA strand (QCS). In the absence of
K(+), QCS formed a duplex with QHR, which suppressed the residual activity. Upon
elevation of the K(+) concentration, QCS dissociated from QHR was trapped in a G
quadruplex, and then QHR could form a G-quadruplex and exerted the activity. The
11.6-fold higher activity was induced by K(+) with an EC50 value of 23 mM, but
not by Na(+), which is desirable when the activity switching between the intra
/extracellular environment is aimed at. This is the first report of the
activation of functional RNA through a 'dual G-quadruplex formation system'.
PMID- 26546823
TI - Yeast Cyc8p and Tup1p proteins function as coactivators for transcription of
Stp1/2p-dependent amino acid transporter genes.
AB - The yeast Cyc8p-Tup1p complex is known to serve primarily as a transcriptional
corepressor in a variety of biological processes. However, less is known about
its function as a coactivator. Herein, we found tryptophan transporter genes,
TAT1 and TAT2, that, when overexpressed, suppressed the slow growth of Deltacyc8.
We observed that the addition of tryptophan to Deltacyc8 cultures partially
restored cell growth, and the deletion of CYC8 and TUP1 reduced transcriptional
levels of TAT1 and TAT2. Tup1p bound to the promoter region of TAT1 and TAT2
genes that were dependent on STP1 and STP2 (encoding DNA-binding activator
proteins) for expression. Similarly, transcription of the other Stp1/2p-dependent
amino acid transporter (AAT) genes also required CYC8 and TUP1 gene functions.
These data indicate that Cyc8p-Tup1p plays a role as a transcriptional
coactivator for AAT genes via Stp1/2p activators and that lowering intracellular
tryptophan by CYC8 deletion causes slow growth.
PMID- 26546824
TI - Characterization of rabbit limbal epithelial side population cells using RNA
sequencing and single-cell qRT-PCR.
AB - Corneal epithelial stem cells reside in the limbus, a transitional zone between
the cornea and conjunctiva, and are essential for maintaining homeostasis in the
corneal epithelium. Although our previous studies demonstrated that rabbit limbal
epithelial side population (SP) cells exhibit stem cell-like phenotypes with
Hoechst 33342 staining, the different characteristics and/or populations of these
cells remain unclear. Therefore, in this study, we determined the gene expression
profiles of limbal epithelial SP cells by RNA sequencing using not only present
public databases but also contigs that were created by de novo transcriptome
assembly as references for mapping. Our transcriptome data indicated that limbal
epithelial SP cells exhibited a stem cell-like phenotype compared with non-SP
cells. Importantly, gene ontology analysis following RNA sequencing demonstrated
that limbal epithelial SP cells exhibited significantly enhanced expression of
mesenchymal/endothelial cell markers rather than epithelial cell markers.
Furthermore, single-cell quantitative real-time reverse transcription polymerase
chain reaction (qRT-PCR) demonstrated that the limbal epithelial SP population
consisted of at least two immature cell populations with endothelial- or
mesenchymal-like phenotypes. Therefore, our present results may propose the
presence of a novel population of corneal epithelial stem cells distinct from
conventional epithelial stem cells.
PMID- 26546825
TI - Inhibition of osteoclast differentiation by overexpression of NDRG2 in monocytes.
AB - N-Myc downstream-regulated gene 2 (NDRG2), a member of the NDRG family of
differentiation-related genes, has been characterized as a regulator of dendritic
cell differentiation from monocytes, CD34(+) progenitor cells, and myelomonocytic
leukemic cells. In this study, we show that NDRG2 overexpression inhibits the
differentiation of U937 cells into osteoclasts in response to stimulation with a
combination of macrophage colony-stimulating factor (M-CSF) and soluble receptor
activator of NF-kappaB ligand (RANKL). U937 cells stably expressing NDRG2 are
unable to differentiate into multinucleated osteoclast-like cells and display
reduced tartrate-resistant acid phosphatase (TRAP) activity and resorption pit
formation. Furthermore, NDRG2 expression significantly suppresses the expression
of genes that are crucial for the proliferation, survival, differentiation, and
function of osteoclasts, including c-Fos, Atp6v0d2, RANK, and OSCAR. The
activation of ERK1/2 and p38 is also inhibited by NDRG2 expression during
osteoclastogenesis, and the inhibition of osteoclastogenesis by NDRG2 correlates
with the down-regulation of the expression of the transcription factor PU.1.
Taken together, our results suggest that the expression of NDRG2 potentially
inhibits osteoclast differentiation and plays a role in modulating the signal
transduction pathway responsible for osteoclastogenesis.
PMID- 26546826
TI - An altered redox balance and increased genetic instability characterize primary
fibroblasts derived from xeroderma pigmentosum group A patients.
AB - Xeroderma pigmentosum (XP)-A patients are characterized by increased solar skin
carcinogenesis and present also neurodegeneration. XPA deficiency is associated
with defective nucleotide excision repair (NER) and increased basal levels of
oxidatively induced DNA damage. In this study we search for the origin of
increased levels of oxidatively generated DNA lesions in XP-A cell genome and
then address the question of whether increased oxidative stress might drive
genetic instability. We show that XP-A human primary fibroblasts present
increased levels and different types of intracellular reactive oxygen species
(ROS) as compared to normal fibroblasts, with O2-* and H2O2 being the major
reactive species. Moreover, XP-A cells are characterized by decreased reduced
glutathione (GSH)/oxidized glutathione (GSSG) ratios as compared to normal
fibroblasts. The significant increase of ROS levels and the alteration of the
glutathione redox state following silencing of XPA confirmed the causal
relationship between a functional XPA and the control of redox balance. Proton
nuclear magnetic resonance (1H NMR) analysis of the metabolic profile revealed a
more glycolytic metabolism and higher ATP levels in XP-A than in normal primary
fibroblasts. This perturbation of bioenergetics is associated with different
morphology and response of mitochondria to targeted toxicants. In line with
cancer susceptibility, XP-A primary fibroblasts showed increased spontaneous
micronuclei (MN) frequency, a hallmark of cancer risk. The increased MN frequency
was not affected by inhibition of ROS to normal levels by N-acetyl-L-cysteine.
PMID- 26546828
TI - The Tara Oceans Project: New Opportunities and Greater Challenges Ahead.
PMID- 26546827
TI - The relative role of patient physiology and device optimisation in cardiac
resynchronisation therapy: A computational modelling study.
AB - Cardiac resynchronisation therapy (CRT) is an established treatment for heart
failure, however the effective selection of patients and optimisation of therapy
remain controversial. While extensive research is ongoing, it remains unclear
whether improvements in patient selection or therapy planning offers a greater
opportunity for the improvement of clinical outcomes. This computational study
investigates the impact of both physiological conditions that guide patient
selection and the optimisation of pacing lead placement on CRT outcomes. A multi
scale biophysical model of cardiac electromechanics was developed and
personalised to patient data in three patients. These models were separated into
components representing cardiac anatomy, pacing lead location, myocardial
conductivity and stiffness, afterload, active contraction and conduction block
for each individual, and recombined to generate a cohort of 648 virtual patients.
The effect of these components on the change in total activation time of the
ventricles (DeltaTAT) and acute haemodynamic response (AHR) was analysed. The
pacing site location was found to have the largest effect on DeltaTAT and AHR.
Secondary effects on DeltaTAT and AHR were found for functional conduction block
and cardiac anatomy. The simulation results highlight a need for a greater
emphasis on therapy optimisation in order to achieve the best outcomes for
patients.
PMID- 26546829
TI - Update on the molecular biology of dyslipidemias.
AB - Dyslipidemia is a commonly encountered clinical condition and is an important
determinant of cardiovascular disease. Although secondary factors play a role in
clinical expression, dyslipidemias have a strong genetic component. Familial
hypercholesterolemia is usually due to loss-of-function mutations in LDLR, the
gene coding for low density lipoprotein receptor and genes encoding for proteins
that interact with the receptor: APOB, PCSK9 and LDLRAP1. Monogenic
hypertriglyceridemia is the result of mutations in genes that regulate the
metabolism of triglyceride rich lipoproteins (eg LPL, APOC2, APOA5, LMF1,
GPIHBP1). Conversely familial hypobetalipoproteinemia is caused by inactivation
of the PCSK9 gene which increases the number of LDL receptors and decreases
plasma cholesterol. Mutations in the genes APOB, and ANGPTL3 and ANGPTL4 (that
encode angiopoietin-like proteins which inhibit lipoprotein lipase activity) can
further cause low levels of apoB containing lipoproteins. Abetalipoproteinemia
and chylomicron retention disease are due to mutations in the microsomal transfer
protein and Sar1b-GTPase genes, which affect the secretion of apoB containing
lipoproteins. Dysbetalipoproteinemia stems from dysfunctional apoE and is
characterized by the accumulation of remnants of chylomicrons and very low
density lipoproteins. ApoE deficiency can cause a similar phenotype or rarely
mutations in apoE can be associated with lipoprotein glomerulopathy. Low HDL can
result from mutations in a number of genes regulating HDL production or
catabolism; apoAI, lecithin: cholesterol acyltransferase and the ATP-binding
cassette transporter ABCA1. Patients with cholesteryl ester transfer protein
deficiency have markedly increased HDL cholesterol. Both common and rare genetic
variants contribute to susceptibility to dyslipidemias. In contrast to rare
familial syndromes, in most patients, dyslipidemias have a complex genetic
etiology consisting of multiple genetic variants as established by genome wide
association studies. Secondary factors, obesity, metabolic syndrome, diabetes,
renal disease, estrogen and antipsychotics can increase the likelihood of
clinical presentation of an individual with predisposed genetic susceptibility to
hyperlipoproteinemia. The genetic profiles studied are far from complete and
there is room for further characterization of genes influencing lipid levels.
Genetic assessment can help identify patients at risk for developing
dyslipidemias and for treatment decisions based on 'risk allele' profiles. This
review will present the current information on the genetics and pathophysiology
of disorders that cause dyslipidemias.
PMID- 26546830
TI - Pathological gamma oscillations, impaired dopamine release, synapse loss and
reduced dynamic range of unitary glutamatergic synaptic transmission in the
striatum of hypokinetic Q175 Huntington mice.
AB - Huntington's disease (HD) is a severe genetically inherited neurodegenerative
disorder. Patients present with three principal phenotypes of motor symptoms:
choreatic, hypokinetic-rigid and mixed. The Q175 mouse model of disease offers an
opportunity to investigate the cellular basis of the hypokinetic-rigid form of
HD. At the age of 1 year homozygote Q175 mice exhibited the following signs of
hypokinesia: Reduced frequency of spontaneous movements on a precision balance at
daytime (-55%), increased total time spent without movement in an open field
(+42%), failures in the execution of unconditioned avoidance reactions (+32%),
reduced ability for conditioned avoidance (-96%) and increased reaction times
(+65%) in a shuttle box. Local field potential recordings revealed low-frequency
gamma oscillations in the striatum as a characteristic feature of HD mice at
rest. There was no significant loss of DARPP-32 immunolabeled striatal projection
neurons (SPNs) although the level of DARPP-32 immunoreactivity was lower in HD.
As a potential cause of hypokinesia, HD mice revealed a strong reduction in
striatal KCl-induced dopamine release, accompanied by a decrease in the number of
tyrosine hydroxylase-(TH)- and VMAT2-positive synaptic varicosities. The
presynaptic TH fluorescence level was also reduced. Patch-clamp experiments were
performed in slices from 1-year-old mice to record unitary EPSCs (uEPSCs) of
presumed cortical origin in the absence of G-protein-mediated modulation. In HD
mice, the maximal amplitudes of uEPSCs amounted to 69% of the WT level which
matches the loss of VGluT1+/SYP+ synaptic terminals in immunostained sections.
These results identify impairment of cortico-striatal synaptic transmission and
dopamine release as a potential basis of hypokinesia in HD.
PMID- 26546832
TI - FoxO3alpha-mediated autophagy contributes to apoptosis in cardiac microvascular
endothelial cells under hypoxia.
AB - Hypoxic injury of cardiac microvascular endothelial cells (CMECs) is an important
pathophysiological event in myocardial infarction, whereas, the underlying
mechanism is still poorly understood. Autophagy, a highly conserved process of
cellular degradation, is required for normal cardiac function and also has been
implicated in various cardiovascular diseases. Here we investigated the potential
role of autophagy in CMEC dysfunction under hypoxia. CMECs were isolated from SD
rats. Hypoxia (6-24h, 1% O2) induced autophagy in CMECs as evidenced by formation
of punctate LC3, increased conversion of LC3-I to LC3-II and increased p62
degradation. Importantly, hypoxia-induced apoptosis in CMECs was attenuated by 3
Methyladenine (5mM), an autophagy inhibitor, and aggravated by rapamycin (1.0
MUg/L), an autophagy inducer. Meanwhile, hypoxia increased the nuclear
localization of FoxO3alpha, accompanying with the decreased phosphorylation of
FoxO3alpha and Akt. FoxO3alpha silencing decreased hypoxia-induced autophagy and
the resultant apoptosis. Furthermore, treatment with 3-Methyladenine
(10mg/kg/day) improved the endothelial-dependent diastolic function of coronary
artery in rats with myocardial infarction. These results indicated that hypoxia
induced autophagy formation in CMECs is mediated by FoxO3alpha and contributes to
hypoxic injury of hearts.
PMID- 26546831
TI - Relationship between neurotoxic kynurenine metabolites and reductions in right
medial prefrontal cortical thickness in major depressive disorder.
AB - Reductions in gray matter volume of the medial prefrontal cortex (mPFC),
especially the rostral and subgenual anterior cingulate cortex (rACC, sgACC) are
a widely reported finding in major depressive disorder (MDD). Inflammatory
mediators, which are elevated in a subgroup of patients with MDD, activate the
kynurenine metabolic pathway and increase production of neuroactive metabolites
such as kynurenic acid (KynA), 3-hydroxykynurenine (3HK) and quinolinic acid (QA)
which influence neuroplasticity. It is not known whether the alterations in brain
structure and function observed in major depressive disorders are due to the
direct effect of inflammatory mediators or the effects of neurotoxic kynurenine
metabolites. Here, using partial posterior predictive distribution mediation
analysis, we tested whether the serum concentrations of kynurenine pathway
metabolites mediated reductions in cortical thickness in mPFC regions in MDD.
Further, we tested whether any association between C-reactive protein (CRP) and
cortical thickness would be mediated by kynurenine pathway metabolites. Seventy
three unmedicated subjects who met DSM-IV-TR criteria for MDD and 91 healthy
controls (HC) completed MRI scanning using a pulse sequence optimized for tissue
contrast resolution. Automated cortical parcellation was performed using the PALS
B12 Brodmann area atlas as implemented in FreeSurfer in order to compare the
cortical thickness and cortical area of six PFC regions: Brodmann areas (BA) 9,
10, 11, 24, 25, and 32. Serum concentrations of kynurenine pathway metabolites
were determined by high performance liquid chromatography (HPLC) with tandem mass
spectrometry (MS/MS) detection, while high-sensitivity CRP concentration was
measured immunoturbidimetrically. Compared with HCs, the MDD group showed a
reduction in cortical thickness of the right BA24 (p<0.01) and BA32 (p<0.05)
regions and MDD patients with a greater number of depressive episodes displayed
thinner cortex in BA32 (p<0.05). Consistent with our previous findings in an
overlapping sample, the KynA/3HK ratio and the log KynA/QA were reduced in the
MDD group relative to the HC group (p's<0.05) and symptoms of anhedonia were
negatively correlated with log KynA/QA in the MDD group (p<0.05). Both KynA/3HK
and log KynA/QA at least partially mediated the relationship between diagnosis
and cortical thickness of right BA32 (p's<0.05). CRP was inversely associated
with BA32 thickness (p<0.01) and KynA/3HK partially mediated the relationship
between CRP and the thickness of right BA32 (p<0.05). The results raise the
possibility that the relative imbalance between KynA and neurotoxic kynurenine
metabolites may partially explain the reductions in mPFC thickness observed in
MDD, and further that these changes are more strongly linked to the putative
effects of neuroactive kynurenine metabolites than those of inflammatory
mediators.
PMID- 26546834
TI - De novo assembly and characterization of foot transcriptome and microsatellite
marker development for Paphia textile.
AB - Paphia textile is an important, aquaculture bivalve clam species distributed
mainly in China, Philippines, and Malaysia. Recent studies of P. textile have
focused mainly on artificial breeding and nutrition analysis, and the
transcriptome and genome of P. textile have rarely been reported. In this work,
the transcriptome of P. textile foot tissue was sequenced on an Illumina HiSeqTM
2000 platform. A total of 20,219,795 reads were generated, resulting in 4.08 Gb
of raw data. The raw reads were cleaned and assembled into 54,852 unigenes with
an N50 length of 829 bp. Of these unigenes, 38.92% were successfully annotated
based on their matches to sequences in seven public databases. Among the
annotated unigenes, 14,571 were assigned Gene Ontology terms, 5448 were
classified to Clusters of Orthologous Groups categories, and 6738 were mapped to
228 pathways in the Kyoto Encyclopedia of Genes and Genomes database. For
functional marker development, 5605 candidate simple sequence repeats were
identified in the transcriptome and 80 primer pairs were selected randomly and
amplified in a wild population of P. textile. A total of 36 loci that exhibited
obvious repeat length polymorphisms were detected. The transcriptomic data and
microsatellite markers will provide valuable resources for future functional gene
analyses, genetic map construction, and quantitative trait loci mapping in P.
textile.
PMID- 26546833
TI - Dysfunction in amygdala-prefrontal plasticity and extinction-resistant avoidance:
A model for anxiety disorder vulnerability.
AB - Individuals exhibiting an anxiety disorder are believed to possess an innate
vulnerability that makes them susceptible to the disorder. Anxiety disorders are
also associated with abnormalities in the interconnected brain regions of the
amygdala and prefrontal cortex (PFC). However, the link between anxiety
vulnerability and amygdala-PFC dysfunction is currently unclear. Accordingly, the
present study sought to determine if innate dysfunction within the amygdala to
PFC projection underlies the susceptibility to develop anxiety-like behavior,
using an anxiety vulnerable rodent model. The inbred Wistar Kyoto (WKY) rat was
used to model vulnerability, as this strain naturally expresses extinction
resistant avoidance; a behavior that models the symptom of avoidance present in
anxiety disorders. Synaptic plasticity was assessed within the projection from
the basolateral nucleus of the amygdala (BLA) to the prelimbic cortical
subdivision of the PFC in WKY and Sprague Dawley (SD) rats. While WKY rats
exhibited normal paired-pulse plasticity, they did not maintain long-term
potentiation (LTP) as SD rats. Thus, impaired plasticity within the BLA-PL cortex
projection may contribute to extinction resistant avoidance of WKY, as lesions of
the PL cortex in SD rats impaired extinction of avoidance similar to WKY rats.
Treatment with d-cycloserine to reverse the impaired LTP in WKY rats was
unsuccessful. The lack of LTP in WKY rats was associated with a significant
reduction of NMDA receptors containing NR2A subunits in the PL cortex. Thus,
dysfunction in amygdala-PFC plasticity is innate in anxiety vulnerable rats and
may promote extinction-resistant avoidance by disrupting communication between
the amygdala and prefrontal cortex.
PMID- 26546835
TI - Genetic regulation analysis reveals involvement of tumor necrosis factor and
alpha-induced protein 3 in stress response in mice.
AB - In order to study whether Tnfaip3 is related to stress response and further to
find it's genetic regulation, we use C57BL/6J (B6) and DBA/2 (D2) mice to built
the model of chronic unpredictable mild stress. RT-PCR, Western blotting and
immunohistochemistry were used for studying the expression variation of Tnfaip3
in hippocampus tissue of B6 and D2 mice after being stressed. We found that the
expression of Tnfaip3 was more remarkably increased in chronic unpredictable
stress models than that in untreated mice (P<0.05). It is indicated that Tnfaip3
might take part in the process of stress response. The expression of Tnfaip3 is
regulated by a cis-acting quantitative trait locus (cis-eQTL). We identified 5
genes are controlled by Tnfaip3 and the expression of 64 genes highly associated
with Tnfaip3, 9 of those have formerly been participate in stress related
pathways. In order to estimate the relationship between Tnfaip3 and its
downstream genes or network members, we transfected SH-SY5Y cells with Tnfaip3
siRNA leading to down-regulation of Tnfaip3 mRNA. We confirmed a significant
influence of Tnfaip3 depletion on the expression of Tsc22d3, Pex7, Rap2a, Slc2a3,
and Gap43. These validated downstream genes and members of Tnfaip3 gene network
provide us new insight into the biological mechanisms of Tnfaip3 in chronic
unpredictable stress.
PMID- 26546836
TI - The association of HPV genotype with the regression, persistence or progression
of low-grade squamous intraepithelial lesions.
AB - BACKGROUND: Human papillomavirus (HPV) is a highly prevalent sexually transmitted
virus causing cytological alterations that precede cervical cancer. Approximately
130 genotypes have been sequenced. Low-grade squamous intraepithelial lesions
(LSIL) are the most frequent cytological alteration and have an uncertain
behavior. OBJECTIVES: To analyze the frequency of HPV types in LSIL and their
association with the regression, persistence or progression of these lesions.
METHODS: A cohort study of forty patients with LSIL cytology was conducted from
December 2007 to March 2011. The follow-up lasted two years and included cytology
and colposcopy. HPV detection was performed using PCR, and genotyping was
performed using PCR-specific and RFLP techniques. RESULTS: DNA-HPV was detected
in 87% (35/40) of the cases, with oncogenic HPV accounting for 76%; type 16 in
32% (11/35) and type 18 in 20%. LSIL regression, persistence and progression
rates at the end of the study were 60%, 23% and 17%, respectively. There was 50%
regression in lesions in the high oncogenic risk group (types 16 and 18).
CONCLUSION: HPV 16 was the most frequent genotype found in LSIL. The persistence
and progression of the LSIL were related to the persistence of oncogenic HPV. The
longer the follow-up time, the lower the LSIL persistence rate and the higher its
regression rate; the progression rate remained stable. In addition to the
presence of oncogenic HPV, other factors are necessary for the progression of
LSIL.
PMID- 26546837
TI - Implementing amplicon-based next generation sequencing in the diagnosis of small
cell lung carcinoma metastases.
AB - Small cell lung carcinoma (SCLC) is the most aggressive entity of lung cancer.
Rapid cancer progression and early formation of systemic metastases drive the
deadly outcome of SCLC. Recent advances in identifying oncogenes by cancer whole
genome sequencing improved the understanding of SCLC carcinogenesis. However,
tumor material is often limited in the clinic. Thus, it is a compulsive issue to
improve SCLC diagnostics by combining established immunohistochemistry and next
generation sequencing. We implemented amplicon-based next generation deep
sequencing in our routine diagnostics pipeline to analyze RB1, TP53, EP300 and
CREBBP, frequently mutated in SCLC. Thereby, our pipeline combined routine SCLC
histology and identification of somatic mutations. We comprehensively analyzed
fifty randomly collected SCLC metastases isolated from trachea and lymph nodes in
comparison to specimens derived from primary SCLC. SCLC lymph node metastases
showed enhanced proliferation and frequently a collapsed keratin cytoskeleton
compared to SCLC metastases isolated from trachea. We identified characteristic
synchronous mutations in RB1 and TP53 and non-synchronous CREBBP and EP300
mutations. Our data showed the benefit of implementing deep sequencing into
routine diagnostics. We here identify oncogenic drivers and simultaneously gain
further insights into SCLC tumor biology.
PMID- 26546838
TI - In vivo imaging of protease activity by Probody therapeutic activation.
AB - ProbodyTM therapeutics are recombinant, proteolytically-activated antibody
prodrugs, engineered to remain inert until activated locally by tumor-associated
proteases. Probody therapeutics exploit the fundamental dysregulation of
extracellular protease activity that exists in tumors relative to healthy tissue.
Leveraging the ability of a Probody therapeutic to bind its target at the site of
disease after proteolytic cleavage, we developed a novel method for profiling
protease activity in living animals. Using NIR optical imaging, we demonstrated
that a non-labeled anti-EGFR Probody therapeutic can become activated and compete
for binding to tumor cells in vivo with a labeled anti-EGFR monoclonal antibody.
Furthermore, by inhibiting matriptase activity in vivo with a blocking-matriptase
antibody, we show that the ability of the Probody therapeutic to bind EGFR in
vivo was dependent on protease activity. These results demonstrate that in vivo
imaging of Probody therapeutic activation can be used for screening and
characterization of protease activity in living animals, and provide a method
that avoids some of the limitations of prior methods. This approach can improve
our understanding of the activity of proteases in disease models and help to
develop efficient strategies for cancer diagnosis and treatment.
PMID- 26546839
TI - TET peptidases: A family of tetrahedral complexes conserved in prokaryotes.
AB - The TET peptidases are large polypeptide destruction machines present among
prokaryotes. They form 12-subunits hollow tetrahedral particles, and belong to
the family of M42 metallo-peptidases. Structural characterization of various
archaeal and bacterial complexes has revealed a unique mechanism of internal
compartmentalization and peptide trafficking that distinguishes them from the
other oligomeric peptidases. Different versions of the TET complex often co-exist
in the cytosol of microorganisms. In depth enzymatic studies have revealed that
they are non-processive cobalt-activated aminopeptidases and display contrasting
substrate specificities based on the properties of the catalytic chambers. Recent
studies have shed light on the assembly mechanism of homo and hetero-dodecameric
TET complexes and shown that the activity of TET aminopeptidase towards
polypeptides is coupled with its assembly process. These findings suggested a
functional regulation based on oligomerization control in vivo. This review
describes a current knowledge on M42 TET peptidases biochemistry and discuss
their possible physiological roles. This article is a part of the Special Issue
entitled: "A potpourri of proteases and inhibitors: from molecular toolboxes to
signalling scissors".
PMID- 26546840
TI - Natural cysteine protease inhibitors in protozoa: Fifteen years of the chagasin
family.
AB - Chagasin-type inhibitors comprise natural inhibitors of papain-like cysteine
proteases that are distributed among Protist, Bacteria and Archaea. Chagasin was
identified in the pathogenic protozoa Trypanosoma cruzi as an approximately 11
kDa protein that is a tight-binding and highly thermostable inhibitor of papain,
cysteine cathepsins and endogenous parasite cysteine proteases. It displays an
Imunoglobulin-like fold with three exposed loops to one side of the molecule,
where amino acid residues present in conserved motifs at the tips of each loop
contact target proteases. Differently from cystatins, the loop 2 of chagasin
enters the active-site cleft, making direct contact with the catalytic residues,
while loops 4 and 6 embrace the enzyme from the sides. Orthologues of chagasin
are named Inhibitors of Cysteine Peptidases (ICP), and share conserved overall
tri-dimensional structure and mode of binding to proteases. ICPs are tentatively
distributed in three families: in family I42 are grouped chagasin-type inhibitors
that share conserved residues at the exposed loops; family I71 contains
Plasmodium ICPs, which are large proteins having a chagasin-like domain at the C
terminus, with lower similarity to chagasin in the conserved motif at loop 2;
family I81 contains Toxoplasma ICP. Recombinant ICPs tested so far can inactivate
protozoa cathepsin-like proteases and their mammalian counterparts. Studies on
their biological roles were carried out in a few species, mainly using transgenic
protozoa, and the conclusions vary. However, in all cases, alterations in the
levels of expression of chagasin/ICPs led to substantial changes in one or more
steps of parasite biology, with higher incidence in influencing their interaction
with the hosts. We will cover most of the findings on chagasin/ICP structural and
functional properties and overview the current knowledge on their roles in
protozoa.
PMID- 26546841
TI - Structural biology and genome evolution: An introduction.
PMID- 26546842
TI - The Effect of Regeneration Techniques on Periapical Surgery With Different
Protocols for Different Lesion Types: A Meta-Analysis.
AB - PURPOSE: To evaluate the effect of regeneration techniques (RTs) on the outcome
of periapical surgery with different protocols for different lesion types.
MATERIALS AND METHODS: PubMed, the Cochrane Library, and Embase were searched
from the beginning of time until December 30, 2014. Studies that met the
inclusion criteria were systematically evaluated, and a meta-analysis was
performed. RESULTS: Eight randomized controlled trials met the inclusion
criteria. A significantly better outcome was found in the combination group
(membranes plus bone replacement analogues) (risk ratio [RR], 0.41; 95%
confidence interval [CI], 0.22 to 0.77; P = .005) and bone replacement analogue
only group (RR, 0.48; 95% CI, 0.23 to 0.98; P = .04), whereas no significant
beneficial effect was found in the membrane-only group (RR, 0.59; 95% CI, 0.29 to
1.17; P = .13). The use of RTs favorably affected the outcome of periapical
through-and-through lesions (RR, 0.38; 95% CI, 0.18 to 0.84; P = .02) and large
lesions (>=10 mm) (RR, 0.52; 95% CI, 0.28 to 0.97; P = .04), whereas there was no
significant benefit of using RTs for 4-wall lesions (RR, 0.54; 95% CI, 0.27 to
1.07; P = .08). CONCLUSIONS: Both the isolated use of bone replacement analogues
and the combination of membranes and bone replacement analogues can improve the
outcome of periapical surgery, whereas using membranes alone does not have
significantly favorable effects. The use of RTs for through-and-through and large
lesions should be recommended.
PMID- 26546843
TI - Is Le Fort I Osteotomy Associated With Maxillary Sinusitis?
AB - PURPOSE: The purpose of the present study was to investigate the association
between Le Fort I osteotomy and the anatomic, radiologic, and symptomatic
modifications of the maxillary sinus. MATERIALS AND METHODS: Subjects who had
undergone Le Fort I osteotomy from January 2008 to December 2013 were enrolled in
a retrospective cohort study. The eligibility criteria were the availability of a
cone beam computed tomography (CBCT) scan taken before and 12 to 24 months after
the procedure. The exclusion criteria were the unavailability of CBCT scans, the
use of tobacco, and previous orthognathic procedures. The primary predictor
variable was time (pre-vs postoperative). The primary outcome variables were the
sinus volume, mucosal thickening, iatrogenic alterations in the sinus anatomy,
and rhinosinusitis symptoms, evaluated using the Sino-Nasal Outcome 20-item Test
(SNOT-20). Descriptive statistics were computed for each variable, and paired
analyses were used to compare the pre- and postoperative values. RESULTS: The
data from 64 subjects (mean age 27; 59.4% were female; median follow-up 32.4
months, range 13 to 66 months) were studied. Postoperatively, 1.6% of the sample
(0% preoperatively) had moderate-to-severe and 15.6% (3.1% preoperatively) had
mild-to-moderate sinusitis symptoms. The rest of the sample presented with mild
to no symptoms. The increase in the SNOT scores after surgery was statistically
significant (P = .016). Radiologic evidence of postoperative inflammatory
processes affecting the paranasal sinuses was found in 27.3% of the sinuses (9.4%
preoperatively). The postoperative Lund-Mackay scores were significantly greater
(P = .0005). A 19% decrease was found in the mean postoperative sinus volume,
with a 37% incidence of iatrogenic injury. CONCLUSIONS: The study results
indicate that Le Fort I osteotomies can have an important impact on sinus health.
The postoperative radiologic evidence of maxillary sinus inflammatory processes
and the incidence of rhinosinusitis symptoms and iatrogenic damage in these
patients have led us to conclude that CBCT scans and the SNOT-20 questionnaire
should be used routinely during postoperative monitoring. Larger long-term
studies are warranted to clarify the postoperative outcomes and complications.
PMID- 26546844
TI - Tight Placement of Erich Arch Bar While Avoiding Wire Fatigue Failure.
AB - PURPOSE: To determine the number of wire twists needed to acquire ideal Erich
arch bar tightness before wire fatigue failure (fracture) in relation to
different distances and angles at which different gauge wires are grasped to
provide information to improve the efficiency of arch bar application. MATERIALS
AND METHODS: This study mimicked surgical placement of arch bars with 24- and 26
gauge wires. The number of twists to tightness and failure was evaluated when the
wire distance between the arch bar and wire holder tip changed (5 vs 10 mm) and
when the degree at which the wire was held relative to the tooth axis was changed
(45 degrees vs 90 degrees ). A wire shearing test also was used to investigate
the fatigability of wires tightened under these same conditions. Wires twisted to
tightness, past tightness, and after shearing test movements were visualized with
electron microscopy. RESULTS: For 24-gauge wire held at 5 mm, 2.6 to 2.8 twists
were needed for wire tightness, with failure after 1.7 to 1.9 twists past
tightness; for 24-gauge wire held at 10 mm, 4.4 to 4.9 twists produced tightness,
with failure after 2.3 to 2.9 twists past tightness. For 26-gauge wire held at 5
mm, 3.3 to 3.5 twists provided tightness, with 1.6 to 1.8 twists past tightness
causing failure; for 26-gauge wire held at 10 mm, 5.1 to 5.5 twists produced
tightness, with 3.1 to 3.7 twists past tightness causing failure. At a 45 degrees
angle, the wire tightened with fewer twists and showed more resistance to
failure with twists past tightness compared with 90 degrees using 24- and 26
gauge wires. In contrast, 24-gauge wire held at a 5-mm distance showed the
opposite result, with decreased resistance to failure at the 45 degrees angle.
However, the differences were not statistically meaningful. Scanning election
microscopy showed no wire fatigue for either angle for 26-gauge wire held at a 5
mm distance and twisted to tightness. After overtightening and oscillation, the
90 degrees angle trials showed fatigue, whereas the 45 degrees angle trials did
not. CONCLUSIONS: Holding a 24-gauge wire at 45 degrees to the tooth axis is
recommended owing to fewer twists to tightness and more resistance to failure. A
5-mm grasping distance is recommended for experienced surgeons owing to fewer
twists to tightness, whereas a 10-mm grasping distance is recommended for novice
surgeons owing to a greater tolerance for over-twisting before failure.
PMID- 26546845
TI - Complications of Moderate Sedation Versus Deep Sedation/General Anesthesia for
Adolescent Patients Undergoing Third Molar Extraction.
AB - PURPOSE: To examine the complications resulting from moderate sedation versus
deep sedation/general anesthesia for adolescent patients undergoing third molar
extraction and determine whether any differences in complication risks exist
between the 2 levels of sedation. MATERIALS AND METHODS: We performed a
prospective study of the Oral and Maxillofacial Surgery Outcomes System from
January 2001 to December 2010. The primary predictor variable was the level of
sedation, divided into 2 groups: moderate sedation versus deep sedation/general
anesthesia. The primary outcome was the incidence of adverse complications
resulting from the sedation level. Differences in the cohort characteristics were
analyzed using the independent samples t test, chi(2) test, and analysis of
variance, as appropriate. Multivariable logistic regression was used to measure
the effect the level of sedation had on the adverse complication rate. RESULTS:
Patients in the moderate sedation group had a complication rate of 0.5%, and
patients in the deep sedation/general anesthesia group had a complication rate of
0.9%. Compared with moderate sedation, deep sedation/general anesthesia did not
pose a significantly increased risk of adverse anesthesia complications (adjusted
odds ratio 1.63, 95% confidence interval 0.95 to 2.81; P = .077). CONCLUSIONS:
The results of our study have shown that the risk of adverse anesthesia
complications is not increased when choosing between moderate and deep
sedation/general anesthesia for adolescent patients undergoing third molar
extraction.
PMID- 26546846
TI - What Is the Cost of Meaningful Use?
PMID- 26546847
TI - Second-stage labor: how long is too long?
AB - The management of labor has come under increased scrutiny due to the rapid
escalation of cesarean delivery in the United States. A workshop of the Society
for Maternal-Fetal Medicine, the Eunice Kennedy Shriver National Institute of
Child Health and Human Development, and the American Congress of Obstetricians
and Gynecologists was convened to address the rising cesarean delivery rates and
one of their recommendations was that the accepted upper limit of the second
stage of labor should be increased to >=4 hours in nulliparous women with
epidural analgesia and to >=3 hours in parous women with epidural. This led to
the inaugural Obstetric Care Consensus series document, "Safe Prevention of the
Primary Cesarean Delivery," wherein the workshop recommendations on second-stage
labor were promulgated nationally. The result is that the now acceptable maximum
length of the second stage of labor exceeds the obstetric precepts that have been
in use for >50 years. In this Clinical Opinion, we review the evidence on infant
safety, vis-a-vis length of the second stage of labor. Our examination of the
evidence begins at the outset of the 20th century and culminates in the very
recent (2014) recommendation to abandon the long accepted obstetric paradigm that
second-stage labor >3 hours in nulliparous women with labor epidural is unsafe
for the unborn infant. We conclude that the currently available evidence fails to
support the Obstetric Care Consensus position that longer second-stage labor is
safe for the unborn infant. Indeed, the evidence suggests quite the opposite. We
suggest that when infant safety is at stake the evidence should be robust before
a new clinical road is taken. The evidence is not robust.
PMID- 26546848
TI - Accuracy of information about the intrauterine device on the Internet.
AB - BACKGROUND: Intrauterine devices (IUDs) are highly effective methods of
contraception, but use continues to lag behind less effective methods such as
oral contraceptive pills and condoms. Women who are aware of the actual
effectiveness of various contraceptive methods are more likely to choose the IUD.
Conversely, women who are misinformed about the safety of IUDs may be less likely
to use this method. Individuals increasingly use the Internet for health
information. Information about IUDs obtained through the Internet may influence
attitudes about IUD use among patients. OBJECTIVE: Our objective was to evaluate
the quality of information about IUDs among World Wide Web sites providing
contraceptive information to the public. STUDY DESIGN: We developed a 56-item
structured questionnaire to evaluate the quality of information about IUDs
available through the Internet. We then conducted an online search to identify
web sites containing information about contraception and IUDs using common search
engines. The search was performed in August 2013 and web sites were reviewed
again in October 2015 to ensure there were no substantial changes. RESULTS: Our
search identified >2000 web sites, of which 108 were eligible for review; 105
(97.2%) of these sites contained information about IUDs. Of sites, 86% provided
at least 1 mechanism of the IUD. Most web sites accurately reported advantages of
the IUD including that it is long acting (91%), highly effective (82%), and
reversible (68%). However, only 30% of sites explicitly indicated that IUDs are
safe. Fifty percent (n = 53) of sites contained inaccurate information about the
IUD such as an increased risk of pelvic inflammatory disease beyond the insertion
month (27%) or that women in nonmonogamous relationships (30%) and nulliparous
women (20%) are not appropriate candidates. Among sites, 44% stated that a
mechanism of IUDs is prevention of implantation of a fertilized egg. Only 3% of
web sites incorrectly stated that IUDs are an abortifacient. More than a quarter
of sites listed an inaccurate contraindication to the IUD such as nulliparity,
history of pelvic inflammatory disease, or history of an ectopic pregnancy.
CONCLUSION: The quality of information about IUDs available on the Internet is
variable. Accurate information was mixed with inaccurate or outdated information
that could perpetuate myths about IUDs. Clinicians need knowledge about accurate,
evidence-based Internet resources to provide to women given the inconsistent
quality of information available through online sources.
PMID- 26546849
TI - Evaluation of trainees' ability to perform obstetrical ultrasound using
simulation: challenges and opportunities.
AB - BACKGROUND: Evaluation of trainee's ability in obstetrical ultrasound is a time
consuming process, which requires involving patients as volunteers. With the use
of obstetrical ultrasound simulators, virtual reality could help in assessing
competency and evaluating trainees in this field. OBJECTIVE: The objective of the
study was to test the validity of an obstetrical ultrasound simulator as a tool
for evaluating trainees following structured training by comparing scores
obtained on obstetrical ultrasound simulator with those obtained on volunteers
and by assessing correlations between scores of images and of dexterity given by
2 blinded examiners. STUDY DESIGN: Trainees, taking the 2013 French national
examination for the practice of obstetrical ultrasound were asked to obtain
standardized ultrasound planes both on volunteer pregnant women and on an
obstetrical ultrasound simulator. These planes included measurements of
biparietal diameter, abdominal circumference, and femur length as well as
reference planes for cardiac 4-chamber and outflow tracts, kidneys,
stomach/diaphragm, spine, and face. Images were stored and evaluated subsequently
by 2 national examiners who scored each picture according to previously
established quality criteria. Dexterity was also evaluated and subjectively
scored between 0 and 10. The Raghunathan's modification of Pearson, Filon's z,
Spearman's rank correlation, and analysis of variance tests were used to assess
correlations between the scores by the 2 examiners and scores of dexterity and
also to compare the final scores between the 2 different methods. RESULTS: We
evaluated 29 trainees. The mean dexterity scores in simulation (6.5 +/- 2.0) and
real examination (5.9 +/- 2.3) were comparable (P = .31). Scores with an
obstetrical ultrasound simulator were significantly higher than those obtained on
volunteers (P = .027). Nevertheless, there was a good correlation between the
scores of the 2 examiners judging on simulation (R = 0.888) and on volunteers (R
= 0.873) (P = .81). CONCLUSION: An obstetrical ultrasound simulator is as good a
method as volunteer-based examination for evaluating practical skills in trainees
following structured training in obstetrical ultrasound. The threshold for
success/failure should, however, be adapted as candidates obtain higher scores on
the simulator. Advantages of the obstetrical ultrasound simulator include the
absence of location and time constraints without the need to involve volunteers
or to interfere with the running of ultrasound clinics. However, an obstetrical
ultrasound simulator still lacks the ability to evaluate the trainees' ability to
interact with patients.
PMID- 26546850
TI - INTERGROWTH-21st vs customized birthweight standards for identification of
perinatal mortality and morbidity.
AB - BACKGROUND: The recently published INTERGROWTH-21st Project international
population standard for newborn size is intended for global use, but its ability
to identify small infants at risk of adverse outcomes in a general obstetric
population has not been reported. OBJECTIVE: The objective of the study was to
compare adverse neonatal outcomes among small-for-gestational-age (SGA) infants
between the INTERGROWTH-21st standard and a customized birthweight standard
(accounting for maternal characteristics of height, weight, parity, and
ethnicity). We hypothesized that in a multiethnic general obstetric population in
Auckland, New Zealand, a customized birthweight standard would better identify
SGA infants at-risk of neonatal morbidity/mortality and stillbirth than the
INTERGROWTH-21st standard. STUDY DESIGN: Using prospectively gathered maternity
data from a general obstetric population in Auckland, New Zealand, from 2006 to
2013 (n = 53,484 births at >= 33 weeks), infants were classified as SGA
(birthweight < 10th centile) by INTERGROWTH-21st and customized standards.
Infants were further categorized as SGA by both criteria, INTERGROWTH-21st only,
customized only, or not SGA (met neither criteria). Composite adverse neonatal
outcome was defined as neonatal death, neonatal intensive care admission > 48
hours, or ventilation > 4 hours or 5-minute Apgar score < 7. Relative risks for
primary outcomes were estimated using modified Poisson regression, with the non
SGA group as the referent. RESULTS: Incidence of SGA was 4.5% by INTERGROWTH-21st
and 11.6% by customized standard. Compared with those not SGA, infants identified
as small for gestational age by both criteria had the highest risk of adverse
neonatal outcome (relative risk [RR], 4.1, 95% confidence interval [CI], 3.7-4.6)
and stillbirth (RR, 8.3, 95% CI, 5.1-13.4). Infants SGA by customized standard
only (n = 4015) had an increased risk of adverse neonatal outcome (RR, 2.0, 95%
CI, 1.8-2.2) and stillbirth (RR, 3.0, 95% CI, 1.7-5.3). Few infants were
identified as SGA by INTERGROWTH-21st only (n = 172), and risks of adverse
neonatal outcome and stillbirth were not increased. Findings were unchanged when
analyses were limited to term infants (n = 50,739). The INTERGROWTH-21st standard
identified more Indian (12.8%) and Asian (5.8%) but fewer European (3.0%) and
Pacific (2.9%) infants as SGA (P < .01). Customized criteria identified more than
3 times as many SGA infants among Maori (14.5%), Pacific (13.5%), and European
(11.2%) infants and twice as many among Asian (10.3%) infants (P<0.01) compared
with INTERGROWTH-21st criteria. The majority of SGA infants by INTERGROWTH-21st
only were born to Indian and Asian mothers (95.4%). CONCLUSIONS: In our general
obstetric population, birthweight customization identified more SGA infants at
risk of perinatal mortality and morbidity compared with the INTERGROWTH-21st
standard. The INTERGROWTH-21st standard failed to detect many at-risk SGA
infants, particularly among ethnic groups with larger maternal size while
disproportionately identifying higher rates of SGA among those with smaller
maternal size. Local validation is needed prior to implementation of the
INTERGROWTH-21st standard to avoid misclassification of infant birth size.
PMID- 26546851
TI - Pregnancy among US women: differences by presence, type, and complexity of
disability.
AB - BACKGROUND: Approximately 12% of women of reproductive age have some type of
disability. Very little is known about sexual and reproductive health issues
among women with disabilities, including what proportion of women with
disabilities experience pregnancy. Data on pregnancy are important to inform
needs for preconception and pregnancy care for women with disabilities.
OBJECTIVE: The purpose of this study was to describe the occurrence of pregnancy
among women with various types of disability and with differing levels of
disability complexity, compared with women without disabilities, in a nationally
representative sample. STUDY DESIGN: We conducted cross-sectional analyses of
2008-2012 Medical Expenditure Panel Survey annualized data to estimate the
proportion of women aged 18-44 years with and without disabilities who reported a
pregnancy during 1 year of participation on the survey panel. We used a
multivariable logistic regression to test the association of pregnancy with
presence, type, and complexity of disability, controlling for other factors
associated with pregnancy. RESULTS: Similar proportions of women with and without
disabilities reported a pregnancy (10.8% vs 12.3%, with 95% confidence intervals
overlapping). Women with the most complex disabilities (those that impact
activities such as self-care and work) were less likely to have been pregnant
(adjusted odds ratio, 0.69, 95% confidence interval, 0.52-0.93), but women whose
disabilities affected only basic actions (seeing, hearing, movement, cognition)
did not differ significantly from women with no disabilities. CONCLUSION: Women
with a variety of types of disabilities experience pregnancy. Greater attention
is needed to the reproductive health care needs of this population to ensure
appropriate contraceptive, preconception, and perinatal care.
PMID- 26546852
TI - Repeated isoflurane exposure and neuroapoptosis in the midgestation fetal sheep
brain.
AB - BACKGROUND: Advances in surgery and technology have resulted in increased in
utero procedures. However, the effect of anesthesia on the fetal brain is not
fully known. The inhalational anesthetic agent, isoflurane, other gamma amino
butyric acid agonists (benzodiazepines, barbiturates, propofol, other inhalation
anesthetics), and N-methyl D aspartate antagonists, eg, ketamine, have been shown
to induce neuroapoptosis. The ovine model has been used extensively to study
maternal-fetal physiologic interactions and to investigate different surgical
interventions on the fetus. OBJECTIVE: The purpose of this study was to determine
effects of different doses and duration of isoflurane on neuroapoptosis in
midgestation fetal sheep. We hypothesized that repeated anesthetic exposure and
high concentrations of isoflurane would result in increased neuroapoptosis. STUDY
DESIGN: Time-dated, pregnant sheep at 70 days gestation (term 145 days) received
either isoflurane 2% * 1 hour, 4% * 3 hours, or 2% * 1 hour every other day for 3
exposures (repeated exposure group). Euthanasia occurred following anesthetic
exposure and fetal brains were processed. Neuroapoptosis was detected by
immunohistochemistry using anticaspase-3 antibodies. Fetuses unexposed to
anesthesia served as controls. Another midgestation group with repeated 2%
isoflurane exposure was examined at day 130 (long-term group) and neuronal cell
density compared to age-matched controls. Representative sections of the brain
were analyzed using Aperio Digital imaging (Leica Microsystems Inc, Buffalo
Grove, IL). Data, reported by number of neurons per cubic millimeter of brain
tissue are presented as means and SEM. Data were analyzed using the Mann-Whitney
U and Kruskal-Wallis tests as appropriate. RESULTS: A total of 34 fetuses were
studied. There was no significant difference in neuroapoptosis observed in
fetuses exposed to 2% isoflurane for 1 hour or 4% isoflurane for 3 hours.
Increased neuroapoptosis was observed in the frontal cortex following repeated 2%
isoflurane exposure compared to controls (1.57 +/- 0.22 * 10(6)/mm(3) vs 1.01 +/-
0.44 * 10(6)/mm(3), P = .02). Fetuses at 70 days gestation with repeated exposure
demonstrated decreased frontal cortex neurons at day 130 when compared to age
matched controls (2.42 +/- 0.3 * 10(5)/mm(3) vs 7.32 +/- 0.4 * 10(5)/mm(3), P =
.02). No significant difference in neuroapoptosis was observed between the
repeated exposure group and controls in the hippocampus, cerebellum, or basal
ganglia. CONCLUSION: Repeated isoflurane exposure in midgestation sheep resulted
in increased frontal cortex neuroapoptosis. This persisted into late gestation as
decreased neuronal cell density. While animal studies should be extrapolated to
human beings with caution, our findings suggest that the number of
anesthetic/sedative exposures should be considered when contemplating the risks
and benefits of fetal intervention as certain fetal therapies may need to be
repeated.
PMID- 26546853
TI - Screening for carbapenemase-producing Enterobacteriaceae-issues for
consideration.
PMID- 26546854
TI - The epidemiological characteristics and genetic diversity of dengue virus during
the third largest historical outbreak of dengue in Guangdong, China, in 2014.
AB - OBJECTIVES: The third largest historical outbreak of dengue occurred during July
to December 2014, in 20 of 21 cities of Guangdong, China. The epidemiological and
molecular characteristics of the introduction, expansion and phylogeny of the
DENV isolates involved in this outbreak were investigated. METHODS: A combination
analyses of epidemiological characteristics and genetic diversity of dengue virus
was performed in this study. RESULTS: In total, 45,236 cases and 6 fatalities
were reported. Unemployed individuals, retirees and retailers were the most
affected populations. A total of 6024 cases were verified to have DENV infections
by nucleic acid detection, of which 5947, 74 and 3 were confirmed to have DENV-1,
-2, and -3 infections, respectively. Phylogenetic analyses of DENV-1 isolates
were assigned into three genotypes (I, IV, and V). Genotype V was the predominant
genotype that likely originated from Singapore. The DENV-2 isolates were assigned
to the Cosmopolitan and Asian I genotypes. A unique DENV-3 isolate (genotype III)
shared high similarity with isolates obtained from Guangdong in 2013.
CONCLUSIONS: A combination analyses demonstrated the multiple geographical
origins of this outbreak, and highlight the importance of early detection, the
case management and vector surveillance for preventing further dengue epidemics
in Guangdong.
PMID- 26546856
TI - Urban/Rural Differences in Therapy Service Use Among Medicaid Children Aged 0-3
With Developmental Conditions in Colorado.
AB - OBJECTIVE: To describe urban/rural differences in physical (PT) and occupational
therapy (OT) service utilization and spending among a sample of young Medicaid
enrolled children with developmental conditions. METHODS: We analyzed Colorado
Children's Medicaid administrative claims from 2006 to 2008. The sample included
children who were younger than 36 months of age, had a select developmental
condition, and were continuously eligible for each study year up to their third
birthday. The study outcomes were number of PT/OT claims, type of PT/OT service,
and Medicaid PT/OT spending. Multivariable analyses examined urban/rural
differences in PT/OT utilization and spending, adjusting for child, family, and
health service characteristics. RESULTS: The sample included 20,959 children. In
adjusted analyses, urban children had 2-fold higher odds (odds ratio 2.18, 95%
confidence interval 1.89, 2.51) of receiving PT/OT compared to their rural peers.
Median annual per-child Medicaid PT/OT spending was $99 higher ($98.79 [$3.23,
$194.35]) for urban children versus rural children. When place of PT/OT service
and PT/OT procedures was included, this spending difference was drastically
reduced. CONCLUSIONS: Even accounting for child, family, and health service
characteristics, Medicaid PT/OT spending is lower for rural children compared to
their urban peers. The difference in spending is largely attributable to
utilization of services that are less specialized than urban peers, thus
suggesting disparities in access to appropriate PT/OT services.
PMID- 26546857
TI - Mineral homeostasis and regulation of mineralization processes in the skeletons
of sharks, rays and relatives (Elasmobranchii).
AB - Sharks, rays and other elasmobranch fishes are characterized by a skeletal type
that is unique among living vertebrates, comprised predominantly of an
unmineralized cartilage, covered by a thin outer layer of sub-millimeter,
mineralized tiles called tesserae. The mineralized portion of the skeleton
appears to grow only by apposition, adding material at the edges of each tessera;
maintenance of non-mineralized joints between tesserae is therefore vital, with
precise control of mineral deposition and inhibition at the many thousands of
growth fronts in the skeleton. Yet, we have only scattered evidence as to how the
elasmobranchs mineralize and grow their skeletons. In this review, we take an
"environment to skeleton" approach, drawing together research from a vast range
of perspectives to track calcium and phosphate from the typical elasmobranch
habitats into and through the body, to their deposition at tesseral growth
fronts. In the process, we discuss the available evidence for skeletal resorption
capability, mineral homeostasis hormones, and nucleation inhibition mechanisms.
We also outline relevant theories in crystal nucleation and typical errors in
measurements of serum calcium and phosphate in the study of vertebrate biology.
We assemble research that suggests consensus in some concepts in elasmobranch
skeletal development, but also highlight the very large gaps in our knowledge,
particularly in regards to endocrine functional networks and biomineralization
mechanisms. In this way, we lay out frameworks for future directions in the study
of elasmobranch skeletal biology with stronger and more comparative links to
research in other disciplines and into other taxa.
PMID- 26546858
TI - Bridging the translational gap: collaborative drug development and dispelling the
stigma of commercialization.
AB - The current drug discovery and development process is stalling the translation of
basic science into lifesaving products. Known as the 'Valley of Death', the
traditional technology transfer model fails to bridge the gap between early-stage
discoveries and preclinical research to advance innovations beyond the discovery
phase. In addition, the stigma associated with 'commercialization' detracts from
the importance of efficient translation of basic research. Here, I introduce a
drug discovery model whereby the respective expertise of academia and industry
are brought together to take promising discoveries through to proof of concept as
a way to derisk the drug discovery and development process. Known as the
'integrated drug discovery model', I examine here the extent to which existing
legal frameworks support this model.
PMID- 26546855
TI - Comprehensive clinical and epidemiological assessment of colonisation and
infection due to carbapenemase-producing Enterobacteriaceae in Spain.
AB - BACKGROUND: Most available information on carbapenemase-producing
Enterobacteriaceae (CPE) is usually associated with specific types of infection
or patient or with descriptions of outbreaks. The aim of this study was to
comprehensively analyse the clinical epidemiology, clinical features and outcomes
of colonisation and infections due to CPE in Spain. METHODS: A multicentre
prospective cohort study was carried out in 34 Spanish hospitals from February to
May 2013. All new patients testing positive for CPE in clinical samples were
included. Logistic regression was used to identify predictors of mortality.
RESULTS: Overall, 245 cases were included. The most frequent organism was
Klebsiella pneumoniae (74%) and the carbapenemases belonged to the OXA-48 (74%),
metallo-beta-lactamase (MBL) (24%) and KPC (2%) groups. Acquisition was
nosocomial in 145 cases (60%) and healthcare-associated (HCA) in 91 (37%); 42% of
the latter were nursing home residents, in whom OXA-48-producing K. pneumoniae
ST405 predominated. MBLs and OXA-48 predominated in ICU and medical patients,
respectively. Overall, 67% of patients had infections. The most frequent
infections identified in this study were urinary tract (43%) and skin structure
(21%) infections, and 10% of infections were bacteraemic. Crude mortality was
20%. Inappropriate antibiotic therapy was independently associated with an
increased risk of death (OR = 3.30; 95% CI: 1.34-8.11). CONCLUSIONS: We found
some differences in the epidemiology of CPE depending on the type of
carbapenemase produced. Although a low proportion of CPE infections were
bacteraemic, active antibiotic therapy was a protective factor for reducing
mortality.
PMID- 26546859
TI - Natural polyphenols: potential in the prevention of sexually transmitted viral
infections.
AB - Sexually transmitted viral infections represent a major public health concern due
to lack of effective prevention strategies. Efforts are ongoing to develop
modalities that can enable simultaneous prevention of multiple sexually
transmitted infections. In the present review, we discuss the potential of
natural polyphenols to prevent sexually transmitted viral infections. The review
gives an account of various in vitro and in vivo studies carried out on
epigallocatechin gallate, theaflavins (black tea polyphenols), resveratrol,
genistein and curcumin to highlight their potential to prevent sexually
transmitted infections caused by HIV (human immunodeficiency virus), HSV (herpes
simplex virus) and HPV (human papilloma virus).
PMID- 26546860
TI - Psychophysiological science and the research domain criteria: A commentary.
AB - The current special issue, devoted to the Research Domain Criteria (RDoC)
initiative of the US National Institute of Mental Health, showcases a variety of
empirical and review articles that address issues related to this dimensional and
multi-method approach to research on mental disorders. Here, we provide an
integrative perspective on various aspects of these articles, focused around the
primary principles of the RDoC approach and the practical and methodological
issues related to conducting RDoC-informed research. The chief point we wish to
highlight is that these articles demonstrate the ways in which the field of
psychophysiology already thinks along the lines of RDoC in terms of using
biobehavioral constructs, looking for convergence among constructs using various
methodologies, and utilizing dimensional measurements in studies. In this sense,
RDoC is not novel; however, by specifying a formal research platform it provides
explicit encouragement and guidance for using such principles in understanding
psychiatric phenomena, rather than continuing to focus research efforts on
traditional diagnostic categories alone.
PMID- 26546861
TI - Situating psychophysiological science within the Research Domain Criteria (RDoC)
framework.
AB - The Research Domain Criteria (RDoC) reflects a paradigm shift in mental health
research aimed at establishing a science of psychopathology that is grounded in
neuroscience. In many ways, the RDoC approach to research has been utilized for
decades by psychophysiologists who have leveraged a range of biological measures
to study variability in psychological processes as a function of individual
differences. We highlight the critical role of psychophysiology in the era of
RDoC, and briefly review the 13 papers and commentary that form the current
special issue.
PMID- 26546862
TI - The Virtual Tray of Objects Task as a novel method to electrophysiologically
measure visuo-spatial recognition memory.
AB - We explored a novel method to electrophysiologically measure visuo-spatial
recognition memory using a modified version of the Virtual Tray of Objects Task
(VTOT). Event-related potentials (ERP) were recorded from 18 healthy volunteers
during performance in the VTOT. Participants were required to detect random
repetitions of three-dimensional visual stimuli (OLD) and to refrain from
responding to non-repeated stimuli (NEW). Differences in ERP between the NEW and
OLD conditions were tested for statistical significance using assumption-free non
parametric analyses. Further, a correlation between ERP and behavioral measures
was sought. Significant OLD-NEW effects were found for four ERP components
showing distinct spatio-temporal characteristics: a posterior positive component
appearing at 100 ms (P100), a left-lateralized negative component peaking at ~250
ms (N250), a frontal negative component at ~300-450 ms (FN400), and a right late
frontal negativity (rLFN) at ~500-720 ms. Moreover, individual differences in the
OLD-NEW effect computed for the rLFN positively correlated with repeated stimulus
recognition efficiency. However, there were no late left parietal P600 old/new
effects. These findings suggest that the P100 component might reflect early
visual perception processes taking place during performance in the task, whereas
the N250 and FN400 components could be linked to stimulus-dependent access to
visual memory representations and familiarity-related processes, respectively. In
contrast, we propose that the rLFN component could be associated with higher
level cognitive functions, such as attention and monitoring processes.
Altogether, our results suggest that the ERP version of the VTOT could play a
role in the electrophysiological assessment of visuo-spatial memory and related
sub-processes.
PMID- 26546863
TI - State space modeling of time-varying contemporaneous and lagged relations in
connectivity maps.
AB - Most connectivity mapping techniques for neuroimaging data assume stationarity
(i.e., network parameters are constant across time), but this assumption does not
always hold true. The authors provide a description of a new approach for
simultaneously detecting time-varying (or dynamic) contemporaneous and lagged
relations in brain connectivity maps. Specifically, they use a novel raw data
likelihood estimation technique (involving a second-order extended Kalman
filter/smoother embedded in a nonlinear optimizer) to determine the variances of
the random walks associated with state space model parameters and their
autoregressive components. The authors illustrate their approach with simulated
and blood oxygen level-dependent functional magnetic resonance imaging data from
30 daily cigarette smokers performing a verbal working memory task, focusing on
seven regions of interest (ROIs). Twelve participants had dynamic directed
functional connectivity maps: Eleven had one or more time-varying contemporaneous
ROI state loadings, and one had a time-varying autoregressive parameter. Compared
to smokers without dynamic maps, smokers with dynamic maps performed the task
with greater accuracy. Thus, accurate detection of dynamic brain processes is
meaningfully related to behavior in a clinical sample.
PMID- 26546864
TI - Neural signatures of hand kinematics in leaders vs. followers: A dual-MEG study.
AB - During joint actions, people typically adjust their own actions according to the
ongoing actions of the partner, which implies that the interaction modulates the
behavior of both participants. However, the neural substrates of such mutual
adaptation are still poorly understood. Here, we set out to identify the
kinematics-related brain activity of leaders and followers performing hand
actions. Sixteen participants as 8 pairs performed continuous, repetitive right
hand opening and closing actions with ~3-s cycles in a leader-follower task.
Subjects played each role for 5min. Magnetoencephalographic (MEG) brain signals
were recorded simultaneously from both partners with a dual-MEG setup, and hand
kinematics was monitored with accelerometers. Modulation index, a cross-frequency
coupling measure, was computed between the hand acceleration and the MEG signals
in the alpha (7-13Hz) and beta (13-25Hz) bands. Regardless of the participants'
role, the strongest alpha and beta modulations occurred bilaterally in the
sensorimotor cortices. In the occipital region, beta modulation was stronger in
followers than leaders; these oscillations originated, according to beamformer
source reconstructions, in early visual cortices. Despite differences in the
modulation indices, alpha and beta power did not differ between the conditions.
Our results indicate that the beta modulation in the early visual cortices
depends on the subject's role as a follower or leader in a joint hand-action
task. This finding could reflect the different strategies employed by leaders and
followers in integrating kinematics-related visual information to control their
own actions.
PMID- 26546865
TI - GABA concentration in superior temporal sulcus predicts gamma power and
perception in the sound-induced flash illusion.
AB - In everyday life we are confronted with inputs of multisensory stimuli that need
to be integrated across our senses. Individuals vary considerably in how they
integrate multisensory information, yet the neurochemical foundations underlying
this variability are not well understood. Neural oscillations, especially in the
gamma band (>30Hz) play an important role in multisensory processing.
Furthermore, gamma-aminobutyric acid (GABA) neurotransmission contributes to the
generation of gamma band oscillations (GBO), which can be sustained by activation
of metabotropic glutamate receptors. Hence, differences in the GABA and glutamate
systems might contribute to individual differences in multisensory processing. In
this combined magnetic resonance spectroscopy and electroencephalography study,
we examined the relationships between GABA and glutamate concentrations in the
superior temporal sulcus (STS), source localized GBO, and illusion rate in the
sound-induced flash illusion (SIFI). In 39 human volunteers we found robust
relationships between GABA concentration, GBO power, and the SIFI perception rate
(r-values=0.44 to 0.53). The correlation between GBO power and SIFI perception
rate was about twofold higher when the modulating influence of the GABA level was
included in the analysis as compared to when it was excluded. No significant
effects were obtained for glutamate concentration. Our study suggests that the
GABA level shapes individual differences in audiovisual perception through its
modulating influence on GBO. GABA neurotransmission could be a promising target
for treatment interventions of multisensory processing deficits in clinical
populations, such as schizophrenia or autism.
PMID- 26546866
TI - Polysaccharopeptide exerts immunoregulatory effects via MyD88-dependent signaling
pathway.
AB - AIMS: The present study is to investigate the immunomodulatory mechanism and
related pathways of polysaccharopeptide (PSP) in mice bearing Ehrlich's ascites
carcinoma (EAC). METHODS: Twelve female wild-type C57 mice were randomly divided
into three groups. Another twelve female myeloid differentiation factor 88
(MyD88)-deficient mice were randomly assigned to three groups. Cell survival and
peritoneal macrophage phagocytosis were measured using WST8 assay. Nitric oxide
concentration was determined by Griess reaction. ELISA was used to measure tumor
necrosis factor-alpha and interferon-gamma levels. Quantitative real-time PCR was
employed to measure mRNA levels. Western blotting was performed to determine
protein expression. RESULTS: PSP significantly inhibited the proliferation of EAC
cells via macrophage activation. PSP-primed macrophages exhibited a higher
tumoricidal activity than untreated macrophages. PSP markedly inhibited the
growth of the tumor and increased macrophage phagocytosis, nitric oxide release
and cytokine secretion. Expression of MyD88 was markedly increased in PSP-treated
groups, while ST2825 inhibited MyD88 signaling and interfered with nitric oxide
release and the secretion of tumor necrosis factor-alpha and interferon-gamma.
Moreover, mRNA and protein levels associated with MyD88-dependent signaling
pathway in MyD88-deficient mice group were significantly down-regulated compared
with wild-type mice group. CONCLUSIONS: PSP plays an immunoregulatory effect
through MyD88-dependent signaling pathway.
PMID- 26546867
TI - An exopolysaccharide isolated from a coral-associated fungus and its sulfated
derivative activates macrophages.
AB - A coral-associated fungus Penicillium sp.gxwz446 that produced exopolysaccharde
was isolated from the coral Echinogorgia flora in South China. Two neutral
exopolysaccharides GX1-1 and GX2-1 were obtained from the fermented broth of the
fungus and purified by anion-exchange and gel-permeation chromatography. Chemical
and spectroscopic analyses showed that GX1-1 was a glucan, primarily composed of
glucose, with a molecular weight of 5.0 kDa. GX1-1 mainly consists of (1->4)
linked alpha-d-glucopyranose units as the backbone, substituted at C-2 with a
single alpha-d-glucopyranose on every sixth sugar residues. GX2-1 was a
galactofuranose-containing mannogalactoglucan with a molecular weight of 9.5 kDa.
The main linkages were composed of (1->4)-beta-d-Glcp, (1->5)-beta-d-Galf, (1
>3,5)-beta-d-Galf, (1->6)-alpha-d-Manp and (1->2, 6)-alpha-d-Manp. GX1-1 showed
RAW264.7 macrophage activation activity. After subjecting GX1-1 to sulfated
modification, there was about one sulfate substitution on every sugar ring,
primarily at O-6. The sulfated derivative of GX1-1 exhibited a more significant
ability to promote the pinocytic activity of RAW264.7 cells and induce the
production of NO.
PMID- 26546868
TI - Removal of direct dyes from aqueous solution by oxidized starch cross-linked
chitosan/silica hybrid membrane.
AB - In this research, chitosan/oxidized starch/silica (CS/OSR/Silica) hybrid membrane
was prepared by using oxidized starch and 3-aminopropyltriethoxysilane (APTES) as
cross-linking agents. The characterizations of the hybrid membrane were
investigated by using attenuated total reflection (ATR) spectroscopy, scanning
electron microscopy (SEM), thermogravimetry (TG) analysis and swelling
measurement. The CS/OSR/Silica hybrid membrane exhibited the improved thermal
stability and low degree of swelling in water. The adsorption properties of the
CS/OSR/Silica hybrid membrane were studied by using two direct dyes (Blue 71 and
Red 31). The results indicated the adsorption capacity of the CS/OSR/Silica
hybrid membrane was found optimal at pH 9.82 and temperature 60 degrees C for
Blue 71 and Red 31. The adsorption kinetic data followed pseudo-second order
kinetic model and the adsorption behavior of the two dyes on the hybrid membrane
fitted well with the Freundlich model. The CS/OSR/Silica hybrid membrane can be
used as an appropriate biosorbent for removal of direct dyes from colored
wastewater.
PMID- 26546869
TI - Sodium lignosulfonate as a renewable stabilizing agent for aqueous alumina
suspensions.
AB - The macromolecule sodium lignosulfonate (SL) has been investigated as dispersing
agent for aqueous alumina colloids as a renewable alternative to usual
petrochemical stabilizing agents. Optimization of the SL concentration necessary
to stabilize the alumina suspension at different pH values was determined by
viscometer. The results showed that addition of 250 ppm of the total suspension
mass led to about 70% viscosity reduction of the suspension, whereas zeta
potential analysis revealed negative values for the SL suspensions throughout the
pH range investigated, suggesting that the alumina particles were covered by
negatively charged SL molecules. Particle size investigation informed that the
average particle diameter of the SL suspensions was smaller compared to that of
the pristine particles. Sedimentation time for the SL suspensions was
dramatically longer than that for the pristine one. The reported data strongly
corroborated that SL is a suitable renewable dispersing agent for aqueous alumina
colloids.
PMID- 26546870
TI - Durable antibacterial and UV protections of in situ synthesized zinc oxide
nanoparticles onto cotton fabrics.
AB - Herein we represent a new discovery based on amine material called
hexamethyltriethylene tetramine (HMTETA). We have observed that when an aqueous
solution of Zn(NO3).6H2O was added to aqueous solution of HMTETA followed by
shaking for a time, the colorless solution was converted to milky color under the
alkaline medium provided by HMTETA prior to formation of uniform zinc oxide
nanoparticles (ZnO NPs). The latter are in situ formed within the cotton fabrics
without the support of capping or other stabilizing agents. Obviously, then, the
new made of formation of ZnO NPs speaks of a single-stage process where cotton
fabric is immersed in a prepared solution of the new precursors through which
binding of ZnO NPs into the textile fabrics takes place. Textile fabrics are,
indeed, used as a template, which is capable of maintaining the size and surface
distribution of the as-synthesized nanoparticles in a uniform domain. It is also
likely that nanoparticles is confined inside the fibril and microfibrils of the
cotton fibers. World-class facilities have been employed to follow up the
synthesis of ZnO NPs, their characterization and their application to confer, in
particular, high durable antibacterial and UV protective function on cotton
fabrics.
PMID- 26546871
TI - Significant growth of adrenal lymphangioma: A case report and review of the
literature.
AB - INTRODUCTION: Adrenal lymphangiomas are benign malformations of lymphatic vessels
of adrenal gland. Adrenal lymphangiomas are very rare, although lymphangiomas are
most commonly located in the neck, axillary region and mediastinum. PRESENTATION
OF CASE: A 44-year-old woman presented to the outpatient clinic with dizziness,
headache during the last 2 year. We report a significant growth case of an
adrenal lymphangioma removed by transperitoneal laparoscopy. At laparoscopy, a
well-marginated multicystic lesion was found at suprarenal area with nonviscous,
brown colored fluid. The cystic mass was measured as 5.5*3.0cm and
histopathological diagnosis was cystic lymphangioma in the right adrenal gland.
On immunohistochemical examination, D2-40 cytoplastic staining was positive,
whereas calretinin and CD34 were negative, thus, confirming their lymphatic
nature. At 11 months of follow up, the patient was recurrence free. DISCUSSION:
Lymphangiomas are benign malformations of lymphatic vessels and subtype of
endothelial adrenal cysts. Adrenal cysts are histologically classified into four
main groups: endothelial cysts (45%), pseudocysts (39%), epithelial cysts (9%),
and parasitic cysts (7%). Endothelial cysts are divided into two subgroups:
lymphangiomatous and angiomatous cysts. Lymphangiomatous adrenal cysts are also
known as adrenal lymphangioma. Management of larger lesions or lesions causing
symptoms may require surgical resection to determine diagnosis or relieve
symptoms. CONCLUSION: Lymphangiomas are most commonly located in the neck,
axillary region and mediastinum, which are rare at adrenal gland. Transperitoneal
laparoscopic removal of the adrenal lymphangiomas is regarded as a safe,
effective and minimally invasive approach. In our case, laparoscopic removal of
the tumor was successfully performed via a transperitoneal approach.
PMID- 26546872
TI - Metabolic exchanges within tumor microenvironment.
AB - Tumor progression toward malignancy often requires a metabolic rewiring of cancer
cells to meet changes in metabolic demand to forefront nutrient and oxygen
withdrawal, together with strong anabolic requests to match high proliferation
rate. Tumor microenvironment highly contributes to metabolic rewiring of cancer
cells, fostering complete nutrient exploitation, favoring OXPHOS of lipids and
glutamine at the expense of glycolysis and enhancing exchanges via extracellular
microvesicles or exosomes of proteins, lipids and small RNAs among tumor and
stromal cells. Noteworthy, the same molecular drivers of metabolic reprogramming
within tumor and stroma are also able to elicit motility, survival and self
renewal on cancer cells, thereby sustaining successful escaping strategies to
circumvent the hostile hypoxic, acidic and inflammatory environment. This review
highlights the emerging role of nutrients and vesicle-mediated exchanges among
tumor and stromal cells, defining their molecular pathways and offering new
perspectives to develop treatments targeting this complex metabolic rewiring.
PMID- 26546873
TI - HLA Haplotype Validator for quality assessments of HLA typing.
AB - HLA alleles are observed in specific haplotypes, due to Linkage Disequilibrium
(LD) between particular alleles. Haplotype frequencies for alleles in strong LD
have been established for specific ethnic groups and racial categories.
Application of high-resolution HLA typing using Next Generation Sequencing (NGS)
is becoming a common practice in research and clinical laboratory settings. HLA
typing errors using NGS occasionally occur due to allelic sequence imbalance or
misalignment. Manual inspection of HLA genotypes is labor intensive and requires
an in-depth knowledge of HLA alleles and haplotypes. We developed the "HLA
Haplotype Validator (HLAHapV)" software, which inspects an HLA genotype for both
the presence of common and well-documented alleles and observed haplotypes. The
software also reports warnings when rare alleles, or alleles that do not belong
to recognized haplotypes, are found. The software validates observable haplotypes
in genotype data, providing increased confidence regarding the accuracy of the
HLA typing, and thus reducing the effort involved in correcting potential HLA
typing errors. The HLAHapV software is a powerful tool for quality control of HLA
genotypes prior to the application of downstream analyses. We demonstrate the use
of the HLAHapV software for identifying unusual haplotypes, which can lead to
finding potential HLA typing errors.
PMID- 26546874
TI - Sialylation of antibodies in kidney recipients with de novo donor specific
antibody, with or without antibody mediated rejection.
AB - BACKGROUND: DSA are associated with reduced long-term transplant function and
increased prevalence of chronic rejection in some patients, whereas others do
not: our goal was to determine whether the sialylation of IgG and DSA could help
to explain in these last cases their "non-aggressive" and/or "protective"
biological activity. METHODS: The sialylation level of total IgG in blood from
two groups of kidney-transplant patients with de novo DSA, one with an AMR
(DSA+AMR+), and the other without were studied. RESULTS: In the DSA+AMR- patients
total IgG were more sialylated at time of transplant, and at the first detection
of DSA, class I DSA were 2.6-fold more sialylated (mean 9.943+/-1.801 versus
3.898+/-2.475, p=0.058); DSA+AMR+ patients exhibited higher levels of class II
DSA. CONCLUSIONS: In our study, higher levels of sialylated IgG are detectable on
day of transplant in patients who do not develop AMR, they have higher sialylated
class I DSA at the initial detection of DSA, whereas class II DSA are
significantly higher in patients who develop AMR. This is the first report
suggesting that transplant outcome, and particularly AMR, is associated with
levels of sialylated IgG antibodies. Our data suggest that DSA are functionally
heterogeneous and that further studies with an enlarged cohort may improve our
understanding of their clinical impact.
PMID- 26546875
TI - Dual inhibition of nuclear factor kappa-B and Mdm2 enhance the antitumor effect
of radiation therapy for pancreatic cancer.
AB - INTRODUCTION: Radiation therapy, alone or in combination with chemotherapy, is
effective for patients with locally advanced and recurrent pancreatic cancer.
Ionizing radiation induces cell cycle arrest and cell apoptosis through
enhancement several signals such as p53, p21(Waf1/Cip1), and caspase. However,
the therapeutic efficacy is attenuated by radiation-induced activation of NF
kappaB. Nafamostat mesilate, a synthetic serine protease inhibitor, inhibits NF
kappaB activation in pancreatic cancer. Therefore, we hypothesized that
nafamostat mesilate inhibited radiation-induced activation of NF-kappaB and
improves therapeutic outcome. RESULTS: In combination group, NF-kappaB activation
was significantly inhibited in comparison with that of radiation group.
Nafamostat mesilate obviously down-regulated the expression levels of Mdm2
compared with control cells or irradiated cells. Consequently, p53 expression was
stabilized inversely in correlation with Mdm2 protein expression level. The
expression levels of p53, p21(Waf1/Cip1), cleaved caspase-3 and -8 were the
highest in the combination group. Nafamostat mesilate enhanced ionizing radiation
induced cell apoptosis and G2/M cell cycle arrest. In combination group, cell
proliferation and tumor growth were significantly slower than those in other
groups. CONCLUSION: Combination therapy of radiation with nafamostat mesilate
exerts enhanced anti-tumor effect against human pancreatic cancer.
PMID- 26546876
TI - Simultaneous influenza A and B infection in a pregnant woman in the context of
influenza A family cluster.
PMID- 26546877
TI - The impact of calicivirus mixed infection in an oyster-associated outbreak during
a food festival.
AB - BACKGROUND: Despite calicivirus food-borne outbreaks posing major public health
concern worldwide, little information is at present available about the impact of
caliciviruses mixed infection in an oyster-associated outbreak in China.
OBJECTIVES: To investigate the clinical and epidemiologic characteristics of an
oyster-associated calicivirus outbreak initiated by a food festival in Shanghai,
China, in April 2014. STUDY DESIGN: Molecular epidemiological studies based on
nucleotide sequencing and phylogenetic analysis of calicivirus strains from
patients. RESULTS: A total of 65 of the 78 (83%) cases from this outbreak were
associated with raw oyster consumption. Forty-six calicivirus strains were
identified from 25 stool specimens with norovirus (NoV) GII.4 Sydney_2012,
GII.13, GI.2, GI.5 and sapovirus (SaV) GI.2 being predominant genotypes and with
a prevalence of triple-, double- and single-infection being 20%, 48% and 28%,
respectively. Meanwhile, 13 putative NoV recombinants were indicated by the
phylogenetic inconsistency between capsid and polymerase genotype, mainly
including GII.Pe/GII.4 Sydney_2012. Molecular epidemiological investigation
showed possible multiple route transmission in the field. The clinical and
epidemiologic characteristics of the mixed point-source calicivirus outbreak also
conformed to Kaplan's criteria. CONCLUSIONS: This is the first reported oyster
associated calicivirus outbreak with a high prevalence of mixed infection during
a food festival described in China. Our investigation underscores the importance
of early surveillance and comprehensive etiologic identification of mixed point
source outbreaks and the need for reliable standards of monitoring oysters to
prevent and control calicivirus food-borne outbreaks in China.
PMID- 26546878
TI - Varicella-zoster virus-specific cell-mediated immunity and herpes zoster
development in multiple myeloma patients receiving bortezomib- or thalidomide
based chemotherapy.
AB - BACKGROUND: The incidence of herpes zoster is substantial during bortezomib
treatment in patients with multiple myeloma (MM). OBJECTIVES: This study aimed to
elucidate the effect of chemotherapy with or without bortezomib in MM patients on
their herpes zoster incidence and varicella zoster virus (VZV)-specific cell
mediated immunity (CMI). STUDY DESIGN: Peripheral blood mononuclear cells were
collected at baseline and after 1 month of bortezomib-based or thalidomide-based
chemotherapy and then analyzed using VZV-specific interferon-gamma (IFN-gamma)
enzyme-linked immunospot (ELISPOT) assay. The clinical data from these patients
were analyzed in relation to the ELISPOT results. RESULTS: Of 58 patients
analyzed, 39 patients received bortezomib and the other 19 patients, thalidomide.
Among them, 5 patients developed herpes zoster during chemotherapy; all 5 were
being treated with the bortezomib-based regimen and were not receiving
prophylactic anti-viral agents. The median onset of herpes zoster was 32 days
(range, 15-95 days) from the initiation of chemotherapy. Among patients who
received bortezomib therapy, acyclovir prophylaxis significantly reduced the risk
for herpes zoster (100-day cumulative incidence, 0% vs. 49.5%; p<0.001). Spot
forming cell (SFC) counts in the IFN-gamma ELISPOT assay decreased from baseline
after bortezomib (p=0.011) or thalidomide (p=0.096) treatment. Patients with
baseline SFCs greater than 20/10(6) mononuclear cells exhibited significantly
higher incidence of herpes zoster (100-day cumulative incidence, 34.8% vs. 0%;
p=0.040). CONCLUSIONS: Bortezomib treatment significantly reduced VZV-specific
CMI, and high baseline SFC counts in patients receiving this treatment without
acyclovir prophylaxis were associated with a significantly increased risk for
herpes zoster.
PMID- 26546879
TI - Youth is not wasted on the young: Commentary on a BBR themed issue on
developmental regulation of memory in anxiety and addiction.
PMID- 26546880
TI - A novel turning behavior control method for rat-robot through the stimulation of
ventral posteromedial thalamic nucleus.
AB - The concept of a rat-robot was initially introduced in 2002, bringing to the
field, a novel area of research using modern research into neuroscience and
robotics. This paper brings to the table, a study into the method best used for
navigation systems in a rat-robot. Current research is epitomized by the use of
reward-based spatial navigation, combining the concept of an induced reward
sensation as well as a 'virtual touch' sensation to control the movement of the
rat-robot. However, such methods are plagued by limitations affecting the success
rate as well as preparation procedures which may have varying effects on
different rats, even under similar conditions. Hence, this paper studies the
stimulation of two different portions of the brain to induce a turning motion
within the rat, namely the Ventral Posteromedial (VPM) thalamic nucleus as well
as the Barrel-Field (BF) cortex and demonstrates the preferential usage of VPM as
the choice use of navigational control in a rat-robot.
PMID- 26546881
TI - Effect of omega-3 polyunsaturated fatty acid treatment over mechanical allodynia
and depressive-like behavior associated with experimental diabetes.
AB - Neuropathic pain and depression are very common comorbidities in diabetic
patients. As the pathophysiological mechanisms are very complex and
multifactorial, current treatments are only symptomatic and often worsen the
glucose control. Thus, the search for more effective treatments are extremely
urgent. In this way, we aimed to investigate the effect of chronic treatment with
fish oil (FO), a source of omega-3 polyunsaturated fatty acid, over the
mechanical allodynia and in depressive-like behaviors in streptozotocin-diabetic
rats. It was observed that the diabetic (DBT) animals, when compared to
normoglycemic (NGL) animals, developed a significant mechanical allodynia since
the second week after diabetes induction, peaking at fourth week which is
completely prevented by FO treatment (0.5, 1 or 3g/kg). Moreover, DBT animals
showed an increase of immobility frequency and a decrease of swimming and
climbing frequencies in modified forced swimming test (MFST) since the second
week after diabetes injection, lasting up at the 4th week. FO treatment (only at
a dose of 3g/kg) significantly decreased the immobility frequency and increased
the swimming frequency, but did not induce significant changes in the climbing
frequency in DBT rats. Moreover, it was observed that DBT animals had
significantly lower levels of BDNF in both hippocampus and pre frontal cortex
when compared to NGL rats, which is completely prevented by FO treatment. In
conclusion, our study demonstrates that FO treatment was able to prevent the
mechanical allodynia and the depressive-like behaviors in DBT rats, which seems
to be related to its capacity of BDNF level restoration.
PMID- 26546882
TI - Age-dependent modulation of the somatosensory network upon eye closure.
AB - Eye closure even in complete darkness can improve somatosensory perception by
switching the brain to a uni-sensory processing mode. This causes an increased
information flow between the thalamus and the somatosensory cortex while
decreasing modulation by the visual cortex. Previous work suggests that these
modulations are age-dependent and that the benefit in somatosensory performance
due to eye closing diminishes with age. The cause of this age-dependency and to
what extent somatosensory processing is involved remains unclear. Therefore, we
intended to characterize the underlying age-dependent modifications in the
interaction and connectivity of different sensory networks caused by eye closure.
We performed functional MR-imaging with tactile stimulation of the right hand
under the conditions of opened and closed eyes in healthy young and elderly
participants. Conditional Granger causality analysis was performed to assess the
somatosensory and visual networks, including the thalamus. Independent of age,
eye closure improved the information transfer from the thalamus to and within the
somatosensory cortex. However, beyond that, we found an age-dependent recruitment
strategy. Whereas young participants were characterized by an optimized
information flow within the relays of the somatosensory network, elderly
participants revealed a stronger modulatory influence of the visual network upon
the somatosensory cortex. Our results demonstrate that the modulation of the
somatosensory and visual networks by eye closure diminishes with age and that the
dominance of the visual system is more pronounced in the aging brain.
PMID- 26546883
TI - A randomised trial comparing low-fat diets differing in carbohydrate and protein
ratio, combined with regular moderate intensity exercise, on glycaemic control,
cardiometabolic risk factors, food cravings, cognitive function and psychological
wellbeing in adults with type 2 diabetes: Study protocol.
AB - BACKGROUND: Hypocaloric low-fat diets, high in protein with moderate carbohydrate
(HP) can enhance weight loss, improve glycaemic control and improve
cardiometabolic health risk factors in type 2 diabetes mellitus (T2DM). However,
it is unclear whether the metabolic benefits observed during weight loss are
sustained during energy-balance and weight maintenance. Furthermore, there is a
lack of evidence regarding the effect of HP diets on food cravings, cognitive
function and psychological wellbeing in T2DM, despite carbohydrate food cravings,
cognitive impairment and depression being associated with hyperglycaemia.
METHODS/DESIGN: Overweight/obese adults with T2DM were randomised to consume
either a HP diet (n=32, ~32% protein, 33% carbohydrate, 30% fat) or a higher
carbohydrate diet (HC, n=29, ~22% protein, 51% carbohydrate, 22% fat) for 24
weeks with 30 min of moderate intensity exercise five days/week for the study
duration. There were 2 phases: a 12 week weight loss phase followed by a 12 week
weight maintenance phase. Primary outcome was glycaemic control (glycosylated
haemoglobin; HbA1c). Secondary outcomes were cardiometabolic risk factors (body
composition, fasting blood pressure, blood lipids, glucose, insulin and C
reactive protein), food cravings, cognitive function (memory; psychomotor and
executive function and psychological well-being. Outcomes were measured at
baseline and the end of each 12-week intervention phase. Data will be analysed as
intention-to-treat using linear mixed effects models. CONCLUSION: This study will
examine the effects of two dietary interventions on health outcomes in T2DM
during weight loss and notably following weight maintenance where there is a
paucity of evidence.
PMID- 26546884
TI - Anaerobic digestion of ultrasonicated sludge at different solids concentrations -
Computation of mass-energy balance and greenhouse gas emissions.
AB - Two cases of anaerobic digestion (AD) of sludge, namely (i) with pre-treatment
and (ii) without pre-treatment, were assessed using mass-energy balance and the
corresponding greenhouse gas (GHG) emissions. For a digestion period of 30 days,
volatile solids degradation of the control sludge and the ultrasonicated
secondary sludge was 51.4% and 60.1%, respectively. Mass balance revealed that
the quantity of digestate required for dewatering, transport and land application
was the lowest (20.2 * 10(6) g dry sludge/day) for ultrasonicated secondary
sludge at 31.4 g TS/L. Furthermore, for ultrasonicated secondary sludge at 31.4 g
TS/L, the maximum net energy (energy output - energy input) of total dry solids
(TDS) was 7.89 * 10(-6) kWh/g and the energy ratio (output/input) was 1.0. GHG
emissions were also reduced with an increase in the sludge solids concentration
(i.e., 40.0 g TS/L < 30.0 g TS/L < 20.0 g TS/L). Ultrasonication pre-treatment
proved to be efficient and beneficial for enhancing anaerobic digestion
efficiency of the secondary sludge when compared to the primary and mixed sludge.
PMID- 26546885
TI - Removal of arsenic from water using nano adsorbents and challenges: A review.
AB - Many researchers have used nanoparticles as adsorbents to remove water pollutants
including arsenic after modifying the properties of nanoparticles by improving
reactivity, biocompatibility, stability, charge density, multi-functionalities,
and dispersibility. For arsenic removal, nano adsorbents emerged as the potential
alternatives to existing conventional technologies. The present study critically
reviewed the past and current available information on the potential of nano
adsorbents for arsenic removal from contaminated water and the challenges
involved in that. The study discussed the separation and regeneration techniques
of nano adsorbents and the performance thereof. The study evaluated the
adsorption efficiency of the various nanoparticles based on size of
nanoparticles, types of nano adsorbents, method of synthesis, separation and
regeneration of the nano adsorbents. The study found that more studies are
required on suitable holding materials for the nano adsorbents to improve the
permeability and to make the technology applicable at the field condition. The
study will help the readers to choose suitable nanomaterials and to take up
further research required for arsenic removal using nano adsorbents.
PMID- 26546886
TI - The positive correlation between upper adjacent vertebral fracture and the
kyphosis angle of injured vertebral body after percutaneous kyphoplasty: An in
vitro study.
AB - OBJECTIVE: To investigate the correlation between the kyphosis angle of injured
vertebral body and the risk of upper adjacent vertebral fracture after
percutaneous kyphoplasty (PKP) using an osteoporotic vertebral compressed
fracture model. MATERIALS AND METHODS: 24 functional spinal units (FSUs, T9 to
L4) were selected from 6 elderly formalin preserved vertebral specimens to build
the vertebral compressed fracture model. According to the kyphosis angle between
the upper plate of upper vertebral body and the horizontal plane, group A (0
degrees ) and group B (20 degrees ) were defined, with each group comprised with
12 FSUs. The stiffness and fracture load were measured in both groups. RESULTS:
After PKP, the stiffness was (571.513 +/- 83.373)N/mm and the fracture load was
(1751.659 +/- 112.291)N in group A, with both significantly higher than those of
group B (stiffness, (307.706 +/- 46.723)N/mm; fracture load, (1128.011 +/-
125.417)N). CONCLUSIONS: To reduce the risk of upper adjacent vertebral fracture,
it is better to restore the height of injured vertebral body and decrease the
angle of kyphosis to increase the capability of upper adjacent vertebral body
against fracture.
PMID- 26546887
TI - Lumbar juxta-facet joint cysts in association with facet joint orientation,
tropism and -arthritis: A case-control study.
AB - OBJECTIVE: To assess the association between juxta-facet-joint cysts (JFC)
occurrence at the lumbar spine and Facet Joint (FJ) orientation, -tropism and
arthritis. METHODS: Study group, 36 consecutive patients with JFC and the same
number of controls, with degenerative diseases without JFC were match paired for
demographics and spine segment. Parameter assessment was by T2-weighted axial MRI
scans. JFC diagnosis was confirmed histopathologically. Group comparison was by
Student's t-test for continuous variables and X(2) for categorical variables.
RESULTS: Nineteen female and 17 male patients, aged between 45 and 85 years (mean
67.19 +/- 10.3 years) had a mean JFC size of 9.26 +/- 4.8mm occurring most
frequently in the segment L4-L5 (75% n=25) and on the left side (61%). Mean FJ
orientation of the study group was significantly more coronal compared to
controls (left side 42 degrees vs 36 degrees , p<0.02*, 95% confidence interval:
0.9-11.5 and right side 43 degrees vs 37 degrees , p<0.02*, 95% confidence
interval: 0.6-10.6 respectively). However, individual intersegmental analysis for
study group patients showed the JFC bearing side to be significantly more
sagittally oriented 40 degrees +/- 11.2 degrees compared to 45 degrees +/-
13.2 degrees for the side without FJC (p<0.03*, 95% confidence interval: 8.1
1.7). 50% of the study group showed FJ asymmetry compared to 30% in controls,
with a trend for FJ tropism (p<0.07). Severe (grade 3) FJ arthritis was
significantly more predominant in the study group 23/33 (p<0.001*) as compared to
controls. CONCLUSIONS: Compared to a control group, JFC occurrence is associated
with significant higher rates of arthritis and coronally orientated FJ. At
intersegment comparison within the same patient cysts located in more sagittally
orientated FJ and the asymmetric segments show a trend for FJ tropism.
PMID- 26546888
TI - Human stem cell-based disease modeling: prospects and challenges.
AB - Human stem cell-based disease models have great promise to advance our
understanding of human disease. These models can be derived from patients with
genetic disorders and manipulated with genome editing and myriad differentiation
protocols to model pathologies in vitro. However, several challenges have impeded
the full potential of stem cell-based in vitro disease modeling. Many genetically
predisposed diseases take time to manifest and occur in specific tissue
microenvironments, and these parameters are often not adequately modeled using
conventional shorter-term monolayer cultures. These challenges must be overcome
especially for cases where animal models also incompletely recapitulate the
complex pathologies found in humans. As prominent ways to tackle these challenges
we discuss here how advanced genome editing tools in human stem cells and human
organoid cultures, specifically the example of intestinal organoids, contribute
genetically defined models that recapitulate phenotypes of disease.
PMID- 26546889
TI - Effect of grafted PEG chain conformation on albumin and lysozyme adsorption: A
combined study using QCM-D and DPI.
AB - In this study, elucidation of protein adsorption mechanism is performed using
dual polarization interferometry (DPI) and quartz crystal microbalance with
dissipation (QCM-D) to study adsorption behaviors of bovine serum albumin (BSA)
and lysozyme (LYZ) on poly (ethylene glycol) (PEG) layers. From the analysis of
DPI, PEG2000 and PEG5000 show tight and loose mushroom conformations,
respectively. Small amount of LYZ could displace the interfacial water
surrounding the tight mushroomed PEG2000 chains by hydrogen bond attraction,
leading to protein adsorption. The loose mushroomed PEG5000 chains exhibit a more
flexible conformation and high elastic repulsion energy that could prevent
protein adsorption of all BSA and most of LYZ. From the analysis of QCM, PEG2000
and PEG5000 show tight and extended brush conformations. The LYZ adsorbed mass
has critical regions of PEG2000 (0.19 chain/nm(2)) and PEG5000 (0.16 chain/nm(2))
graft density. When graft density of PEG is higher than the critical region
(brush conformations), the attraction of hydrogen bonds between PEG and LYZ is
the dominant factor. When graft density of PEG is lower than the critical region
(mushroom conformations), elastic repulsion between PEG and proteins is driven by
the high conformation entropy of PEG chains, which is the dominant force of
steric repulsion in PEG-protein systems. Therefore, the adsorption of BSA is
suppressed by the high elastic repulsion energy of PEG chains, whereas the
adsorption of LYZ is balanced by the interactions between the repulsion of
entropy elasticity and the attraction of hydrogen bonds.
PMID- 26546890
TI - Phase behavior, microstructural transition, antimicrobial and antioxidant
activities of a water-dilutable thymol microemulsion.
AB - Pseudo ternary phase diagrams were constructed to assess the dilutability of
thymol microemulsions using non-ionic (Tween 80), cationic (CTAB), and anionic
(SDS) surfactants. We successfully constructed a thymol U-type microemulsion
system using Tween 80 as surfactant and studied the microstructural transition
along the dilution line at a 90/10 surfactant/oil mass ratio, with thymol and
ethanol (3:1, w/w) as the oil phase. Differential scanning calorimetry analysis
suggested that the microemulsions gradually inverted from the water-in-oil (W/O)
(0-20% water) to the bicontinuous (25-35% water), and finally to the oil-in-water
(O/W) (40-90% water) microstructures upon dilution, in good agreement with the
conductivity measurements, while the rheological results indicated the collapse
of rod-like micelles followed by formation of spherical micelles in the O/W
region. The activities of the U-type thymol microemulsions are structural
dependent. The antimicrobial activity against Escherichia coli and Staphylococcus
aureus decreased when the microemulsions transformed from W/O to bicontinuous and
O/W structures, while the DPPH scavenging activity increased.
PMID- 26546891
TI - Human Leukocyte Antigens Influence the Antibody Response to Hepatitis B Vaccine.
AB - Hepatitis B virus (HBV) infection and its sequelae such as cirrhosis and
hepatocellular carcinoma has remained a serious public health problem throughout
the world. The WHO strategy for effective control of HBV infection and its
complications is mass vaccination of neonates and children within the framework
of Expanded Programme on Immunization (EPI). Vaccination with hepatitis B surface
antigen (HBsAg) induces protective antibody response (anti-HBs >= 10 IU/L) in 90
99% of vaccinees. The lack of response to HBsAg has been attributed to a variety
of immunological mechanisms, including defect in antigen presentation, defect in
HBsAg-specific T and/or B cell repertoires, T-cell suppression, increase in the
regulatory T cell count, lack of necessary help of T-cells for production of anti
HBs by B cells, defect in Th1 and/or Th2 cytokine production and selective
killing of HBsAg-specific B-cells by human leukocyte antigen (HLA)-restricted
cytotoxic T lymphocytes. The HLA complex plays an important role in many of these
immunological processes. A variety of HLA class I, II, and III alleles and
antigens have been reported to be associated with antibody response to HBsAg
vaccination in different ethnic populations. Moreover, some HLA haplotypes were
also associated with responsiveness to HBsAg. In this review the association of
the HLA specificities with antibody response to hepatitis B (HB) vaccine is
discussed.
PMID- 26546892
TI - Th22 Cells Contribution in Immunopathogenesis of Rheumatic Diseases.
AB - Newly identified T helper cell 22 (Th22) is a subset of CD4+T cells with specific
properties apart from other known CD4+ T cell subsets with distinguished gene
expression and function. Th22 cells are characterized by production of a distinct
profile of effector cytokines, including interleukin (IL)-22, IL-13, and tumor
necrosis factor-alpha (TNF-alpha). The levels of Th22 and related cytokine IL-22
are increased in various autoimmune diseases and positively associated with some
rheumatic diseases such as rheumatoid arthritis, systemic lupus erythematosus,
behcet's disease, ankylosing spondylitis and psoriatic arthritis. In summary, IL
22 and Th22 cells play a significant and complicated role in inflammatory and
autoimmune rheumatic diseases, therefore, targeting IL-22 or Th22 have unique and
attractive advantages due to the fact that Th22 subset is recently identified and
its associated research is extremely limited. This review discusses the role of
Th22 and its cytokine IL-22 in the immunopathogenesis of rheumatic disease.
PMID- 26546893
TI - Association between Peptidylarginine Deiminase Type 4 rs1748033 Polymorphism and
Susceptibility to Rheumatoid Arthritis in Zahedan, Southeast Iran.
AB - There are controversial reports regarding the role of peptidylarginine deiminase
type 4 (PADI4) gene polymorphisms and risk of Rheumatoid arthritis (RA). The aim
of the present study was to investigate the impact of PADI4 rs1748033
polymorphism and susceptibility to RA in a sample of the Iranian population. This
case-control study was done on 150 patients with RA and 150 healthy
subjects.PADI4 rs1748033 genotyping was done using amplification refractory
mutation system- polymerase chain reaction (ARMS-PCR) assay. The PADI4 rs1748033
variant increased the risk of RA in codominant (OR=1.67, 95%CI=1.03-2.71,
p=0.048, CT vs CC; OR=2.73, 95%CI=1.25-5.97, p=0.013, TT vs CC) and dominant
(OR=1.84, 95%CI=1.15-2.92, p=0.014, CT+TT vs CC) tested inheritance models. In
addition, the PADI4 rs1748033 T allele increased the risk of RA (OR=1.63,
95%CI=1.16-2.29, p=0.006) in comparison with C allele. In conclusion, our finding
indicated that PADI4 rs1748033 gene polymorphism increased the risk of RA in a
sample of the Iranian population.
PMID- 26546894
TI - Molecular Diversity of Macrophages in Allergic Reaction: Comparison between the
Allergenic Modes; Th1- and -Th2-Derived Immune Conditions.
AB - Activated macrophages have been classified into classical (M1) and alternative
(M2) macrophages. We aimed to establish a method to yield enough number of
macrophages to analyze their molecular, biological and immunological functions.
We used drugs; adjuvant albumin from chicken egg whites--Imject Alum (OVA-Alum)
and OVA Complete Freund Adjuvant (OVA-CFA), to induce macrophages to M2 and M1
respectively. We analyzed the phenotype of purified macrophages induced under
these immune conditions, using flow cytometry (FACS) to detect cell-surface
molecules and the enzyme-linked immunosorbent assay (ELISA) was used to detect
cytokines. The cDNA microarray was employed to measure changes in expression
level of cell surface protein between M1 and M2 macrophages. Phenotype analysis
of purified macrophages, induced under these immune conditions, showed
macrophages induced by OVA-Alum was almost M2 while the proportion of M1
macrophages induced by OVA-CFA was significantly higher. The results also showed
higher expression level of macrophage galactose N- acetyl-galactosamine specific
lectin-2 protein (MGL1/2-PE), a known M2 macrophage marker, on the surface of
Alum-induced macrophages. On the basis of these preliminary data, ELISA results
revealed that after macrophage stimulation with lipopolysaccharides (LPS), the
level of interleukin (IL)-10 produced by Alum- induced macrophages was higher
than the level of IL-10 produced by CFA-induced macrophages. In contrast, the
level of tumor necrosis factor-alpha (TNF-alpha) produced by CFA-induced
macrophages was higher than Alum-induced macrophages. The cDNA microarray
confirmed previous results and suggest immunoglobulin-like type 2 receptor alpha
(Pilra) as a new marker for M1, macrophage galactose N-acetylgalactosamine
specific lectin 2 (Mgl2) as M2 macrophages marker.
PMID- 26546895
TI - Imbalance of Peripheral Th17 and Regulatory T Cells in Children with Allergic
Rhinitis and Bronchial Asthma.
AB - The purpose of the present study is to investigate the prevalence of Th17 and
regulatory T (Treg) cells in children with allergic rhinitis (AR) accompanying
with bronchial asthma (BA). 24 children with AR, 22 children with BA, 18 children
with AR accompanying with BA, and 20 healthy controls were recruited. The
prevalence of peripheral blood Th17 and Treg cells were determined by flow
cytometry. mRNA expression of retinoid-acid receptor-related orphan receptor
(ROR)-gammat and forkhead box P3 (Foxp3) were determined by realtime polymerase
chain reaction. Cytokine expressions in plasma were determined by enzyme linked
immunosorbent assay. The frequency of Th17 cells, ROR-gammat mRNA expression, and
the plasma levels of IL-17 were significantly higher, while Treg cells and
Transforming growth factor (TGF)-beta1 were significantly lower in children with
AR accompanying with BA compared with those in children with AR or BA alone or
control subjects. In children with allergic airway disease, total IgE levels were
positively correlated to the frequency of Th17 cells (r=0.607, p<0.01), plasma IL
17 levels, and negatively correlated to the frequency of Treg cells (r=-0.429,
p<0.01) and TGF-beta1 levels (r=-0.224, p<0.01). While Forced expiratory volume
in one second (FEV1) (% predicted) was negatively correlated to the frequency of
Th17 cells (r=-0.602, p<0.01), plasma IL-17 levels (r=-0.577, p<0.01), and
positively correlated to the frequency of Treg cells r=0.504, p<0.01) and TGF
beta1 levels (r=0.231, p<0.05). Our results demonstrate that the imbalance of
peripheral Th17/Treg cells plays an important role in the pathogenesis of AR
accompanying with BA.
PMID- 26546896
TI - Responses of Six-Weeks Aquatic Exercise on the Autonomic Nervous System, Peak
Nasal Inspiratory Flow and Lung Functions in Young Adults with Allergic Rhinitis.
AB - Allergic rhinitis is a chronic respiratory disease. Sympathetic hypofunction is
identified in all of the allergic rhinitis patients. Moreover, allergic rhinitis
is associated with decreased peak nasal inspiratory flow (PNIF) and impaired lung
functions. The aim of this study was to investigate effects of six-week of
aquatic exercise on the autonomic nervous system function, PNIF and lung
functions in allergic rhinitis patients. Twenty-six allergic rhinitis patients,
12 males and 14 females were recruited in this study. Subjects were diagnosed by
a physician based on history, physical examination, and positive reaction to a
skin prick test. Subjects were randomly assigned to two groups. The control
allergic rhinitis group received education and maintained normal life. The
aquatic group performed aquatic exercise for 30 minutes a day, three days a week
for six weeks. Heart rate variability, PNIF and lung functions were measured at
the beginning, after three weeks and six weeks. There were statistically
significant increased low frequency normal units (LF n.u.), PNIF and showed
decreased high frequency normal units (HF n.u.) at six weeks after aquatic
exercise compared with the control group. Six weeks of aquatic exercise could
increase sympathetic activity and PNIF in allergic rhinitis patients.
PMID- 26546897
TI - Association of Tumor Necrosis Factor Alpha 308 G/A Polymorphism with Asthma in
Pakistani Population.
AB - Asthma is a chronic inflammatory and remodeling disorder of the airways, in which
many cells, cellular elements, and cytokines play important roles. The role of
tumor necrosis factor-alpha (TNF-alpha) in asthma is unclear in Pakistani
population. The aim of this study was to assess the relationship between TNF
alpha-308 polymorphism and asthma. Polymorphism of TNF-alpha (G-308-A locus; rs
1800629) in 329 asthmatic patients and 151 healthy controls was evaluated. DNA
was prepared from blood samples of cases and controls. Samples were genotyped for
TNF-alpha 308 G/A polymorphism. There was no significant difference in the
frequency of GG (OR 1.049 with 95% CI 0.68-1.63) and GA (OR 0.987 with 95% CI
0.64-1.53) genotypes of TNF-alpha-308. The AA genotype was absent in cases and
only one AA genotype was observed in the controls. The genetic polymorphism of
TNF-alpha does not seem to be associated with asthma in Pakistani population.
PMID- 26546898
TI - Plasma Adiponectin Concentrations and Adiponectin Gene Polymorphisms Are
Associated with Bronchial Asthma in the Chinese Li Population.
AB - The purpose of this study was to determine the clinical significance of changes
in the plasma adiponectin concentration in patients with bronchial asthma and to
test the association between the single nucleotide polymorphisms (SNPs) rs2241766
and rs1501299 in the ADIPOQ gene and bronchial asthma in the Chinese Li
population. We selected 120 cases and 120 controls, and plasma adiponectin,
interleukin (IL)-6, and tumor necrosis factor-alpha (TNF-alpha) levels were
measured by enzyme-linked immunosorbent assay (ELISA). In addition, we genotyped
two tag single nucleotide polymorphisms (tSNPs) and evaluated their association
with bronchial asthma using the chi2 test and genetic model analysis. Compared to
controls, patients with acute exacerbation of bronchial asthma showed
significantly lower adiponectin and significantly higher IL-6 and TNF-alpha
levels (p<0.01). A positive association was found between the rs1501299 SNP and
acute exacerbation (OR=1.62; 95% CI=1.08-2.43; p=0.019). The inverse correlation
between the plasma adiponectin concentration and asthma exacerbation indicates
that adiponectin may play a protective role in the pathogenesis of asthma.
Meanwhile, our findings suggest that ADIPOQ polymorphisms influence the risk of
developing bronchial asthma in Chinese Li population.
PMID- 26546899
TI - Evaluation of Soluble Human Leukocyte Antigen-G (sHLA-G) Isoforms and Regulatory
T Cells in Relapsing-Remitting Multiple Sclerosis.
AB - Soluble forms of nonclassical human leukocyte antigen (HLA)-G have recently been
suggested as immunomodulatory factors in multiple sclerosis (MS). HLA-G inhibits
the effecter function of T cells and natural killer (NK) cells. Also regulatory T
cells (Treg) are considered as pivotal players in MS pathogenesis. Thus, we aimed
to evaluate the presence of HLA-G molecules and Treg cells in Relapsing-Remitting
Multiple Sclerosis (RRMS) patients and compare it to healthy controls. Patients
with RRMS (n=205, mean age=31.32+/-8.53) and healthy subjects (n=205, mean
age=32.2+/-7.48) were studied. The patients subgrouped to untreated and treated
with Interferon beta. Then sHLA-G levels (sHLA-G1 and sHLA-G5) were measured
using ELISA method. Treg (CD4+ CD25+ Foxp3+) cells in patients who had sHLA-G>10
U/ml were characterized by using flow cytometry. Our data showed that there was
no significant differences between RRMS patients and healthy controls in sHLA-G
concentration (p>0.05). Treg cell frequencies were higher in the patients who had
sHLA-G >10 U/ml compared to healthy subjects (p<0.05). Collectively, there was
significant correlation between sHLA-G and frequency of Treg cells in treated
RRMS patients and healthy individuals. It seems that high level sHLA-G has been
instrumental in raising frequency of Treg cells in treated patients and could be
associated with remission of MS disease.
PMID- 26546900
TI - Association of HLA-DRB1 Alleles with Ulcerative Colitis in the City of Kerman,
South Eastern Iran.
AB - The association of HLA class II genes with ulcerative colitis (UC) as an
autoimmune disease has been investigated for several years. However, factors
responsible for genetic predisposition of this disease have so far not been
clearly understood. In this study, for the first time, we aimed to investigate
the association between HLA-DRB1 types and UC in the population of Kerman, a city
southeast Iran. HLA typing was performed among 85 UC patients and 95 healthy
controls using PCR amplification, employing sequence specific primers (PCR-SSP).
The DRB1 frequencies were determined in the patients and controls. HLA-DRB1*04
was negatively associated with UC. Furthermore, HLA-DRB1*13 was significantly
associated with severity of the disease (p=0.01) among UC patients. This is the
novel result that describes an association of HLA-DRB1*13 with UC and also shows
the protective role of HLA-DRB1*04 against the disease in people of Kerman.
PMID- 26546901
TI - The Opposite Effects of DNA and Protein Components of Listeria Monocytogenes and
Toxoplasma gondii on Immunologic Characteristics of Dendritic Cells.
AB - The innate immune system utilizes pattern recognition receptors (PRRs) to
recognize microbes. Pathogens contain various molecules with diverse effects on
immune response. In this study, we evaluated the effect of DNA and protein
components derived from two intracellular microorganisms including Listeria
monocytogenes (L. monocytogenes) and Toxoplasma gondii (T. gondii) on dendritic
cells (DCs) activation and ensuing adaptive immune responses. DNA and protein
components of L. monocytogenes and T. gondii were prepared using relevant kits.
DNA and protein components of these two pathogens were added to immature DCs
(iDCs). Subsequently, co-stimulatory expression and cytokine production by DCs
were measured. Finally, we evaluated the stimulatory capacity of mature DCs
(mDCs) in DC-T cells co-culture. The results showed that protein matured-DCs
produced higher level of IL (Interleukin)-12p70. There was also a significant
increase in Interferon-Gamma (IFN-gamma) production and proliferative capacity in
T cells co-cultured with protein matured-DCs. On the other hand, DNA matured-DCs
produced significantly higher amounts of Transforming growth factor-beta (TGF
beta). Collectively, these results imply a regulatory nature for DNA and potent
stimulatory character for protein components of these two intracellular
microorganisms.
PMID- 26546902
TI - Transforming Growth Factor Beta-Induced Is Essential for Endotoxin Tolerance
Induced by a Low Dose of Lipopolysaccharide in Human Peripheral Blood Mononuclear
Cells.
AB - Our prior study found that transforming growth factor beta-induced (TGFBI) is an
important negative regulator in TLR-induced inflammation. However, whether TGFBI
may affect inflammation during lipopolysaccharide (LPS)-induced endotoxin
tolerance (ET) is still unclear. This study aimed to investigate whether TGFBI
was involved in the mechanisms of ET in human through dampening nuclear factor
kappa B (NF-kappaB) mediated pathway. ET models of isolated healthy volunteers
peripheral blood mononuclear cells (PBMCs) were established by pretreating with a
low dose of LPS to observe the changes of TGFBI expression during ET induction,
compared with ten healthy controls. Moreover, a vector-based short hairpin RNA
expression system was used to specifically inhibit TGFBI expression to further
explore its role in ET induction. The expression was analyzed by reverse
transcription-polymerase chain reaction (RT-PCR) and Western blotting. The
responses to LPS were determined by the activation of NF-kappaB, the production
of tumor necrosis factor-alpha (TNF-alpha) and Nitric Oxide (NO), which were
analysed by enzyme-linked immuno sorbent assay (ELISA). The results showed that
TGFBI expression in the ET group obviously increased; ET also led to a
hyporesponse of PBMCs to LPS with less activation of NF-kappaB, less production
of TNF-alpha and NO, as well as more expression of TGFBI than those of non-ET
group. Moreover, the inhibitory effect was partly refracted in plasmid TGFBI
short hairpin RNA (pTGFBI-shRNA) transfected PBMCs. Meanwhile, the absence of
TGFBI caused abnormal enhancement of inflammatory cytokine production and it was
involved in ET induction through dampening NF-kappaB mediated pathway. Therefore,
TGFBI may be a new target for the clinical treatment of inflammatory disorders.
PMID- 26546903
TI - Clericuzio-type Poikiloderma with Neutropenia Syndrome in a Turkish Family: a
Three Report of Siblings with Mutation in the C16orf57 gene.
AB - Clericuzio-type poikiloderma with neutropenia (PN) is characterized by
poikiloderma, non-cyclic neutropenia, recurrent sinopulmonary infections,
pachyonychia, and palmo-plantar hyperkeratosis. Mutations in the C16orf57 gene,
which is located on chromosome 16q13, have been identified as the cause of PN. PN
was first described by Clericuzio in Navajo Indians. Herein, we reported the
clinical presentations and laboratory investigations of PN in three siblings from
Turkey. The older siblings presented with typical cutaneous poikiloderma, plantar
keratoderma, pachyonychia of toenails, and recurrent upper respiratory
infections. As the most affected patient, in addition to classic manifestations,
the youngest sibling had recurrent pneumonia, hepatosplenomegaly, dental caries,
failure to thrive, and hand malformation. Genetic study revealed a homozygous
mutation (c.531delA) in the C16orf57 gene in siblings. With the presented study,
we aimed to draw attention to PN which can be a predisposing factor to
malignancies.
PMID- 26546904
TI - Familial Churg-Strauss Syndrome in a Sister and Brother.
AB - Churg-Strauss syndrome (CSS) is a granulomatous small vessel vasculitis. It is
characterized by asthma, allergic granulomatosis and vasculitis. This syndrome is
rare in children. A 5 years old boy was admitted with cough, fever and dyspnea
for 2 weeks. On the basis of laboratory data (peripheral eosinophilia),
associated with skin biopsy, and history of CSS in his sister, this disease was
eventually diagnosed. The patient had good response to corticosteroid. In every
asthmatic patient with prolonged fever, eosinophilia and multisystemic
involvment, CSS should be considered.
PMID- 26546905
TI - Ideal Diagnostic Tool and Proper Statistical Analysis Improve the Credibility of
the Study.
PMID- 26546906
TI - Evaluation of silkworm excrement and mushroom dreg for the remediation of
multiple heavy metal/metalloid contaminated soil using pakchoi.
AB - The economical, environmental friendly and efficient materials to remediate the
pollution with multiple heavy metals and metalloids are scarce. Silkworm
excrement (SE) and mushroom dregs (MD) are two types of agricultural wastes, and
they are widely used to improve the soil fertility in many regions of China. A
pot experiment with sixteen treatments was set up to assess the possibility of
using SE and MD to stabilize heavy metals and metalloids and reduce their uptake
in pakchoi cultivated in slightly contaminated soils with arsenic (As), cadmium
(Cd), lead (Pb) and zinc (Zn). The results showed that the single addition of SE
obviously stimulated the growth of pakchoi, reduced the contents of all tested
heavy metals and metalloids in the edible part of pakchoi and availability of Zn
and Cd in soil. The single MD treatment showed an inferior ability to enhance the
growth and reduce the contents of heavy metals and metalloids in the edible part
of pakchoi. The combined utilization of SE and MD appeared not to show better
effects than their individual treatment when using them to remediate this
contaminated soil. Some potential mechanisms on the stimulation on pakchoi growth
and decreasing the accumulation of heavy metals and metalloids in pakchoi
subjected to SE were suggested, including: (1) enhancing soil pH to impact the
availability of heavy metals and metalloids; (2) improve the fertility of soil;
(3) sulfhydryl groups of organic materials in SE play a role in conjugating heavy
metals and metalloids to affect their availability in soil; and (4) stimulating
the growth of pakchoi so as to show a "dilution effect" of heavy metals and
metalloids.
PMID- 26546907
TI - Proteomic analysis of chromium stress and sulfur deficiency responses in leaves
of two canola (Brassica napus L.) cultivars differing in Cr(VI) tolerance.
AB - Sulfur (S) is an essential macronutrient for plant growth and development, and it
plays an essential role in response to environmental stresses. Plants suffer with
combined stress of S deficiency and hexavalent chromium [Cr(VI)] in the
rhizosphere. Little is known about the impact of S deficiency on leaf metabolism
of canola (Brassica napus L.) under Cr(VI) stress. Therefore, this study is the
first to examine the effects of Cr(VI) stress and S deficiency in canola at a
molecular level. A comparative proteomic approach was used to investigate the
differences in protein abundance between Cr-tolerant NK Petrol and Cr-sensitive
Sary cultivars. The germinated seeds were grown hydroponically in S-sufficient
(+S) nutrient solution for 7 days and then subjected to S-deficiency (-S) for 7
days. S-deficient and +S seedlings were then exposed to 100MUM Cr(VI) for 3 days.
Protein patterns analyzed by two-dimensional electrophoresis (2-DE) revealed that
58 protein spots were differentially regulated by Cr(VI) stress (+S/+Cr), S
deficiency (-S/-Cr) and combined stress (-S/+Cr). Of these, 39 protein spots were
identified by MALDI-TOF/TOF mass spectrometry. Differentially regulated proteins
predominantly had functions not only in photosynthesis, but also in energy
metabolism, stress defense, protein folding and stabilization, signal
transduction, redox regulation and sulfur metabolism. Six stress defense related
proteins including 2-Cys peroxiredoxin BAS1, glutathione S-transferase, ferritin
1, l-ascorbate peroxidase, thiazole biosynthetic enzyme and myrosinase-binding
protein-like At3g16470 exhibited a greater increase in NK Petrol. The stress
related proteins play an important role in the detoxification of Cr(VI) and
maintaining cellular homeostasis under variable S nutrition.
PMID- 26546908
TI - Silver nanoparticles disrupt regulation of steroidogenesis in fish ovarian cells.
AB - Despite the influx of silver nanoparticles (nAg) into the marine environment,
their effects on fish reproduction remain completely unexplored. Using ovarian
primary cells from marine medaka (Oryzias melastigma), in vitro studies were
carried out to evaluate the effects of two differently coated nAg particles
(Oleic Acid, (OA) nAg and Polyvinylpyrrolidone, (PVP) nAg) on fish ovarian
tissues, using AgNO3 as a positive control. Cytotoxicity was evaluated by MTT
assay and expression of key genes regulating steroidogenesis (StAR, CYP 19a, CYP
11a, 3betaHSD and 20betaHSD) were determined by Q-RT-PCR. EC50 values for PVP
nAg, OA nAg and AgNO3 were 7.25MUgL(-1), 924.4MUgL(-1), and 42.0MUgL(-1)
respectively, showing that toxicity of silver was greatly enhanced in the PVP
coated nano-form. Down regulation of CYP 19a was observed in both nAg and AgNO3
treatments, while down regulation of 3betaHSD was only found in the OA nAg and
AgNO3 treatments. For the first time, our results demonstrated that nAg can
affect specific genes regulating steroidogenesis, implicating nAg as a potential
endocrine disruptor.
PMID- 26546909
TI - Vitroceramic interface deposited on titanium substrate by pulsed laser deposition
method.
AB - Pulsed laser deposition (PLD) method was used to obtain biovitroceramic thin film
coatings on titanium substrates. The composition of the targets was selected from
SiO2-CaO-P2O5-(CaF2) systems and the corresponding masses were prepared using the
sol-gel method. The depositions were performed in oxygen atmosphere (100mTorr),
while the substrates were heated at 400 degrees C. The PLD deposited films were
analysed through different experimental techniques: X-ray diffraction, scanning
(SEM, EDX) and transmission (HRTEM, SAED) electron microscopy and infra-red
spectroscopy coupled with optical microscopy. They were also biologically tested
by in vitro cell culture and the contact angle was determined. The bioevaluation
results indicate a high biocompatibilty of the obtained materials, demonstrating
their potential use for biomedical applications.
PMID- 26546910
TI - Effect of the surface free energy of materials on the lamination tendency of
bilayer tablets.
AB - Dosage forms with fixed dose combinations of drugs is a frequent and advantageous
mode of administration, but their production involves a number of technological
problems. Numerous interactions in a homogeneous vehicle may be avoided through
the use of layered tablets. The mechanical properties of these dosage forms
depend on numerous process parameters and material characteristics. The aim of
the present study was a detailed investigation of the relationships between the
surface characteristics and deformation properties of tableting materials and the
tendency of bilayer tablets to undergo lamination. Bilayer tablets were
compressed from unlubricated materials with different plastic-elastic properties
and surface free energies according to a mixed 2 and 3-level half-replicated
factorial design. The results revealed that the surface characteristics play the
main role in the lamination of layered tablets and the effect of the plastic
elastic behavior cannot be interpreted without a knowledge of these properties.
PMID- 26546911
TI - How mathematical modeling tools are helping the pharmaceutical sciences.
PMID- 26546912
TI - Identification of potential virulence factors of Cronobacter sakazakii isolates
by comparative proteomic analysis.
AB - Cronobacter is a group of important foodborne pathogens associated with neonatal
meningitis, septicemia, and necrotizing enterocolitis. Among Cronobacter species,
Cronobacter sakazakii is the most common species in terms of isolation frequency.
However, the molecular basis involved in virulence differences among C. sakazakii
isolates is still unknown. In this study, based on the determination of virulence
differences of C. sakazakii G362 (virulent isolate) and L3101 (attenuated
isolate) through intraperitoneal injection, histopathologic analysis (small
intestine, kidney, and liver) further confirmed virulence differences.
Thereafter, the potential virulence factors were determined using two-dimensional
electrophoresis (2-DE) coupled with MALDI/TOP/TOF mass spectrometry. Among a
total of 36 protein spots showing differential expression (fold change>1.2), we
identified 31 different proteins, of which the expression abundance of 22 was
increased in G362. These up-regulated proteins in G362 mainly contained DNA
starvation/stationary phase protection protein Dps, OmpA, LuxS, ATP-dependent Clp
protease ClpC, and ABC transporter substrate-binding proteins, which might be
involved in virulence of C. sakazakii. This is the first report to determine the
potential virulence factors of C. sakazakii isolates at the proteomic levels.
PMID- 26546913
TI - Evaluation of carcass traits and meat characteristics of Guzerat-crossbred bulls.
AB - Our objective was to evaluate carcass and meat characteristics of Guzerat
crossbred bulls finished in feedlot. Carcasses from 18 bulls, randomly selected
from a larger group of 36 bulls, consisting of F1 Guzerat*Holstein
("Guzholstein"); F1 Guzerat*Nellore ("Guzonell"); and 1/2 Simmental+1/4
Guzerat+1/4 Nellore (Three-Cross; n=6 each group) were used. Cold carcass weight
was greater (P=0.01) for Three-Cross compared with "Guzonell" and "Guzholstein".
Three-Cross carcasses had greater (P<0.01) rib-eye-area and 100-kg-adjusted rib
eye-area among groups. Longissimus lumborum length did not differ (P>0.05) among
groups, but depth was greater (P<0.01) for Three-Cross compared with other
groups. "Guzholtein" had lesser (P=0.05) shear force compared with "Guzonell",
with Three-Cross being intermediate. We conclude that "Guzholstein" is an
adequate option for producers willing to finish this kind of genetic group, as it
is comparable or better than Bos indicus crosses and B. indicus*Bos taurus bulls.
PMID- 26546914
TI - PEGylated NaHoF4 nanoparticles as contrast agents for both X-ray computed
tomography and ultra-high field magnetic resonance imaging.
AB - It is well-known that multimodal imaging can integrate the advantages of
different imaging modalities by overcoming their individual limitations. As ultra
high field magnetic resonance imaging (MRI) will be inevitably used in future
MRI/X-ray computed tomography (CT) scanner, it is highly expected to develop high
performance nano-contrast agents for ultra-high field MR and CT dual-modality
imaging, which has not been reported yet. Moreover, specific behavior of nano
contrast agents for ultra-high field MRI is a challenging work and still remains
unknown. Herein, a novel type of NaHoF4 nanoparticles (NPs) with varied particle
sizes were synthesized and explored as high-performance dual-modality contrast
agents for ultra-high field MR and CT imaging. The specific X-ray absorption and
MR relaxivity enhancements with varied nanoparticle diameters (3 nm, 7 nm, 13 nm
and 29 nm) under different magnetic field (1.5/3.0/7.0 T) are investigated. Based
on experimental results and theoretical analysis, the Curie and dipolar
relaxation mechanisms of NaHoF4 NPs are firstly separated. Our results will
greatly promote the future medical translational development of the NaHoF4 nano
contrast agents for ultra-high field MR/CT dual-modality imaging applications.
PMID- 26546915
TI - Neoangiogenesis of human mesenchymal stem cells transfected with peptide-loaded
and gene-coated PLGA nanoparticles.
AB - Several factors are involved in angiogenesis. To form new blood vessels, we
fabricated vehicles carrying an angiogenesis-related peptide (apelin) and gene
(vascular endothelial growth factor (VEGF)165) that were internalized by human
mesenchymal stem cells (hMSCs). These non-toxic poly-(DL)-lactic-co-glycolic acid
(PLGA) nanoparticles (NPs) easily entered hMSCs without cytotoxicity. The
negatively charged outer surface of PLGA NPs can be easily complexed with highly
positively charged polyethylenimine (PEI) to deliver genes into cells. PLGA NPs
complexed with PEI could be coated with negatively charged VEGF plasmid DNA and
loaded with apelin. The physical characteristics of these PLGA NPs were
determined by size distribution, gel retardation, and morphological analyses.
Transfection of VEGF-coated apelin-loaded PLGA NPs resulted in the
differentiation of hMSCs into endothelial cells and vascular formation in
Matrigel in vitro. Following injection of hMSCs transfected with these PLGA NPs
into an ischemic hind limb mouse model, these cells differentiated into
endothelial cells and accelerated neovascularization.
PMID- 26546916
TI - Smart and hyper-fast responsive polyprodrug nanoplatform for targeted cancer
therapy.
AB - The rapid development and clinical trials of biodegradable nanoparticles (NPs)
are heavily hindered by many factors, including poor drug loading, low drug
concentration at disease sites, lack of active targeting function, etc. Herein,
we developed a new smart and hype-responsive polyprodrug platform with five key
elements (i.e. chemically incorporated drug molecules in backbone, stimuli
responsive bond, hyper-fast chain-breakage ability, hydrophilic segment and
targeting ligand). Using 10-hydroxycamptothecin (HCPT) as model drug, we designed
and prepared an exemplified redox-responsive amphiphilic polyprodrug via
polycondensation and "click" chemistry. This polymer is composed of a hydrophobic
HCPT-based polyprodrug, a hydrophilic poly(ethylene oxide) (PEG) chain and a
tumor-targeting RGD tail. Employing nanoprecipitation technique, small-sized NPs
(<70 nm) can be obtained. The in vitro and in vivo results prove that this newly
developed nanoplatform has the following unique characteristics: 1) high and
constant drug loading (>36 wt.%), 2) excellent tumor-targeting performance, 3)
hyper-fast redox-responsive drug release (around 70% accumulative release within
2 h), 4) long blood circulation and 5) significant inhibition of tumor growth
without side effects.
PMID- 26546917
TI - Magnesium from bioresorbable implants: Distribution and impact on the nano- and
mineral structure of bone.
AB - Biocompatibility is a key issue in the development of new implant materials. In
this context, a novel class of biodegrading Mg implants exhibits promising
properties with regard to inflammatory response and mechanical properties. The
interaction between Mg degradation products and the nanoscale structure and
mineralization of bone, however, is not yet sufficiently understood.
Investigations by synchrotron microbeam x-ray fluorescence (MUXRF), small angle x
ray scattering (MUSAXS) and x-ray diffraction (MUXRD) have shown the impact of
degradation speed on the sites of Mg accumulation in the bone, which are around
blood vessels, lacunae and the bone marrow. Only at the highest degradation rates
was Mg found at the implant-bone interface. The Mg inclusion into the bone matrix
appeared to be non-permanent as the Mg-level decreased after completed implant
degradation. MUSAXS and MUXRD showed that Mg influences the hydroxyl apatite
(HAP) crystallite structure, because markedly shorter and thinner HAP
crystallites were found in zones of high Mg concentration. These zones also
exhibited a contraction of the HAP lattice and lower crystalline order.
PMID- 26546918
TI - Tailoring chemical and physical properties of fibrous scaffolds from block
copolyesters containing ether and thio-ether linkages for skeletal
differentiation of human mesenchymal stromal cells.
AB - Bioactive scaffolds for tissue engineering call for demands on new materials
which can enhance traditional biocompatibility requirements previously considered
for clinical implantation. The current commercially available thermoplastic
materials, such as poly(lactic acid) (PLA), poly(glycolic acid) (PGA),
poly(epsilon-caprolactone) (PCL) and their copolymers, have been used to
fabricate scaffolds for regenerative medicine. However, these polymers have
limitations including lacking of broadly tuning mechanical and degradable
properties, and activation of specific cell-scaffold interactions, which limit
their further application in tissue engineering. In the present study,
electrospun scaffolds were successfully fabricated from a new class of block
poly(butylene succinate)-based (PBS-based) copolyesters containing either
butylene thiodiglycolate (BTDG) or butylene diglycolate (BDG) sequences. The
polyesters displayed tunable mechanical properties and hydrolysis rate depending
on the molecular architecture and on the kind of heteroatom introduced along the
polymer backbone. To investigate their potential for skeletal regeneration, human
mesenchymal stromal cells (hMSCs) were cultured on the scaffolds in basic,
osteogenic and chondrogenic media. Our results demonstrated that PBS-based
copolyesters containing thio-ether linkages (i.e. BTDG segments) were more
favorable for chondrogenesis of hMSCs than those containing ether linkages (i.e.
BDG sequences). In contrast, PBS-based copolyesters containing ether linkages
showed enhanced mineralization. Therefore, these new functional scaffolds might
hold potential for osteochondral tissue engineering applications.
PMID- 26546919
TI - Genomic structure and promoter characterization of the CDPK kinase gene expressed
during seed formation in Pharbitis nil.
AB - CDPK kinases are a unique class of calcium sensor/responders that regulate many
growth and developmental processes as well as stress responses of plants. PnCDPK1
kinase from Pharbitis nil is regulated by light and contributes to seed
germination, seedling growth and flower formation. Following an earlier work in
which we identified the PnCDPK1 coding sequence and a 330bp long 3'UTR
(untranslated region), we present for the first time the genomic organization of
PnCDPK1, including intron analysis and the gene copy number designation. We
completed the research by identifying the 5'-flanking region of PnCDPK1 and
analyzed it in silico, which led to the discovery of several cis-regulatory
elements involved in light regulation, embryogenesis and seed development. The
functional analysis of P. nil CDPK showed characterization of the PnCDPK1
transcript and PnCDPK protein level during seed formation and fruit maturation.
The greatest amount of PnCDPK1 mRNA was present in the last stages of seed
maturation. Moreover, two PnCDPK proteins of different molecular masses were
discovered during fruit development, showing various protein accumulation and
activity profile. The 56kDa protein dominated in the early stages of fruit
development, whereas the smaller protein (52kDa) was prominent in the latter
stages.
PMID- 26546920
TI - Formulation and stabilization of riboflavin in liposomal preparations.
AB - A study of the formulation of liposomal preparations of riboflavin (RF) with
compositional variations in the content of phosphatidylcholine (PC) and their
entrapment efficiency (26-42%) have been conducted. Light transmission
characteristics of the liposomal preparations have been determined to evaluate
their effect on the amount of light passing through the system to initiate a
photochemical reaction. Dynamic light scattering (DLS) and atomic force
microscopy (AFM) have been used to study the physical characteristics of
liposomes. The liposomal preparations of RF have been subjected to photolysis
using visible light and the apparent first- order rate constant, kobs, for the
degradation of RF have been determined. The values of kobs (1.73-2.29*10(-3)min(
1)) have been found to decrease linearly with an increase in PC concentration in
the range of 12.15 to 14.85 mM. Thus, an increase in PC concentration of
liposomes leads to an increase in the stability of RF. RF and its main
photoproduct, lumichrome (LC), formed in liposomes have been assayed by a two
component spectrometric method at 356 and 445 nm using an irrelevant absorption
correction to compensate for the interference of liposomal components. The
fluorescence measurements of RF in liposomes indicate excited singlet state
quenching and the formation of a charge-transfer complex between RF and PC. It
results in electron transfer from PC to RF to cause photoreduction and
stabilization of RF.
PMID- 26546921
TI - Application of ceramic membranes for microalgal biomass accumulation and recovery
of the permeate to be reused in algae cultivation.
AB - The present study was carried out to investigate the possibility of using ceramic
membranes for microalgal biomass densification and to evaluate the qualitative
composition of the permeate as a source of nitrogen and phosphorus for microalgae
cultivated in a closed system. The studies were conducted on the microalga
Monoraphidium contortum. The microfiltration process was carried out on a quarter
technical scale using ceramic membranes with 1.4 MUm, 300 and 150 kDa cut-offs.
Permeate flux and respective hydraulic resistances were calculated. Dissolved
inorganic nitrogen and phosphorus fractions were measured in the feed and the
permeate. It was noted that the permeate flux in the MF process was decreasing
while the values of reversible and irreversible resistances were increasing as
the cut-off of the studied membranes was diminishing. An analysis of the
hydraulic series resistance showed that using a 300 kDa membrane would be the
most beneficial, as it was characterized by a comparatively high permeate flux
(Jv=1.68 10(-2)m(3)/m(2)s), a comparatively low susceptibility to irreversible
fouling (1.72.10(9) 1/m) and a high biomass retention coefficient (91%). The
obtained permeate was characterized by high concentrations of dissolved nitrogen
and phosphorus forms, which indicated that it could be reused in the process of
microalgal biomass production.
PMID- 26546922
TI - [Exceptional view of a new website...].
PMID- 26546923
TI - [About the technique of muscle biopsy - A historical flash-back on the technique
of muscle biopsie].
PMID- 26546924
TI - [Chanarin-Dorfman syndrome in a 7-year-old child: when myophathy and skin
involvement are all but one].
PMID- 26546925
TI - [Are respiratory muscle testing helpful to prompt sleep studies in children with
neuromuscular disease?].
PMID- 26546926
TI - [DM-SCOPE, an intermediary appraisal report and benefits of databases in
neuromuscular disorders].
PMID- 26546927
TI - [GNE myopathy].
AB - GNE myopathy is a rare neuromuscular disease whose description is fairly recent.
It predominantly affects the adult population and is an inherited autosomal
recessive disorder. Although universal and ubiquitous, GNE myopathy prevails in
the Jewish community of Persian origin, living in Iran, Israel or in the United
States. This condition has also been reported in great number in populations of
far-East Asia (Japan and neighboring countries) and, closer to France, in
Bulgaria. GNE myopathy causes muscle weakness in the extremities (distal
myopathy), affecting initially and predominantly foot flexor muscles. The generic
term of GNE myopathy is now fully accepted and encompasses two previously
described entities: the quadriceps sparing myopathy, (also referred to as the
autosomal recessive form of inclusion body myopathy (hIBM) and the Nonaka type
distal myopathy (or distal myopathy with rimmed vacuoles DMRV). This myopathy is
due to mutations in the GNE gene encoding a bifunctional enzyme, the UDP-N
acetylglucosamine-2-epimerase/N-acetylmannosamine kinase. This enzyme plays a
role at two levels in the metabolic pathway leading to the synthesis of sialic
acid. Sialic acid, also known as N-acetylneuraminic acid (Neu5Ac or NANA), is a
monosaccharide essential to other protein or lipid molecules requiring sugar
residues on their surface in order to function efficiently. GNE myopathy is
characterized by histological lesions (rimmed vacuoles) within muscle fibers.
They are fairly typical in a suggestive context, but non-specific and
inconsistent from one muscle to another. The diagnosis of GNE myopathy is
essentially based on clinical clues, including muscle imaging, and is confirmed
by genetic studies. If promising therapeutic trials are being developed to
compensate for this recently unveiled metabolic defect, the treatment of this
myopathy remains purely supportive to date.
PMID- 26546928
TI - [Not Available].
PMID- 26546929
TI - [Not Available].
PMID- 26546930
TI - [Not Available].
PMID- 26546931
TI - [Myology and ethnic minorities: all roads lead to the Roma].
PMID- 26546932
TI - [First Italo-French meeting on laminopathies and other pathologies related to the
nuclear envelope].
PMID- 26546933
TI - [The Emeritus Dinosaur's viewpoint - The road to hell of gene therapists is paved
with POC].
PMID- 26546936
TI - [The French Myology Association Telethon--investments in innovative projects].
PMID- 26546937
TI - [Patient safety: prescription of drugs that prolong the QT interval].
AB - OBJECTIVE: to determine the prescription of drugs with known risk to prolong the
QT interval in a Healthcare Area, to provide information to those physicians
responsible about the risk factors associated with its development, and to
improve patient safety. METHODS: a descriptive cross-sectional observational
study of prevalence. A total of 4, 964 patients from a Healthcare Area treated in
one month with drugs with known risk were included in the study. Risk drugs,
interactions and predisposing factors were identified. Physicians were provided
with the list of patients with drugs with known risk, recommendations, and a
questionnaire to know more risk factors, utility and clinical attitude. A
descriptive statistical analysis was conducted. RESULTS: of the total number of
patients in the Area, 3.2% were treated with drugs with known risk. 64.0% were
women, 57.5% were older than 65 years and 39.6% had drug interactions. The mean
number of risk factors per patient was 1.78 (CI 95%: 1.74-1.81). Antidepressants
(41.2%) and antibiotics (40.4%) were the most commonly prescribed drugs with
known risk. 25.4% of the physicians returned the questionnaire and reported the
clinical attitude in 1, 073 patients: the drug with known risk was withdrawn in
289, the dose was reduced in 113, and an electrocardiogram was performed in 398.
Physicians identified other risk factors: heart disease (17.9%) and
hypo/hyperthyroidism (8.8%). CONCLUSIONS: the detected prevalence of prescription
of drugs that prolong the QT interval is relevant, considering that the patients
also had other risk factors. Their identification can improve the quality of care
and patient safety.
PMID- 26546938
TI - [Development of integrated support software for clinical nutrition].
AB - OBJECTIVES: to develop an integrated computer software application for
specialized nutritional support, integrated in the electronic clinical record,
which detects automatically and early those undernourished patients or at risk of
developing undernourishment, determining points of opportunity for improvement
and evaluation of the results. METHODS: the quality standards published by the
Nutrition Work Group of the Spanish Society of Hospital Pharmacy (SEFH) and the
recommendations by the Pharmacy Group of the Spanish Society of Parenteral and
Enteral Nutrition (SENPE) have been taken into account. According to these
quality standards, the nutritional support has to include the following
healthcare stages or sub-processes: nutritional screening, nutritional
assessment, plan for nutritional care, prescription, preparation and
administration. RESULTS: this software allows to conduct, in an automated way, a
specific nutritional assessment for those patients with nutritional risk,
implementing, if necessary, a nutritional treatment plan, conducting follow-up
and traceability of outcomes derived from the implementation of improvement
actions, and quantifying to what extent our practice is close to the established
standard. CONCLUSIONS: this software allows to standardize the specialized
nutritional support from a multidisciplinary point of view, introducing the
concept of quality control per processes, and including patient as the main
customer.
PMID- 26546934
TI - [The Caribbean Reference Centre for rare neuromuscular and neurological
disorders].
PMID- 26546939
TI - [Validation of cold chain during distribution of parenteral nutrition].
AB - OBJECTIVE: this study aims to demonstrate the suitability of the process used to
condition the extemporaneous mixtures of parenteral nutrition for distribution,
considering the objective of preserving the cold chain during transport until it
reaches the patient, necessary to ensure stability, effectiveness and safety of
these mixtures. METHOD: concurrent validation, design and implementation of a
protocol for evaluating the process of packaging and distribution of MNPE
developed by a pharmaceutical laboratory. Running tests, according to predefined
acceptance criteria. It is performed twice, in summer and on routes that require
longer transfer time. Evaluation of conservation of temperature by monitoring the
internal temperature values of each type of packaging, recorded by data loggers
calibrated equipment. RESULTS: the different tests meet the established criteria.
The collected data ensure the maintenance of the cold chain for longer than the
transfer time to the most distant points. CONCLUSIONS: this study establishes the
suitability of the processes to maintaining the cold chain for transfer from the
laboratory to the patient pharmacist. Whereas the breaking of cold chain can
cause changes of compatibility and stability of parenteral nutrition and failures
nutritional support, this study contributes to patient safety, one of the
relevant dimensions of quality of care the health.
PMID- 26546940
TI - [Off-label use of oncology drugs: national survey results].
AB - PURPOSE: identify by means of a survey the off-label treatments more often used
in the oncohaematology area, as well as to know the established procedures and
criteria used to authorise those treatments. METHODS: a four-section survey was
designed: 1) demographic data and hospital activity, 2) Off-label treatments
protocol, 3) Approval criteria and 4) Off-label oncology treatments conducted
during the last year. RESULTS: in 42.1% of the hospitals it's needed an
authorisation before dispensing in more tan 80% of the treatments. The most
influential factor in the approval-dispensation system is the available evidence.
The consent of the hospital management with previous Pharmacy department's report
was the most common authorisation procedure. 55.3% of the hospitals settled
specific patient criteria to help the decision-making altogether with the
available safety and efficacy data of the drug for the requested indication. In
most centers a lower level of evidence is accepted if there are no therapeutic
alternatives as well as in tumors of low prevalence. Most of the centers have not
clearly established a criterion of effectiveness to consider a benefit as
clinically relevant, nor the cost-effectiveness threshold for approving a FFT.
CONCLUSIONS: there is a great variability in the off-label treatments use and
also in the criteria used for its approval.
PMID- 26546941
TI - Economic evaluation in collaborative hospital drug evaluation reports.
AB - OBJECTIVE: economic evaluation is a fundamental criterion when deciding a drug's
place in therapy. The MADRE method (Method for Assistance in making Decisions and
Writing Drug Evaluation Reports) is widely used for drug evaluation. This method
was developed by the GENESIS group of the Spanish Society of Hospital Pharmacy
(SEFH), including economic evaluation. We intend to improve the economic aspects
of this method. As for the direction to take, we have to first analyze our
previous experiences with the current methodology and propose necessary
improvements. METHOD: economic evaluation sections in collaboratively conducted
drug evaluation reports (as the scientific society, SEFH) with the MADRE method
were reviewed retrospectively. RESULTS: thirty-two reports were reviewed, 87.5%
of them included an economic evaluation conducted by authors and 65.6% contained
published economic evaluations. In 90.6% of the reports, a Budget impact analysis
was conducted. The cost per life year gained or per Quality Adjusted Life Year
gained was present in 14 reports. Twenty-three reports received public comments
regarding the need to improve the economic aspect. Main difficulties: low quality
evidence in the target population, no comparative studies with a relevant
comparator, non-final outcomes evaluated, no quality of life data, no fixed drug
price available, dosing uncertainty, and different prices for the same drug.
CONCLUSIONS: proposed improvements: incorporating different forms of aid for non
drug costs, survival estimation and adapting published economic evaluations;
establishing criteria for drug price selection, decision-making in conditions of
uncertainty and poor quality evidence, dose calculation and cost-effectiveness
thresholds depending on different situations.
PMID- 26546942
TI - [Vedolizumab in Crohn Disease genesis-sefh drug evaluation report].
PMID- 26546935
TI - [XII(th) Annual Meeting and 8(th) Master Price of the French Society of Myology].
PMID- 26546944
TI - [Switching therapy from natalizumab to fingolimod: reduction of the washout
time?].
PMID- 26546945
TI - Effects of milk components and food additives on survival of three bifidobacteria
strains in fermented milk under simulated gastrointestinal tract conditions.
AB - BACKGROUND: In the dairy industry, probiotic strains of Bifidobacterium are
introduced into the composition of traditional starter cultures intended for the
production of fermented foods, or sometimes are the sole microflora responsible
for the fermentation process. In order to be able to reach the intestines alive
and fulfil their beneficial role, probiotic strains must be able to withstand the
acidity of the gastric juices and bile present in the duodenum. OBJECTIVE: The
paper reports effects of selected fermented milk components on the viability of
three strains of bifidobacteria in fermented milk during subsequent incubation
under conditions representing model digestive juices. DESIGN: The viability of
the bifidobacterial cells was examined after a 3-h incubation of fermented milk
under simulated gastric juice conditions and then after 5-h incubation under
simulated duodenum juice conditions. The Bifidobacterium strains tested differed
in their sensitivity to the simulated conditions of the gastrointestinal juices.
RESULTS: Bifidobacterial cell viability in simulated intestinal juices was
dependent on the strain used in our experiments, and product components acted
protectively towards bifidobacterial cells and its dose. CONCLUSIONS:
Bifidobacterial cells introduced into the human gastrointestinal tract as food
ingredients have a good chance of survival during intestinal transit and to reach
the large intestine thanks to the protective properties of the food components
and depending on the strain and composition of the food.
PMID- 26546943
TI - [Causal analysis and impact of a systematic error detected about consumption
associated with trastuzumab].
AB - OBJECTIVE: determine the cause of the constant difference between the theoretical
and real number of trastuzumab units consumed in an Intravenous Mixtures Unit.
METHODS: was studied the manual and electronic full procedure about preparing
mixtures with trastuzumab. Was performed by visual observation and review of
quantitative monitoring data from the electronic preparation support and safe
system of the application Farmis-Oncofarm(r). RESULTS: difference between the
optimum volume of trastuzumab contained in the summary of product characteristics
and the same included in Farmis_Oncofarm(r) was found. Also found a defect of the
optimum volume of 10ml syringes used in the reconstitution vials process.
CONCLUSIONS: the default in the optimal volume of 10ml syringes used in the
reconstitution process increases the real consumed units of trastuzumab. This
produces a significant economic impact calculated in an annual additional cost in
approximately 46.508 ? without negative consequences for the patient.
PMID- 26546946
TI - A proof-of-concept model for the identification of the key events in the
infection process with specific reference to Pseudomonas aeruginosa in corneal
infections.
AB - BACKGROUND: It is a common medical practice to characterise an infection based on
the causative agent and to adopt therapeutic and prevention strategies targeting
the agent itself. However, from an epidemiological perspective, exposure to a
microbe can be harmless to a host as a result of low-level exposure or due to
host immune response, with opportunistic infection only occurring as a result of
changes in the host, pathogen, or surrounding environment. METHODS: We have
attempted to review systematically the key host, pathogen, and environmental
factors that may significantly impact clinical outcomes of exposure to a
pathogen, using Pseudomonas aeruginosa eye infection as a case study. RESULTS AND
DISCUSSION: Extended contact lens wearing and compromised hygiene may predispose
users to microbial keratitis, which can be a severe and vision-threatening
infection. P. aeruginosa has a wide array of virulence-associated genes and
sensing systems to initiate and maintain cell populations at the corneal surface
and beyond. We have adapted the well-known concept of the epidemiological
triangle in combination with the classic risk assessment framework (hazard
identification, characterisation, and exposure) to develop a conceptual pathway
based model that demonstrates the overlapping relationships between the host, the
pathogen, and the environment; and to illustrate the key events in P. aeruginosa
eye infection. CONCLUSION: This strategy differs from traditional approaches that
consider potential risk factors in isolation, and hopefully will aid the
identification of data and models to inform preventive and therapeutic measures
in addition to risk assessment. Furthermore, this may facilitate the
identification of knowledge gaps to direct research in areas of greatest impact
to avert or mitigate adverse outcomes of infection.
PMID- 26546949
TI - Cases of liver failure linked to "fat-burning" supplement.
PMID- 26546948
TI - Examine the patient, not just the evidence.
PMID- 26546952
TI - Influenza vaccination: What's new this season?
AB - One IIV trivalent product can now be given with a needle-free jet injector. A
recombinant vaccine formulation is available for those who have egg allergy. The
algorithm for dosing in children now asks just one question.
PMID- 26546953
TI - Recurrent vesicular rash over the sacrum.
PMID- 26546950
TI - Zeroing in on the cause of your patient's facial pain.
AB - The overlapping characteristics of facial pain can make it difficult to pinpoint
the cause. This article, with a handy at-a-glance table, can help.
PMID- 26546947
TI - Laminated sponges as challenging solid hydrophilic matrices for the buccal
delivery of carvedilol microemulsion systems: Development and proof of concept
via mucoadhesion and pharmacokinetic assessments in healthy human volunteers.
AB - Carvedilol (CVD) suffers from low absolute bioavailability (25%) due to its
limited aqueous solubility and hepatic first-pass metabolism. Hydroxypropyl
methylcellulose (HPMC) laminated buccal sponges loaded with CVD microemulsions
(CVD-ME) were exploited to surmount such limitations. Six pseudoternary-phase
diagrams were constructed using Capmul(r) MCM C8/Capmul(r) PG8, Tween(r) 80,
propylene glycol and water. Six CVD-ME systems (0.625% w/v) were incorporated
into HPMC core sponges backed with Ethocel(r) layers. The sponges were
preliminary evaluated via FT-IR, DSC and XRD. The surface pH, morphology and in
vitro drug release studies were evaluated. In vivo mucoadhesion and absorption
studies of the best achieved laminated sponges (F4) were assessed in healthy
volunteers. CVD-ME systems displayed nano-spherical clear droplets. The sponges
showed interconnecting porous matrices through which CVD was dispersed in
amorphous state. No intermolecular interaction was detected between CVD and HPMC.
The surface pH values were almost neutral. The sponges loaded with CVD-ME systems
showed more sustained-release profiles than those loaded with CVD-powder.
Compared to Dilatrend(r) tablets, the significantly (P<0.05) higher
bioavailability (1.5 folds), delayed Tmax and prolonged MRT(0-infinity) unraveled
the dual-potential of F4 sponges for water-insoluble drugs, like CVD, in
improving drug oral bioavailability and in controlling drug release kinetics via
buccal mucosa.
PMID- 26546954
TI - Clinical Inquiry: Does qHPV vaccine prevent anal intraepithelial neoplasia and
condylomata in men?
AB - Yes. Quadrivalent human papillomavirus (qHPV) vaccine reduces rates of anal
intraepithelial neoplasia (AIN) by 50% to 54%, and persistent anal infection by
59%, associated with the 4 types of HPV in the vaccine (6, 11, 16, and 18) in
young men who have sex with men (MSM); it also reduces external genital lesions
by 66%, and persistent HPV infection associated with the same 4 HPV types by 48
to 59% in all young men, heterosexual men, and MSM.
PMID- 26546951
TI - Osteoporosis: What about men?
AB - Men sustain up to 40% of osteoporotic fractures, with potentially fatal results.
But because osteoporosis is largely viewed as a women's disease, its presence in
men is often missed.
PMID- 26546955
TI - Hepatitis C: How to fine-tune your approach.
AB - Advances in drug therapy have made it possible to cure HCV infection. This
article describes how best to screen, diagnose, and counsel these patients.
PMID- 26546956
TI - Tuberculosis testing: Which patients, which test?
AB - The most appropriate test to identify latent TB depends on the patient's risk for
developing active TB and other factors. This review provides practical guidance
on who to test, how, and when.
PMID- 26546957
TI - Clinical Inquiry: How effective are opioids for chronic low back pain?
AB - Short-term (<4 months) treatment with opioids provides modest relief of chronic
low back pain, but only minimal improvement in function compared with placebo.
PMID- 26546958
TI - Med students: Look up from your EMRs.
AB - It frightens me to think what might have happened during my hospital stay if I
hadn't provided information that wasn't required by the EMR.
PMID- 26546959
TI - Hot flashes and night sweats . amenorrhea . positive home pregnancy test . Dx?
AB - Hormone therapy and supplemental calcium and vitamin D are recommended for women
with primary ovarian insufficiency to help prevent loss of bone density and other
negative effects of low estrogen.
PMID- 26546961
TI - Fibromyalgia . anxiety/depression . urinary retention . Dx?
PMID- 26546960
TI - Clinical Inquiry: Which interventions can increase breastfeeding duration?
AB - Breastfeeding support, beyond standard care, from lay people or professionals
increases both short- and long-term breastfeeding duration.
PMID- 26546962
TI - Major Depressive Disorder in the Primary Care Setting: Strategies to Achieve
Remission and Recovery.
PMID- 26546963
TI - Small cell carcinoma of the ovary-hypercalcemic type (SCCOHT): A review of 47
cases.
AB - OBJECTIVE: Small cell carcinoma of the ovary-hypercalcemic type (SCCOHT) is a
rare disease with a poor prognosis. SCCOHT has recently been shown to be
associated with SMARCA4 gene mutations as well as molecular and genetic
similarities to malignant rhabdoid tumors (MRT). The objective of our study is to
describe the clinical characteristics, treatment modalities and outcomes of 47
patients with SCCOHT. METHODS: We performed a retrospective analysis of 47
patients with SCCOHT evaluated at MD Anderson Cancer Center between 1990 and
2014. Medical records were reviewed for demographic information, pathologic
findings, treatment regimens and outcomes. RESULTS: Median age at diagnosis was
30 years (range 5-46). All patients underwent surgery with unilateral salpingo
oophorectomy (USO) performed in 26 patients (55%), and hysterectomy with
bilateral salpingooophorectomy (BSO) in 21 patients (45%). Sixteen patients
(34.0%) had stage I disease, six (12.8%) stage II, 23 (48.9%) stage III, and two
patients (4.3%) had stage IV disease. Information on adjuvant treatment was
available for 43 patients: 83.3% received chemotherapy alone, 9.5% chemotherapy
followed by radiotherapy, 2.4% chemoradiation, and 4.8% did not receive any
adjuvant therapy. Median follow-up was 13.2 months (range, 0.1 to 210.7) with a
median overall survival of 14.9 months. Multi-agent chemotherapy and radiotherapy
were associated with a better prognosis. CONCLUSION: Our findings suggest that
aggressive therapy including multi-agent chemotherapy and possibly radiotherapy
may extend survival. Further study is needed to improve outcomes in these
patients including the adoption of systemic therapies used in MRT as well as the
development of novel agents targeting specific mutations.
PMID- 26546964
TI - A comparison of the toxicity and tolerability of two intraperitoneal chemotherapy
regimens for advanced-stage epithelial ovarian cancer.
AB - OBJECTIVES: Randomized controlled trials (RCTs) in optimally cytoreduced
epithelial ovarian cancer (EOC) patients have demonstrated an impressive survival
benefit of intraperitoneal (IP) platinum over intravenous (IV), but its use has
been limited by significant toxicity from cisplatin. The aim of this study was to
compare the toxicity and tolerability of IP cisplatin to IP carboplatin in women
with optimally cytoreduced EOC. METHODS: Retrospective analysis of 141 women with
EOC who underwent optimal surgical cytoreduction followed by IV paclitaxel and IP
cisplatin or IP carboplatin was performed. Toxicities of the two treatment
regimens were compared. As a secondary outcome, overall survival (OS) and
progression-free survival (PFS) probabilities were obtained using the Kaplan
Meier estimate; the log-rank test was used to compare survival curves. RESULTS:
Of the 141 patients, 77 (54.6%) received IP cisplatin and 64 (45.4%) received IP
carboplatin. Eighty-six percent received at least 4 cycles of IP chemotherapy. IP
cisplatin was associated with significantly more grade 3 nausea and vomiting
(10.4% vs. 1.6%, p=0.033), grade 3 neuropathy (7.8% vs. 0%, p=0.013) and grade 2
3 neutropenia (22.1% vs. 9.4%, p=0.042). No difference in PFS (p=0.602) or OS
(p=0.107) was found between the groups. CONCLUSION: IP chemotherapy had a high
completion rate in both groups of patients. IP carboplatin required a less
resource intense protocol and was tolerated better than IP cisplatin with less
gastrointestinal, neurologic and hematologic toxicities.
PMID- 26546965
TI - Is the inflammasome relevant for epithelial cell function?
AB - Inflammasomes are intracellular protein complexes that sense microbial components
and damage of infected cells. Following activation by molecules released by
pathogens or injured cells, inflammasomes activate caspase-1, allowing secretion
of the pro-inflammatory cytokines IL-1beta and IL-18 from innate immune cells.
Inflammasomes are also expressed in epithelial cells, where their function has
attracted less attention. Nonetheless, depending on the tissue, epithelial
inflammasomes can mediate inflammation, wound healing, and pain sensitivity. We
review here recent findings on inflammasomes found in epithelial tissues,
highlighting the importance of these protein complexes in the response of
epithelial tissues to microbial infections.
PMID- 26546968
TI - Ultramicroscopy reveals that senescence induces in-situ and vacuolar degradation
of plastoglobules in aging watermelon leaves.
AB - The dynamics of plastoglobules in chloroplasts in aging watermelon leaves were
examined by means of transmission electron microscopy, with the aim to understand
the intracellular sites for the degradation of plastoglobules in response to leaf
senescence. Plastoglobules in chloroplasts in aging leaves with 40% loss of
chlorophyll increased drastically in number and size in comparison with young and
mature leaves. As senescence advanced, plastoglobules underwent degradation
within chloroplasts, or were secreted outside chloroplasts. There were two
distinct types of secretion. One type was that chloroplasts protruded to form
plastoglobule-containing vesicles and, as the vesicles were detached from
chloroplasts, plastoglobules were carried outside chloroplasts. The other type
was that plastoglobules squeezed out through the chloroplast envelope into
cytoplasm. Lipid droplets were present in the vacuole and underwent degradation
therein. Lipid droplets in the vacuole shared similar ultramicroscopic appearance
with plastoglobules in chloroplasts, indicating that plastoglobules were engulfed
and degraded by the vacuole after they were secreted outside chloroplasts. These
results suggested that senescence induces both in-situ and vacuolar degradation
of plastoglobules in aging watermelon leaves.
PMID- 26546967
TI - The effects of strontium on bone mineral: A review on current knowledge and
microanalytical approaches.
AB - The interest in effects of strontium (Sr) on bone has greatly increased in the
last decade due to the development of the promising drug strontium ranelate. This
drug is used for treating osteoporosis, a major bone disease affecting hundreds
of millions of people worldwide, especially postmenopausal women. The novelty of
strontium ranelate compared to other treatments for osteoporosis is its unique
effect on bone: it simultaneously promotes bone formation by osteoblasts and
inhibits bone resorption by osteoclasts. Besides affecting bone cells, treatment
with strontium ranelate also has a direct effect on the mineralized bone matrix.
Due to the chemical similarities between Sr and Ca, a topic that has long been of
particular interest is the incorporation of Sr into bones replacing Ca from the
mineral phase, which is composed by carbonated hydroxyapatite nanocrystals.
Several groups have analyzed the mineral produced during treatment; however, most
analysis were done with relatively large samples containing numerous
nanocrystals, resulting thus on data that represents an average of many
crystalline domains. The nanoscale analysis of the bone apatite crystals
containing Sr has only been described in a few studies. In this study, we review
the current knowledge on the effects of Sr on bone mineral and discuss the
methodological approaches that have been used in the field. In particular, we
focus on the great potential that advanced microscopy and microanalytical
techniques may have on the detailed analysis of the nanostructure and composition
of bone apatite nanocrystals produced during treatment with strontium ranelate.
PMID- 26546966
TI - Oligodendrocyte progenitor programming and reprogramming: Toward myelin
regeneration.
AB - Demyelinating diseases such as multiple sclerosis (MS) are among the most
disabling and cost-intensive neurological disorders. The loss of myelin in the
central nervous system, produced by oligodendrocytes (OLs), impairs saltatory
nerve conduction, leading to motor and cognitive deficits. Immunosuppression
therapy has a limited efficacy in MS patients, arguing for a paradigm shift to
strategies that target OL lineage cells to achieve myelin repair. The inhibitory
microenvironment in MS lesions abrogates the expansion and differentiation of
resident OL precursor cells (OPCs) into mature myelin-forming OLs. Recent studies
indicate that OPCs display a highly plastic ability to differentiate into
alternative cell lineages under certain circumstances. Thus, understanding the
mechanisms that maintain and control OPC fate and differentiation into mature OLs
in a hostile, non-permissive lesion environment may open new opportunities for
regenerative therapies. In this review, we will focus on 1) the plasticity of
OPCs in terms of their developmental origins, distribution, and differentiation
potentials in the normal and injured brain; 2) recent discoveries of extrinsic
and intrinsic factors and small molecule compounds that control OPC specification
and differentiation; and 3) therapeutic potential for motivation of neural
progenitor cells and reprogramming of differentiated cells into OPCs and their
likely impacts on remyelination. OL-based therapies through activating
regenerative potentials of OPCs or cell replacement offer exciting opportunities
for innovative strategies to promote remyelination and neuroprotection in
devastating demyelinating diseases like MS. This article is part of a Special
Issue entitled SI:NG2-glia(Invited only).
PMID- 26546969
TI - Statin therapy and plasma cortisol concentrations: A systematic review and meta
analysis of randomized placebo-controlled trials.
AB - This study aimed to perform a systematic review and meta-analysis of randomized
controlled trials (RCTs) in order to calculate the effect size of statin therapy
in changing plasma cortisol concentrations. Following a systematic search in
Medline, SCOPUS, Web of Science and Google Scholar databases (by up to March 01,
2015), 7 eligible RCTs were selected. Random-effects meta-analysis suggested a
significant increase in plasma cortisol concentrations following statin therapy
(WMD: 6.34%, 95% CI: 1.80, 10.87, p=0.006). Subgroup analysis confirmed the
significance of the effect with lipophilic statins comprising atorvastatin,
simvastatin, and lovastatin (WMD: 7.00%, 95% CI: 2.21, 11.79, p=0.004) but not
with hydrophilic statins (rosuvastatin and pravastatin) (WMD: 0.60%, 95% CI:
13.46, 14.66, p=0.933). In the meta-regression analysis, changes in plasma
cortisol concentrations following statin therapy were found to be independent of
treatment duration. Results of this meta-analysis of RCTs suggest a significant
elevation in plasma cortisol levels following statin therapy.
PMID- 26546970
TI - The use of ranolazine in non-anginal cardiovascular disorders: A review of
current data and ongoing randomized clinical trials.
AB - Ranolazine has characteristic properties of a selective inhibitor of the inward
sodium current. It is primarily indicated as an anti-anginal agent in patients
with coronary artery disease and chronic stable angina. Recently, ranolazine has
been noted to possibly impart beneficial effects in various other cardiac
conditions, including new-onset, paroxysmal, and chronic atrial fibrillation,
post-operative atrial fibrillation, ventricular arrhythmias, post
revascularization coronary artery disease, chemotherapeutic cardiotoxicity, and
diastolic and microvascular dysfunction. Herein, we present a review of the
current clinical evidence describing the adjunctive or synergistic effects of
ranolazine in non-angina related cardiovascular disorders, and include a
discussion of the ongoing randomized trials investigating the therapeutic
potential of ranolazine in a variety of cardiovascular diseases.
PMID- 26546972
TI - Bio-inspired polymer envelopes around adenoviral vectors to reduce immunogenicity
and improve in vivo kinetics.
AB - Adenoviral vectors have attracted substantial interest for systemic tumor gene
therapy, but further work is needed to reduce their immunogenicity and alter
their biodistribution before they can be used in the clinic. Here we describe a
bio-inspired, cleavable PEGylated beta-cyclodextrin-polyethyleneimine conjugate
(CDPCP) that spontaneously coats adenovirus in solution. This cleavable PEG
coating reduces the innate and adaptive immunogenicity of adenovirus particles,
as well as improves their biodistribution away from the liver and into the tumor.
Insertion of a matrix metalloproteinase substrate sequence into the conjugate
allows PEG cleavage at the tumor site, simultaneously reducing liver
biodistribution and increasing transgene expression in tumors, thereby avoiding
the "PEG dilemma". Cationic beta-cyclodextrin-PEI not only provides electrostatic
attraction to promote envelope attachment to the viral capsid, but it also
improves vector internalization and transduction after PEG cleavage. These
results suggest that CDPCP may help expand the use of adenoviral vectors in
cancer gene therapy. STATEMENT OF SIGNIFICANCE: The synthesized beta-cyclodextrin
PEI-MMP-cleavable-PEG polymer (CDPCP), held great potential for gene therapy when
applied for adenovirus coating. The beta-cyclodextrin-PEI provided a powerful
electrostatic attraction to attach the whole polymer onto the viral capsid, while
the MMPs-cleavable PEG reduced innate and adaptive immunogenicity and improved
the biodistribution of adenovirus vectors due to the tumor-specific enzyme
triggered PEG cleavage. More importantly, an ingenious cooperation between the
two components could solve the PEG dilemma. The CDPCP/Ad complexes exhibited a
comprehensive and valued profile to be a candidate vector for future tumor gene
therapy, we believe the current investigation on this kind of biomaterial may be
of particular interest to the readership of Acta biomaterialia.
PMID- 26546971
TI - How many TCR clonotypes does a body maintain?
AB - We consider the lifetime of a T cell clonotype, the set of T cells with the same
T cell receptor, from its thymic origin to its extinction in a multiclonal
repertoire. Using published estimates of total cell numbers and thymic production
rates, we calculate the mean number of cells per TCR clonotype, and the total
number of clonotypes, in mice and humans. When there is little peripheral
division, as in a mouse, the number of cells per clonotype is small and governed
by the number of cells with identical TCR that exit the thymus. In humans,
peripheral division is important and a clonotype may survive for decades, during
which it expands to comprise many cells. We therefore devise and analyse a
computational model of homeostasis of a multiclonal population. Each T cell in
the model competes for self pMHC stimuli, cells of any one clonotype only
recognising a small fraction of the many subsets of stimuli. A constant mean
total number of cells is maintained by a balance between cell division and death,
and a stable number of clonotypes by a balance between thymic production of new
clonotypes and extinction of existing ones. The number of distinct clonotypes in
a human body may be smaller than the total number of naive T cells by only one
order of magnitude.
PMID- 26546973
TI - In vitro maturation of large-scale cardiac patches based on a perfusable starter
matrix by cyclic mechanical stimulation.
AB - The ultimate goal of tissue engineering is the generation of implants similar to
native tissue. Thus, it is essential to utilize physiological stimuli to improve
the quality of engineered constructs. Numerous publications reported that
mechanical stimulation of small-sized, non-perfusable, tissue engineered cardiac
constructs leads to a maturation of immature cardiomyocytes like neonatal rat
cardiomyocytes or induced pluripotent stem cells/embryonic stem cells derived
self-contracting cells. The aim of this study was to investigate the impact of
mechanical stimulation and perfusion on the maturation process of large-scale
(2.5*4.5cm), implantable cardiac patches based on decellularized porcine small
intestinal submucosa (SIS) or Biological Vascularized Matrix (BioVaM) and a 3
dimensional construct containing neonatal rat heart cells. Application of cyclic
mechanical stretch improved contractile function, cardiomyocyte alignment along
the stretch axis and gene expression of cardiomyocyte markers. The development of
a complex network formed by endothelial cells within the cardiac construct was
enhanced by cyclic stretch. Finally, the utilization of BioVaM enabled the
perfusion of the matrix during stimulation, augmenting the beneficial influence
of cyclic stretch. Thus, this study demonstrates the maturation of cardiac
constructs with clinically relevant dimensions by the application of cyclic
mechanical stretch and perfusion of the starter matrix. STATEMENT OF
SIGNIFICANCE: Considering the poor endogenous regeneration of the heart,
engineering of bioartificial cardiac tissue for the replacement of infarcted
myocardium is an exciting strategy. Most techniques for the generation of cardiac
tissue result in relative small-sized constructs insufficient for clinical
applications. Another issue is to achieve cardiomyocytes and tissue maturation in
culture. Here we report, for the first time, the effect of mechanical stimulation
and simultaneous perfusion on the maturation of cardiac constructs of clinical
relevant dimensions, which are based on a perfusable starter matrix derived from
porcine small intestine. In response to these stimuli superior organization of
cardiomyocytes and vascular networks was observed in contrast to untreated
controls. The study provides substantial progress towards the generation of
implantable cardiac patches.
PMID- 26546975
TI - CRISPR/Cas9: An inexpensive, efficient loss of function tool to screen human
disease genes in Xenopus.
AB - Congenital malformations are the major cause of infant mortality in the US and
Europe. Due to rapid advances in human genomics, we can now efficiently identify
sequence variants that may cause disease in these patients. However, establishing
disease causality remains a challenge. Additionally, in the case of congenital
heart disease, many of the identified candidate genes are either novel to
embryonic development or have no known function. Therefore, there is a pressing
need to develop inexpensive and efficient technologies to screen these candidate
genes for disease phenocopy in model systems and to perform functional studies to
uncover their role in development. For this purpose, we sought to test F0 CRISPR
based gene editing as a loss of function strategy for disease phenocopy in the
frog model organism, Xenopus tropicalis. We demonstrate that the CRISPR/Cas9
system can efficiently modify both alleles in the F0 generation within a few
hours post fertilization, recapitulating even early disease phenotypes that are
highly similar to knockdowns from morpholino oligos (MOs) in nearly all cases
tested. We find that injecting Cas9 protein is dramatically more efficacious and
less toxic than cas9 mRNA. We conclude that CRISPR based F0 gene modification in
X. tropicalis is efficient and cost effective and readily recapitulates disease
and MO phenotypes.
PMID- 26546974
TI - Neural crest requires Impdh2 for development of the enteric nervous system, great
vessels, and craniofacial skeleton.
AB - Mutations that impair the proliferation of enteric neural crest-derived cells
(ENCDC) cause Hirschsprung disease, a potentially lethal birth defect where the
enteric nervous system (ENS) is absent from distal bowel. Inosine 5'
monophosphate dehydrogenase (IMPDH) activity is essential for de novo GMP
synthesis, and chemical inhibition of IMPDH induces Hirschsprung disease-like
pathology in mouse models by reducing ENCDC proliferation. Two IMPDH isoforms are
ubiquitously expressed in the embryo, but only IMPDH2 is required for life. To
further understand the role of IMPDH2 in ENS and neural crest development, we
characterized a conditional Impdh2 mutant mouse. Deletion of Impdh2 in the early
neural crest using the Wnt1-Cre transgene produced defects in multiple neural
crest derivatives including highly penetrant intestinal aganglionosis, agenesis
of the craniofacial skeleton, and cardiac outflow tract and great vessel
malformations. Analysis using a Rosa26 reporter mouse suggested that some or all
of the remaining ENS in Impdh2 conditional-knockout animals was derived from
cells that escaped Wnt1-Cre mediated DNA recombination. These data suggest that
IMPDH2 mediated guanine nucleotide synthesis is essential for normal development
of the ENS and other neural crest derivatives.
PMID- 26546976
TI - The transcription regulation analysis of Ctenopharyngodon idellus PKR and PKZ
genes.
AB - Protein kinase R (PKR), the double-stranded RNA-activated protein kinase, exists
in mammalian and fish. PKZ, a PKR-like protein kinase containing Z-DNA binding
domains, just exists in fish. PKR and PKZ work synergistically in the antiviral
defense by inhibiting intracellular protein translation. The transcriptional
factor IRF3 (interferon regulatory factor 3) acts as a key regulator of type I
IFN (Interferon) and ISG (interferon stimulated gene). On the basis of the cloned
CiIRF3 previously, CiIRF3 with His-tag was over-expressed in BL21 Escherichia
coli, and the expressed protein was purified by affinity chromatography with Ni
NTA His-Bind Resin. In this study, we have demonstrated that grass carp
(Ctenopharyngodon idellus) PKR (CiPKR) and PKZ (CiPKZ) genes were inducible by
Poly I:C in C. idella kidney (CIK) cells. So, they might be implicated in the
intracellular antiviral activity. To understand the up regulatory mechanism of
CiPKR and CiPKZ genes upon virus induction, we constructed wild type (pGL3-CiPKR
luc and pGL3-CiPKZ-luc) and the mutant (pGL3-CiPKR-nISRE-luc and pGL3-CiPKZ-nISRE
luc) reporter gene vectors according to the promoter sequences of CiPKR
(KJ704845) and CiPKZ (KJ704844). In vitro, gel mobility shift assays demonstrated
that CiIRF3 can combine CiPKR and CiPKZ promoters with high affinity. However,
CiIRF3 bound to the mutants CiPKR-nISRE and CiPKZ-nISRE faintly. Whereafter, the
recombinant plasmids of pGL3-CiPKR-luc, pGL3-CiPKZ-luc were transiently co
transfected with pcDNA3.1-CiIRF3, pcDNA3.1-CiIRF7 respectively into CIK cells.
Cell transfection assays indicated that CiIRF3 and CiIRF7 up-regulated the
transcriptional level of CiPKR and CiPKZ. The results also revealed that the
consensus sequence of ISRE (interferon stimulated response element) is an
important regulatory element for the transcriptional initiation of CiPKR and
CiPKZ.
PMID- 26546977
TI - Bisphenol A-induced epithelial to mesenchymal transition is mediated by
cyclooxygenase-2 up-regulation in human endometrial carcinoma cells.
AB - Many studies have highlighted the correlation between the increase of bisphenol A
(BPA) level in the environment and the incidence of tumor in humans. In human
carcinogenesis, the overexpression of cyclooxygenase-2 (COX-2) and epithelial
mesenchymal transition (EMT) are closely related with tumor development. In this
study, human endometrial carcinoma cells line (RL95-2) was used to investigate
whether BPA can induce EMT and COX-2 expression. The results show that BPA
increased growth rate and colony-forming efficiency in a dose-dependent manner,
induced EMT and COX-2 gene expression and promoted the migration and invasion
ability of RL95-2 cells. Furthermore, our study showed that the expression of COX
2 was essential for BPA-induced cell migration and invasion. The results of this
study provide new insights into the mechanism of endometrial cancer cell growth
and invasion and potential therapeutic strategy.
PMID- 26546978
TI - Assessment of cervical passage of vital dyes in pregnant, nonpregnant, and mated
rats and mice.
AB - Risk assessment for indirect exposure to small molecule pharmaceuticals in semen
to the conceptus has traditionally been handled by calculations based on
assumptions that any embryo-fetal exposure would be secondary to maternal
absorption and redistribution. This study was designed to assess the potential
for transcervical passage of drugs from semen. Reproductive tracts of rodents
were examined following vaginal dosing with vital dyes during the estrous cycle,
mating, and pregnancy. Toluidine Blue was not observed beyond the cervix after
vaginal administration in pregnant rats; additionally, it did not pass the cervix
in rats during any phase of estrous. In order to address the effects of semen,
rats were dosed at receptivity and mated. Vital dyes were not visually evident in
the uterus despite vaginal and sperm plug staining. This study provides evidence
that direct transcervical passage is not a substantial route of direct embryo
fetal exposure for small molecule drugs in semen.
PMID- 26546979
TI - Spread of pedigree versus genetic ancestry in spatially distributed populations.
AB - Ancestral processes are fundamental to modern population genetics and spatial
structure has been the subject of intense interest for many years. Despite this
interest, almost nothing is known about the distribution of the locations of
pedigree or genetic ancestors. Using both spatially continuous and stepping-stone
models, we show that the distribution of pedigree ancestors approaches a
travelling wave, for which we develop two alternative approximations. The speed
and width of the wave are sensitive to the local details of the model. After a
short time, genetic ancestors spread far more slowly than pedigree ancestors,
ultimately diffusing out with radius ~ t rather than spreading at constant speed.
In contrast to the wave of pedigree ancestors, the spread of genetic ancestry is
insensitive to the local details of the models.
PMID- 26546980
TI - Rates of lymph node metastasis and survival in T1a gastric adenocarcinoma in
Western populations.
AB - BACKGROUND AND AIMS: EMR and endoscopic submucosal dissection (ESD) are widely
accepted in Asia for treatment of early gastric cancer (EGC). Few studies have
examined lymph node (LN) metastasis of EGC in Western populations. We sought to
examine EGC and LN metastasis in a heterogeneous Western population. METHODS:
Patients with surgically resected, histologically confirmed American Joint
Committee on Cancer T1a gastric adenocarcinoma were identified in the
Surveillance, Epidemiology, and End Results (SEER) database from 2002 to 2012.
Patients were excluded if they had stage IV disease, had multiple primary
cancers, or received neoadjuvant therapy. Rates of LN metastasis were calculated,
and survival analyses were performed. RESULTS: Of 923 patients in the cohort, 72
(7.8%) had at least 1 positive LN on final pathology. When stratified by race,
Asian/Pacific Islanders (APIs) demonstrated the lowest rate of LN metastases (n =
17/327, 5.2%), followed by Hispanics (n = 12/171, 7.0%), whites (n = 27/278,
9.7%), and blacks (n = 16/147, 10.9%). The highest rates of stage IA disease were
observed in API (93.9%) and Hispanic (92.4%) patients, followed by white (89.9%)
and black (87.1%) patients (P = .04). Survival analysis of T1a gastric cancer
patients by race/ethnicity showed that 5-year overall survival was highest for
API patients (API, 88%; Hispanic, 81%; black, 79%; and white, 77%; P < .01).
CONCLUSIONS: The rate of LN metastasis in T1a gastric cancers in the United
States is higher than the rates reported in Asia. Survival outcomes in T1a
gastric cancers varied significantly by race, suggesting that definitive
endoscopic treatment may not be appropriate for all patients in the United
States.
PMID- 26546981
TI - Outcomes of ERCP in Billroth II gastrectomy patients.
AB - BACKGROUND AND AIMS: ERCP is a difficult procedure to perform in Billroth II
gastrectomy patients because of altered anatomy. We investigated the outcomes and
risk factors for adverse events with ERCP using a cap-fitted forward-viewing
endoscope with endoscopic papillary balloon dilation (EPBD) in Billroth II
gastrectomy patients. METHODS: The records for Billroth II gastrectomy patients
who underwent ERCP using a cap-fitted forward-viewing endoscope with EPBD at 5
institutions between August 2008 and April 2014 were retrospectively reviewed.
The outcomes and risk factors for adverse events resulting from this treatment
were analyzed. RESULTS: In total, 165 patients were identified. ERCP was
technically successful in 144 patients (87.3%) and clinically successful in 141
patients (85.5%). Adverse events occurred in 38 patients (23.0%): perforation in
3 cases (1.8%), pancreatitis in 13 cases (7.9%), and asymptomatic hyperamylasemia
in 22 patients (13.3%). In univariate analysis, >=2 ERCP sessions, periampullary
diverticulum, and common bile duct (CBD) stone size >= 12 mm were found to be
associated with ERCP-related adverse events. In multivariate analysis, >=2 ERCP
sessions (odds ratio [OR], 4.762; 95% confidence interval [CI], 1.472-15.402; P =
.009) and a CBD stone size >= 12 mm (OR, 3.213; 95% CI, 1.140-9.057; P = .027)
were significant. CONCLUSIONS: ERCP using a cap-fitted forward-viewing endoscope
with EPBD is feasible in Billroth II gastrectomy patients. In patients with >=2
ERCP sessions or a CBD stone size >= 12 mm, special attention should be paid to
the possible occurrence of significant adverse events.
PMID- 26546982
TI - Identifying risk factors for exposure to culturable allergenic moulds in energy
efficient homes by using highly specific monoclonal antibodies.
AB - The aim of this study was to determine the accuracy of monoclonal antibodies
(mAbs) in identifying culturable allergenic fungi present in visible mould growth
in energy efficient homes, and to identify risk factors for exposure to these
known allergenic fungi. Swabs were taken from fungal contaminated surfaces and
culturable yeasts and moulds isolated by using mycological culture. Soluble
antigens from cultures were tested by ELISA using mAbs specific to the culturable
allergenic fungi Aspergillus and Penicillium spp., Ulocladium, Alternaria, and
Epicoccum spp., Cladosporium spp., Fusarium spp., and Trichoderma spp. Diagnostic
accuracies of the ELISA tests were determined by sequencing of the internally
transcribed spacer 1 (ITS1)-5.8S-ITS2-encoding regions of recovered fungi
following ELISA. There was 100% concordance between the two methods, with ELISAs
providing genus-level identity and ITS sequencing providing species-level
identities (210 out of 210 tested). Species of Aspergillus/Penicillium,
Cladosporium, Ulocladium/Alternaria/Epicoccum, Fusarium and Trichoderma were
detected in 82% of the samples. The presence of condensation was associated with
an increased risk of surfaces being contaminated by Aspergillus/Penicillium spp.
and Cladosporium spp., whereas moisture within the building fabric (water
ingress/rising damp) was only associated with increased risk of
Aspergillus/Penicillium spp. Property type and energy efficiency levels were
found to moderate the risk of indoor surfaces becoming contaminated with
Aspergillus/Penicillium and Cladosporium which in turn was modified by the
presence of condensation, water ingress and rising damp, consistent with previous
literature.
PMID- 26546983
TI - Manipulating mammalian cell by phase transformed titanium surface fabricated
through ultra-short pulsed laser synthesis.
AB - Developing cell sensitive indicators on interacting substrates that allows
specific cell manipulation by a combination of physical, chemical or mechanical
cues is a challenge for current biomaterials. Hence, various fabrication
approaches have been created on a variety of substrates to mimic or create cell
specific cues. However, to achieve cell specific cues a multistep process or a
post-chemical treatment is often necessitated. So, a simple approach without any
chemical or biological treatment would go a long way in developing bio
functionalized substrates to effectively modulate cell adhesion and interaction.
The present investigation is aimed to study the manipulative activity induced by
phase transformed titanium surface. An ultra-short laser is used to fabricate the
phase transformed titanium surface where a polymorphic titanium oxide phases with
titanium monoxide (TiO), tri-titanium oxide (Ti3O) and titanium dioxide (TiO2)
have been synthesized on commercially pure titanium. Control over oxide phase
transformed area was demonstrated via a combination of laser scanning time (laser
pulse interaction time) and laser pulse widths (laser pulse to pulse separation
time). The interaction of phase transformed titanium surface with NIH3T3
fibroblasts and MC3T3-E1 osteoblast cells developed a new bio-functionalized
platforms on titanium based biomaterials to modulate cell migration and adhesion.
The synthesized phase transformed titanium surface on the whole appeared to
induce directional cues for cell migration with unique preferential cell adhesion
unseen by other fabrication approaches. The precise bio-functionalization
controllability exhibited during fabrication offers perceptible edge for
developing a variety of smart bio-medical devices, implants and cardiovascular
stents where the need in supressing specific cell adhesion and proliferation is
of great demand.
PMID- 26546984
TI - Isolation and characterization of human islet stellate cells.
AB - BACKGROUND AND AIMS: We have previously demonstrated that islet stellate cells
(ISCs) exhibiting a similar phenotype to classical pancreatic stellate cells
(PSCs) could be isolated from rat islets, where they may contribute to islet
fibrosis in type 2 diabetes mellitus (T2DM). This study was designed to determine
whether human islets also contain ISC. MATERIALS AND METHODS: Using standard
explants techniques, human ISCs were enriched from freshly isolated human islets.
Immunofluorescence visualization of markers for PSCs(alpha-smooth muscle
actin;alpha-SMA), desmin, vimentin, glial fibrillary acidic protein (GFAP) was
used to characterize the human ISC. Cell counting kit-8 (CCK-8) was used to
assess the proliferation of ISC. The wound-healing assay and the transwell
migration were used to assess the migration capacity of ISC. Immunofluorescence
against collagen typesI (col-I), collagen typesIII (col-III) and fibronectin (FN)
was performed to identify extracellular matrix (ECM) component synthesized by
ISC. Adipogenic and osteogenic differentiation were tried to detected stem cell
potential. RESULTS: In culture, ISC with triangular shape grow out from human
islets. The passaged ISC expressed alpha-SMA, desmin, vimentin, GFAP and was
positive for col-I, col-III and FN. The proliferation and migration ability of
ISC was significantly slower than those of PSC. And both the human PSC and ISC
were able to differentiate in vitro into adipocyte- and osteoblast-like cells.
CONCLUSION: Similar to our previous rat experiment, the current study shows that
human islets also contain ISC which is phenotypically similar but not identical
to human PSC.
PMID- 26546986
TI - Filtering mechanical chest compression artefacts from out-of-hospital cardiac
arrest data.
AB - AIM: Filtering techniques to remove manual compression artefacts from the ECG
have not been incorporated to defibrillators to diagnose the rhythm during
cardiopulmonary resuscitation. Mechanical and manual compression artefacts may be
very different. The aim of this study is to characterize the compression artefact
caused by the LUCAS 2 device and to evaluate whether filtering the LUCAS 2
artefact results in an accurate rhythm analysis. METHODS: A dataset of 1045
segments were obtained from 230 out-of-hospital cardiac arrest (OHCA) patients
after LUCAS 2 activation. Rhythms were 201 shockable, 270 asystole and 574
organized. Segments during asystole were used to characterize the artefact in
time and frequency domains. Three filtering methods, a comb filter and two
adaptive filters, were used to remove the mechanical compression artefact. The
filtered ECG was then diagnosed with a shock decision algorithm from a
defibrillator. RESULTS: When compared to the manual compression artefact, the
LUCAS 2 artefact presented a similar amplitude (1.2 mV, p-value 0.26), fixed
frequency (101.7 min(-1)), more harmonic components, smaller spectral dispersion,
and a more regular waveform (p-val <3 * 10(-7)). The sensitivity (SE) and
specificity (SP) before filtering the LUCAS 2 artefact were 52.8% (90% low CI,
46.0%) and 81.5% (79.0%), respectively. For the best filter, SE and SP after
filtering were 97.9% (95.7%) and 84.1% (82.0%), respectively. Optimal filters
require more harmonics and smaller bandwidths than for manual compressions.
CONCLUSION: Filtering resulted in a large increase in SE and small increase in
SP. Despite differences in artefact characteristics between manual and mechanical
compressions, filtering the LUCAS 2 compression artefact results in SE/SP values
comparable to those obtained for manual compression artefacts. The SP is still
below the 95% recommended by the American Heart Association.
PMID- 26546985
TI - Regulation of invadopodia by mechanical signaling.
AB - Mechanical rigidity in the tumor microenvironment is associated with a high risk
of tumor formation and aggressiveness. Adhesion-based signaling driven by a rigid
microenvironment is thought to facilitate invasion and migration of cancer cells
away from primary tumors. Proteolytic degradation of extracellular matrix (ECM)
is a key component of this process and is mediated by subcellular actin-rich
structures known as invadopodia. Both ECM rigidity and cellular traction stresses
promote invadopodia formation and activity, suggesting a role for these
structures in mechanosensing. The presence and activity of mechanosensitive
adhesive and signaling components at invadopodia further indicates the potential
for these structures to utilize myosin-dependent forces to probe and remodel
their ECM environments. Here, we provide a brief review of the role of adhesion
based mechanical signaling in controlling invadopodia and invasive cancer
behavior.
PMID- 26546987
TI - Comparative Effect of Power Training and High-Speed Yoga on Motor Function in
Older Patients With Parkinson Disease.
AB - OBJECTIVES: To compare the effects of power training (PWT) and a high-speed yoga
program on physical performances in older patients with Parkinson disease (PD),
and to test the hypothesis that both training interventions would attenuate PD
symptoms and improve physical performance. DESIGN: Randomized controlled trial.
SETTING: A laboratory of neuromuscular research and active aging. PARTICIPANTS:
Patients with PD (N=41; mean age +/- SD, 72.2 +/- 6.5y). INTERVENTIONS: Two high
speed exercise interventions (specifically designed yoga program and PWT) were
given for 12 weeks (twice a week), and 1 nonexercise control group. MAIN OUTCOME
MEASURES: Unified Parkinson Disease Rating Scale motor score (UPDRSMS), Berg
Balance Scale (BBS), Mini-Balance Evaluation Systems Test (Mini-BESTest), Timed
Up and Go, functional reach, single leg stance (SLS), postural sway test, 10-m
usual and maximal walking speed tests, 1 repetition maximum (RM), and peak power
(PPW) for leg press. RESULTS: For the posttests, both training groups showed
significant improvements (P<.05) in all physical measurements except functional
reach on the more affected side, SLS, and postural sway compared with the
pretests, and significantly better scores for UPDRSMS, BBS, Mini-BESTest, Timed
Up and Go, functional reach on the less affected side, 10-m usual and maximal
walking speed tests, 1RM, and PPW than controls, with no differences detected
between the yoga program and PWT. CONCLUSIONS: Both the specially designed yoga
program and PWT programs can significantly improve physical performance in older
persons with PD.
PMID- 26546988
TI - Metabolic syndrome and social deprivation: results of a French observational
multicentre survey.
AB - BACKGROUND: Deprivation, a process that prevents people to assume their social
responsibilities, is a main cause of inequalities in health. Metabolic syndrome
has a growing prevalence in France. OBJECTIVES: To assess the association between
deprivation and the metabolic syndrome and to identify the most relevant waist
circumference cut-off point. METHODS: A cross-sectional multicentre study was
carried out of data extracted from health examination centres of two French areas
in 2008. The harmonized definition of the metabolic syndrome contained five
criteria with two thresholds for waist circumference. Deprivation was calculated
by the Evaluation of Deprivation and Inequalities in Health Examination Centres
score (EPICES). Eligible patients were at least 16 years old. The methodology of
time to event analysis was used on patients having two criteria to identify the
most relevant waist circumference threshold, taking waist circumference as event
and computing it as a continuous variable. The median corresponded to the waist
circumference threshold for which half of the patients switched from two to three
criteria and so metabolic syndrome. RESULTS: Of the 32374 persons included in the
study, 39.4% were socially deprived. The prevalence of the metabolic syndrome
varied from 16.3% to 22.2% in the overall sample depending on the published waist
circumference thresholds chosen. Deprivation was an independent factor associated
with the metabolic syndrome. The cut-off point for waist circumference was
between 95 and 99 cm for men and 88 and 97 cm for women. CONCLUSION: Deprivation
is associated with a higher prevalence of the metabolic syndrome. The most
relevant threshold for waist circumference could be 94 cm for men and 88 cm for
women.
PMID- 26546990
TI - Maximizing the Volume of Latissimus Dorsi Flap in Autologous Breast
Reconstruction with Simultaneous Multisite Fat Grafting.
AB - BACKGROUND: The pedicled latissimus dorsi (LD) flap serves an important function
in breast reconstruction, but its utility is limited by its inability to provide
sufficient breast volume. OBJECTIVES: The purpose of this preliminary report was
to review the techniques and outcomes of utilizing fat-grafted, volume-enhanced
LD flap transfer with fat grafting recipient sites in autologous breast
reconstruction. METHODS: A retrospective study was performed of 10 patients (14
breasts) who underwent autologous breast reconstruction utilizing the LD flap
transfer technique and simultaneous fat grafting between August 2012 and
September 2014. Multilayer, multisite fat grafting was performed to the LD
muscle, LD skin paddle, mastectomy skin flaps, and the pectoralis major and
serratus muscles simultaneously with the LD flap transfer. RESULTS: Three
patients underwent an immediate breast reconstruction, four underwent a delayed
breast reconstruction, and four underwent a tertiary breast reconstruction
following previously failed breast reconstructions (one patient underwent each of
the first two procedures, one on each breast). The average age of the patients
was 55 years (range, 39-76 years), the average body mass index of the patients
was 29.3 (range, 19.6-39.9), and the average fat grafting volume for the patients
was 176 mL (range, 50-300 mL). There was 100% flap survival and complete wound
healing. No seroma or fat grafting-related complications were clinically
detected. Three patients required additional fat grafting. CONCLUSIONS: The fat
grafted, volume-enhanced LD flap procedure with fat grafting recipient sites
offers a simple and safe technique for autologous breast reconstruction, with low
morbidity and fast recovery. It can be a useful alternative to utilizing abdomen
based flaps in autologous breast reconstruction or could be performed to salvage
both implant-based and free-flap breast reconstructions. LEVEL OF EVIDENCE 4:
Therapeutic.
PMID- 26546989
TI - Single-particle cryo-EM data acquisition by using direct electron detection
camera.
AB - Recent advances in single-particle electron cryo-microscopy (cryo-EM) were
largely facilitated by the application of direct electron detection cameras.
These cameras feature not only a significant improvement in detective quantum
efficiency but also a high frame rate that enables images to be acquired as
'movies' made of stacks of many frames. In this review, we discuss how the
applications of direct electron detection cameras in cryo-EM have changed the way
the data are acquired.
PMID- 26546991
TI - Therapeutic applications of reconstituted HDL: When structure meets function.
AB - Reconstituted forms of HDL (rHDL) are under development for infusion as a
therapeutic approach to attenuate atherosclerotic vascular disease and to reduce
cardiovascular risk following acute coronary syndrome and ischemic stroke.
Currently available rHDL formulations developed for clinical use contain
apolipoprotein A-I (apoA-I) and one of the major lipid components of HDL, either
phosphatidylcholine or sphingomyelin. Recent data have established that
quantitatively minor molecular constituents of HDL particles can strongly
influence their anti-atherogenic functionality. Novel rHDL formulations
displaying enhanced biological activities, including cellular cholesterol efflux,
may therefore offer promising prospects for the development of HDL-based, anti
atherosclerotic therapies. Indeed, recent structural and functional data identify
phosphatidylserine as a bioactive component of HDL; the content of
phosphatidylserine in HDL particles displays positive correlations with all
metrics of their functionality. This review summarizes current knowledge of
structure-function relationships in rHDL formulations, with a focus on
phosphatidylserine and other negatively-charged phospholipids. Mechanisms
potentially underlying the atheroprotective role of these lipids are discussed
and their potential for the development of HDL-based therapies highlighted.
PMID- 26546992
TI - Adult Intramedullary Teratoma of the Spinal Cord: A Case Report and Review of
Literature.
AB - BACKGROUND: Teratomas of the spinal cord constitute 0.1% of all spinal tumors,
and these lesions are extremely rare in adults. The authors describe a rare case
of intradural intramedullary teratoma of the conus medullaris and perform review
of literature of intramedullary teratomas seen in the thoracolumbar region. CASE
DESCRIPTION: A 48-year-old man presented with fasciculations in the bilateral
upper and lower extremities. Radiologic findings revealed an L2-L3 level
intradural, nonenhancing, extramedullary cystic mass measuring 15 * 13 mm with a
6-mm enhancing nodule at the level of the conus medullaris. The patient was
followed up for 1 year, during which time enlargement of the lesion with new
areas of patchy contrast enhancement were observed. L1-L2 decompressive
laminectomies were performed, and gross total resection of the lesion was
achieved. Histopathologic examination confirmed the diagnosis of benign mature
cystic teratoma. A literature review revealed no incidence difference in
intramedullary teratomas between males and females (P > 0.05). The mean age at
the time of diagnosis was 36.4 +/- 12.3 years for men and 41.3 +/- 11.6 for women
(P < 0.05). The mean symptom duration before treatment was 64.6 +/- 79.4 months
for females and 20.7 +/- 13.8 months for men (P < 0.05). Complete resection was
achieved in 48.1% of the cases. CONCLUSIONS: Teratomas should be taken into
consideration in the differential diagnosis of intramedullary lesions when the
imaging reveals variable signal intensity because of tissue heterogeneity. A
partial resection is a viable treatment option when the lesion is attached to
vital structures because of the low recurrence rates reported in the literature.
PMID- 26546993
TI - Ventriculoperitoneal Shunt Infection with Mycobacterium abscessus: A Rare Cause
of Ventriculitis.
AB - BACKGROUND: Mycobacterium abscessus is a rapidly growing atypical mycobacterium
implicated in chronic lung disease, otitis media, surgical site infections, and
disseminated cutaneous diseases. It is typically seen in patients with some
degree of immunosuppression. Only 1 previous case has been reported in the
setting of ventriculoperitoneal (VP) shunt infection. We report a case of M
abscessus as the causative organism in a VP shunt infection in an immunocompetent
adult. CASE DESCRIPTION: A 67-year-old woman required VP shunt placement after
aneurysmal subarachnoid hemorrhage complicated by hydrocephalus. Her course was
complicated by repeat hospitalization for 2 shunt infections, the second of which
did not respond to standard antibiotic therapy. Cultures repeatedly grew M
abscessus. The patient continued to decline and eventually died after transfer to
the palliative care service. CONCLUSIONS: Nontuberculous mycobacteria are rare,
atypical organisms in the setting of VP shunt infection. Patients with
ventriculitis secondary to atypical mycobacteria may exhibit drug-resistant
cerebrospinal fluid pleocytosis in the face of standard antibiotic regimens.
PMID- 26546994
TI - Adjuvant Stereotactic Radiosurgery Reduces Need for Retreatments in Patients with
Meningioma Residuals.
AB - BACKGROUND: Radical surgical resection of cerebral meningiomas involving the dura
mater of venous sinuses is challenging, and tumor residuals are frequently left
after surgery. This study sought to evaluate the effect of adjuvant stereotactic
radiosurgery (aSRS) on the time to significant growth of meningioma residuals
requiring retreatment. METHODS: A total of 119 consecutive patients (2004-2013)
receiving primary surgical treatment for a meningioma in proximity to a venous
structure were included. The patients were assessed retrospectively, with a focus
on retreatments and mortality. Radicality of initial tumor surgery was scored
using postoperative magnetic resonance imaging. Three subgroups were identified:
1) radical total resection (RTR); 2) near-total resection (NTR), followed by aSRS
(NTR + aSRS); and 3) NTR but no aSRS (NTR - aSRS). In the NTR - aSRS group,
intervention was initiated after radiologic (magnetic resonance imaging) findings
verified growth of residual tumor, in contrast to the NTR + aSRS group, which
received aSRS before regrowth. Time to first retreatment, progression-free
survival (PFS), and overall survival were analyzed with the log-rank test and
multiple-events Cox regression. RESULTS: RTR was associated with the best
prognosis. The patients in the NTR + aSRS group had significantly longer time to
first retreatment compared with NTR - aSRS patients (P < 0.001). There was also a
significant difference in mortality (P < 0.05) and a tendency to prolonged PFS (P
= 0.07) in the NTR + aSRS group. The Cox regressions confirmed the positive
effects of NTR + aSRS on time to retreatment (hazard ratio, 7.3; P < 0.01) and
PFS (hazard ratio, 3.69; P = 0.055). CONCLUSIONS: aSRS of meningioma residuals
had a positive effect on tumor control and should be considered in patients with
meningioma residuals.
PMID- 26546995
TI - The Vascular Notch Ligands Delta-Like Ligand 4 (DLL4) and Jagged1 (JAG1) Have
Opposing Correlations with Microvascularization but a Uniform Prognostic Effect
in Primary Glioblastoma: A Preliminary Study.
AB - PURPOSE: Delta-like ligand 4 (DLL4) and Jagged1 (JAG1), 2 vascular Notch ligands,
are involved in the process of tumor angiogenesis. The present study investigates
their relationship with microvascularization and the prognostic effect in primary
glioblastoma. METHODS: Tumor tissues from 61 glioblastomas were analyzed using
immunohistochemistry for DLL4/JAG1 expression and microvascular formations. The
correlations between DLL4/JAG1 and microvascularization were analyzed. The
survival probabilities were computed using the Kaplan-Meier method. The Cox
proportional hazards regression model was used for multivariate analysis of time
to progression (TTP) and overall survival (OS). RESULTS: The results showed
increased DLL4 and JAG1 expression in glioblastoma tissues. Five types of basic
microvascular formations, including microvascular sprouting, vascular cluster,
vascular garland, glomeruloid vascular proliferation, and vasculogenic mimicry,
were detected. Glioblastomas with the type I microvascular pattern (MVP) that
displayed prominent microvascular sprouting and vascular clusters tended to have
higher DLL4 expression, whereas those with the type II MVP that had numerous
vascular garlands, glomeruloid vascular proliferations, and vasculogenic
mimicries showed upregulated JAG1 expression. Univariate analysis documented that
high DLL4 expression, high JAG1 expression, and type II (MVP) were statistically
associated with reduced TTP and OS. Multivariate analysis confirmed high DLL4
expression, high JAG1 expression, and type II MVP as significant prognostic
factors for both shorter TTP and OS, independent of age, Karnofsky performance
scale, and other molecular markers (vascular endothelial growth factor, Ki67, and
P53). CONCLUSIONS: DLL4 and JAG1 may have opposing effects on tumor angiogenesis
in glioblastoma. The Notch pathway may be a new target for antiangiogenic therapy
in glioblastoma.
PMID- 26546996
TI - Hospital-Acquired Infections after Aneurysmal Subarachnoid Hemorrhage: A
Nationwide Analysis.
AB - BACKGROUND: This is the first nationwide study to evaluate the factors associated
with developing hospital-acquired infections (HAIs) after aneurysmal subarachnoid
hemorrhage (SAH) and analyze their impact on the efficiency of hospital care.
METHODS: Data from patients with SAH who underwent aneurysm repair were extracted
from the Nationwide Inpatient Sample (2008-2011). Urinary tract infections,
pneumonia, central venous catheter (CVC)-associated blood stream infection, and
meningitis/ventriculitis were evaluated. Independent predictors of HAIs used in
multivariable logistic regression modeling were chosen using forward selection;
hierarchical multivariable linear regression assessed length of stay and charges.
RESULTS: Seven thousand five hundred sixteen admissions were included.
Independent predictors in the logistic regression for developing a urinary tract
infection (23.9%) included older age, female sex, noninfectious complications (P
< 0.001), intracerebral hemorrhage (P = 0.009), and diabetes with complications
(P = 0.04). Pneumonia (23.0%) was associated with older age (P = 0.003),
congestive heart failure, severity of SAH, and noninfectious complications (P <
0.001). Severity of SAH and noninfectious complications were predictors of
meningitis/ventriculitis (4.4%; P <= 0.02), whereas intracerebral hemorrhage and
noninfectious complications were predictors of CVC-associated infections (1.0%; P
<= 0.02). All HAIs were associated with significantly longer hospitalizations and
higher charges. Pneumonia (odds ratio [OR], 2.85; 95% confidence interval (CI),
2.44-3.34) and CVC-associated infections (OR, 2.42; 95% CI, 1.26-4.66) were also
independently associated with greater odds of poor outcome (death or
institutional care). CONCLUSION: In this nationwide analysis, urinary tract
infections and pneumonia were the most common hospital-acquired infections after
SAH. Although all infections were associated with significantly longer
hospitalizations and greater charges, pneumonia and CVC-associated infections
were also associated with increased likelihood of a poor outcome.
PMID- 26546997
TI - An Increased Serum Level of Lipoprotein(a) Is a Predictor for Deep Vein
Thrombosis in Patients with Spinal Cord Injuries.
AB - OBJECTIVE: This prospective cohort-designed study was performed to verify whether
higher levels of serum lipoprotein(a) (Lp(a)) could be a risk factor for deep
vein thrombosis (DVT) in Chinese patients with spinal cord injuries (SCI).
METHODS: During 2013-2014, consecutive patients with first-ever SCI were
recruited and assessed for DVT using color Doppler ultrasonography for 15 days
after injury and whenever clinically requested. Using logistic regression models,
multivariate analyses were performed. Receiver operating characteristic curves
tested the overall predicted accuracy of Lp(a) and other markers. RESULTS: In
this study, 358 patients were screened in the analysis, and 279 patients with SCI
were included and completed the 15-day follow-up. Fifty-five patients (19.7%)
were diagnosed with DVT. Patients with SCI with DVT had significantly higher
Lp(a) levels on admission (554 mg/L [interquartile range, 416-790 mg/L] vs. 158
mg/L [interquartile range, 72-252 mg/L]; P < 0.0001). Adjusted for common risk
factors, multivariate analyses showed that serum Lp(a) >= 300 mg/L could be used
independently to predict DVT (odds ratio, 10.35; 95% confidence interval [CI],
2.37-45.35; P < 0.0001). With an area under the curve (AUC) of 0.91 (95% CI, 0.86
0.94), Lp(a) showed a significantly greater discriminatory ability in predicting
DVT compared with high-sensitivity C-reactive protein (AUC, 0.81; 95% CI, 0.74
0.88; P < 0.01), homocysteine (AUC, 0.78; 95% CI, 0.71-0.84; P < 0.01) and age
(AUC, 0.66; 95% CI, 0.59-0.73; P < 0.001). CONCLUSIONS: Increased serum Lp(a)
levels were independent predictors of DVT in patients with SCI in China,
suggesting a possible role of Lp(a) in the pathogenesis of DVT.
PMID- 26546998
TI - Survey of the Effectiveness of Internet Information on Patient Education for Bone
Morphogenetic Protein.
AB - OBJECTIVE: In light of recent reports of potential short- and long-term
complications of bone morphogenetic protein (BMP) and increasing "off-label" use
among spine surgeons, we wished to analyze online information on BMP and its
controversial uses, as patients frequently search the Internet for medical
information, even though the quality and accuracy of available information are
highly variable. METHODS: Between December 2014 and January 2015, we conducted a
Google search to identify the 50 most accessed websites providing BMP information
using the search phrase "bone morphogenetic protein." Websites were classified
based on authorship. Each website was examined for the provision of appropriate
patient inclusion and exclusion criteria, surgical and nonsurgical treatment
alternatives, purported benefits, disclosure of common and potential
complications, peer-reviewed literature citations, and discussion of off-label
use. RESULTS: Two percent of websites were authored by private medical groups, 2%
by academic medical groups, 10% by insurance companies, 16% by biomedical
industries, 4% by news sources, 0% by lawyers, and 66% by others. Sixty-two
percent referenced peer-reviewed literature. Benefits and complications were
reported in 44% and 26% of websites, respectively. Surgical and nonsurgical
treatment alternatives were mentioned in 16% and 4% of websites, respectively.
Discussion of off-label BMP use occurred in 18% of websites. CONCLUSIONS: Our
study showed the ineffectiveness of the Internet in reporting quality information
on BMP use. We found that websites authored by insurance companies provide an
acceptable foundation for patient education. This, however, cannot replace the
need for a thorough dialogue between doctor and patient about risks, benefits,
and indications.
PMID- 26547000
TI - The Effect of Age, Sex, and Lesion Location on Initial Presentation in Patients
with Brain Arteriovenous Malformations.
AB - OBJECTIVE: To identify whether age, sex, and lesion location are associated with
initial presentation in patients with brain arteriovenous malformations (AVMs).
METHODS: Collected data of 3299 consecutive patients with AVM treated at Beijing
Tiantan Hosptial from January 1980 to January 2015 were analyzed. The variables
assessed were age at diagnosis, sex, AVM location, and mode of initial
presentation. RESULTS: Initial presentation was AVM hemorrhage in 57.9%, seizure
in 20.9%, chronic headache in 14.9%, focal neurologic deficit in 5.2%, and
incidental in 1.2%. Younger age and female sex were associated with initial
hemorrhage (all P < 0.05). Hemorrhage was more likely to occur in patients with
AVMs in the basal ganglia, the corpus callosum, the ventricles, the cerebellum,
and the brainstem (all P < 0.05). Male sex was associated with initial seizure (P
< 0.05). Initial seizure was more likely to occur in patients with AVMs in the
frontal, temporal, parietal, frontotemporal, and frontoparietal lobe (all P <
0.05). Compared with frontal AVMs, temporal AVMs were more likely to present with
hemorrhage (P < 0.05) and less likely to present with seizure (P < 0.05). AVMs
involving the occipital lobe were more likely to present with chronic headaches
(P < 0.05). CONCLUSIONS: Initial AVM presentation varied with patient age, sex,
and AVM locations. Younger age, female sex, and deep and infratentorial locations
may be associated with initial hemorrhage. Male sex and frontal, temporal, and
parietal AVM locations may be predictors of initial seizure. Chronic headache was
more likely to occur in patients with AVMs involving the occipital lobe.
PMID- 26546999
TI - Impact of Increasing Age on Outcomes of Spinal Fusion in Adult Idiopathic
Scoliosis.
AB - OBJECTIVE: To investigate the role of advancing age on postoperative
complications and revision surgery after fusion for scoliosis. METHODS: A
retrospective, cohort study was performed using the Thomson Reuters MarketScan
database, examining patients with adult scoliosis who underwent spinal fusion
from 2000 to 2009. Primary outcomes included infection, hemorrhage and pulmonary
embolism (PE) within 90 days of surgery, and refusion. The effect of increasing
age was estimated using the odds ratio (OR) of complications in a multivariate
logistic regression analysis, and a Cox proportional hazard model estimated the
hazard ratio of refusion. RESULTS: A total of 8432 patients were included in this
study. Overall, the average age was 53.3 years, with 26.90% males and 39% with a
Charlson Comorbidity Score of >= 1. Most patients had commercial insurance
(66.81%), with 26.03% and 7.16% covered by Medicare and Medicaid, respectively.
Increasing age (per 5-year increment) was a significant predictor of hemorrhagic
complication (OR, 1.06; confidence interval [CI], 1.01-1.11; P = 0.0196), PE (OR,
1.09; CI, 1.03-1.16; P = 0.0031), infection (OR, 1.04; CI, 1.01-1.07; P =
0.0053), and refusion (hazard ratio, 1.07; CI, 1.02-1.13; P = 0.0103).
CONCLUSIONS: In this study, age was associated with increased risk of hemorrhage,
PE, infection, and refusion. With the aging population, the role of patient age
on postoperative healing and outcomes deserves deeper investigation after repair
of adult idiopathic scoliosis.
PMID- 26547001
TI - Cell Therapy for Intracranial Aneurysms: A Review.
AB - One in five patients undergoing endovascular coiling (the current standard of
care for treating intracranial aneurysms) experience a recurrence of the aneurysm
as a result of improper healing. Recurrence remains the only major drawback of
the coiling treatment and has been the focus of many studies over the last two
decades. Cell therapy, a novel treatment modality in which therapeutic cells are
introduced to the site of the injury to promote tissue regeneration, has opened
up new possibilities for treating aneurysms. The healing response that ensues
aneurysm embolization includes several cellular processes that can be targeted
with cell therapy to prevent the aneurysm from recurring. Ten preclinical studies
involving cell therapy to treat aneurysms were published between 1999 and 2014.
In this review, we summarize the results of these studies and discuss advances,
shortcomings, and the future of cell therapy for intracranial aneurysms.
PMID- 26547002
TI - Microsurgical Approaches to the Ambient Cistern Region: An Anatomic and
Qualitative Study.
AB - OBJECTIVE: We used microscopy to conduct qualitative and quantitative analysis of
4 surgical approaches commonly used in the surgery of the ambient cistern:
infratentorial supracerebellar (SC), occipital interhemispheric, subtemporal
(ST), and transchoroidal (TC). In addition, we performed a parahippocampal gyrus
resection in the ST context. METHODS: Each approach was performed in 3 cadaveric
heads (6 sides). After the microscopic anatomic dissection, the parahippocampal
gyrus was resected through an ST approach. The qualitative analysis was based on
anatomic observation and the quantitative analysis was based on the linear
exposure of vascular structures and the area of exposure of the ambient cistern
region. RESULTS: The ST approach provided good exposure of the inferior portion
of the cistern and of the proximal segments of the posterior cerebral artery.
After the resection of the parahippocampal gyrus, the area of exposure improved
in all components, especially the superior area. A TC approach provided the best
exposure of the superior area compared with the other approaches. The
posterolateral approaches (SC/occipital interhemispheric) to the ambient cistern
region provided similar exposure of anatomic structures. There was a significant
difference (P < 0.05) in linear exposure of the posterior cerebral artery when
comparing the ST/TC and ST/SC approaches. CONCLUSIONS: This study has
demonstrated that surgical approaches expose dissimilarly the different regions
of the ambient cistern and an approach should be selected based on the specific
need of anatomic exposure.
PMID- 26547003
TI - Working with Plates in Spine Injuries.
PMID- 26547004
TI - Variation in the h-Index and Its Use in the Assessment of Academic Output.
PMID- 26547005
TI - Glial Fibrillary Acidic Protein and Ubiquitin C-Terminal Hydrolase-L1 as Outcome
Predictors in Traumatic Brain Injury.
AB - OBJECTIVE: Biomarkers ubiquitin C-terminal hydrolase-L1 (UCH-L1) and glial
fibrillary acidic protein (GFAP) may help detect brain injury, assess its
severity, and improve outcome prediction. This study aimed to evaluate the
prognostic value of these biomarkers during the first days after brain injury.
METHODS: Serum UCH-L1 and GFAP were measured in 324 patients with traumatic brain
injury (TBI) enrolled in a prospective study. The outcome was assessed using the
Glasgow Outcome Scale (GOS) or the extended version, Glasgow Outcome Scale
Extended (GOSE). RESULTS: Patients with full recovery had lower UCH-L1
concentrations on the second day and patients with favorable outcome had lower
UCH-L1 concentrations during the first 2 days compared with patients with
incomplete recovery and unfavorable outcome. Patients with full recovery and
favorable outcome had significantly lower GFAP concentrations in the first 2 days
than patients with incomplete recovery or unfavorable outcome. There was a strong
negative correlation between outcome and UCH-L1 in the first 3 days and GFAP
levels in the first 2 days. On arrival, both UCH-L1 and GFAP distinguished
patients with GOS score 1-3 from patients with GOS score 4-5, but not patients
with GOSE score 8 from patients with GOSE score 1-7. For UCH-L1 and GFAP to
predict unfavorable outcome (GOS score <= 3), the area under the receiver
operating characteristic curve was 0.727, and 0.723, respectively. Neither UCHL-1
nor GFAP was independently able to predict the outcome when age, worst Glasgow
Coma Scale score, pupil reactivity, Injury Severity Score, and Marshall score
were added into the multivariate logistic regression model. CONCLUSIONS: GFAP and
UCH-L1 are significantly associated with outcome, but they do not add predictive
power to commonly used prognostic variables in a population of patients with TBI
of varying severities.
PMID- 26547006
TI - Autophagy Inhibitor 3-MA Weakens Neuroprotective Effects of Posttraumatic Brain
Injury Moderate Hypothermia.
AB - OBJECTIVE: The role of autophagy in moderate hypothermia in posttraumatic brain
injury (post-TBI) remains elusive. In this study, we evaluated the protective
role of autophagy in post-TBI moderate hypothermia. METHODS: Adult male Sprague
Dawley rats were randomly divided into 3 groups (n = 36/group): TBI with
hypothermia group (sham), TBI with hypothermia and a single
intracerebroventricular injection of saline (saline, 5 MUL), and TBI with
hypothermia and a single intracerebroventricular injection of 3-methyladenine
(600 nmol, diluted in 0.9% saline to a final volume of 5 MUL). All rats, except
those in the behavioral tests, were killed at 24 hours after fluid percussion
TBI. Immunohistochemistry staining, western blot, and transmission electron
microscopy were performed to assess changes in apoptosis and autophagy after
injection of 3-methyladenine. Motor function (beam-walk test) and spatial
learning/memory (Morris water maze) were assessed on postoperative days 1-5 and
11-15, respectively. RESULTS: Our results showed downregulation of the expression
level of microtubule-associated protein 1 light chain 3 and Beclin-1, aggravation
of behavioral outcome, and increase of apoptosis. CONCLUSION: Our results suggest
that the autophagy pathway is involved in the neuroprotective effect of post-TBI
hypothermia and negative modulation of apoptosis may be 1 possible mechanism.
PMID- 26547007
TI - Pharmacovigilance through the development of text mining and natural language
processing techniques.
PMID- 26547008
TI - Novel mycoviruses discovered from metatranscriptomics survey of soybean
phyllosphere phytobiomes.
AB - Mycoviruses can be beneficial to plants in that they can debilitate pathogenic
fungi thereby reducing the severity of associated plant diseases. Studies to date
have focused primarily on culturable fungi that represent a fraction of natural
fungal populations. The nonculturable fungi, however, can harbor diverse
populations of mycoviruses that reduce plant disease or enhance resistance to
abiotic stress. Metatranscriptome analysis of field-grown plant samples using
high-throughput sequencing offers the possibility of unbiased detection and
quantification of mycoviruses regardless of the culturability of their fungal
hosts together with the complete associated microbial consortia. In this study,
we describe the fungal viromes of the phyllosphere of production soybean fields
in Illinois, USA by analyzing the metatranscriptomes of thousands of soybean leaf
samples collected during the 2008 and 2009 growing seasons. The analyses
identified 25 partial genome sequences that represented at least 22 mycovirus
genomes, only one of which had been described previously. The novel mycovirus
genomes showed similarity to 10 distinct lineages including the genera
Alphapartitivirus, Botybirnavirus, Endornavirus, Mitovirus, Mycoflexivirus,
Ourmiavirus, Totivirus, Victorivirus, family Tombusviridae, order
Mononegavirales, and the recently proposed genus Gemycircularvirus. The present
study adds to the wealth of mycoviruses associated with plant phytobiomes and
establishes groundwork needed for further characterization of the viruses.
PMID- 26547009
TI - Immunoliposome-based immunomagnetic concentration and separation assay for rapid
detection of Cronobacter sakazakii.
AB - This study aimed to develop an immunoliposome-based immunomagnetic concentration
and separation assay for the rapid detection of Cronobacter sakazakii (C.
sakazakii), an acute opportunistic foodborne pathogenic bacterium, in both pure
culture and infant formula. To develop the assay, magnetic nanoparticles
(diameter 30 nm) were coated with immunoglobulin G (IgG), specifically anti-C.
sakazakii IgG, and applied for the sensitive and efficient detection of C.
sakazakii using immunoliposomes. The binding efficiency of anti-C. sakazakii IgG
to the magnetic nanoparticles was 86.23 +/- 0.59%. The assay developed in this
study detected as few as 3.3 * 10(3) CFUmL(-1) of C. sakazakii in pure culture
within 2h 30 min; in comparison, an indirect non-competitive enzyme-linked
immunosorbent assay was able to detect 6.2 * 10(5) CFUmL(-1) of C. sakazakii in
pure culture after 17 h. The developed assay did not show any cross-reactivity
with other Cronobacter spp. or pathogens belonging to other genera. In addition,
the method was able to detect 10(3) CFUmL(-1) of C. sakazakii in infant formula
without any pre-incubation. These results confirm that the immunoliposome-based
immunomagnetic concentration and separation assay may facilitate highly
sensitive, efficient, and rapid detection of C. sakazakii.
PMID- 26547010
TI - Enzyme-free detection of sequence-specific microRNAs based on nanoparticle
assisted signal amplification strategy.
AB - Developing direct and convenient methods for microRNAs (miRNAs) analysis is of
great significance in understanding biological functions of miRNAs, and early
diagnosis of cancers. We have developed a rapid, enzyme-free method for miRNA
detection based on nanoparticle-assisted signal amplification coupling
fluorescent metal nanoclusters as signal output. The proposed method involves two
processes: target miRNA-mediated nanoparticle capture, which consists of magnetic
microparticle (MMP) probe and CuO nanoparticle (NP) probe, and nanoparticle
mediated amplification for signal generation, which consists of fluorescent DNA
Cu/Ag nanocluster (NC) and 3-mercaptopropionic acid (MPA). In the presence of
target miRNA, MMP probe and NP probe sandwich-capture the target miRNA via their
respective complementary sequence. The resultant sandwich complex (MMP probe
miRNA-CuO NP probe) is separated using a magnetic field and further dissolved by
acidolysis to turn CuO NP into a great amount of copper (II) ions (Cu(2+)).
Cu(2+) could disrupt the interactions between thiol moiety of MPA and the
fluorescent Cu/Ag NCs by preferentially reacting with MPA to form a disulfide
compound as intermediate. By this way, the fluorescence emission of the DNA-Cu/Ag
NCs in the presence of MPA increases upon the increasing concentration of Cu(2+),
which is directly proportional to the amount of target miRNA. The proposed method
allows quantitative detection of a liver-specific miR-221-5p in the range of 5 pM
to 1000 pM with a detection limit of ~0.73 pM, and shows a good ability to
discriminate single-base difference. Moreover, the detection assay can be applied
to detect miRNA in cancerous cell lysates in excellent agreement with that from a
commercial miRNA detection kit.
PMID- 26547011
TI - Sodium Nitroprusside in Patients With Mixed Pulmonary Hypertension and Left Heart
Disease: Hemodynamic Predictors of Response and Prognostic Implications.
AB - BACKGROUND: Mixed pulmonary hypertension (PH) in heart failure (HF) is defined by
transpulmonary gradient >= 12 and/or pulmonary vascular resistance (PVR) >= 240
dyne/s/cm(-5), but diastolic pressure gradient (DPG) >= 7 mmHg has been proposed
more recently. We evaluated the acute hemodynamic response to sodium
nitroprusside (SNP) specifically in relation to the proposed DPG criterion >=7
mmHg and the prognostic significance of response to SNP in patients with mixed PH
and advanced HF. METHODS: Ninety-eight consecutive patients with advanced HF and
mixed PH underwent cardiac catheterization and acute SNP infusion. Baseline
hemodynamic parameters included transpulmonary gradient, PVR, DPG, and pulmonary
capacitance (PCap). Hemodynamic response to SNP was defined as a reduction in PVR
of at least 20%. The composite endpoint was death/heart
transplantation/mechanical circulatory support. RESULTS: Sixty of the 98 patients
were SNP responders. SNP resulted in significant reductions in filling pressures
and PVR and increase in stroke volume and PCap. DPG (not baseline PVR) was
significantly associated with hemodynamic response to SNP on logistic regression
analysis. The sensitivity and specificity of a DPG >=7 mmHg to identify
nonresponders to SNP were 74% and 97%, respectively. At median follow-up of 218
(148-324) days, 13 and 19 patients of the SNP responders and nonresponders,
respectively, met the composite endpoint (P = .021 by log-rank test). Hemodynamic
response to SNP and PCap were independently associated with the composite outcome
of survival free from transplantation/mechanical circulatory support. CONCLUSION:
Baseline DPG >= 7 mmHg is associated with poor PVR response to SNP. PVR response
to SNP and PCap are associated with a more favorable prognosis in patients with
advanced HF and mixed PH.
PMID- 26547012
TI - Impact of Annual Hospital Volume on Outcomes after Left Ventricular Assist Device
(LVAD) Implantation in the Contemporary Era.
AB - INTRODUCTION: There are few data in the literature regarding impact of annual
hospital volume on outcomes such as mortality and length of stay (LOS) post-LVAD
implantation. METHODS: We queried the nationwide inpatient sample from 2008 to
2011 using International Classification of Diseases, 9th Revision procedure code
37.66. We included patients >=18 years without primary diagnosis of orthotopic
heart transplant. Annual volume of LVAD implantation was computed for each
hospital. Multivariable hierarchical mixed effect logistic regression models were
used to determine predictors of in-hospital mortality and LOS. RESULTS: There
were 1749 LVAD implants from 2008 to 2011; patients had a mean age of 55.4 years,
and 23% were female. In-hospital mortality decreased from 20.9% in the first
tertile (1-22 LVADs/y) to 13.7% in the third tertile (>=35 LVADs/y) of hospital
volume. Median LOS decreased from 34 days in the first tertile to 28 days in
third tertile of hospital volume. The adjusted odds ratios of the highest tertile
of hospital volume in predicting in-hospital mortality and LOS were 0.41 (0.26
0.64, P < .001) and 0.41 (0.23-0.73, P = .003), respectively. Restricted cubic
spline analysis showed that a volume threshold of >20 LVADs/year was associated
with favorable mortality rates of <10%. CONCLUSIONS: High annual LVAD volume is
associated with significantly decreased in-hospital mortality and LOS after LVAD
implantation. Center experience is an important determinant of optimal patient
outcomes.
PMID- 26547013
TI - The Prevalence of Stages of Heart Failure in Primary Care: A Population-Based
Study.
AB - BACKGROUND: Planning strategies to prevent heart failure (HF) in developing
countries require epidemiologic data in primary care. The purpose of this study
was to estimate the prevalence of HF stages and their phenotypes, HF with
preserved ejection fraction (HFPEF), and HF with reduced EF (HFREF) and to
determine B-type natriuretic peptide (BNP) levels to identify HF in the adult
population. METHODS AND RESULTS: This is a cross-sectional study including 633
individuals, aged >=45 years, who were randomly selected and registered in a
primary care program of a medium-sized city in Brazil. All participants were
underwent clinical evaluations, BNP measurements, electrocardiograms, and tissue
Doppler echocardiography in a single day. The participants were classified as
stage 0 (healthy, 11.7%), stage A (risk factors, 36.6%), stage B (structural
abnormalities, 42.7%), or stage C (symptomatic HF, 9.3%). Among patients with HF,
59% presented with HFPEF and 41% presented with HFREF. The mean BNP levels were
20 pg/mL(-1) in stage 0, 20 pg/mL(-1) in stage A, 24 pg/mL(-1) in stage B, 93
pg/mL(-1) in HFPEF, and 266 pg/mL(-1) in HFREF. The cutoff BNP level with optimal
sensitivity (92%) and specificity (91%) to identify HF was 42 pg/mL(-1).
CONCLUSION: The present study demonstrated a high prevalence of individuals at
risk for HF and the predominance of HFPEF in a primary care setting. The clinical
examination, along with BNP and tissue Doppler echocardiography, may facilitate
early detection of stages A and B HF and allow implementation of interventions
aimed at preventing progression to symptomatic HF.
PMID- 26547014
TI - Longitudinal Changes in Familiarity, Free and Cued Odor Identification, and
Edibility Judgments for Odors in Aging Individuals.
AB - This longitudinal study investigated changes in olfaction as assessed by a set of
tasks requiring different aspects of semantic information in normal aging
individuals. Using 16 odorous items from a standardized olfactory test, the
Scandinavian Odor Identification Test, 107 middle aged and older adults were
assessed up to three times over a period of 6.5 years, requesting them to rate
familiarity and edibility for each odorous item before identifying it with or
without presenting verbal cues. Using linear mixed models, the longitudinal
analyses revealed significant correlations between all olfactory measures.
Furthermore, we found an almost parallel age-related decline in all olfactory
tasks, although free identification performance indicated a trend toward faster
decline with age. Women showed less decline compared with men, in particular for
edibility judgments. The results corroborate earlier cross-sectional findings
showing significant correlations between the olfactory tasks. In the present
study of healthy middle-aged and older adults, we found a parallel longitudinal
decline across different tests of olfaction.
PMID- 26547015
TI - A Scent of Anxiety: Olfactory Context Conditioning and its Influence on Social
Cues.
AB - Perception and evaluation of objects are highly dependent on surrounding
contexts. Threatening contexts enhance processing of faces. Because odors are
assumed to deliver strong contextual information, the present study aimed at
demonstrating 1) that odors can constitute threat and safety contexts, and 2)
consequently modulate the processing of faces presented in these contexts.
Therefore, previously neutral odors were used as contextual stimuli in a context
conditioning paradigm, resulting in an olfactory anxiety and a safety context.
Then, faces showing angry, neutral, or fearful expressions were presented within
both contexts during a test phase to investigate the effects of threat versus
safety contexts on face perception. The late positive potential (LPP) from the
EEG, skin conductance level, and subjective ratings were recorded. Results reveal
successful olfactory context conditioning as reflected in enhanced processing of
the anxiety context, indicated by enhanced LPP after conditioning, increased skin
conductance level, and marginally respectively increased ratings. Moreover, faces
presented within the threat context were rated as more unpleasant and marginally
more arousing than faces presented in the safety context. Thus, olfactory stimuli
can serve as context in fear conditioning, and a threatening olfactory context
seems to enhance processing of stimuli perceived within this context.
PMID- 26547016
TI - Dynamic nuclear polarization in solid samples by electrical-discharge-induced
radicals.
AB - Dynamic nuclear polarization (DNP) is a method for enhancing nuclear magnetic
resonance (NMR) signals that has many potential applications in chemistry and
medicine. Traditionally, DNP signal enhancement is achieved through the use of
exogenous radicals mixed in a solution with the molecules of interest. Here we
show that proton DNP signal enhancements can be obtained for solid samples
without the use of solvent and exogenous radicals. Radicals are generated
primarily on the surface of a solid sample using electrical discharges. These
radicals are found suitable for DNP. They are stable under moderate vacuum
conditions, yet readily annihilate upon compound dissolution or air exposure.
This feature makes them attractive for use in medical applications, where the
current variety of radicals used for DNP faces regulatory problems. In addition,
this solvent-free method may be found useful for analytical NMR of solid samples
which cannot tolerate solvents, such as certain pharmaceutical products.
PMID- 26547017
TI - Th17 master transcription factors RORalpha and RORgamma regulate the expression
of IL-17C, IL-17D and IL-17F in Cynoglossus semilaevis.
AB - The RAR-related orphan receptors (RORs) are members of the nuclear receptor
family of intracellular transcription factors. In this study, we examined the
regulatory properties of RORalpha (CsRORalpha) and RORgamma (CsRORgamma) in
tongue sole (Cynoglossus semilaevis). CsRORalpha and CsRORgamma expression was
detected in major lymphoid organs and altered to significant extents after
bacterial and viral infection. CsRORalpha enhanced the activities of CsIL-17C,
CsIL-17D, and CsIL-17F promoters, which contain CsRORalpha and CsRORgamma binding
sites. CsRORgamma also upregulated the promoter activities of CsIL-17D and CsIL
17F but not CsIL-17C. CsRORalpha and CsRORgamma proteins were detected in the
nucleus, and overexpression of CsRORalpha in tongue sole significantly increased
the expression of CsIL-17C, CsIL-17D, and CsIL-17F, whereas overexpression of
CsRORgamma significantly increased the expression of CsIL-17C and CsIL-17F but no
CsIL-17D. These results indicate that RORalpha and RORgamma in teleost regulate
the expression of IL-17 members in different manners.
PMID- 26547018
TI - Pedestrian injury risk and the effect of age.
AB - Older adults and pedestrians both represent especially vulnerable groups in
traffic. In the literature, hazards are usually described by the corresponding
injury risks of a collision. This paper investigates the MAIS3+F risk (the risk
of sustaining at least one injury of AIS 3 severity or higher, or fatal injury)
for pedestrians in full-frontal pedestrian-to-passenger car collisions. Using
some assumptions, a model-based approach to injury risk, allowing for the
specification of individual injury risk parameters for individuals, is presented.
To balance model accuracy and sample size, the GIDAS (German In-depth Accident
Study) data set is divided into three age groups; children (0-14); adults (15
60); and older adults (older than 60). For each group, individual risk curves are
computed. Afterwards, the curves are re-aggregated to the overall risk function.
The derived model addresses the influence of age on the outcome of pedestrian-to
car accidents. The results show that older people compared with younger people
have a higher MAIS3+F injury risk at all collision speeds. The injury risk for
children behaves surprisingly. Compared to other age groups, their MAIS3+F injury
risk is lower at lower collision speeds, but substantially higher once a
threshold has been exceeded. The resulting injury risk curve obtained by re
aggregation looks surprisingly similar to the frequently used logistic regression
function computed for the overall injury risk. However, for homogenous subgroups
such as the three age groups - logistic regression describes the typical risk
behavior less accurately than the introduced model-based approach. Since the
effect of demographic change on traffic safety is greater nowadays, there is a
need to incorporate age into established models. Thus far, this is one of the
first studies incorporating traffic participant age to an explicit risk function.
The presented approach can be especially useful for the modeling and prediction
of risks, and for the evaluation of advanced driver assistance systems.
PMID- 26547019
TI - Wang et al. Arch. Oral. Biol. (2015) 60: 385-392.
PMID- 26547020
TI - Amazonian delicacy tucupi is as erosive as a cola-based soft drink.
AB - OBJECTIVE: Acidic diets are advocated as main risk factor for tooth erosion,
which could be prevented, or at least controlled, if patients were early advised.
It is important to identify, hence, if possible dietary constituents regionally
consumed on large scale, such as tucupi, a low-pH yellowish-green color and
strong flavor delicacy made from the juice of a bitter cassava, may explain its
occurrence in specific patient groups. This cross-over in situ/ex vivo study
evaluated tucupi's ability to promote erosion of bovine enamel by assessing its
percentage of surface microhardness change (%SMHC), taking a cola-based soft
drink and human saliva as positive and negative controls. DESIGN: For three 7
days spaced out legs of 7 days each, nine volunteers wore palatal devices with
three bovine enamel blocks, which were challenged with one of the following
solutions: TUC-tucupi (n=27); COL-cola-based soft drink (n=27); SAL-saliva
(n=27). Erosive challenges were performed extra-orally (4*/day) by dropping TUC
or COL at room temperature on specimens. After 5min, palatal devices were
replaced into the mouth. SAL permanently acted as the negative control while
volunteers solely wore the device. One-way ANOVA followed by Tukey's post-hoc
tests (alpha=0.05) were applied. RESULTS: TUC promoted an enamel %SMHC (-21.56+/
10.08(a)) similar than that promoted by COL (-18.19+/-12.99(a); p=0.275), which
were both significantly higher than that promoted by SAL (-1.86+/-13.65(b);
p<0.0001). CONCLUSIONS: Besides the most worldwide appreciated cola-based soft
drink, the greatly consumed Amazonian delicacy tucupi can be considered a
potential risk factor for tooth erosion.
PMID- 26547021
TI - Cross-modal influence on oral size perception.
AB - OBJECTIVE: Evidence suggests people experience an oral size illusion and commonly
perceive oral size inaccurately; however, the nature of the illusion remains
unclear. The objectives of the present study were to confirm the presence of an
oral size illusion, determine the magnitude (amount) and direction
(underestimation or overestimation) of the illusion, and determine whether
immediately prior cross-modal perceptual experiences affected the magnitude and
direction. DESIGN: Participants (N=27) orally assessed 9 sizes of stainless steel
spheres (1/16 in to 1/2 in) categorized as small, medium, or big, and matched
them with digital and visual reference sets. Each participant completed 20
matching tasks in 3 assessments. For control assessments, 6 oral spheres were
matched with reference sets of same-sized spheres. For primer-control
assessments, similar to control, 6 matching tasks were preceded by cross-modal
experiences of the same-sized sphere. For experimental assessments, 8 matching
tasks were preceded by a cross-modal experience of a differently sized sphere.
RESULTS: For control assessments, small and medium spheres were consistently
underestimated, and big spheres were consistently overestimated. For experimental
assessments, magnitude and direction of the oral size illusion varied according
to the size of the sphere used in the cross-modal experience. CONCLUSION: Results
seemed to confirm an oral size illusion, but direction of the illusion depended
on the size of the object. Immediately prior cross-modal experiences influenced
magnitude and direction of the illusion, suggesting that aspects of oral
perceptual experience are dependent upon factors outside of oral perceptual
anatomy and the properties of the oral stimulus.
PMID- 26547022
TI - Mad honey intoxication: A systematic review on the 1199 cases.
AB - Mad honey, produced by honeybees from the nectars of Rhododendron genus (R.
ponticum and R. luteum) flowers, is widely used in indigenous medicine,
especially in the treatment of hypertension and sexual dysfunction. However, the
consumption of this honey can result in intoxication soon after. The diagnosis of
honey poisoning and a full understanding of its treatment is important for both
effective and immediate treatment, and also for the prevention of unnecessary
costs. Upon the evaluation of approximately 34 years of case reports between 1981
and 2014, it was found that the cases of poisoning were more frequently reported
in males (75.17%) and between the ages 41 to 65. The most common complaints
related to honey poisoning were dizziness, nausea, presyncope and the ECG
findings were: sinus bradycardia (79.58%), complete atrioventricular block
(45.83%), atrioventricular block (30.91%), ST-segment elevation (22.63%), and
nodal rhythm (11.27%), As a result of the evaluation of 1199 cases, it was found
that no deaths were reported. The patients were most frequently treated with 0.5
mg atropine (37.79%), 1 mg atropine (49.73%), salin (iv fluid) (65.35%), and
generally the patients were discharged within 24 h after recovery.
PMID- 26547023
TI - The use of ephyrae of a scyphozoan jellyfish, Aurelia aurita, in the aquatic
toxicological assessment of Macondo oils from the Deepwater Horizon incident.
AB - Ephyrae of the scyphozoan jellyfish, Aurelia aurita, were evaluated in 96-hr
acute toxicity tests for lethal response to Macondo crude oils from the Deepwater
Horizon (DWH) incident in the Gulf of Mexico (GOM), Corexit 9500, and oil
dispersant mixtures. Water accommodated fractions (WAFs) of weathered and
unweathered Macondo crude oils were not acutely toxic to ephyrae (LC50s > 100%
WAF). The total PAHs (TPAHs), measured as the sum of 46 PAHs, averaged 21.1and
152 ug TPAH/L for WAFs of weathered and unweathered oil, respectively. Mortality
was significantly (p = <0.0001) higher in the three highest exposure
concentrations (184-736 ug TPAH/L) of chemically dispersed WAFs (CEWAF) compared
to controls. Dispersant only tests resulted in a mean LC50 of 32.3 uL/L, which is
in the range of previously published LC50s for marine zooplankton. Changes in
appearance and muscle contractions were observed in organisms exposed to CEWAF
dilutions of 12.5 and 25%, as early as 24 h post-exposure. Based on the results
of these tests, crude oil alone did not cause significant acute toxicity;
however, the presence of chemical dispersant resulted in substantial mortality
and physical and behavioral abnormalities either due to an increase in
hydrocarbons or droplet exposure.
PMID- 26547024
TI - Cytotoxic and genotoxic profiles of benzo[a]pyrene and N-nitrosodimethylamine
demonstrated using DNA repair deficient DT40 cells with metabolic activation.
AB - Benzo[a]pyrene and N-nitrosodimethylamine are major genotoxic compounds present
in cigarette smoke, food and oil. To examine the type(s) of DNA damage induced by
these compounds, we used a panel of DNA-repair-pathway-deficient mutants
generated from chicken DT40 cells and achieved metabolic activation of the test
compounds by including rat liver S9 mix. Consistent with expections,
benzo[a]pyrene and N-nitrosodimethylamine require metabolicactivation to become
genotoxic. The REV3(-/-) mutant cell line exhibited the highest sensitivity, in
terms of increased cytotoxicity, to the both compounds after metabolic activation
consistent with the known ability of these two compounds to induce DNA adducts.
Strikingly, we found that the RAD54(-/-)/KU70(-/-) cell line, a mutant defective
in the repair of double-strand breaks, is sensitive to benzo[a]pyrene, suggesting
that this compound also induces strand breaks in these cells. In this study we
combined a previously employed method, metabolic activation by S9 mix, with the
use of a DNA-repair mutant panel, thereby broadening the range of compounds that
can be screened for potential genotoxicity.
PMID- 26547025
TI - Human CYP2E1-dependent mutagenicity of mono- and dichlorobiphenyls in Chinese
hamster (V79)-derived cells.
AB - Polychlorinated biphenyls (PCBs) are a group of persistent organic pollutants
with confirmed carcinogenicity to humans. Metabolic activation of lower
chlorinated PCBs to genotoxic metabolites may involve hydroxylation and further
oxidation, and some hydroxylated metabolites may be sulfo-conjugated. However,
the genotoxicity of individual PCB compounds is largely unknown. In this study,
15 mono- and dichlorobiphenyls were investigated for genotoxicity using the
micronucleus and Hprt mutagenicity assays in a Chinese hamster V79-derived cell
line expressing both human cytochrome P450 (CYP) 2E1 and human sulfotransferase
(SULT) 1A1 (V79-hCYP2E1-hSULT1A1). All tested compounds were inactive in both
assays in V79 control cells. However, eight dichlorobiphenyls strongly induced
micronuclei and other congeners were weakly positive for this endpoint in V79
hCYP2E1-hSULT1A1 cells. The effects of each PCB in V79-hCYP2E1-hSULT1A1 cells
were abolished or reduced in the presence of a CYP2E1 inhibitor (1
aminobenzotriazole), or enhanced by pretreatment of the cells with (CYP2E1
inducing) ethanol, while the genotoxicity was not significantly affected by a
SULT1 inhibitor (pentachlorophenol). As representative dichlorobiphenyls, PCB 5,
10, 8 and 11 (2,3-, 2,5-, 2,4'- and 3,3'-dichlorobiphenyl, respectively) strongly
induced Hprt gene mutations in V79-hCYP2E1-hSULT1A1 cells in a concentration
dependent manner. This is the first indication that human CYP2E1 is capable of
converting a series of dichlorobiphenyls to strong mutagens.
PMID- 26547026
TI - Kinetic analysis of the hydrolysis of methyl parathion using citrate-stabilized
10 nm gold nanoparticles.
AB - "Ligand-free" citrate-stabilized 10 nm gold nanoparticles (AuNPs) promote the
hydrolysis of the thiophosphate ester methyl parathion (MeP) on the surface of
gold as a function of pH and two temperature values. At 50 degrees C, the active
surface gold atoms show catalytic turnover ~4 times after 8 h and little turnover
of gold surface atoms at 25 degrees C with only 40% of the total atoms being
active. From Michaelis-Menten analysis, k(cat) increases between pH 8 and 9 and
decreases above pH 9. A global analysis of the spectral changes confirmed the
stoichiometric reaction at 25 degrees C and the catalytic reaction at 50
degrees C and mass spectrometry confirmed the identity of p-nitrophenolate (PNP)
product. Additional decomposition pathways involving oxidation and hydrolysis
independent of the formation of PNP were also seen at 50 degrees C for both
catalyzed and un-catalyzed reactions. This work represents the first kinetic
analysis of ligand-free AuNP catalyzed hydrolysis of a thiophosphate ester.
PMID- 26547027
TI - Effects of tris (2-butoxyethyl) phosphate (TBOEP) on endocrine axes during
development of early life stages of zebrafish (Danio rerio).
AB - Due to phasing out of additive flame retardants such as polybrominated diphenyl
ethers (PBDEs), Tris (2-butoxyethyl) phosphate (TBOEP) is widely used as a
substitute. TBOEP is ubiquitous in the environment and has been measured at
concentrations of micrograms per liter (MUg L(-1)) in surface waters and
wastewater. Information on potential adverse effects on development of aquatic
organisms caused by exposure to environmentally relevant concentrations of TBOEP
is limited, especially for effects that may be caused through impairment of
endocrine-modulated homeostasis. Therefore, this study was conducted to determine
effects of TBOEP on ontogeny and transcription profiles of genes along the
hypothalamus-pituitary-thyroidal (HPT), hypothalamus-pituitary-adrenal (HPA), and
hypothalamus-pituitary-gonadal (HPG) axes in embryos/larvae of zebrafish (Danio
rerio). Exposure to TBOEP (2-5,000 MUg L(-1)) from 3 h post-fertilization (hpf)
to 120 hpf induced developmental malformations in zebrafish with a LC50 of 288.54
MUg L(-1) at both 96 hpf and 120 hpf. The predicted no observed effect
concentration (PNOEC) was 2.40 MUg L(-1). Exposure to 2, 20, or 200 MUg TBOEP L(
1) altered expression of genes involved in three major molecular pathways in a
concentration-dependent manner after 120 hpf. TBOEP caused lesser expression of
some genes involved in synthesis of hormones, such as (pomc and fshbeta) as well
as upregulating expression of some genes coding for receptors (thr, tshr, gr, mr,
er and ar) in zebrafish larvae. These changes at the molecular level could result
in alterations of endocrine function, which could result in edema or deformity
and ultimately death.
PMID- 26547028
TI - Determination of natural radioactivity in irrigation water of drilled wells in
northwestern Saudi Arabia.
AB - The levels of natural radiation in bedrock groundwater extracted from drilled
wells in selected farms in the northwestern part of Saudi Arabia were addressed.
The investigated waters form a source of irrigation for vegetables, agricultural
crops, wheat, and alfalfa to feed livestock consumed by the general public.
Information about water radioactivity in this area is not available yet.
Therefore, this study strives to contribute to the quality assessment of the
groundwater of these wells that are drilled into the non-renewable Saq sandstone
aquifer. Hence, gross alpha and beta activities as well as the concentrations of
(224)Ra, (226)Ra, (228)Ra, (234)U, (238)U, and U(total) were measured, compared
to national and international limits and contrasted with data quoted from the
literature. Correlations between the activities of the analyzed radionuclides
were discussed. The concentrations of gross alpha and beta activities as well as
(228)Ra were identified by liquid scintillation counting whereas alpha
spectrometry was used to determine (224)Ra, (226)Ra, (234)U and (238)U after
separation from the matrix by extraction chromatography. The mean activity
concentrations of gross alpha and beta were 3.15 +/- 0.26 Bq L(-1) and 5.39 +/-
0.44 Bq L(-1), respectively. Radium isotopes ((228)Ra and (226)Ra) showed mean
concentrations of 3.16 +/- 0.17 Bq L(-1) and 1.12 +/- 0.07 Bq L(-1),
respectively, whereas lower levels of uranium isotopes ((234)U and (238)U) were
obtained.
PMID- 26547029
TI - Arsenic uptake, arsenite efflux and plant growth in hyperaccumulator Pteris
vittata: Role of arsenic-resistant bacteria.
AB - Bacteria-mediated arsenic (As) transformation and their impacts on As and P
uptake and plant growth in As-hyperaccumulator Pteris vittata (PV) were
investigated under sterile condition. All As-resistant bacteria (9 endophytic and
6 rhizospheric) were As-reducers except one As-oxidizer. After growing two months
in media with 37.5 mg kg(-1) AsV, As concentrations in the fronds and roots were
3655-5389 (89-91% AsIII) and 971-1467 mg kg(-1) (41-73% AsIII), corresponding to
22-52% decrease in the As in the media. Bacterial inoculation enhanced As and P
uptake by up to 47 and 69%, and PV growth by 20-74%, which may be related to
elevated As and P in plants (r = 0.88-0.97, p < 0.05). Though AsV was supplied,
95% of the As in the bacteria-free media was AsIII, suggesting efficient efflux
of AsIII by PV roots (120 ug g(-1) root fw). This was supported by the fact that
no AsV was detected in media inoculated with As-reducers while 95% of AsV was
detected with As-oxidizer. Our data showed that, under As-stress, PV reduced As
toxicity by efficient AsIII efflux into media and AsIII translocation to the
fronds, and bacteria benefited PV growth probably via enhanced As and P uptake.
PMID- 26547030
TI - Polychlorinated biphenyl congeners in sediment cores from the Upper Mississippi
River.
AB - We determined polychlorinated biphenyls (PCBs) and radionuclide (137)Cs in
sediment cores from the Upper Mississippi River (UMR) and the Iowa River, Iowa,
at their confluence. Vertical distribution of (137)Cs indicated negligible mixing
in the UMR core, while the Iowa River core showed signs of mixing. A clear
(137)Cs peak was found in the UMR core, which was correlated to 1963. The PCB
vertical distribution in UMR core was similar to the historical trend in Aroclor
production observed in Great Lakes cores, with a peak close to the (137)Cs peak,
suggesting a date near 1960. In general, PCB congener profiles in both cores
resembled the Iowa soil background signal. We concluded that despite evidence of
mixing in the Iowa River core, both cores retain the PCB signature of historical
and regional environmental exposure. Further, our results indicate that this
iconic waterway has a long history of PCBs that reflects national production and
use.
PMID- 26547031
TI - Modeling the adsorption of PAH mixture in silica nanopores by molecular dynamic
simulation combined with machine learning.
AB - The persistence of polycyclic aromatic hydrocarbons (PAHs) in contaminated soils
is largely controlled by their molecular fate in soil pores. The adsorption and
diffusion of 16 PAHs mixture in silica nanopore with diameter of 2.0, 2.5, 3.0
and 3.5 nm, respectively, were characterized by adsorption energy, mean square
displacement, free surface area and free volume fraction using molecular dynamic
(MD) simulation. Results suggested that PAHs adsorption in silica nanopores was
associated with diffusion process while competitive sorption was not the dominant
mechanism in context of this study. The partial least squares (PLS) regression
and machine learning (ML) methods (i.e. support vector regression, M5 decision
tree and multilayer perceptrons) were used to correlate the adsorption energy
with the pore diameter and PAH properties (number of carbon atoms, aromatic ring
number, boiling point, molecular weight, octanol-water partition coefficient,
octanol-organic carbon partition coefficient, solvent accessible area, solvent
accessible volume and polarization). Results indicated that the PAH adsorption
could not be predicted by linear regression as the R(2)Y and Q(2)Y coefficients
of PLS analysis was 0.375 and 0.199, respectively. The nonlinearity was well
recognized by ML with correlation coefficient up to 0.9. Overall, the combination
of MD simulation and ML approaches can assist in interpreting the sequestration
of organic contaminants in the soil nanopores.
PMID- 26547032
TI - An assessment of the frequency of mutations in the GBA and VPS35 genes in
Hungarian patients with sporadic Parkinson's disease.
AB - Parkinson's disease (PD) is the second most common neurodegenerative disorder,
with cases of either familial or sporadic origin. Several polymorphisms in a
number of genes have been proved to have an important role in the development of
PD. Particular attention has recently been paid to genes of the
glucocerebrosidase (GBA) and the vacuolar protein sorting-associated protein 35
(VPS35). In this study, the three most common mutations (L444P, N370S and R120W)
of the GBA gene and the D620N mutation of the VPS35 gene were examined in 124
Hungarian patients diagnosed with sporadic PD (SPD) and 122 control subjects. The
frequency of the L444P mutation of the GBA gene proved to be higher in the PD
patients (2.4%) than in the controls (0%), although the difference was not
statistically significant. All the patients who carried the mutant allele were in
the early-onset PD (EOPD) group. However, neither the R120W nor the N370S variant
of the GBA gene nor D620N mutation of the VPS35 gene were detected among the PD
cases or the controls. Even though these results suggest that the studied
mutations are quite rare in SPD patients, the most frequent L444P mutation of the
GBA gene may be associated with the development of EOPD in the Hungarian
population.
PMID- 26547033
TI - Anatomical and functional relationships between deep cerebellar nuclei and
cerebellar cortical Crus II in vivo in mice.
AB - We previously reported that an air-puff stimulation on the ipsilateral whisker
pad evoked responses in molecular layer (ML) and Purkinje cell (PC) layer in
cerebellar cortex folium Crus II. We used anterograde tracing and
electrophysiological methods to investigate the anatomical and functional
relationships between the trigeminal tactile response area in the cerebellar
cortex Crus II and deep cerebellar nuclei (DCN) in living mice. We found that the
axons of tactile activated PCs projected in anterior part (IntA) and posterior
part (IntP), and dorsolateral hump (IntDL) of ipsilateral interposed cerebellar
nucleus (ICN). In ICN, the tactile stimulus evoked-field potential expressed a
sequence of two negative components N1 and N2, while extracellular recordings
from ICN neurons revealed that an increase in spike frequency in response to
tactile stimulus. When the duration of facial air-puff stimulus were >= 30 ms,
stimulation off response (Roff) were observed in the ICN, but an increase in the
duration of facial air-puff stimulation did not significantly affect the
amplitude of Ron (N1 and N2) and Roff. The latency and time to peak of N1 in ICN
were significantly shorter than that of N1 in the ML, but the latency and time to
peak of N2 in ICN were significantly later than that of P1 in the ML. The present
results suggest that the facial sensory information, at least in part, is
transferred to ICN by PC axons from Crus II, which evokes excitation in ICN
neurons.
PMID- 26547034
TI - Early alterations in blood and brain RANTES and MCP-1 expression and the effect
of exercise frequency in the 3xTg-AD mouse model of Alzheimer's disease.
AB - Exercise has been shown to protect against cognitive decline and Alzheimer's
disease (AD) progression, however the dose of exercise required to protect
against AD is unknown. Recent studies show that the pathological processes
leading to AD cause characteristic alterations in blood and brain inflammatory
proteins that are associated with the progression of AD, suggesting that these
markers could be used to diagnosis and monitor disease progression. The purpose
of this study was to determine the impact of exercise frequency on AD blood
chemokine profiles, and correlate these findings with chemokine brain expression
changes in the triple transgenic AD (3xTg-AD) mouse model. Three month old 3xTg
AD mice were subjected to 12 weeks of moderate intensity wheel running at a
frequency of either 1*/week or 3*/week. Blood and cortical tissue were analyzed
for expression of monocyte chemotactic protein-1 (MCP-1) and regulated and normal
T cell expressed and secreted (RANTES). Alterations in blood RANTES and MCP-1
expression were evident at 3 and 6 month old animals compared to WT animals.
Three times per week exercise but not 1*/week exercise was effective at reversing
serum and brain RANTES and MCP-1 expression to the levels of WT controls,
revealing a dose dependent response to exercise. Analysis of these chemokines
showed a strong negative correlation between blood and brain expression of
RANTES. The results indicate that alterations in serum and brain inflammatory
chemokines are evident as early signs of Alzheimer's disease pathology and that
higher frequency exercise was necessary to restore blood and brain inflammatory
expression levels in this AD mouse model.
PMID- 26547035
TI - NOTCH1 intracellular domain negatively regulates PAK1 signaling pathway through
direct interaction.
AB - p21-Activated kinase 1 (PAK1) is a serine/threonine protein kinase implicated in
cytoskeletal remodeling and cell motility. Recent studies have shown that it also
promotes cell proliferation, regulates apoptosis, and increases cell
transformation and invasion. In this study, we showed that NOTCH1 intracellular
domain (NOTCH1-IC) negatively regulated PAK1 signaling pathway. We found a novel
interaction between NOTCH1-IC and PAK1. Overexpression of NOTCH1-IC decreased
PAK1-induced integrin-linked kinase 1 (ILK1) phosphorylation, whereas inhibition
of NOTCH1 signaling increased PAK1-induced ILK1 phosphorylation. Notably, ILK1
phosphorylation was higher in PS1,2(-/-) cells than in PS1,2(+/+) cells. As
expected, overexpression of NOTCH1-IC decreased ILK1-induced phosphorylation of
glycogen synthase kinase 3 beta (GSK-3beta). Furthermore, NOTCH1-IC disrupted the
interaction of PAK1 with ILK1 and altered PAK1 localization by directly
interacting with it. This inhibitory effect of NOTCH1-IC on the PAK1 signaling
pathway was mediated by the binding of NOTCH1-IC to PAK1 and by the alteration of
PAK1 localization. Together, these results suggest that NOTCH1-IC is a new
regulator of the PAK1 signaling pathway that directly interacts with PAK1 and
regulates its shuttling between the nucleus and the cytoplasm.
PMID- 26547036
TI - Circular code motifs near the ribosome decoding center.
AB - A maximal C(3) self-complementary trinucleotide circular code X is identified in
genes of bacteria, eukaryotes, plasmids and viruses (Michel, 2015; Arques and
Michel, 1996). A translation (framing) code based on the circular code was
proposed in Michel (2012) with the identification of several X circular code
motifs (X motifs shortly) in both ribosomal RNAs (rRNAs) and their decoding
center, and transfer RNAs (tRNAs). We extended these results in two ways. First,
three universal X motifs were determined in the ribosome decoding center: the X
motif mAA containing the conserved nucleotides A1492 and A1493, the X motif mG
containing the conserved nucleotide G530 and the X motif m with unknown
biological function (El Soufi and Michel, 2014). Secondly, statistical analysis
of X motifs of greatest lengths performed on different and large tRNA populations
according to taxonomy, tRNA length and tRNA score showed that these X motifs have
occurrence probabilities in the 5' and/or 3' regions of 16 isoaccepting tRNAs of
prokaryotes and eukaryotes greater than the random case (Michel, 2013). We
continue here the previous works with the identification of X motifs in rRNAs of
prokaryotes and eukaryotes near the ribosome decoding center. Seven X motifs
PrRNAXm conserved in 16S rRNAs of prokaryotes P and four X motifs ErRNAXm
conserved in 18S rRNAs of eukaryotes E are identified near the ribosome decoding
center. Furthermore, four very large X motifs of length greater than or equal to
20 nucleotides, 14 large X motifs of length between 16 and 19 nucleotides and
several X motifs of length greater or equal to 9 nucleotides are found in tRNAs
of prokaryotes. Some properties of these X motifs in tRNAs are described. These
new results strengthen the concept of a translation code based on the circular
code (Michel, 2012).
PMID- 26547037
TI - New species of Torque Teno miniviruses infecting gorillas and chimpanzees.
AB - Anelloviridae family is comprised of small, non-enveloped viruses of various
genome lengths, high sequence diversity, sharing the same genome organization.
Infections and co-infections by different genotypes in humans are ubiquitous.
Related viruses were described in number of mammalian hosts, but very limited
data are available from the closest human relatives - great apes and non-human
primates. Here we report the 100% prevalence determined by semi-nested PCR from
fecal samples of 16 captive primate species. Only the Mandrillus sphinx, showed
the prevalence only 8%. We describe three new species of gorillas' and four new
species of chimpanzees' Betatorqueviruses and their co-infections in one
individual. This study is also first report and analysis of nearly full length
TTMV genomes infecting gorillas. Our attempts to sequence the complete genomes of
anelloviruses from host feces invariably failed. Broader usage of blood /tissue
material is necessary to understand the diversity and interspecies transmission
of anelloviruses.
PMID- 26547038
TI - History and genomic sequence analysis of the herpes simplex virus 1 KOS and
KOS1.1 sub-strains.
AB - A collection of genomic DNA sequences of herpes simplex virus (HSV) strains has
been defined and analyzed, and some information is available about genomic
stability upon limited passage of viruses in culture. The nature of genomic
change upon extensive laboratory passage remains to be determined. In this report
we review the history of the HSV-1 KOS laboratory strain and the related KOS1.1
laboratory sub-strain, also called KOS (M), and determine the complete genomic
sequence of an early passage stock of the KOS laboratory sub-strain and a
laboratory stock of the KOS1.1 sub-strain. The genomes of the two sub-strains are
highly similar with only five coding changes, 20 non-coding changes, and about
twenty non-ORF sequence changes. The coding changes could potentially explain the
KOS1.1 phenotypic properties of increased replication at high temperature and
reduced neuroinvasiveness. The study also provides sequence markers to define the
provenance of specific laboratory KOS virus stocks.
PMID- 26547039
TI - Molecular characterization of Myf5 and comparative expression patterns of
myogenic regulatory factors in Siniperca chuatsi.
AB - Myogenic regulatory factors (MRFs) are muscle-specific basic helix-loop-helix
(bHLH) transcription factor that plays an essential role in regulating skeletal
muscle development and growth. To investigate molecular characterization of Myf5
and compare the expressional patterns of the four MRFs, we cloned the Myf5 cDNA
sequence and analyzed the MRFs expressional patterns using quantitative real-time
polymerase chain reaction in Chinese perch (Siniperca chuatsi). Sequence analysis
indicated that Chinese perch Myf5 and other MRFs shared a highly conserved bHLH
domain with those of other vertebrates. Sequence alignment and phylogenetic tree
showed that Chinese perch MRFs had the highest identity with the MRFs of
Epinephelus coioides. Spatio-temporal expressional patterns revealed that the
MRFs were primarily expressed in muscle, especially in white muscle. During
embryonic development period, Myf5, MyoD and MyoG mRNAs had a steep increase at
neurula stage, and their highest expressional level was predominantly observed at
hatching period. Whereas the highest expressional level of the MRF4 was observed
at the muscular effect stage. The expressional patterns of post-embryonic
development showed that the Myf5, MyoD and MyoG mRNAs were highest at 90 days
post-hatching (dph). Furthermore, starvation and refeeding results showed that
the transcription of the MRFs in the fast skeletal muscle of Chinese perch
responded quickly to a single meal after 7 days of fasting. It indicated that the
MRFs might contribute to muscle recovery after refeeding in Chinese perch.
PMID- 26547040
TI - Gene-expression profile of developing pollen tube of Pyrus bretschneideri.
AB - Pollen is an ideal model system for investigation of cell growth. In order to
better understand the molecular biology mechanisms of the process of pear pollen
tube development, RNA sequencing (RNA-Seq) technology was used to characterize
the expression of genes during four development stages of pear pollen, including
mature pollen grains (MP), hydrated pollen grains (HP), growing pollen tubes (PT)
and stopped-growth pollen tubes (SPT). The four libraries generated a total of
47,072,151 clean reads that were mapped and assembled into 21,394 genes.
Transcripts from the four stages were classified into 38 functional
subcategories. Between MP and HP, 305 genes were differentially expressed, and
502 genes were differentially expressed between HP and PT. More importantly, we
have observed that 2208 genes were differentially expressed between PT and SPT,
and this is the first report of the gene expression comparison between the two
development stages. Eight of the differentially expressed genes were randomly
selected to confirm the RNA-Seq results by quantitative real-time PCR (qRT-PCR).
Taken together, this research provides a platform for future research on pear
pollen tube growth and growth cessation.
PMID- 26547041
TI - Removal of cyanide compounds from coking wastewater by ferrous sulfate:
Improvement of biodegradability.
AB - The effect of ferrous sulfate (FeSO4) treatment on the removal of cyanide
compounds and the improvement of biodegradability of coking wastewater were
investigated by varying Fe:TCN molar ratios. Results suggested that the reaction
between FeSO4 and coking wastewater was a two-step process. At the first step,
i.e., 0<=Fe:TCN<=1.0, the reaction mechanisms were dominated by the precipitation
of FeS, the complexation of CN(-), and the coagulation of organic compounds. The
COD of coking wastewater decreased from 3748.1 mg/L to 3450.2 mg/L, but BOD5:COD
(B/C) was improved from 0.30 to 0.51. At the second step, i.e., 1.0 30 MUM for nonmalignant mouse fibroblasts). The
cytotoxicity of betulinic acid derived amides 19-24 and of betulin derived bis
carbamates 25-28 was low, except for N-ethyl substituted 25. Hexyl substituted 39
showed EC50 = 5.6 MUM (518A2 cells) while for mouse fibroblasts EC50 > 30 was
determined.
PMID- 26547058
TI - Nonparametric association analysis of bivariate left-truncated competing risks
data.
AB - We develop time-varying association analyses for onset ages of two lung
infections to address the statistical challenges in utilizing registry data where
onset ages are left-truncated by ages of entry and competing-risk censored by
deaths. Two types of association estimators are proposed based on conditional
cause-specific hazard function and cumulative incidence function that are adapted
from unconditional quantities to handle left truncation. Asymptotic properties of
the estimators are established by using the empirical process techniques. Our
simulation study shows that the estimators perform well with moderate sample
sizes. We apply our methods to the Cystic Fibrosis Foundation Registry data to
study the relationship between onset ages of Pseudomonas aeruginosa and
Staphylococcus aureus infections.
PMID- 26547059
TI - Pictorial Cigarette Warning Labels: Effects of Severity and Likelihood of Risk
Messages.
AB - INTRODUCTION: Pictorial cigarette warning labels often contain text-messages
about severity of health risks and less often about the likelihood of health
risks. We aimed to examine the influence of severity of risk versus likelihood of
risk text-messages on information-seeking behavior. METHODS: Study 1: An
experimental study with a 2 (severity) * 2 (likelihood) between-subjects design
(n = 260); Study 2: An experimental study with a 2 (severity) * 2 (likelihood) *
2 (picture) between-subjects design (n = 537). Main outcome measures were
information-seeking intention and information-seeking behavior (accepting a
brochure about smoking cessation in Study 1; clicking on a link to a smoking
cessation webpage in Study 2). RESULTS: In Study 1, exposure to likelihood text
messages was associated with more information-seeking behavior but not with
attitudes and intention to quit. In Study 2, exposure to likelihood text-messages
was not associated with information-seeking behavior, but was associated with
higher warning label ratings and with more positive attitudes towards quitting
when it was a pictorial cigarette warning label; exposure to severity text
messages was associated with higher warning label ratings and higher risk
perceptions. Presence of a picture with smokers' diseased lungs in Study 2 was
associated with higher warning label ratings and with higher risk perceptions,
but did not influence attitudes and intention to quit. CONCLUSIONS: We found
preliminary indications that pictorial cigarette labels with likelihood of risk
text-messages may be effective in influencing behavior. However, results from our
two studies were not consistent. Therefore, future studies should examine this
further. IMPLICATIONS: Although we can only draw preliminary conclusions from our
study that should be replicated in future studies, our findings suggest that it
is worthwhile to further explore the addition of likelihood of risk text-messages
to pictorial cigarette warning labels, which is not the current practice in most
countries.
PMID- 26547060
TI - Active and Passive Smoking and Serum Total Bilirubin in a Rural Korean
Population.
AB - INTRODUCTION: Serum bilirubin is an endogenous antioxidant biomarker and its low
level is a potential risk factor for smoking related health disorders. This study
investigated the association of cigarette smoke with serum total bilirubin among
Koreans. METHODS: Between 2006 and 2011, we examined 4899 Korean adults living in
a rural community. After excluding 38 participants with serum bilirubin more than
2mg/dL, 75 participants who did not report their smoking status or who had liver
or bile duct disorders, and 711 participants with liver enzymes exceeding the
upper reference values, we performed a cross-sectional analysis on 4075
participants. Participants were classified into four groups: never-smokers
without secondhand smoke exposure (SHSE), never-smokers with SHSE, former
smokers, and active smokers. Serum total bilirubin concentration was measured
using the enzyme method. RESULTS: Compared to never-smokers without SHSE, never
smokers with SHSE (beta = -0.025 mg/dL), former smokers (beta = -0.049 mg/dL),
and active smokers (beta = -0.149 mg/dL) had significantly lower serum bilirubin
even after adjusting for demographic factors, study year, alanine
aminotransferase, gamma-glutamyl transferase, hemoglobin, lifestyle factors, and
chronic diseases. A sex-stratified analysis indicated that for men, former
smokers and active smokers were significantly associated with having lower
bilirubin when compared to never-smokers without SHSE. However, for women, never
smokers with SHSE and active smokers were significantly associated with having
lower bilirubin when compared to never-smokers without SHSE. CONCLUSION: Our
findings suggest that both active and passive cigarette smoking are associated
with low serum bilirubin among Korean adults. IMPLICATIONS: Our results suggest
that not only active smoking but also passive smoking including SHSE can have an
influence on decreasing serum bilirubin levels. With this different point of
view, our study supports efforts to create smoke-free environments in order to
foster more favorable serum bilirubin profiles, which may improve endothelial
function and reduce the risk of cardiovascular disease.
PMID- 26547061
TI - Use of High-Nicotine/Tar-Yield (Full-Flavor) Cigarettes and Risk for Nicotine
Dependence in Nationally Representative Samples of US Smokers.
AB - INTRODUCTION: The present study examines whether use of machine-estimated high
nicotine/tar-yield (full-flavor) cigarettes predicts greater risk of nicotine
dependence after controlling for the influence of potential confounding factors
in US nationally representative samples. METHODS: Data were obtained from
multiple years of the National Survey on Drug Use and Health (NSDUH). Nicotine
dependence was measured by (1) the Nicotine Dependence Syndrome Scale and (2)
latency to first cigarette after waking. Associations between use of high
nicotine/tar-yield cigarettes and risk for nicotine dependence were examined
using multiple logistic regression. RESULTS: The odds of nicotine dependence were
reliably greater among users of high- compared to lower-nicotine/tar-yield
cigarettes even after adjusting for sociodemographic and other smoking
characteristics (Ps < .0001). This relationship was (1) generally graded across
differing nicotine/tar-yield cigarettes, (2) discernible across two definitions
of nicotine dependence and multiple NSDUH survey years, and (3) observed among
adult and adolescent smokers. CONCLUSION: Use of high-nicotine/tar-yield
cigarettes is associated with increased odds of nicotine dependence, a
relationship that has important tobacco regulatory implications. Whether the
widespread marketing and availability of high-nicotine/tar-yield cigarettes is
increasing risk of nicotine dependence among US smokers warrants further
research. IMPLICATIONS: This study adds additional empirical evidence to the
relation of machine measured high-yield cigarettes and likelihood of nicotine
dependence, and draws some implications in regards to regulation.
PMID- 26547062
TI - The Dynamic Role of Urban Neighborhood Effects in a Text-Messaging Adolescent
Smoking Intervention.
AB - INTRODUCTION: Neighborhood features such as the density of tobacco outlets
relative to one's home and evaluations of safety of one's activity space (routine
locations), are known to influence health behaviors. Understanding the time
varying nature of these aspects of the urban ecology provides unique insights
into the dynamic interactions of individuals and their environments. METHODS: The
present study tested the time-varying effects of tobacco outlets and perceived
safety within a randomized controlled trial of an adolescent text-messaging
smoking intervention. We used ecological momentary assessment data (EMA) from an
automated text-messaging smoking cessation randomized trial with 197 primarily
African American urban adolescents. We employed a time-varying effect model to
estimate the effects of density of tobacco outlets within one-half mile of
participants' home locations (time-invariant covariate) and evaluations of safety
of their activity space (time-varying covariate) on momentary smoking over 6
months by treatment condition. The time-varying effect model approach models
behavioral change and associations of coefficients expressed dynamically and
graphically represented as smooth functions of time. RESULTS: Differences in
trajectories of smoking between treatment conditions were apparent over the
course of the study. During months 2 and 6, the association between tobacco
outlet density and smoking was significantly stronger in the control condition,
suggesting treatment dampens this association during these time periods. The
intervention also significantly reduced the association of perceived safety and
smoking among the treatment condition during months 3 through 6. CONCLUSIONS:
Results support testing the time-varying effects of urban ecological features and
perceptions of safety among adolescents in text-based smoking cessation
interventions. IMPLICATIONS: This study makes a unique contribution towards
understanding the time-varying effects of urban neighborhoods on adolescent
tobacco use within the context of a text-delivered intervention. Helping to
adjust the long-held conceptualization of intervention effects as a static
outcome, to that of a dynamic, time-varying process, is an important contribution
of this study. The ability to specify when behavioral change occurs within the
context of a randomized control trial provides understanding into the time
varying treatment effects of text-based smoking intervention. For example,
researchers can modify the intervention to have strategically timed booster
sessions that align with when the odds of smoking begin to increase in order to
provide more precise treatment. The current study results show that increasing
support to participants during months 2 and 4 may help suppress smoking over the
course of a 6-month intervention.
PMID- 26547063
TI - Prolapse repair with and without apical resuspension-Practice patterns among
certifying American urologists.
AB - AIMS: To examine surgeon characteristics in certifying urologists performing
prolapse surgeries. Anterior compartment prolapse is often associated with apical
prolapse, with high rates of recurrence when anterior repair is performed without
apical resuspension. METHODS: Six-month case log data of certifying urologists
between 2003 and 2013 was obtained from the American Board of Urology (ABU).
Cases with a CPT code for common prolapse repairs in females >=18 years were
analyzed. RESULTS: Among 2,588 urologists logging at least one prolapse surgery
and a total of 30,983 surgeries, 320 (1.0% of all cases) uterosacral ligament
suspension, 3,673 (11.9%) sacrospinous ligament suspension, and 2,618 (8.4%)
abdominal sacrocolpopexy were identified. The remaining 14,585 cases were logged
as anterior repair. 54.7% of anterior repairs did not include apical suspension.
The proportion of anterior repairs without apical suspension has decreased from
77.7% in 2004 to 41.4% in 2012 (P < 0.001). Female subspecialists before 2011
performed anterior repair without apical suspension in 58.5%, versus 70.3% by all
others. Since 2011 there has been a decrease in number of anterior repairs
without apical suspension, notably in those applying for Female Pelvic Medicine
and Reconstructive Surgery (FPMRS) certification (17.1% vs. 30.7% by all other
urologists, P < 0.001); nonacademically affiliated urologists are 2.1 times more
likely to report anterior repair without apical suspension than academically
affiliated colleagues (P < 0.001). CONCLUSIONS: The proportion of prolapse
repairs reported as anterior repairs without apical suspension is decreasing,
although it remains a substantial portion. Recent log year, FPMRS, and academic
affiliation were associated with prolapse repairs addressing apical support.
Neurourol. Urodynam. 36:344-348, 2017. (c) 2015 Wiley Periodicals, Inc.
PMID- 26547064
TI - T-Regulatory Cells as Part of Strategy of Immune Evasion by Pathogens.
AB - Under physiological conditions, regulatory processes can suppress the immune
response after elimination of a pathogen and restore homeostasis through the
destruction and suppression of obsolete effector cells of the immune system. The
main players in this process are T-regulatory cells (Tregs) and immature
dendritic cells, which suppress the immune response by their own products and/or
by inducing synthesis of immunosuppressive interleukins IL-10, IL-35, and
transforming growth factor (TGF-beta) by other cells. This mechanism is also used
by widespread "successful" pathogens that are capable of chronically persisting
in the human body - herpes virus, hepatitis viruses, human immunodeficiency
virus, Mycobacterium tuberculosis, Helicobacter pylori, and others. During
coevolution of microbial pathogens and the host immune system, the pathogens
developed sophisticated strategies for evading the host defense, so-called immune
evasion. In particular, molecular structures of pathogens during the interaction
with dendritic cells via activating and inhibitory receptors can change
intracellular signal transduction, resulting in block of maturation of dendritic
cells. Immature dendritic cells become tolerogenic and cause differentiation of
Tregs from the conventional T-cell CD4+. Microbial molecules can also react
directly with Tregs through innate immune receptors. Costimulation of Toll-like
receptor 5 (TLR5) by flagellin increases the expression of the transcription
factor Foxp3, which increases the suppressive activity of Treg cells. From all
evasion mechanisms, the induction of immunosuppression by Treg through IL-10, IL
35, and TGF-beta appears most effective. This results in the suppression of
inflammation and of adaptive immune responses against pathogens, optimizing the
conditions for the survival of bacteria and viruses.
PMID- 26547065
TI - Natural Compounds: Role in Reversal of Epigenetic Changes.
AB - The hallmarks of carcinogenesis are characterized by alterations in the
expression of multiple genes that occur via genetic and epigenetic alterations,
leading to genome rearrangements and instability. The reversible process of
epigenetic regulation, which includes changes in DNA methylation, histone
modifications, and alteration in microRNA (miRNA) expression that alter phenotype
without any change in the DNA sequence, is recognized as a key mechanism in
cancer cell metabolism. Recent advancements in the rapidly evolving field of
cancer epigenetics have shown the anticarcinogenic potential of natural compounds
targeting epigenetic mechanism as a common molecular approach for cancer
treatment. This review summarizes the potential of natural chemopreventive agents
to reverse cancer-related epigenetic aberrations by regulating the activity of
histone deacetylases, histone acetyltransferases, DNA methyltransferase I, and
miRNAs. Furthermore, there is impetus for determining novel and effective
chemopreventive strategies, either alone or in combination with other anticancer
agents that exhibit similar properties, for improving the therapeutic aspects of
cancer.
PMID- 26547066
TI - Heat Stress-Induced Transcriptional Repression.
AB - Heat stress is one of the most popular models for studying the regulation of gene
expression. For decades, researchers' attention was focused on the study of the
mechanisms of transcriptional activation of stress-induced genes. Although the
phenomenon of heat stress-induced global transcriptional repression is known for
a long time, the exact molecular mechanisms of such a repression are poorly
explored. In this mini-review, we attempt to summarize the existing experimental
data on heat stress-induced transcriptional repression.
PMID- 26547067
TI - Structural and Dynamic Changes in Mitochondria of Rat Myocardium under Acute
Hypoxic Hypoxia: Role of Mitochondrial ATP-Dependent Potassium Channel.
AB - The ultrastructure and spatial localization of mitochondria (MC) in the
myocardium of rats exposed to a 30-min hypoxic hypoxia were investigated. The
mitochondrial structure was found to undergo changes; however, marked necrotic
injuries were not observed. Changes occurring in the myocardium are aimed at the
intensification of energy processes. This shows up as an increase in the number
of MC in the subsarcolemmal zone of the myocardium and changes in the surface of
the sublemmal membrane due to its bending around mitochondria, which improves the
diffusion of oxygen into MC. In addition, the division of MC is enhanced, which
partially explains the increase in their total number. In structurally altered MC
with intact membrane, electron dense formations with small diameter appear, which
probably represent newly formed organelles (microMC). In normoxia, changes of
this kind do not occur. It was found that the ATP-dependent K+ channel is
involved in the regulation of the morphological state of MC under hypoxic
hypoxia. The activator of the channel diazoxide increases the number of newly
formed microMC, and the channel inhibitor 5HD significantly prevents their
formation. Possible mechanisms of structural and dynamic changes in rat
myocardial MC under acute hypoxic hypoxia are discussed.
PMID- 26547068
TI - Coxsackievirus B3 Induces Autophagic Response in Cardiac Myocytes in vivo.
AB - Viral myocarditis is a common disease that contributes to dilated cardiomyopathy
or heart failure. Coxsackievirus B (CVB) is one of the major causative pathogens
of viral myocarditis. Previous studies have shown that autophagy is exploited to
promote CVB replication in cell lines. To study whether cardiac myocytes respond
to CVB infection in a similar way, viral myocarditis was established by the
inoculation of 3-week-old BALB/c mice with CVB3. Electron microscopic observation
showed that autophagosome-like vesicles were induced in the cardiac myocytes of
mice infected by CVB3 at 3, 5, and 7 days after viral infection. The lipidated
microtubule-associated protein 1 light chain 3 (LC3), LC3-II, was also
significantly increased in both myocardium and the cardiac myocytes extracted
from the ventricles of mice infected with CVB3. The increased LC3-II coincided
with high level of viral RNA and proteins in both myocardium and isolated cardiac
myocytes. Moreover, viral protein synthesis was significantly decreased in
primary cardiac myocytes by the treatment with 3-methyladenine, an inhibitor of
autophagy. The expression and the phosphorylation of extracellular signal
regulated kinase (ERK) were also increased in both myocardium and in the isolated
cardiac myocytes of the virus-infected mice, while the interplay of ERK with
autophagic response remains to be studied. This study demonstrated that cardiac
myocytes respond to CVB3 infection by increased formation of autophagosomes in
vivo, which might be exploited for viral replication.
PMID- 26547069
TI - Repair of Clustered Damage and DNA Polymerase Iota.
AB - Multiple DNA lesions occurring within one or two turns of the DNA helix known as
clustered damage are a source of double-stranded DNA breaks, which represent a
serious threat to the cells. Repair of clustered lesions is accomplished in
several steps. If a clustered lesion contains oxidized bases, an individual DNA
lesion is repaired by the base excision repair (BER) mechanism involving a
specialized DNA polymerase after excising DNA damage. Here, we investigated DNA
synthesis catalyzed by DNA polymerase iota using damaged DNA templates. Two types
of DNA substrates were used as model DNAs: partial DNA duplexes containing breaks
of different length, and DNA duplexes containing 5-formyluracil (5-foU) and
uracil as a precursor of apurinic/apyrimidinic sites (AP) in opposite DNA
strands. For the first time, we showed that DNA polymerase iota is able to
catalyze DNA synthesis using partial DNA duplexes having breaks of different
length as substrates. In addition, we found that DNA polymerase iota could
catalyze DNA synthesis during repair of clustered damage via the BER system by
using both undamaged and 5-foU-containing templates. We found that hPCNA (human
proliferating cell nuclear antigen) increased efficacy of DNA synthesis catalyzed
by DNA polymerase iota.
PMID- 26547070
TI - Natural Larval Diet Differently Influences the Pattern of Developmental Changes
in DNA 5-Methylcytosine Levels in Apis mellifera Queens as Compared with Workers
and Drones.
AB - The principal mechanism of gene activation/silencing is DNA 5-methylcytosine
methylation. This study was aimed at determining global DNA methylation levels in
larvae, prepupae, pupae, and 1-day-old adults of Apis mellifera queens, workers
and drones. The Imprint Methylated DNA Quantification Kit MDQ1 was used.
Percentages of DNA 5-methylcytosine were low and relatively similar in the larvae
of all the castes until 4th day of larval development (3-5%). However, they were
higher in the drone and worker larvae than in the queen larvae. Generally, the
developmental patterns of changes in the DNA methylation levels were different in
the queens in comparison with the drones and workers. While methylation increased
in the queens, it decreased in the drones and workers. Methylated DNA
methylcytosine percentages and weights in the queen prepupae (15%, 9.18 ng) and
pupae (21%, 10.74 ng) were, respectively, three and four times higher than in the
worker/drone brood of the same age (2.5-4%, 0.03-0.07 ng). Only in the queens,
after a substantial increase, did DNA methylation decrease almost twice between
the pupal stage and queen emergence (from 21% and 10.74 ng to 12% and 6.78 ng).
This finding seems very interesting, particularly for experimental gerontology.
PMID- 26547071
TI - Parallel G-Quadruplexes Formed by Guanine-Rich Microsatellite Repeats Inhibit
Human Topoisomerase I.
AB - Using UV and CD spectroscopy, we studied the thermodynamic stability and folding
topology of G-quadruplexes (G4), formed by G-rich fragments in human
microsatellites that differ in the number of guanosines within the repeating
unit. The oligonucleotides d(GGGT)4 and d(GGT)4 were shown to form propeller-type
parallel-stranded intramolecular G-quadruplexes. The G4 melting temperature is
dramatically decreased (by more than 45 degrees C) in the transition from the tri
G-tetrad to the bi-G-tetrad structure. d(GT)n-repeats do not form perfect G
quadruplexes (one-G-tetrad); folded G4-like conformation is not stable at room
temperature and is not stabilized by monovalent metal ions. The minimum
concentration of K+ that promotes quadruplex folding of d(GGT)4 was found to
depend on the supporting Na+ concentration. It was demonstrated for the first
time that the complementary regions flanking G4-motifs (as in d(CACTGG-CC-(GGGT)4
TA-CCAGTG)) cannot form a double helix in the case of a parallel G4 due to the
steric remoteness, but instead destabilize the structure. Additionally, we
investigated the effect of the described oligonucleotides on the activity of
topoisomerase I, one of the key cell enzymes, with a focus on the relationship
between the stability of the formed quadruplexes and the inhibition degree of the
enzyme. The most active inhibitor with IC50 = 0.08 uM was the oligonucleotide
d(CACTGG-CC-(GGGT)4-TA-CCAGTG), whose flanking G4-motif sequences reduced the
extreme stability of G-quadruplex formed by d(GGGT)4.
PMID- 26547072
TI - Double Subgenomic Promoter Control for a Target Gene Superexpression by a Plant
Viral Vector.
AB - Several new deconstructed vectors based on a potexvirus genome sequence for
efficient expression of heterologous proteins in plants were designed. The first
obtained vector (AltMV-single), based on the Alternanthera mosaic virus (AltMV)
strain MU genome, bears a typical architecture for deconstructed plant viral
vectors, i.e. a triple gene block was deleted from the viral genome and the model
gene of interest was placed under control of the first viral subgenomic promoter.
To enhance the efficiency of expression, maintained by the AltMV-single, another
vector (AltMV-double) was designed. In AltMV-double, the gene of interest was
controlled by two viral subgenomic promoters located sequentially without a gap
upstream of the target gene. It was found that AltMV-double provided a
significantly higher level of accumulation of the target protein in plants than
AltMV-single. Moreover, our data clearly show the requirement of the presence and
functioning of both the subgenomic promoters for demonstrated high level of
target protein expression by AltMV-double. Taken together, our results describe
an additional possible way to enhance the efficiency of transient protein
expression maintained in plants by a plant viral vector.
PMID- 26547073
TI - Molecular Dynamics Investigation of a Mechanism of Allosteric Signal Transmission
in Ribosomes.
AB - The ribosome is a molecular machine that synthesizes all cellular proteins via
translation of genetic information encoded in polynucleotide chain of messenger
RNA. Transition between different stages of the ribosome working cycle is
strictly coordinated by changes in structure and mutual position both of subunits
of the ribosome and its ligands. Therein, information regarding structural
transformations is transmitted between functional centers of the ribosome through
specific signals. Usually, functional centers of ribosomes are located at a
distance reaching up to several tens of angstroms, and it is believed that such
signals are transduced allosterically. In our study, we attempted to answer the
question of how allosteric signal can be transmitted from one of the so-called
sensory elements of ribosomal tunnel (RT) to the peptidyl transferase center
(PTC). A segment of RT wall from the E. coli ribosome composed of nucleotide
residues A2058, A2059, m(2)A2503, G2061, A2062, and C2063 of its 23S rRNA was
examined by molecular dynamics simulations. It was found that a potential signal
transduction pathway A2058-C2063 acted as a dynamic ensemble of interdependent
conformational states, wherein cascade-like changes can occur. It was assumed
that structural rearrangement in the A2058-C2063 RT segment results in reversible
inactivation of PTC due to a strong stacking contact between functionally
important U2585 residue of the PTC and nucleotide residue C2063. A potential role
for the observed conformational transition in the A2058-C2063 segment for
regulating ribosome activity is discussed.
PMID- 26547074
TI - Inhibitory Effect of Polyethylene Oxide and Polypropylene Oxide Triblock
Copolymers on Aggregation and Fusion of Atherogenic Low Density Lipoproteins.
AB - Triblock copolymers of poly(ethylene oxide) and poly(propylene oxide) (so-called
pluronics) were shown to influence the aggregation and fusion of atherogenic low
density lipoproteins (atLDL) and be able to inhibit these processes. The
character of the influence and the degree of the stabilizing effect depended on
the structure, relative hydrophobicity, and concentration of the copolymer.
Pluronics L61, P85, and L64 characterized by the hydrophilic-lipophilic balance
(HLB) value from 3 to 16 had the greatest ability to suppress the aggregation of
atLDL. Pluronic L81 with the higher hydrophobicity (HLB = 2) partially inhibited
atLDL aggregation at low concentrations but stimulated it at high concentrations.
The influence of pluronics did not have a direct connection with their ability
for micelle formation, but it was realized through individual macromolecules. We
suppose that effects of pluronics could be due to their interaction with the
lipid component of LDL and to a possible influence of these copolymers on the
structure and hydrophilic-lipophilic characteristics of lipoproteins.
PMID- 26547075
TI - Acidosis and 5-(N-ethyl-N-isopropyl)amiloride (EIPA) Attenuate Zinc/Kainate
Toxicity in Cultured Cerebellar Granule Neurons.
AB - Cultured cerebellar granule neurons (CGNs) are resistant to the toxic effect of
ZnCl2 (0.005 mM, 3 h) and slightly sensitive to the effect of kainate (0.1 mM, 3
h). Simultaneous treatment of CGNs with kainate and ZnCl2 caused intensive
neuronal death, which was attenuated by external acidosis (pH 6.5) or 5-(N-ethyl
N-isopropyl)amiloride (EIPA, Na+/H+ exchange blocker, 0.03 mM). Intracellular
zinc and calcium ion concentrations ([Zn2+]i and [Ca2+]i) were increased under
the toxic action of kainate + ZnCl2, this effect being significantly decreased on
external acidosis and increased in case of EIPA addition. Neuronal Zn2+ imaging
demonstrated that EIPA increases the cytosolic concentration of free Zn2+ on
incubation in Zn2+-containing solution. These data imply that acidosis reduces
ZnCl2/kainate toxic effects by decreasing Zn2+ entry into neurons, and EIPA
prevents zinc stores from being overloaded with zinc.
PMID- 26547076
TI - Asp141 and the Hydrogen-Bond Chain Asp141-Asn109-Asp33 Are Respectively Essential
for GT80 Sialyltransferase Activity and Structural Stability.
AB - Sialyltransferases are key enzymes involved in the biosynthesis of biologically
and pathologically important sialic acid-containing molecules in nature. In this
study, the activity of a putative sialyltransferase (Pm0160) harboring an
inherent mutation D141Y in the conserved DDG motif, which has been identified in
GT52 and GT80 families, was restored by reverse mutation. More interestingly, a
hydrogen-bond chain was found to form between three conserved residues (Asp141,
Asn109, and Asp33) of GT80 sialyltransferases based on recently determined
crystal structures. Our mutagenesis experiments demonstrated that the hydrogen
bond chain connecting the general base Asp141 with Nbeta4, Nbeta1, and Nalpha1
plays an essential role in maintaining protein structural stability other than
keeping the general base Asp141 in a productive orientation for sialic acid
transfer.
PMID- 26547077
TI - Mutations Enhancing Selectivity of Antitumor Cytokine TRAIL to DR5 Receptor
Increase Its Cytotoxicity against Tumor Cells.
AB - Tumor necrosis factor superfamily cytokine TRAIL (tumor necrosis factor-related
apoptosis-inducing ligand) induces apoptosis in tumor cells by binding to death
receptors DR4 and DR5 without affecting normal cells. However, the therapeutic
use of TRAIL is limited, because many tumor cells are resistant to it. The
resistance is partially related to interaction of TRAIL with the decoy receptors
DcR1 and DcR2, which do not trigger the apoptotic signal and inhibit signaling of
death receptors. Previously, we designed a unique DR5-specific TRAIL mutant
variant DR5-B, which binds to DR5 receptor as effectively as the original
cytokine, but has practically no interaction with DR4 and DcR1 receptors, and its
affinity for DcR2 is reduced 400-fold. In the present work, the cytotoxity of
TRAIL and DR5-B was analyzed on 12 different tumor cell lines and two types of
normal cells. In nine of 12 tumor cell lines, DR5-B killed 1.5-5.0 times more
tumor cells than TRAIL, and it did not exhibit toxicity towards normal cells.
Chemotherapeutic drugs such as doxorubicin, paclitaxel, and bortezomib augmented
the effect of both TRAIL variants, and the enhancing effect was more pronounced
for DR5-B. Half-maximal effective concentrations (EC50) for DR5-B in combination
with chemotherapeutic agents were 1.5-10.0 times lower than for wild-type TRAIL.
Thus, DR5-B is a promising candidate both for monotherapy and in combination with
chemotherapy for treatment of TRAIL-resistant tumors.
PMID- 26547078
TI - Blood Pressure Out of the Office: Its Time Has Finally Come.
AB - The diagnosis of hypertension includes measurement of blood pressure out of the
office by either 24-hour ambulatory monitoring or home blood pressure monitoring.
These methods have led to recognition of "white coat hypertension" (WCH) and
"masked hypertension" (MH). Research in the 1930s first demonstrated that blood
pressures in the office were often far different from those out of the office, at
a time when there was no effective treatment. International attention was focused
on another imminent world war and a highly controversial election in the United
States. Hypertension was not a priority for concern. From the 1950s onward: (i)
epidemiology linked hypertension to risk of cardiovascular disease, (ii)
effective and safe drugs for treatment of hypertension appeared, (iii) randomized
clinical trials demonstrated that drug treatment of hypertension is highly
effective for prevention of cardiovascular disease, and (iv) advances in
technology led to development of small, portable devices for recording blood
pressure noninvasively at home or during usual activities. Accurate measurement
of blood pressure in "real life" is now necessary and feasible for appropriate
diagnosis and assessment of treatment. Out-of-office blood pressure measurement
is emerging as the standard of care for hypertension.
PMID- 26547080
TI - Announcing the 2014 Violence Against Women Best Article Award Winner.
PMID- 26547079
TI - Immediate Postnatal Overfeeding in Rats Programs Aortic Wall Structure
Alterations and Metalloproteinases Dysregulation in Adulthood.
AB - BACKGROUND: Alterations in the nutritional perinatal environment, such as
intrauterine growth retardation with subsequent postnatal catch-up growth,
program cardiovascular disease in adulthood, possibly through alterations in
matrix metalloproteinase (MMP)-2 and -9. However, experimental evidences
demonstrating that changes in the nutritional perinatal environment can program
MMP-2 and -9 with subsequent alterations of vessel wall are lacking. AIM: The
current study evaluated whether immediate postnatal overfeeding is able to alter
vascular morphological indexes and circulating and/or vascular MMP2-2 and -9
status. METHODS: Aortic morphology (wall thickness and percentage of incomplete
elastin lamellae) and circulating and aortic MMP-2 and -9 activity (measured by
gelatin zymography) and aortic MMP-2 and -9 mRNA (measured by reverse
transcription polymerase chain reaction (RT-PCR)) were studied in adult male rats
overfed (OF) or normofed (NF) during the immediate postnatal period. RESULTS:
Postnatal overfeeding induced early onset obesity. Adult OF rats presented with
increased blood pressure and circulating MMP-2 and -9 activity. In the thoracic
aorta, postnatal overfeeding increased wall thickness and decreased elastin
integrity (as demonstrated by an increased percentage of incomplete elastin
lamellae). OF rats showed enhanced aortic MMP-2 activity and MMP-9 mRNA levels.
Circulating and aortic MMP-2 activity correlated positively with the percentage
of incomplete elastin lamellae and aortic wall thickness, respectively.
CONCLUSION: Our data demonstrate for the first time that immediate postnatal
nutritional programming induces increases in circulating and aortic MMP-2
activity with parallel aortic wall alterations, such as decreased elastin
integrity and enhanced thickening, showing that this experimental model is
suitable for the study of perinatal nutritional programming of vascular
functions.
PMID- 26547081
TI - Pre-clinical medical student experience in a pediatric pulmonary clinic.
AB - OBJECTIVE: Our objective was to evaluate the educational value of introducing pre
clinical medical students to pediatric patients and their families in a
subspecialty clinic setting. METHODS: First- and second-year medical students at
the University of Michigan seeking clinical experience outside of the classroom
attended an outpatient pediatric pulmonary clinic. Evaluation of the experience
consisted of pre- and post-clinic student surveys and post-clinic parent surveys
with statements employing a four-point Likert scale as well as open-ended
questions. RESULTS: Twenty-eight first-year students, 6 second-year students, and
33 parents participated in the study. Post-clinic statement scores significantly
increased for statements addressing empathic attitudes, confidence communicating
with children and families, comfort in the clinical environment, and social
awareness. Scores did not change for statements addressing motivation, a sense of
team membership, or confidence with career goals. Students achieved their goals
of gaining experience interacting with patients, learning about pulmonary
diseases, and observing clinic workflow. Parents felt that they contributed to
student education and were not inconvenienced. CONCLUSIONS: Students identified
several educational benefits of exposure to a single pediatric pulmonary clinic.
Patients and families were not inconvenienced by the participation of a student.
Additional studies are warranted to further investigate the value of this model
of pre-clinical medical student exposure to subspecialty pediatrics.
PMID- 26547083
TI - Assessment of perioperative mortality risk in patients with infective
endocarditis undergoing cardiac surgery: performance of the EuroSCORE I and II
logistic models.
AB - OBJECTIVES: The European System for Cardiac Operative Risk Evaluation (EuroSCORE)
has been established as a tool for assisting decision-making in surgical patients
and as a benchmark for quality assessment. Infective endocarditis often requires
surgical treatment and is associated with high mortality. This study was
undertaken to (i) validate both versions of the EuroSCORE, the older logistic
EuroSCORE I and the recently developed EuroSCORE II and to compare their
performances; (ii) identify predictors other than those included in the EuroSCORE
models that might further improve their performance. METHODS: We retrospectively
studied 128 patients from a single-centre registry who underwent heart surgery
for active infective endocarditis between January 2007 and November 2014. Binary
logistic regression was used to find independent predictors of mortality and to
create a new prediction model. Discrimination and calibration of models were
assessed by receiver-operating characteristic curve analysis, calibration curves
and the Hosmer-Lemeshow test. RESULTS: The observed perioperative mortality was
16.4% (n = 21). The median EuroSCORE I and EuroSCORE II were 13.9% interquartile
range (IQ) (7.0-35.0) and 6.6% IQ (3.5-18.2), respectively. Discriminative power
was numerically higher for EuroSCORE II {area under the curve (AUC) of 0.83 [95%
confidence interval (CI), 0.75-0.91]} than for EuroSCORE I [0.75 (95% CI, 0.66
0.85), P = 0.09]. The Hosmer-Lemeshow test showed good calibration for EuroSCORE
II (P = 0.08) but not for EuroSCORE I (P = 0.04). EuroSCORE I tended to over
predict and EuroSCORE II to under-predict mortality. Among the variables known to
be associated with greater infective endocarditis severity, only prosthetic valve
infective endocarditis remained an independent predictor of mortality [odds ratio
(OR) 6.6; 95% CI, 1.1-39.5; P = 0.04]. The new model including the EuroSCORE II
variables and variables known to be associated with greater infective
endocarditis severity showed an AUC of 0.87 (95% CI, 0.79-0.94) and differed
significantly from EuroSCORE I (P = 0.03) but not from EuroSCORE II (P = 0.4).
CONCLUSIONS: Both EuroSCORE I and II satisfactorily stratify risk in active
infective endocarditis; however, EuroSCORE II performed better in the overall
comparison. Specific endocarditis features will increase model complexity without
an unequivocal improvement in predictive ability.
PMID- 26547082
TI - Lower respiratory tract infection hospitalizations among American Indian/Alaska
Native children and the general United States child population.
AB - BACKGROUND: The lower respiratory tract infection (LRTI)-associated
hospitalization rate in American Indian and Alaska Native (AI/AN) children aged
<5 years declined during 1998-2008, yet remained 1.6 times higher than the
general US child population in 2006-2008. PURPOSE: Describe the change in LRTI
associated hospitalization rates for AI/AN children and for the general US child
population aged <5 years. METHODS: A retrospective analysis of hospitalizations
with discharge ICD-9-CM codes for LRTI for AI/AN children and for the general US
child population <5 years during 2009-2011 was conducted using Indian Health
Service direct and contract care inpatient data and the Nationwide Inpatient
Sample, respectively. We calculated hospitalization rates and made comparisons to
previously published 1998-1999 rates prior to pneumococcal conjugate vaccine
introduction. RESULTS: The average annual LRTI-associated hospitalization rate
declined from 1998-1999 to 2009-2011 in AI/AN (35%, p<0.01) and the general US
child population (19%, SE: 4.5%, p<0.01). The 2009-2011 AI/AN child average
annual LRTI-associated hospitalization rate was 20.7 per 1,000, 1.5 times higher
than the US child rate (13.7 95% CI: 12.6-14.8). The Alaska (38.9) and Southwest
regions (27.3) had the highest rates. The disparity was greatest for infant (<1
year) pneumonia-associated and 2009-2010 H1N1 influenza-associated
hospitalizations. CONCLUSIONS: Although the LRTI-associated hospitalization rate
declined, the 2009-2011 AI/AN child rate remained higher than the US child rate,
especially in the Alaska and Southwest regions. The residual disparity is likely
multi-factorial and partly related to household crowding, indoor smoke exposure,
lack of piped water and poverty. Implementation of interventions proven to reduce
LRTI is needed among AI/AN children.
PMID- 26547084
TI - Validation and application of a core set of patient-relevant outcome domains to
assess the effectiveness of multimodal pain therapy (VAPAIN): a study protocol.
AB - INTRODUCTION: Multimodal pain therapy (MPT) has been established accounting for
biopsychosocial consideration in diagnostic and therapy. MPT seems to be
effective, but comparability of studies is limited due to diversity of study
designs and outcome measurements. The presented study aims to develop a core
outcome set consisting of a minimum of outcome measures deemed necessary for
medical and therapeutic decision-making, which must be measured in all clinical
trials and non-randomised intervention studies. METHODS AND ANALYSIS: The study
consists of several parts. First, the development and recommendation of
preliminary core outcome domains will be based on results of a systematic review
and structured online surveys. Participants of the expert panel are
representatives of methodological, medical, physiotherapeutic, psychotherapeutic
profession and patients suffering from chronic pain (n=25). Subsequently,
candidate instruments to measure preliminary core outcome domains will be
recommended by these experts. Therefore, systematic reviews on measurement
properties of preliminary outcome measures will be conducted and finalised in a
consensus meeting. Consented instruments and lacking psychometric properties of
relevant instruments will be addressed and validated in the following part, a
prospective multicentre study in multimodal pain centres on approximately 300
patients with chronic pain. Based on all previous results, a core outcome set for
MPT measured in effectiveness studies and daily recordkeeping will be finalised
by consensus. Statistical analyses will be performed according to methodological
standards (COSMIN). ETHICS AND DISSEMINATION: The methods and procedure of the
study are developed in compliance with the ethical principles of the Helsinki
Declaration and Good Epidemiologic Practice. Recruitment of study participants
will require approval of the study by the responsible ethics committee and signed
informed consent from each participant. Pseudonymised data will be used for
statistical analysis.
PMID- 26547085
TI - IN SEARCH OF A FATHER: LEGAL CHALLENGES SURROUNDING POSTHUMOUS PATERNITY TESTING.
AB - This article interrogates the workings of the Human Tissue Act 2004, as it
applies to paternity testing by DNA analysis after the death of the putative
father. We use a case series methodology more usually seen in medical research,
through which we present three real case studies involving posthumous paternity
testing of retained tissue. We argue that the criminal offence in section 45 of
the Human Tissue Act 2004, which is being used to regulate this activity, is
inappropriate and inadequate to do so. The threat of the shadow of the criminal
law is too blunt an instrument to address the subtleties of the issues that arise
in the context of posthumous paternity testing. We call for reform of the Human
Tissue Act 2004 and the creation of a specific exception to properly deal with
requests of this nature.
PMID- 26547091
TI - Negotiating Access to Health Information to Promote Students' Health.
AB - Access to student health information, such as immunizations, screenings, and care
plans for chronic conditions, is essential for school nurses to fulfill their
role in promoting students' health. School nurses typically encounter barriers to
accessing health records and spend many hours attempting to retrieve health
information. As a result, nurses' time is poorly utilized and students may suffer
adverse outcomes including delayed school entry. In response to this pressing
public health issue, a school medical advisor and director of school nurses in a
local health department successfully negotiated access for school nurses to three
health record systems: a state immunization tracking system, an electronic lead
surveillance program, and an electronic health record system. This negotiation
process is presented within a framework of the Theory of Diffusion of Innovation
and provides a strategy for other school nurses seeking access to student health
information.
PMID- 26547088
TI - Methods of defining hypertension in electronic medical records: validation
against national survey data.
AB - BACKGROUND: Electronic medical records (EMR) can be a cost-effective source for
hypertension surveillance. However, diagnosis of hypertension in EMR is commonly
under-coded and warrants the needs to review blood pressure and antihypertensive
drugs for hypertension case identification. METHODS: We included all the patients
actively registered in The Health Improvement Network (THIN) database, UK, on 31
December 2011. Three case definitions using diagnosis code, antihypertensive drug
prescriptions and abnormal blood pressure, respectively, were used to identify
hypertension patients. We compared the prevalence and treatment rate of
hypertension in THIN with results from Health Survey for England (HSE) in 2011.
RESULTS: Compared with prevalence reported by HSE (29.7%), the use of diagnosis
code alone (14.0%) underestimated hypertension prevalence. The use of any of the
definitions (38.4%) or combination of antihypertensive drug prescriptions and
abnormal blood pressure (38.4%) had higher prevalence than HSE. The use of
diagnosis code or two abnormal blood pressure records with a 2-year period
(31.1%) had similar prevalence and treatment rate of hypertension with HSE.
CONCLUSIONS: Different definitions should be used for different study purposes.
The definition of 'diagnosis code or two abnormal blood pressure records with a 2
year period' could be used for hypertension surveillance in THIN.
PMID- 26547092
TI - Evaluation of a cardiopulmonary resuscitation curriculum in a low resource
environment.
AB - OBJECTIVES: To evaluate whether a 2-day International Liaison Committee on
Resuscitation (ILCOR) Universal Algorithm-based curriculum taught in a tertiary
care hospital in Liberia increases local health care provider knowledge and skill
comfort level. METHODS: A combined basic and advanced cardiopulmonary
resuscitation (CPR) curriculum was developed for low-resource settings that
included lectures and low-fidelity manikin-based simulations. In March 2014, the
curriculum was taught to healthcare providers in a tertiary care hospital in
Liberia. In a quality assurance review, participants were evaluated for knowledge
and comfort levels with resuscitation before and after the workshop. They were
also videotaped during simulation sessions and evaluated on standardized
performance metrics. RESULTS: Fifty-two hospital staff completed both pre-and
post-curriculum surveys. The median score was 45% pre-curriculum and 82% post
curriculum (p<0.00001). The median provider comfort level score was 4 of 5 pre
curriculum and 5 of 5 post-curriculum (p<0.00001). During simulations, 93.2% of
participants performed the pulse check within 10 seconds, and 97.7% performed
defibrillation within 180 seconds. CONCLUSIONS: Clinician knowledge of and
comfort level with CPR increased significantly after participating in our
curriculum. A CPR curriculum based on lectures and low-fidelity manikin
simulations may be an effective way to teach resuscitation in this low-resource
setting.
PMID- 26547093
TI - Single-incision laparo-thoracoscopic minimally invasive oesophagectomy to treat
oesophageal cancer?.
AB - OBJECTIVES: Single-incision thoracoscopic and laparoscopic procedures have been
applied in treating various diseases. However, it is unknown whether such
procedures are feasible in treating oesophageal cancer. METHODS: Minimally
invasive oesophagectomy (MIO) with a single-incision approach in the
thoracoscopic and laparoscopic procedures was attempted in 16 patients with
oesophageal cancer. RESULTS: One patient was converted to laparotomy and a four
port thoracoscopic procedure due to bleeding. Of the patients successfully
treated with a single-port MIO, 6 underwent a McKeown procedure and 9 an Ivor
Lewis procedure, including 3 cases of total laryngopharyngo-oesophagectomy with
cervical pharyngogastrostomy. The mean ventilator usage of the patients after
surgery was 0.3 +/- 0.6 days, the mean intensive care unit (ICU) stay was 3.8 +/-
3.1 days and the mean number of dissected lymph nodes was 28.6 +/- 14.6. One
delayed anastomotic leakage occurred, and another patient developed a trachea
oesophageal fistula induced by surgical clip-related tissue erosion, both of
which were successfully treated by the placement of an oesophageal stent. No
pulmonary complications or surgical mortalities occurred in the study. Minor
complications developed in 2 patients, 1 experiencing pneumothorax and 1
postoperative delirium. When compared with traditional MIO in our series (n =
315), no statistical difference was found among patients receiving single-port
MIO in terms of ventilator usage, ICU stay and the number of dissected lymph
nodes. CONCLUSIONS: Single-port MIO seems to be a feasible option for treating
patients with oesophageal cancer, which requires further evaluation and follow-up
in the future.
PMID- 26547094
TI - The needle in a haystack.
PMID- 26547095
TI - Clinical evaluation of a new tumour-node-metastasis staging system for thymic
malignancies proposed by the International Association for the Study of Lung
Cancer Staging and Prognostic Factors Committee and the International Thymic
Malignancy Interest Group.
AB - OBJECTIVES: The tumour-node-metastasis classification has been widely used as a
guide for estimating prognosis, and is the basis for treatment decisions in
patients with malignant tumours. The International Association for the Study of
Lung Cancer Staging and Prognostic Factors Committee and the International Thymic
Malignancy Interest Group have proposed a new staging system for thymic
malignancies. However, its validity has not been fully established. In this
study, we assessed the system's utilities and drawbacks. METHODS: We reviewed 154
consecutive patients with thymic epithelial tumours who underwent complete
resection at our institution, and compared their characteristics and outcomes
when classified according to the proposed system with those when classified under
the Masaoka-Koga system. RESULTS: The proportion of patients with Stage I disease
increased remarkably to 77.3% when using the proposed system because of the
reclassification of Masaoka-Koga stages II and III diseases. Among 69 patients
with Type A, AB or B1 thymoma, 68 tumours (98%) were reclassified as Stage I
disease. Moreover, the proportion of Stage III and IV tumours increased in
concordance with Types B2, B3 thymomas and thymic carcinoma. Under the proposed
new system, the recurrence-free survival rates showed significant deterioration
with increasing stage, while the overall survival curves did not. CONCLUSIONS:
The newly proposed classification for thymic malignancies does not serve as a
prognostic prediction model for overall survival but served as a significant
imbalance of stage distribution in our cohort. However, it appears to be
beneficial, especially in clinical settings and recurrence-free survival
analysis.
PMID- 26547098
TI - An anticoagulant too good to be true for revascularisation.
PMID- 26547099
TI - Adjunctive ultrasonography in breast cancer screening.
PMID- 26547101
TI - Sensitivity and specificity of mammography and adjunctive ultrasonography to
screen for breast cancer in the Japan Strategic Anti-cancer Randomized Trial (J
START): a randomised controlled trial.
AB - BACKGROUND: Mammography is the only proven method for breast cancer screening
that reduces mortality, although it is inaccurate in young women or women with
dense breasts. We investigated the efficacy of adjunctive ultrasonography.
METHODS: Between July, 2007, and March, 2011, we enrolled asymptomatic women aged
40-49 years at 42 study sites in 23 prefectures into the Japan Strategic Anti
cancer Randomized Trial (J-START). Eligible women had no history of any cancer in
the previous 5 years and were expected to live for more than 5 years.
Randomisation was done centrally by the Japan Clinical Research Support Unit.
Participants were randomly assigned in 1:1 ratio to undergo mammography and
ultrasonography (intervention group) or mammography alone (control group) twice
in 2 years. The primary outcome was sensitivity, specificity, cancer detection
rate, and stage distribution at the first round of screening. Analysis was by
intention to treat. This study is registered, number UMIN000000757. FINDINGS: Of
72,998 women enrolled, 36,859 were assigned to the intervention group and 36,139
to the control group. Sensitivity was significantly higher in the intervention
group than in the control group (91.1%, 95% CI 87.2-95.0 vs 77.0%, 70.3-83.7;
p=0.0004), whereas specificity was significantly lower (87.7%, 87.3-88.0 vs
91.4%, 91.1-91.7; p<0.0001). More cancers were detected in the intervention group
than in the control group (184 [0.50%] vs 117 [0.32%], p=0.0003) and were more
frequently stage 0 and I (144 [71.3%] vs 79 [52.0%], p=0.0194). 18 (0.05%)
interval cancers were detected in the intervention group compared with 35 (0.10%)
in the control group (p=0.034). INTERPRETATION: Adjunctive ultrasonography
increases sensitivity and detection rate of early cancers. FUNDING: Ministry of
Health, Labour and Welfare of Japan.
PMID- 26547102
TI - Phase II study of proton beam therapy as a nonsurgical approach for mucosal
melanoma of the nasal cavity or para-nasal sinuses.
AB - PURPOSE: The aim of this phase II study was to assess the clinical benefit of
proton beam therapy for mucosal melanoma of the nasal cavity and para-nasal
sinuses. MATERIALS AND METHODS: N0M0 mucosal melanoma of the nasal cavity and
para-nasal sinuses were enrolled. Proton therapy was delivered three times per
week with a planned total dose of 60 GyE in 15 fractions. Primary endpoint was
local control rate at 1 year after treatment. Based on the results of a pilot
study, the local control rate was estimated at 75%. RESULTS: Thirty-two patients
were enrolled from June 2008 through October 2012. Patient characteristics were
as follows: median age 73 years (range, 36-89 years); male/female ratio, 12/20;
and T stage 3/4, 11/21. Local control rate at 1 year was 75.8% (95% CI: 63.8
92.4%). With a median follow-up period of 36.4 months, 3-year overall survival
rate was 46.1%. The most frequent pattern of first failure was distant
metastasis. The main cause of death was cancer death due to distant metastases
(93.3%). CONCLUSIONS: Proton beam therapy showed sufficient local control
benefits for mucosal melanoma as an alternative treatment of surgery.
PMID- 26547100
TI - Effect of the REG1 anticoagulation system versus bivalirudin on outcomes after
percutaneous coronary intervention (REGULATE-PCI): a randomised clinical trial.
AB - BACKGROUND: REG1 is a novel anticoagulation system consisting of pegnivacogin, an
RNA aptamer inhibitor of coagulation factor IXa, and anivamersen, a complementary
sequence reversal oligonucleotide. We tested the hypothesis that near complete
inhibition of factor IXa with pegnivacogin during percutaneous coronary
intervention, followed by partial reversal with anivamersen, would reduce
ischaemic events compared with bivalirudin, without increasing bleeding. METHODS:
We did a randomised, open-label, active-controlled, multicentre, superiority
trial to compare REG1 with bivalirudin at 225 hospitals in North America and
Europe. We planned to randomly allocate 13,200 patients undergoing percutaneous
coronary intervention in a 1:1 ratio to either REG1 (pegnivacogin 1 mg/kg bolus
[>99% factor IXa inhibition] followed by 80% reversal with anivamersen after
percutaneous coronary intervention) or bivalirudin. Exclusion criteria included
ST segment elevation myocardial infarction within 48 h. The primary efficacy
endpoint was the composite of all-cause death, myocardial infarction, stroke, and
unplanned target lesion revascularisation by day 3 after randomisation. The
principal safety endpoint was major bleeding. Analysis was by intention to treat.
This trial is registered at ClinicalTrials.gov, identifier NCT01848106. The trial
was terminated early after enrolment of 3232 patients due to severe allergic
reactions. FINDINGS: 1616 patients were allocated REG1 and 1616 were assigned
bivalirudin, of whom 1605 and 1601 patients, respectively, received the assigned
treatment. Severe allergic reactions were reported in ten (1%) of 1605 patients
receiving REG1 versus one (<1%) of 1601 patients treated with bivalirudin. The
composite primary endpoint did not differ between groups, with 108 (7%) of 1616
patients assigned REG1 and 103 (6%) of 1616 allocated bivalirudin reporting a
primary endpoint event (odds ratio [OR] 1.05, 95% CI 0.80-1.39; p=0.72). Major
bleeding was similar between treatment groups (seven [<1%] of 1605 receiving REG1
vs two [<1%] of 1601 treated with bivalirudin; OR 3.49, 95% CI 0.73-16.82;
p=0.10), but major or minor bleeding was increased with REG1 (104 [6%] vs 65
[4%]; 1.64, 1.19-2.25; p=0.002). INTERPRETATION: The reversible factor IXa
inhibitor REG1, as currently formulated, is associated with severe allergic
reactions. Although statistical power was limited because of early termination,
there was no evidence that REG1 reduced ischaemic events or bleeding compared
with bivalirudin. FUNDING: Regado Biosciences Inc.
PMID- 26547103
TI - Impaired gamete production and viability in Atlantic croaker collected throughout
the 20,000 km(2) hypoxic region in the northern Gulf of Mexico.
AB - The long-term impacts of recent marked increases in the incidence and extent of
hypoxia (dissolved oxygen <2 mg/L) in coastal regions worldwide on fisheries and
ecosystems are unknown. Reproductive impairment was investigated in Atlantic
croaker collected in 2010 from the extensive coastal hypoxic region in the
northern Gulf of Mexico. Potential fecundity was significantly lower in croaker
collected throughout the ~20,000 km(2) hypoxic region than in croaker from
normoxic sites. In vitro bioassays of gamete viability showed reductions in
oocyte maturation and sperm motility in croaker collected from the hypoxic sites
in response to reproductive hormones which were accompanied by decreases in
gonadal levels of membrane progestin receptor alpha, the receptor regulating
these processes. The finding that environmental hypoxia exposure reduces oocyte
viability in addition to decreasing oocyte production in croaker suggests that
fecundity estimates need to be adjusted to account for the decrease in oocyte
maturation.
PMID- 26547104
TI - IL12RB2 Polymorphisms correlate with risk of lung adenocarcinoma.
AB - In a previous study, lack of IL-12 signaling in il12rb2 knock-out mice was found
to predispose to lung adenocarcinoma (LAC). We asked whether specific
polymorphisms of the human IL12RB2 gene may confer susceptibility to LAC. We
studied IL12RB2 single nucleotide polymorphisms (SNPs) spanning from the promoter
to the first untranslated exon of the gene. Genotypes of 49 individuals with LAC
were compared with those of 93 healthy subjects. Two allele variants were found
to be associated with increased susceptibility to LAC. One haplotype (hap),
hap18, was more frequent in patients (18%) versus controls (6%) and significantly
associated with increased probability of disease occurrence. Furthermore, IL-12
driven STAT4 phosphorylation in T cell blasts from healthy individuals was found
to correlate with both single allele variants and haplotypes. In conclusion,
genetically determined low signaling activity of IL-12R predisposes to the
development of LAC.
PMID- 26547105
TI - A cell wall protein-based vaccine candidate induce protective immune response
against Sporothrix schenckii infection.
AB - Sporotrichosis is a subcutaneous mycosis caused by several closely related thermo
dimorphic fungi of the Sporothrix schenckii species complex, affecting humans and
other mammals. In the last few years, new strategies have been proposed for
controlling sporotrichosis owning to concerns about its growing incidence in
humans, cats, and dogs in Brazil, as well as the toxicity and limited efficacy of
conventional antifungal drugs. In this study, we assessed the immunogenicity and
protective properties of two aluminum hydroxide (AH)-adsorbed S. schenckii cell
wall protein (ssCWP)-based vaccine formulations in a mouse model of systemic S.
schenckii infection. Fractioning by SDS-PAGE revealed nine protein bands, two of
which were functionally characterized: a 44kDa peptide hydrolase and a 47kDa
enolase, which was predicted to be an adhesin. Sera from immunized mice
recognized the 47kDa enolase and another unidentified 71kDa protein, whereas
serum from S. schenckii-infected mice recognized both these proteins plus another
unidentified 9.4kDa protein. Furthermore, opsonization with the anti-ssCWP sera
led to markedly increased phagocytosis and was able to strongly inhibit the
fungus' adhesion to fibroblasts. Immunization with the higher-dose AH-adjuvanted
formulation led to increased ex vivo release of IL-12, IFN-gamma, IL-4, and IL
17, whereas only IL-12 and IFN-gamma were induced by the higher-dose non
adjuvanted formulation. Lastly, passive transference of the higher-dose AH
adjuvanted formulation's anti-ssCWP serum was able to afford in vivo protection
in a subsequent challenge with S. schenckii, becoming a viable vaccine candidate
for further testing.
PMID- 26547106
TI - Beta-adrenergic blockade increases GLUT4 and improves glycemic control in insulin
treated diabetic Wistar rats.
AB - OBJECTIVE: Unequivocal modulation of glycemic homeostasis by chronic beta
adrenergic blockade in diabetes has never been demonstrated. This study
investigates the participation of beta-adrenergic system in glycemic control and
muscle glucose transporter GLUT4 expression in insulin-treated diabetic rats.
METHODS: Insulin-treated diabetic Wistar (W) or spontaneously hypertensive rats
(SHR) were additionally treated with propranolol, and glycemic homeostasis and
expression of some target mRNAs and proteins in soleus and extensor digitorum
longus (EDL) muscles were analyzed. RESULTS: Insulin improved glycemic control in
both strains. Importantly, in W, propranolol promoted a further improvement in
glycemic control, which was accompanied by decreased PKA and Tnf expression, and
increased Slc2a4 and GLUT4 in EDL. Those effects were not observed in diabetic
SHR. DISCUSSION: Propranolol-induced decrease in beta-adrenergic activity in
skeletal muscles of insulin-treated diabetic Wistar rats increases GLUT4
expression in EDL, improving glycemic control. These outcomes represent a
positive effect of nonselective beta-blockade, which might be extended to
autonomic neuropathy.
PMID- 26547107
TI - [UNE-EN ISO 15189 accreditation of the preanalytical phase of a clinical
laboratory].
AB - OBJECTIVES: Description of the procedures followed in Catlab's pre-analytical
area for UNE-EN ISO 15189 accreditation, and implementation of quality indicators
to evaluate the standard requirements in the pre-analytical phase processes.
MATERIAL AND METHODS: Description of Catlab's 2 self-developed computer
applications: Catlab Program Incidents (CPI) and Refrigerator Management Program
(RMP). Both of them, among other applications, documentation and quality
indicators, had enabled us to achieve UNE-EN ISO 15189 accreditation and have
traceability in the pre-analytical phase. RESULTS: Results of 4 quality
indicators are shown. In the customers satisfaction measurement indicator, 97.3%
clinicians value positively (quite/lot) different aspects of the laboratory. The
indicator of pre-analytical incidents went from 7.2% in 2011 down to 4.4% in
2014. In the prompt transport of late arrival samples, 3 of the routes (33%) did
not reach the acceptable target. And finally, the indicator of default opening
time of sample coolers, 100% of the routes reached the desired objective in the
second quarter of 2014. CONCLUSIONS: The use of those applications, allowed us to
design quality control indicators of the processes in the pre-analytical phase;
from sample extraction to its analytical process in the laboratory, enhancing the
collaboration with extraction sites, and allowing improvement actions to be
established.
PMID- 26547108
TI - Importance of implementing an analytical quality control system in a core
laboratory.
AB - INTRODUCTION: The aim of the clinical laboratory is to provide useful information
for screening, diagnosis and monitoring of disease. The laboratory should ensure
the quality of extra-analytical and analytical process, based on set criteria. To
do this, it develops and implements a system of internal quality control,
designed to detect errors, and compare its data with other laboratories, through
external quality control. In this way it has a tool to detect the fulfillment of
the objectives set, and in case of errors, allowing corrective actions to be
made, and ensure the reliability of the results. OBJECTIVE: This article sets out
to describe the design and implementation of an internal quality control
protocol, as well as its periodical assessment intervals (6 months) to determine
compliance with pre-determined specifications (Stockholm Consensus(1)). MATERIALS
AND METHODS: A total of 40 biochemical and 15 immunochemical methods were
evaluated using three different control materials. Next, a standard operation
procedure was planned to develop a system of internal quality control that
included calculating the error of the analytical process, setting quality
specifications, and verifying compliance. RESULTS: The quality control data were
then statistically depicted as means, standard deviations, and coefficients of
variation, as well as systematic, random, and total errors. The quality
specifications were then fixed and the operational rules to apply in the
analytical process were calculated. Finally, our data were compared with those of
other laboratories through an external quality assurance program. DISCUSSION: The
development of an analytical quality control system is a highly structured
process. This should be designed to detect errors that compromise the stability
of the analytical process. The laboratory should review its quality indicators,
systematic, random and total error at regular intervals, in order to ensure that
they are meeting pre-determined specifications, and if not, apply the appropriate
corrective actions.
PMID- 26547109
TI - Brodalumab, a human anti-interleukin-17-receptor antibody in the treatment of
Japanese patients with moderate-to-severe plaque psoriasis: Efficacy and safety
results from a phase II randomized controlled study.
AB - BACKGROUND: Brodalumab (KHK4827 or AMG 827) is a human monoclonal antibody that
binds to the human interleukin (IL)-17 receptor A and blocks the biological
activities of IL-17A, IL-17F, IL-17A/F, and IL-17E also known as IL-25. A 12-week
phase 2 trial in the USA, Europe, and other countries showed the good efficacy of
brodalumab in treating patients with moderate to severe plaque psoriasis.
However, with the exception of a phase 1 study, a clinical trial of brodalumab in
psoriasis has not been undertaken in Japan. OBJECTIVE: To evaluate the efficacy
and safety of brodalumab in Japanese patients with moderate-to-severe plaque
psoriasis, including psoriatic arthritis, in a multicenter, randomized, double
blind, placebo-controlled, parallel-group comparative phase 2 study, and to
assess the pharmacokinetics of brodalumab. METHODS: Japanese patients with
moderate-to-severe plaque psoriasis, including psoriatic arthritis, were
randomized to receive 70mg, 140mg, or 210mg of brodalumab, or placebo, injected
subcutaneously at baseline and weeks 1, 2, 4, 6, 8, and 10. The primary efficacy
endpoint was the percentage improvement in the Psoriasis Area and Severity Index
(PASI) score from baseline to week 12. Secondary efficacy endpoints included the
percentage of patients with >=75% reduction of PASI scores (PASI 75), >=90% (PASI
90), and 100% (PASI 100) and the percentage of patients with a static physician's
global assessment (sPGA) of 0 (clear) or 1 (almost clear) at week 12. Safety was
evaluated by assessing the adverse events (AE) and the patients' hematologic and
laboratory values. RESULTS: At week 12, the mean percentage improvements in the
PASI scores were 37.7%, 82.2%, 96.8%, and 9.4% in the 70mg, 140mg, 210mg, and
placebo groups, respectively, (p<0.001 for all comparisons with placebo). The
percentage of patients with PASI 75, PASI 90, and PASI 100 at week 12 were 7.9%,
2.6%, and 0%, respectively, in the placebo group, 25.6%, 15.4%, and 2.6%,
respectively, in the 70mg brodalumab group, 78.4%, 64.9%, and 35.1%,
respectively, in the 140mg brodalumab group, and 94.6%, 91.9%, and 59.5%,
respectively, in the 210mg brodalumab group. Concerning psoriatic arthritis, at
week 12, the numbers (%) of patients fulfilling the American College of
Rheumatology response criteria for a 20% improvement were 0 (0%) in the placebo
group, and 1 (20%), 2 (40%), and 4 (100%) in the 70mg, 140mg, and 210mg
brodalumab groups, respectively. The percentages of patients with Dermatology
Life Quality Index scores of 0 or 1 at week 12 were greater in the 140mg (54.1%)
and the 210mg (56.8%) brodalumab groups than in the placebo group (8.8%). The
most common AE in the brodalumab groups were nasopharyngitis (12.4% vs. 7.9% for
placebo), diarrhea (5.3% vs. 0%), upper respiratory tract inflammation (3.5% vs.
0%), and folliculitis (3.5% vs. 0%). CONCLUSION: The rapid, robust efficacy of
brodalumab and its favorable safety profile shown in the current study confirm
previous studies conducted in Caucasian people, further warranting the use of
brodalumab as a new treatment option for plaque psoriasis.
PMID- 26547110
TI - First experience with extended release tacrolimus in the treatment of adult
patients with severe, difficult to treat atopic dermatitis: Clinical efficacy,
safety and dose finding.
PMID- 26547111
TI - Rhododenol-induced leukoderma in a mouse model mimicking Japanese skin.
AB - BACKGROUND: Rhododendrol, 4-(4-hydroxyphenyl)-2-butanol, Rhododenol((r)) (RD), a
naturally occurring phenolic compound, was developed as a tyrosinase inhibitor
for skin-lightening/whitening cosmetics. In 2013, skin depigmentation was
reported in consumers using RD-containing skin-brightening cosmetics; this
condition is called RD-induced leukoderma. OBJECTIVE: The etiology of RD-induced
leukoderma is still largely unknown. Here, to assess the depigmentation potential
of RD, we developed a new mouse model of leukoderma by topically applying RD.
METHODS: Hairless hk14-SCF Tg mice with melanocytes distributed in the epidermis
were used for this study. RD was applied on the dorsal skin of the mice daily for
28 days. Then, immunohistological, biochemical, and electron microscopic analyses
were performed on biopsy samples taken from these mice. RESULTS: The
depigmentation in the RD-treated sites appeared on Day 14. Histological
examination indicated a loss of epidermal melanocytes at Day 7. On the other
hand, the melanocyte number did not decrease in the albino mice having the same
background as the hairless hk14-SCF Tg, but without tyrosinase activity.
Biochemical analyses showed that the eumelanin content decreased in the RD
treated sites and metabolites of RD-quinone, i.e., non-protein thiol adducts and
protein-SH adducts, were produced. Electron microscopic analyses revealed double
membrane-walled structures containing electron-dense material, which might be
typical for melanin-containing autophagosomes and a dilated endoplasmic reticulum
(ER), which would indicate ER stress. CONCLUSIONS: These data suggested that RD
exerted tyrosinase-dependent melanocyte cytotoxicity and that tyrosinase
dependent accumulation of ER stress from activation of the autophagy pathway
contributed to melanocyte cytotoxicity.
PMID- 26547112
TI - Bi-allelic nonsense mutations inABHD5 underlie a mild phenotype of Dorfman
Chanarin syndrome.
PMID- 26547113
TI - Comparison of the perception of the educational atmosphere by nursing students in
a Chilean university.
AB - BACKGROUND: Learning is situated within an environment, cannot be dissociated
from the context in which it occurs and impacts upon the results of student
learning, obtaining better results in favorably perceived environments. The
elements that mostly affect that perception are the curricular contents, teaching
methodologies, and the handling of the education atmosphere. These elements,
complex and dynamic, are perceived differently according to the level of the
career. Some studies establish that the perception improves as the student
progresses in the career, while others show the opposite. OBJECTIVES: Measure the
perception of nursing students of the education atmosphere and compare the
differences among the distinct levels of the career. DESIGN: This was a
longitudinal prospective study. Settings and Participants The study was conducted
with nursing students from the class of 2011 at Universidad de los Andes,
Santiago-Chile. Sixty-one students complied with inclusion criteria and were
surveyed in second (n=55), third (n=51), and fourth (n=34) years, during 2012,
2013, and 2014, respectively. METHODS: The Dundee Ready Education Environment
Measure questionnaire was applied. The STATA 10 program was used for analysis and
the one way ANOVA was use to compare the results. RESULTS: The average perception
of the education atmosphere was 133/200, obtaining more positive aspects than
negative ones. Students improve their perception as they advance in the career.
The three measurements repeat five items as weaknesses and 12 as strengths.
CONCLUSIONS: It is convenient to bear in mind the difficulties of students to
reconcile the clinical experiences with the theoretical classes on campus by
continuous assessing the academic load and the demands made by each professor.
Some strategies would include promoting professors' greater closeness with the
students, considering travel times in the academic programming, as well as
applying the basic theoretical contents in real clinical cases to give them
relevance.
PMID- 26547114
TI - Did Schon really say that? A response to Comer.
PMID- 26547115
TI - Anticoagulant conversion in the elderly: pitfalls.
AB - The prevalence of medical conditions representing a risk for thromboembolic
complications and requiring antithrombotic therapy increases gradually with age.
Two cases of fatal noncritical organ bleeding complication that occurred during
the conversion period from initial fondaparinux to vitamin K antagonist are
presented. An 81-year-old obese female patient (body mass index 43 kg/m(2)) with
previous postoperative thrombosis underwent uneventful total knee replacement
under spinal anesthesia. She presented with popliteal hematoma during conversion
to oral anticoagulant. A 92-year-old female patient (body mass index 33 kg/m(2))
with left lower limb thrombosis was referred to our orthopedics department from
her senior citizens' home for right lower limb hematoma and ischemia that
occurred during conversion to oral anticoagulant. Thromboembolic and bleeding
events in the elderly are real public health problems. Specific guidelines
dedicated to this particular population are needed, which will improve the
management of anticoagulation and decrease risk of complications.
PMID- 26547116
TI - Abbreviated right-sided heart echocardiogram and the STOP-Bang questionnaire-a
useful relationship for preoperative patient evaluation?
AB - STUDY OBJECTIVE: The aims of this study were to (1) explore the incidence of
right-sided heart dysfunction (RHD) and STOP-Bang questionnaire responses
consistent with obstructive sleep apnea (OSA) and (2) assess the relationship
between patients with STOP-Bang questionnaire responses consistent with OSA and
echocardiographic findings suggestive of RHD. DESIGN: Observational study.
SETTING: Tertiary academic center preoperative clinic. PATIENTS: Two hundred
patients presenting for elective surgery to the University of Utah preoperative
clinic. INTERVENTION: Abbreviated transthoracic right-sided echocardiogram and
STOP-Bang questionnaire. MEASUREMENTS: Tricuspid annular plane systolic
excursion, tissue Doppler-derived tricuspid lateral annular systolic velocity
(S'), and the tricuspid inflow E wave to tricuspid annular tissue Doppler e' wave
ratio (E/e') for the presence of RHD, as well as responses to STOP-Bang
questionnaire. MAIN RESULTS: A total of 140 echocardiograms were analyzed after
exclusion of participants with incomplete STOP-Bang questionnaires and inadequate
images. Thirty-five patients (25%) reported 5 or more positive responses to the
STOP-Bang questionnaire. Forty-six patients (35%) had abnormal right-sided heart
measurements. Of the 35 patients with STOP-Bang scores 5 or greater, 11 (31%) had
evidence of RHD. No correlation was observed between STOP-Bang scores and the
echocardiography metrics of RHD. CONCLUSIONS: This preliminary study suggests
that there are numerous sources of RHD, among one of which is sleep apnea, and/or
the STOP-Bang questionnaire is not a sensitive tool for predicting RHD. We
conclude that although the STOP-Bang questionnaire is easy to implement in a
preoperative clinical setting, it is not useful in identifying patients at risk
for RHD.
PMID- 26547118
TI - Dynamics of micron-sized particles in dilute and concentrated suspensions probed
by dynamic ultrasound scattering techniques.
AB - A novel ultrasound technique called Frequency-Domain Dynamic ultraSound
Scattering (FD-DSS) was employed to determine sedimentation velocities and the
diameters of microparticles in a highly turbid suspension. The paper describes
the importance of the scattering vector q for dynamic scattering experiments
using broadband ultrasound pulses because q (or frequency) corresponds to the
spatial length scale whereas the pulses involve inevitable uncertainty in the
time domain due to the frequency distribution of broadband pulse. The results
obtained from Stokes velocity of monodispersed silica and polydivinylbenzene
(PDVB) particles were compared to those obtained by a Field Emission Scanning
Electron Microscope (FE-SEM). A novel method to extract the particle size
distribution is also demonstrated based on an ultrasound scattering theory.
PMID- 26547117
TI - Automated 3D ultrasound image segmentation to aid breast cancer image
interpretation.
AB - Segmentation of an ultrasound image into functional tissues is of great
importance to clinical diagnosis of breast cancer. However, many studies are
found to segment only the mass of interest and not all major tissues. Differences
and inconsistencies in ultrasound interpretation call for an automated
segmentation method to make results operator-independent. Furthermore, manual
segmentation of entire three-dimensional (3D) ultrasound volumes is time
consuming, resource-intensive, and clinically impractical. Here, we propose an
automated algorithm to segment 3D ultrasound volumes into three major tissue
types: cyst/mass, fatty tissue, and fibro-glandular tissue. To test its efficacy
and consistency, the proposed automated method was employed on a database of 21
cases of whole breast ultrasound. Experimental results show that our proposed
method not only distinguishes fat and non-fat tissues correctly, but performs
well in classifying cyst/mass. Comparison of density assessment between the
automated method and manual segmentation demonstrates good consistency with an
accuracy of 85.7%. Quantitative comparison of corresponding tissue volumes, which
uses overlap ratio, gives an average similarity of 74.54%, consistent with values
seen in MRI brain segmentations. Thus, our proposed method exhibits great
potential as an automated approach to segment 3D whole breast ultrasound volumes
into functionally distinct tissues that may help to correct ultrasound speed of
sound aberrations and assist in density based prognosis of breast cancer.
PMID- 26547119
TI - Discussion paper: Conceptual comparison of student and therapeutic engagement.
AB - Nurses as faculty teaching undergraduate students, require a diversity of skills
to ensure that students engage in achieving the desired qualification. While it
is anticipated that students have a degree of motivation to reach this goal,
their varied backgrounds often mean they require additional support to assist
them to engage with the learning process. It is anticipated that the tertiary
institution or learning environment will have strategies to support the student
from a broader perspective, but much of the engagement relies on the skill and
knowledge of the nurse faculty. This discussion paper aims to promote an
understanding of student engagement and argues that using aspects of therapeutic
engagement can support nurse faculty to enhance the students' learning
experience. Key concepts from both student and therapeutic engagement will be
reviewed to provide implications, particularly for novice nurse faculty.
PMID- 26547120
TI - Personality attributes of Iranian people who stutter.
AB - Two recent studies from Australia and Germany have both shown that people who
stutter (PWS) have elevated Neuroticism scores on the widely used NEO Five Factor
Inventory (NEO-FFI) compared to individuals in a control sample. The two studies
showed opposite results, however, for the personality traits Agreeableness and
Conscientiousness. One reason for these opposing findings could be that the two
studies were conducted in different cultural settings. Given that the effect of
different cultural background on the relationship between the NEO-FFI and
stuttering remains an open question, we investigated the NEO-FFI scores from 98
PWS and an age- and sex-matched control-group from a less widely studied cultural
background (Iran). Overall, the present study showed, in line with a study from
Germany, higher Agreeableness, but not Neuroticism, in PWS compared to normally
fluent controls. To get further insights into these findings, we also contrasted
both samples from Iran with a healthy matched sample from Germany. The resulting
cross-cultural differences from these analyses are also discussed in the present
paper. Although the present study is limited by a cross-sectional design, and so
no causal conclusions can be drawn, the authors discuss whether the replicated
elevated Agreeableness scores in PWS might represent a self-defense mechanism to
cope with one's own speech handicap.
PMID- 26547121
TI - Contrast Enhancement Patterns after Irreversible Electroporation: Experimental
Study of CT Perfusion Correlated to Histopathology in Normal Porcine Liver.
AB - PURPOSE: To analyze ablated tissue zones after irreversible electroporation (IRE)
of porcine liver using computed tomography (CT) perfusion imaging with
histopathologic correlation. MATERIALS AND METHODS: Under ultrasound and CT
guidance, 10 IRE ablations were performed percutaneously in three Yorkshire pigs
using a single bipolar electrode. CT perfusion imaging was performed in all pigs
immediately after ablation and on day 2. Pathologic sections were prepared for
correlation with histopathology (hematoxylin-eosin and terminal deoxynucleotidyl
transferase dUTP nick end labeling stains, 5-mm-thick slices). The short diameter
of different enhancing zones on CT was correlated with the gross specimen.
RESULTS: CT perfusion images showed three differently enhancing zones: zone 1,
inner nonenhancing zone; zone 2, middle well-defined progressive internal
enhancement zone; and zone 3, outer ill-defined arterial enhancement zone with
rapid washout. On histopathology, zone 1 showed a strong correlation with a pale
zone, and zone 2 correlated with a red zone, together accounting for the extent
of cell death. Zone 3 was outside of the ablation zone and contained inflammatory
cells. Each enhancing zone had different perfusion parameters. CONCLUSIONS: CT
perfusion imaging in the acute setting effectively demonstrates histopathologic
tissue zones after IRE ablation. Zone 2 is unique to IRE not seen in thermal
ablation, characterized by progressive intra-zonal enhancement, and its outer
boundary defines the extent of cell death.
PMID- 26547122
TI - Complication and Readmission Rates following Same-Day Discharge after
Percutaneous Renal Tumor Ablation.
AB - PURPOSE: To evaluate readmission rate and complications in patients undergoing
same-day discharge following percutaneous thermal ablation of renal tumors.
MATERIALS AND METHODS: Patients undergoing same-day discharge following thermal
ablation of renal tumors were reviewed. The primary outcome was the rate of
readmission within 30 days of same-day discharge. The secondary outcomes included
the rate and clinical outcomes of periprocedural complications. RESULTS: Same-day
discharge occurred in 166/174 patients (95%), of whom 2/166 (1%) required short
term readmission due to pulmonary embolism and acute-on-chronic kidney injury.
Both patients recovered without permanent morbidity. Admission due to
complications occurred in 8/174 (5%) cases, the majority of which were related to
hemorrhage. No significant differences in rates of complications or admission
were found between cryoablation and RF ablation. Major complications (Clavien
Dindo grade II or higher, SIR grade C or higher) occurred in 7/174 (4%) cases,
the majority related to hemorrhage. All cases were detected in the standard 4
hour postprocedural observation period and managed conservatively. The mean
hemorrhage volume was significantly larger in patients requiring admission versus
those discharged the same day (289 mL vs 34 mL; P = .02). Higher-volume
hemorrhage occurred in larger tumors (mean, 4.0 cm vs 3.0 cm; P = .04). There was
no association between major complications and central tumor or age. CONCLUSIONS:
Routine same-day discharge following percutaneous renal tumor thermal ablation
can be performed with a low rate of short-term readmission. The majority of
periprocedural complications can be managed conservatively, and patients can be
discharged the same day.
PMID- 26547123
TI - Simultaneous multi-slice readout-segmented echo planar imaging for accelerated
diffusion-weighted imaging of the breast.
AB - OBJECTIVES: Readout-segmented echo planar imaging (rs-EPI) significantly reduces
susceptibility artifacts in diffusion-weighted imaging (DWI) of the breast
compared to single-shot EPI but is limited by longer scan times. To compensate
for this, we tested a new simultaneous multi-slice (SMS) acquisition for
accelerated rs-EPI. MATERIALS AND METHODS: After approval by the local ethics
committee, eight healthy female volunteers (age, 38.9 +/- 13.1 years) underwent
breast MRI at 3T. Conventional as well as two-fold (2* SMS) and three-fold (3*
SMS) slice-accelerated rs-EPI sequences were acquired at b-values of 50 and 800
s/mm(2). Two independent readers analyzed the apparent diffusion coefficient
(ADC) in fibroglandular breast parenchyma. The signal-to-noise ratio (SNR) was
estimated based on the subtraction method. ADC and SNR were compared between
sequences by using the Friedman test. RESULTS: The acquisition time was 4:21 min
for conventional rs-EPI, 2:35 min for 2* SMS rs-EPI and 1:44 min for 3* SMS rs
EPI. ADC values were similar in all sequences (mean values 1.62 * 10(-3)mm(2)/s,
p=0.99). Mean SNR was 27.7-29.6, and no significant differences were found among
the sequences (p=0.83). CONCLUSION: SMS rs-EPI yields similar ADC values and SNR
compared to conventional rs-EPI at markedly reduced scan time. Thus, SMS
excitation increases the clinical applicability of rs-EPI for DWI of the breast.
PMID- 26547124
TI - Can physiologic menstrual cycle change serum lamotrigine concentration?
AB - PURPOSE: The present study aimed to compare the serum LTG levels during the early
/mid-follicular (low estradiol) and mid-luteal (high estradiol) phases of the
physiologic menstrual cycle. METHOD: In a cross-sectional study, 20 women with
epilepsy were recruited. Participants had been on monotherapy with LTG for at
least two months. All the subjects had normal menstrual cycles. Blood samples for
each patient were taken whilst fasting during the early-/mid-follicular (Days 3
5) and mid-luteal phases (Days 20-24). All samples were analyzed in batched
assays. A comparison of the serum LTG levels was carried out using the Mann
Whitney U test Data were analyzed with the SPSS program, version 16 (SPSS Inc.,
Chicago, IL), p-values below 0.05 were considered significant. RESULTS: The mean
serum LTG levels for the early-/mid-follicular and mid-luteal phases were 4.28+/
2.76 mg/ml (SD) and 3.86+/-2.06 mg/ml (SD), respectively. There was no
statistically significant difference in serum LTG level between the (low
estradiol) early-/mid-follicular and (high estradiol) mid-luteal phases in our
patients (p-value=0.23). CONCLUSION: The serum level of LTG does not alter
significantly during the menstrual cycle.
PMID- 26547125
TI - Lymphoepithelial carcinoma of the major salivary glands: Predictors of survival
in a non-endemic region.
AB - INTRODUCTION: Lymphoepithelial carcinoma (LEC) of the salivary glands is
extremely rare worldwide, with studies limited to small case reports and case
series from endemic areas (Southern China, Arctic Inuits) and strong association
to Epstein Barr Virus (EBV). Studies on non-endemic regions are even more limited
given the reality of only sporadic cases in these areas. Using the National
Cancer Database (NCDB), we present the largest study on salivary LEC from a non
endemic region, the United States. METHODS: A retrospective review of the NCDB
from 1998-2012 for LEC of the major salivary glands was performed. Demographic
and clinical variables were extracted for analysis. Multivariate COX regression
was used to assess predictors of survival. RESULTS: Two hundred and thirty-eight
cases were identified (0.66% of all salivary cancers). Median age at diagnosis
was 62 with peak incidence in ages 50-70. Most patients were Caucasian (81.2%),
without gender preference. Regional metastasis was common (45.1%) and did not
significantly impact survival. Distant metastasis was rare (2%). Overall survival
(OS) at 5- and 10 years was 77% and 56%. Surgery and radiotherapy significantly
showed better survival outcomes than surgery alone (p<0.001). Age >62, advanced
stage, and dual modality therapy were significant predictors of survival in
multivariate analysis. CONCLUSION: Lymphoepithelial carcinoma in the US mostly
affects an older, Caucasian demographic. Regional metastasis is common and
survival is fair at 5- and 10 years. Surgery and radiation are recommended for
early and advanced disease stages. Age, stage, and therapy are significant
predictors of survival outcomes.
PMID- 26547126
TI - Comparison of 18F-FDG PET/CT, MRI and SPECT in the diagnosis of local
residual/recurrent nasopharyngeal carcinoma: A meta-analysis.
AB - The objective of this study was to assess the overall diagnostic value of MRI,
SPECT and 18F-FDG PET/CT in detecting local NPC residual/recurrence with a meta
analysis. We performed a systematic review with meta-analyses to compare the
diagnostic performance of nuclear magnetic resonance Imaging (MRI), single photon
emission computed tomography (SPECT) and 18-fluoro-2-deoxyglucose positron
emission tomography (18F-FDG PET/CT) as imaging modalities for the detection of
local residual or recurrent nasopharyngeal carcinoma (NPC). MEDLINE, EMBASE and
publisher databases were searched in December 2014. Methodological quality was
assessed using the Quality Assessment of Diagnostic Accuracy Studies (QUADAS)
tool. Pooled estimation and subgroup analysis data were obtained by statistical
analysis. Seventeen studies were included in the meta-analysis. The pooled
sensitivity estimates for 18F-FDGPET/CT (90%) and SPECT (85%) were not
significantly higher than MRI (77%) (p=0.096 and 0.164, respectively). The pooled
specificity estimates for 18F-FDGPET/CT (93%) and SPECT (81%) were significantly
higher than MRI (76%) (p=0.033 and 0.042, respectively). The pooled DOR
(Diagnostic odds ratio) estimates for 18F-FDGPET/CT (73.27) were significantly
higher than MRI (12.09) (p=0.019) while the pooled DOR estimates for SPECT
(78.69) were not significantly higher than MRI (12.09) (p=0.872). For 18F
FDGPET/CT, there were no significant differences between PET-CT and PET on all of
the variables including sensitivity, specificity, PLR (Positive likelihood
ratio), NLR (Negative likelihood ratio) and DOR (P>0.05). For SPECT, there were
no significant differences between 201TI-SPECT and MIBI-SPECT on all of the
variables including sensitivity, specificity, PLR, NLR and DOR (P>0.05). Both 18F
FDGPET/CT and SPECT are very accurate for the detection of local residual or
recurrent NPC, they are superior to MRI in distinguishing recurrent NPC from
fibrosis or scar tissue after RT in irradiated fields with distortion of normal
architecture. For 18F-FDGPET/CT, the diagnostic accuracy PET/CT was not
significantly different than that of PET alone. For SPECT, 201TI-SPECT and MIBI
SPECT have the same diagnostic accuracy.
PMID- 26547127
TI - Electronic cigarettes induce DNA strand breaks and cell death independently of
nicotine in cell lines.
AB - OBJECTIVES: Evaluate the cytotoxicity and genotoxicity of short- and long-term e
cigarette vapor exposure on a panel of normal epithelial and head and neck
squamous cell carcinoma (HNSCC) cell lines. MATERIALS AND METHODS: HaCaT,
UMSCC10B, and HN30 were treated with nicotine-containing and nicotine-free vapor
extract from two popular e-cigarette brands for periods ranging from 48 h to 8
weeks. Cytotoxicity was assessed using Annexin V flow cytometric analysis, trypan
blue exclusion, and clonogenic assays. Genotoxicity in the form of DNA strand
breaks was quantified using the neutral comet assay and gamma-H2AX
immunostaining. RESULTS: E-cigarette-exposed cells showed significantly reduced
cell viability and clonogenic survival, along with increased rates of apoptosis
and necrosis, regardless of e-cigarette vapor nicotine content. They also
exhibited significantly increased comet tail length and accumulation of gamma
H2AX foci, demonstrating increased DNA strand breaks. CONCLUSION: E-cigarette
vapor, both with and without nicotine, is cytotoxic to epithelial cell lines and
is a DNA strand break-inducing agent. Further assessment of the potential
carcinogenic effects of e-cigarette vapor is urgently needed.
PMID- 26547128
TI - High hemoglobin A1c levels within the non-diabetic range are associated with the
risk of all cancers.
AB - Previous studies have reported associations between diabetes and cancer risk.
However, specific association of hemoglobin A1c (HbA1c) levels with cancer risk
remains inconclusive. We followed 29,629 individuals (11,336 men; 18,293 women)
aged 46-80 years who participated in the Japan Public Health Center-based
prospective study who had HbA1c measurements available and were cancer-free at
baseline. Cancer incidence was assessed by systemic surveys. We estimated hazard
ratios (HRs) for cancer risk with adjustment for age sex, geographic area, body
mass index, smoking status, physical activity, alcohol, coffee, vegetable and
total energy consumption, and history of cardiovascular disease. After a median
follow-up of 8.5 years, 1,955 individuals had developed cancer. Higher HbA1c
levels within both the non-diabetic and diabetic ranges in individuals without
known diabetes were associated with overall cancer risk. Compared with
individuals without known diabetes and HbA1c levels of 5.0-5.4%, the HRs for all
cancers were 1.27 (95% confidence interval, 1.07-1.52); 1.01 (0.90-1.14); 1.28
(1.09-1.49); and 1.43 (1.14-1.80) for individuals without known diabetes and
HbA1c levels <5.0%, 5.5-5.9%, 6.0-6.4%, and >=6.5%, respectively, and 1.23 (1.02
1.47) for individuals with known diabetes. The lowest HbA1c group had the highest
risk of liver cancer, and HbA1c levels were linearly associated with the risk of
all cancers after excluding liver cancer (P for linear trend, 0.004). In
conclusion, our findings corroborate the notion that glycemic control in
individuals with high HbA1c levels may be important not only to prevent diabetes
but also to prevent cancer.
PMID- 26547129
TI - Association of Vitamin E Intake with Reduced Risk of Kidney Cancer: A Meta
Analysis of Observational Studies.
AB - BACKGROUND: Several observational studies suggested that vitamin E intake is
related to the risk of kidney cancer; however, the results of published studies
are inconsistent. MATERIAL AND METHODS: A meta-analysis was performed to assess
the relationship between vitamin E intake and the risk of kidney cancer by
searching PubMed and Medline through August 2015. We computed pooled relative
risks (RR) and 95%CI of kidney cancer for the highest versus lowest level of
vitamin E intake. RESULTS: A total of 13 observational studies (7 case-control
and 6 cohort) were included. The pooled RR (95%CI) of kidney cancer for the
highest vs. the lowest level of vitamin E intake was 0.81 (0.69-0.94). In
subgroup-analysis, this study found an inverse relationship between vitamin E
intake and kidney cancer risk, which was not significantly modified by study
design, study population, or sex distribution except in the cohort studies.
CONCLUSIONS: Results of the present study suggest an inverse relationship between
vitamin E intake and kidney cancer risk. However, additional well designed cohort
studies and randomized controlled trials that focus on the relationship between
vitamin E intake and kidney cancer risk are needed.
PMID- 26547130
TI - First glimpses of the neurobiology of autism spectrum disorder.
AB - Rapid progress in identifying the genes underlying autism spectrum disorder (ASD)
has provided the substrate for a first wave of analyses into the underlying
neurobiology. This review describes the consensus across these diverse analyses,
highlighting two distinct sets of genes: 1) Genes that regulate chromatin and
transcription, especially in cortical projection neurons and striatal medium
spiny neurons during mid-fetal development; and 2) Genes involved in synapse
development and function, especially during infancy and early childhood, and
differentially expressed in the post mortem ASD brain. Both gene sets are also
regulatory targets of the ASD genes CHD8 and FMRP. It remains to be seen whether
these represent two independent paths to the ASD phenotype or two components of a
common path.
PMID- 26547131
TI - Alteration of SLP2-like immunolabeling in mitochondria signifies early cellular
damage in developing and adult mouse brain.
AB - Mitochondria play a critical role in various pathways of regulated cell death.
Here we propose a novel method for detection of initial derangement of
mitochondria in degenerating and dying neuronal cells. The method is based on our
recent finding that antibodies directed against the cannabinoid type 1 receptor
(CB1) also bind the mitochondrial stomatin-like protein 2 (SLP2) that belongs to
an inner mitochondrial membrane protein complex. It is well established that SLP2
regulates mitochondrial biogenesis and respiratory functions. We now show that
anti-CB1 antibodies recognize conformational epitopes but not the linear amino
acid sequence of SLP2. In addition we found that anti-CB1 serum mostly labels
swollen mitochondria with early or advanced stages of pathology in mouse brain
while other proteins of the complex may mask epitopes of SLP2 in the normal
mitochondria. Although neurons and endothelial cells in healthy brains contain
occasional immunopositive mitochondria detectable with anti-CB1 serum, their
numbers increase significantly after hypoxic insults in parallel with signs of
cellular damage. Moreover, use of electron microscopy suggests relocation of SLP2
from its normal functional position in the inner mitochondrial membrane into the
mitochondrial matrix in pathological cells. Thus, SLP2-like immunolabeling serves
as an in situ histochemical target detecting early derangement of mitochondria.
Anti-CB1 serum is crucial for this purpose because available anti-SLP2 antibodies
do not provide selective labeling of mitochondria in the fixed tissue. This new
method of detecting mitochondrial dysfunction can benefit the in vitro research
of human diseases and developmental disorders by enabling analysis in live animal
models.
PMID- 26547132
TI - The use of combinations of monoclonal antibodies in clinical oncology.
AB - Treatment with monoclonal antibodies is becoming increasingly important in
clinical oncology. These antibodies specifically inhibit signaling pathways in
tumor growth and/or induce immunological responses against tumor cells. By
combining monoclonal antibodies several pathways may be targeted simultaneously,
potentially leading to additive or synergistic effects. Theoretically, antibodies
are very suitable for use in combination therapy, because of limited overlapping
toxicity and lack of pharmacokinetic interactions. In this article an overview is
given of preclinical and clinical data on twenty-five different combinations of
antibodies in oncology. Some of these combinations have proven clinical benefit,
for example the combination of trastuzumab and pertuzumab in HER2-positive breast
cancer, which exemplifies an additive or synergistic effect on antitumor activity
in clinical studies and the combination of nivolumab and ipilimumab, which
results in significant increases in progression-free and overall survival in
patients with advanced melanoma. However, other combinations may lead to
unfavorable results, such as bevacizumab with cetuximab or panitumumab in
advanced colorectal cancer. These combinations result in shorter progression-free
survival and increased toxicity compared to therapy with a single antibody. In
summary, the different published studies showed widely varying results, depending
on the combination of antibodies, indication and patient population. More
preclinical and clinical studies are necessary to unravel the mechanisms behind
synergistic or antagonistic effects of combining monoclonal antibodies. Most
research on combination therapies is still in an early stage, but it is expected
that for several tumor types the use of combination therapy of antibodies will
become standard of care in the near future.
PMID- 26547133
TI - From HPV-positive towards HPV-driven oropharyngeal squamous cell carcinomas.
AB - The incidence of HPV-positive oropharyngeal squamous cell carcinoma (OPSCC),
which is both biologically and clinically distinct from tobacco- and alcohol
related OPSCC, is dramatically increasing. The finding that individuals with HPV
positive local/regionally advanced OPSCC have a significantly better prognosis
than their negative counterparts have led to efforts to de-escalate treatment in
those patients to avoid serious side effects and to improve their long-term
quality of life, while maintaining treatment efficacy. Identifying diagnostic
tests that are able to distinguish cancers etiologically associated with HPV is
thus becoming a pressing challenge for researchers. The purpose of this review is
to provide an overview of the diagnostic tools presently available to evaluate
HPV status in patients with OPSCC and, in particular, to discuss their strengths
and weaknesses in identifying those infections that are the real driving force in
the oropharyngeal carcinogenesis process.
PMID- 26547134
TI - Social attention in ASD: A review and meta-analysis of eye-tracking studies.
AB - Determining whether social attention is reduced in Autism Spectrum Disorder (ASD)
and what factors influence social attention is important to our theoretical
understanding of developmental trajectories of ASD and to designing targeted
interventions for ASD. This meta-analysis examines data from 38 articles that
used eye-tracking methods to compare individuals with ASD and TD controls. In
this paper, the impact of eight factors on the size of the effect for the
difference in social attention between these two groups are evaluated: age, non
verbal IQ matching, verbal IQ matching, motion, social content, ecological
validity, audio input and attention bids. Results show that individuals with ASD
spend less time attending to social stimuli than typically developing (TD)
controls, with a mean effect size of 0.55. Social attention in ASD was most
impacted when stimuli had a high social content (showed more than one person).
This meta-analysis provides an opportunity to survey the eye-tracking research on
social attention in ASD and to outline potential future research directions, more
specifically research of social attention in the context of stimuli with high
social content.
PMID- 26547135
TI - Zolpidem test and catatonia.
AB - WHAT IS KNOWN AND OBJECTIVE: There is no consensus regarding treatment of
catatonia and the main recent therapeutic progress has been the development of
the zolpidem diagnostic and therapeutic test. We report on the use of this test
in one of our patients. CASES SUMMARY: Mr. S. suffered from a paranoid
schizophrenia. Three episodes of catatonia are described to illustrate the effect
of zolpidem in a patient for whom lorazepam was ineffective or inadequate. WHAT
IS NEW AND CONCLUSION: Zolpidem with appropriate testing appears to be a credible
alternative to electroconvulsive therapy or increased lorazepam dosing and allows
continuation of antipsychotic administration.
PMID- 26547136
TI - Advanced small bowel adenocarcinoma: Molecular characteristics and therapeutic
perspectives.
AB - Small bowel cancer represents less than 5% of all gastrointestinal cancers, while
small bowel adenocarcinoma (SBA) accounts for about one third of all cancers of
the small bowel. Although SBA frequently appears sporadically, some diseases are
risk factors, such as Crohn's disease and some genetic predispositions to cancer.
Progress in the identification of molecular alterations suggests some
similarities in carcinogenesis between SBA and colorectal cancer. Evidence levels
for the treatment and prognosis of these tumors are insufficient because of the
scarcity of this disease and the absence of randomized trials. Chemotherapy based
on fluoropyrimidine plus a platinum salt appears to be the most effective
treatment regimen in non-randomized prospective trials for advanced SBA. Targeted
therapy, against the angiogenic pathway or the epidermal growth factor receptor
(EGFR) pathway, for example, is not yet established, but seems promising given
the over-expression of vascular epithelial growth factor (VEGF)-A or EGFR
observed in SBA. Phase I and II studies are currently evaluating the safety and
efficacy of these targeted therapies in SBA treatment. The low incidence of SBA
should promote the development of international collaborations to improve our
knowledge of the biological mechanisms underlying these tumors and to set up
therapeutic trials.
PMID- 26547137
TI - Celiac crisis in a multi-trauma adult patient.
PMID- 26547138
TI - Mindray BC-6800 body fluid mode, performance of nucleated cells, and differential
count in ascitic and pleural fluids.
AB - INTRODUCTION: An accurate and rapid analysis of cells in body fluids (BFs) is
important for diagnosis and follow-up in many pathological conditions. We
evaluated the analytical performance of the module BF Mindray BC-6800 (BC-6800
BF) for cytometric analysis of ascitic and pleural fluids. METHODS: A total of 99
ascitic and 45 pleural samples were collected and assessed with BC-6800-BF and
optical microscopy. This study also includes the evaluation of limit blank (LoB),
limit detection (LoD), limit quantitation, (LoQ), carryover, linearity, and
diagnostic concordance between the two methods. RESULTS: For TC-BF, LoB was 1 *
10(6) cells/L, LoD was 3 * 10(6) cells/L, and LoQ was 4 * 10(6) cells/L.
Linearity was excellent (r(2) = 0.99) and carryover was negligible. TC-BF
performed with the two methods showed Pearson's correlation of 0.99 (P < 0.0001),
Passing-Bablok regression y = 1.04x - 1.17, and bias 33.7 cells. In ascitic
fluids, polymorphonuclear cells (PMN) showed an area under curve (AUC) of 0.98 (P
< 0.0001). In pleural fluids, mononuclear cells (MN) and PMN % displayed an AUC
of 0.79 (P < 0.0001) and 0.93 (P < 0.0001), respectively. CONCLUSIONS: BC-6800-BF
in ascitic and pleural fluids offers rapid and accurate cell and differential
counts in clinically relevant concentration ranges. The use of BC-6800-BF may
allow to replace routine optical counting, except for samples displaying abnormal
cell counts or abnormal DIFF scattergram.
PMID- 26547139
TI - Locating care at the end of life: burden, vulnerability, and the practical
accomplishment of dying.
AB - Home is frequently idealised as the preferred location for end-of-life care,
while in-patient hospital care is viewed with suspicion and fear. Yet many people
with a terminal illness spend their final days in some form of medicalised
institutional setting, such as a specialist palliative care in-patient unit.
Drawing on semi-structured interviews with in-patients at a specialist palliative
care unit, we focus on their difficulties in finding a better place of care at
the end of their life. We found that participants came to conceptualise home
though a sense of bodily vulnerabilities and that they frequently understood
institutional care to be more about protecting their family from the social,
emotional and relational burdens of dying. For a significant number of
participants the experience of dying came to be understood through what could be
practically accomplished in different locales. The different locales were
therefore framed around providing the best care for the patient and their family.
PMID- 26547140
TI - Effects of periodontal treatment on inflammation and oxidative stress markers in
patients with metabolic syndrome.
AB - BACKGROUND AND OBJECTIVE: Metabolic syndrome (MetS) is a combination of risk
factors (e.g. impaired glucose tolerance, hypertension, and dyslipidaemia) that
significantly contribute to the development of cardiovascular diseases. The aim
of the study was to compare the effects of nonsurgical periodontal treatment
(NSPT) on inflammatory and oxidative stress markers in individuals with MetS and
systemically healthy (SH) who were chronic periodontitis (CP). MATERIAL AND
METHODS: A total of 50 patients with chronic periodontitis (25 with MetS and 25
SH) were included. Clinical periodontal measurements were recorded, and serum and
whole-saliva samples were collected from all patients at baseline, and 3 and 6 mo
following NSPT. The levels of fasting plasma glucose, glycated haemoglobin
(HbA1c), triglyceride (TRG), total cholesterol, high-density lipoprotein
cholesterol and low-density lipoprotein cholesterol were analysed. The levels of
high-sensitivity C-reactive protein (hs-CRP), interleukin (IL)-6 and IL-10 were
determined using ELISA kits, and total oxidant status (TOS), total antioxidant
capacity (TAC) and oxidative stress index (OSI) levels were measured. RESULTS:
After NSPT, significant and similar improvements of all periodontal parameters
were observed in both groups compared with baseline measurements. There were
decreases in the levels of serum hs-CRP and IL-6, whereas increases in serum IL
10 were found in both groups, at all time points. Serum TOS and OSI showed no
significant change in either group at any time point. Compared with the SH group,
serum TAC levels were higher in the MetS group at baseline but lower at the 3-mo
time-point. There was no difference in TAC levels between the groups at 6 mo.
Saliva IL-6 was higher in the MetS group than the SH group at all time points.
The levels of IL-6 and OSI in saliva decreased following NSPT in both groups,
whereas salivary TAC concentrations increased. In the MetS group, TRG and HbA1c
levels decreased significantly at 3 mo. CONCLUSION: NSPT decreased oxidative
stress and the inflammatory status of patients with MetS and chronic
periodontitis. Although similar periodontal improvements were achieved in both
groups, the decreases in levels of hs-CRP and IL-6 in the MetS group did not
reach the levels in the SH group. Based on these results, NSPT could be more
effective in the control of systemic inflammation in patients with MetS in the
short-term.
PMID- 26547141
TI - Daily, seasonal, and latitudinal variations in solar ultraviolet A and B
radiation in relation to vitamin D production and risk for skin cancer.
AB - BACKGROUND: Solar ultraviolet (UV) radiation varies with latitude, time of day,
and season. Both spectral UV composition and ambient UV dose lead to different
health outcomes at different latitudes. Finding the optimal time for sun
exposure, whereby the positive effects of UV exposure (vitamin D) are facilitated
and the negative effects (skin cancer, photoimmunosuppression) avoided are the
most important consideration in modern skin cancer prevention programs.
OBJECTIVES: This paper focuses on the latitude dependency of UVB, UVA, vitamin D
production, and skin cancer risk in Caucasians. METHODS: Biologically effective
UVB (280-315 nm) and UVA (315-400 nm) doses were calculated using radiative
transfer models with appropriate climatologic data for selected locations.
Incidences of squamous cell carcinoma (SCC) and cutaneous melanoma (CM) were
retrieved from cancer registries and published articles. RESULTS: Annual doses of
UVA radiation decrease much less with increasing latitude than annual doses of
UVB. Incidences of CM also decrease less steeply with increasing latitude than
incidences of SCC. As SCC is caused mainly by UVB, these observations support the
assumption that UVA plays an important role in the development of CM. The
variations in UVA (relevant to CM) and UVB (relevant to vitamin D production)
over 1 day differ: the UVB : UVA ratio is maximal at noon. CONCLUSIONS: The best
way to obtain a given dose of vitamin D with minimal carcinogenic risk is through
a non-burning exposure in the middle of the day, rather than in the afternoon or
morning.
PMID- 26547143
TI - Divergence in DNA photorepair efficiency among genotypes from contrasting UV
radiation environments in nature.
AB - Populations of organisms routinely face abiotic selection pressures, and a
central goal of evolutionary biology is to understand the mechanistic
underpinnings of adaptive phenotypes. Ultraviolet radiation (UVR) is one of
earth's most pervasive environmental stressors, potentially damaging DNA in any
organism exposed to solar radiation. We explored mechanisms underlying
differential survival following UVR exposure in genotypes of the water flea
Daphnia melanica derived from natural ponds of differing UVR intensity. The UVR
tolerance of a D. melanica genotype from a high-UVR habitat depended on the
presence of visible and UV-A light wavelengths necessary for photoenzymatic
repair of DNA damage, a repair pathway widely shared across the tree of life. We
then measured the acquisition and repair of cyclobutane pyrimidine dimers, the
primary form of UVR-caused DNA damage, in D. melanica DNA following experimental
UVR exposure. We demonstrate that genotypes from high-UVR habitats repair DNA
damage faster than genotypes from low-UVR habitats in the presence of visible and
UV-A radiation necessary for photoenzymatic repair, but not in dark treatments.
Because differences in repair rate only occurred in the presence of visible and
UV-A radiation, we conclude that differing rates of DNA repair, and therefore
differential UVR tolerance, are a consequence of variation in photoenzymatic
repair efficiency. We then rule out a simple gene expression hypothesis for the
molecular basis of differing repair efficiency, as expression of the CPD
photolyase gene photorepair did not differ among D. melanica lineages, in both
the presence and absence of UVR.
PMID- 26547142
TI - Hearing in older adults with exfoliation syndrome/exfoliation glaucoma or primary
open-angle glaucoma.
AB - PURPOSE: To determine whether adults, aged 66-96 years, with exfoliation syndrome
(XFS)/exfoliation glaucoma (XFG), or primary open-angle glaucoma (POAG) have
poorer hearing than controls of similar age. METHODS: Case (XFS/XFG and POAG) and
control status was diagnosed in the Reykjavik Glaucoma Studies (RGS) using slit
lamp examination, visual field testing and optic disc photographs; the RGS data
were merged with the Age, Gene/Environment Susceptibility-Reykjavik Study that
collected hearing data using air-conduction, pure-tone thresholds obtained at
0.5, 1, 2, 3, 4, 6 and 8 kHz categorized by better ear and worse ear, based on
pure-tone averages (PTAs) calculated separately for low and middle frequencies
(PTA512 - mean of thresholds at 0.5, 1 and 2 kHz) and high frequencies (PTA3468 -
mean of thresholds at 3, 4, 6 and 8 kHz). Multivariable linear regression was
used to test for differences in PTAs between cases and controls. RESULTS: The
mean age for 158 XFS/XFG cases (30.4% male) was 77.4 years, 95 POAG cases (35.8%
male) was 77.9 years, and 123 controls (46.3% male) was 76.8 years. Using
multivariable linear regression analysis, there were no consistent, statistically
significant differences in PTAs between the two case groups and controls in
either the low- or high-frequency range, even when stratified by age group.
CONCLUSION: Among the older individuals examined in this study hearing loss is
highly prevalent and strongly associated with male gender and increasing age. As
we did not find consistent statistically significant difference in hearing
between cases and controls the diagnosis of XFS/XFG or POAG does not as such
routinely call for audiological evaluation.
PMID- 26547145
TI - Building psychosocial assets and wellbeing among adolescent girls: A randomized
controlled trial.
AB - We conducted a randomized controlled trial of a 5-month resilience-based program
(Girls First Resilience Curriculum or RC) among 2308 rural adolescent girls at 57
government schools in Bihar, India. Local women with at least a 10th grade
education served as group facilitators. Girls receiving RC improved more (vs.
controls) on emotional resilience, self-efficacy, social-emotional assets,
psychological wellbeing, and social wellbeing. Effects were not detected on
depression. There was a small, statistically significant negative effect on
anxiety (though not likely clinically significant). Results suggest psychosocial
assets and wellbeing can be improved for girls in high-poverty, rural schools
through a brief school-day program. To our knowledge, this is one of the largest
developing country trials of a resilience-based school-day curriculum for
adolescents.
PMID- 26547146
TI - Intergenerational transmission of ethnic identity and life satisfaction of Roma
minority adolescents and their parents.
AB - This study investigates intergeneration transmission of ethnic identity as a
resource for life satisfaction of Roma adolescents and their parents.
Historically, Roma represent the largest ethnic minority in Europe. They have
been exposed to severe discrimination, social exclusion, and poverty. Therefore,
identifying resources for their life satisfaction is theoretically and
practically important. The present study included 1093 participants, of which
there were 171 Roma adolescents (age: M = 14.96 years, SD = 1.85), 155 mothers
(age: M = 36.16 years, SD = 5.77) and 123 fathers (age: M = 39.68 years, SD =
6.06). Further, a comparison group of 248 mainstream adolescents with their
mothers (n = 221) and fathers (n = 175) was also included in the study.
Adolescents and their parents provided data on ethnic identity (MEIM; Phinney,
1992) and life satisfaction (SWLS; Diener, Emmons, Larsen, & Griffin, 1985).
Results indicated that Roma youth were lower on endorsement of ethnic identity
and average on life satisfaction compared to their mainstream peers. A structural
equation model showed that ethnic identity was a positive predictor of life
satisfaction for both adolescents and their Roma parents. Furthermore, parents'
ethnic identity was a predictor of adolescent life satisfaction. We concluded
that for Roma youth and their parents, ethnic identity represents a salient
source for life satisfaction and an intergenerational continuity of identity and
life satisfaction exists.
PMID- 26547144
TI - The Pillars of Hercules as a bathymetric barrier to gene flow promoting isolation
in a global deep-sea shark (Centroscymnus coelolepis).
AB - Knowledge of the mechanisms limiting connectivity and gene flow in deep-sea
ecosystems is scarce, especially for deep-sea sharks. The Portuguese dogfish
(Centroscymnus coelolepis) is a globally distributed and near threatened deep-sea
shark. C. coelolepis population structure was studied using 11 nuclear
microsatellite markers and a 497-bp fragment from the mtDNA control region. High
levels of genetic homogeneity across the Atlantic (Phi(ST) = -0.0091, F(ST) =
0.0024, P > 0.05) were found suggesting one large population unit at this basin.
The low levels of genetic divergence between Atlantic and Australia (Phi(ST) =
0.0744, P < 0.01; F(ST) = 0.0015, P > 0.05) further suggested that this species
may be able to maintain some degree of genetic connectivity even across ocean
basins. In contrast, sharks from the Mediterranean Sea exhibited marked genetic
differentiation from all other localities studied (Phi(ST) = 0.3808, F(ST) =
0.1149, P < 0.001). This finding suggests that the shallow depth of the Strait of
Gibraltar acts as a barrier to dispersal and that isolation and genetic drift may
have had an important role shaping the Mediterranean shark population over time.
Analyses of life history traits allowed the direct comparison among regions
providing a complete characterization of this shark's populations. Sharks from
the Mediterranean had markedly smaller adult body size and size at maturity
compared to Atlantic and Pacific individuals. Together, these results suggest the
existence of an isolated and unique population of C. coelolepis inhabiting the
Mediterranean that most likely became separated from the Atlantic in the late
Pleistocene.
PMID- 26547147
TI - Requirement for vitamin D supplementation in patients using photoprotection:
variations in vitamin D levels and bone formation markers.
AB - BACKGROUND: Avoiding sun exposure is obligatory in photodermatoses; however, the
need for oral supplementation with vitamin D appears unrecognized. The aim of the
study was to investigate the seasonal variation of vitamin D level and bone
formation markers in healthy individuals and to compare it with vitamin D status
in patients using photoprotection. METHODS: Thirty-four healthy inhabitants of
the Lodz region, Poland, a country in central Europe (51 degrees and 52 degrees
north latitudes), were examined at the baseline visit within 2 weeks of peak sun
exposure during recreational activity on vacation, after 8, and after 16 weeks.
The group of patients using photoprotection comprised 104 patients with systemic
lupus erythematosus. Serum 25(OH) vitamin D, procollagen type I N-terminal
propeptide (PINP), and osteocalcin levels were measured. RESULTS: The serum 25
hydroxyvitamin D concentration was lower and vitamin D deficiency more common in
patients using photoprotection than in healthy individuals during the warm and
the cold season (P < 0.05). In healthy individuals, vitamin D deficiency was more
prevalent after 8 and 16 weeks than at baseline assessment (P < 0.001). PINP
level was 39.56 (30.51-53.22) ng/ml, and elevated in 50% of individuals, whereas
osteocalcin was 18.88 (13.52-21.33) ng/ml, and within reference range.
CONCLUSIONS: Diagnoses of vitamin D deficiency and oral supplementation in
patients using photoprotection need to be included in practice. Peak 25
hydroxyvitamin D levels are probably achieved from vitamin D skin synthesis
during the summertime and fall over time, starting from August. Elevated levels
of PINP appear in line with the process of bone remodeling related to age.
PMID- 26547148
TI - Perspective: Watching low-frequency vibrations of water in biomolecular
recognition by THz spectroscopy.
AB - Terahertz (THz) spectroscopy has turned out to be a powerful tool which is able
to shed new light on the role of water in biomolecular processes. The low
frequency spectrum of the solvated biomolecule in combination with MD simulations
provides deep insights into the collective hydrogen bond dynamics on the sub-ps
time scale. The absorption spectrum between 1 THz and 10 THz of solvated
biomolecules is sensitive to changes in the fast fluctuations of the water
network. Systematic studies on mutants of antifreeze proteins indicate a direct
correlation between biological activity and a retardation of the (sub)-ps
hydration dynamics at the protein binding site, i.e., a "hydration funnel."
Kinetic THz absorption studies probe the temporal changes of THz absorption
during a biological process, and give access to the kinetics of the coupled
protein-hydration dynamics. When combined with simulations, the observed results
can be explained in terms of a two-tier model involving a local binding and a
long range influence on the hydration bond dynamics of the water around the
binding site that highlights the significance of the changes in the hydration
dynamics at recognition site for biomolecular recognition. Water is shown to
assist molecular recognition processes.
PMID- 26547149
TI - Communication: Exciton analysis in time-dependent density functional theory: How
functionals shape excited-state characters.
AB - Excited-state descriptors based on the one-particle transition density matrix
referring to the exciton picture have been implemented for time-dependent density
functional theory. State characters such as local, extended pipi(*), Rydberg, or
charge transfer can be intuitively classified by simple comparison of these
descriptors. Strong effects of the choice of the exchange-correlation kernel on
the physical nature of excited states can be found and decomposed in detail
leading to a new perspective on functional performance and the design of new
functionals.
PMID- 26547150
TI - Communication: Dynamical and structural analyses of solid hydrogen under vapor
pressure.
AB - Nuclear quantum effects play a dominant role in determining the phase diagram of
H2. With a recently developed quantum molecular dynamics simulation method, we
examine dynamical and structural characters of solid H2 under vapor pressure,
demonstrating the difference from liquid and high-pressure solid H2. While stable
hexagonal close-packed lattice structures are reproduced with reasonable lattice
phonon frequencies, the most stable adjacent configuration exhibits a zigzag
structure, in contrast with the T-shape liquid configuration. The periodic
angular distributions of H2 molecules indicate that molecules are not a
completely free rotor in the vapor-pressure solid reflecting asymmetric
potentials from surrounding molecules on adjacent lattice sites. Discrete jumps
of librational and H-H vibrational frequencies as well as H-H bond length caused
by structural rearrangements under vapor pressure effectively discriminate the
liquid and solid phases. The obtained dynamical and structural information of the
vapor-pressure H2 solid will be useful in monitoring thermodynamic states of
condensed hydrogens.
PMID- 26547151
TI - Communication: Entropic measure to prevent energy over-minimization in molecular
dynamics simulations.
AB - This work examines the impact of energy over-minimization on an ensemble of
biological molecules subjected to the potential energy minimization procedure in
vacuum. In the studied structures, long potential energy minimization stage leads
to an increase of the main- and side-chain entropies in proteins. We show that
such over-minimization may diverge the protein structures from the near-native
attraction basin which possesses a minimum of free energy. We propose a measure
based on the Pareto front of total entropy for quality assessment of minimized
protein conformation. This measure may help in selection of adequate number of
energy minimization steps in protein modelling and, thus, in preservation of the
near-native protein conformation.
PMID- 26547152
TI - Estimation and uncertainty of reversible Markov models.
AB - Reversibility is a key concept in Markov models and master-equation models of
molecular kinetics. The analysis and interpretation of the transition matrix
encoding the kinetic properties of the model rely heavily on the reversibility
property. The estimation of a reversible transition matrix from simulation data
is, therefore, crucial to the successful application of the previously developed
theory. In this work, we discuss methods for the maximum likelihood estimation of
transition matrices from finite simulation data and present a new algorithm for
the estimation if reversibility with respect to a given stationary vector is
desired. We also develop new methods for the Bayesian posterior inference of
reversible transition matrices with and without given stationary vector taking
into account the need for a suitable prior distribution preserving the meta
stable features of the observed process during posterior inference. All
algorithms here are implemented in the PyEMMA software--http://pyemma.org--as of
version 2.0.
PMID- 26547153
TI - Biased diffusion in tubes of alternating diameter: Numerical study over a wide
range of biasing force.
AB - This paper is devoted to particle transport in a tube formed by alternating wide
and narrow sections, in the presence of an external biasing force. The focus is
on the effective transport coefficients--mobility and diffusivity, as functions
of the biasing force and the geometric parameters of the tube. Dependences of the
effective mobility and diffusivity on the tube geometric parameters are known in
the limiting cases of no bias and strong bias. The approximations used to obtain
these results are inapplicable at intermediate values of the biasing force. To
bridge the two limits Brownian dynamics simulations were run to determine the
transport coefficients at intermediate values of the force. The simulations were
performed for a representative set of tube geometries over a wide range of the
biasing force. They revealed that there is a range of the narrow section length,
where the force dependence of the mobility has a maximum. In contrast, the
diffusivity is a monotonically increasing function of the force. A simple formula
is proposed, which reduces to the known dependences of the diffusivity on the
tube geometric parameters in both limits of zero and strong bias. At intermediate
values of the biasing force, the formula catches the diffusivity dependence on
the narrow section length, if the radius of these sections is not too small.
PMID- 26547154
TI - Reducing the cost of evaluating the committor by a fitting procedure.
AB - Correct identification of reaction coordinates in complex systems is essential
for understanding the mechanisms of their reaction dynamics. Existing methods for
identifying reaction coordinates typically require knowledge of the committor-
the probability of a given configuration to reach the product basin. The high
computational cost of evaluating committors has limited applications of methods
for identifying reaction coordinates. We proposed a fitting procedure that can
reduce the cost of evaluating committors by an order of magnitude or more. The
method only requires evaluating the committors of a few configurations in a
transition path by the standard and costly shooting procedure. The committors of
the other configurations are then estimated with great accuracy by a sigmoid
function derived from fitting the few numerically evaluated committors. The
method has been systematically tested on a model system of a Brownian particle
moving in a one-dimensional double-well potential, and a small biomolecular
system--the isomerization of alanine dipeptide in vacuum and in explicit water.
PMID- 26547155
TI - An efficient and stable hybrid extended Lagrangian/self-consistent field scheme
for solving classical mutual induction.
AB - We have adapted a hybrid extended Lagrangian self-consistent field (EL/SCF)
approach, developed for time reversible Born Oppenheimer molecular dynamics for
quantum electronic degrees of freedom, to the problem of classical polarization.
In this context, the initial guess for the mutual induction calculation is
treated by auxiliary induced dipole variables evolved via a time-reversible
velocity Verlet scheme. However, we find numerical instability, which is
manifested as an accumulation in the auxiliary velocity variables, that in turn
results in an unacceptable increase in the number of SCF cycles to meet even
loose convergence tolerances for the real induced dipoles over the course of a 1
ns trajectory of the AMOEBA14 water model. By diagnosing the numerical
instability as a problem of resonances that corrupt the dynamics, we introduce a
simple thermostating scheme, illustrated using Berendsen weak coupling and Nose
Hoover chain thermostats, applied to the auxiliary dipole velocities. We find
that the inertial EL/SCF (iEL/SCF) method provides superior energy conservation
with less stringent convergence thresholds and a correspondingly small number of
SCF cycles, to reproduce all properties of the polarization model in the NVT and
NVE ensembles accurately. Our iEL/SCF approach is a clear improvement over
standard SCF approaches to classical mutual induction calculations and would be
worth investigating for application to ab initio molecular dynamics as well.
PMID- 26547156
TI - Hohenberg-Kohn theorems in electrostatic and uniform magnetostatic fields.
AB - The Hohenberg-Kohn (HK) theorems of bijectivity between the external scalar
potential and the gauge invariant nondegenerate ground state density, and the
consequent Euler variational principle for the density, are proved for arbitrary
electrostatic field and the constraint of fixed electron number. The HK theorems
are generalized for spinless electrons to the added presence of an external
uniform magnetostatic field by introducing the new constraint of fixed canonical
orbital angular momentum. Thereby, a bijective relationship between the external
scalar and vector potentials, and the gauge invariant nondegenerate ground state
density and physical current density, is proved. A corresponding Euler
variational principle in terms of these densities is also developed. These
theorems are further generalized to electrons with spin by imposing the added
constraint of fixed canonical orbital and spin angular momenta. The proofs differ
from the original HK proof and explicitly account for the many-to-one
relationship between the potentials and the nondegenerate ground state wave
function. A Percus-Levy-Lieb constrained-search proof expanding the domain of
validity to N-representable functions, and to degenerate states, again for fixed
electron number and angular momentum, is also provided.
PMID- 26547157
TI - Image effects in transport at metal-molecule interfaces.
AB - We present a method for incorporating image-charge effects into the description
of charge transport through molecular devices. A simple model allows us to
calculate the adjustment of the transport levels, due to the polarization of the
electrodes as charge is added to and removed from the molecule. For this, we use
the charge distributions of the molecule between two metal electrodes in several
charge states, rather than in gas phase, as obtained from a density-functional
theory-based transport code. This enables us to efficiently model level shifts
and gap renormalization caused by image-charge effects, which are essential for
understanding molecular transport experiments. We apply the method to benzene di
amine molecules and compare our results with the standard approach based on gas
phase charges. Finally, we give a detailed account of the application of our
approach to porphyrin-derivative devices recently studied experimentally by
Perrin et al. [Nat. Nanotechnol. 8, 282 (2013)], which demonstrates the
importance of accounting for image-charge effects when modeling transport through
molecular junctions.
PMID- 26547158
TI - Fitting high-dimensional potential energy surface using active subspace and
tensor train (AS+TT) method.
AB - This paper is the first application of the tensor-train (TT) cross approximation
procedure for potential energy surface fitting. In order to reduce the
complexity, we combine the TT-approach with another technique recently introduced
in the field of numerical analysis: an affine transformation of Cartesian
coordinates into the active subspaces where the PES function has the most
variability. The numerical experiments for the water molecule and for the nitrous
acid molecule confirm the efficiency of this approach.
PMID- 26547159
TI - Quantum power functional theory for many-body dynamics.
AB - We construct a one-body variational theory for the time evolution of
nonrelativistic quantum many-body systems. The position- and time-dependent one
body density, particle current, and time derivative of the current act as three
variational fields. The generating (power rate) functional is minimized by the
true current time derivative. The corresponding Euler-Lagrange equation, together
with the continuity equation for the density, forms a closed set of one-body
equations of motion. Space- and time-nonlocal one-body forces are generated by
the superadiabatic contribution to the functional. The theory applies to many
electron systems.
PMID- 26547160
TI - A general method for molecular modeling of nucleation from the melt.
AB - Crystallization is one of the fundamental phase transition processes, and it is
also important practically, for example, in the chemical, food, and
pharmaceutical industries. Despite its importance, however, our basic
understanding of crystallization, and especially crystal nucleation, at the
molecular level is still incomplete. In this work, we present a general molecular
simulation approach that can be used to investigate the nucleation of crystals
from a subcooled liquid. Our method combines a previously proposed general method
to construct structure-based order parameters [E. E. Santiso and B. L. Trout, J.
Chem. Phys. 134, 064109 (2011)] with the string method in collective variables
[L. Maragliano et al., J. Chem. Phys. 125, 024106 (2006)] to obtain a minimum
free energy path connecting the liquid and solid basins. We then use Markovian
milestoning with Voronoi tessellations [E. Vanden-Eijnden and M. Venturoli, J.
Chem. Phys. 130, 194101 (2009); L. Maragliano et al., J. Chem. Theory Comput. 5,
2589-2594 (2009)] to obtain the free energy profile along the path and the
nucleation kinetics. We illustrate the application of this method to the
nucleation of Benzene-I crystals from the melt, and compare the results to those
previously found using transition path sampling [M. Shah et al., J. Phys. Chem. B
115, 10400-10412 (2011)].
PMID- 26547161
TI - Coarse-grained electrostatic interactions of coronene: Towards the crystalline
phase.
AB - In this article, we present and compare two different, coarse-grained approaches
to model electrostatic interactions of disc-shaped aromatic molecules,
specifically coronene. Our study builds on our previous work [T. Heinemann et
al., J. Chem. Phys. 141, 214110 (2014)], where we proposed, based on a systematic
coarse-graining procedure starting from the atomistic level, an anisotropic
effective (Gay-Berne-like) potential capable of describing van der Waals
contributions to the interaction energy. To take into account electrostatics, we
introduce, first, a linear quadrupole moment along the symmetry axis of the
coronene disc. The second approach takes into account the fact that the partial
charges within the molecules are distributed in a ring-like fashion. We then
reparametrize the effective Gay-Berne-like potential such that it matches, at
short distances, the ring-ring potential. To investigate the validity of these
two approaches, we perform many-particle molecular dynamics simulations, focusing
on the crystalline phase (karpatite) where electrostatic interaction effects are
expected to be particularly relevant for the formation of tilted stacked columns.
Specifically, we investigate various structural parameters as well as the melting
transition. We find that the second approach yields consistent results with those
from experiments despite the fact that the underlying potential decays with the
wrong distance dependence at large molecule separations. Our strategy can be
transferred to a broader class of molecules, such as benzene or
hexabenzocoronene.
PMID- 26547162
TI - Treating electrostatics with Wolf summation in combined quantum mechanical and
molecular mechanical simulations.
AB - The Wolf summation approach [D. Wolf et al., J. Chem. Phys. 110, 8254 (1999)], in
the damped shifted force (DSF) formalism [C. J. Fennell and J. D. Gezelter, J.
Chem. Phys. 124, 234104 (2006)], is extended for treating electrostatics in
combined quantum mechanical and molecular mechanical (QM/MM) molecular dynamics
simulations. In this development, we split the QM/MM electrostatic potential
energy function into the conventional Coulomb r(-1) term and a term that contains
the DSF contribution. The former is handled by the standard machinery of cutoff
based QM/MM simulations whereas the latter is incorporated into the QM/MM
interaction Hamiltonian as a Fock matrix correction. We tested the resulting
QM/MM-DSF method for two solution-phase reactions, i.e., the association of
ammonium and chloride ions and a symmetric SN2 reaction in which a methyl group
is exchanged between two chloride ions. The performance of the QM/MM-DSF method
was assessed by comparing the potential of mean force (PMF) profiles with those
from the QM/MM-Ewald and QM/MM-isotropic periodic sum (IPS) methods, both of
which include long-range electrostatics explicitly. For ion association, the
QM/MM-DSF method successfully eliminates the artificial free energy drift
observed in the QM/MM-Cutoff simulations, in a remarkable agreement with the two
long-range-containing methods. For the SN2 reaction, the free energy of
activation obtained by the QM/MM-DSF method agrees well with both the QM/MM-Ewald
and QM/MM-IPS results. The latter, however, requires a greater cutoff distance
than QM/MM-DSF for a proper convergence of the PMF. Avoiding time-consuming
lattice summation, the QM/MM-DSF method yields a 55% reduction in computational
cost compared with the QM/MM-Ewald method. These results suggest that, in
addition to QM/MM-IPS, the QM/MM-DSF method may serve as another efficient and
accurate alternative to QM/MM-Ewald for treating electrostatics in condensed
phase simulations of chemical reactions.
PMID- 26547163
TI - Distributed replica dynamics.
AB - A distributed replica dynamics (DRD) method is proposed to calculate rare-event
molecular dynamics using distributed computational resources. Similar to Voter's
parallel replica dynamics (PRD) method, the dynamics of independent replicas of
the system are calculated on different computational clients. In DRD, each
replica runs molecular dynamics from an initial state for a fixed simulation time
and then reports information about the trajectory back to the server. A
simulation clock on the server accumulates the simulation time of each replica
until one reports a transition to a new state. Subsequent calculations are
initiated from within this new state and the process is repeated to follow the
state-to-state evolution of the system. DRD is designed to work with asynchronous
and distributed computing resources in which the clients may not be able to
communicate with each other. Additionally, clients can be added or removed from
the simulation at any point in the calculation. Even with heterogeneous computing
clients, we prove that the DRD method reproduces the correct probability
distribution of escape times. We also show this correspondence numerically;
molecular dynamics simulations of Al(100) adatom diffusion using PRD and DRD give
consistent exponential distributions of escape times. Finally, we discuss
guidelines for choosing the optimal number of replicas and replica trajectory
length for the DRD method.
PMID- 26547164
TI - Heavy rare-gas atomic pairs and the "double penalty" issue: Isotropic Raman
lineshapes by Kr2, Xe2, and KrXe at room temperature.
AB - We report absolutely calibrated isotropic Raman lineshapes for Kr2 and Xe2 and
for KrXe at 294.5 K and compare them to quantum-mechanically generated lineshapes
by using state-of-the-art second-order Moller-Plesset and DFT/B3LYP data sets for
the induced mean dipole polarizability ?. A very good agreement between the
numerical and the experimental data was observed but the large uncertainty
margins and the short Raman frequency interval probed in our experiment prevented
us from rating on a more refined scale the performance of the tested ? models.
These drawbacks are inherent in isotropic Raman spectrum measurements and
amplified for dissimilar pairs because, for such systems and spectra, the
unreliable operation of subtracting optical signals of comparable magnitude
occurs twice per Raman frequency shift value, thus penalizing twice the quality
of the measured data. In light of our findings and of previously reported
evidence about related electric properties in Kr2 and Xe2 and in KrXe, we are
left with no doubt as to the consistency of the induced-polarizability and
interatomic-potential data used for these three systems at the reported level of
accuracy.
PMID- 26547165
TI - Intriguing structures and magic sizes of heavy noble metal nanoclusters around
size 55 governed by relativistic effect and covalent bonding.
AB - Nanoclusters usually display exotic physical and chemical properties due to their
intriguing geometric structures in contrast to their bulk counterparts. By means
of first-principles calculations within density functional theory, we find that
heavy noble metal PtN nanoclusters around the size N = 55 begin to prefer an open
configuration, rather than previously reported close-packed icosahedron or core
shell structures. Particularly, for PtN, the widely supposed icosahedronal magic
cluster is changed to a three-atomic-layered structure with D6h symmetry, which
can be well addressed by our recently established generalized Wulff construction
principle (GWCP). However, the magic number of PtN clusters around 55 is shifted
to a new odd number of 57. The high symmetric three-layered Pt57 motif is mainly
stabilized by the enhanced covalent bonding contributed by both spin-orbital
coupling effect and the open d orbital (5d(9)6s(1)) of Pt, which result in a
delicate balance between the enhanced Pt-Pt covalent bonding of the interlayers
and negligible d dangling bonds on the cluster edges. These findings about PtN
clusters are also applicable to IrN clusters, but qualitatively different from
their earlier neighboring element Os and their later neighboring element Au. The
magic numbers for Os and Au are even, being 56 and 58, respectively. The findings
of the new odd magic number 57 are the important supplementary of the recently
established GWCP.
PMID- 26547166
TI - Ab initio theory for femtosecond spin dynamics, angle-resolved fidelity analysis,
and the magneto-optical Kerr effect in the Ni3(CH3OH) and Co3(+)(CH3OH) clusters.
AB - We present a systematic analysis of the ab initio controlled femtosecond spin
dynamics in Ni3(CH3OH) and Co3(+)(CH3OH) clusters achieved by a spin-orbit
coupling enabled Lambda process. The distortion caused by the attachment of CH3OH
to one of the active magnetic centers of the Ni3 and the Co3(+) clusters induces
asymmetric geometries which result in well localized spin densities on the
magnetic centers. With the use of high-level quantum chemistry methods,
successful spin-flip scenarios are demonstrated for both clusters. In order to
assess the experimental accessibility of those effects, we compute their
tolerance with respect to two laser pulse parameters, i.e., the energy detuning
as well as the deviation of the polar angle phi from its optimized value.
Finally, we calculate the magneto-optical Kerr effect in order to connect to the
susceptibility tensor chi as an experimentally measurable quantity.
PMID- 26547167
TI - Laser spectroscopy of the A(2)Sigma(+)-X(2)Pii band system of l-SiC3H.
AB - The A(2)Sigma(+)-X(2)Pii band system of l-SiC3H in the region 14,700-16,300 cm(
1) was re-investigated by laser induced fluorescence (LIF) and fluorescence
depletion spectroscopy. Rotational analyses were made for three intense bands
0(0)(0), 4(0)(1), and 6(0)(1)7(0)(1) by observing high-resolution LIF excitation
spectra. The determined rotational constants demonstrate that SiC3H is linear in
the A state, as is the case in the X state, and the observed band types are
consistent with the vibrational assignments. The nu3(") (C1-C2 stretch) level was
identified in a newly observed dispersed fluorescence spectrum from the zero
vibrational level of the A state.
PMID- 26547168
TI - Photoelectron spectroscopic and computational study of (M-CO2)(-) anions, M = Cu,
Ag, Au.
AB - In a combined photoelectron spectroscopic and computational study of (M-CO2)(-),
M = Au, Ag, Cu, anionic complexes, we show that (Au-CO2)(-) forms both the
chemisorbed and physisorbed isomers, AuCO2(-) and Au(-)(CO2), respectively; that
(Ag-CO2)(-) forms only the physisorbed isomer, Ag(-)(CO2); and that (Cu-CO2)(-)
forms only the chemisorbed isomer, CuCO2(-). The two chemisorbed complexes,
AuCO2(-) and CuCO2(-), are covalently bound, formate-like anions, in which their
CO2 moieties are significantly reduced. These two species are examples of
electron-induced CO2 activation. The two physisorbed complexes, Au(-)(CO2) and
Ag(-)(CO2), are electrostatically and thus weakly bound.
PMID- 26547169
TI - Heat- and light-induced transformations of Yb trapping sites in an Ar matrix.
AB - The low-lying electronic states of Yb isolated in a solid Ar matrix grown at 4.2
K are characterized through absorption and emission spectroscopy. Yb atoms are
found to occupy three distinct thermally stable trapping sites labeled "red,"
"blue," and "violet" according to the relative positions of the absorption
features they produce. Classical simulations of the site structure and relative
stability broadly reproduced the experimentally observed matrix-induced frequency
shifts and thus identified the red, blue, and violet sites as due to respective
single substitutional (ss), tetravacancy (Tv), and hexavacancy (Hv) occupation.
Prolonged excitation of the (1)S -> (1)P transition was found to transfer the Yb
population from hv sites into Tv and ss sites. The process showed reversibility
in that annealing to 24 K predominantly transferred the Tv population back into
Hv sites. Population kinetics were used to deduce the effective rate parameters
for the site transformation processes. Experimental observations indicate that
the blue and violet sites lie close in energy, whereas the red one is much less
stable. Classical simulations identify the blue site as the most stable one.
PMID- 26547170
TI - Role of excited state solvent fluctuations on time-dependent fluorescence Stokes
shift.
AB - We explore the connection between the solvation dynamics of a chromophore upon
photon excitation and equilibrium fluctuations of the solvent. Using molecular
dynamics simulations, fluorescence Stokes shift for the tryptophan in
Staphylococcus nuclease was examined using both nonequilibrium calculations and
linear response theory. When the perturbed and unperturbed surfaces exhibit
different solvent equilibrium fluctuations, the linear response approach on the
former surface shows agreement with the nonequilibrium process. This agreement is
excellent when the perturbed surface exhibits Gaussian statistics and qualitative
in the case of an isomerization induced non-Gaussian statistics. However, the
linear response theory on the unperturbed surface breaks down even in the
presence of Gaussian fluctuations. Experiments also provide evidence of the
connection between the excited state solvent fluctuations and the total
fluorescence shift. These observations indicate that the equilibrium statistics
on the excited state surface characterize the relaxation dynamics of the
fluorescence Stokes shift. Our studies specifically analyze the Gaussian
fluctuations of the solvent in the complex protein environment and further
confirm the role of solvent fluctuations on the excited state surface. The
results are consistent with previous investigations, found in the literature, of
solutes dissolved in liquids.
PMID- 26547171
TI - A classical force field for tetrahedral oxyanions developed using hydration
properties: The examples of pertechnetate (TcO4(-)) and sulfate (SO4(2-)).
AB - Radioactive pertechnetate, (99)TcO4(-), is one of the most problematic ionic
species in the context of the clean up and storage of nuclear waste. Molecular
simulations can be used to understand the behavior of TcO4(-) in dilute aqueous
solutions, providing reliable potentials are available. This work outlines the
development of a new potential model for TcO4(-) and competing SO4(2-), optimized
using their hydration properties, such as the Gibbs hydration free energy
(calculated using Bennett's acceptance ratio method). The findings show that the
TcO4(-) oxyanion has a very low hydration free energy (-202 kJ mol(-1)) compared
to other anions (Cl(-), I(-), SO4(2-)) leading to fast water exchange dynamics
and explaining its observed high mobility in the aqueous environment. Its
hydrated structure, investigated using ion-water radial distribution functions,
shows that it is unique amongst the other anions in that it does not possess well
defined hydration shells. Since contaminants and ubiquitous species in the
aqueous environment are often present as tetrahedral oxyanions, it is proposed
that the approach could easily be extended to a whole host of other species.
PMID- 26547172
TI - Isotopic Soret effect in ternary mixtures: Theoretical predictions and molecular
simulations.
AB - In this paper, we study the Soret effect in ternary fluid mixtures of isotopic
argon like atoms. Soret coefficients have been computed using non-equilibrium
molecular dynamics and a theoretical approach based on our extended Prigogine
model (with mass effect) and generalized to mixtures with any number of
components. As is well known for binary mixture studies, the heaviest component
always accumulates on the cold side whereas the lightest species accumulate on
the hot side. An interesting behavior is observed for the species with the
intermediate mass: it can accumulate on both sides, depending on composition and
mass ratios. A simple picture can be given to understand this change of sign: the
intermediate mass species can be seen as evolving in an equivalent fluid whose
species mass varies with composition. An excellent prediction of all simulated
data has been obtained using our model including the change of sign of the Soret
coefficient for species with intermediate mass.
PMID- 26547173
TI - Unraveling the success and failure of mode coupling theory from consideration of
entropy.
AB - We analyze the dynamics of model supercooled liquids in a temperature regime
where predictions of mode coupling theory (MCT) are known to be valid
qualitatively. In this regime, the Adam-Gibbs (AG) relation, based on an
activation picture of dynamics, also describes the dynamics satisfactorily, and
we explore the mutual consistency and interrelation of these descriptions.
Although entropy and dynamics are related via phenomenological theories, the
connection between MCT and entropy has not been argued for. In this work, we
explore this connection and provide a microscopic derivation of the
phenomenological Rosenfeld theory. At low temperatures, the overlap between the
MCT power law regime and AG relation implies that the AG relation predicts an
avoided divergence at Tc, the origin of which can be related to the vanishing of
pair configurational entropy, which we find occurring at the same temperature. We
also show that the residual multiparticle entropy plays an important role in
describing the relaxation time.
PMID- 26547174
TI - Non-equilibrium theory of arrested spinodal decomposition.
AB - The non-equilibrium self-consistent generalized Langevin equation theory of
irreversible relaxation [P. E. Ramrez-Gonzalez and M. Medina-Noyola, Phys. Rev. E
82, 061503 (2010); 82, 061504 (2010)] is applied to the description of the non
equilibrium processes involved in the spinodal decomposition of suddenly and
deeply quenched simple liquids. For model liquids with hard-sphere plus
attractive (Yukawa or square well) pair potential, the theory predicts that the
spinodal curve, besides being the threshold of the thermodynamic stability of
homogeneous states, is also the borderline between the regions of ergodic and non
ergodic homogeneous states. It also predicts that the high-density liquid-glass
transition line, whose high-temperature limit corresponds to the well-known hard
sphere glass transition, at lower temperature intersects the spinodal curve and
continues inside the spinodal region as a glass-glass transition line. Within the
region bounded from below by this low-temperature glass-glass transition and from
above by the spinodal dynamic arrest line, we can recognize two distinct domains
with qualitatively different temperature dependence of various physical
properties. We interpret these two domains as corresponding to full gas-liquid
phase separation conditions and to the formation of physical gels by arrested
spinodal decomposition. The resulting theoretical scenario is consistent with the
corresponding experimental observations in a specific colloidal model system.
PMID- 26547175
TI - Ab initio calculations of many-body interactions for compressed solid argon.
AB - An investigation on many-body effects of solid argon at high pressure was
conducted based on a many-body expansion of interaction energy. The three- and
four-body terms in the expansion were calculated using the coupled-cluster method
with single, double, and noniterative triple theory and incremental method, in
which the configurations of argon trimers and tetramers were chosen as the same
as those in the actual lattice. The four-body interactions in compressed solid
argon were estimated for the first time, and the three-body interaction ab initio
calculations were extended to a small distance. It shows that the four-body
contribution is repulsive at high densities and effectively cancels the three
body lattice energy. The dimer potential plus three-body interaction can well
reproduce the measurements of equation of state at pressure approximately lower
than ~60 GPa, when including the four-body effects extends the agreement up to
the maximum experimental pressure of 114 GPa.
PMID- 26547176
TI - Structure of a zinc oxide ultra-thin film on Rh(100).
AB - The structural parameters of ultra-thin zinc oxide films on Rh(100) are
investigated using low-energy electron diffraction intensity (LEED I-V) curves,
scanning tunneling microscopy (STM), and first-principles density functional
theory (DFT) calculations. From the analysis of LEED I-V curves and DFT
calculations, two optimized models A and B are determined. Their structures are
basically similar to the planer h-BN ZnO(0001) structure, although some oxygen
atoms protrude from the surface, associated with an in-plane shift of Zn atoms.
From a comparison of experimental STM images and simulated STM images, majority
and minority structures observed in the STM images represent the two optimized
models A and B, respectively.
PMID- 26547177
TI - Interfacial friction based quasi-continuum hydrodynamical model for nanofluidic
transport of water.
AB - In this work, we formulate a one-dimensional isothermal hydrodynamic transport
model for water, which is an extension to our recently proposed hydrodynamic
model for Lennard-Jones type fluid [R. Bhadauria and N. R. Aluru, J. Chem. Phys.
139, 074109 (2013)]. Viscosity variations in confinement are incorporated by the
local average density method. Dirichlet boundary conditions are provided in the
form of slip velocity that depends upon the macroscopic interfacial friction
coefficient. The value of this friction coefficient is computed using a novel
generalized Langevin equation formulation that eliminates the use of equilibrium
molecular dynamics simulation. Gravity driven flows of SPC/E water confined
between graphene and silicon slit shaped nanochannels are considered as examples
for low and high friction cases. The proposed model yields good quantitative
agreement with the velocity profiles obtained from non-equilibrium molecular
dynamics simulations.
PMID- 26547178
TI - The application of inelastic neutron scattering to explore the significance of a
magnetic transition in an iron based Fischer-Tropsch catalyst that is active for
the hydrogenation of CO.
AB - An iron based Fischer-Tropsch synthesis catalyst is evaluated using CO
hydrogenation at ambient pressure as a test reaction and is characterised by a
combination of inelastic neutron scattering (INS), powder X-ray diffraction,
temperature-programmed oxidation, Raman scattering, and transmission electron
microscopy. The INS spectrum of the as-prepared bulk iron oxide pre-catalyst
(hematite, alpha-Fe2O3) is distinguished by a relatively intense band at 810 cm(
1), which has previously been tentatively assigned as a magnon (spinon) feature.
An analysis of the neutron scattering intensity of this band as a function of
momentum transfer unambiguously confirms this assignment. Post-reaction, the
spinon feature disappears and the INS spectrum is characterised by the presence
of a hydrocarbonaceous overlayer. A role for the application of INS in magnetic
characterisation of iron based FTS catalysts is briefly considered.
PMID- 26547179
TI - Adenine adlayers on Cu(111): XPS and NEXAFS study.
AB - The adsorption of adenine on Cu(111) was studied by photoelectron and near edge x
ray absorption fine structure spectroscopy. Disordered molecular films were
deposited by means of physical vapor deposition on the substrate at room
temperature. Adenine chemisorbs on the Cu(111) surface with strong
rehybridization of the molecular orbitals and the Cu 3d states. Annealing at 150
degrees C caused the desorption of weakly bonded molecules accompanied by
formation of a short-range ordered molecular adlayer. The interface is
characterized by the formation of new states in the valence band at 1.5, 7, and 9
eV. The present work complements and refines existing knowledge of adenine
interaction with this surface. The coverage is not the main parameter that
defines the adenine geometry and adsorption properties on Cu(111). Excess thermal
energy can further rearrange the molecular adlayer and, independent of the
initial coverage, the flat lying stable molecular adlayer is formed.
PMID- 26547180
TI - Extension, torque, and supercoiling in single, stretched, and twisted DNA
molecules.
AB - We reinvestigate the model originally studied by Neukirch and Marko that
describes the extension, torque, and supercoiling in single, stretched, and
twisted DNA molecules, which consists of a mixture of extended state and
supercoiled state, using now a more accurate form of the free energy for the
untwisted but stretched DNA. The original model uses an approximate form of this
free energy and the agreement with experiment is only qualitative. We find that
this more accurate free energy significantly improves the results, which bring
them into quantitative agreement with experiment, throughout the entire force
regime. This is rather surprising, considering that the theory is completely
parameter-free.
PMID- 26547181
TI - DNA terminal base pairs have weaker hydrogen bonds especially for AT under low
salt concentration.
AB - DNA base pairs are known to open more easily at the helix terminal, a process
usually called end fraying, the details of which are still poorly understood.
Here, we present a mesoscopic model calculation based on available experimental
data where we consider separately the terminal base pairs of a DNA duplex. Our
results show an important reduction of hydrogen bond strength for terminal
cytosine-guanine (CG) base pairs which is uniform over the whole range of salt
concentrations, while for AT base pairs, we obtain a nearly 1/3 reduction but
only at low salt concentrations. At higher salt concentrations, terminal adenine
thymine (AT) pair has almost the same hydrogen bond strength than interior bases.
The calculated terminal stacking interaction parameters display some peculiarly
contrasting behavior. While there is mostly no perceptible difference to internal
stacking, for some cases, we observe an unusually strong dependence with salt
concentration which does not appear follow any pattern or trend.
PMID- 26547182
TI - Equilibrium simulation of trp-cage in the presence of protein crowders.
AB - While steric crowders tend to stabilize globular proteins, it has been found that
protein crowders can have an either stabilizing or destabilizing effect, where a
destabilization may arise from nonspecific attractive interactions between the
test protein and the crowders. Here, we use Monte Carlo replica-exchange methods
to explore the equilibrium behavior of the miniprotein trp-cage in the presence
of protein crowders. Our results suggest that the surrounding crowders prevent
trp-cage from adopting its global native fold, while giving rise to a
stabilization of its main secondary-structure element, an alpha-helix. With the
crowding agent used (bovine pancreatic trypsin inhibitor), the trp-cage-crowder
interactions are found to be specific, involving a few key residues, most of
which are prolines. The effects of these crowders are contrasted with those of
hard-sphere crowders.
PMID- 26547183
TI - Short-time dynamics of 2-thiouracil in the light absorbing S2(pipi(*)) state.
AB - Ultrahigh quantum yields of intersystem crossing to the lowest triplet state T1
are observed for 2-thiouracils (2TU), which is in contrast to the natural uracils
that predominantly exhibit ultrafast internal conversion to the ground state upon
excitation to the singlet excited state. The intersystem crossing mechanism of
2TU has recently been investigated using second-order perturbation methods with a
high-level complete-active space self-consistent field. Three competitive
nonadiabatic pathways to the lowest triplet state T1 from the initially populated
singlet excited state S2 were proposed. We investigate the initial decay dynamics
of 2TU from the light absorbing excited states using resonance Raman
spectroscopy, time-dependent wave-packet theory in the simple model, and complete
active space self-consistent field (CASSCF) and time dependent-Becke's three
parameter exchange and correlation functional with the Lee-Yang-Parr correlation
functional (TD-B3LYP) calculations. The obtained short-time structural dynamics
in easy-to-visualize internal coordinates were compared with the CASSCF(16,11)
predicted key nonadiabatic decay routes. Our results indicate that the
predominant decay pathway initiated at the Franck-Condon region is toward the
S2/S1 conical intersection point and S2T3 intersystem crossing point, but not
toward the S2T2 intersystem crossing point.
PMID- 26547184
TI - Comment on "Analyses of bifurcation of reaction pathways on a global reaction
route map: A case study of gold cluster Au5" [J. Chem. Phys. 143, 014301 (2015)].
AB - We demonstrate by an example that the search for valley-ridge transition (VRT)
points used in the commented paper does not always indicate a bifurcation of a
reaction path. This was claimed.
PMID- 26547185
TI - Response to "Comment on 'Analyses of bifurcation of reaction pathways on a global
reaction route map: A case study of gold cluster Au5"' [J. Chem. Phys. 143,
177101 (2015)].
AB - The existence of a valley-ridge transition (VRT) point along the intrinsic
reaction coordinate does not always indicate the existence of two minima in the
product side, but VRT is a sign of bifurcating nature of dynamical trajectories
running on the potential energy surface. It is demonstrated by molecular dynamics
simulations.
PMID- 26547186
TI - Erratum: "Quantum dynamical simulation of the scattering of Ar from a frozen
LiF(100) surface based on a first principles interaction potential" [J. Chem.
Phys. 143, 014705 (2015)].
PMID- 26547187
TI - Publisher's Note: "Transition state ensemble optimization for reactions of
arbitrary complexity" [J. Chem. Phys. 143, 134111 (2015)].
PMID- 26547188
TI - Helical intensity-modulated radiotherapy of the pelvic lymph nodes with a
simultaneous integrated boost to the prostate--first results of the PLATIN 1
trial.
AB - BACKGROUND: Definitive, percutaneous irradiation of the prostate and the pelvic
lymph nodes in high-risk prostate cancer is the alternative to prostatectomy plus
lymphadenectomy. To date, the role of whole pelvis radiotherapy (WPRT) has not
been clarified especially taking into consideration the benefits of high
conformal IMRT (intensity modulated radiotherapy) of complex-shaped target
volumes. METHODS: From 2009 to 2012, 40 patients of high-risk prostate cancer
with an increased risk of microscopic lymph node involvement were enrolled into
this prospective phase II trial. Patients received at least two months of
antihormonal treatment (AT) before radiotherapy continuing for at least 2 years.
Helical IMRT (tomotherapy) of the pelvic lymph nodes (51.0 Gy) with a
simultaneous integrated, moderate hypofractionated boost (single dose of 2.25 Gy)
to the prostate (76.5 Gy) was performed in 34 fractions. PSA levels, prostate
related symptoms and quality of life were assessed at regular intervals for 24
months. RESULTS: Of the 40 patients enrolled, 38 finished the treatment as
planned. Overall acute toxicity rates were low and no acute grade 3 or 4
gastrointestinal (GI) and genitourinary (GU) toxicity occurred. 21.6% of patients
experienced acute grade 2 but no late grade >= 2 GI toxicity. Regarding GU side
effects, results showed 48.6% acute grade 2 and 6.4% late grade 2 toxicity. After
a median observation time of 23.4 months the PLATIN 1 trial can be considered as
sufficiently safe meeting the prospectively defined aims of the trial. With 34/37
patients free of a PSA recurrence it shows promising efficacy. CONCLUSION:
Tomotherapy of the pelvic lymph nodes with a simultaneous integrated boost to the
prostate can be performed safely and without excessive toxicity. The combined
irradiation of both prostate and pelvic lymph nodes seems to be as well tolerated
as the irradiation of the prostate alone. TRIAL REGISTRATION: Trial Numbers: ARO
2009-05, ClinicalTrials.gov: NCT01903408.
PMID- 26547189
TI - Plasmonic SERS biosensing nanochips for DNA detection.
AB - The development of rapid, cost-effective DNA detection methods for molecular
diagnostics at the point-of-care (POC) has been receiving increasing interest.
This article reviews several DNA detection techniques based on plasmonic-active
nanochip platforms developed in our laboratory over the last 5 years, including
the molecular sentinel-on-chip (MSC), the multiplex MSC, and the inverse
molecular sentinel-on-chip (iMS-on-Chip). DNA probes were used as the recognition
elements, and surface-enhanced Raman scattering (SERS) was used as the signal
detection method. Sensing mechanisms were based on hybridization of target
sequences and DNA probes, resulting in a distance change between SERS reporters
and the nanochip's plasmonic-active surface. As the field intensity of the
surface plasmon decays exponentially as a function of distance, the distance
change in turn affects SERS signal intensity, thus indicating the presence and
capture of the target sequences. Our techniques were single-step DNA detection
techniques. Target sequences were detected by simple delivery of sample solutions
onto DNA probe-functionalized nanochips and measuring the SERS signal after
appropriate incubation times. Target sequence labeling or washing to remove
unreacted components was not required, making the techniques simple, easy-to-use,
and cost-effective. The usefulness of the nanochip platform-based techniques for
medical diagnostics was illustrated by the detection of host genetic biomarkers
for respiratory viral infection and of the dengue virus gene.
PMID- 26547190
TI - Identification of trace levels of selenomethionine and related organic selenium
species in high-ionic-strength waters.
AB - A new anion-exchange chromatographic separation method was used for the
simultaneous speciation analysis of selenoamino acids and the more ubiquitous
inorganic selenium oxyanions, selenite and selenate. For quantification, this
separation was coupled to inductively coupled plasma-mass spectrometry to achieve
an instrumental detection limit of 5 ng Se L(-1) for all species. This
chromatographic method was also coupled to electrospray tandem mass spectrometry
to observe the negative ion mode fragmentation of selenomethionine and one of its
oxidation products. Low detection limits were achieved, which were similar to
those obtained using inductively coupled plasma-mass spectrometry. An extensive
preconcentration and cleanup procedure using cation-exchange solid-phase
extraction was developed for the identification and quantification of trace
levels of selenomethionine in environmental samples. Preconcentration factors of
up to five were observed for selenomethionine, which in addition to the removal
of high concentrations of sulphate and chloride from industrial process waters,
allowed for an unambiguous analysis that would have been impossible otherwise.
Following these methods, selenomethionine was identified at an original
concentration of 3.2 ng Se L(-1) in samples of effluent collected at a coal-fired
power plant's biological remediation site. It is the first time that this species
has been identified in the environment, outside of a biological entity.
Additionally, oxidation products of selenomethionine were identified in river
water and laboratory algal culture samples. High-resolution mass spectrometry was
employed to postulate the chemical structures of these species.
PMID- 26547191
TI - A novel reverse fluorescent immunoassay approach for sensing human chorionic
gonadotropin based on silver-gold nano-alloy and magnetic nanoparticles.
AB - A novel and environmentally friendly reverse fluorescent immunoassay approach was
proposed and utilized for sensing human chorionic gonadotropin (HCG) in human
serum by coupling a newly prepared and highly fluorescent glutathione-stabilized
silver-gold nano-alloy (GSH-AgAuNAs) with magnetic nanoparticles (MNPs). To
construct such a reverse system, fluorescent GSH-AgAuNAs and MNPs were first
prepared and bio-functionalized with monoclonal antibodies (Mab-I and Mab-II)
toward HCG antigen, respectively. Then, the GSH-AgAuNAs functionalized with Mab-I
were incubated with HCG, followed by the addition of MNPs attached to Mab-II.
Thereafter, a sandwich-type immunoassay could be constructed for determination of
HCG owing to the antibody-antigen recognition between the functionalized GSH
AgAuNAs and MNPs. Afterwards, a magnetic collection was employed. Hence, the
amount of GSH-AgAuNAs would be reduced through an immuno-magnetic separation,
thus weakening the fluorescent intensity. Different from conventional
immunoassay, our work determined the quantitative signal by measuring the
decreasing gradient fluorescent intensity. Under optimal conditions, the
developed reverse method exhibited a wide linear range of 0.5-600 ng mL(-1)
toward HCG with a detection limit of 0.25 ng mL(-1). Additionally, the proposed
immunoassay was validated using spiked samples, illustrating a satisfactory
result in practical application.
PMID- 26547192
TI - Incidence of Pneumonia After Videofluoroscopic Swallowing Study and Associated
Factors.
AB - Pneumonia after videofluoroscopic swallow study (VFSS) is sometimes considered to
be caused by aspiration during VFSS; however, to our knowledge, a relationship
between these events has not been clearly investigated. The aim of this study was
to assess the incidence of VFSS-related pneumonia and related factors. Overall,
696 VFSS cases were retrospectively reviewed. Cases in which blood culture was
performed within 3 days after VFSS due to newly developed infectious signs were
considered as post-VFSS infection cases. Pneumonia was suspected when there was
some evidence of respiratory infectious signs in clinical, radiological, and
laboratory findings. The underlying disease, clinical signs, and VFSS findings of
the pneumonia group were assessed. Among 696 cases, pneumonia was diagnosed in 15
patients. The patients in the pneumonia group tended to be older and had higher
aspiration rate on VFSS than those in the non-pneumonia group. In the pneumonia
group, 2 patients showed no aspiration during VFSS. In 6 patients, pneumonia
developed after massive aspiration of gastric content in 5 patients and
inappropriate oral feeding with risk of aspiration before VFSS in 1 patient. Only
7 patients (1.0 %) were finally determined as having VFSS-related pneumonia. In
conclusion, the 72-h incidence of VFSS-related pneumonia was 1.0 %. Old age and
severity of swallowing difficulty are associated with occurrence of pneumonia.
PMID- 26547193
TI - An analysis of national collaboration with Spanish researchers abroad in the
health sciences.
AB - BACKGROUND: The establishment of scientific collaborations with researchers
abroad can be considered a good practice to make appropriate use of their
knowledge and to increase the possibilities of them returning to their country.
This paper analyses the collaboration between Spanish researchers abroad devoted
to health sciences and national science institutions. METHODS: We used the
Fontes' approach to perform a study on this collaboration with Spanish
researchers abroad. We measured the level of national and international
cooperation, the opportunity provided by the host country to collaborate, the
promotion of collaboration by national science institutions, and the types of
collaboration. A total of 88 biomedical researchers out of the 268 Spanish
scientists who filled up the survey participated in the study. Different data
analyses were performed to study the variables selected to measure the scientific
collaboration and profile of Spanish researchers abroad. RESULTS: There is a high
level of cooperation between Spanish health science researchers abroad and
international institutions, which contrasts with the small-scale collaboration
with national institutions. Host countries facilitate this collaboration with
national and international scientific institutions to a larger extent than the
level of collaboration promotion carried out by Spanish institutions.
CONCLUSIONS: The national collaboration with Spanish researchers abroad in the
health sciences is limited. Thus, the practice of making appropriate use of the
potential of their expertise should be promoted and the opportunities for Spanish
health science researchers to return home should be improved.
PMID- 26547194
TI - Enhanced expression of SaHMA3 plays critical roles in Cd hyperaccumulation and
hypertolerance in Cd hyperaccumulator Sedum alfredii Hance.
AB - MAIN CONCLUSION: The enhanced expression of a P 1B -type ATPase gene ( SaHMA3 )
is essential for Cd hyperaccumulation and hypertolerance in Sedum alfredii Hance.
A functional understanding of the mechanism through which hyperaccumulator plants
accumulate and tolerate extremely toxic metals is a prerequisite for the
development of novel strategies for improving phytoremediation using engineered
plants or natural hyperaccumulators as well as biofortification and food crop
safety. Most hyperaccumulator species, however, are small and slow-growing, and
their potential for large-scale decontamination of polluted soils is limited.
Sedum alfredii Hance, the only one metal hyperaccumulator from the Crassulaceae
family, is an ideal candidate for gaining a functional understanding of the intra
family hyperaccumulation mechanisms as well as their potential applications. In
the present study, we isolated and functionally characterized a P1B-type ATPase
gene (SaHMA3) from S. alfredii Hance. SaHMA3 alleles from a hyperaccumulating
ecotype (HE) and non-hyperaccumulating ecotype (NHE) were constitutively
expressed in both shoot and root and encoded tonoplast-localized proteins, but
showed differences in transport substrate specificity and expression level.
SaHMA3 h from the HE plant was a Cd transporter. In contrast, SaHMA3n from NHE
plants was able to transport both Zn and Cd. SaHMA3 showed a significantly higher
constitutive expression level in HE plants than in NHE plants. Furthermore, the
expression level of SaHMA3 in the shoots of HE plants was considerably higher
than in the roots. Overexpression of SaHMA3h in tobacco plants significantly
enhanced Cd tolerance and accumulation and greatly increased the root
sequestration of Cd. In summary, our data suggested that SaHMA3 plays critical
roles in Cd hyperaccumulation and hypertolerance in Cd hyperaccumulator S.
alfredii Hance.
PMID- 26547195
TI - Concentration-Dependent Mechanism Alteration of Pleurocidin Peptide in
Escherichia coli.
AB - Cationic antimicrobial peptides (CAPs) are essential components of the innate
immune system. Most CAPs exert antimicrobial effects via membrane-active
mechanisms, while high concentrations of CAPs are associated with non-selective
cytotoxicity. We originally hypothesized that a sub-lethal concentration of CAPs
was able to exert antibacterial activity, by interacting with negatively charged
nucleic acids, and not by damaging bacterial membranes. We selected pleurocidin
(Ple) and Escherichia coli as experimental models of CAPs and bacteria,
respectively. Whereas Ple distinctly acted on bacterial membranes in a
concentration-dependent manner, the cell viability was almost similar regardless
the peptide concentration. To address how Ple retained its antibacterial activity
in a low concentration, we particularly focused on the induction of intracellular
apoptosis-like death (ALD). Finally, it was suggested that a sub-lethal
concentration of Ple led to ALD in E. coli, mediated by caspase-like protein and
RecA. To the best of our knowledge, this is the first study showing that
alterations of CAP mechanisms are concentration dependent in bacteria.
PMID- 26547196
TI - Perinatal Treatments with the Dopamine D2-Receptor Agonist Quinpirole Produces
Permanent D2-Receptor Supersensitization: a Model of Schizophrenia.
AB - Repeated daily treatments of perinatal rats with the dopamine D2-receptor (D2-R)
agonist quinpirole for a week or more produces the phenomenon of 'priming'
gradual but long-term sensitization of D2-R. In fact a daily dose of quinpirole
as low as 50 ug/kg/day is adequate for sensitizing D2-R. Primed rats as neonates
and in adolescence, when acutely treated with quinpirole display enhanced
eating/gnawing/nursing on dams, also horizontal locomotor activity. Between 3 and
5 weeks of age, acute quinpirole treatment of primed rats produces profound
vertical jumping with paw treading-a behavior that is not observed in control
rats. At later ages acute quinpirole treatment is associated with enhanced
yawning, a D2-R-associated behavior. This long-term D2-R supersensitivity is
believed to be life-long, despite the relatively brief period of D2-R priming
near the time of birth. D2-R supersensitivity is not associated with an increase
in the number or affinity of D2-R, as assessed in the striatum of rats; nor is it
induced with the D3-R agonist 7-OH-DPAT. However, quinpirole-induced D2-R
supersensitivity is associated with cognitive deficits, also a deficit in pre
pulse inhibition and in neurotrophic factors, and low levels of the transcript
regulator of G-protein signaling (RGS) RGS9 in brain; and acute reversal of these
alterations by the antipsychotic agent olanzapine. In sum, rats ontogenetically
D2-R supersensitized have face validity, construct validity and predictive
ability for schizophrenia.
PMID- 26547198
TI - Administration of the Glial Condition Medium in the Nucleus Accumbens Prolong
Maintenance and Intensify Reinstatement of Morphine-Seeking Behavior.
AB - Accumulating evidence suggested that glial cells are involved in synaptic
plasticity and behavioral changes induced by drugs abuse. The role of these cells
in maintenance and reinstatement of morphine (MRP) conditioned place preference
(CPP) remains poorly characterized. The aim of present study was to investigate
the direct role of glial cells in nucleus accumbens (NAc) in the maintenance and
reinstatement of MRP-seeking behavior. CPP induced with injection of MRP (5
mg/kg, s.c. for 3 days), lasted for 7 days after cessation of MRP treatment and
priming dose of MRP (1 mg/kg, s.c.) reinstated the extinguished MRP-induced CPP.
The astrocyte-conditioned medium (ACM) and neuroglia conditioned medium (NCM)
exposed to MRP (10 and 100 uM) have been microinjected into the NAc. Intra-NAc
administration of ACM during extinction period failed to change the maintenance
of MRP-CPP, but MRP 100-treated ACM could slightly increase the magnitude of
reinstatement. In contrast to ACM, intra-NAc administration of MRP 100-treated
NCM caused slower extinction by 3 days and significantly increased the magnitude
of reinstatement. Our findings suggest the involvement of glial cells activation
in the maintenance and reinstatement of MRP-seeking behaviors, and provides new
evidence that these cells might be a potential target for the treatment of MRP
addiction.
PMID- 26547199
TI - Cost Effectiveness of the 13-Valent Pneumococcal Conjugate Vaccination Program in
Chronic Obstructive Pulmonary Disease Patients Aged 50+ Years in Spain.
AB - BACKGROUND: Patients with chronic obstructive pulmonary disease (COPD) are at
elevated risk of pneumococcal infection. A 13-valent pneumococcal conjugate
vaccine (PCV13) was approved for protection against invasive disease and
pneumonia caused by Streptococcus pneumoniae in adults. This study estimated the
incremental cost-effectiveness ratio (ICER) of vaccinating COPD patients >=50
years old with PCV13 compared with current vaccination policy (CVP) with 23
valent pneumococcal polysaccharide vaccine. METHODS: A Markov model accounting
for the risks and costs for all-cause non-bacteremic pneumonia (NBP) and invasive
pneumococcal disease (IPD) was developed. All parameters, such as disease
incidence and costs (?; 2015 values), were based on published data. The
perspective of the analysis was that of the Spanish National Healthcare System,
and the horizon of evaluation was lifetime in the base case. Vaccine
effectiveness considered waning effect over time. Outcomes and costs were both
discounted by 3% annually. RESULTS: Over a lifetime horizon and for a 629,747
COPD total population, PCV13 would prevent 2224 cases of inpatient NBP, 3134
cases of outpatient NBP, and 210 IPD extra cases in comparison with CVP.
Additionally, 398 related deaths would be averted. The ICER was ?1518 per quality
adjusted life-year (QALY) gained for PCV13 versus CVP. PCV13 was found to be cost
effective versus CVP from a 5-year modelling horizon (1302 inpatient NBP and 1835
outpatient NBP cases together with 182 deaths would be prevented [ICER
?25,573/QALY]). Univariate and probabilistic sensitivity analyses confirmed the
robustness of the model. CONCLUSIONS: At the commonly accepted willingness-to-pay
threshold of ?30,000/QALY gained, PCV13 vaccination in COPD patients aged >=50
years was a cost-effective strategy compared with CVP from 5 years to lifetime
horizon in Spain.
PMID- 26547200
TI - The Impact of Ramucirumab on Survival in Patients with Advanced Solid Tumors: A
Systematic Review and Meta-Analysis of Randomized II/III Controlled Trials.
AB - BACKGROUND AND OBJECTIVES: Ramucirumab is a fully immunoglobulin G (lgG)
monoclonal antibody targeting vascular endothelial growth factor receptor type 2
(VEGFR2). Previous clinical trials suggested ramucirumab could improve the
survival and increase the risk of adverse effects. Here, we aimed to assess the
efficacy and safety of ramucirumab in the treatment of advanced solid tumors.
METHODS: Publications were searched from Pubmed, Embase database and
clinicaltrials.gov. Hazard ratio (HR) and 95% confidence interval (95% CI) were
calculated to evaluate efficacy, and the risk ratio (RR) for adverse effects.
RESULTS: Ten relevant studies were included. Ramucirumab resulted in significant
benefit in overall survival [OS, HR and 95% CI 0.87 (0.82-0.93), I(2): 0.0%] and
progression-free survival [PFS, HR and 95% CI 0.74 (0.66-0.82), I(2): 67.4%].
Also the difference of time to progression (TTP) and objective response rate
(ORR) between two groups were also significant [0.70 (0.57-0.88) and 1.78 (1.40
2.25), respectively]. Ramucirumab could increase the risk of total adverse
effects (TAEs, of any grade) by 1% (from 0 to 2%) and severe adverse effects
(SAEs, grade > 2) by 17% (from 9 to 26%). The most frequently occurring TAEs were
fatigue (54.71%), neutropenia (42.74%), bleeding (37.55%), nausea (34.63%) and
stomatitis (33.74%). Most frequently occurring SAEs (grade >=3) were neutropenia
(33.43%), fatigue (12.08%), leukopenia (10.59%), hypertension (8.99%) and liver
injury (8.74%). CONCLUSION: Ramucirumab could improve OS and PFS for patients
suffering from advanced solid tumors. Ramucirumab could increase the risk of TAEs
and SAEs.
PMID- 26547201
TI - Endometrial microbiome at the time of embryo transfer: next-generation sequencing
of the 16S ribosomal subunit.
AB - PURPOSE: Characterization of the human microbiome has become more precise with
the application of powerful molecular tools utilizing the unique 16S ribosomal
subunit's hypervariable regions to greatly increase sensitivity. The microbiome
of the lower genital tract can prognosticate obstetrical outcome while the upper
reproductive tract remains poorly characterized. Here, the endometrial microbiome
at the time of single embryo transfer (SET) is characterized by reproductive
outcome. METHODS: Consecutive patients undergoing euploid, SET was included in
the analysis. After embryo transfer, performed as per routine, the most distal 5
mm portion of the transfer catheter was sterilely placed in a DNA free PCR tube.
Next-generation sequencing of the bacteria specific 16S ribosome gene was
performed, allowing genus and species calls for microorganisms. RESULTS: Taxonomy
assignments were made on 35 samples from 33 patients and 2 Escherichia coli
controls. Of the 33 patients, 18 had ongoing pregnancies and 15 did not. There
were a total of 278 different genus calls present across patient samples. The
microbiome at time of transfer for those patients with ongoing pregnancy vs.
those without ongoing pregnancy was characterized by top genera by sum fraction.
Lactobacillus was the top species call for both outcomes. CONCLUSIONS: The data
presented here show the microbiome at the time of embryo transfer can
successfully be characterized without altering standard clinical practice. This
novel approach, both in specimen collection and analysis, is the first step
toward the goal of determining physiologic from pathophysiologic microbiota.
Further studies will help delineate if differences in the microbiome at the time
of embryo transfer have a reliable impact on pregnancy outcome.
PMID- 26547197
TI - The Role of Proteases in Hippocampal Synaptic Plasticity: Putting Together Small
Pieces of a Complex Puzzle.
AB - Long-term synaptic plasticity in the hippocampus is thought to underlie the
formation of certain forms of memory, including spatial memory. The early phase
of long-term synaptic potentiation and synaptic depression depends on post
translational modifications of synaptic proteins, while protein synthesis is also
required for the late-phase of both forms of synaptic plasticity (L-LTP and L
LTD). Numerous pieces of evidence show a role for different types of proteases in
synaptic plasticity, further increasing the diversity of mechanisms involved in
the regulation of the intracellular and extracellular protein content. The
cleavage of extracellular proteins is coupled to changes in postsynaptic
intracellular mechanisms, and additional alterations in this compartment result
from the protease-mediated targeting of intracellular proteins. Both mechanisms
contribute to initiate signaling cascades that drive downstream pathways coupled
to synaptic plasticity. In this review we summarize the evidence pointing to a
role for extracellular and intracellular proteases, with distinct specificities,
in synaptic plasticity. Where in the cells the proteases are located, and how
they are regulated is also discussed. The combined actions of proteases and
translation mechanisms contribute to a tight control of the synaptic proteome
relevant for long-term synaptic potentiation and synaptic depression in the
hippocampus. Additional studies are required to elucidate the mechanisms whereby
these changes in the synaptic proteome are related with plasticity phenomena.
PMID- 26547203
TI - Tales of methylomes and centrosomes in the human embryo.
PMID- 26547202
TI - Independent factors influencing large-for-gestation birth weight in singletons
born after in vitro fertilization.
AB - PURPOSE: Higher risk for birth of singletons being large for gestational age
(LGA) has been revealed after in vitro fertilization (IVF) frozen-thawed embryo
transfer (FET). This phenomenon is now being investigated, since there is a
speculation that these neonates could suffer from underlying epigenetic
disturbances. The aim of the study was to expose independent LGA risk factors and
to identify those connected to the IVF techniques. METHODS: Altogether, 4508
singleton pregnancies and births were included in the cohort case-matched study.
Two hundred eleven singleton pregnancies and births after FET and 916 after fresh
embryo transfer (ET) were included into two study groups. The IVF procedures were
performed at the University Medical Centre Ljubljana between 2004 and 2011. For
each IVF pregnancy, three matched consecutive controls after natural conception
were included. Using logistic regression models, we observed LGA connection to
maternal parameters (smoking, hypertension, parity, BMI, gestational diabetes,
IVF conception, FET, double ET, and ICSI procedure). RESULTS: Singletons born
after FET had a significantly higher risk for being LGA (p = 0.032; OR 1.697; 95
% CI 1.047-2.752). BMI 25-30 was a significant independent risk factor for LGA in
the IVF groups (FET p = 0.041, OR 2.460, 95 % CI 1.030-5.857 and fresh ET p =
0.003; OR 2.188, 95 % CI 1.297-3.691). ICSI and double ET had no significant
effect on LGA occurrence. CONCLUSIONS: Besides maternal BMI, FET is a significant
independent LGA risk factor in IVF patients. Other observed factors (smoking,
hypertension, multiparity, GDM, ICSI procedure, or number of embryos transferred)
do not influence LGA risk significantly.
PMID- 26547204
TI - Confirmation rates of array-CGH in day-3 embryo and blastocyst biopsies for
preimplantation genetic screening.
AB - PURPOSE: The purpose of this study was to compare the confirmation rate of day-3
embryo biopsy (blastomere) and trophectoderm biopsy using array-comparative
genomic hybridization (array-CGH) technology. METHODS: A blinded study was
conducted to re-analyse 109 embryos previously diagnosed as chromosomally
abnormal by array-CGH. Preimplantation genetic screening (PGS) was performed
using array-CGH on day 3 (n = 50) or day 5 (n = 59). Partial chromosome gains or
losses were excluded (n=6), and only whole chromosome aneuploidies were
considered. Re-analysis of whole blastocysts was carried out following the same
array-CGH protocol used for PGS. RESULTS: The PGS result was confirmed in the
whole blastocyst in (a) 49/50 (98 %) abnormal embryos after day-3 biopsy and (b)
57/59 (96.6 %) abnormal embryos after trophectoderm biopsy. One embryo (1/50; 2
%) was diagnosed as abnormal, with monosomy 18, on day 3, and software analysis
of the whole blastocyst gave a euploid result; however, a mosaic pattern was
observed for monosomy 18 in the whole blastocyst. Two trophectoderm biopsy cases
(3.4 %) did not have the abnormalities (trisomy 7, and trisomy 1 and 4,
respectively) verified in the whole embryo. Concordance rates for both biopsy
strategies and for individual chromosomes were evaluated by Fisher's exact test
and showed no significant differences. CONCLUSIONS: Both types of biopsies showed
similar high concordance rates with whole blastocyst results. Therefore,
regarding the confirmation rates shown in this work, day-3 embryo biopsies can be
representative of the whole embryo and both types of biopsy can be used for
clinical analysis in PGS following the described array-CGH protocol.
PMID- 26547205
TI - Clinical significance of FABP2 expression in newborns with necrotizing
enterocolitis.
AB - BACKGROUND: This meta-analysis aimed to determine the role of human fatty acid
binding protein 2 (FABP2) expression in the diagnosis of necrotizing
enterocolitis (NEC) of newborns. DATA SOURCES: Eligible studies for further
statistical analysis were identified from various databases including PubMed,
Expert Medica Database, Web of Science, Cochrane Library, Google Scholar, China
BioMedicine and China National Knowledge Infrastructure. Random effects model was
used, and summary standardized mean difference (SMD) with its 95% confidence
interval (CI) was calculated to assess the association of FABP2 expression and
NEC. RESULTS: Ten articles which included 572 infants (262 infants with NEC and
310 healthy controls) were included in the current meta-analysis. FABP2 showed a
positive relationship with NEC of newborns (SMD=2.88, 95% CI=2.09-3.67, P<0.001).
And FABP2 expression was higher in patients with advanced stage of NEC (stage III
or stage II+III) than in those with early stage of NEC (stage I) (SMD=-0.48, 95%
CI=-0.87 to -0.09, P=0.015). Ethnicity-stratified analysis yielded significantly
different estimates with a high FABP2 expression in NEC in both Caucasians
(SMD=3.16, 95% CI=1.90-4.43, P<0.001) and Asians (SMD=2.57, 95% CI=1.50-3.64,
P<0.001). Sample-based subgroup analysis showed that FABP2 expression was
positively correlated with neonatal NEC in both urinary- and blood-sample
subgroups (all P<0.05). CONCLUSION: The results prove that the high FABP2
expression is related to the damage to intestinal cells, which may be a possible
early detection marker identifying neonatal NEC.
PMID- 26547206
TI - Heat shock protein 70-2 and tumor necrosis factor-alpha gene polymorphisms in
Chinese children with Henoch-Schonlein purpura.
AB - BACKGROUND: Henoch-Schonlein purpura (HSP) or IgA-associated vasculitis is
related to immune disturbances. Polymorphisms of the heat shock protein 70-2 gene
(HSP70-2) and the tumor necrosis factor-a gene (TNF-alpha) are known to be
associated with immune diseases. The purpose of this study was to investigate the
likely association of HSP70-2 (+1267A/G) and TNF-alpha (+308A/G) gene
polymorphisms with HSP in children. METHODS: The polymerase chain reaction
restriction fragment length polymorphism method was used to detect the HSP70-2
and TNF-alpha polymorphisms in 205 cases of children with HSP and 53 controls;
and the association of these polymorphisms with HSP and HSP nephritis (HSPN) was
analyzed. RESULTS: The G/G genotypic frequencies at the +1267A/G position of
HSP70-2 in the HSP group (22.9%) were significantly higher than those in the
healthy control group (9.4%) (chi(2)=4.764, P<0.05). The frequencies of the A/A,
A/G and G/G genotypes of HSP70-2 in patients in the nephritis-free group and the
HSPN group showed no statistically significant difference. The A/A genotype
frequency at the +308G/A position of TNF-alpha in the HSP group was 8.3%, which
was higher than that in the control group (chi(2)=6.447, P<0.05). The A allele
frequency of TNF-alpha in the HSP group was higher than that in the control
group, with a statistically significant difference (chi(2)=7.241, P<0.05).
CONCLUSIONS: The HSP70-2 (+1267A/G) and TNF-alpha (+308G/A) gene polymorphisms
were associated with HSP in children. The G/G homozygosity of HSP70-2 and the A/A
homozygosity of TNF-alpha may be genetic predisposing factors for HSP.
PMID- 26547207
TI - Population-based frequency of surfactant dysfunction mutations in a native
Chinese cohort.
AB - BACKGROUND: Rare mutations in surfactant-associated genes contribute to neonatal
respiratory distress syndrome. The frequency of mutations in these genes in the
Chinese population is unknown. METHODS: We obtained blood spots from the Guangxi
Neonatal Screening Center in Nanning, China that included Han (n=443) and Zhuang
(n=313) ethnic groups. We resequenced all exons of the surfactant proteins-B
(SFTPB), -C (SFTPC), and the ATP-binding cassette member A3 (ABCA3) genes and
compared the frequencies of 5 common and all rare variants. RESULTS: We found
minor differences in the frequencies of the common variants in the Han and Zhuang
cohorts. We did not find any rare mutations in SFTPB or SFTPC, but we found three
ABCA3 mutations in the Han [minor allele frequency (MAF)=0.003] and 7 in the
Zhuang (MAF=0.011) cohorts (P=0.10). The ABCA3 mutations were unique to each
cohort; five were novel. The collapsed carrier rate of rare ABCA3 mutations in
the Han and Zhuang populations combined was 1.3%, which is significantly lower
than that in the United States (P<0.001). CONCLUSION: The population-based
frequency of mutations in ABCA3 in south China newborns is significantly lower
than that in United States. The contribution of these rare ABCA3 mutations to
disease burden in the south China population is still unknown.
PMID- 26547208
TI - Early term infants are at increased risk of requiring neonatal intensive care.
AB - BACKGROUND: Increasing evidence is demonstrating that infants born early on
during the term period are at increased risk of morbidity compared with infants
born closer to a complete 40 week gestational pregnancy. The purpose of this
study was to compare early term [gestation age (GA): 37-37 6/7 weeks] neonatal
outcomes with those of other full term neonatal intensive care unit (NICU)
admissions. METHODS: Retrospective chart review of all term infants admitted to
the NICU at New York University Langone Medical Center over a 17 month period.
Subjects were grouped and analyzed according to their GA at birth: 1) early term
infants (GA between 37 0/7 to 37 6/7 weeks) and 2) other term infants (38 0/7
weeks and older). RESULTS: Early term infants were more likely to require NICU
care than other term infants [relative risk: 1.42, 95% confidence interval
(CI)=1.07-1.88), P=0.01]. In the NICU, they are more likely to manifest
respiratory distress syndrome [odds ratio (OR)=5.7, 95% CI=1.6-19.8, P<0.01] and
hypoglycemia (OR=4.6, 95% CI=2.0-10.4, P<0.001). In addition, early term neonates
were more likely to be born via elective cesarean section than other term
neonates (OR=4.1, 95% CI=2.0-8.5, P<0.001). CONCLUSIONS: Being born at early term
is associated with increased risk of respiratory disease and hypoglycemia
requiring neonatal intensive care. Further efforts directed at decreasing early
term deliveries may be warranted.
PMID- 26547209
TI - Not just a capillary hemangioma.
PMID- 26547210
TI - Stop tarnishing steroid and Chinese medicine.
PMID- 26547212
TI - Interlabial mass in a neonate with paraurethral cyst.
PMID- 26547211
TI - Molecular medicine of fragile X syndrome: based on known molecular mechanisms.
AB - BACKGROUND: Extensive research on fragile X mental retardation gene knockout mice
and mutant Drosophila models has largely expanded our knowledge on mechanism
based treatment of fragile X syndrome (FXS). In light of these findings, several
clinical trials are now underway for therapeutic translation to humans. DATA
SOURCES: Electronic literature searches were conducted using the PubMed database
and ClinicalTrials.gov. The search terms included "fragile X syndrome", "FXS and
medication", "FXS and therapeutics" and "FXS and treatment". Based on the
publications identified in this search, we reviewed the neuroanatomical
abnormalities in FXS patients and the potential pathogenic mechanisms to monitor
the progress of FXS research, from basic studies to clinical trials. RESULTS: The
pathological mechanisms of FXS were categorized on the basis of neuroanatomy,
synaptic structure, synaptic transmission and fragile X mental retardation
protein (FMRP) loss of function. The neuroanatomical abnormalities in FXS were
described to motivate extensive research into the region-specific pathologies in
the brain responsible for FXS behavioural manifestations. Mechanism-directed
molecular medicines were classified according to their target pathological
mechanisms, and the most recent progress in clinical trials was discussed.
CONCLUSIONS: Current mechanism-based studies and clinical trials have greatly
contributed to the development of FXS pharmacological therapeutics. Research
examining the extent to which these treatments provided a rescue effect or FMRP
compensation for the developmental impairments in FXS patients may help to
improve the efficacy of treatments.
PMID- 26547213
TI - Tonsillitis in children: unnecessary laboratory studies and antibiotic use.
AB - BACKGROUND: The Finnish Current Care Guidelines on diagnostics and treatment of
sore throat recommend the treatment of only group A streptococcus (GAS) positive
cases with penicillin. The aim of the study was to evaluate how these guidelines
are followed in the pediatric emergency unit. METHODS: We analyzed
retrospectively the data on microbiological studies and blood tests done, and
data on prescribing of antibiotics, of 200 children admitted for febrile
exudative tonsillitis. RESULTS: After the clinical diagnosis of exudative
tonsillitis, antigen test and/or culture for GAS identification was done in >95%
of cases. All the 32 (16%) children with GAS infection, but also 52 (38%) of the
137 children without any evidence of bacterial infection received antibiotics.
Additional laboratory studies were done in 96% of children. Serum C-reactive
concentrations or white blood cell counts were not able to separate streptococcal
from non-streptococcal tonsillitis. No serious bacterial infection was diagnosed.
CONCLUSIONS: The Finnish Current Care Guidelines lead to over-treatment with
antibiotics. None of the 200 children returned after discharge, suggesting that
undertreatment did not happen.
PMID- 26547214
TI - Systemic Agents for Severe Atopic Dermatitis in Children.
AB - Atopic dermatitis (AD), or eczema, is a chronic inflammatory skin condition
characterized by relapsing pruritic, scaly, erythematous papules and plaques
frequently associated with superinfection. The lifelong prevalence of AD is over
20 % in affluent countries. When a child with severe AD is not responding to
optimized topical therapy including phototherapy, and relevant triggers cannot be
identified or avoided, systemic therapy should be considered. If studies show
early aggressive intervention can prevent one from advancing along the atopic
march, and relevant triggers such as food allergies cannot be either identified
or avoided, systemic therapy may also play a prophylactic role. Though the
majority of evidence exists in adult populations, four systemic non-specific
immunosuppressive or immunomodulatory drugs have demonstrated efficacy in AD and
are used in most patients requiring this level of intervention regardless of age:
cyclosporine, mycophenolate mofetil, methotrexate, and azathioprine. This article
reviews the use of these medications as well as several promising targeted
therapies currently in development including dupilumab and apremilast. We briefly
cover several other systemic interventions that have been studied in children
with atopic dermatitis.
PMID- 26547215
TI - Anacardic acid and thyroid hormone enhance cardiomyocytes production from
undifferentiated mouse ES cells along functionally distinct pathways.
AB - The epigenetics of early commitment to embryonal cardiomyocyte is poorly
understood. In this work, we compared the effect of thyroid hormone and that of
anacardic acid, a naturally occurring histone acetylase inhibitor, or both in
combination, on mouse embryonic stem cells (mES) differentiating into embryonal
cardiomyocyte by embryoid bodies (EBs) formation. Although the results indicated
that anacardic acid (AA) and thyroid hormone were both efficient in promoting
cardiomyocyte differentiation, we noticed that a transient exposure of mES to AA
alone was sufficient to enlarge the beating areas of EBs compared to those of
untreated controls. This effect was associated with changes in the chromatin
structure at the promoters of specific cardiomyogenic genes. Among them, a rapid
induction of the transcription factor Castor 1 (CASZ1), important for
cardiomyocytes differentiation and maturation during embryonic development, was
observed in the presence of AA. In contrast, thyroid hormone (T 3) was more
effective in stimulating spontaneous firing, thus suggesting a role in the
production of a population of cardiomyocyte with pacemaker properties. In
conclusion, AA and thyroid hormone both enhanced cardiomyocyte formation along in
apparently distinct pathways.
PMID- 26547216
TI - Usefulness of NRAS codon 61 mutation analysis and core needle biopsy for the
diagnosis of thyroid nodules previously diagnosed as atypia of undetermined
significance.
AB - A repeat fine needle aspiration (FNA) is recommended for thyroid nodules
diagnosed as atypia of undetermined significance (AUS) in a previous cytology. We
evaluated the utility of NRAS codon 61 (NRAS61) mutation analysis and core needle
biopsy (CNB) for the diagnosis of thyroid nodules previously diagnosed as AUS.
This study enrolled 236 patients who underwent both NRAS61 mutation analysis and
CNB of thyroid nodules previously diagnosed as AUS at cytology. The NRAS61
mutation was detected in 36 nodules and was more frequently detected in the AUS
and follicular neoplasm (FN)/suspicious for follicular neoplasm (SFN) categories,
as determined by histological analysis of CNB, than in the benign group (p =
0.005). Sixty-one patients underwent surgery, and 29 nodules were finally
diagnosed as malignant after surgery. Among 61 patients who underwent surgery,
nodules with the NRAS61 mutation (42-65 %) had a significantly higher malignancy
rate than nodules with wild-type NRAS61 (7-37 %, p = 0.038). The association
between malignancy and the NRAS61 mutation was significant after adjusting for
age, sex, nodule size, and histological diagnosis of CNB (p = 0.01). NRAS61
mutation analysis together with CNB could be helpful for arriving at a clinical
decision in patients with thyroid nodules showing AUS in a previous cytology.
PMID- 26547217
TI - Vitamin D-binding protein and free vitamin D concentrations in acromegaly.
AB - Free 25-hydroxyvitamin D [25(OH)D] is suggested to be important in the
determination of vitamin D deficiency, since vitamin D-binding protein (VDBP) may
affect total 25(OH)D levels. There are no data about free 25(OH)D concentrations
in acromegaly. We aimed to investigate serum VDBP and total and free 25(OH)D
levels in patients with acromegaly in comparison with control subjects. We
recruited 54 patients with acromegaly and 32 control subjects who were similar
according to age, gender, and body mass index. Serum VDBP levels were found to be
increased in patients with acromegaly compared to control subjects [90.35 (72.45
111.10) vs. 69.52 (63.89-80.13) mg/l, p = 0.001]. There was statistically no
significant difference in serum total 25(OH)D levels between the patients with
acromegaly and control subjects [18.63 (13.35-27.73) vs. 22.51 (19.20-28.96)
ng/ml, p = 0.05]. Free 25(OH)D levels were significantly decreased in patients
with acromegaly compared to control subjects [14.55 (10.45-21.45) vs. 17.75
(15.30-23.75) pg/ml, p = 0.03]. Free 25(OH)D levels correlated positively with
total 25(OH)D (p = 0.0001) and HDL cholesterol (p = 0.04) and negatively with
fasting blood glucose (p = 0.04). Our findings indicate that VDBP is increased
and free 25(OH)D is decreased in acromegaly, while there is no significant
alteration in total 25(OH)D.
PMID- 26547218
TI - Determination of thiol/disulphide homeostasis in type 1 diabetes mellitus and the
factors associated with thiol oxidation.
AB - In this study, we aimed to examine dynamic thiol/disulfide homeostasis in type 1
diabetes mellitus (T1DM) and identify the factors associated with thiol
oxidation. Thirty-eight subjects (18 male, 20 female) diagnosed with T1DM and 38
(17 male, 21 female) healthy volunteers without any known diseases were included
in the study. Thiol/disulfide homeostasis concentrations were measured by a newly
developed method (Erel & Neselioglu) in this study. After native thiol, total
thiol and disulfide levels were determined; measures such as disulfide/native
thiol, disulfide/total thiol, and native thiol/total thiol were calculated. In
T1DM patients, compared to the control group, disulfide (p = 0.024),
disulfide/native thiol (p < 0.001), and disulfide/total thiol (p < 0.001) were
determined higher, while native thiol (p = 0.004) and total thiol (p < 0.001)
levels were much lower. In the patient group, a positive correlation was
determined between c-reactive protein (r = 325, p = 0.007; r = 316, p = 0.010,
respectively), fasting blood glucose (r = 279, p = 0.018; r = 251, p = 0.035,
respectively), and glycosylated hemoglobin (r = 341, p = 0.004; r = 332, p =
0.005, respectively) and rates of disulfide/native thiol and disulfide/total
thiol. We determined that thiol oxidation increase in T1DM patients compared to
the control group. We thought that hyperglycemia and chronic inflammation might
be the major cause of increase in oxide thiol form. In order to determine the
relationship between the status of autoimmunity and dynamic thiol/disulfide in
T1DM, dynamic thiol/disulfide homeostasis in newly diagnosed-antibody positive
T1DM patients is required to be investigated.
PMID- 26547219
TI - Deoxyribonuclease I gene polymorphism and susceptibility to systemic lupus
erythematosus.
AB - The DNASE1 gene is regarded as one of the susceptible genes for systemic lupus
erythematosus (SLE). Recent studies have detected the presence of a variable
number of tandem repeat (VNTR) polymorphisms at intron 4 in this gene. The
current study aimed to investigate the influence of current polymorphism on SLE
susceptibility in a sample of the Iranian population. The study included 163
patients and 180 unrelated healthy controls. The VNTR polymorphisms in the DNASE1
gene were determined by polymerase chain reaction (PCR). The genotypic frequency
investigation indicated that 3/6 genotype frequency in patients affected with SLE
was more than healthy controls (P = 0.004). Moreover, 3/4 and 4/6 genotype
frequencies in healthy cohort were further in comparison with patient cohort (P =
0.0001). Findings of the present study manifested that 3/6 genotype in patients
affected with SLE was significantly more than healthy controls, thus it can be
regarded as a risk factor, while 3/4 and 4/6 genotypes were significantly higher
in healthy controls which can be considered as a protective factor.
PMID- 26547220
TI - IL-37 inhibits the production of pro-inflammatory cytokines in MSU crystal
induced inflammatory response.
AB - Acute gouty arthritis (AGA) is an auto-inflammatory disease characterized by
resolving spontaneously, which suggests that negative feedback loops control
inflammatory and immunological responses to monosodium urate (MSU) crystals. By
now, the molecular mechanism for spontaneous resolution of acute GA remains
unclear; this study was undertaken to evaluate whether IL-37 is involved in
spontaneous resolution of AGA. A total of 45 acute GA (AGA),29 non-acute GA
(NAGA) male patients and 82 male health control (HC) were involved in this study,
we measured IL-7 expression in the peripheral blood mononuclear cells (PBMCs),
together with levels of IL-1beta, IL-6, IL-10, TNF-alpha and TGF-beta1 in the
serum. Further, we either inhibited IL-37 expression in human PBMCs with siRNA or
over-expressed the cytokine in human macrophages. Pro-inflammatory cytokine IL
1beta, IL-6, and TNF-alpha expressions were significantly higher in the AGA group
than in the NAGA or HC group (P < 0.05, respectively). However, anti-inflammatory
IL-37, TGF-beta1, and IL-10 were greater in the NAGA group than in the AGA and HC
groups (P < 0.05, respectively). Expression of IL-37 in MSU crystal-treated
macrophages inhibited the expression of pro-inflammatory cytokines, whereas the
abundance of these cytokines increased with silencing of endogenous IL-37 in
human blood cells. However, anti-inflammatory TGF-beta1 and IL-10 expressions in
these supernatants were unaffected by over-expression or knockdown of IL-37. Our
study indicates that IL-37 is an important anti-inflammatory cytokine in AGA by
suppressing the production of pro-inflammatory cytokines. Thus, IL-37 may provide
a novel research target for the pathogenesis and therapy of GA.
PMID- 26547221
TI - Serum calprotectin--a promising diagnostic marker for adult-onset Still's
disease.
AB - Calprotectin is a calcium-binding cytosolic protein, mainly expressed in immune
cells, such as neutrophils, monocytes, and macrophages. Our study aimed to
evaluate the diagnostic value of calprotectin for adult-onset Still's disease
(AOSD), by comparing serum calprotectin concentrations in patients with AOSD (n =
46), rheumatoid arthritis (RA, n = 34), primary Sjogren syndrome (pSS, n = 40),
systemic lupus erythematosus (SLE, n = 39), osteoarthritis (OA, n = 20), and
healthy controls (HCs, n = 49). Calprotectin concentrations were significantly
higher in patients with AOSD (55.26 +/- 18.00 ng/ml), compared to patients with
RA (39.17 +/- 18.90 ng/ml), pSS (35.31 +/- 19.47 ng/ml), SLE (32.21 +/- 25.01
ng/ml), OA (19.24 +/- 10.67 ng/ml), and HCs (8.46 +/- 5.17 ng/ml). All the
differences were highly significant (p < 0.001). Using receiver-operating
characteristic curve, the cut-off value of calprotectin was defined as 45.488
ng/ml, and its sensitivity and specificity for AOSD diagnosis were 63.0 and
80.1%, respectively. The positive rate of calprotectin was significantly higher
in AOSD cases compared to patients with other diseases and healthy controls (p <
0.001). Serum calprotectin was positively correlated with ferritin (r = 0.294, p
< 0.05), and concentration of hemoglobin was significantly lower in calprotectin
positive patients compared to negative patients in AOSD (103.49 +/- 20.21 g/l vs
115.71 +/- 15.59 g/l, t = -2.142, p = 0.038). These findings suggest that serum
calprotectin may serve as a promising marker for the diagnosis of AOSD and
monitor disease activity to a certain extent.
PMID- 26547222
TI - Lessons From Pancreas Transplantation in Type 1 Diabetes: Recurrence of Islet
Autoimmunity.
AB - Type 1 diabetes recurrence (T1DR) affecting pancreas transplants was first
reported in recipients of living-related pancreas grafts from twins or HLA
identical siblings; given HLA identity, recipients received no or minimal
immunosuppression. This observation provided critical evidence that type 1
diabetes (T1D) is an autoimmune disease. However, T1DR is traditionally
considered very rare in immunosuppressed recipients of pancreas grafts from organ
donors, representing the majority of recipients, and immunological graft failures
are ascribed to chronic rejection. We have been performing simultaneous pancreas
kidney (SPK) transplants for over 25 years and find that 6-8 % of our recipients
develop T1DR, with symptoms usually becoming manifest on extended follow-up. T1DR
is typically characterized by (1) variable degree of insulitis and loss of
insulin staining, on pancreas transplant biopsy (with most often absent), minimal
to moderate and rarely severe pancreas, and/or kidney transplant rejection; (2)
the conversion of T1D-associated autoantibodies (to the autoantigens GAD65, IA-2,
and ZnT8), preceding hyperglycemia by a variable length of time; and (3) the
presence of autoreactive T cells in the peripheral blood, pancreas transplant,
and/or peripancreatic transplant lymph nodes. There is no therapeutic regimen
that so far has controlled the progression of islet autoimmunity, even when
additional immunosuppression was added to the ongoing chronic regimens; we hope
that further studies and, in particular, in-depth analysis of pancreas transplant
biopsies with recurrent diabetes will help identify more effective therapeutic
approaches.
PMID- 26547224
TI - Challenges in the adjudication of major bleeding events in acute coronary
syndrome: a plea for a standardized approach and guidance to adjudication.
PMID- 26547225
TI - How to treat Marfan syndrome: an update.
PMID- 26547223
TI - Exercise Improves Clinical Symptoms, Quality of Life, Global Functioning, and
Depression in Schizophrenia: A Systematic Review and Meta-analysis.
AB - BACKGROUND: Physical exercise may be valuable for patients with schizophrenia
spectrum disorders as it may have beneficial effect on clinical symptoms, quality
of life and cognition. METHODS: A systematic search was performed using PubMed
(Medline), Embase, PsychInfo, and Cochrane Database of Systematic Reviews.
Controlled and uncontrolled studies investigating the effect of any type of
physical exercise interventions in schizophrenia spectrum disorders were
included. Outcome measures were clinical symptoms, quality of life, global
functioning, depression or cognition. Meta-analyses were performed using
Comprehensive Meta-Analysis software. A random effects model was used to compute
overall weighted effect sizes in Hedges' g. RESULTS: Twenty-nine studies were
included, examining 1109 patients. Exercise was superior to control conditions in
improving total symptom severity (k = 14, n = 719: Hedges' g = .39, P < .001),
positive (k = 15, n = 715: Hedges' g = .32, P < .01), negative (k = 18, n = 854:
Hedges' g = .49, P < .001), and general (k = 10, n = 475: Hedges' g = .27, P <
.05) symptoms, quality of life (k = 11, n = 770: Hedges' g = .55, P < .001),
global functioning (k = 5, n = 342: Hedges' g = .32, P < .01), and depressive
symptoms (k = 7, n = 337: Hedges' g = .71, P < .001). Yoga, specifically,
improved the cognitive subdomain long-term memory (k = 2, n = 184: Hedges' g =
.32, P < .05), while exercise in general or in any other form had no effect on
cognition. CONCLUSION: Physical exercise is a robust add-on treatment for
improving clinical symptoms, quality of life, global functioning, and depressive
symptoms in patients with schizophrenia. The effect on cognition is not
demonstrated, but may be present for yoga.
PMID- 26547226
TI - A Randomized Trial of Cardiovascular Responses to Energy Drink Consumption in
Healthy Adults.
PMID- 26547227
TI - [Literature review of the importance of retinal examination in two genetic
neuromuscular diseases (DM1 and FSHD). Potential clinical applications].
PMID- 26547228
TI - [Unilateral posterior form of persistent hyperplastic primary vitreous].
PMID- 26547229
TI - [Visual acuity, pachymetry and corneal density after 5% sodium chloride treatment
in corneal edema after surgery].
AB - PURPOSE: To evaluate the effectiveness of a hyperosmolar medication in corneal
edema. METHODS: This was a prospective randomized study, performed in patients
with post-operative corneal edema. Two groups were created: group 1 treated with
hypertonic eye drops of 5% sodium chloride with 0.15% sodium hyaluronate in
addition to the usual post-operative treatment for 1 month; group 2 without
treatment for edema. Visual acuity, pachymetry and corneal density were measured
at 1 day, 1 week, 1 month, 3 months and 6 months following surgery. RESULTS:
Forty-four patients were included in group 1 and 42 in group 2. Visual acuity was
significantly better in group 1 than in group 2 at 7 days (0.84 logMAR vs 1.55
logMAR, P=0.019 CI 95% [-1.298;-0.128]) and was no longer different at one month,
three and six months. Pachymetry decreased significantly in 7 days in group 1
(decrease of 17%, P=0.04, CI 95% [1.987; 258.305]), compared to group 2 (P=0.8,
CI 95% [-132.9; 161.8]), but the difference between the 2 groups was not
statistically significant (P=0.11, CI 95% [-16; 19.1]). Corneal density was also
not significantly different between the two groups. CONCLUSION: Five percent
sodium chloride hypertonic eye drops show a statistically significant reduction
in post-operative corneal edema as shown by the improvement in visual acuity at
one week and the downward trend in pachymetry.
PMID- 26547230
TI - Comparison of transposon and deletion mutants in Mycobacterium tuberculosis: The
case of rv1248c, encoding 2-hydroxy-3-oxoadipate synthase.
AB - We compared phenotypes of five strains of Mycobacterium tuberculosis (Mtb)
differing in their expression of rv1248c and its product, 2-hydroxy-3-oxoadipate
synthase (HOAS), with a focus on carbon source-dependent growth rates and
attenuation in mice. Surprisingly, an rv1248c transposon mutant on a CDC1551
background grew differently than an rv1248c deletion mutant on the same
background. Moreover, the same rv1248c deletion in two different yet genetically
similar strain backgrounds (CDC1551 and H37Rv) gave different phenotypes, though
each could be complemented. Whole genome re-sequencing did not provide an obvious
explanation for these discrepancies. These observations offer a cautionary lesson
about the strength of inference from complementation and sequence analysis, and
commend consideration of more complex phenomena than usually contemplated in Mtb,
such as epigenetic control.
PMID- 26547231
TI - [Multiple sites extrapodal actinomycetoma: Favorable outcome to treatment with a
combination of cotrimoxazole and NSAI].
AB - Mycetoma is a bacteriological or fungal infectious disease affecting the skin
and/or soft tissues, which can be complicated by bone involvement. The most
common feature is a tumor of the foot, but extrapodal localizations have been
described. We report one case of a 47-year-old man who presented with tumefaction
of a leg with multiple skin fistulae. Histopathological examination permitted to
confirm the diagnosis of actinomycetoma and TDM showed the degree of bone and
soft tissues involvement. Our case was characterized by the very inflammatory
aspect of the tumor, its localization to the leg without foot involvement, the
modest functional signs compared to the importance of radiological bone
involvements, the deep destruction of the fibula while the tibia was apparently
intact and the good response to treatment. In spite of its characteristic
features, diagnosis of mycetoma is still late in our country, often with bone
and/or articular spread. Priority may be given to measures for reduction of
mycetoma diagnosis lateness.
PMID- 26547232
TI - 2-Arylquinazolin-4(3H)-ones: A novel class of thymidine phosphorylase inhibitors.
AB - Thymidine phosphorylase (TP) over expression plays an important role in several
pathological conditions, such as rheumatoid arthritis, chronic inflammatory
diseases, psoriasis, and tumor angiogenesis. In this regard, a series of twenty
five 2-arylquinazolin-4(3H)-one derivatives 1-25 were evaluated for thymidine
phosphorylase inhibitory activity. Six compounds 5, 6, 20, 2, 23, and 3 were
found to be active against thymidine phosphorylase enzyme with IC50 values in the
range of 42.9-294.6MUM. 7-Deazaxanthine (IC50=41.0+/-1.63MUM) was used as a
standard inhibitor. Compound 5 showed a significant activity (IC50=42.9+/
1.0MUM), comparable to the standard. The enzyme kinetic studies on the most
active compounds 5, 6, and 20 were performed for the determination of their modes
of inhibition, and dissociation constants Ki. All active compounds were found to
be largely non-cytotoxic against the mouse fibroblast 3T3 cell line. This study
identifies a novel class of thymidine phosphorylase inhibitors which may be
further investigated as leads to develop therapeutic agents.
PMID- 26547233
TI - Letter to the Editor regarding "Sinogenic intracranial abscesses".
PMID- 26547234
TI - Comparing diffusion weighted MRI in the detection of post-operative middle ear
cholesteatoma in children and adults.
AB - INTRODUCTION: There is a limited evidence base for the use of diffusion weighted
MRI (DWMRI) in the assessment of post-operative cholesteatoma in children. This
is important to address as this technique is particularly relevant in a
paediatric setting. METHODS: We searched a prospectively collected database of
patients undergoing DWMRI for the assessment of residual and recurrent
cholesteatoma. Imaging findings were correlated with findings at revision
surgery. 320 investigations were divided into paediatric and adult groups (90 in
children, 230 in adults) and compared. RESULTS: Operative findings were available
for 158 cases, of which 54 were children. The accuracy of DWMRI in children was
96.3%, and in adults was 88.5%. There were no statistically significant
differences in the sensitivity, specificity, positive or negative predictive
values between adults and children. DISCUSSION: An increasing number of patients
are not undergoing confirmatory surgery after negative DWMRI scans. False
negative results are commonly secondary to small foci of disease; false positives
have several possible causes. Performing MRI on children can be challenging, but
sedation may be helpful in younger children. CONCLUSION: The performance of
diffusion weighted MRI is similar in paediatric and adult settings. This study
suggests that DWMRI may be used in clinical practice in a similar way in children
and adults.
PMID- 26547236
TI - The association between regular yoga and meditation practice and falls and
injuries: Results of a national cross-sectional survey among Australian women.
AB - INTRODUCTION: Falls are the leading cause of injuries in women across all ages.
While yoga has been shown to increase balance, it has also been associated with
injuries due to falls during practice. This study aimed to analyse whether
regular yoga or meditation practice is associated with the frequency of falls and
fall-related injuries in upper middle-aged Australian women. METHODS: Women aged
59-64 years from the Australian Longitudinal Study on Women's Health (ALSWH) were
queried regarding falls and falls-related injuries; and whether they regularly
practiced yoga or meditation. Associations of falls and falls-related injuries
with yoga or meditation practice were analysed using chi-squared tests and
multiple logistic regression modelling. RESULTS: Of 10,011 women, 4413 (44.1%)
had slipped, tripped or stumbled, 2770 (27.7%) had fallen to the ground, 1398
(14.0%) had been injured as a result of falling, and 901 (9.0%) women had sought
medical attention for a fall-related injury within the previous 12 months. Yoga
or meditation was practiced regularly by 746 (7.5%) women. No associations of
falls, fall-related injuries and treatment due to falls-related injury with yoga
or meditation practice were found. DISCUSSION: No association between yoga or
meditation practice and falls or fall-related injuries have been found. Further
studies are warranted for conclusive judgement of benefits and safety of yoga and
meditation in relation to balance, falls and fall-related injuries.
PMID- 26547235
TI - Crowdsourced direct-to-consumer genomic analysis of a family quartet.
AB - BACKGROUND: We describe the pioneering experience of a Spanish family pursuing
the goal of understanding their own personal genetic data to the fullest possible
extent using Direct to Consumer (DTC) tests. With full informed consent from the
Corpas family, all genotype, exome and metagenome data from members of this
family, are publicly available under a public domain Creative Commons 0 (CC0)
license waiver. All scientists or companies analysing these data ("the
Corpasome") were invited to return results to the family. METHODS: We released 5
genotypes, 4 exomes, 1 metagenome from the Corpas family via a blog and figshare
under a public domain license, inviting scientists to join the crowdsourcing
efforts to analyse the genomes in return for coauthorship or acknowldgement in
derived papers. Resulting analysis data were compiled via social media and direct
email. RESULTS: Here we present the results of our investigations, combining the
crowdsourced contributions and our own efforts. Four companies offering
annotations for genomic variants were applied to four family exomes: BIOBASE,
Ingenuity, Diploid, and GeneTalk. Starting from a common VCF file and after
selecting for significant results from company reports, we find no overlap among
described annotations. We additionally report on a gut microbiome analysis of a
member of the Corpas family. CONCLUSIONS: This study presents an analysis of a
diverse set of tools and methods offered by four DTC companies. The striking
discordance of the results mirrors previous findings with respect to DTC analysis
of SNP chip data, and highlights the difficulties of using DTC data for
preventive medical care. To our knowledge, the data and analysis results from our
crowdsourced study represent the most comprehensive exome and analysis for a
family quartet using solely DTC data generation to date.
PMID- 26547237
TI - Sexual health and relationships after age 60.
AB - A commonly used phrase describing aging is "60 is the new 40". Although in many
aspects of life this may be correct, in discussing sexual health, challenges to
maintaining excellent sexual health become more common around age 60. Biological
aging challenges physical sexual activity and responsiveness. We commence by
briefly surveying the extensive coverage of 'normal' physiological aging. We
primarily focus on issues that arise in distinct disease and or
pathophysiological states, including gynecological and breast cancer, as well as
those associated with partners of men who are either prostate cancer survivors or
who have taken therapy for erectile dysfunction (ED). Regrettably, there is a
very modest literature on sexual health and associated possible interventions in
older patients in these cohorts. We discuss a variety of interventions and
approaches, including those that we have developed and applied in a clinic at our
host university, which have generally produced successful outcomes. The extended
focus to sexual relationship dynamics in partners of men with either prostate
cancer or ED in particular is virtually unexplored, yet is especially timely
given the large numbers of women who encounter this situation. Finally, we
briefly discuss cross-cultural distinctions in older couples' expectations, which
exhibit remarkable variation.
PMID- 26547238
TI - Hox Proteins Act as Transcriptional Guarantors to Ensure Terminal
Differentiation.
AB - Cell differentiation usually occurs with high fidelity, but the expression of
many transcription factors is variable. Using the touch receptor neurons (TRNs)
in C. elegans, we found that the Hox proteins CEH-13/lab and EGL-5/Abd-B overcome
this variability by facilitating the activation of the common TRN fate
determinant mec-3 in the anterior and posterior TRNs, respectively. CEH-13 and
EGL-5 increase the probability of mec-3 transcriptional activation by the POU
homeodomain transcription factor UNC-86 using the same Hox/Pbx binding site.
Mutation of ceh-13 and egl-5 resulted in an incomplete (~40%) loss of the TRN
fate in respective TRNs, which correlates with quantitative mRNA measurements
showing two distinct modes (all or none) of mec-3 transcription. Therefore, Hox
proteins act as transcriptional "guarantors" in order to ensure reliable and
robust gene expression during terminal neuronal differentiation. Guarantors do
not activate gene expression by themselves but promote full activation of target
genes regulated by other transcription factors.
PMID- 26547239
TI - Small Molecules Take A Big Step Against Clostridium difficile.
AB - Effective treatment of Clostridium difficile infections demands a shift away from
antibiotics towards toxin-neutralizing agents. Work by Bender et al., using a
drug that attenuates toxin action in vivo without affecting bacterial survival,
demonstrates the exciting potential of small molecules as a new modality in the
fight against C. difficile.
PMID- 26547240
TI - Keeping postdiction simple.
AB - Postdiction effects are phenomena in which a stimulus influences the appearance
of events taking place before it. In metacontrast masking, for instance, a
masking stimulus can render a target stimulus shown before the mask invisible.
This and other postdiction effects have been considered incompatible with a
simple explanation according to which (i) our perceptual experiences are delayed
for only the time it takes for a distal stimulus to reach our sensory receptors
and for our neural mechanisms to process it, and (ii) the order in which the
processing of stimuli is completed corresponds with the apparent temporal order
of stimuli. As a result, the theories that account for more than a single
postdiction effect reject at least one of these theses. This paper presents a new
framework for the timing of experiences-the non-linear latency difference view-in
which the three most discussed postdiction effects-apparent motion, the flash-lag
effect, and metacontrast masking-can be accounted for while simultaneously
holding theses (i) and (ii). This view is grounded in the local reentrant
processes, which are known to have a crucial role in perception. Accordingly, the
non-linear latency difference view is both more parsimonious and more empirically
plausible than the competing theories, all of which remain largely silent about
the neural implementation of the mechanisms they postulate.
PMID- 26547241
TI - Clinical assessment of endothelial function in patients with rheumatoid
arthritis: A meta-analysis of literature studies.
AB - BACKGROUND: Several studies reported an increased cardiovascular (CV) morbidity
and mortality in patients with rheumatoid arthritis (RA). Flow-mediated (FMD) and
nitrate-mediated dilation (NMD) are considered non-invasive methods to assess
endothelial function and surrogate markers of subclinical atherosclerosis.
METHODS: We performed a systematic review with meta-analysis and meta-regression
of literature studies evaluating the impact of RA on FMD and NMD. Studies
evaluating the relationship between RA and markers of CV risk (FMD and NMD) were
systematically searched in the PubMed, Web of Science, Scopus and EMBASE
databases. The random-effect method was used for analyses and results were
expressed as mean difference (MD). RESULTS: A total of 20 studies (852 RA
patients, 836 controls) were included in the final analysis. In detail, 20
studies with data on FMD (852 cases, 836 controls) and 5 studies with data on NMD
(207 cases, 147 controls) were analyzed. Compared to controls, RA patients showed
a significantly lower FMD (MD: -2.16%; 95% CI: -3.33, -0.98; P=0.0003), with no
differences in NMD (MD: -0.41%; 95% CI: -2.89, 2.06; P=0.74). Interestingly, a
lower FMD (MD: -2.00%; 95% CI: -3.20, -0.80; P=0.001) and no differences in NMD
(P=0.49) were confirmed when excluding data on patients with early-RA. Meta
regression models showed that a more severe inflammatory status was associated
with a more significant impairment in FMD. CONCLUSIONS: RA patients show impaired
FMD, which is currently considered an independent predictor of CV events. The
presence of endothelial dysfunction in RA should be taken into account to plan
adequate prevention strategies and therapeutic approaches.
PMID- 26547242
TI - Finite-time synchronization of fractional-order memristor-based neural networks
with time delays.
AB - In this paper, we consider the problem of finite-time synchronization of a class
of fractional-order memristor-based neural networks (FMNNs) with time delays and
investigated it potentially. By using Laplace transform, the generalized
Gronwall's inequality, Mittag-Leffler functions and linear feedback control
technique, some new sufficient conditions are derived to ensure the finite-time
synchronization of addressing FMNNs with fractional order alpha:16.
PMID- 26547270
TI - Key signaling pathways in the muscle-invasive bladder carcinoma: Clinical markers
for disease modeling and optimized treatment.
AB - In this review, we evaluate key molecular pathways and markers of muscle-invasive
bladder cancer (MIBC). Overexpression and activation of EGFR, p63, and EMT genes
are suggestive of basal MIBC subtype generally responsive to chemotherapy.
Alterations in PPARgamma, ERBB2/3, and FGFR3 gene products and their signaling
along with deregulated p53, cytokeratins KRT5/6/14 in combination with the
cellular proliferation (Ki-67), and cell cycle markers (p16) indicate the need
for more radical treatment protocols. Similarly, the "bell-shape" dynamics of Shh
expression levels may suggest aggressive MIBC. A panel of diverse biological
markers may be suitable for simulation studies of MIBC and development of an
optimized treatment protocol. We conducted a critical evaluation of
PubMed/Medline and SciFinder databases related to MIBC covering the period 2009
2015. The free-text search was extended by adding the following keywords and
phrases: bladder cancer, metastatic, muscle-invasive, basal, luminal, epithelial
to-mesenchymal transition, cancer stem cell, mutations, immune response,
signaling, biological markers, molecular markers, mathematical models,
simulation, epigenetics, transmembrane, transcription factor, kinase, predictor,
prognosis. The resulting selection of ca 500 abstracts was further analyzed in
order to select the latest publications relevant to MIBC molecular markers of
immediate clinical significance.
PMID- 26547271
TI - Emerging programmed aging mechanisms and their medical implications.
AB - For many generations programmed aging in humans was considered theoretically
impossible and medical attempts to treat or delay age-related diseases were based
on non-programmed aging theories. However, there is now an extensive theoretical
basis for programmed mammal aging and substantially funded medical research
efforts based on programmed aging theories are underway. This article describes
the very different disease mechanism concepts that logically result from the
theories and the impacts emerging programmed aging mechanisms will have on
funding and performing medical research on age-related conditions.
PMID- 26547272
TI - The tuberculosis spectrum: Translating basic research into pediatric clinical
practice.
AB - Recent studies suggest that the classical dichotomous classification of "active"
and "latent" tuberculosis (TB) is no longer acceptable since "TB infection"
encompasses a wide spectrum of conditions ranging from asymptomatic to lethal
disease. In an attempt to address these issues from a pediatric clinical
perspective, we describe two children with microbiologically confirmed TB but
lacking any clinical and radiological evidence of disease. These two cases
highlight the hypothesis that TB cannot be divided in two simple categories, but
it covers a wide spectrum of manifestations ranging from asymptomatic to lethal
TB. The implications of these results in the context of the new TB spectrum and
the related clinical issues are discussed.
PMID- 26547273
TI - Involvement of steatosis-induced glucagon resistance in hyperglucagonaemia.
AB - For more than a century type 2 diabetes has been looked upon mainly as an insulin
related disease and it is well-acknowledged that insulin resistance and beta cell
dysfunction play important roles in the pathophysiology of the disease. During
the last couple of decades, glucagon has also been recognised to play a
significant role in type 2 diabetic pathophysiology. However, the mechanisms
underlying disturbances in the regulation of glucagon remain unclear. Glucagon
constitutes the primary stimulus for hepatic glucose production and, thus,
upholds adequate blood glucose levels during fasting conditions. Many - but not
all - patients with type 2 diabetes are characterised by inappropriately elevated
plasma levels of glucagon contributing to their hyperglycaemic state. We believe
that phenotypical dissimilarities within this group of patients may determine the
presence and degree of hyperglucagonaemia. Results from our group show that both
normoglycaemic individuals and patients with type 2 diabetes with non-alcoholic
fatty liver disease (NAFLD) exhibit fasting hyperglucagonaemia compared to
similarly grouped individuals without NAFLD. Therefore, we speculate that NAFLD -
and not type 2 diabetes per se - is the main driver behind fasting
hyperglucagonaemia. We hypothesise that in the majority of type 2 diabetic
individuals hepatic sensitivity to glucagon is compromised due to hepatic
steatosis, and that this provides a feedback mechanism acting at the level of
pancreatic alpha cells, leading to elevated levels of glucagon. Here we present
our hypothesis and propose a way to test it. If our hypothesis holds true,
hepatic glucagon resistance would constitute a parallel to the obesity-induced
insulin resistance in muscle and liver tissue, and underpin a central role for
glucagon in the pathogenesis of type 2 diabetes. This would provide a crucial
step forward in understanding the interaction between NAFLD and the alpha cell in
the pathophysiology underlying type 2 diabetes.
PMID- 26547274
TI - Using a Systematic Approach and Theoretical Framework to Design a Curriculum for
the Shaping Healthy Choices Program.
AB - OBJECTIVE: To examine the use of a systematic approach and theoretical framework
to develop an inquiry-based, garden-enhanced nutrition curriculum for the Shaping
Healthy Choices Program. METHODS: Curriculum development occurred in 3 steps:
identification of learning objectives, determination of evidence of learning, and
activity development. Curriculum activities were further refined through pilot
testing, which was conducted in 2 phases. Formative data collected during pilot
testing resulted in improvements to activities. RESULTS: Using a systematic,
iterative process resulted in a curriculum called Discovering Healthy Choices,
which has a strong foundation in Social Cognitive Theory and constructivist
learning theory. Furthermore, the Backward Design method provided the design team
with a systematic approach to ensure activities addressed targeted learning
objectives and overall Shaping Healthy Choices Program goals. CONCLUSIONS AND
IMPLICATIONS: The process by which a nutrition curriculum is developed may have a
direct effect on student outcomes. Processes by which nutrition curricula are
designed and learning objectives are selected, and how theory and pedagogy are
applied should be further investigated so that effective approaches to developing
garden-enhanced nutrition interventions can be determined and replicated.
PMID- 26547275
TI - Parental decision-making on utilisation of out-of-home respite in children's
palliative care: findings of qualitative case study research - a proposed new
model.
AB - BACKGROUND: Respite in children's palliative care aims to provide a break for
family's from the routine of caring. Parental decision-making regarding the
utilisation of out-of-home respite is dependent on many interlinking factors
including the child's age, diagnosis, geographical location and the family's
capacity to meet their child's care needs. A proposed model for out-of-home
respite has been developed based on the findings of qualitative case study
research. METHODS: Utilising multiple, longitudinal, qualitative case study
design, the respite needs and experiences of parents caring for a child with a
life-limiting condition were explored. Multiple, in-depth interviews were
undertaken with the parents identified by a hospital-based children's palliative
care team. Data were analysed using thematic analysis. Each individual case
consists of a whole study. Cross-case comparison was also conducted. RESULTS:
Nine families were recruited and followed for two years. A total of 19 in-depth
interviews were conducted with mothers and fathers (one or both) caring for a
child with a life-limiting condition in Ireland. Each family reported vastly
different needs and experiences of respite from their own unique perspective.
Cross-case comparison showed that for all parents utilising respite care,
regardless of their child's age and condition, home was the location of choice.
Many interlinking factors influencing these decisions included: past experience
of in-patient care, and trust and confidence in care providers. Issues were
raised regarding the impact of care provision in the home on family life,
siblings and the concept of home. CONCLUSION: Respite is an essential element of
children's palliative care. Utilisation of out-of-home respite is heavily
dependent on a number of interlinked and intertwined factors. The proposed model
of care offers an opportunity to identify how these decisions are made and may
ultimately assist in identifying the elements of responsive and family-focused
respite that are important to families of children with life-limiting conditions.
PMID- 26547276
TI - Individual and sex-related differences in pain and relief responsiveness are
associated with differences in resting-state functional networks in healthy
volunteers.
AB - Pain processing is associated with neural activity in a number of widespread
brain regions. Here, we investigated whether functional connectivity at rest
between these brain regions is associated with individual and sex-related
differences in thermal pain and relief responsiveness. Twenty healthy volunteers
(ten females) were scanned with functional magnetic resonance imaging in resting
conditions. Half an hour after scanning, we administered thermal pain on the back
of their right hand and collected pain and relief ratings in two separate runs of
twelve stimuli each. Across the whole group, mean pain ratings were associated
with decreased connectivity at rest between brain regions belonging to the
default mode and the visual resting-state network. In men, pain measures
correlated with increased connectivity within the visual resting-state network.
In women, in contrast, decreased connectivity between this network and parietal
and prefrontal brain regions implicated in affective cognitive control were
associated with both pain and relief ratings. Our findings indicate that the well
documented individual variability and sex differences in pain sensitivity may be
explained, at least in part, by network dynamics at rest in these brain regions.
PMID- 26547277
TI - Forebrain-independent generation of hyperthermic convulsions in infant rats.
AB - Febrile seizures are the most common type of convulsive events in children. It is
generally assumed that the generalization of these seizures is a result of
brainstem invasion by the initial limbic seizure activity. Using precollicular
transection in 13-day-old rats to isolate the forebrain from the brainstem, we
demonstrate that the forebrain is not required for generation of tonic-clonic
convulsions induced by hyperthermia or kainate. Compared with sham-operated
littermate controls, latency to onset of convulsions in both models was
significantly shorter in pups that had undergone precollicular transection,
indicating suppression of the brainstem seizure network by the forebrain in the
intact animal. We have shown previously that febrile seizures are precipitated by
hyperthermia-induced respiratory alkalosis. Here, we show that triggering of
hyperthermia-induced hyperventilation and consequent convulsions in transected
animals are blocked by diazepam. The present data suggest that the role of
endogenous brainstem activity in triggering tonic-clonic seizures should be re
evaluated in standard experimental models of limbic seizures. Our work sheds new
light on the mechanisms that generate febrile seizures in children and,
therefore, on how they might be treated.
PMID- 26547278
TI - Survey on multisensory feedback virtual reality dental training systems.
AB - Compared with traditional dental training methods, virtual reality training
systems integrated with multisensory feedback possess potentials advantages.
However, there exist many technical challenges in developing a satisfactory
simulator. In this manuscript, we systematically survey several current dental
training systems to identify the gaps between the capabilities of these systems
and the clinical training requirements. After briefly summarising the components,
functions and unique features of each system, we discuss the technical challenges
behind these systems including the software, hardware and user evaluation
methods. Finally, the clinical requirements of an ideal dental training system
are proposed. Future research/development areas are identified based on an
analysis of the gaps between current systems and clinical training requirements.
PMID- 26547279
TI - The histopathological and morphometric investigation of the effects of
systemically administered humic acid on alveolar bone loss in ligature-induced
periodontitis in rats.
AB - BACKGROUND: Humic acid is a soil extract found widely around the world. This
product includes some trace elements important for human's health. The purpose of
this study was to evaluate the morphometric and histopathological changes
associated with an experimental periodontitis model in rats in response to
systemic administration of humic acid. MATERIAL AND METHODS: Thirty-eight male
Wistar rats were divided into five experimental groups: non-ligated (NL, n = 6)
group; ligature-only (LO, n = 8) group; ligature + systemic administration of
humic acid (20, 80 and 150 mg/kg body weight per day for 15 d respectively) (S
20, S-80 and S-150) groups. 4/0 silk ligatures were placed at the gingival margin
of lower first molars of the mandibular quadrant. The animals were killed at the
end of 15 d. Changes in alveolar bone levels were clinically measured, using a
stereomicroscope (* 25), as the distance from the cementoenamel junction to the
alveolar bone crest. Tissues were histopathologically examined to assess the
differences of osteoclast numbers, osteoblastic activity and inflammatory cell
infiltration among the study groups. Enzyme-linked immunosorbent assay
interleukin (IL)-1beta and IL-10 levels in serum and gingival homogenates were
evaluated. RESULTS: At the end of 15 d, the alveolar bone loss was significantly
higher in the LO group compared to the NL, S-80 and S-150 groups (p < 0.05). In
addition, the alveolar bone loss in the S-80 group was significantly lower than
the LO and S-20 groups (p < 0.05). The osteoblastic activity in the S-80 and S
150 groups was significantly higher than the other groups (p < 0.05). The
osteoclast number in the LO group was significantly higher than the NL, S-80 and
S-150 groups (p < 0.05). Inflammatory cell infiltration was significantly higher
in LO and S-20 groups than the other groups (p < 0.05). The highest serum and
gingival homogenate IL-10 levels were determined in the S-80 group (p < 0.05).
The serum and gingival homogenate IL-1beta levels in the LO group were
significantly higher than the other groups (p < 0.05). Both 80 and 150 mg/kg
dosages of humic acid significantly reduced the periodontitis-related bone loss
and inflammation, but the differences between these two groups were not
statistically significant (p > 0.05). CONCLUSIONS: Within the limits of this
study, it can be suggested that humic acid, when administered systemically as an
80 mg/kg dose, may prevent alveolar bone loss and reduce inflammation in the rat
model.
PMID- 26547280
TI - Health risks, social relations and class: an analysis of occupational health
discourse in Finnish newspaper and women's magazine articles 1961-2008.
AB - In this article we examine the treatment of psychosocial risks in public
occupational health discourse in Finnish newspaper and magazine articles between
the 1960s and 2000s, using discourse analysis. Building on class theories, our
aim is to investigate how class expectations have been linked with the
redefinition of occupational health risks during this period. Our results suggest
that as social relations at the workplace became problematised in the
occupational health discussions after the 1970s, the image of the hierarchical
and naturally conflictual organisation was replaced by idealised middle-class
notions of smoothly functioning, harmonious organisations that offered rewarding
work experiences. However, this same period since the late 1970s has also been
characterised by increasing economic competition and neoliberal market ideology.
We conclude that the concern about work-related psychosocial risks and health
problems expressed in Finnish newspaper and magazine articles during the last
three decades has been shaped in many respects by a collision between the
dominant middle-class expectations of harmony and equality and the neoliberal
production of competition and inequality.
PMID- 26547281
TI - Landscape genetics in a changing world: disentangling historical and contemporary
influences and inferring change.
AB - Landscape genetics seeks to determine the effect of landscape features on gene
flow and genetic structure. Often, such analyses are intended to inform
conservation and management. However, depending on the many factors that
influence the time to reach equilibrium, genetic structure may more strongly
represent past rather than contemporary landscapes. This well-known lag between
current demographic processes and population genetic structure often makes it
challenging to interpret how contemporary landscapes and anthropogenic activity
shape gene flow. Here, we review the theoretical framework for factors that
influence time lags, summarize approaches to address this temporal disconnect in
landscape genetic studies, and evaluate ways to make inferences about landscape
change and its effects on species using genetic data alone or in combination with
other data. Those approaches include comparing correlation of genetic structure
with historical versus contemporary landscapes, using molecular markers with
different rates of evolution, contrasting metrics of genetic structure and gene
flow that reflect population genetic processes operating at different temporal
scales, comparing historical and contemporary samples, combining genetic data
with contemporary estimates of species distribution or movement, and controlling
for phylogeographic history. We recommend using simulated data sets to explore
time lags in genetic structure, and argue that time lags should be explicitly
considered both when designing and interpreting landscape genetic studies. We
conclude that the time lag problem can be exploited to strengthen inferences
about recent landscape changes and to establish conservation baselines,
particularly when genetic data are combined with other data.
PMID- 26547282
TI - Eco-morphological differentiation in Lake Magadi tilapia, an extremophile cichlid
fish living in hot, alkaline and hypersaline lakes in East Africa.
AB - Ecological diversification through divergent selection is thought to be a major
force during the process of adaptive radiations. However, the large sizes and
complexity of most radiations such as those of the cichlids in the African Great
Lakes make it impossible to infer the exact evolutionary history of any
population divergence event. The genus Alcolapia, a small cichlid lineage endemic
to Lakes Magadi and Natron in East Africa, exhibits phenotypes similar to some of
those found in cichlids of the radiations of the African Great Lakes. The
simplicity within Alcolapia makes it an excellent model system to investigate
ecological diversification and speciation. We used an integrated approach
including population genomics based on RAD-seq data, geometric morphometrics and
stable isotope analyses to investigate the eco-morphological diversification of
tilapia in Lake Magadi and its satellite lake Little Magadi. Additionally, we
reconstructed the demographic history of the species using coalescent simulations
based on the joint site frequency spectrum. The population in Little Magadi has a
characteristically upturned mouth--possibly an adaptation to feeding on prey from
the water surface. Eco-morphological differences between populations within Lake
Magadi are more subtle, but are consistent with known ecological differences
between its lagoons such as high concentrations of nitrogen attributable to
extensive guano deposits in Rest of Magadi relative to Fish Springs Lagoon. All
populations diverged simultaneously only about 1100 generations ago. Differences
in levels of gene flow between populations and the effective population sizes
have likely resulted in the inferred heterogeneous patterns of genome-wide
differentiation.
PMID- 26547283
TI - Prevention of lung cancer recurrence using cisplatin-loaded superhydrophobic
nanofiber meshes.
AB - For early stage lung cancer patients, local cancer recurrence after surgical
resection is a significant concern and stems from microscopic disease left behind
after surgery. Here we apply a local drug delivery strategy to combat local lung
cancer recurrence after resection using non-woven, biodegradable nanofiber meshes
loaded with cisplatin. The meshes are fabricated using a scalable electrospinning
process from two biocompatible polymers--polycaprolactone and poly(glycerol
monostearate-co-caprolactone)--to afford favorable mechanical properties for use
in a dynamic tissue such as the lung. Owing to their rough nanostructure and
hydrophobic polymer composition, these meshes exhibit superhydrophobicity, and it
is this non-wetting nature that sustains the release of cisplatin in a linear
fashion over ~90 days, with anti-cancer efficacy demonstrated using an in vitro
Lewis Lung carcinoma (LLC) cell assay. The in vivo evaluation of cisplatin-loaded
superhydrophobic meshes in the prevention of local cancer recurrence in a murine
model of LLC surgical resection demonstrated a statistically significant increase
(p = 0.0006) in median recurrence-free survival to >23 days, compared to standard
intraperitoneal cisplatin therapy of equivalent dose. These results emphasize the
importance of supplementing cytoreductive surgery with local drug delivery
strategies to improve prognosis for lung cancer patients undergoing tumor
resection.
PMID- 26547284
TI - How Can Mutations Thermostabilize G-Protein-Coupled Receptors?
AB - Structures of over 30 different G-protein-coupled receptors (GPCRs) have advanced
our understanding of cell signaling and have provided a foundation for structure
guided drug design. This exciting progress has required the development of three
complementary methods to facilitate GPCR crystallization, one of which is the
thermostabilization of receptors by systematic mutagenesis. However, the reason
why a particular mutation, or combination of mutations, stabilizes the receptor
is not always evident from a static crystal structure. Molecular dynamics (MD)
simulations have been used to identify and estimate the energetic factors that
affect thermostability through comparing the dynamics of the thermostabilized
receptors with structure-based models of the wild-type receptor. The data
indicate that receptors are stabilized through a combination of factors,
including an increase in receptor rigidity, a decrease in collective motion,
reduced stress at specific residues, and the presence of ordered water molecules.
Predicting thermostabilizing mutations computationally represents a major
challenge for the field.
PMID- 26547285
TI - Risk factors for venous thromboembolism after pediatric trauma.
AB - BACKGROUND/PURPOSE: The purposes of this study were to identify independent
predictors of venous thromboembolism (VTE), to evaluate the relative impact of
adult VTE risk factors, and to identify a pediatric population at high-risk for
VTE after trauma. METHODS: 1934 consecutive pediatric admissions (<= 17 years)
from 01/2000 to 12/2012 at a level 1 trauma center were reviewed. Logistic
regression was used to identify predictors of VTE. RESULTS: Twenty-two patients
(1.2%) developed a VTE, including 5% of those requiring orthopedic surgery, 14%
of those with major vascular injury (MVI), and 36% of those with both. Most (84%)
were diagnosed at the primary site of injury. 86% of those who developed a VTE
were receiving thromboprophylaxis at the time of diagnosis. Independent
predictors were age (odds ratio (OR): 1.59, 95% confidence interval (CI): 1.11
2.25), orthopedic surgery (OR: 8.10, CI: 3.10-21.39), transfusion (OR: 3.37, CI:
1.26-8.99), and MVI (OR: 15.43, CI: 5.70-41.76). When known risk factors for VTE
in adults were adjusted, significant factors were age >= 13 years (OR: 9.16, CI:
1.08-77.89), indwelling central venous catheter (OR: 4.41, CI: 1.31-14.82),
orthopedic surgery (OR: 6.80, CI: 2.47-18.74), and MVI (OR: 14.41, CI: 4.60
45.13). CONCLUSION: MVI and orthopedic surgery are synergistic predictors of
pediatric VTE. Most children who developed a VTE were receiving
thromboprophylaxis at the time of diagnosis.
PMID- 26547286
TI - The morbidity of a divided stoma compared to a loop colostomy in patients with
anorectal malformation.
AB - PURPOSE: Loop colostomies may contaminate the genitourinary (GU) tract in
patients with anorectal malformations (ARM) owing to incomplete diversion of
stool. Stoma complications are also thought to be higher with a loop versus
divided colostomy. We sought to compare the morbidity, including urinary tract
infections (UTI), in these two types of colostomies in children with ARM.
METHODS: A review was performed at a children's hospital (1989-2014). Children
with ARM who had a colostomy performed were identified. Demographic data and
outcome variables were collected. Analyses included Student's t-test, Fischer's
exact and logistic regression as appropriate. RESULTS: 171 patients were
identified (loop=78; divided=93). Thirty percent of patients with a divided
colostomy and 24% with a loop experienced a stoma complication (p=0.5). A
subgroup analysis of children with a rectourinary fistula (54 divided, 26 loop)
was performed to assess for effect of colostomy type on UTI. After controlling
for other UTI risk factors (major GU anomalies, vesicostomy, and prophylactic
antibiotics), loop ostomies were not associated with risk of UTI (OR 0.83, 95% CI
0.27-2.63). No patient with a loop colostomy developed megarectum. CONCLUSIONS:
Children with ARM who undergo a loop colostomy are not at a detectable increased
risk of experiencing a UTI compared to a divided stoma. The rate of stoma
complication is high regardless of the type of stoma created.
PMID- 26547287
TI - Nonoperative treatment of acute appendicitis in children: A feasibility study.
AB - PURPOSE: Nonoperative treatment of acute appendicitis appears to be feasible in
adults. It is unclear whether the same is true for children. METHODS: Children 5
18 years with <48 h symptoms of acute appendicitis were offered nonoperative
treatment: 2 doses of piperacillin IV, then ampicillin/clavulanate *1 week.
Treatment failure (worsening on therapy) and recurrence (after completion of
therapy) were noted. Patients who declined enrollment were asked to participate
as controls. Cost-utility analysis was performed using Pediatric Quality of Life
Scale (PedsQL(r)) to calculate quality-adjusted life month (QALM) for study and
control patients. RESULTS: Twenty-four patients agreed to undergo nonoperative
management, and 50 acted as controls. At a mean follow-up of 14 months, three of
the 24 failed on therapy, and 2/21 returned with recurrent appendicitis at 43 and
52 days, respectively. Two patients elected to undergo an interval appendectomy
despite absence of symptoms. Appendectomy-free rate at one year was therefore 71%
(C.I. 50-87%). No patient developed perforation or other complications. Cost
utility analysis shows a 0.007-0.03 QALM increase and a $1359 savings from $4130
to $2771 per nonoperatively treated patient. CONCLUSION: Despite occasional late
recurrences, antibiotic-only treatment of early appendicitis in children is
feasible, safe, cost-effective and is experienced more favorably by patients and
parents.
PMID- 26547288
TI - Right atrial thrombosis: Beware the patent foramen ovale.
PMID- 26547289
TI - Commentary: Clinical evaluation of a two-incision fistula technique for the
treatment of oral ranulas.
PMID- 26547290
TI - Double-barrelled vascularised fibular free flap using computer-assisted
preoperative planning and a surgical template for accurate reconstruction of a
segmental mandibular defect.
PMID- 26547291
TI - A Phase 3 Study of Evolocumab (AMG 145) in Statin-Treated Japanese Patients at
High Cardiovascular Risk.
AB - Evolocumab (AMG 145), a fully human monoclonal antibody against PCSK9,
significantly reduced low-density lipoprotein cholesterol (LDL-C) levels in phase
2 and 3 studies. This phase 3 study evaluated the efficacy and safety of
evolocumab plus atorvastatin in Japanese patients with hyperlipidemia or mixed
dyslipidemia and high cardiovascular risk. Patients were randomized to
atorvastatin 5 or 20 mg/day for 4 weeks. Subsequently, patients underwent second
randomization to evolocumab 140 mg biweekly (Q2W) or 420 mg monthly (QM) or
placebo Q2W or QM. Coprimary end points were % change from baseline in LDL-C at
week 12 and mean of weeks 10 and 12. Secondary end points included change and %
change in other lipids and proportion of patients reaching LDL-C <70 mg/dl.
Adverse events and laboratory values were recorded. Four hundred four patients
were randomized to study drug. At baseline, the mean (SD) age was 61 (10) years
(placebo) and 62 (11) years (evolocumab); 39% and 40% were women; 14% and 12% had
cerebrovascular or peripheral arterial disease; and 51% and 47% had diabetes. At
entry, mean (SD) calculated LDL-C was 128 (23) mg/dL; after stabilization on
atorvastatin 5 and 20 mg/day, baseline LDL-C levels were 118 (35) and 94 (24)
mg/dL, respectively. Mean LDL-C reductions at week 12 for evolocumab versus
placebo ranged from 67% to 76%. No imbalances were observed in adverse events
between treatment groups. Efficacy and safety for Q2W or QM evolocumab dosing
were similar. In conclusion, in high-risk Japanese patients receiving stable
statin therapy, evolocumab markedly reduced LDL-C and was well tolerated.
PMID- 26547292
TI - Use of Mechanical Circulatory Support in Percutaneous Coronary Intervention in
the United States.
AB - Percutaneous ventricular assist devices (PVADs) and intraaortic balloon pump
(IABP) are used to provide mechanical circulatory support (MCS) for high-risk
percutaneous coronary intervention (PCI). Contemporary trends in their
utilization and impact on in-hospital mortality are not known. Using the National
Inpatient Sample (2004 to 2012), we identified 5,031 patients who received a PVAD
and 122,333 who received an IABP on the same day as PCI using International
Classification of Diseases, Ninth Edition codes. Utilization of MCS increased
from 1.3% of all PCIs in 2004 to 3.4% in 2012 (p trend <0.001), with increase in
the use of both PVAD (<1/10,000 PCIs [2004 to 2007] to 38/10,000 [2012]) and IABP
(132/10,000 PCIs [2004] to 299/10,000[2012] p <0.0001 for both). PVAD recipients
were older (69 vs 65 years), more likely to have heart failure (68% vs 41%),
chronic kidney disease (27% vs 11%, p <0.001 for all), and be admitted electively
(30% vs 11%), but less likely to have acute myocardial infarction (52% vs 90%),
cardiogenic shock (23% vs 50%), or need mechanical ventilation (16% vs 29%)
compared with IABP recipients. Unadjusted in-hospital mortality was lower in PVAD
compared with IABP recipients (12.8% vs 20.9%, p <0.001). However, in propensity
matched analyses (1:2), in-hospital mortality was similar in both groups (odds
ratio 0.88, 95% confidence interval 0.70 to 1.09). In conclusion, there has been
a marked increase in the utilization of MCS in patients undergoing PCI.
Unadjusted mortality using PVADs is lower than IABP but may be due to their
selective use in patients at lower risk. Randomized trials are necessary to
establish their effectiveness in supporting high-risk PCI.
PMID- 26547294
TI - C9orf72 expansion presenting as an eating disorder.
AB - This report describes a 64-year-old woman with a strong family history of motor
neuron disease, whose diagnosis of behavioural variant frontotemporal dementia
was delayed due to her initial presentation with atypical manifestations,
including restriction of oral intake resulting in low weight, disordered eating
and anxiety. Upon investigation, she was found to be a carrier of the C9orf72
hexanucleotide repeat expansion. Our case supports previous publications
asserting that C9orf72 mutation carriers manifest with diverse clinical
syndromes, and expands the phenotype to include anorexia and food refusal as
potential features of the condition.
PMID- 26547293
TI - Target-controlled infusion of remifentanil with or without flurbiprofen axetil in
sedation for extracorporeal shock wave lithotripsy of pancreatic stones: a
prospective, open-label, randomized controlled trial.
AB - BACKGROUND: Extracorporeal shock wave lithotripsy (ESWL) is an effective
therapeutic method used to treat patients with pancreatic stones. However, the
anesthesia for this procedure has been underappreciated, with minimal reports of
these procedures in certain case series with general or epidural anesthesia.
METHODS: A cohort of 60 patients who elected to undergo ESWL in order to treat
pancreatic stones for the first time were randomly selected and divided into two
groups. One group of patients received target controlled infusion (TCI) of
remifentanil, while the other group of patients received TCI of remifentanil plus
a bolus of flurbiprofen axetil (a cyclooxygenase inhibitor) (Rem group and Rem +
Flu group, n = 30 for each group). The Dixon's up-and-down method was used to
calculate the half maximum effective concentration (EC50) of remifentanil. Visual
analogue scales of pain, Ramsay sedation scale, hemodynamic changes, and adverse
events were also recorded. RESULTS: The EC50 of remifentanil was calculated to be
4.0 ng/ml (95 % confidential interval: 3.84 ng/ml, 4.16 ng/ml) and 2.76 ng/ml (95
% confidential interval: 2.63 ng/ml, 2.89 ng/ml) in the Rem group and Rem + Flu
group respectively (p < 0.001). Pain score was comparable between the two groups,
while the Ramsay sedation scale was higher in the Rem group. Hemodynamic data
showed that patients in the Rem group experienced higher mean arterial pressures
and higher heart rates across the procedures. Patients in Rem group demonstrated
a lower respiratory rate (p < 0.001) and a lower SpO2 (p = 0.001). Less adverse
events occurred in Rem + Flu group, including a reduced respiratory depression
requiring wake-up as well as reduced postoperative nausea and vomiting.
CONCLUSION: Remifentanil plus flurbiprofen axetil provided satisfactory analgesia
and sedation for ESWL of pancreatic stones with less adverse events.
(Clinicaltrial.gov: NCT01998217 ; registered on November 19, 2013).
PMID- 26547295
TI - Hemorrhage in astroblastoma: An unusual manifestation of an extremely rare
entity.
AB - Astroblastoma is a rare tumor of glial origin with characteristics of both
astrocytoma and ependymoma. It is usually seen in children and young adults, and
is peripherally located, well circumscribed, of solid-cystic composition and with
heterogeneous contrast enhancement. Histopathology reveals perivascular
pseudorosette formation and thick hyalinised vessels. Hemorrhage in astroblastoma
is unusual and rarely described in literature. We report two patients with
astroblastoma who presented with hemorrhage and discuss the natural history,
radiological findings, pathophysiology of hemorrhage and histopathological
characteristics. We emphasize the importance of early suspicion in peripherally
located lesions with bleeding.
PMID- 26547296
TI - A UPLC-MSMS method for the analysis of olanzapine in serum-with particular
emphasis on drug stability testing.
AB - A method including a rapid and automated extraction of olanzapine from serum
followed by ultra performance liquid chromatography tandem mass spectrometry
(UPLC-MS/MS) has been developed and validated. Serum aliquots (100MUL) and
internal standard (olanzapine-d3, 25MUL) were pipetted onto an Ostro(TM) 96-well
filtration plate and protein precipitated with acidic acetonitrile (300MUL)
before removal of endogenous phospholipids by filtration followed by analysis.
Chromatography was achieved using an HSST 3 (2.1*100mm, 1.8MUm) column and
gradient elution with acidic water in combination with methanol at a flow rate of
0.5mL/min. The runtime was 1.5min. The mass spectrometer was monitored in
positive mode and multiple reaction monitoring (MRM). The m/z 313.1>256.1 and
313.1>198.0 transitions were monitored for olanzapine (m/z 316.1>256.1 for
olanzapine-d3). The quadratic calibration curves ranged from 5 to 500nM
(R(2)>=0.999). Limit of quantification was 0.5nM (CV 9.6%, accuracy 110%). Within
assay and between-assay inaccuracies were 2.6-11.9% (CV<=4.8%). Recovery was 84
95% (CV<=1.4%) and matrix effects ranged from 100 to 103% (CV<=2.6%). Extensive
stability testing showed that at ambient temperature, olanzapine in patient serum
samples were stable for at least seven hours on the laboratory bench and for at
least 48h in darkness. When exposed to 3000lux, however, significant degradation
had occurred after 48h. Notably, olanzapine in spiked serum was unstable already
after four hours when exposed to 3000 lux. At 4-8 degrees C and exposure to
550lux, both patient serum and spiked serum were stable for more than 48h but
less than a week, whereas in darkness, the samples were stable for at least 14
days. The cumulative light exposure causing significant degradation of olanzapine
in patient serum was 50,000-100,000lux-h. In some individual samples, however,
the effect of light exposure was more pronounced. Therefore, it seems pertinent
to recommend protecting all samples from light, although we found no indication
that a few hours of exposure to standard indoor illumination will affect the
olanzapine concentration to any significant degree.
PMID- 26547297
TI - Development and validation of impurity-profiling UPLC method for the
determination of sodium cromoglicate and tetryzoline hydrochloride: Application
on rabbit aqueous humor.
AB - Sodium cromoglicate (SCG), antihistaminic agent, and tetryzoline hydrochloride
(TZH), a sympathomimetic agent, are formulated together as an ophthalmic
preparation. An ultra-performance liquid chromatographic method with UV detection
(UPLC-UV) was developed and validated for the quantitative determination of SCG
and TZH in rabbit aqueous humor. Due to the instability of both SCG and TZH under
alkaline conditions, the UPLC method was applied for their determination in the
presence of their possible degradation impurities. The separation was performed
using C18 column (1.7MUm particle size) and isocratic elution system with
methanol: 1% o-phosphoric acid (65: 35, v/v).The optimum flow rate was 0.5ml/min
and the detection was done at 230nm. The suggested method was validated in
compliance with the ICH guidelines and was successfully applied for determination
of sodium cromoglicate (SCG) and tetryzoline HCl (TZH) as prepared synthetically
in laboratory mixtures, and in the presence of their alkali-induced degradation
impurities. The suggested method was effectively applied the determination of
spiked rabbit aqueous humor samples as well as commercial pharmaceutical
formulation.
PMID- 26547298
TI - Sleep, Fatigue, and Problems With Cognitive Function in Adults Living With HIV.
AB - Up to 50% of people living with HIV have some neurocognitive impairment. We
examined associations of sleep and fatigue with self-reported cognitive problems
in 268 adults living with HIV. Multivariate regression was used to examine
associations between cognitive problems, self-reported sleep quality, actigraphy
measured total sleep time and wake after sleep onset, and fatigue severity.
Poorer self-reported sleep quality (p < .001), short or long total sleep time (<7
or >8 vs. 7-8 hours, p = .015), and greater fatigue (p < .001) were associated
with lower self-reported cognitive function scores after controlling for
demographic and clinical characteristics. However, objective measure of wake
after sleep onset was unrelated to self-reported cognitive function scores.
Findings suggest that assessing and treating poor sleep and complaints about
fatigue would be areas for intervention that could have a greater impact on
improving cognition function than interventions that target only cognitive
problems.
PMID- 26547299
TI - Do drug seizures predict drug-related emergency department presentations or
arrests for drug use and possession?
AB - BACKGROUND: Direct evidence of the effect of drug seizures on drug use and drug
related harm is fairly sparse. The aim of this study was to see whether seizures
of heroin, cocaine and ATS predict the number of people arrested for use and
possession of these drugs and the number overdosing on them. METHOD: We examined
the effect of seizure frequency and seizure weight on arrests for drug use and
possession and on the frequency of drug overdose with autoregressive distributed
lag (ARDL) models. Granger causality tests were used to test for simultaneity.
RESULTS: Over the short term (i.e. up to 4 months), increases in the intensity of
high-level drug law enforcement (as measured by seizure weight and frequency)
directed at ATS, cocaine and heroin did not appear to have any suppression effect
on emergency department (ED) presentations relating to ATS, cocaine and heroin,
or on arrests for use and/or possession of these drugs. A significant negative
contemporaneous relationship was found between the heroin seizure weight and
arrests for use and/or possession of heroin. However no evidence emerged of a
contemporaneous or lagged relationship between heroin seizures and heroin ED
presentations. CONCLUSION: The balance of evidence suggests that, in the
Australian context, increases in the monthly seizure frequency and quantity of
ATS, cocaine and heroin are signals of increased rather than reduced supply.
PMID- 26547300
TI - Alcohol consumption in the Arab region: What do we know, why does it matter, and
what are the policy implications for youth harm reduction?
AB - Alcohol is a recognized global risk factor for many diseases and injury types and
a major contributor to disability and death. While cost-effective interventions
do exist, many countries lack a comprehensive national alcohol harm reduction
policy. The Arab world includes 22 diverse countries stretching from North Africa
to Western Asia having varying dispositions with regards to alcohol sale and
consumption. Epidemiological data is scattered and the picture on alcohol
consumption remains blurry. This paper presents the findings of an extensive
review conducted on all 22 Arab countries, specifically describing: (1) the
density and methodology of alcohol-related peer-reviewed publications over the
last two decades (1993-2013); (2) the epidemiology of alcohol consumption given
all available data; and (3) the current status of policies in the region. Our
search revealed a strikingly low number of alcohol-related peer-reviewed
published studies - a total of 81 publications across 22 countries and two
decades. Most studies are based on clinical or student samples. Where data is
available, age of onset is low and drinking is frequent, in the absence of any
available or enforced harm reduction policies. We submit that countries in the
Arab region can be divided into four categories by alcohol ban and published
data. One category includes countries where alcohol is not banned but data is
absent, suggesting an ostrich-like response to a controversial behavior, or
reflecting a weak research infrastructure and/or policy landscape. Evidence
informed recommendations and future directions for policy and research are
discussed and tailored to countries' current stance on alcohol legislation and
consumption. Given the particular vulnerability of youth to uptake of alcohol as
well as the resulting short and long term consequences, the paper concludes by
focusing on the implications of the findings for youth alcohol harm reduction.
PMID- 26547301
TI - How to assess success of treatment when using multiple doses: the case of
misoprostol for medical abortion.
AB - BACKGROUND: The assessment of treatment success in clinical trials when multiple
(repeated) doses (courses) are involved is quite common, for example, in the case
of infertility treatment with assisted reproductive technology (ART), and medical
abortion using misoprostol alone or in combination with mifepristone. Under these
or similar circumstances, most researchers assess success using binomial
proportions after a certain number of consecutive doses, and some have used
survival analysis. In this paper we discuss the main problems in using binomial
proportions to summarize (the overall) efficacy after two or more consecutive
doses of the relevant treatment, particularly for the case of misoprostol in
medical abortion studies. We later discuss why the survival analysis is best
suited under these circumstances, and illustrate this by using simulated data.
METHODS: The formulas required for the binomial proportion and survival analysis
(without and with competing risks) approaches are summarized and analytically
compared. Additionally, numerical results are computed and compared between the
two approaches, for several theoretical scenarios. RESULTS: The main conceptual
limitations of the binomial proportion approach are identified and discussed,
caused mainly by the presence of censoring and competing risks, and it is
demonstrated how survival analysis can solve these problems. In general, the
binomial proportion approach tends to underestimate the "real" success rate, and
tends to overestimate the corresponding standard error. CONCLUSIONS: Depending on
the rates of censored observations or competing events between repeated doses of
the treatment, the bias of the binomial proportion approach as compared to the
survival analysis approaches varies; however, the use of the binomial approach is
unjustified as the survival analysis options are well known and available in
multiple statistical packages. Our conclusions also apply to other situations
where success is estimated after multiple (repeated) doses (courses) of the
treatment.
PMID- 26547302
TI - Evaluating vision-related quality of life in preoperative age-related cataract
patients and analyzing its influencing factors in China: a cross-sectional study.
AB - BACKGROUND: To evaluate vision-related quality of life in preoperative age
related cataract patients in China, using the Chinese version of the National Eye
Institute Visual Function Questionnaire-25 (CHI-NEI-VFQ-25), together with
analyses of its influencing factors. METHODS: Cataract patients were interviewed
using the CHI-NEI-VFQ-25, and their demographic information was recorded. The
Cronbach alpha coefficient was used to determine the internal consistency of the
CHI-NEI-VFQ-25. Multi-trait analyses were used to assess construct validity,
including item convergent validity and item discriminant validity. The data were
evaluated by descriptive statistical analyses, by the Kruskal-Wallis rank sum
test, and by multinomial logistic regression. RESULTS: The Cronbach alpha
coefficients were all above 0.8, except for the driving subscale. All items
passed the convergent and discriminant validity tests. The composite score was
63.0. The lowest five subscale scores were in general vision (40.0), mental
health (37.5), role difficulty (37.5), near vision activities (50.0), and
dependence (58.3). Except for the subscale scores of general health and ocular
pain, the composite scores and subscale scores were positively associated with
the best-corrected visual acuity (BCVA) in the better eye. Multinomial logistic
regression showed that sex, age, and educational attainment were significantly
associated with the composite score and subscale scores. CONCLUSIONS: BCVA in the
better eye was the most important determinant associated with the decrease in
vision-related quality of life. Visual impairment had more impact on the
psychosocial parameter than on the other parameters of the patients' quality of
life. Among all the demographic characteristics, including sex, age, and
educational attainment, influenced the quality of life in age-related cataract
patients.
PMID- 26547303
TI - Translating person-centered care into practice: A comparative analysis of
motivational interviewing, illness-integration support, and guided self
determination.
AB - OBJECTIVE: Person-centred care [PCC] can engage people in living well with a
chronic condition. However, translating PCC into practice is challenging. We
aimed to compare the translational potentials of three approaches: motivational
interviewing [MI], illness integration support [IIS] and guided self
determination [GSD]. METHODS: Comparative analysis included eight components: (1)
philosophical origin; (2) development in original clinical setting; (3)
theoretical underpinnings; (4) overarching goal and supportive processes; (5)
general principles, strategies or tools for engaging peoples; (6) health care
professionals' background and training; (7) fidelity assessment; (8) reported
effects. RESULTS: Although all approaches promoted autonomous motivation, they
differed in other ways. Their original settings explain why IIS and GSD strive
for life-illness integration, whereas MI focuses on managing ambivalence. IIS and
GSD were based on grounded theories, and MI was intuitively developed. All apply
processes and strategies to advance professionals' communication skills and
engagement; GSD includes context-specific reflection sheets. All offer training
programs; MI and GSD include fidelity tools. CONCLUSION: Each approach has a
primary application: MI, when ambivalence threatens positive change; IIS, when
integrating newly diagnosed chronic conditions; and GSD, when problem solving is
difficult, or deadlocked. PRACTICE IMPLICATIONS: Professionals must critically
consider the context in their choice of approach.
PMID- 26547304
TI - The Conversations About Cancer (CAC) Project-Phase II: National findings from
viewing When Cancer Calls...and implications for Entertainment-Education (E-E).
AB - OBJECTIVE: We address cancer communication by creating and assessing the impacts
of a theatrical production, When Cancer Calls...(WCC...), anchored in
conversations from the first natural history of a patient and family members
talking through cancer on the telephone. METHODS: A national study was conducted
using a multi-site and randomized controlled trial. An 80-minute video was
produced to assess viewing impacts across cancer patients, survivors, and family
members. Comparisons were made with a control video on cancer nutrition and diet.
Pretest-posttest sample size was 1006, and 669 participants completed a 30-day
follow-up impacts assessment. RESULTS: All five family and communication indices
increased significantly for WCC.... When compared to the placebo, average pretest
posttest change scores were higher for self-efficacy (775%), family fabric
(665%), outside support (189%), and family communication (97%). One month
following viewings, WCC...participants reported 30% more conversations about
cancer among patients and family members about cancer. CONCLUSION: A new genre of
Entertainment-Education (E-E) was created that triggers positive reactions from
audience members. Managing delicate and often complex communication about the
trials, tribulations, hopes, and triumphs of cancer journeys is fundamentally
important for everyday living. PRACTICE IMPLICATIONS: Unique opportunities exist
to make WCC... available to national and global audiences, create tailored
curricula, and integrate these viewings into educational programs for patients,
family members, and care-provider teams across diverse health, corporate, and
governmental systems.
PMID- 26547305
TI - Jews, Creativity and the Genius of Disobedience.
AB - Jews comprise less than one percent of the world's population; however, in the
second half of the twentieth century and in the twenty-first century Jews have
been awarded more than 25 % of the Nobel Prizes. Why are Jews so creative? Some
have claimed, they are genetically more intelligent as determined by IQ tests.
Whereas there is an intelligence threshold people must reach before being highly
creative after this threshold is reached there is no strong relationship between
creativity and intelligence. Creative innovation is heavily dependent upon
disengagement and divergent thinking as well as subsequent convergent thinking
and productivity. The mean by which a person's brain functions is dependent upon
both nature (genetically determined) and nature (learned). In regard to nature,
from their earliest age many Jewish children are encouraged to question as well
as taught that disobedience in the pursuit of truth and justice is not only
justified but is also desirable. Thus, disobedience in this regard is not the
cultivation of insolence, but rather gives rise to disengagement and divergent
thinking, the critical elements of creativity.Training can also alter the brain,
and the Jewish people success in creativity may not be related to their
genetically determined IQ, but rather the learned propensity to earnestly
question and seek better alternatives.
PMID- 26547306
TI - Can the EVIDEM Framework Tackle Issues Raised by Evaluating Treatments for Rare
Diseases: Analysis of Issues and Policies, and Context-Specific Adaptation.
AB - BACKGROUND: The multiplicity of issues, including uncertainty and ethical
dilemmas, and policies involved in appraising interventions for rare diseases
suggests that multicriteria decision analysis (MCDA) based on a holistic
definition of value is uniquely suited for this purpose. The objective of this
study was to analyze and further develop a comprehensive MCDA framework (EVIDEM)
to address rare disease issues and policies, while maintaining its applicability
across disease areas. METHODS: Specific issues and policies for rare diseases
were identified through literature review. Ethical and methodological foundations
of the EVIDEM framework v3.0 were systematically analyzed from the perspective of
these issues, and policies and modifications of the framework were performed
accordingly to ensure their integration. RESULTS: Analysis showed that the
framework integrates ethical dilemmas and issues inherent to appraising
interventions for rare diseases but required further integration of specific
aspects. Modification thus included the addition of subcriteria to further
differentiate disease severity, disease-specific treatment outcomes, and economic
consequences of interventions for rare diseases. Scoring scales were further
developed to include negative scales for all comparative criteria. A methodology
was established to incorporate context-specific population priorities and
policies, such as those for rare diseases, into the quantitative part of the
framework. This design allows making more explicit trade-offs between competing
ethical positions of fairness (prioritization of those who are worst off), the
goal of benefiting as many people as possible, the imperative to help, and wise
use of knowledge and resources. It also allows addressing variability in
institutional policies regarding prioritization of specific disease areas, in
addition to existing uncertainty analysis available from EVIDEM. CONCLUSION: The
adapted framework measures value in its widest sense, while being responsive to
rare disease issues and policies. It provides an operationalizable platform to
integrate values, competing ethical dilemmas, and uncertainty in appraising
healthcare interventions.
PMID- 26547307
TI - Realising the Value of Linked Data to Health Economic Analyses of Cancer Care: A
Case Study of Cancer 2015.
AB - There is a growing appetite for large complex databases that integrate a range of
personal, socio-demographic, health, genetic and financial information on
individuals. It has been argued that 'Big Data' will provide the necessary
catalyst to advance both biomedical research and health economics and outcomes
research. However, it is important that we do not succumb to being data rich but
information poor. This paper discusses the benefits and challenges of building
Big Data, analysing Big Data and making appropriate inferences in order to
advance cancer care, using Cancer 2015 (a prospective, longitudinal, genomic
cohort study in Victoria, Australia) as a case study. Cancer 2015 has been linked
to State and Commonwealth reimbursement databases that have known limitations.
This partly reflects the funding arrangements in Australia, a country with both
public and private provision, including public funding of private healthcare, and
partly the legislative frameworks that govern data linkage. Additionally, linkage
is not without time delays and, as such, achieving a contemporaneous database is
challenging. Despite these limitations, there is clear value in using linked data
and creating Big Data. This paper describes the linked Cancer 2015 dataset,
discusses estimation issues given the nature of the data and presents panel
regression results that allow us to make possible inferences regarding which
patient, disease, genomic and treatment characteristics explain variation in
health expenditure.
PMID- 26547308
TI - When does colonisation of a semi-arid hillslope generate vegetation patterns?
AB - Patterned vegetation occurs in many semi-arid regions of the world. Most previous
studies have assumed that patterns form from a starting point of uniform
vegetation, for example as a response to a decrease in mean annual rainfall.
However an alternative possibility is that patterns are generated when bare
ground is colonised. This paper investigates the conditions under which
colonisation leads to patterning on sloping ground. The slope gradient plays an
important role because of the downhill flow of rainwater. One long-established
consequence of this is that patterns are organised into stripes running parallel
to the contours; such patterns are known as banded vegetation or tiger bush. This
paper shows that the slope also has an important effect on colonisation, since
the uphill and downhill edges of an isolated vegetation patch have different
dynamics. For the much-used Klausmeier model for semi-arid vegetation, the author
shows that without a term representing water diffusion, colonisation always
generates uniform vegetation rather than a pattern. However the combination of a
sufficiently large water diffusion term and a sufficiently low slope gradient
does lead to colonisation-induced patterning. The author goes on to consider
colonisation in the Rietkerk model, which is also in widespread use: the same
conclusions apply for this model provided that a small threshold is imposed on
vegetation biomass, below which plant growth is set to zero. Since the two models
are quite different mathematically, this suggests that the predictions are a
consequence of the basic underlying assumption of water redistribution as the
pattern generation mechanism.
PMID- 26547309
TI - Comment on Pharmacokinetic Studies in Neonates: The Utility of an Opportunistic
Sampling Design.
PMID- 26547310
TI - Author's Reply to Standing et al. Pharmacokinetic Studies in Neonates: The
Utility of an Opportunistic Sampling Design.
PMID- 26547311
TI - Cytological accuracy and radiological staging in patients with thyroid cancer in
Glasgow.
AB - To assess the accuracy of initial combined cytological accuracy and radiological
staging of patients suspected of having thyroid malignancy with their final
histopathology. Retrospective case series in a tertiary referral centre for head
and neck malignancy. All patients with malignant thyroid cytology and cytology
suspicious for malignancy, between the dates of June 2010 and July 2014, were
included. The pre-operative staging was compared against the final histological
staging. Demographics and outcomes for each patient were recorded. Sixty-five
patients were recorded in this group. 20 (30.7 %) were male. The mean age at
presentation was 51 years (SD 16.8 years). 39 (60 %) patients were aged over 45
years. Fine needle aspiration cytology (FNAC) was performed in all patients and
was Thy 4 in 40 (62 %) and Thy 5 in 25 (38 %). Following surgery or subsequent
biopsy, FNAC was found to be accurate in 38/40 (Thy 4) and 25/25 (Thy 5) cases in
diagnosing malignancy, with Thy 4 yielding 95 % malignancy and Thy 5 % 100 %.
Fifty-eight patients underwent a surgical procedure for thyroid cancer. Two
further patients had a diagnostic hemi-thyroidectomy for later proven benign
disease. Five patients due to medical co morbidities, inoperable disease or
refusal of surgery were managed non-surgically. In the surgical group 16 patients
underwent a diagnostic hemi-thyroidectomy and 11 of these required a completion
thyroidectomy. Forty-six patients underwent total thyroidectomy. Forty-six
patients underwent a neck dissection: 27 prophylactic central compartment neck
dissections and 19 planned therapeutic neck dissections were performed.
Radiological staging correctly predicted final pathological TNM staging in 25 (43
%) patients. 27 (47 %) patients had radiological staging which under staged their
final histological staging and 6 (10 %) patients had scans that over staged their
cancer. Of those that were under staged, 15 (56 %) had their nodal disease under
staged (following prophylactic central neck dissection). Radiological staging
comprised ultrasound (n = 58) and CT scanning (n = 45/58 pre-operatively). Pre
operative staging in thyroid cancer should include cytology, ultrasound and CT
scanning. Unless low-risk papillary thyroid cancer is suspected pre-operatively,
patients with Thy 4 cytology can be given the offer of a total thyroidectomy as
surgical management.
PMID- 26547312
TI - Management of thyroid gland invasion in laryngeal and hypopharyngeal squamous
cell carcinoma.
AB - The objective of this study was to determine the incidence of thyroid gland
invasion in laryngeal and hypopharyngeal squamous cell carcinoma; and the
association between clinicopathological parameters and thyroid gland invasion.
Medical records of 75 patients with laryngeal and hypopharyngeal squamous cell
carcinoma who underwent total laryngectomy with thyroidectomy were reviewed,
retrospectively. Preoperative computed tomography scans, clinical and operative
findings, and histopathological data of the specimens were evaluated. There were
73 male and two female patients with an age range of 41-88 years (mean 60.4
years). Hemithyroidectomy was performed in 62 (82.7 %) and total thyroidectomy
was performed in 13 patients (17.3 %). Four patients had histopathologically
proven thyroid gland invasion (5.3 %). In three patients, thyroid gland
involvement was by means of direct invasion. Thyroid gland invasion was
significantly correlated with thyroid cartilage invasion. Therefore, prophylactic
thyroidectomy should not be a part of the treatment policy for these tumors.
PMID- 26547313
TI - Left medial orbitofrontal cortex volume correlates with skydive-elicited euphoric
experience.
AB - The medial orbitofrontal cortex has been linked to the experience of positive
affect. Greater medial orbitofrontal cortex volume is associated with greater
expression of positive affect and reduced medial orbital frontal cortex volume is
associated with blunted positive affect. However, little is known about the
experience of euphoria, or extreme joy, and how this state may relate to
variability in medial orbitofrontal cortex structure. To test the hypothesis that
variability in euphoric experience correlates with the volume of the medial
orbitofrontal cortex, we measured individuals' (N = 31) level of self-reported
euphoria in response to a highly anticipated first time skydive and measured
orbitofrontal cortical volumes with structural magnetic resonance imaging.
Skydiving elicited a large increase in self-reported euphoria. Participants'
euphoric experience was predicted by the volume of their left medial
orbitofrontal cortex such that, the greater the volume, the greater the euphoria.
Further analyses indicated that the left medial orbitofrontal cortex and amygdalo
hippocampal complex independently explain variability in euphoric experience and
that medial orbitofrontal cortex volume, in conjunction with other structures
within the mOFC-centered corticolimbic circuit, can be used to predict
individuals' euphoric experience.
PMID- 26547314
TI - Preparation and characterization of silk fibroin/oligochitosan nanoparticles for
siRNA delivery.
AB - siRNA therapy offers hope treating diseases caused by genetic defects as well as
viral infections and cancers, although it has been limited by the low stability
of siRNA and its rapid degradation in the presence of nucleases as well as its
low cellular uptake. In this study, oligochitosan (OC) combined with silk fibroin
(SF) was formulated and proposed as a novel carrier for siRNA. The obtained
SF/OC/siRNA nanoparticles (NPs) were characterized according to their
physicochemical properties, such as their size, zeta potential, loading
efficiency, stability, cytotoxicity, cellular uptake and transfection efficiency,
and their properties were compared with those of OC polyplexes. The mean diameter
of SF/OC/siRNA NPs was not significantly different compared to polyplexes, and
the particle size ranged between 250 and 450 nm. Increased amounts of SF in NPs
enhanced their loading efficiency, and NPs showed excellent stability in the
presence of FBS and heparin compared with OC polyplexes. Additionally, MTT assays
demonstrated that SF/OC/siRNA NPs had lower cytotoxicity. NPs showed better gene
silencing with or without FBS, which could be attributed to increased loading
efficiency, serum stability and cellular uptake. These properties suggest that
SF/OC/siRNA NPs have a strong potential as gene carriers.
PMID- 26547315
TI - Formulation and evaluation of nano based drug delivery system for the buccal
delivery of acyclovir.
AB - Oral bioavailability of acyclovir is limited, primarily because of low
permeability across the gastrointestinal membrane. The purpose of this study is
the prospective evaluation of buccal films impregnated with acyclovir loaded
nanospheres as a drug delivery system to improve systemic bioavailability.
Acyclovir polymeric nanospheres were prepared by double emulsion solvent
evaporation technique. Nanospheres were embedded into buccoadhesive films (A1-A4)
comprising of different concentrations of polymers (Eudragit RL 100, HPMC K15 and
carbopol 974P). Films were characterized for physico-mechanical properties,
mucoadhesive strength, hydration, drug release and ex vivo permeation. In vivo
studies were carried out on rabbits to assess the pharmacokinetic profile of
buccal film (A3) as compared to oral therapy. The prepared films demonstrated
excellent physical properties, adequate hydration and buccoadhesive strength. In
vitro drug release data inferred that the drug release was dependent on the
composition of film. Ex vivo permeation studies indicated greater flux in film
A3. In vivo studies revealed a significant enhancement in absorption of acyclovir
(P<0.0001) with Cmax (~3 folds) and AUC0-alpha (~8 folds, P<0.0001) when compared
to oral dosing. Moreover, the extended Tmax value (6h) signifies the potential of
the prepared film to prolong acyclovir delivery. Given the promising results, the
study concludes that the developed buccal film (A3) impregnated with acyclovir
loaded nanospheres could be a promising approach for effective delivery of
acyclovir.
PMID- 26547316
TI - Optimizing the therapeutic efficacy of cisplatin PEGylated liposomes via
incorporation of different DPPG ratios: In vitro and in vivo studies.
AB - The anionic lipid DPPG is known to enhance the cellular uptake of liposomes by
forming phase boundaries of high fusogenic potentials in vesicular membranes. The
focus of this study is to optimize DPPG concentrations to improve the therapeutic
efficacy of cisplatin-loaded liposomes. First, cisplatin liposomes composed of
HSPC, mPEG2000-DSPE and cholesterol with increasing amounts of DPPG (10, 20 and
30% mol) were prepared by ethanol injection. Liposomes were then characterized by
their size, zeta potential and cytotoxicity against C26 colon carcinoma cells. In
an experimental system, based upon C26 tumor bearing BALB/c, mice were treated
with administering i.v. doses of different formulations, once weekly for total of
three weeks. Although with the highest DPPG ratio (30% mol) liposomes exhibited
the highest toxicity in vitro, at 10% DPPG better stability of the encapsulated
drug was obtained in the presence of serum. In addition, survival of animals was
substantially improved at 10% DPPG compared to the higher DPPG contents. It is
thus presumable that the high density of negatively charged residues of DPPG gave
rise to repulsive forces between phospholipids in concentric lipid bilayers,
which resulted in the instability of lipid structure and the subsequent premature
drug leakage. Results indicated that cisplatin liposome fabricated with the
inclusion of 10% DPPG, maintains the stability while in circulation, and improves
therapeutic efficacy due to fusogenic properties; therefore might serve as an
effective and stable formulation of cisplatin. However, further investigations
are required to confirm the potential anti-tumor effects of cisplatin anionic
nanoliposomes in various tumor types.
PMID- 26547317
TI - N-Acetyl cysteine (NAC)-mediated reinforcement of alpha-tricalcium phosphate/silk
fibroin (alpha-TCP/SF) cement.
AB - Calcium phosphate cements (CPCs) are popular bone filling materials and drug
carriers. However poor mechanical properties and lack of osteoinduction restrict
their clinical applications. Recent studies suggested the osteogenic properties
of NAC. In our study, we incorporated NAC with alpha-TCP/SF. We found that the
compressive strength of alpha-TCP/SF-NAC composites increased with increase in
NAC concentration, possibly due to complex three-dimensional networks of SF
induced by NAC, which was large and chemically heterogeneous and induced compact
oriented growth of HA crystals. However the setting time increased slightly with
the addition of NAC, due to the ruptured disulfide bonds in SF. The alpha-TCP/SF
NAC composites also showed decent biocompatibility in vitro. As a result, these
composites hold great potential as bone filling materials for clinical
applications, including minimally invasive surgeries.
PMID- 26547318
TI - High Gestational Folic Acid Supplementation Alters Expression of Imprinted and
Candidate Autism Susceptibility Genes in a sex-Specific Manner in Mouse
Offspring.
AB - Maternal nutrients play critical roles in modulating epigenetic events and exert
long-term influences on the progeny's health. Folic acid (FA) supplementation
during pregnancy has decreased the incidence of neural tube defects in newborns,
but the influence of high doses of maternal FA supplementation on infants' brain
development is unclear. The present study was aimed at investigating the effects
of a high dose of gestational FA on the expression of genes in the cerebral
hemispheres (CHs) of 1-day-old pups. One week prior to mating and throughout the
entire period of gestation, female C57BL/6J mice were fed a diet, containing FA
at either 2 mg/kg (control diet (CD)) or 20 mg/kg (high maternal folic acid
(HMFA)). At postnatal day 1, pups from different dams were sacrificed and CH
tissues were collected. Quantitative RT-PCR and Western blot analysis confirmed
sex-specific alterations in the expression of several genes that modulate various
cellular functions (P < 0.05) in pups from the HMFA group. Genomic DNA
methylation analysis showed no difference in the level of overall methylation in
pups from the HMFA group. These findings demonstrate that HMFA supplementation
alters offsprings' CH gene expression in a sex-specific manner. These changes may
influence infants' brain development.
PMID- 26547320
TI - Efficacy of Allium cepa test system for screening cytotoxicity and genotoxicity
of industrial effluents originated from different industrial activities.
AB - Efficacy of Allium cepa test system for screening cytotoxicity and genotoxicity
of treated effluents originated from four types of industrial activities (two
textile industries, three rubber based industries, two common treatment plants of
industrial zones, and two water treatment plants) was assessed. Physico-chemical
parameters including the heavy metal/metalloid levels of the effluents varied
depending on the industry profile, but most of the measured parameters in the
effluents were within the specified tolerance limits of Sri Lankan environmental
regulations for discharge of industrial effluents into inland surface waters. In
the A. cepa test system, the undiluted effluents induced statistically
significant root growth retardation, mitosis depression, and chromosomal
aberrations in root meristematic cells in most cases in comparison to the
dilution water and upstream water signifying effluent induced cytotoxicity and
genotoxicity. Ethyl methane sulphonate (a mutagen, positive control) and all the
effluents under 1:8 dilution significantly induced total chromosomal aberrations
in root meristematic cells in comparison to the dilution water and upstream water
indicating inadequacy of expected 1:8 dilutions in the receiving waters for
curtailing genotoxic impacts. The results support the use of a practically
feasible A. cepa test system for rapid screening of cytotoxicity and genotoxicity
of diverse industrial effluents discharging into inland surface waters.
PMID- 26547319
TI - Spinal and Bulbar Muscular Atrophy Overview.
AB - Spinal and bulbar muscular atrophy is an X-linked neuromuscular disease caused by
an expanded repeat in the androgen receptor gene. The mutant protein is toxic to
motor neurons and muscle. The toxicity is ligand-dependent and likely involves
aberrant interaction of the mutant androgen receptor with other nuclear factors
leading to transcriptional dysregulation. Various therapeutic strategies have
been effective in transgenic animal models, and the challenge now is to translate
these strategies into safe and effective treatment in patients.
PMID- 26547321
TI - Heavy metal contamination of agricultural soils affected by mining activities
around the Ganxi River in Chenzhou, Southern China.
AB - Heavy metal contamination attracted a wide spread attention due to their strong
toxicity and persistence. The Ganxi River, located in Chenzhou City, Southern
China, has been severely polluted by lead/zinc ore mining activities. This work
investigated the heavy metal pollution in agricultural soils around the Ganxi
River. The total concentrations of heavy metals were determined by inductively
coupled plasma-mass spectrometry. The potential risk associated with the heavy
metals in soil was assessed by Nemerow comprehensive index and potential
ecological risk index. In both methods, the study area was rated as very high
risk. Multivariate statistical methods including Pearson's correlation analysis,
hierarchical cluster analysis, and principal component analysis were employed to
evaluate the relationships between heavy metals, as well as the correlation
between heavy metals and pH, to identify the metal sources. Three distinct
clusters have been observed by hierarchical cluster analysis. In principal
component analysis, a total of two components were extracted to explain over 90%
of the total variance, both of which were associated with anthropogenic sources.
PMID- 26547322
TI - Effects of urban green infrastructure (UGI) on local outdoor microclimate during
the growing season.
AB - This study analyzed how the variations of plant area index (PAI) and weather
conditions alter the influence of urban green infrastructure (UGI) on
microclimate. To observe how diverse UGIs affect the ambient microclimate through
the seasons, microclimatic data were measured during the growing season at five
sites in a local urban area in The Netherlands. Site A was located in an open
space; sites B, C, and D were covered by different types and configurations of
green infrastructure (grove, a single deciduous tree, and street trees,
respectively); and site E was adjacent to buildings to study the effects of their
facades on microclimate. Hemispherical photography and globe thermometers were
used to quantify PAI and thermal comfort at both shaded and unshaded locations.
The results showed that groves with high tree density (site B) have the strongest
effect on microclimate conditions. Monthly variations in the differences of mean
radiant temperature (?Tmrt) between shaded and unshaded areas followed the same
pattern as the PAI. Linear regression showed a significant positive correlation
between PAI and ?Tmrt. The difference of daily average air temperature (?T a )
between shaded and unshaded areas was also positively correlated to PAI, but with
a slope coefficient below the measurement accuracy (+/-0.5 degrees C). This
study showed that weather conditions can significantly impact the effectiveness
of UGI in regulating microclimate. The results of this study can support the
development of appropriate UGI measures to enhance thermal comfort in urban
areas.
PMID- 26547324
TI - Twitter--an indispensable tool for all.
PMID- 26547323
TI - Functional Properties of Grape and Wine Polyphenols.
AB - Grape berries polyphenols are mainly synthesized in the skin tissues and seeds
and they are extracted during the winemaking process. These substances have a
potentially positive effect, on human health, thus giving to grape and red wine
"functional properties" that can contribute to prevent a number of human illness.
Nevertheless, the research community is showing that the real effect is a result
of a combination of different factors, notably daily intake, bioavailability, or
in vivo antioxidant activity that are yet to be resolved. Viticulture and
winemaking practices, determine the concentration of polyphenols in grape and
wine. To date, reduced knowledge is existing on the effects of different yeast
strains on the final concentration of polyphenols in red wine. We summarize the
recent findings concerning the effects of polyphenols on human chronic disease
and the future directions for research to increase the amount of these compounds
in wine.
PMID- 26547325
TI - [Correlation analysis of serum calcium levels and risks of diabetes mellitus in
middle-aged and elderly men].
AB - OBJECTIVE: To investigate the correlation of serum calcium levels and the risks
of diabetes mellitus (DM) in middle-aged elderly men. METHODS: A total of 3386
male subjects aged 40-79 years were selected from two local communities of
Beijing using stratified random sampling for this cross-sectional survey. The
survey was conducted using questionnaires, and all the subjects underwent
physical examination and blood tests of serum calcium, vitamin D and other
biochemical parameters. The subjects were divided into normal glucose group,
prediabetic group and diabetic group to compare their blood biochemical
parameters and analyze the risk factors of diabetes. RESULTS: The prevalence of
diabetes and pre-diabetes was 32.31% in the 3386 subjects surveyed. Serum
calcium, vitamin D and Creatinine (Cr) levels were significantly higher in pre
diabetic and diabetic groups than in the non-diabetic group (P/0.05), and age,
body mass index, serum calcium, vitamin D, and Cr levels differed significantly
between the former two groups (P/0.05). The prevalence of diabetes increased
significantly with serum calcium level (P/0.05). Logistic regression analysis
showed that age, body mass index, serum calcium, and serum vitamin D levels were
independent risk factors for diabetes (P/0.05). CONCLUSION: Male diabetic
patients have abnormal serum calcium levels. An increased age and increased serum
calcium levels are associated with higher risks of diabetes, and age, serum
calcium, serum vitamin D, Cr, and body mass index are all risk factors of
diabetes in men.
PMID- 26547326
TI - [Role of transient receptor potential canonical 1 in airway remodeling and effect
of budesonide on its pulmonary expression in asthmatic guinea pigs].
AB - OBJECTIVE: To explore the role of transient receptor potential canonical 1
(TRPC1) in airway remodeling and the effect of budesonide intervention on its
expression in the lungs of guinea pigs with ovalbumin-induced asthma. METHODS:
Fifty male guinea pigs were randomized into 5 equal groups, including a blank
control group, ovalbumin group, ovalbumin+TRPC1 siRNA group, ovalbumin+luciferase
siRNA group, and ovalbumin+budesonide group. After corresponding treatments,
bronchoalveolar lavage was collected from the guinea pigs for eosinophils
analysis and detection of IL-5 and IL-13 levels using ELISA. The lung tissues
were stained with HE and Masson's trichrome to observe the bronchial wall
thickness, smooth muscle hypertrophy, subepithelial collagen deposition, and lung
inflammations. Immunohistochemistry and real-time quantitative PCR were performed
to detect TRPC1 protein and mRNA expressions in the lungs, respectively. RESULTS:
The guinea pig models of ovalbumin-induced asthma showed significantly increased
thickness of the bronchial wall, smooth muscle hypertrophy, collagen deposition
and inflammatory cell infiltration, but these pathologies were obviously
alleviated by treatment with TRPC1 siRNA or budesonide (P/0.05).
Immunohistochemstry showed that TRPC1 protein was distributed mainly on the cell
membrane and in the nuclei of the basal cells or columnar epithelial cells.
CONCLUSION: The up-regulated expression of TRPC1 ion channel is closely
associated with the occurrence and progression of airway remodeling and chronic
airway inflammation in asthma. Budesonide can partially suppress airway
remodeling and inflammation by regulating the expression of TRPC1.
PMID- 26547327
TI - [Long-term outcome of patients undergoing recanalization procedures for chronic
total coronary occlusion].
AB - OBJECTIVE: To compare the long-term outcomes of patients receiving percutaneous
coronary intervention (PCI), coronary artery bypass grafting (CABG), or medical
therapy for treatment of chronic total coronary occlusion (CTO). METHODS: The
patients with CTO were selected from a consecutive cohort of patients who
underwent coronary angiography (CAG) between 2008 and 2009. The patients with
multiple CAG were excluded. The patients received treatments with PCI, CABG, or
conservative medication therapy and were followed for major adverse
cardiovascular events (MACE) within 5 years. RESULTS: A total of 253 patients
were enrolled in this study, including 192 receiving PCI, 48 receiving CABG, and
13 treated conservatively with medications. The baseline clinical characteristics
were similar among the 3 groups except for increased low-density lipoprotein
(LDL) and total cholesterol (TC) in the medication group, and increased Syndax
score in CABG group. During the follow-up, the incidences of MACE, AMI, death,
stroke or heart failure did not differ significantly among the 3 groups (P>0.05).
However, CABG group showed a higher incidence of the stroke than the other two
groups although this difference did not reach a statistically significantly level
(P=0.06). CONCLUSION: Our study did not demonstrate that recanalization offers
greater long-term benefits than medications for treatment of CTO, and the
patients receiving CABG appeared to have a higher incidence of stroke.
PMID- 26547328
TI - [Relationship between coronal intercondylar notch width index and
osteoarthritis].
AB - OBJECTIVE: To study the relationship between knee osteoarthritis (OA) and
intercondylar notch narrowing based on the notch width index. METHODS: Magnetic
resonance (MR) images were collected from middle-aged and elderly patients with a
definite diagnosis of knee OA, including 42 with mild OA and 37 with moderate to
severe OA, with 70 healthy individuals serving as the control group. The notch
width indexes NWI, NWI-A, and NWI-P on the coronal images at different levels
were calculated, and the intercondylar notch was classified, according to the
features on axial MR images, into types A, U, and W. The association of OA with
NWI, NWI-A, NWI-P, and notch type was determined, and the cutoff values were
obtained based on the ROC curves at different levels as indicators for diagnosis
of intercondylar notch stenosis. RESULTS: In the control, mild OA, moderate to
severe OA groups, the NWI value on coronal MR images were 0.252+/-0.019, 0.251+/
0.017, and 0.240+/-0.020, NWI-A were 0.261+/-0.024, 0.259+/-0.023, and 0.245+/
0.023, and NWI-P were 0.271+/-0.026, 0.270+/-0.024, and 0.254+/-0.022,
respectively. Patients with moderate to severe OA had significantly smaller NWI,
NWI-A, and NWI-P than the other two groups (P<0.05), and a significant
association was found between NWI values at each level and the occurrence of
moderate to severe OA (P<0.01). A NWI value<0.248, NWI-A<0.256, and NWI-P<0.266
supported a diagnosis of intercondylar notch narrowing. Type A intercondylar
notch was found in the majority of patients with intercondylar notch narrowing
(P<0.05). CONCLUSION: Patients with moderate to severe OA have significant
intercondylar notch narrowing, and patients with a type A intercondylar notch are
more likely to have intercondylar notch narrowing than those with type U notch.
PMID- 26547329
TI - [Effect of triptolide on expressions of Notch receptors and ligands in rats with
adjuvant- induced arthritis and reduced pulmonary function].
AB - OBJECTIVE: To investigate the effects of triptolide on Notch receptor and ligand
expressions in rats with adjuvant-induced arthritis (AA). METHODS: Forty rats
were randomly divided into normal control (NC) group, model (MC) group,
methotrexate group and triptolide groups. Rat models of AA were established by an
intradermal injection of 0.1 mL Freund's complete adjuvant into the right paw.
Twelve days after the injection, the rats were treated with corresponding drugs
for 30 days; the rats in NC group and MC group were given saline only. Paw edema
volume (E), arthritis index (AI), pulmonary function, histomorphologies, and
Notch receptor/ ligand expression in the lung tissue were analyzed after the
treatments. RESULTS: Compared with the NC group, E, AI, Notch3, Notch4, and
Delta1 expressions in the lung tissues significantly increased while pulmonary
function and pulmonary expressions of Notch1, Jagged1, and Jagged2 significantly
decreased the model rats (P<0.01). Compared with the MC group, triptolide-treated
rats showed significantly improved pulmonary functions, increased expressions of
Notch1, Jagged1, and Jagged2 and decreased expressions of Notch3, Notch4, and
Delta1 in the lungs (P<0.05, P<0.01); the therapeutic effect of triptolide was
better than that of methotrexate. CONCLUSION: Triptolide can reduce inflammatory
reaction and immune complex deposition to improve joint and pulmonary symptoms in
rats with AA possibly by up-regulating the expressions of Notch3, Notch4, and
Delta1 and down-regulating the expressions of Jagged1, Jagged2, and Notch1.
PMID- 26547330
TI - [Methylglyoxal inhibits human umbilical vein cell migration in vitro by down
regulating integrinbeta3].
AB - OBJECTIVE: To explore the effects of methylglyoxal on endothelia cell migration.
METHODS: Human umbilical vein endothelial cells (HUVECs) were stimulated by
serial concentrations of methylglyoxal (MGO, 0, 25, 50, 100 and 200 umol/L) for
24 h, and the cell migration was assessed by scratch wound and Transwell assay.
The expression of integrin beta3 in the treated cells was examined by
immunoblotting, and the effect of an anti-beta3 antibody, LM609, on cell
migration was investigated. RESULTS: Methylglyoxal significantly inhibited HUVEC
migration in a concentration-dependent manner (P<0.05). Methylglyoxal decreased
the expression of integrin beta3 in a time- and concentration-dependent manner
(P<0.05). LM609 also significantly inhibited HUVEC migration (P<0.05).
CONCLUSION: Methylglyoxal inhibits HUVEC migration in vitro by down-regulating
integrin beta3 expression.
PMID- 26547331
TI - [Effect of bafilomycin A1 on proliferation and oxaliplatin sensitivity in gastric
cancer MGC-803 cells].
AB - OBJECTIVE: To investigate the effect of bafilomycin A1 (BAF) on the cell
proliferation, invasiveness, apoptosis, and oxaliplatin sensitivity in gastric
cancer MGC-803 cells. METHODS: MGC-803 cells were divided into control group, BAF
group, oxaliplatin group, and BAFu oxaliplatin group. MTT assay and plate clone
formation assay were used to assess the viability and colony forming ability of
the cells after the treatments. The expression of nucleosomes in the cells was
examined with ELISA. The cell migration and invasion after the treatments were
evaluated. Western blotting was performed to detect the expression of Bcl-2 and
Bax in the treated cells, and scanning electron microscopy, immunohistochemistry
and Western blotting were employed to to observe the cell autophagy. RESULTS:
Compared with the control cells, the cells treated with BAF showed a substantial
decrease in autophagosome accumulation with attenuated cell proliferation,
migration and invasion. Compared with cells treated with oxaliplatin alone, the
cells treated with both BAF and oxaliplatin showed significantly lowered
autophagosome accumulation, suppressed cell proliferation, migration and
invasion, increased cell apoptosis, increased Bax expression and lowered Bcl-2
expression. CONCLUSION: BAF can inhibit the proliferation and invasiveness of MGC
803 cells, promote cell apoptosis by inhibiting autophagy, and enhances the
sensitivity of the cells to oxaliplatin.
PMID- 26547332
TI - [Establishment of a SCID beige mouse model bearing transplanted human
choriocarcinoma using JAR cell line].
AB - OBJECTIVE: To establish a mouse model bearing human choriocarcinoma xenograft in
severe combined immuno-deficient (SCID) beige mice and investigate the disease
course and biological behaviors of the tumors. METHODS: Human choriocarcinoma JAR
cells were injected in female SCID beige mice (3-5 weeks old) either
subcutaneously (group A, n=6) or via the tail vein (group B, n=6). Morphological
studies, radioactive immunoassay, in vivo tumor imaging and histopathological
examinations were performed to confirm JAR cell engraftment at the subcutaneous
injection site and in the lungs of the mice. RESULTS: On day 28 after tumor cell
inoculation, the mice in group A showed palpable subcutaneous nodules, and HE
staining revealed morphological features of the nodules consistent with
choriocarcinoma cells; in vivo imaging in group B showed single or multiple solid
tumor masses in the lungs, and tissue biopsy examination demonstrated varying
degrees of tumor cell infiltration. Compared with the control mice, peripheral
blood beta-HCG levels in both groups A and B increased significantly on day 14
after cell inoculation (P<0.05), and the increment was more conspicuous in group
B (P<0.05). CONCLUSION: Mouse models bearing human choriocarcinoma xenograft can
be successfully established by injecting JAR cells either subcutaneously or via
the tail vein to mimic the characteristics of epithelial solid tumors and lung
metastasis of human choriocarcinoma.
PMID- 26547333
TI - [Effects of interleukin 27 and its receptor on TGFbetainduced murine pulmonary
fibroblast proliferation and transformation].
AB - OBJECTIVE: To investigate the effects of interleukin-27 (IL-27) and its receptor
(WSX-1) on the proliferation, transformation and collagen synthesis of the mouse
lung fibroblasts. METHODS: Cultured mouse lung fibroblasts were treated with TGF
beta1, recombinant murine IL-27, a IL-27 receptor (IL-27R) overexpression vector
IL-27R/pCDNA3.1, IL-27 and IL-27R, or all the 3 combined. MTT assay was used to
assess the proliferation of the cells, and RT-PCR and Western blotting were
employed to examine the mRNA and protein expressions of a-smooth muscle actin
(alpha-SMA) and types I and III collagen; immunofluorescence assay was used to
test the expression and location of alpha-SMA. RESULTS: TGF-beta1 promoted the
cell proliferation and obviously enhanced alpha-SMA expression and types I and
III collagen synthesis in the fibroblasts. Both IL-27 and IL-27R significantly
inhibited the proliferation of the pulmonary fibroblasts and obviously decreased
their alpha-SMA expression and types I and III collagen synthesis, but when
combined,they produced no obvious inhibitory effect on TGF-1-induced
proliferation and transformation of pulmonary fibroblasts. CONCLUSION: Both IL-27
and IL-27R alone can suppress the proliferation, transformation, and collagen
synthesis of mouse pulmonary fibroblasts, but their combined treatment produces
no such inhibitory effect because of the neutralization of exogenous IL-27 by IL
27R to result in the failure of activating the cell signaling pathways.
PMID- 26547334
TI - [Low expression of SLC22A1 is associated with a poor prognosis of hepatocellular
carcinoma: analysis of 303 patients].
AB - OBJECTIVE: To evaluate the association between SLC22A1 expression and the
outcomes of hepatocellular carcinoma (HCC) patients. METHODS: A tissue microarray
of 303 HCC and matched adjacent noncancerous liver tissues (ANLTs) were
constructed. The expression of SLC22A1 was tested by immunohistochemistry (IHC)
and scored by two pathologists according to a 12-score scale (a score>6 was
defined as high expression, and a score<=6 as low expression). The correlation of
SLC22A1 expression with the clinicopathological features and the patients'
outcome was analyzed. RESULTS: All the ANLTs had a IHC score of 12, as compared
to only 29 (9.6%) of the HCC tissues. The patients were divided into 2 groups
based on the IHC scores: 59% (180/303) in low expression group and 41% (123/303)
in high expression group. The disease-free survival (DFS) rates and overall
survival (OS) rates were significantly lower in low SLC22A1 expression group than
in the high expression group. The 1-, 3-, and 5-year DFS rates were 43%, 31% and
27% in the low expression group, and were 58%, 47% and 43% in the high expression
group, respectively. The 1-, 3-, and 5-year OS rates were 66%, 38% and 32% in low
expression group, and were 80%, 57% and 50% in the high expression group,
respectively. A low expression of SLC22A1 was positively correlated with the
tumor diameter, BCLC stage, tumor differentiation, and AFP levels (P<0.05), and
was an independent predictor of poor overall survival (HR=1.454; 95% CI, 1.050
2.013). CONCLUSIONS: Down-regulation of SLC22A1 is a malignant feature and a
potential prognostic marker of HCC.
PMID- 26547335
TI - [Effect of simulated microgravity on erythroid differentiation of K562 cells and
the mechanism].
AB - OBJECTIVE: To investigate the effect of simulated microgravity on erythroid
differentiation of K562 cells and explore the possible mechanism. METHODS: The
fourth generation rotating cell culture system was used to generate the simulated
microgravity environment. Benzidine staining was used to evaluate the cell
inhibition rate, and real-time quantitative PCR (qRT-PCR) was used to detect GATA
1, GATA-2, Ets-1, F-actin, beta-Tubulin and vimentin mRNA expressions. The
changes of cytoskeleton were observed by fluorescence microscopy, and Western
blotting was employed to assay F-actin, beta-tubulin and vimentin protein
expression levels. RESULTS: Benzidine staining showed that simulated microgravity
inhibited erythroid differentiation of K562 cells. K562 cells treated with Hemin
presented with increased mRNA expression of GATA-1 and reduced GATA-2 and Ets-1
mRNA expressions. Simulated microgravity treatment of the cells resulted in down
regulated GATA-1, F-actin, beta-tubulin and vimentin mRNA expressions and up
regulated mRNA expressions of GATA-2 and Ets-1, and reduced F-actin, beta-tubulin
and vimentin protein expressions. Exposure to simulated microgravity caused
decreased fluorescence intensities of cytoskeletal filament F-actin, beta-tubulin
and vimentin in the cells. CONCLUSION: Simulated microgravity inhibits erythroid
differentiation of K562 cells possibly by causing cytoskeleton damages to result
in down-regulation of GATA-1 and up-regulation of GATA-2 and Ets-1 expressions.
PMID- 26547336
TI - [Intracytoplasmic injection of morphologically selected spermatozoa from patients
with male factor infertility: clinical and embryo development outcomes].
AB - OBJECTIVE: To test whether intracytoplasmic injection of morphologically selected
spermatozoa (IMSI) from patients with male factor infertility can improve the
clinical and embryo development outcomes of intracytoplasmic sperm injection
embryo transfer (ICSI-ET). METHODS: We performed IMSI for 82 couples diagnosed
with obstructive azoospermia at high magnification (*6600) and traditional ICSI
for another 91 couples using testicular sperms. We also performed IMSI for 44
couples with teratozoospermia at high magnification (*6600) and traditional ICSI
for 71 patients using ejaculated sperms. The clinical and embryo development
outcomes were compared between the cycles. RESULTS: For obstructive azoospermia,
IMSI and ICSI showed no significant difference in the rates of cleavage (95.5% vs
96.7%), D3 top quality embryos (28.2% vs 29.2%), implantation (26.4% vs 32.3%),
pregnancy (47.3% vs 50%), blastocyst formation (54.3% vs 54.6%), or abortion (14%
vs 7.3%) (P>0.05), but a significantly higher normal fertilization rate was
achieved in IMSI group (84.3% vs 77%, P<0.05). For teratozoospermia, the 2
techniques resulted in no significant differences in the rates of cleavage (96.2%
vs 95.2%), D3 top quality embryo (27.6% vs 27.1%), implantation (28.2% vs 30.7%),
pregnancy (43.7% vs 43.2%), or abortion (9.7% vs 10.5%) (P>0.05), but the normal
fertilization rate (68% vs 75.5%) and the blastocyst formation rate (54.6% vs
67.9% ) were significantly higher in IMSI group (P<0.05). CONCLUSION: IMSI can
improve the normal fertilization rates in couples with male factor infertility
(including obstructive azoospermia and teratozoospermia) and increase blastocyst
formation rate in cases of azoospermia.
PMID- 26547337
TI - [Protective effect of Sanhuangyinchi Fang drug serum on hydrogen peroxide-induced
DNA oxidative damage in LO2 cells].
AB - OBJECTIVE: To study the protective effect of Sanhuangyinchi Fang drug serum (SF)
against hydrogen peroxide-mediated DNA oxidative damage in LO2 cells. METHODS:
The LO2 cells were randomly divided into the control group, H(2)O(2) group, SF
groups (5%, 10%, and 15%) and vitE group. The morphological features of the
treated LO2 cells were observed under inverted microscope. The viability of the
treated cells was assessed with CCK-8 method, and the activity of SOD, CAT and
GSH-PX were detected biochemically. Reactive oxygen species (ROS) levels, the
content of 8-OHdG, and DNA damage of the cells were evaluated by flow cytometry,
ELISA, and Comet assay, respectively. RESULTS: Compared with H(2)O(2) group, the
cells in SF groups (10% and 15%) and vitE group showed higher cell survival rate
(P<0.05) and higher SOD, CAT, GSH-PX (P<0.05) and ROS scavenging activities
(P<0.01) with markedly decreases the content of 8-OHdG (P<0.01) and reduced
tailing ratio, tail length, tail moment and Olive tail moment (P<0.05).
CONCLUSION: SF drug serum, especially at the concentration of 15%, can protect
LO2 cells from H(2)O(2)-mediated DNA oxidative damage.
PMID- 26547338
TI - [Manual immunohistochemistry for detecting ALK gene fusion antibody in 519 cases
of non-small lung cancer].
AB - OBJECTIVE: To investigate the expression of anaplastic lymphoma kinase (ALK) gene
fusion antibody in non-small cell lung cancer (NSCLC) and explore the
clinicopathological significance. METHODS: Using manual immunohistochemistry
(IHC) with D5F3 rabbit monoclonal antibody, we detected the expression of ALK
gene fusion protein in 519 cases of NSCLC. The relations of ALK fusion protein
with the clinical characteristics of the patients and the histological
classification of the tumors were analyzed. The expressions of ALK fusion protein
were compared between surgical specimens and biopsy samples, and the consistency
of manual IHC results was evaluated with the results of a fully automated IHC
instrument and fluorescence in situ hybridization (FISH). RESULTS: The positivity
rate of ALK fusion protein was 11.37% (59/519) among the cases detected by manual
IHC. The patients tended to have a young age of onset (P=0.048) and most of the
tumors were adenocarcinoma. In the surgical specimens, ALK fusion protein was
expressed mostly in invasive mucinous adenocarcinoma (P<0.01), and it was a high
risk factor of lymph node metastasis [OR=2.188(95%C.I:1.161-4.122)]. No
statistical difference was found in the test results of manual IHC between
surgical specimens and biopsy samples. The results by manual IHC suggesting a
strong expression were consistent with the results by automated IHC and FISH.
CONCLUSION: Manual IHC can be reliable for screening ALK fusion arrangement in
patients with NSCLC.
PMID- 26547339
TI - [Reconstruction of dynamic positron emission tomographic images by exploiting low
rank and sparse penalty].
AB - OBJECTIVE: To propose a new method for dynamic positron emission tomographic
(PET) image reconstruction using low rank and sparse penalty (L&S). METHODS: The
L&S reconstruction model was established and the split Bregman method was used to
solve the optimal cost function. The one-tissue compartment model was used to
simulate a set of PET 82Rb myocardial perfusion image. The L&S reconstruction
method was compared with maximum likelihood expectation maximization (MLEM)
method, low-rank penalty method and sparse penalty method. RESULTS: The L&S
reconstruction method had the smallest MSE and well maintained the feature
information. The polar map created by L&S method was the most similar with the
reference actual polar map. CONCLUSION: L&S reconstruction method is better than
the other three methods in both visual and quantitative analysis of the PET
images.
PMID- 26547340
TI - [Construction of human Bcl-6 3'UTR reporter vector and expression vector and
their functional assessment].
AB - OBJECTIVE: To observe the direct regulation of miR-127 on Bcl-6 and the effect of
Bcl-6 in rescuing miR-127-induced cell cycle and cell growth inhibition. METHODS:
The 3'UTR and coding region of human bcl-6 gene were amplified by PCR and cloned
into pcDNA3.0-Luc and pcDNA3.0-Flag vectors, respectively. Mutations were
introduced into the seed sequences of the predicted miR-127 target sites within
the Bcl-6 3'UTR using recombinant PCR. Luciferase assay was used to verify the
direct targeted regulation of miR-127 on Bcl-6. In HepG2 cell models with
overexpression or knockdown of miR-12, the changes of cell cycle and cell growth
were investigated after transfection with the constructed vectors. RESULTS: The
recombinant plasmids were successfully obtained as confirmed by double digestion
and sequence identification. Luciferase assay showed that in 293T and HepG2
cells, miR-127 inhibited the activation of wild-type Bcl-6 3'UTR reporter vector
but not mutated Bcl-6 3'UTR vector. Overexpression of miR-127 induced cell cycle
arrest at G(2)/M phase and suppressed the growth of HepG2 cells, and these
effects were reversed by Bcl-6 overexpression. CONCLUSION: We successfully cloned
wild-type and mutated 3'UTR reporter vectors and expression vector of bcl-6 gene
and confirmed their biological functions.
PMID- 26547341
TI - [Effect of bile duct ligation and recanalization on rat hepatocyte epithelial
mesenchymal phenotype and NOX4 protein expression].
AB - OBJECTIVE: To observe epithelial-mesenchymal phenotypes and oxidative stress
related protein expressions of the liver cells in a rat model of liver fibrosis
induced by bile duct ligation and recanalization. METHODS: Twenty-four male
Wistar rats were randomized into 4 groups, including a sham-operated group, two
bile duct ligation groups with ligation for 2 and 4 weeks, and a bile duct
ligation group with a 2-week ligation followed by a 2-week recanalization. HE
staining and Masson staining were used to assess liver fibrosis in the rats, and
immunohistochemistry and Western blotting were employed to detect expressions of
the epithelial and mesenchymal marker proteins and oxidative stress-related
proteins. RESULTS: Compared with the sham-operated group, the rats with bile duct
ligation showed obvious liver fibrosis, which worsened as the ligation time
extended, accompanied by significantly increased expression of alpha-SMA,
collagen I, NOX(4) and vimetin and reduced E-cadherin expression. Compared with
the rats with bile duct ligation for 4 weeks, the rats in bile duct ligation
recanalization group showed obviously lessened liver fibrosis, significantly
lowered expressions of NOX(4) and mesenchymal cell maker proteins, and enhanced
expressions of epithelial cell marker proteins. CONCLUSION: Bile duct ligation up
regulates mesenchymal phenotype-related proteins and NOX(4) protein expression
and down-regulates the expression of epithelial phenotype-related proteins, and
these changes can be reversed by subsequent bile duct recanalization.
PMID- 26547342
TI - [Inhibitory effect of miR-20b on airway inflammation in asthmatic mice].
AB - OBJECTIVE: To explore the effect of miR-20b in inhibiting airway inflammation in
a mouse model of asthma. METHODS: Female BALB/c mouse models of asthma,
established by sensitizing and challenging the mice with a mixture of ovalbumin
and aluminum hydroxide, were subjected to intranasal instillation of 20 ug miR
20b mimics or a miR-20b scramble every 3 days. On day 49, bronchoalveolar lavage
fluid (BALF) was collected from the mice to examine the counts of total cells and
different cell populations; HE staining was used to observe the pathological
changes of the lung tissue, and the concentration of vascular endothelial growth
factor (VEGF) in BALF was detected by ELISA. RESULTS: Treatment of the asthmatic
mice with miR-20b mimics decreased not only the counts of the total leukocytes,
neutrophils and eosinophils in the BALF but also mucus secretion in the airway
and inflammatory cell infiltration around the bronchus, and lessened thickening
of the airway mucosa. Instillation with miR-20b mimics significantly reduced the
concentration of VEGF in BALF from 28.55+/-3.42 pg/mL in the asthma model group
to 18.19+/-3.67 pg/mL (P<0.01). CONCLUSION: MiR-20b can inhibit airway
inflammation in asthmatic mice possibly by reducing the expression of VEGF.
PMID- 26547343
TI - [Longitudinal evaluation of reparative cartilage after matrix-associated
autologous chondrocyte implantation with delayed gadolinium-enhanced MRI of the
cartilage].
AB - OBJECTIVE: To estimate zonal variation of GAG content in reparative cartilage
after matrix associated autologous chondrocyte implantation (MACI) using delayed
gadolinium-enhanced magnetic resonance imaging of the cartilage (dGEMRIC).
METHODS: Seven patients (14 cartilage defects) undergoing MACI were recruited for
examination with dGEMRIC at 3, 6, and 12 months after the procedure to calculate
global and zonal longitudinal relaxivity (Delta R1) of the normal cartilage and
reparative cartilage. RESULTS: The mean Delta R1 values of normal cartilage were
significantly lower than those of reparative cartilage after MACI. A significant
decrease was noted in the mean Delta R1 values from the deep layer to the
superficial layer in the reparative cartilage at the 3 examinations. The Delta R1
values of the reparative cartilage showed no significant variation between 3
months and 6 months, but a significant decrease in the Delta R1 values occurred
at 12 months. CONCLUSIONS: dGEMRIC is feasible to assess cartilage repair
noninvasively following MACI.
PMID- 26547344
TI - [Pretreatment with dexmedetomidine ameliorates renal inflammation and oxidative
stress in rats with lipopolysaccharide-induced sepsis and acute kidney injury].
AB - OBJECTIVE: To investigate the effects of dexmedetomidine on inflammatory
reaction, oxidative stress, and renal pathologies in a rat model of
lipopolysaccharide (LPS)-induced sepsis. METHODS: Thirty-two SD rats were
randomly divided into 4 groups, including a sham-operated group, LPS group with
LPS (5 mg/kg) injection via the caudal vein 30 min before the operation,
dexmedetomidine (Dex) +LPS group with additional Dex (10 ug/kg) injection via the
caudal vein 10 min before LPS injection, and yohimbine+DEX+LPS group with
intraperitoneal yohimbine (1 mg/kg) injection 40 min before and Dex injection 10
min before LPS injection. The levels of IL-1beta, SOD and MDA in the plasma and
renal tissues were determined, and the renal pathologies were examined. RESULTS:
Compared with the sham-operated rats, the rats in LPS group showed significantly
increased IL-1beta and MDA levels and lowered SOD activity in the plasma and
renal tissues (P<0.05) with obvious renal pathologies. Dex pretreatment obviously
lowered IL-1beta and MDA levels and enhanced SOD activity in the plasma and renal
tissues in LPS-challenged rats (P<0.05), and significantly lessened LPS-induced
renal pathologies. CONCLUSION: Dex can protect the rats against LPS-induced renal
injury by alleviating the inflammatory reactions and cytokine oxidative stress,
and this effect is mediated possibly by alpha2 receptors.
PMID- 26547345
TI - [Effect of rapid eye movement sleep deprivation on anxiety behavior and
hippocampus NO level: different responses of adolescent and adult C57BL/6J mice].
AB - OBJECTIVE: To explore the difference between adolescent and adult C57BL/6J mice
in response to rapid eye movement sleep (REMS) deprivation in terms of anxiety
behavior and hippocampal NO level. METHODS: Both adolescent and adult C57BL/6J
mice were divided into normal control (NC) group, wide platform (WP) group, and
24-hour REMS deprivation group, each group consisting of 15 mice. REMS
deprivation models were established using a small platform in water tank, and the
elevated plus maze test was used to examine anxiety behavior of the mice. After
behavioral tests, the mice were sacrificed to examine hippocampal NO levels using
enzyme-linked immunosorbent assay, and hippocampal nNOS protein expression was
detected with Western blotting. RESULTS: The adolescent C57BL/6J mice showed no
obvious differences in anxiety behaviors between the 3 groups, but NO level and
nNOS expression in the hippocampus was significantly higher in REMSD group than
in NC and WP groups (P<0.01). The adult mice in REMSD group, compared with those
in the other two groups, exhibited significantly increased total number of arm
entry (P<0.01), lowered number of open arm entry and reduced open arm time
(P<0.01), increased number of close arm entry and prolonged close arm time
(P<0.01 or 0.05); no obvious differences in NO level or nNOS expression in the
hippocampus were found in the 3 groups of adult mice. CONCLUSION: REMS
deprivation produces different effects on anxiety-related behaviors between
adolescent and adult mice possibly in relation to their different responses in
terms of NO levels and nNOS expression in the hippocampus.
PMID- 26547346
TI - [Effects of vacuum drainage combined with heparin irrigation for treatment of
scald burns with seawater immersion in rabbits].
AB - OBJECTIVE: To investigate the effect of closed vacuum drainage combined with
heparin irrigation in the treatment of scald burns with seawater immersion in
rabbits. METHODS: Twenty New Zealand rabbits were subjected to deep partial
thickness scald burns in 4 regions on the bilateral skin of the spine. The wounds
were managed with common dressing (group A), common dressing after seawater
immersion (group B), closed vacuum drainage after seawater immersion (group C),
or closed vacuum drainage combined with heparin irrigation after seawater
immersion (group D). Wound effusion and tissue necrosis were observed at 1, 3, 5
and 7 days after the burns. Tissue samples were collected from the wounds for HE
staining and immunohistochemistry for VEGF and CD31, and the changes of capillary
endothelial cells in the wound were observed using electron microscopy. The water
content in the wound tissues was determined, and the wound healing rate was
calculated after the injury. RESULTS: Sea water immersion of the wound results in
earlier onset of edema and more extensive tissue necrosis in the scalded rabbits.
The mean necrotic area in groups C and D was smaller than that in group B early
after the burns, and vacuum drainage promoted necrotic tissue elimination and
accelerated wound healing. Early after the burns, water content in the tissues
increased with time in all the groups and reached the highest level at 3 days,
and was significantly lower in groups C and D than in group B. Pathologically,
vascular endothelial cell damage at the wound site was worsened after seawater
immersion. In group D, the basement membrane damage was milder and the
endothelial cell membrane remained intact at the wound site, where new blood
vessels occurred at 3 days after the burns, a time earlier than that in the other
3 groups with also the highest vascular density. CONCLUSIONS: Closed vacuum
drainage combined with heparin irrigation can relieve edema at the scald wound
with seawater immersion, improve microcirculation, accelerate the removal of
necrotic tissue, and promote the growth of new blood vessels.
PMID- 26547347
TI - [Epidemiological survey of high-risk human papillomavirus among 2501 woman].
AB - OBJECTIVE: To survey the prevalence of high-risk human papillomavirus (HPV) in
woman in Guangzhou during the period from 2013 to 2014. METHODS: A total of 2501
women in Guangzhou seeking medical attention in our hospital underwent high-risk
HPV genotype screening of cervical specimens using real-time PCR. RESULTS: The
prevalence of high-risk HPV infection among the women was 14.85% (146/983) in the
year 2013, similar to the rate of 14.56% (221/1518) in 2014 (Chi(2)=0.041,
P=0.839); no significant differences were found in the high-risk HPV infection
rates between different age groups in either 2013 (Chi(2)=2.916, P=0.572) or 2014
(Chi(2)=6.494, P=0.165). The constituent ratio of the 13 types of high-risk HPV
showed no significant difference between 2013 and 2014 (Chi(2)=11.872, P=0.452).
The 13 HPV genotypes detected, listed in a descending order of the constituent
ratios, included HPV-52, -16, -58, -56, -39, -51, -68, -59, -31, -35, -18, -33
and -45 in 2013, and were HPV-52, -16, -58, -68, -18, -51, -56, -39, -31, -33,
59, -35 and-45 in 2014. CONCLUSION: We report a high prevalence of high-risk HPV
among women in Guangzhou, which suggests the necessity of screening for high-risk
HPV-DNA among women at all ages for prevention and early detection of cervical
cancer.
PMID- 26547348
TI - [Effect of one lung ventilation preconditioning on oxygenation during pediatric
video-assisted thoracoscopic surgery].
AB - OBJECTIVE: To observe the effect of one lung ventilation (OLV) preconditioning on
perioperative oxygenation during pediatric video-assisted thoracoscopic surgeries
(VATS). METHODS: A total of 171 children aged 5 days to 11 years underwent VATS
for empyema dissection and abscess excisions (n=55), mediastinal tumor resection
(n=34), repair of the diaphragmatic hernia or diaphragmatic plication (n=21),
pulmonary lobectomy or biopsy (n=43), or esophageal disease (n=18). Of these
patients, 127 were younger than 3 years of age. A 5-Fr pediatric endobronchial
blocker was used for OLV with a delivered inspired oxygen fraction (FiO(2)) of
1.0. After lateral decubitus, a sequential protocol of a 5- to 8-min OLV
preconditioning and a 5-min two lung ventilation (TLV) was performed followed by
OLV again before incision for VATS. In cases of a SpO(2)<95% without malposition
of the blocker during OLV, a 5 cm H(2)O positive end expiratory pressure was
applied; TLV was maintained for a SpO(2)<90%. RESULTS: OLV provided good surgical
conditions in 160 cases. Acceptable saturations were achieved in 166 cases during
OLV. In 2 cases in empyema group and 3 in esophageal disease group, the
ventilation protocol was converted to intermittent TLV during the operation due
to hypoxemia. In esophageal disease group, the procedure and OLV duration,
postoperative ventilation time and length of stay (LOS) were the longest among
the groups, and the number of cases developing postoperative atelectasis was
greater than that in diaphragmatic hernia and pulmonary disease groups. In
empyema and esophageal disease groups, the oxygenation index (PaO(2)/FiO(2))
after total collapse of the lung in OLV and after extubation were lower than that
in mediastinal tumor group (P<0.05 or 0.01). CONCLUSION: A OLV preconditioning
can maintain an acceptable oxygenation during pediatric OLV. A longer procedure
and OLV duration is associated with a prolonged postoperative length of
ventilation and LOS.
PMID- 26547349
TI - [Effects of dexmedetomidine on propofol dosage in target-controlled infusion and
hemodynamics during laparoscopic surgery under general anesthesia].
AB - OBJECTIVE: To assess the effects of dexmedetomidine (Dex) on propofol dosage in
target-controlled infusion (TCI) and hemodynamics in patients undergoing
laparoscopic surgery under general anesthesia. METHODS: Sixty patients undergoing
laparoscopic surgery under general anesthesia were randomly divided into control
group (n=30) and the Dex group (n=30). The patients in Dex group received a
loading dose of Dex (1 ug/kg, infused within 10 min) before the surgery followed
by continuous infusion at the rate of 0.3 ug.kg(-1).h(-1) till the end of the
surgery, and the control patients received saline infusion in the same manner.
Heart rate, blood pressure, bispectral index (BIS), and propofol dose in TCI were
recorded during induction and maintenance of anesthesia. The incidence of
hypotension and bradycardia were observed during and after the surgery. RESULTS:
No difference was found in the incidence of hypotension and bradycardia between
the control group and Dex group (P>0.05), but heart rate and blood pressure were
lower in Dex group during extubation (P<0.05). The dose of propofol in TCI was
significantly less in Dex group than in the control group (P<0.05). CONCLUSION:
Dex can reduce hemodynamic abnormalities caused by extubation and decrease the
dosage of propofol in TCI, and may serve as an ideal adjuvant drug for general
anesthesia.
PMID- 26547350
TI - [Risk factors of obstructive sleep apnea hypopnea syndrome and sleep hypopnea in
patients with growth hormone-secreting pituitary adenoma].
AB - OBJECTIVE: To investigate the risk factors contributing to the development of
obstructive sleep apnea hypopnea syndrome (OSAHS) and sleep hypopnea (SH) in
patients with growth hormone-secreting pituitary adenoma (GHPA). METHODS: A total
of 85 patients with GHPA recruited strictly according to the inclusion and
exclusion criteria underwent sleep monitoring overnight. Clinical manifestations,
laboratory data and magnet resonance images were collected for analysis of the
risk factors of GHPA and SH using binary logistic regression analysis. RESULTS:
The prevalence rate of OSAHS was 62.4% (53/85), and that of SH was 75.3% (64/85)
in the recruited patients with GHPA. Regression analysis showed that age
(OR=1.107) and BMI (OR=1.166) were the risk factors for OSAHS, and BMI (OR=1.334)
was the risk factor of SH. CONCLUSION: Ageing and an increased BMI are
independent risk factors for OSAHS and SH in patients with GHPA. Preoperative
sleep monitoring should be routinely conducted to ensure early diagnosis of OSAHS
and SH, and patients with GHPA should be advised to control their body weight to
lower the mortality associated with the respiratory system.
PMID- 26547351
TI - [Bicyclol combined with ganciclovir for treatment of infantile cytomegalovirus
hepatitis].
AB - OBJECTIVE: To evaluate the therapeutic effects of bicyclol combined with
ganciclocir on infantile cytomegalovirus hepatitis. METHODS: Seventy infants with
cytomegalovirus hepatitis were randomized into treatment group (n=35) and control
group (n=35) for a 2-week-long treatment with ganciclocir (5 mg/kg) with and
without oral bicyclol (3 mg/kg, twice daily), respectively. RESULTS: In both
groups, significant changes occurred in the levels of alanine aminotransferase,
alkaline phosphatase, serum total bilirubin, serum total bile acid, and glutamyl
transpeptidase after the 2-week treatment (P<0.01); these parameters differed
significantly between the two groups after the treatment (P<0.01). Compared with
those in the control group, the infants in the treatment group showed
significantly better responses to the treatment (P<0.05) with a significantly
higher rate of serum anti CMV IgM negativity (P<0.05). CONCLUSIONS: Bicyclol
combined with ganciclocir can reduce glutamic pyruvic transaminase, alkaline
phosphatase and serum total bilirubin, and decrease bile acid levels to lessen
liver cell damage and promote the recovery of liver cells.
PMID- 26547352
TI - [Laparoscopic diagnosis and treatment of rectum telangiectasia: report of a rare
form of lower gastrointestinal hemorrhage].
AB - OBJECTIVE: We report a case of rectum telangiectasia, a rare form of lower
gastrointestinal hemorrhage caused by vascular malformation. The patient
underwent laparoscopic assisted abdomino-perineal resection of the sigmoid colon
rectum telangiectasia. The extent and degree of pathological changes were
observed directly from the intestinal wall during laparoscopic surgery, and after
collection of biopsy evidence, concomitant definitive surgery was performed to
achieve a minimally invasive effect.
PMID- 26547353
TI - [Nucleolin expression is correlated with carcinogenesis and progression of
cervical squamous cell carcinoma].
AB - OBJECTIVE: To investigate the differential expressions of nucleolin in invasive
cervical squamous cell carcinoma, cervical intraepithelial neoplasms (CIN) and
normal cervical epithelial tissues and explore the role of nucleolin in the
carcinogenesis and progression of cervical squamous cell carcinoma. METHODS:
Fifty specimens of invasive cervical squamous cell carcinoma, 65 specimens of
CIN, and 60 adjacent normal cervical epithelial tissue specimens were examined
immunohistochemically for nucleolin expression. The correlation of nucleolin
expression levels with histological grades of invasive cervical squamous cell
carcinoma and CIN were analyzed. RESULTS: The specimens of invasive cervical
squamous cell carcinoma showed a significantly higher positivity rate for
nucleolin expression than CIN and normal cervical epithelial tissues, and the
rate in CIN tissues was significantly higher than that in normal cervical
epithelial tissues (P<0.01). The expression level of nucleolin was significantly
higher in invasive cervical squamous cell carcinoma than in CIN and normal
cervical epithelia tissues, and higher in CIN than in normal cervical epithelia
tissues, whose immunostaining scores were 7.6+/-0.3, 6.1+/-0.2, and 3.0+/-0.2,
respectively (P<0.01). The mean nucleolin immunostaining score was significantly
higher in poorly and moderately differentiated than in highly differentiated
cervical squamous cell carcinoma (7.9 vs 7.1, P<0.01), and higher in high grade
CIN than in low grade CIN tissues (6.0 vs 4.0, P<0.01). CONCLUSIONS:
Overexpression of nucleolin plays an important role during carcinogenesis of
cervical squamous cell carcinoma and is positively correlated with tumor
progression of CIN and cervical squamous cell carcinoma.
PMID- 26547354
TI - [Intensive hemoperfusion and long-term hemofiltration for treatment of paraquat
poisoning: a case report].
AB - OBJECTIVE: A 20-year-old male patient was admitted in our department 14 h after
paraquat poisoning at the dose of about 50 mL. The patient underwent intensive
hemoperfusion for 2 h (3 times a day) for 9 consecutive days and received
continuous renal replacement therapy (CRRT) in the mode of continuous veno-venous
hemofiltration (CVVH) for 10 consecutive days in addition to routine medications.
The biochemical indexes were monitored during the therapy. After the treatment,
paraquat concentrations in the blood and urine were decreased, and the patient's
urine volume (UV) increased, serum creatinine (Cr) level decreased, and the
oxygenation index became normal. Dynamic CT scan showed no obvious pulmonary
fibrosis. The patient was followed up for 6 months after discharge and no
complaint of discomforts was reported. This case suggests that early intensive
hemoperfusion and long-term CVVH may help improve the prognosis after paraquat
poisoning.
PMID- 26547355
TI - Impaired post-tetanic potentiation of muscle twitch in myasthenia gravis.
AB - OBJECTIVE: The aim of this study was to evaluate post-tetanic potentiation of
muscle twitch in myasthenia gravis (MG). METHODS: Post-tetanic potentiation was
evaluated by recording the compound muscle action potential (CMAP) of abductor
pollicis brevis and movement-related potential (MRP) of the thumb using an
accelerometer after tetanic stimulation of the median nerve at the wrist. After
baseline recording, tetanic stimulation was delivered to the median nerve at a
frequency of 10 Hz for 10s. The CMAP and MRP were successively recorded at
baseline and at 5, 10, 30, 60, 90 and 120 s after tetanic stimulation. The
chronological changes of CMAPs and MRPs were recorded bilaterally in 11 patients
with MG, 9 patients with myopathies (disease controls), and 25 healthy control
subjects. RESULTS: Maximal acceleration of MRP was significantly elevated during
10s after tetanic stimulation without any CMAP changes in all groups. However,
statistical analysis detected a significant decrease in post-tetanic potentiation
of maximal acceleration of MRP in MG patients only compared to healthy controls,
but not in myopathy patients, which may imply impairment of excitation
contraction coupling in MG. CONCLUSIONS: Post-tetanic potentiation of muscle
twitch is significantly diminished in MG, suggesting impaired excitation
contraction coupling. SIGNIFICANCE: Measurement of post-tetanic potentiation
using an accelerometer is a simple and sensitive method to detect impairment of
excitation-contraction coupling in MG.
PMID- 26547356
TI - Impaired interhemispheric processing in early Huntington's Disease: A
transcranial magnetic stimulation study.
PMID- 26547358
TI - Exfoliative erythroderma as a clinical manifestation of autoimmune bullous
diseases.
PMID- 26547359
TI - Reprint of "Cancer of the cervix: A sexually transmitted infection?".
AB - When mortality patterns for cancer of the uterine cervix were compared with
trends in incidence of sexually transmitted diseases in both England and Wales
and in Scotland, there were striking associations between the temporal, social
class, occupational, and geographic distributions of these diseases. The data
suggest that exposure to sexually transmitted infection is an important
determinant of cervical cancer. Although they are still young, women born after
1940 are already experiencing increased cervical-cancer mortality. If cervical
cancer prevention and therapy remain unchanged, this generation's high risk of
death from cervical cancer will probably continue to operate throughout their
lives.
PMID- 26547361
TI - Maximum upper esophageal sphincter (UES) admittance: a non-specific marker of UES
dysfunction.
AB - BACKGROUND: Assessment of upper esophageal sphincter (UES) motility is
challenging, as functionally, UES relaxation and opening are distinct. We studied
novel parameters, UES admittance (inverse of nadir impedance), and 0.2-s
integrated relaxation pressure (IRP), in patients with cricopharyngeal bar (CPB)
and motor neuron disease (MND), as predictors of UES dysfunction. METHODS: Sixty
six healthy subjects (n = 50 controls 20-80 years; n = 16 elderly >80 years), 11
patients with CPB (51-83 years) and 16 with MND (58-91 years) were studied using
pharyngeal high-resolution impedance manometry. Subjects received 5 * 5 mL liquid
(L) and viscous (V) boluses. Admittance and IRP were compared by age and between
groups. A p < 0.05 was considered significant. KEY RESULTS: In healthy subjects,
admittance was reduced (L: p = 0.005 and V: p = 0.04) and the IRP higher with
liquids (p = 0.02) in older age. Admittance was reduced in MND compared to both
healthy groups (Young: p < 0.0001 for both, Elderly L: p < 0.0001 and V: p =
0.009) and CPB with liquid (p = 0.001). Only liquid showed a higher IRP in MND
patients compared to controls (p = 0.03), but was similar to healthy elderly and
CPB patients. Only admittance differentiated younger controls from CPB (L: p =
0.0002 and V: p < 0.0001), with no differences in either parameter between CPB
and elderly subjects. CONCLUSIONS & INFERENCES: The effects of aging and
pathology were better discriminated by UES maximum admittance, demonstrating
greater statistical confidence across bolus consistencies as compared to 0.2-s
IRP. Maximum admittance may be a clinically useful determinate of UES
dysfunction.
PMID- 26547360
TI - The what, when, and why of implementation frameworks for evidence-based practices
in child welfare and child mental health service systems.
AB - It is widely recognized that children in the child welfare system are
particularly vulnerable to the adverse health and mental effects associated with
exposure to abuse and neglect, making it imperative to have broad-based
availability of evidence-based practices (EBPs) that can prevent child
maltreatment and reduce the negative mental health outcomes for youth who are
victims. A variety of EBPs exist for reducing child maltreatment risk and
addressing the associated negative mental health outcomes, but the reach of these
practices is limited. An emerging literature documents factors that can enhance
or inhibit the success of EBP implementation in community service agencies,
including how the selection of a theory-driven conceptual framework, or model,
might facilitate implementation planning by providing guidance for best practices
during implementation phases. However, limited research is available to guide
decision makers in the selection of implementation frameworks that can boost
implementation success for EBPs that focus on preventing child welfare recidivism
and serving the mental health needs of maltreated youth. The aims of this
conceptual paper are to (1) provide an overview of existing implementation
frameworks, beginning with a discussion of definitional issues and the selection
criteria for frameworks included in the review; and (2) offer recommendations for
practice and policy as applicable for professionals and systems serving victims
of child maltreatment and their families.
PMID- 26547362
TI - Geostatistical simulations for radon indoor with a nested model including the
housing factor.
AB - The radon prone areas definition is matter of many researches in radioecology,
since radon is considered a leading cause of lung tumours, therefore the
authorities ask for support to develop an appropriate sanitary prevention
strategy. In this paper, we use geostatistical tools to elaborate a definition
accounting for some of the available information about the dwellings. Co-kriging
is the proper interpolator used in geostatistics to refine the predictions by
using external covariates. In advance, co-kriging is not guaranteed to improve
significantly the results obtained by applying the common lognormal kriging.
Here, instead, such multivariate approach leads to reduce the cross-validation
residual variance to an extent which is deemed as satisfying. Furthermore, with
the application of Monte Carlo simulations, the paradigm provides a more
conservative radon prone areas definition than the one previously made by
lognormal kriging.
PMID- 26547363
TI - Nationwide expansion of a financial incentive program on fruit and vegetable
purchases among Supplemental Nutrition Assistance Program participants: A cost
effectiveness analysis.
AB - High prices remain a formidable barrier for many people, especially those of low
socioeconomic status, to adopt a healthier diet. The Food, Conservation, and
Energy Act of 2008 mandated the U.S. Department of Agriculture (USDA) to conduct
a pilot study to assess the impact of making fruits and vegetables more
affordable for households in the Supplemental Nutrition Assistance Program
(SNAP). Based on the USDA final report of the Healthy Incentives Pilot (HIP), a
large-scale randomized trial in 2011-2012 that provided 30% rebate on targeted
fruits and vegetables to 7500 study participants enrolled in the SNAP, we
constructed a decision model to evaluate the cost-effectiveness of an expansion
of the HIP to all SNAP households nationwide. The estimated life-time per capita
costs of the HIP to the Federal government is $1323 in 2012 U.S. dollars, and the
average gains in quality-adjusted life expectancy to a SNAP participant is 0.082
quality-adjusted life year (QALY), resulting in an incremental cost-effectiveness
ratio (ICER) of $16,172 per QALY gained. Sensitivity analysis using Monte Carlo
simulations indicates a 94.4% and 99.6% probability that the estimated ICER would
be lower than the cost-effective threshold of $50,000 and $100,000 per QALY
gained, respectively. Moreover, the estimated ICER of the HIP expansion tends to
be competitive in comparison to other interventions that aimed at promoting
fruit/vegetable intake among adult population. Findings from this study suggest
that a nationwide expansion of the HIP is likely to nudge SNAP households towards
purchasing and consuming more targeted fruits and vegetables. However, diet
behavior modification is proportional to price change. When people's actual
eating behaviors and what dietary guidelines recommend differ by several folds,
even a 30% rebate closes just a small fraction of that gap and has limited
beneficial impact on participants' weight management, disease prevention, and
health-related quality of life.
PMID- 26547364
TI - To clear or to fear: An innate perspective on factor VIII immunity.
AB - The enigma that is factor VIII immunogenicity remains ever pertinent in the
treatment of hemophilia A. Development of neutralizing antibodies against the
therapeutic protein in 25-30% of patients likely depends on the appropriate
activation of the innate immune response shortly following antigen encounter. Our
understanding of this important immunological synapse remains ill-defined. In
this review, we examine the three distinct factors contributing to the fate of
factor VIII almost immediately after infusion: the characteristics of the
protein, the cell, and the microenvironment. We propose a continuum between
clearance and antigen presentation that facilitates removal of FVIII from
circulation leading to either tolerance or immunity.
PMID- 26547365
TI - iWAS--A novel approach to analyzing Next Generation Sequence data for immunology.
AB - In this communication we describe a novel way to use Next Generation Sequence
from the receptors expressed on T and B cells. This informatics methodology is
named iWAS, for immunonome Wide Association Study, where we use the immune
receptor sequences derived from T and B cells and the features of those receptors
(sequences themselves, V/J gene usage, length and character each of the CDR3 sub
regions) to define biomarkers of health and disease, as well as responses to
therapies. Unlike GWAS, which do not provide immediate access to mechanism, the
associations with immune receptors immediately suggest possible and plausible
entree's into disease pathogenesis and treatment.
PMID- 26547366
TI - Aberrant metastatic behavior and particular features of early gastric cancer.
AB - In this paper, we have focused on the metastatic behavior of EGC and its
particularities. The main factors that are currently considered as predictors of
the metastatic behavior and that are used in the therapeutic decision (endoscopic
resection vs surgical removal) are the tumor size (upper or bellow 2 cm), depth
of infiltration, angiolymphatic invasion, the presence or absence of ulceration,
and histologic type (undifferentiated vs differentiated carcinomas). However,
most of the metastatic cases were published as case reports or case series. This
is the reason why a proper estimation of metastatic risk in EGC is not well
known. To date, 79 cases presenting bone metastases, three reports of brain
metastases, and one EGC that was associated with skin metastasis were published.
However, occult metastasis, lymph node micrometastasis, and skip metastasis can
also occur and should be identified. Making a synthesis of the literature data
that is correlated with our experience, we finally proposed the inclusion of the
six Japanese subgrouping system, tumor size, angiolymphatic invasion, and
micrometastasis as components of the pTNM staging system, which should be
particularly adapted for EGC.
PMID- 26547367
TI - Increased binding of circulating systemic lupus erythematosus autoantibodies to
recombinant interferon alpha 2b.
AB - Systemic lupus erythematosus (SLE) is a multisystem autoimmune disease
characterized by various types of immunological abnormalities including
circulating and tissue-fixed autoantibodies reactive with autoantigens. The
mechanism that can explain the production of these antibodies is unclear. Here we
address the binding specificity of SLE autoantibodies with recombinant alpha
interferon 2b (hrIFN alpha-2b), commercially available interferon (IFN alpha-2b),
and the gene (cIFN alpha-2b) encoding this interferon. hrIFN alpha-2b showed
higher binding with naturally occurring SLE autoantibodies as compared to IFN
alpha-2b (p < 0.05) or cIFN alpha-2b gene (p < 0.001) as assessed by direct
binding, inhibition ELISA, and quantitative precipitin titration. The relative
affinity of SLE autoantibodies for hrIFN alpha-2b, IFN alpha-2b, and cIFN alpha
2b gene was in the order of 1.13 * 10(-7) , 1.38 * 10(-6) , and 1.22 * 10(-6) ,
respectively. hrIFN alpha-2b is shown to have unique epitopes that would explain
the possible antigenic role of hrIFN alpha-2b in the generation of SLE
autoantibodies. Anti-hrIFN alpha-2b antibodies have been shown to represent an
alternative immunological probe for the estimation of interferon alpha 2b in the
serum of SLE patients.
PMID- 26547368
TI - Elevated serum levels of Interleukin-37 are associated with inflammatory
cytokines and disease activity in rheumatoid arthritis.
AB - Interleukin-37 (IL-37) is closely associated with several inflammatory diseases.
However, the role of IL-37 in the pathogenesis of rheumatoid arthritis (RA)
remains unclear. The aim of this study was to assess the associations between
serum levels of IL-37 and disease activity, inflammatory cytokines, and bone loss
in patients with RA. Serum cytokines levels were examined by Enzyme-linked
immunosorbent assay (ELISA). Radiographic bone erosion was assessed using the van
der Heijde-modified Sharp score and bone mineral density (BMD) was measured using
DXA. Serum IL-37 levels in RA patients were significantly higher than those in
HCs (p < 0.001), and were significantly positively correlated with clinical
parameters of disease activity and serum levels of IL-17 and IL-23. In addition,
serum IL-37 levels were significantly higher in patients with stage IV of
radiographic bone erosion than those with stage III and stage I-II, and they were
significantly higher in those with osteopenia and osteoporosis than in those with
normal BMD. Our results suggest that serum IL-37 levels were increased in
patients with RA and were positively associated with disease activity, IL-17/IL
23 and bone loss in RA, suggesting that IL-37 may play a critical role in the
pathogenesis of RA.
PMID- 26547369
TI - Portal and splanchnic hemodynamics after partial splenic embolization in
cirrhotic patients with hypersplenism.
AB - To assess the acute effects of partial splenic embolization (PSE) on portal and
splanchnic hemodynamics in patients with cirrhosis. Ninety-five patients with
hypersplenism were included in the study. Duplex examinations were performed
before and 3 and 7 days after PSE. Portal and splanchnic hemodynamics including
vessel cross-sectional area (CSA), mean flow velocities (cm/s), blood flows
(mL/min), Doppler indices as portal congestion index (CI), liver vascular index,
hepatic artery and superior mesenteric artery (SMA) pulsatility and resistive
indices (PI and RI), were performed before and after PSE. In our study, 69 of 95
patients were males (72.6%) and 26 females (27.3%). Chronic hepatitis C virus
infection was the main cause of cirrhosis (81.1%). PSE failed technically in six
patients (6.3%). After PSE, both CSA and CI significantly decreased (p < 0.05 and
<0.01). The portal vein velocity significantly increased (p < 0.01). The portal
flow volume (892.4 +/- 151 mL/min) did not show significant changes. The hepatic
artery RI and PI showed a steady increase that became significant 7 days post-PSE
(p < 0.05). The RI and PI of SMA increased significantly after 7 days of PSE (p <
0.05). PSE has an immediate portal decompression effect in patients with portal
hypertension without reduction in portal flow. This effect on portal pressure
should be investigated in future studies as a potential tool for management of
acute variceal bleeding when other medical procedures fail.
PMID- 26547370
TI - Nuclear, cytoplasmic, and stromal expression of ZEB1 in squamous and small cell
carcinoma of the esophagus.
AB - Zinc finger E-box-binding homeobox 1 (ZEB1) is a transcriptional factor known to
repress E-cadherin promoter and thus induce EMT. Expression of ZEB-1 has in
numerous cancers been associated with aggressive disease and poor clinical
outcome. Our aim was to investigate the expression of ZEB1 in esophageal squamous
and small-cell carcinomas. Immunohistochemical staining was performed on tissue
sections obtained from 151 patients with esophageal squamous cell carcinoma
(ESCC) and 25 patients with primary small-cell carcinoma of the esophagus
(PSCCE). Semi-quantitative analysis, and thus statistical analysis, has been
accomplished on the samples. Immunohistochemistry revealed ZEB1 expression in the
cytoplasm (64.9% of cases), in nuclei (11.3% of cases) and in tumor stroma (80.1%
of cases) of ESCC. In PSCCE only nuclear staining (88.0% of cases) was observed.
Weak cytoplasmic expression of ZEB1 in ESCC was associated with longer survival.
Immunohistochemical evaluation of ZEB1 cytoplasmic expression in ESCC may have
clinical prognostic value, but further studies are needed to fully understand the
function as well as potential clinical and therapeutic implications of ZEB1
expression in cancers.
PMID- 26547371
TI - Nuclear and mitochondrial DNAs microsatellite instability and mitochondrial DNA
copy number in adenocarcinoma and squamous cell carcinoma of lung: a pilot study.
AB - Mitochondrial genetic changes are considered as a key molecular step of mutations
in various cancers. To clarify the role of genetic instability in lung cancer, we
analyzed clinicopathological characteristics and frequencies of nuclear and
mitochondrial microsatellite instability (nMSI and mtMSI), and alteration of
mitochondrial DNA copy number (mtCN) in adenocarcinoma (ADC) and squamous cell
carcinoma (SCC) of lung. DNA was isolated from 48 patients with ADC and 42 with
SCC. Markers for nMSI, BAT 25 and 26, and markers for mtMSI, (C)n and (CA)n in
mitochondrial D-loop region, were utilized. The mtCN were measured by real-time
polymerase chain reaction. The nMSI was found in two patients (4.2%) of ADC and 6
(14.3%) of SCC. The mtMSI was detected in 10 patients (20.8%) of ADC and 8
(19.0%) of SCC. Mean mtCN was 5.05 +/- 8.17 and 3.34 +/- 5.14 in ADC and SCC
respectively. The mtCN was increased in 35 patients (72.9%) of ADC and 30 (71.4%)
of SCC. The mtMSI more frequently appeared in more advanced pathologic T stage in
ADC (p = 0.003). Alterations of mtCN and a high frequency of mtMSI in our patient
samples indicate that mitochondrial DNA is a potential molecular marker in lung
cancers (ADC and SCC) correlating with their histological classification.
PMID- 26547372
TI - Antibiotic susceptibility among Staphylococcus epidermidis isolated from
prosthetic joint infections, with focus on doxycycline.
AB - In recent years, coagulase-negative staphylococci such as Staphylococcus
epidermidis have gained importance as nosocomial pathogens, especially in
immunocompromised patients and prosthetic joint infections (PJIs). These
infections are often long lasting and difficult to treat due to the production of
bacterial biofilm and the transformation of the bacteria into a stationary growth
phase. Rifampicin is able to penetrate the biofilm, but to reduce the risk of
development of rifampicin resistance it should be used in combination with an
additional antibiotic. In this study we used Etest to investigate the
antimicrobial susceptibility of 134 clinical isolates of S. epidermidis obtained
from PJIs to six oral antibiotics: doxycycline, rifampicin, linezolid, fusidic
acid, clindamycin, and ciprofloxacin. We also performed synergy testing on
doxycycline in combination with each of the remaining antibiotics. Ninety-three
(69%) of the 134 isolates were susceptible to doxycycline, 94/134 (70%) to
rifampicin, 56/134 (42%) to clindamycin, 25/134 (19%) to ciprofloxacin, 81/134
(60%) to fusidic acid, and 100% to linezolid. Thirty-two (80%) of the 40 isolates
not fully susceptible to rifampicin were susceptible to doxycycline. Doxycycline
in combination with each of the other investigated antibiotics exerted an
additive effect on nearly half of the isolates, with the exception of
clindamycin, which displayed an even higher percentage of additive effect (69%).
To conclude, as the majority of the S. epidermidis isolates were susceptible to
doxycycline, this antimicrobial agent may provide a potential alternative for
combination therapy together with rifampicin.
PMID- 26547373
TI - Antimycobacterial action of a new glycolipid-peptide complex obtained from
extracellular metabolites of Raoultella ornithinolytica.
AB - In this paper, an antimycobacterial component of extracellular metabolites of a
gut bacterium Raoultella ornithinolytica from D. veneta earthworms was isolated
and its antimycobacterial action was tested using Mycobacterium smegmatis. After
incubation with the complex obtained, formation of pores and furrows in cell
walls was observed using microscopic techniques. The cells lost their shape,
stuck together and formed clusters. Surface-enhanced Raman spectroscopy analysis
showed that, after incubation, the complex was attached to the cell walls of the
Mycobacterium. Analyses of the component performed with Fourier transform
infrared spectroscopy demonstrated high similarity to a bacteriocin nisin, but
energy dispersive X-ray spectroscopy analysis revealed differences in the
elemental composition of this antimicrobial peptide. The component with
antimycobacterial activity was identified using mass spectrometry techniques as a
glycolipid-peptide complex. As it exhibits no cytotoxicity on normal human
fibroblasts, the glycolipid-peptide complex appears to be a promising compound
for investigations of its activity against pathogenic mycobacteria.
PMID- 26547374
TI - Biofilm formation of ica operon-positive Staphylococcus epidermidis from
different sources.
AB - Information on the prevalence of biofilm-related factors (PIA, Bhp, Aap, Embp) in
Staphylococcus epidermidis of animal origin is scarce. In this study, 263 S.
epidermidis isolates of diverse origin (animal, farmers, patients, and laboratory
staff) were investigated for the presence of the ica operon (icaRADBC). The
icaRADBC-positive isolates were further characterized by means of biofilm
formation, presence of other biofilm-related genes, antimicrobial resistance, and
population structure. Of all isolates, 28.5% (n = 75) were icaRADBC-positive,
including 16.5% of animal origin, 29.1% farmer isolates, and 44.6% hospital
associated isolates (including patients and laboratory staff isolates). Most
icaRADBC-positive isolates carried embp (n = 73), aap (n = 57), bhp (n = 22), and
IS256 (n = 29). Statistical differences were found between animal and patient
isolates for the presence of icaRADBC, bhp, and aap. No statistically significant
relation was found between the presence of one or more genes and the level of
biofilm formation. Most icaRADBC-positive isolates belonged to the clonal complex
5 (formerly 2) and most sequence types corresponded to types previously observed
in community and nosocomial S. epidermidis populations. Although the prevalence
of S. epidermidis in the nasal cavity of bovines and poultry is low, some
isolates belong to STs related to ica-positive clinical strains.
PMID- 26547375
TI - Incorrect statistical data.
PMID- 26547357
TI - Effect of Vericiguat, a Soluble Guanylate Cyclase Stimulator, on Natriuretic
Peptide Levels in Patients With Worsening Chronic Heart Failure and Reduced
Ejection Fraction: The SOCRATES-REDUCED Randomized Trial.
AB - IMPORTANCE: Worsening chronic heart failure (HF) is a major public health
problem. OBJECTIVE: To determine the optimal dose and tolerability of vericiguat,
a soluble guanylate cyclase stimulator, in patients with worsening chronic HF and
reduced left ventricular ejection fraction (LVEF). DESIGN, SETTING, AND
PARTICIPANTS: Dose-finding phase 2 study that randomized 456 patients across
Europe, North America, and Asia between November 2013 and January 2015, with
follow-up ending June 2015. Patients were clinically stable with LVEF less than
45% within 4 weeks of a worsening chronic HF event, defined as worsening signs
and symptoms of congestion and elevated natriuretic peptide level requiring
hospitalization or outpatient intravenous diuretic. INTERVENTIONS: Placebo (n =
92) or 1 of 4 daily target doses of oral vericiguat (1.25 mg [n = 91], 2.5 mg [n
= 91], 5 mg [n = 91], 10 mg [n = 91]) for 12 weeks. MAIN OUTCOMES AND MEASURES:
The primary end point was change from baseline to week 12 in log-transformed
level of N-terminal pro-B-type natriuretic peptide (NT-proBNP). The primary
analysis specified pooled comparison of the 3 highest-dose vericiguat groups with
placebo, and secondary analysis evaluated a dose-response relationship with
vericiguat and the primary end point. RESULTS: Overall, 351 patients (77.0%)
completed treatment with the study drug with valid 12-week NT-proBNP levels and
no major protocol deviation and were eligible for primary end point evaluation.
In primary analysis, change in log-transformed NT-proBNP levels from baseline to
week 12 was not significantly different between the pooled vericiguat group (log
transformed: baseline, 7.969; 12 weeks, 7.567; difference, -0.402; geometric
means: baseline, 2890 pg/mL; 12 weeks, 1932 pg/mL) and placebo (log-transformed:
baseline, 8.283; 12 weeks, 8.002; difference, -0.280; geometric means: baseline,
3955 pg/mL; 12 weeks, 2988 pg/mL) (difference of means, -0.122; 90% CI, -0.32 to
0.07; ratio of geometric means, 0.885, 90% CI, 0.73-1.08; P = .15). The
exploratory secondary analysis suggested a dose-response relationship whereby
higher vericiguat doses were associated with greater reductions in NT-proBNP
level (P < .02). Rates of any adverse event were 77.2% and 71.4% among the
placebo and 10-mg vericiguat groups, respectively. CONCLUSIONS AND RELEVANCE:
Among patients with worsening chronic HF and reduced LVEF, compared with placebo,
vericiguat did not have a statistically significant effect on change in NT-proBNP
level at 12 weeks but was well-tolerated. Further clinical trials of vericiguat
based on the dose-response relationship in this study are needed to determine the
potential role of this drug for patients with worsening chronic HF. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: NCT01951625.
PMID- 26547376
TI - Sexual health among female Aboriginal university students in the Maritime
Provinces of Canada: risk behaviours and health services use.
AB - Background Young Aboriginal Canadian people are at increased risk of negative
sexual health outcomes, including sexually transmissible infections (STIs) and
unplanned pregnancy. Associations between Aboriginal ethnicity and sexual risk
behaviours and related health services use among sexually active female
university students in eastern Canada were examined. METHODS: A secondary
analysis of online survey data collected from sexually active female university
students under age 30 years from eight post-secondary institutions in the
Maritime Provinces of Canada was carried out (N=5010). Students were asked about
their ethnic backgrounds, health services use and sexual health behaviours.
Logistic regressions were used to compare Aboriginal students to Caucasian
students regarding their sexual health behaviours and services use. RESULTS: In
adjusted analyses, Aboriginal students were seen to be more likely to not have
used a condom (OR 2.37; 95% CI 1.34-4.18) or any form of effective contraception
(OR 3.05; 95% CI 1.75-5.31) at last intercourse. They also were more likely to
report any lifetime testing for pregnancy (OR 5.81; 95% CI 3.07-10.99) and STIs
(OR 2.95; 95% CI 1.11-7.82). Aboriginal students accessed university health
services as often as their Caucasian counterparts. CONCLUSIONS: Aboriginal women
attending university in the Maritime Provinces of Canada engage in greater sexual
risk taking than Caucasian women and report more related testing. Health services
providers working with university students should be aware of these lower rates
of barrier protection and use of contraception among Aboriginal women, and use
healthcare visits as opportunities to engage these women in reducing their sexual
risk taking.
PMID- 26547377
TI - Mixed lineage kinase 3 connects hepatocellular lipotoxicity with macrophage
chemotaxis.
PMID- 26547378
TI - Peak Vertical Force and Vertical Impulse in Dogs With Cranial Cruciate Ligament
Rupture and Meniscal Injury.
AB - OBJECTIVE: To compare the peak vertical force (PVF) and vertical impulse (VI) in
dogs with naturally occurring cranial cruciate ligament (CCL) disease with or
without concurrent meniscal injury. STUDY DESIGN: Prospective case series. STUDY
POPULATION: Client-owned dogs with naturally occurring CCL disease. METHODS: Dogs
with hind limb lameness because of CCL disease that required surgery underwent
force plate gait analysis. Force plate analysis was performed at a walking gait
(speed 1-1.3 m/s; acceleration +/- 0.5 m/s(2)) and data were analyzed using
commercial proprietary software. Meniscal injury was diagnosed either by mini
arthrotomy or arthroscopy. The primary outcome was PVF and the secondary outcome
was VI. Comparisons were made between dogs with or without meniscal injury, and
dogs with partial or complete CCL rupture. RESULTS: Forty dogs were included.
Meniscal injury was present in 18/40 dogs (45%). PVF (P = .003) and VI (P = .01)
were significantly lower in dogs with meniscal injury than in dogs without
meniscal injury. CONCLUSION: Dogs with CCL disease and medial meniscal injury had
significantly reduced PVF and VI.
PMID- 26547379
TI - Iris pigmentation as a quantitative trait: variation in populations of European,
East Asian and South Asian ancestry and association with candidate gene
polymorphisms.
AB - In this study, we present a new quantitative method to measure iris colour based
on high-resolution photographs. We applied this method to analyse iris colour
variation in a sample of individuals of East Asian, European and South Asian
ancestry. We show that measuring iris colour using the coordinates of the CIELAB
colour space uncovers a significant amount of variation that is not captured
using conventional categorical classifications, such as 'brown', 'blue' or
'green'. We tested the association of a selected panel of polymorphisms with iris
colour in each population group. Six markers showed significant associations with
iris colour in the European sample, three in the South Asian sample and two in
the East Asian sample. We also observed that the marker HERC2 rs12913832, which
is the main determinant of 'blue' versus 'brown' iris colour in European
populations, is also significantly associated with central heterochromia in the
European sample.
PMID- 26547380
TI - [Dosimetric comparaison between VMAT and tomotherapy with para-aortic irradiation
for cervix carcinoma].
AB - PURPOSE: Intensity-modulated radiotherapy (IMRT) has proven its dosimetric
superiority over conformational radiotherapy for cervix cancers in terms of
digestive toxicity. Volumetric modulated arctherapy (VMAT) has shown its
dosimetric interest when compared to IMRT with static beams. The purpose of our
study was to compare conformational radiotherapy, VMAT and tomotherapy for
cervical cancers with para-aortic lymph nodes irradiation. PATIENTS AND METHODS:
The dosimetric data from ten patients were compared between the three techniques,
with collection of the dose received by the planning target volume, kidneys,
bowel, rectum, bladder, bone marrow and spinal cord, as well as the complete
dose. RESULTS: There was a significant difference in favour of VMAT and
tomotherapy when compared with conformational radiotherapy for the organs at
risk: intestines (V(20 Gy), V(30 Gy) and V(45 Gy)), rectum (V(30 Gy) and V(45
Gy)), bladder (V(30 Gy) and V(45 Gy)) and kidneys (V(12 Gy), Daverage, V(20 Gy)).
Volumes receiving 20, 30 and 45 Gy were significantly higher in conformational
radiotherapy than in VMAT and tomotherapy. There was a significant difference in
favour of tomotherapy when compared with VMAT for V(12 Gy) in kidneys and V(45
Gy) in the bladder. CONCLUSION: This study confirms the interest of VMAT and
tomotherapy for pelvic and para-aortic lymph nodes irradiation of cervix cancer
when compared with conformational radiotherapy. There was little difference
between VMAT and tomotherapy, except for kidney sparing, which was better with
tomotherapy and thus may be interesting for patients receiving nephrotoxic
chemotherapy.
PMID- 26547381
TI - Folylpolyglutamate synthetase splicing alterations in acute lymphoblastic
leukemia are provoked by methotrexate and other chemotherapeutics and mediate
chemoresistance.
AB - Methotrexate (MTX), a folate antagonist which blocks de novo nucleotide
biosynthesis and DNA replication, is an anchor drug in acute lymphoblastic
leukemia (ALL) treatment. However, drug resistance is a primary hindrance to
curative chemotherapy in leukemia and its molecular mechanisms remain poorly
understood. We have recently shown that impaired folylpolyglutamate synthetase
(FPGS) splicing possibly contributes to the loss of FPGS activity in MTX
resistant leukemia cell line models and adult leukemia patients. However, no
information is available on the possible splicing alterations in FPGS in
pediatric ALL. Here, using a comprehensive PCR-based screen we discovered and
characterized a spectrum of FPGS splicing alterations including exon skipping and
intron retention, all of which proved to frequently emerge in both pediatric and
adult leukemia patient specimens. Furthermore, an FPGS activity assay revealed
that these splicing alterations resulted in loss of FPGS function. Strikingly,
pulse-exposure of leukemia cells to antifolates and other chemotherapeutics
markedly enhanced the prevalence of several FPGS splicing alterations in
antifolate-resistant cells, but not in their parental antifolate-sensitive
counterparts. These novel findings suggest that an assortment of deleterious FPGS
splicing alterations may constitute a mechanism of antifolate resistance in
childhood ALL. Our findings have important implications for the rational
overcoming of drug resistance in individual leukemia patients.
PMID- 26547382
TI - Can Angiotensin-Converting Enzyme Inhibitors Reduce the Incidence, Severity, and
Duration of Radiation Proctitis?
AB - PURPOSE: To determine whether participants taking angiotensin-converting enzyme
inhibitors (ACEIs) and treated with radical radiation therapy with
neoadjuvant/adjuvant hormone therapy have less incidence, severity, and duration
of radiation proctitis. METHODS AND MATERIALS: A propensity score analysis of 817
patients who underwent radical radiation therapy with neoadjuvant or adjuvant
hormone therapy as primary line management in a cohort study during 2009 to 2013
was conducted. Patients were stratified as follows: group 1, hypertensive
patients taking ACEIs (as a study group); group 2, nonhypertensive patients not
taking ACEIs; and group 3, hypertensive patients not taking ACEIs (both as
control groups). The incidence, severity, and duration of proctitis were the main
outcome. chi(2) tests, Mann-Whitney U tests, analysis of variance, risk ratio
(RR), confidence interval (CI), Kaplan-Meier plots, and log-rank tests were used.
RESULTS: The mean age of the participants was 68.91 years, with a follow-up time
of 3.38 years. Based on disease and age-matched comparison, there was a
statistically significant difference of proctitis grading between the 3 groups:
chi(2) (8, n=308) = 72.52, P<.001. The Mann-Whitney U test indicated that grades
of proctitis were significantly lower in hypertensive patients taking ACEIs than
in nonhypertensive patients not taking ACEIs and hypertensive patients not taking
ACEIs (P<.001). The risk ratio (RR) of proctitis in hypertensive patients taking
ACEIs was significantly lower than in hypertensive patients not taking ACEIs (RR
0.40, 95% CI 0.30-0.53, P<.001) and in nonhypertensive patients not taking ACEIs
(RR 0.58, 95% CI 0.44-0.77, P<.001). Time to event analysis revealed that
hypertensive patients taking ACEIs were significantly different from the control
groups (P<.0001). Furthermore, hypertensive patients taking ACEIs had
significantly faster resolution of proctitis (P<.0001). CONCLUSION: Patients who
were taking ACEIs were significantly less likely to have high-grade proctitis
after radical radiation therapy with neoadjuvant or adjuvant hormone therapy
(P<.001). The intake of ACEIs was significantly associated with a reduced risk of
radiation-induced proctitis and also with acceleration of its resolution.
PMID- 26547383
TI - Sorafenib Increases Tumor Hypoxia in Cervical Cancer Patients Treated With
Radiation Therapy: Results of a Phase 1 Clinical Study.
AB - PURPOSE: Preclinical studies have shown that angiogenesis inhibition can improve
response to radiation therapy (RT). The purpose of this phase 1 study was to
examine the angiogenesis inhibitor sorafenib in patients with cervical cancer
receiving radical RT and concurrent cisplatin (RTCT). METHODS AND MATERIALS:
Thirteen patients with stage IB to IIIB cervical cancer participated. Sorafenib
was administered daily for 7 days before the start of standard RTCT in patients
with early-stage, low-risk disease and also during RTCT in patients with high
risk disease. Biomarkers of tumor vascularity, perfusion, and hypoxia were
measured at baseline and again after 7 days of sorafenib alone before the start
of RTCT. The median follow-up time was 4.5 years. RESULTS: Initial complete
response was seen in 12 patients. One patient died without achieving disease
control, and 4 experienced recurrent disease. One patient with an extensive,
infiltrative tumor experienced pelvic fistulas during treatment. The 4-year
actuarial survival was 85%. Late grade 3 gastrointestinal toxicity developed in 4
patients. Sorafenib alone produced a reduction in tumor perfusion/permeability
and an increase in hypoxia, which resulted in early closure of the study.
CONCLUSIONS: Sorafenib increased tumor hypoxia, raising concern that it might
impair rather than improve disease control when added to RTCT.
PMID- 26547384
TI - Improved Survival With Radiation Therapy in Stage I-II Primary Mediastinal B Cell
Lymphoma: A Surveillance, Epidemiology, and End Results Database Analysis.
AB - BACKGROUND: Primary mediastinal B cell lymphoma (PMBCL) is an uncommon lymphoma
for which trials are few with small patient numbers. The role of radiation
therapy (RT) after standard immunochemotherapy for early-stage disease has never
been studied prospectively. We used the Surveillance, Epidemiology, and End
Results (SEER) database to evaluate PMBCL and the impact of RT on outcomes.
METHODS AND MATERIALS: We queried the SEER database for patients with stage I-II
PMBCL diagnosed from 2001 to 2011. Retrievable data included age, gender, race
(white/nonwhite), stage, extranodal disease, year of diagnosis, and use of RT as
a component of definitive therapy. Kaplan-Meier overall survival (OS) estimates,
univariate (UVA) log-rank and multivariate (MVA) Cox proportional hazards
regression analyses were performed. RESULTS: Two hundred fifty patients with
stage I-II disease were identified, with a median follow-up time of 39 months
(range, 3-125 months). The median age was 36 years (range, 18-89 years); 61% were
female; 76% were white; 45% had stage I disease, 60% had extranodal disease, and
55% were given RT. The 5-year OS for the entire cohort was 86%. On UVA, OS was
improved with RT (hazard ratio [HR] 0.446, P=.029) and decreased in association
with nonwhite race (HR 2.70, P=.006). The 5-year OS was 79% (no RT) and 90% (RT).
On MVA, white race and RT remained significantly associated with improved OS
(P=.007 and .018, respectively). The use of RT decreased over time: 61% for the
67 patients whose disease was diagnosed from 2001 to 2005 and 53% in the 138
patients treated from 2006 to 2010. CONCLUSION: This retrospective population
based analysis is the largest PMBCL dataset to date and demonstrates a
significant survival benefit associated with RT. Nearly half of patients treated
in the United States do not receive RT, and its use appears to be declining. In
the absence of phase 3 data, the use of RT should be strongly considered for its
survival benefit in early-stage disease.
PMID- 26547385
TI - Clinical Outcomes With Dose-Escalated Adaptive Radiation Therapy for Urinary
Bladder Cancer: A Prospective Study.
AB - PURPOSE: The purpose of this study was to assess feasibility, clinical outcomes,
and toxicity in patients with bladder cancer treated with adaptive, image guided
radiation therapy (IGRT) for bladder preservation as a part of trimodality
treatment. The role of dose escalation was also studied. METHODS AND MATERIALS:
Forty-four patients with localized bladder cancer were enrolled in a prospective
study. They underwent maximal safe resection of bladder tumor and concurrent
platinum-based chemotherapy. Patients with large tumors were offered induction
chemotherapy. Radiation therapy planning was done using either 3 (n=34) or 6
(n=10) concentrically grown planning target volumes (PTV). Patients received 64
Gy in 32 fractions to the whole bladder and 55 Gy to the pelvic nodes and, if
appropriate, a simultaneous integrated boost to the tumor bed to 68 Gy
(equivalent dose for 2-Gy fractions assuming alpha/beta of 10 [EQD2]10 = 68.7
Gy). Daily megavoltage (MV) imaging helped to choose the most appropriate PTV
encompassing bladder for the particular day (using plan-of-the-day approach).
RESULTS: Most patients (88%) had T2 disease. Sixteen patients (36%) received
neoadjuvant chemotherapy. A majority of the patients (73%) received prophylactic
nodal irradiation, whereas 55% of the patients received escalated dose to the
tumor bed. With a median follow-up of 30 months, the 3-year locoregional control
(LRC), disease-free survival, and overall survival (OS) were 78%, 66%, and 67%,
respectively. The bladder preservation rate was 83%. LRC (87% vs 68%,
respectively, P=.748) and OS (74% vs 60%, respectively, P=.36) rates were better
in patients receiving dose escalation. Instances of acute and late Radiation
Therapy Oncology Group (RTOG) grade 3 genitourinary toxicity was seen in 5 (11%)
and 2 (4%) patients, respectively. There was no acute or late RTOG grade 3 or
higher gastrointestinal toxicity. CONCLUSIONS: Adaptive IGRT using plan-of-the
day approach for bladder preservation is clinically feasible, with good
oncological outcomes and low rates of acute and late toxicities. Dose escalation
is safe and possibly improves outcomes in bladder preservation.
PMID- 26547386
TI - Examination of Industry Payments to Radiation Oncologists in 2014 Using the
Centers for Medicare and Medicaid Services Open Payments Database.
AB - PURPOSE: To use the Centers for Medicare and Medicaid Services Open Payments
database to characterize payments made to radiation oncologists and compare their
payment profile with that of medical and surgical oncologists. METHODS AND
MATERIALS: The June 2015 release of the Open Payments database was accessed,
containing all payments made to physicians in 2014. The general payments dataset
was used for analysis. Data on payments made to medical, surgical, and radiation
oncologists was obtained and compared. Within radiation oncology, data regarding
payment category, sponsorship, and geographic distribution were identified. Basic
statistics including mean, median, range, and sum were calculated by provider and
by transaction. RESULTS: Among the 3 oncologic specialties, radiation oncology
had the smallest proportion (58%) of compensated physicians and the lowest mean
($1620) and median ($112) payment per provider. Surgical oncology had the highest
proportion (84%) of compensated physicians, whereas medical oncology had the
highest mean ($6371) and median ($448) payment per physician. Within radiation
oncology, nonconsulting services accounted for the most money to physicians
($1,042,556), whereas the majority of the sponsors were medical device companies
(52%). Radiation oncologists in the West accepted the most money ($2,041,603) of
any US Census region. CONCLUSIONS: Radiation oncologists in 2014 received a large
number of payments from industry, although less than their medical or surgical
counterparts. As the Open Payments database continues to be improved, it remains
to be seen whether this information will be used by patients to inform choice of
providers or by lawmakers to enact policy regulating physician-industry
relationships.
PMID- 26547387
TI - No data, no problem, no action: parenting programs in low-income countries.
Making the social-emotional outcomes more visible.
AB - BACKGROUND: Parenting programs are delivered in many low income countries in an
effort to address the effects of insufficient cognitive stimulation, major social
emotional risk factors related to inadequate caregiver sensitivity and
responsiveness, maternal depression, violence and biological risk factors.
However, the outcomes of these programs are often untested because of
methodological limitations in the existing evaluation tools available for cross
cultural research, and especially those related to social-emotional development
and functioning. METHOD: This study takes an approach that involves organizing
data from focus groups collected following caregiver participation in a parenting
program, Learning Through Play (LTP) delivered in 11 low income countries. The
LTP program has as its aim to increase parent knowledge and influence parental
practice regarding the essential components of early development of children aged
birth to 6 years. The purpose of systematically organizing focus group data was
to illustrate a means by which program developers worldwide can discuss outcomes
of program participation, particularly in social-emotional domains that have been
overlooked in past studies on parenting programs. RESULTS AND CONCLUSION: The
findings from this study suggest that qualitative outcome data can be organized
to make the social-emotional outcomes of participation in parenting programs more
visible.
PMID- 26547388
TI - QSAR Models Guided by Molecular Dynamics Applied to Human Glucokinase Activators.
AB - In this study, quantitative structure-activity relationship studies which make
use of molecular dynamics trajectories were performed on a set of 54 glucokinase
protein activators. The conformations obtained by molecular dynamics simulation
were superimposed according to the twelve alignments tested in a virtual three
dimensional box comprised of 2 A cells. The models were generated by the
technique that combines genetic algorithms and partial least squares. The best
alignment models generated with a determination coefficient (r(2)) between 0.674
and 0.743 and cross-validation (q(2)) between 0.509 and 0.610, indicating good
predictive capacity. The 4D-QSAR models developed in this study suggest novel
molecular regions to be explored in the search for better glucokinase activators.
PMID- 26547389
TI - Placental stem cells.
AB - The placenta represents a reservoir of progenitor, stem cells and epithelial
cells that have been shown to differentiate into various types, including
adipogenic, osteogenic, myogenic, hepatogenic, cardiac, pancreatic, endothelial,
pulmonary and neurogenic lineages. This review focuses on the properties of
placenta-derived cells, and it evaluates their current therapeutic applications
in regenerative medicine and cell transplantations. Ongoing clinical and
preclinical studies are investigating the safety and efficacy of the human
amniotic epithelial cells (hAECs), human amniotic mesenchymal stromal cells
(hAMSCs) and chorionic mesenchymal stromal cells (hCMSCs). The establishment of
biobanks for placental stem cells will enable the translation of scientific
research into the clinic. The advantage of the placenta as a cellular source is
that it contains different cell lineages, such as the haematopoietic lineage that
originates from the chorion, allantois and yolk sac, and the mesenchymal lineage
that originates from the chorion and amnion. In this review, we address advances
in placental stem cell characterization, and we explore their possible uses in
cell therapy.
PMID- 26547390
TI - Areas V1 and V2 show microsaccade-related 3-4-Hz covariation in gamma power and
frequency.
AB - Neuronal gamma-band synchronization (25-80 Hz) in visual cortex appears sustained
and stable during prolonged visual stimulation when investigated with
conventional averages across trials. However, recent studies in macaque visual
cortex have used single-trial analyses to show that both power and frequency of
gamma oscillations exhibit substantial moment-by-moment variation. This has
raised the question of whether these apparently random variations might limit the
functional role of gamma-band synchronization for neural processing. Here, we
studied the moment-by-moment variation in gamma oscillation power and frequency,
as well as inter-areal gamma synchronization, by simultaneously recording local
field potentials in V1 and V2 of two macaque monkeys. We additionally analyzed
electrocorticographic V1 data from a third monkey. Our analyses confirm that
gamma-band synchronization is not stationary and sustained but undergoes moment
by-moment variations in power and frequency. However, those variations are
neither random and nor a possible obstacle to neural communication. Instead, the
gamma power and frequency variations are highly structured, shared between areas
and shaped by a microsaccade-related 3-4-Hz theta rhythm. Our findings provide
experimental support for the suggestion that cross-frequency coupling might
structure and facilitate the information flow between brain regions.
PMID- 26547391
TI - Subrenal capsule grafting technology in human cancer modeling and translational
cancer research.
AB - Patient-derived xenograft (PDX) cancer models with high fidelity are in great
demand. While the majority of PDXs are grafted under the skin of immunodeficient
mice, the Living Tumor Laboratory (LTL), using unique subrenal capsule grafting
techniques, has successfully established more than 200 transplantable PDX models
of various low to high grade human cancers. The LTL PDX models retain key
biological properties of the original malignancies, including histopathological
and molecular characteristics, tumor heterogeneity, metastatic ability, and
response to treatment. The PDXs are stored frozen at early transplant generations
in a resurrectable form, which eliminates continuous passaging in mice, thus
ensuring maintenance of the high biologic and molecular fidelity and
reproducibility of the models. The PDX models have been demonstrated to be
powerful tools for (i) studies of cancer progression, metastasis and drug
resistance, (ii) evidenced-based precision cancer therapy, (iii) preclinical drug
efficacy testing and discovery of new anti-cancer drug candidates. To better
provide resources for the research community, an LTL website
(www.livingtumorlab.com) has been designed as a publicly accessible database
which allows researchers to identify PDX models suitable for
translational/preclinical cancer research. In summary, subrenal capsule grafting
technology maximizes both tumor engraftment rate and retention of human cancer
heterogeneity. Moreover, the method makes possible the recovery of PDXs from
frozen stocks for further applications, thus providing a powerful platform for
translational cancer research.
PMID- 26547392
TI - Effectiveness of Jigsaw learning compared to lecture-based learning in dental
education.
AB - AIM: The objective of this study was to evaluate the success levels of students
using the Jigsaw learning method in dental education. METHOD: Fifty students with
similar grade point average (GPA) scores were selected and randomly assigned into
one of two groups (n = 25). A pretest concerning 'adhesion and bonding agents in
dentistry' was administered to all students before classes. The Jigsaw learning
method was applied to the experimental group for 3 weeks. At the same time, the
control group was taking classes using the lecture-based learning method. At the
end of the 3 weeks, all students were retested (post-test) on the subject. A
retention test was administered 3 weeks after the post-test. Mean scores were
calculated for each test for the experimental and control groups, and the data
obtained were analysed using the independent samples t-test. RESULTS: No
significant difference was determined between the Jigsaw and lecture-based
methods at pretest or post-test. The highest mean test score was observed in the
post-test with the Jigsaw method. In the retention test, success with the Jigsaw
method was significantly higher than that with the lecture-based method.
CONCLUSION: The Jigsaw method is as effective as the lecture-based method.
PMID- 26547393
TI - Xenogeneic collagen matrix for periodontal plastic surgery procedures: a
systematic review and meta-analysis.
AB - Several clinical trials describe the effectiveness of xenogeneic collagen matrix
(XCM) as an alternative option to surgical mucogingival procedures for the
treatment of marginal tissue recession and augmentation of insufficient zones of
keratinized tissue (KT). The aim of this systematic review and meta-analysis was
to evaluate the clinical and patient-centred outcomes of XCM compared to other
mucogingival procedures. Applying guidelines of the Preferred Reporting Items for
Systematic Reviews and Meta analyses statement, randomized controlled trials were
searched for in electronic databases and complemented by hand searching. The risk
of bias was assessed using the Cochrane Collaboration's Risk of Bias tool and
data were analysed using statistical software. A total of 645 studies were
identified, of which, six trials were included with 487 mucogingival defects in
170 participants. Overall meta-analysis showed that connective tissue graft (CTG)
in conjunction with the coronally advanced flap (CAF) had a significantly higher
percentage of complete/mean root coverage and mean recession reduction than XCM.
Insufficient evidence was found to determine any significant differences in width
of KT between XCM and CTG. The XCM had a significantly higher mean root coverage,
recession reduction and gain in KT compared to CAF alone. No significant
differences in patient's aesthetic satisfaction were found between XCM and CTG,
except for postoperative morbidity in favour of XCM. Operating time was
significantly reduced with the use of XCM compared with CTG but not with CAF
alone. There is no evidence to demonstrate the effectiveness of XCM in achieving
greater root coverage, recession reduction and gain in KT compared to CTG plus
CAF. Superior short-term results in treating root coverage compared with CAF
alone are possible. There is limited evidence that XCM may improve aesthetic
satisfaction, reduce postoperative morbidity and shorten the operating time.
Further long-term randomized controlled trials are required to endorse the
supposed advantages of XCM.
PMID- 26547395
TI - Reduced Circulating Concentration of Brain-derived Neurotrophic Factor is
Associated with Peri- and Post-implantation Failure following In Vitro
Fertilization-Embryo Transfer.
AB - PROBLEM: We evaluated associations between brain-derived neurotrophic factor
(BDNF) and neurotrophin-4 (NT4) and pregnancy outcome in women undergoing in
vitro fertilization-embryo transfer (IVF-ET). METHOD OF STUDY: Sera obtained on
days 24 and 28 of an IVF cycle from women with a live birth, spontaneous
abortion, biochemical pregnancy, not pregnant, or an ectopic pregnancy were
retrospectively analyzed for BDNF and NT4 by ELISA. RESULTS: Median BDNF levels
were higher in women with a live birth compared to women with an ectopic
pregnancy (P < 0.0001), spontaneous abortion (P < 0.0001), or a biochemical
pregnancy (P = 0.0004), but not in women who did not become pregnant. NT4 was
detected in <25% of sera and did not differ by outcome. There was no association
between BDNF level and oocyte or pre-transfer embryo parameters. CONCLUSION:
Decreased circulating BDNF early in an IVF cycle is associated with adverse peri-
and/or post-implantation events and subsequent pregnancy failure.
PMID- 26547394
TI - Secondary contact and local adaptation contribute to genome-wide patterns of
clinal variation in Drosophila melanogaster.
AB - Populations arrayed along broad latitudinal gradients often show patterns of
clinal variation in phenotype and genotype. Such population differentiation can
be generated and maintained by both historical demographic events and local
adaptation. These evolutionary forces are not mutually exclusive and can in some
cases produce nearly identical patterns of genetic differentiation among
populations. Here, we investigate the evolutionary forces that generated and
maintain clinal variation genome-wide among populations of Drosophila
melanogaster sampled in North America and Australia. We contrast patterns of
clinal variation in these continents with patterns of differentiation among
ancestral European and African populations. Using established and novel methods
we derive here, we show that recently derived North America and Australia
populations were likely founded by both European and African lineages and that
this hybridization event likely contributed to genome-wide patterns of parallel
clinal variation between continents. The pervasive effects of admixture mean that
differentiation at only several hundred loci can be attributed to the operation
of spatially varying selection using an FST outlier approach. Our results provide
novel insight into the well-studied system of clinal differentiation in D.
melanogaster and provide a context for future studies seeking to identify loci
contributing to local adaptation in a wide variety of organisms, including other
invasive species as well as temperate endemics.
PMID- 26547397
TI - Biologically derived fertilizer: A multifaceted bio-tool in methane mitigation.
AB - Methane emissions are affected by agricultural practices. Agriculture has
increased in scale and intensity because of greater food, feed and energy
demands. The application of chemical fertilizers in agriculture, particularly in
paddy fields, has contributed to increased atmospheric methane emissions. Using
organic fertilizers may improve crop yields and the methane sink potential within
agricultural systems, which may be further improved when combined with beneficial
microbes (i.e. biofertilizers) that improve the activity of methane oxidizing
bacteria such as methanotrophs. Biofertilizers may be an effective tool for
agriculture that is environmentally beneficial compared to conventional inorganic
fertilizers. This review highlights and discusses the interplay between ammonia
and methane oxidizing bacteria, the potential interactions of microbial
communities with microbially-enriched organic amendments and the possible role of
these biofertilizers in augmenting the methane sink potential of soils. It is
suggested that biofertilizer applications should not only be investigated in
terms of sustainable agriculture productivity and environmental management, but
also in terms of their effects on methanogen and methanotroph populations.
PMID- 26547398
TI - Toxicological effects of bisphenol A on growth and antioxidant defense system in
Oryza sativa as revealed by ultrastructure analysis.
AB - The present study was conducted to evaluate the effect of bisphenol A (BPA) on
rice seedlings grown in a hydroponic system. The obtained results demonstrated
that at lower concentration (up to 10uM) BPA had some stimulatory effects on the
growth of rice seedlings but at higher doses it significantly inhibited seedlings
growth. The photosynthetic pigments were significantly decreased by high doses of
BPA. Exposure to BPA caused increased membrane permeability in root cells and
resulted in increased concentration of reactive oxygen species (ROS) and
increased lipid peroxidation as revealed by thiobarbituric acid reactive
substances (TBARS) assay. In leaves, superoxide dismutase (SOD) activity
exhibited an increase at lower concentrations of BPA but was inhibited at the
highest dose (200uM) of BPA. At 100uM of BPA, a significant increase in
antioxidant activities in leaves was observed but at 200uM this activity was
inhibited. In roots, a significant decrease in enzymes activity was recorded at
the highest concentration of BPA (200uM); however, ascorbate peroxidase (APX) and
catalase (CAT) activities were significantly increased at the concentrations of
10 and 50uM in comparison to the control. Moreover, the present results revealed
that BPA severely affected cell organelles in rice seedlings. It can be concluded
that the observed adverse effects in rice seedlings by BPA in the present study
could be attributed to the oxidative stress caused by BPA.
PMID- 26547399
TI - Effects of Placental Transfusion on Neonatal and 18 Month Outcomes in Preterm
Infants: A Randomized Controlled Trial.
AB - OBJECTIVE: To assess the effect of delayed cord clamping (DCC) vs immediate cord
clamping (ICC) on intraventricular hemorrhage (IVH), late onset sepsis (LOS), and
18-month motor outcomes in preterm infants. STUDY DESIGN: Women (n = 208) in
labor with singleton fetuses (<32 weeks gestation) were randomized to either DCC
(30-45 seconds) or ICC (<10 seconds). The primary outcomes were IVH, LOS, and
motor outcomes at 18-22 months corrected age. Intention-to-treat was used for
primary analyses. RESULTS: Cord clamping time was 32 +/- 16 (DCC) vs 6.6 +/- 6
(ICC) seconds. Infants in the DCC and ICC groups weighed 1203 +/- 352 and 1136 +/
350 g and mean gestational age was 28.3 +/- 2 and 28.4 +/- 2 weeks,
respectively. There were no differences in rates of IVH or LOS between groups. At
18-22 months, DCC was protective against motor scores below 85 on the Bayley
Scales of Infant Development, Third Edition (OR 0.32, 95% CI 0.10-0.90, P = .03).
There were more women with preeclampsia in the ICC group (37% vs 22%, P = .02)
and more women in the DCC group with premature rupture of membranes/preterm labor
(54% vs 75%, P = .002). Preeclampsia halved the risk of IVH (OR 0.50, 95% CI 0.2
1.0) and premature rupture of membranes/preterm labor doubled the risk of IVH (OR
2.0, 95% CI 1.2-4.3). CONCLUSIONS: Although DCC did not alter the incidence of
IVH or LOS in preterm infants, it improved motor function at 18-22 months
corrected age. CLINICAL TRIAL REGISTRATION: ClinicalTrials.gov: NCT00818220 and
NCT01426698.
PMID- 26547400
TI - Complementary Serologic Investigations in Children with Celiac Disease Is
Unnecessary during Follow-Up.
AB - OBJECTIVES: To determine the frequency of nutritional deficiencies and thyroid
dysfunction in children with celiac disease (CD) and during follow-up after
initiation of a gluten-free diet. Laboratory investigations of hemoglobin,
ferritin, calcium, folate, vitamin B12, vitamin D, and thyroid function are
regularly ordered in children with CD despite sufficient evidence for these.
STUDY DESIGN: Between 2009 and 2014, test results of hemoglobin, ferritin,
folate, vitamin B12, calcium, vitamin D (25[OH]D), free thyroxin, and thyroid
stimulating hormone of children with CD regularly seen at the Leiden University
Medical Center were investigated. Laboratory reference ranges were used to define
abnormal results. Pearson chi(2) test for trend, unpaired t test, and 1-way ANOVA
were used for statistical analysis. RESULTS: Of the 182 children evaluated, 119
were newly diagnosed. On average, 17% of results per year were missing because of
incomplete blood investigations. Iron deficiency (28%) and iron deficiency anemia
(9%) were found at the time of diagnosis of CD. Folate (14%), vitamin B12 (1%),
and vitamin D deficiencies (27%) were also seen. No hypocalcemia or thyroid
dysfunction was found. At follow-up, iron deficiency, iron deficiency anemia, and
folate and vitamin D deficiency were observed in 8%, 2%, 3%, and 25% of patients,
respectively. Vitamin B12 deficiency, hypocalcemia, and thyroid disease were not
found. CONCLUSIONS: Complementary blood investigations are relevant at the time
of diagnosis of CD but have little diagnostic yield during follow-up visits once
the patient is placed on a gluten-free diet. Thus, we recommend that these
variables only be assessed on indication, such as fatigue or abnormal growth.
PMID- 26547401
TI - Practice Patterns and Adverse Events of Nitrous Oxide Sedation and Analgesia: A
Report from the Pediatric Sedation Research Consortium.
AB - OBJECTIVES: To describe practice patterns and adverse events associated with
nitrous oxide (N2O) administration as the primary sedative outside the operating
room in varied settings by a diverse range of providers, and to identify patient
and sedation characteristics associated with adverse events. STUDY DESIGN: Data
prospectively collected by the Pediatric Sedation Research Consortium, which is
comprised of 40 children's and general/community hospitals, was retrospectively
analyzed for children who received N2O as the primary sedative. Descriptive
measures of patient and sedation characteristics and adverse events were
reported. A multivariable regression model was used to assess potential
associations between patient and sedation characteristics and adverse events.
RESULTS: A total of 1634 N2O administrations were identified. The majority was
performed in sedation units, and most by advanced practice nurses or physician
assistants. The most common adjunct medication was midazolam. There was a low
prevalence of adverse events (6.5%), with vomiting as the most common (2.4%) and
only 3 (0.2%) serious adverse events reported. The odds of vomiting increased
when concomitant opioids were administered (OR 2.89, 95% CI 1.14, 7.32) and when
nil per os (NPO) clear fluids <2 hours (OR 4.16, 95% CI 1.61, 10.76). NPO full
meal <6 hours did not change the odds of vomiting (OR 1.42, 95% CI 0.57, 3.57).
There were no aspiration events. CONCLUSIONS: There was a very low prevalence of
serious adverse events during N2O administration in children outside of the
operating room and by nonanesthesiologists. The odds of vomiting increased when
concomitant opioids were administered and NPO clear fluids <2 hours.
PMID- 26547402
TI - Hospital Costs for Neonates and Children Supported with Extracorporeal Membrane
Oxygenation.
AB - OBJECTIVE: To assess the characteristics associated with high hospital cost for
patients receiving extracorporeal membrane oxygenation (ECMO) to identify a
cohort of high-resource users. STUDY DESIGN: Cost for hospitalization, during
which ECMO support was used, was calculated from hospital charges reported in the
2012 Health Care Cost and Use Project Kid's Inpatient Database. Patients were
categorized into 6 diagnostic groups: (1) cardiac surgery; (2) nonsurgical heart
disease; (3) congenital diaphragmatic hernia; (4) neonatal respiratory failure;
(5) pediatric respiratory failure; and (6) sepsis. We categorized cost into 4
groups based on quartiles. We compared ECMO cost with hospital cost for bone
marrow, liver, and kidney transplants performed during the same year. RESULTS:
Median hospital cost for children supported with ECMO (n = 1465) was $230,425
(IQR: $126,599-$420,960). In a multivariable model, lower cost was associated
with neonatal respiratory failure (OR: 0.19) and sepsis (OR 0.53) compared with
cardiac surgery (OR: 1.88), whereas greater cost was associated with smaller
hospital bed-size <99 (OR: 3.49) and 100-399 beds (OR: 3.03) compared with
hospitals >400 beds, hospital location (Midwest [OR: 1.74] and West [OR 2.18]
compared with North-East), and complications such as renal failure (OR: 3.77) and
thromboembolic complications (OR 1.60). Hospital cost per survivor was greater
for ECMO ($519,450) than bone marrow transplantation ($207,212), liver
($231,755), or kidney transplantation ($82,008) groups. CONCLUSIONS:
Hospitalization cost for children supported with ECMO is high. Diagnosis,
hospital characteristics, and presence of complications are associated with
increased cost.
PMID- 26547404
TI - Decline in Forced Expiratory Volume in 1 Second in Cystic Fibrosis--Watch the
Pendulum Swing.
PMID- 26547403
TI - Progress in Diabetes Technology: Developments in Insulin Pumps, Continuous
Glucose Monitors, and Progress towards the Artificial Pancreas.
PMID- 26547405
TI - [Guillain-Barre syndrome in infancy: The importance of electroneuromyography].
AB - Guillain-Barre Syndrome (GBS) is rare in infancy, and the diagnosis of atypical
forms is difficult in this age range. The main differential diagnoses include
congenital neuropathy. Biological and electrophysiological investigations remain
important to confirm diagnosis and start treatment quickly. We report the case of
an 8-month-old boy who presented with acquired hypotonia due to progressive
descending limb paralysis, predominant in the upper limbs, associated with
unexplained severe neutropenia. GBS was diagnosed thanks to the association of
albuminocytologic dissociation on cerebrospinal fluid and demyelinating
sensomotor polyradiculoneuropathy on electroneuromyography. Only one cycle of
treatment with intravenous immunoglobulins was sufficient to achieve complete
recovery after 1 year. Physicians should know that atypical forms of GBS exist in
infants, in order to recognize the syndrome, rule out differential diagnoses, and
start treatment as soon as possible. Medical follow-up remains important before
and after remission, especially in infants, to identify relapses, which might be
the symptom of a genetic neuropathy or a chronic inflammatory disease.
PMID- 26547406
TI - Enhancing surgical safety using digital multimedia technology.
AB - BACKGROUND: The purpose of this study was to examine whether incorporating
digital and video multimedia components improved surgical time-out performance of
a surgical safety checklist. METHODS: A prospective pilot study was designed for
implementation of a multimedia time-out, including a patient video. Perceptions
of the staff participants were surveyed before and after intervention (Likert
scale: 1, strongly disagree to 5, strongly agree). RESULTS: Employee satisfaction
was high for both time-out procedures. However, employees appreciated improved
clarity of patient identification (P < .05) and operative laterality (P < .05)
with the digital method. About 87% of the respondents preferred the digital
version to the standard time-out (75% anesthesia, 89% surgeons, 93% nursing).
Although the duration of time-outs increased (49 and 79 seconds for standard and
digital time-outs, respectively, P > .001), there was significant improvement in
performance of key safety elements. CONCLUSION: The multimedia time-out allows
improved participation by the surgical team and is preferred to a standard time
out process.
PMID- 26547407
TI - Synthesis, quorum sensing inhibition and docking studies of 1,5-dihydropyrrol-2
ones.
AB - Gram-negative bacteria such as Pseudomonas aeruginosa and Escherichia coli use N
acylated l-homoserine lactones (AHLs) as autoinducers (AIs) for quorum sensing
(QS), a chief regulatory and cell-to-cell communication system. QS is responsible
for social adaptation, virulence factor production, biofilm production and
antibiotic resistance in bacteria. Fimbrolides, a class of halogenated furanones
isolated from the red marine alga Delisea pulchra, have been shown to exhibit
promising QS inhibitory activity against various Gram-negative and Gram-positive
bacterial strains. In this work, various lactam analogues of fimbrolides viz.,
1,5-dihydropyrrol-2-ones, were designed and synthesized via an efficient
lactamization protocol. All the synthesized analogues were tested for QS
inhibition against the E. coli AHL-monitor strain JB357 gfp (ASV). Compound 17a
emerged as the most potent compound, followed by 9c, with AIC40 values (the ratio
of synthetic inhibitor to natural AHL signaling molecule that is required to
lower GFP expression to 40%) of 1.95 and 19.00, respectively. Finally, the
potential binding interactions between the synthesized molecules and the LasR QS
receptor were studied by molecular docking. Our results indicate that 1,5
dihydropyrrol-2-ones have the ability to serve as potential leads for the further
development of novel QS inhibitors as antimicrobial therapeutics.
PMID- 26547408
TI - Smoking cessation and the incidence of pre-diabetes and type 2 diabetes: a cohort
study.
AB - AIMS: Smoking cessation has been suggested to increase the short-term risk of
type 2 diabetes mellitus (T2DM). This study aimed at assessing the association
between smoking cessation and incidence of T2DM and impaired fasting glucose
(IFG). METHODS: Data from participants in the CoLaus study, Switzerland, aged 35
75 at baseline and followed for 5.5years were used. Participants were classified
as smokers, recent (<=5years), long-term (>5years) quitters, and non-smokers at
baseline. Outcomes were IFG (fasting serum glucose (FSG) 5.6-6.99mmol/l) and T2DM
(FSG >=7.0mmol/l and/or treatment) at follow up. RESULTS: 3,166 participants (63%
women) had normal baseline FSG, of whom 26.7% were smokers, 6.5% recent quitters,
and 23.5% long-term quitters. During follow-up 1,311 participants (41.4%)
developed IFG (33.6% women, 54.7% men) and 47 (1.5%) developed T2DM (1.1% women,
2.1% men). Former smokers did not have statistically significant increased odds
of IFG compared with smokers after adjustment for age, education, physical
activity, hypercholesterolemia, hypertension and alcohol intake, with OR of 1.29
[95% confidence interval 0.94-1.76] for recent quitters and 1.03 [0.84-1.27] for
long-term quitters. Former smokers did not have significant increased odds of
T2DM compared with smokers with multivariable-adjusted OR of 1.53 [0.58-4.00] for
recent quitters and 0.64 [0.27-1.48] for long-term quitters. Adjustment for body
mass index and waist circumference attenuated the association between recent
quitting and IFG (OR 1.07 [0.78-1.48]) and T2DM (OR 1.28 [0.48-3.40]. CONCLUSION:
In this middle-aged population, smoking cessation was not associated with an
increased risk of IFG or T2DM.
PMID- 26547409
TI - Production of zinc and manganese oxide particles by pyrolysis of alkaline and Zn
C battery waste.
AB - Production of zinc and manganese oxide particles from alkaline and zinc-carbon
battery black mass was studied by a pyrolysis process at 850-950 degrees C with
various residence times under 1L/minN2(g) flow rate conditions without using any
additive. The particular and chemical properties of the battery waste were
characterized to investigate the possible reactions and effects on the properties
of the reaction products. The thermodynamics of the pyrolysis process were
studied using the HSC Chemistry 5.11 software. The carbothermic reduction
reaction of battery black mass takes place and makes it possible to produce fine
zinc particles by a rapid condensation, after the evaporation of zinc from a
pyrolysis batch. The amount of zinc that can be separated from the black mass is
increased by both pyrolysis temperature and residence time. Zinc recovery of 97%
was achieved at 950 degrees C and 1h residence time using the proposed alkaline
battery recycling process. The pyrolysis residue is mainly MnO powder with a low
amount of zinc, iron and potassium impurities and has an average particle size of
2.9MUm. The obtained zinc particles have an average particle size of about 860nm
and consist of hexagonal crystals around 110nm in size. The morphology of the
zinc particles changes from a hexagonal shape to s spherical morphology by
elevating the pyrolysis temperature.
PMID- 26547410
TI - Technical assessment of processing plants as exemplified by the sorting of
beverage cartons from lightweight packaging wastes.
AB - The recovery of beverage cartons (BC) in three lightweight packaging waste
processing plants (LP) was analyzed with different input materials and input
masses in the area of 21-50Mg. The data was generated by gravimetric
determination of the sorting products, sampling and sorting analysis. Since the
particle size of beverage cartons is larger than 120mm, a modified sampling plan
was implemented and targeted multiple sampling (3-11 individual samplings) and a
total sample size of respectively 1200l (ca. 60kg) for the BC-products and of
about 2400l (ca. 120kg) for material-heterogeneous mixed plastics (MP) and
sorting residue products. The results infer that the quantification of the
beverage carton yield in the process, i.e., by including all product-containing
material streams, can be specified only with considerable fluctuation ranges.
Consequently, the total assessment, regarding all product streams, is rather
qualitative than quantitative. Irregular operation conditions as well as
unfavorable sampling conditions and capacity overloads are likely causes for high
confidence intervals. From the results of the current study, recommendations can
basically be derived for a better sampling in LP-processing plants. Despite of
the suboptimal statistical results, the results indicate very clear that the
plants show definite optimisation potentials with regard to the yield of beverage
cartons as well as the required product purity. Due to the test character of the
sorting trials the plant parameterization was not ideal for this sorting task and
consequently the results should be interpreted with care.
PMID- 26547411
TI - An open-label, pragmatic, randomized controlled clinical trial to evaluate the
comparative effectiveness of daptomycin versus vancomycin for the treatment of
complicated skin and skin structure infection.
AB - BACKGROUND: Treatment of complicated skin and skin structure infection (cSSSI)
places a tremendous burden on the health care system. Understanding relative
resource utilization associated with different antimicrobials is important for
decision making by patients, health care providers, and payers. METHODS: The
authors conducted an open-label, pragmatic, randomized (1:1) clinical study (N =
250) to compare the effectiveness of daptomycin with that of vancomycin for
treatment of patients hospitalized with cSSSI caused by suspected or documented
methicillin-resistant Staphylococcus aureus infection. The primary study end
point was infection-related length of stay (IRLOS). Secondary end points included
health care resource utilization, cost, clinical response, and patient-reported
outcomes. Patient assessments were performed daily until the end of antibiotic
therapy or until hospital discharge, and at 14 days and 30 days after discharge.
RESULTS: No difference was found for IRLOS, total LOS, and total inpatient cost
between cohorts. Hospital LOS contributed 85.9% to the total hospitalization
cost, compared with 6.4% for drug costs. Daptomycin showed a nonsignificant trend
toward a higher clinical success rate, compared with vancomycin, at treatment
days 2 and 3. In the multivariate analyses, vancomycin was associated with a
lower likelihood of day 2 clinical success (odds ratio [OR] = 0.498, 95%
confidence interval [CI], 0.249-0.997; P < 0.05). CONCLUSION: This study did not
provide conclusive evidence of the superiority of one treatment over the other in
terms of clinical, economic, or patient outcomes. The data suggest that physician
and patient preference, rather than drug acquisition cost, should be the primary
driver of initial antibiotic selection for hospitalized patients with cSSSI.
TRIAL REGISTRATION: ClinicalTrials.gov: NCT01419184 (Date: August 16, 2011).
PMID- 26547412
TI - Which Individual Therapist Behaviors Elicit Client Change Talk and Sustain Talk
in Motivational Interviewing?
AB - OBJECTIVE: To identify individual therapist behaviors which elicit client change
talk or sustain talk in motivational interviewing sessions. METHOD: Motivational
interviewing sessions from a single-session alcohol intervention delivered to
college students were audio-taped, transcribed, and coded using the Motivational
Interviewing Skill Code (MISC), a therapy process coding system. Participants
included 92 college students and eight therapists who provided their treatment.
The MISC was used to code 17 therapist behaviors related to the use of
motivational interviewing, and client language reflecting movement toward
behavior change (change talk), away from behavior change (sustain talk), or
unrelated to the target behavior (follow/neutral). RESULTS: Client change talk
was significantly more likely to immediately follow individual therapist
behaviors [affirm (p=.013), open question (p<.001), simple reflection (p<.001),
and complex reflection (p<.001)], but significantly less likely to immediately
follow others (giving information (p<.001) and closed question (p<.001)]. Sustain
talk was significantly more likely to follow therapist use of open questions
(p<.001), simple reflections (p<.001), and complex reflections (p<.001), and
significantly less likely to occur following therapist use of therapist affirm
(p=.012), giving information (p<.001), and closed questions (p<.001).
CONCLUSIONS: Certain individual therapist behaviors within motivational
interviewing can either elicit both client change talk and sustain talk or
suppress both types of client language. Affirm was the only therapist behavior
that both increased change talk and also reduced sustain talk.
PMID- 26547413
TI - Development and characterization of 33 novel polymorphic microsatellite markers
for the brown tree snake Boiga irregularis.
AB - BACKGROUND: Boiga irregularis is a widespread invasive species on Guam and has
led to extirpation of most of the island's native avifauna. There are presently
no microsatellite markers for this invasive species, hence we developed highly
polymorphic microsatellite markers to allow for robust population genetic studies
on Guam. FINDINGS: We isolated and characterized 33 microsatellite loci for the
brown tree snake, B. irregularis. The loci were screened across 32 individuals
from Guam. The number of alleles per locus ranged from three to ten, with an
average of 4.62. The expected (He) and observed heterozygosity (Ho) ranged from
0.294 to 0.856 and from 0.031 to 0.813, with an average of 0.648 and 0.524,
respectively. Significant deviations from Hardy-Weinberg equilibrium were
detected at seven loci after Bonferoni correction. Probability of identity values
ranged from 0.043 to 0.539. CONCLUSIONS: These genetic markers are useful for
understanding a suite of post-invasion population genetic parameters, sources of
invasions, and effectiveness of management strategies for this invasive species.
PMID- 26547414
TI - Growth and tolerance of formula with lactoferrin in infants through one year of
age: double-blind, randomized, controlled trial.
AB - BACKGROUND: Human milk provides necessary macronutrients (protein, carbohydrate,
fat) required for infant nutrition. Lactoferrin (Lf), a multifunctional iron
binding protein predominant in human milk, shares similar protein sequence,
structure, and bioactivity with bovine Lf (bLf). This large-scale pediatric
nutrition study was designed to evaluate growth and tolerance in healthy infants
who received study formulas with bLf at concentrations within the range of mature
human milk. METHODS: In this multi-center, double-blind, parallel-designed,
gender-stratified prospective study 480 infants were randomized to receive a
marketed routine cow's milk-based infant formula (Control; n = 155) or one of two
investigational formulas with bLf at 0.6 g/L (LF-0.6; n = 165) or 1.0 g/L (LF
1.0; n = 160) from 14-365 days of age. Investigational formulas also had a
prebiotic blend of polydextrose (PDX) and galactooligosaccharides (GOS) and
adjusted arachidonic acid (ARA). The primary outcome was weight growth rate from
14-120 days of age. Anthropometric measurements were taken at 14, 30, 60, 90,
120, 180, 275, and 365 days of age. Parental recall of formula intake, tolerance,
and stool characteristics was collected at each time point. Medically-confirmed
adverse events were collected throughout the study period. RESULTS: There were no
group differences in growth rate (g/day) from 14-120 days of age; 353 infants
completed the study through 365 days of age ( CONTROL: 110; LF-0.6: 127; LF-1.0:
116). Few differences in growth, formula intake, and infant fussiness or
gassiness were observed through 365 day of age. Group discontinuation rates and
the overall group incidence of medically-confirmed adverse events were not
significantly different. From 30 through 180 days of age, group differences in
stool consistency (P < 0.005) were detected with softer stools for infants in the
LF-0.6 and LF-1.0 groups versus CONTROL. CONCLUSION: Compared to the Control,
infants who received investigational formulas with bLf and the prebiotic blend of
PDX and GOS experienced a softer stooling pattern similar to that reported in
breastfed infants. This study demonstrated routine infant formulas with bLf, a
blend of PDX and GOS, and adjusted ARA were safe, well-tolerated, and associated
with normal growth when fed to healthy term infants through 365 days of age.
TRIAL REGISTRATION: ClinicalTrials.gov NCT01122654 . Registered 10 May 2010.
PMID- 26547415
TI - The use of Resonant X-ray Emission Spectroscopy (RXES) for the electronic
analysis of metal complexes and their interactions with biomolecules.
AB - This review presents a new application of Resonant X-ray Emission Spectroscopy
(RXES) to study the mechanism of action of metal containing anticancer
derivatives and in particular platinum in situ and in vivo. The technique is an
example of a photon-in photon-out X-ray spectroscopic approach, which enables
chemical speciation of drugs to be determined and therefore to derive action
mechanisms, and to determine drug binding rates under physiological conditions
and therapeutic concentrations. This is made feasible due to the atomic
specificity and high penetration depth of RXES. The review presents examples of
the three main types of information that can be obtained by RXES and establishes
an experimental protocol to perfect the measurements within cells.
PMID- 26547416
TI - Speciation of precious metal anti-cancer complexes by NMR spectroscopy.
AB - Understanding the mechanism of action of anti-cancer agents is of paramount
importance for drug development. NMR spectroscopy can provide insights into the
kinetics and thermodynamics of the binding of metallodrugs to biomolecules. NMR
is most sensitive for highly abundant I=1/2 nuclei with large magnetic moments.
Polarization transfer can enhance NMR signals of insensitive nuclei at
physiologically-relevant concentrations. This paper reviews NMR methods for
speciation of precious metal anti-cancer complexes, including platinum-group and
gold-based anti-cancer agents. Examples of NMR studies involving interactions
with DNA and proteins in particular are highlighted.
PMID- 26547417
TI - Capillary electrophoresis in metallodrug development.
AB - Capillary electrophoresis (CE) is a separation method based on differential
migration of analytes in electric fields. The compatibility with purely aqueous
separation media makes it a versatile tool in metallodrug research. Many
metallodrugs undergo ligand exchange reactions that can easily be followed with
this method and the information gained can even be improved by coupling the CE to
advanced detectors, such as mass spectrometers. This gives the method high
potential to facilitate the development of metallodrugs, especially when combined
with innovative method development and experimental design.
PMID- 26547418
TI - Advanced LC-analysis of human plasma for metallodrug metabolites.
AB - Understanding the fate of metallodrugs in the bloodstream is critical to assess
if the parent drug has a reasonable probability to reach the intended target
tissue and to predict toxic side-effects. To gain insight into these processes,
we have added pharmacologically relevant doses of metallodrugs to blood plasma
and applied an LC-method to directly analyze the latter for metallodrug
metabolites. Using human or rabbit plasma, this LC-method was employed to gain
insight into the metabolism of clinically used as well as emerging anticancer
metallodrugs and to unravel the mechanisms by which small molecular weight
compounds that - when co-administered with a metallodrug - decrease the toxic
side-effects of the metallodrug by modulating its metabolism. The results suggest
that the developed LC-method is useful to probe the fate of biologically active
novel metal-complexes in plasma to help select those which may be advanced to
animal/clinical studies to ultimately develop safer metallodrugs.
PMID- 26547419
TI - Technologies to develop new metal medicines.
PMID- 26547420
TI - A Simple Fluorescence Probe Based on Aggregation-Induced Emission (AIE) Property
for the Detection of Mg(2+) Ions.
AB - A simple aggregation-induced emission-based fluorescence probe (1) for Mg(2+) was
synthesized by condensation of benzene-1, 2-diamine with 5-bromo-2
hydroxybenzaldehyde, This compound shows favourable character of the AIE-active
molecules. More importantly, after addition of Mg(2+) to probe (1) in
acetonitrile, the solution changed from colorless to yellow colour solution under
ultraviolet (UV) radiation obtained from hand-held UV lamp, this finding
suggested that probe (1) can be used to detect Mg(2+) by colorimetric detection.
Detection limit can reach 2.31 * 10(-5) M(-1). The practical value of the
selective and sensitive fluorescence indicators was confirmed by its application
to detection of magnesium ion in acetonitrile.
PMID- 26547421
TI - Synthesis and Photoluminescence Studies of Eu(III), Er(III) Doped Strontium
Gadolinium Tantalum Oxide.
AB - The luminescence properties of Sr2GdTaO6 have been studied by keeping Eu(3+) as
constant concentration and varying Er(3+) concentration. Sr2GdTaO6 phosphor doped
with rare earth ions of Eu and Er having monoclinic phase of space group P21/n
was synthesized, and their photoluminescence properties have been examined under
UV excitation of wavelength 265 nm and 275 nm. PL emission exhibited around 468,
475, 580, 596, and 610 nm wavelength subsequently for various concentrations of
Er(3+) for two different excitation wavelengths. By using xenon lamp as source
and corresponding wavelength having excitation wavelength 265 and 275 nm, it is
observed that the maximum light emission yield in region presenting a color
correlated temperature in the range of 1500-1900 K and 3000-6000 K.
PMID- 26547422
TI - Concepts and Updates in the Evaluation and Diagnosis of Common Disorders of
Sexual Development.
AB - Our understanding of disorders of sexual differentiation (DSD) has evolved from
aberrations of human genital development to a broad group of complex disorders of
etiological and functional significance. The unique challenge of DSD conditions
is that they create a cause for significant angst and concern for both parents
and physician, as they frequently lead to questions with regards to gender
assignment, surgically corrective options, long-term outlook regarding gender
identity, and reproductive potential. To further add to the burden, many patients
who present with genital abnormalities do not have a clear explanation as to the
underlying basis of their disorder. This review looks at DSD from a pediatric
urology point of view with emphasis on evaluation, diagnosis, and algorithm for
work-up. We also discuss novel genetic analysis techniques and their value in
diagnosis. Overall, this is an all-encompassing review on a diagnostic approach
to DSD, with inclusion of recent developments and controversies, which will
benefit urologists and other physicians alike.
PMID- 26547423
TI - Health insurance status and survival among patients with head and neck cancer in
Japan.
AB - BACKGROUND: Healthcare systems vary among countries, and in many countries,
insurance and economic statuses significantly impact the mortality associated
with head and neck squamous cell carcinoma (HNSCC). Japan prides itself on its
unique healthcare and health insurance system, which provides equal coverage and
healthcare access with low individual payments to most citizens. Additionally,
citizens in poverty are covered by insurance for the poor (public assistance) and
receive medical and other types of assistance. Hence, they have no barriers to
healthcare services. This study aimed to determine the impact of health insurance
status on mortality in Japanese patients with HNSCC. METHODS: We reviewed 409
patients with HNSCC, using the Kaplan-Meier method to estimate overall survival.
The association between insurance status and disease stage at diagnosis was
analyzed via logistic regression. Cox and Fine-Gray proportional hazard models
were employed to investigate the impact of insurance status on survival. RESULTS:
The public assistance and other insurances groups did not significantly differ in
clinical stage distribution. The 5-year overall survival, cumulative incidence of
HNSCC death, and cumulative incidence of other death rates were 63.3 and 59.1 %,
27.0 and 31.8 %, and 10.3 and 9.7 % for the public assistance and other
insurances groups, respectively. The adjusted subdistribution hazard ratio for
the association between public assistance and HNSCC death was 0.73 (95 %
confidence interval 0.44-1.21). CONCLUSIONS: The demonstrated non-inferiority of
public assistance regarding HNSCC-specific mortality indicates the equality of
healthcare in Japan, irrespective of the insurance status, and the superiority of
the Japanese healthcare system.
PMID- 26547424
TI - Phase II multi-institutional prospective randomized trial comparing S-1 plus
paclitaxel with paclitaxel alone as second-line chemotherapy in S-1 pretreated
gastric cancer (CCOG0701).
AB - BACKGROUND: The aim of this study was to explore whether a combination of S-1 and
paclitaxel offers any benefit over paclitaxel alone to patients pretreated by S
1. METHODS: Gastric cancer patients who developed progression during S-1-based
first-line chemotherapy or had recurrence during postoperative adjuvant
chemotherapy by S-1 were randomly assigned to receive second-line treatment
either by weekly administration of paclitaxel at 80 mg/m(2) three times every 4
weeks or daily oral S-1 (80 mg/m(2)) for 2 weeks plus paclitaxel (50 mg/m(2))
given on days 1 and 8, every 3 weeks (S-1 plus paclitaxel). The primary endpoint
was progression-free survival (PFS) at 4 months after the initiation of
treatment. RESULTS: A total of 78 patients were eligible for efficacy analyses-40
were assigned to the paclitaxel group and 38 to the S-1 plus paclitaxel group.
PFS at 4 months was similar between the groups (50 % for paclitaxel vs 55 % for S
1 plus paclitaxel, P = 0.641). There were no differences between the groups
either in progression-free survival (4.6 vs 4.6 months, respectively, P = 0.526),
overall survival (10.0 vs 10.0 months, respectively, P = 0.464), or overall
response rate (27 vs 22 %, respectively, P = 0.767). The incidences of grade 3 or
4 hematological and non-hematological toxicities were also equivalent between the
two groups (25 vs 26 % and 24 vs 26 %, respectively). CONCLUSIONS: No benefit of
S-1 administration beyond progression was shown when paclitaxel was selected as
the key drug for second-line chemotherapy.
PMID- 26547425
TI - Does Guanfacine Extended Release Impact Functional Impairment in Children with
Attention-Deficit/Hyperactivity Disorder? Results from a Randomized Controlled
Trial.
AB - BACKGROUND: In clinical trials of medications to treat attention
deficit/hyperactivity disorder (ADHD) in children, effects on functional
impairment have been less well-studied than changes in ADHD symptoms. OBJECTIVE:
Data regarding functional impairment were analyzed from a multicenter, double
blind, placebo-controlled study of guanfacine extended release (GXR) in children
with ADHD, using the Weiss Functional Impairment Rating Scale-Parent Report
(WFIRS-P). The correspondence of changes in WFIRS-P scores with symptomatic and
global response to GXR treatment was also examined, with treatment response
defined by scores on both the ADHD Rating Scale IV (ADHD-RS-IV) and the Clinical
Global Impressions-Improvement Scale (CGI-I). METHODS: In this 8-week, double
blind, placebo-controlled, dose optimization study at 47 sites across the USA and
Canada, children aged 6-12 years with a diagnosis of ADHD [Diagnostic and
Statistical Manual of Mental Disorders, Fourth Edition, Text Revision criteria,
and an ADHD-RS-IV score >=28 and CGI-Severity of Illness Scale score >=4 at
baseline], were randomized 1:1:1 into three groups: GXR AM [GXR (1-4 mg/day) in
the morning, placebo in the evening], GXR PM [placebo in the morning, GXR (1-4
mg/day) in the evening], or twice-daily placebo. Parents rated their children on
the WFIRS-P at screening, baseline, the end of dose optimization, and at the
final on-treatment assessment. RESULTS: The efficacy population was composed of
333 subjects (GXR AM: n = 107; GXR PM: n = 114; placebo: n = 112). At the final
on-treatment assessment, there were significant improvements from baseline in the
placebo-adjusted difference in least-squares (LS) mean (95 % confidence interval)
WFIRS-P Total scores for both GXR treatment groups combined [GXR all-active:
0.16 (-0.25, -0.07), effect size (ES) = 0.448, P <0.001] and separately [GXR AM:
0.15 (-0.26, -0.05), ES = 0.417, P = 0.004; GXR PM: -0.18 (-0.28, -0.07), ES =
0.478, P = 0.001]. Significant improvements in WFIRS-P domain scores for Family,
Learning and School (including Academic Performance and Behavior in School),
Social, and Risky Behavior were found for both GXR cohorts compared with placebo.
However, the Life Skills and Self-Concept domain scores of the WFIRS-P did not
improve with GXR treatment. Post hoc stratification by responder status revealed
that significant (P <0.001) improvements in WFIRS-P Total and all domain scores
were associated with symptomatic treatment response in the GXR all-active group.
CONCLUSIONS: GXR treatment in children with ADHD was associated with reductions
in WFIRS-P functional impairment scores compared with placebo, regardless of time
of GXR administration. Changes in WFIRS-P scores were congruent with clinical
response, as determined by both ADHD symptom reduction and CGI-I scores.
CLINICALTRIALS. GOV IDENTIFIER: NCT00997984.
PMID- 26547426
TI - Graphene oxide-gold nanoparticles hybrids-based surface plasmon resonance for
sensitive detection of microRNA.
AB - In this study, a simple and sensitive surface plasmon resonance (SPR) biosensor
for miRNA detection was developed using graphene oxide-gold nanoparticles (GO
AuNPs) hybrids as signal amplification element. Taking advantage of the GO-AuNPs
hybrids and their enhanced performance in SPR biosensors, the detection of miRNA
was carried out in only two steps. Firstly, the thiolated capture DNA probe with
a short complete complementary sequence was immobilized on the Au film surface to
recognize the part sequence of target miRNA. Subsequently, the assistant DNA
linked GO-AuNPs hybrids were employed to bind the other section of the target. It
was found that the developed SPR biosensor was able to achieve a detection limit
as low as 1 fM. Moreover, the method showed excellent ability to discriminate
differences among miRNA-200 family members. Notably, human miRNA from cancer
cells could also be detected, and the results were in excellent agreement with
the ones obtained using qRT-PCR. On the basis of these findings, we believe that
this method has great potential for quantitative detection of miRNA in biomedical
research and early clinical diagnostics.
PMID- 26547427
TI - High-performance and high-sensitivity applications of graphene transistors with
self-assembled monolayers.
AB - Charge impurities and polar molecules on the surface of dielectric substrates has
long been a critical obstacle to using graphene for its niche applications that
involve graphene's high mobility and high sensitivity nature. Self-assembled
monolayers (SAMs) have been found to effectively reduce the impact of long-range
scatterings induced by the external charges. Yet, demonstrations of scalable
device applications using the SAMs technique remains missing due to the
difficulties in the device fabrication arising from the strong surface tension of
the modified dielectric environment. Here, we use patterned SAM arrays to build
graphene electronic devices with transport channels confined on the modified
areas. For high-mobility applications, both rigid and flexible radio-frequency
graphene field-effect transistors (G-FETs) were demonstrated, with extrinsic
cutoff frequency and maximum oscillation frequency enhanced by a factor of ~2 on
SiO2/Si substrates. For high sensitivity applications, G-FETs were functionalized
by monoclonal antibodies specific to cancer biomarker chondroitin sulfate
proteoglycan 4, enabling its detection at a concentration of 0.01 fM, five orders
of magnitude lower than that detectable by a conventional colorimetric assay.
These devices can be very useful in the early diagnosis and monitoring of a
malignant disease.
PMID- 26547428
TI - Sensing and antibacterial activity of imidazolium-based conjugated
polydiacetylenes.
AB - In the current study, we report the first example of polydiacetylenes (PDAs),
where our PDA-based system acts as both a sensing probe and killer for bacteria.
The contact of imidazolium and imidazole-derived PDA with various bacterial
strains including MRSA (methicillin-resistant Staphylococcus aureus) and ESBL-EC
(extended-spectrum beta-lactamase-producing Escherichia coli) results in a
distinct blue-to-red colorimetric change of the solution as well as a rapid
disruption of the bacterial membrane, which is demonstrated by transmission
electron microscopy and confocal microscopy. Zeta potential analysis supports
that antibacterial activity of the PDA solution originates from an electrostatic
interaction between the negatively charged bacterial cell surface and the
positively charged polymers. These results suggest that the PDA has a great
potential to carry out the dual roles of a probe and killer for bacteria.
PMID- 26547429
TI - Rapid detection of acute myocardial infarction-related miRNA based on a Capture
interCalation-electroCatalysis (3C) strategy.
AB - Acute myocardial infarction (AMI) is one of the most urgent and serious diseases
that may cause cardiac death in a few hours. Rapid diagnosis of AMI is the pre
requisite for timely interventions. Recently, several specific circulating miRNAs
have been proven to have high correlation with AMI. To adopt miRNA as a biomarker
may improve the diagnostic accuracy. However, it is a pity that the current
available methods for the detection of miRNA usually require a few hours, which
is too long for the diagnosis of AMI. In this paper, by adopting a capture DNA,
an electrochemical active intercalator and an unimmobilized enzyme, we develop a
Capture-interCalation-electroCatalysis (3C) strategy for the rapid detection of
AMI-related miRNA. The whole detection process can be completed in 35 min, which
is much shorter than most current methods and is acceptable for the diagnosis of
AMI. This strategy also shows favorable sensitivity and selectivity, thus
provides an alternative for the detection of miRNA. Most importantly, this effort
may promote miRNA to work as an effective biomarker in the diagnosis of AMI.
PMID- 26547430
TI - A fluorogenic substrate of beta-lactamases and its potential as a probe to detect
the bacteria resistant to the third-generation oxyimino-cephalosporins.
AB - We devised and synthesized a fluorogenic substrate of beta-lactamases as a probe
to detect the activity of the enzymes. Fluorescence of the probe emitted upon
treatment of a beta-lactamase and increased proportionally to the concentration
of the enzyme, demonstrating its sensing property for the activity of the enzyme.
We also showed that the probe could be utilized to assay the enzyme and to
determine kinetic parameters of the enzyme. Moreover, the probe was able to
detect resistance to the third-generation oxyimino-cephalosporin-derived
antibiotics such as cefotaxime and ceftazidime. In particular, the probe could
identify the ceftazidime-resistance in bacteria that was not detectable using
conventional pH-sensing materials, indicating the practical utility of the probe.
PMID- 26547431
TI - Generation and characterization of quinolone-specific DNA aptamers suitable for
water monitoring.
AB - Quinolones are antibiotics that are accredited in human and veterinary medicine
but are regularly used in high quantities also in industrial livestock farming.
Since these compounds are often only incompletely metabolized, significant
amounts contaminate the aquatic environment and negatively impact on a variety of
different ecosystems. Although there is increasing awareness of problems caused
by pharmaceutical pollution, available methods for the detection and elimination
of numerous pharmaceutical residues are currently inefficient or expensive. While
this also applies to antibiotics that may lead to multi-drug resistance in
pathogenic bacteria, aptamer-based technologies potentially offer alternative
approaches for sensitive and efficient monitoring of pharmaceutical
micropollutants. Using the Capture-SELEX procedure, we here describe the
selection of an aptamer pool with enhanced binding qualities for
fluoroquinolones, a widely used group of antibiotics in both human and veterinary
medicine. The selected aptamers were shown to detect various quinolones with high
specificity, while specific binding activities to structurally unrelated drugs
were not detectable. The quinolone-specific aptamers bound to ofloxacin, one of
the most frequently prescribed fluoroquinolone, with high affinity (KD=0.1-56.9
nM). The functionality of quinolone-specific aptamers in real water samples was
demonstrated in local tap water and in effluents of sewage plants. Together, our
data suggest that these aptamers may be applicable as molecular receptors in
biosensors or as catcher molecules in filter systems for improved monitoring and
treatment of polluted water.
PMID- 26547432
TI - In situ monitoring of myenteric neuron activity using acetylcholinesterase
modified AlGaN/GaN solution-gate field-effect transistors.
AB - The response characteristics of acetylcholinesterase-modified AlGaN/GaN solution
gate field-effect transistors (AcFETs) are quantitatively analyzed by means of a
kinetic model. The characterization shows that the covalent enzyme immobilization
process yields reproducible AcFET characteristics with a Michaelis constant KM of
(122 +/- 4) MUM for the immobilized enzyme layer. The increase of KM by a factor
of 2.4 during the first four measurement cycles is attributed to partial
denaturation of the enzyme. The AcFETs were used to record the release of
acetylcholine (ACh) by neuronal tissue cultivated on the gate area upon
stimulation by rising the extracellular K(+) concentration. The neuronal tissue
constituted of isolated myenteric neurons from four to 12 days old Wistar rats,
or sections from the muscularis propria containing the myenteric plexus from
adult rats. For both cases the AcFET response was demonstrated to be related to
the activity of the immobilized acetylcholinesterase using the reversible
acetylcholinesterase blocker donepezil. A concentration response curve of this
blocking agent revealed a half maximal inhibitory concentration of 40 nM which is
comparable to values measured by complementary in vitro methods.
PMID- 26547433
TI - Functionalized gold nanoclusters as fluorescent labels for immunoassays:
Application to human serum immunoglobulin E determination.
AB - A quantitative immunoassay for the determination of immunoglobulin E (IgE) in
human serum using gold nanoclusters (AuNCs) as fluorescent label was developed.
Water soluble AuNCs were synthesized using lipoic acid and then thoroughly
characterized. The obtained AuNCs have a particle size of 2.7 +/- 0.1 nm and
maximum fluorescence emission at 710 nm. The synthesized AuNCs showed very good
stability of the fluorescent signal with light exposure and at neutral and
slightly basic media. A covalent bioconjugation of these AuNCs with the desired
antibody was carried out by the carbodiimide reaction. After due optimization of
such bioconjugation reaction, a molar ratio 1:3 (antibody:AuNCs) was selected.
The bioconjugate maintained an intense luminescence emission, slightly red
shifted as compared to the free AuNCs. Two typical immunoassay configurations,
competitive and sandwich, were assayed and their performance for IgE
determination critically compared. After the different immunoassay steps were
accomplished, the fluorescence emission of the bioconjugate was measured. While
the sandwich format provided a detection limit (DL) of 10 ng/mL and a linear
range between 25 and 565 ng/mL of IgE, the competitive format revealed a DL of
0.2 ng/mL with a linear range between 0.3 and 7.1 ng/mL The applicability of the
more sensitive competitive fluorescent immunoassay was assessed by successful
analysis of the IgE in human serum and comparison of results with those from a
commercial kit. The main advantages of the proposed AuNCs-based fluorimetric
method include a low DL and a simple immunoassay protocol involving few reagents.
PMID- 26547434
TI - First- and second-generation antipsychotic drug treatment and subcortical brain
morphology in schizophrenia.
AB - Antipsychotic medication may influence brain structure, but to what extent
effects of first-generation antipsychotics (FGAs) and second-generation
antipsychotics (SGAs) differ is still not clear. Here we aimed to disentangle the
effects of FGA and SGA on variation in volumes of subcortical structures in
patients with long-term treated schizophrenia. Magnetic resonance images were
obtained from 95 patients with schizophrenia and 106 healthy control subjects.
Among the patients, 40 received only FGA and 42 received only SGA. FreeSurfer
5.3.0 was used to obtain volumes of 27 subcortical structures as well as total
brain volume and estimated intracranial volume. Findings of reduced total brain
volume, enlarged ventricular volume and reduced hippocampal volume bilaterally
among patients were replicated, largely independent of medication class. In the
basal ganglia, FGA users had larger putamen bilaterally and right caudate volume
compared to healthy controls, and the right putamen was significantly larger than
among SGA users. FGA and SGA users had similar and larger globus pallidus volumes
compared to healthy controls. Post hoc analyses revealed that the difference
between FGA and SGA could be attributed to smaller volumes in the clozapine users
specifically. We therefore conclude that basal ganglia volume enlargements are
not specific to FGA.
PMID- 26547435
TI - Adjuvant chemotherapy for soft tissue sarcomas: a 10-year mono-institutional
experience.
AB - PURPOSE: The role of adjuvant chemotherapy (ACT) for soft tissue sarcomas (STS)
is not standard practice. We investigated effectiveness and tolerability of ACT
in patients (pts) with operated high-risk STS in clinical practice. METHODS:
Medical records of pts with localized STS referred to Istituto Oncologico Veneto,
Padova, from January 1, 2003 to July 07, 2012 were reviewed. Data were collected
for pts with high-risk STS (size >=5 cm, high grade and stage III). For those who
received ACT, regimens used, drug doses, number of cycles, toxicity, and reasons
for dose reduction or treatment interruption were recorded. Disease-free survival
(DFS) and overall survival (OS) were calculated with the Kaplan-Meier method.
RESULTS: Out of 96 eligible pts, median age 62 years, 36 received ACT after loco
regional treatment. Median DFS was 29.6 months (95 % CI 13.2-46.0) in pts
receiving ACT and 7.8 months (95 % CI 3.9-11.7) in untreated pts (p < 0.0001);
median OS was 67.0 months (95 % CI 25.4-108.6) in treated and 33.7 months (95 %
CI 23.3-44.2) in untreated pts (p = 0.005). Among pts receiving ACT, a
significant difference in DFS was observed between pts with limb/girdle disease
(median DFS 82.4 months; 95 % CI 0.0-184.7) and pts with other primary sites
(median DFS 18.3 months; 95 % CI 8.0-28.5) (p = 0.052). Grade >=3 toxicities
occurred in 20 pts (20.8 %), leading to dose reductions, delays, and treatment
discontinuation in five cases. There was no treatment-related death. CONCLUSION:
Our data confirm benefit of ACT with regard to DFS and OS in pts with high-risk
STS, greatest for limb/girdle STS.
PMID- 26547436
TI - Effect of Inhaled Nitric Oxide on Blood Flow Dynamics in Patients After the
Fontan Procedure Using Cardiovascular Magnetic Resonance Flow Measurements.
AB - Invasive hemodynamic studies have shown that nitric oxide (NO), a selective
pulmonary vasodilator, can lower pulmonary vascular resistance in Fontan
patients. Because oximetry-derived flow quantification may be unreliable, we
sought to detect changes in blood flow within the Fontan circulation after
inhalation of NO using cardiovascular magnetic resonance (CMR). Thirty-three
patients (mean age 12.8 +/- 7.0 years) after the Fontan procedure underwent CMR
as part of their routine clinical assessment. Standard two-dimensional blood flow
measurements were performed in the Fontan tunnel, superior vena cava (SVC) and
ascending aorta (AAO) before and after inhalation of 40 ppm NO for 8-10 min.
Systemic-to-pulmonary collateral (SPC) flow was calculated as AAO - (SVC +
tunnel). Heart rate (82 +/- 18 to 81 +/- 18 bpm; p = 0.31) and transcutaneous
oxygen saturations (93 +/- 4 to 94 +/- 3 %; p = 0.13) did not change under NO
inhalation. AAO flow (3.23 +/- 0.72 to 3.12 +/- 0.79 l/min/m(2); p = 0.08)
decreased, tunnel flow (1.58 +/- 0.40 to 1.65 +/- 0.46 l/min/m(2); p = 0.032)
increased, and SVC flow (1.01 +/- 0.39 to 1.02 +/- 0.40 l/min/m(2); p = 0.50)
remained unchanged resulting in higher total caval flow (Qs) (2.59 +/- 0.58 to
2.67 +/- 0.68 l/min/m(2); p = 0.038). SPC flow decreased significantly from 0.64
+/- 0.52 to 0.45 +/- 0.51 l/min/m(2) (p = 0.002) and resulted in a significant
decrement of the Qp/Qs ratio (1.23 +/- 0.23 to 1.15 +/- 0.23; p = 0.001).
Inhalation of NO in Fontan patients results in significant changes in pulmonary
and systemic blood flow. The reduction in SPC flow is accompanied by a net
increase in effective systemic blood flow suggesting beneficial effects of
pulmonary vasodilators on cardiac output, tissue perfusion and exercise capacity.
PMID- 26547437
TI - 1H, 13C and 15N resonance assignments and secondary structure analysis of CmPI
II, a serine protease inhibitor isolated from marine snail Cenchritis muricatus.
AB - A protease inhibitor (CmPI-II) (UNIPROT: IPK2_CENMR) from the marine mollusc
Cenchritis muricatus, has been isolated and characterized. It is the first member
of a new group (group 3) of non-classical Kazal-type inhibitors. CmPI-II is a
tight-binding inhibitor of serine proteases: trypsin, human neutrophil elastase
(HNE), subtilisin A and pancreatic elastase. This specificity is exceptional in
the members of Kazal-type inhibitor family. Several models of three-dimensional
structure of CmPI-II have been constructed by homology with other inhibitors of
the family but its structure has not yet been solved experimentally. Here we
report the (1)H, (15)N and (13)C chemical shift assignments of CmPI-II as basis
for NMR structure determination and interaction studies. Secondary structure
analyses deduced from the NMR chemical shift data have identified three beta
strands beta1: residues 14-19, beta2: 23-35 and beta3: 43-45 and one helix
alpha1: 28-37 arranged in the sequential order beta1-beta2-alpha1-beta3. These
secondary structure elements suggest that CmPI-II adopts the typical scaffold of
a Kazal-type inhibitor.
PMID- 26547438
TI - Aberrant membranous expression of beta-catenin predicts poor prognosis in
patients with craniopharyngioma.
AB - The objective of this study is to investigate beta-catenin expression in
craniopharyngioma patients and determine its significance in predicting the
prognosis of this disease. Fifty craniopharyngioma patients were enrolled in this
study. Expression of beta-catenin in tumor specimens collected from these
patients was examined through immunostaining. In addition, mutation of exon 3 in
the beta-catenin gene, CTNNB1, was analyzed using polymerase chain reaction,
denaturing high-pressure liquid chromatography, and DNA sequencing. Based on
these results, we explored the association between membranous beta-catenin
expression, clinical and pathologic characteristics, and prognoses in these
patients. Of all craniopharyngioma specimens, 31 (62.0%) had preserved membranous
beta-catenin expression, whereas the remaining 19 specimens (38.0%) displayed
aberrant expression. Statistical analysis showed a significant correlation
between aberrant membranous beta-catenin expression and CTNNB1 exon 3 mutation,
as well as between aberrant membranous beta-catenin expression and the
histopathologic type of craniopharyngioma and type of resection in our patient
population. Furthermore, aberrant membranous beta-catenin expression was found to
be associated with poor patient survival. Results of Kaplan-Meier survival
analysis and Cox regression analysis further confirmed this finding. In
conclusion, our study demonstrated that aberrant membranous beta-catenin
expression was significantly correlated with poor survival in patients with
craniopharyngioma. This raises the possibility for use of aberrant membranous
beta-catenin expression as an independent risk factor in predicting the prognosis
of this disease.
PMID- 26547439
TI - Correlation between the germline methylation status in ERbeta promoter and the
risk in prostate cancer: a prospective study.
AB - Familial aggregation of cancer may reflect an overall contribution of inherited
genes or a shared mechanism for the manipulation of gene function. DNA
methylation in the promoter regions is considered to be a mechanism through which
tumor suppressor genes are inhibited, which will lead to tumorigenesis and tumor
progression. To evaluate the association between the methylation status in the
promoter of estrogen receptor (ER) beta,possibly a tumor suppressor gene specific
for prostate cancer, and the risk in prostate cancer in a Chinese population, a
case-control study that included 56 sporadic prostate cancer cases and 60 healthy
controls was conducted. Genomic DNA was extracted from peripheral blood of all
the subjects for analyzing the methylation status of the ERbeta promoter by
methylation-specific PCR, which was verified by bisulfite genomic sequencing PCR.
A significant difference was observed in the methylation frequencies of the
ERbeta promoter between cancer patients (12/56, 21.4%) and healthy controls
(5/60, 8.3%). Prostate cancer (PC-3 and DU-145) and prostatic epithelial (RWPE-1)
cell lines were treated with various concentrations of the methyltransferase
inhibitor 5-Aza-2'-dC. Expression of ERbeta was detected at both transcriptional
and translational levels. As a result, both mRNA and protein of ERbeta were
elevated following treatment with increasing concentrations of the demethylating
agent. Taken together, our results support the conclusion that abnormal
methylation of the ERbeta promoter may increase genetic susceptibility to
prostate cancer.
PMID- 26547440
TI - Ectomycorrhizal communities of ponderosa pine and lodgepole pine in the south
central Oregon pumice zone.
AB - Forest ecosystems of the Pacific Northwest of the USA are changing as a result of
climate change. Specifically, rise of global temperatures, decline of winter
precipitation, earlier loss of snowpack, and increased summer drought are
altering the range of Pinus contorta. Simultaneously, flux in environmental
conditions within the historic P. contorta range may facilitate the encroachment
of P. ponderosa into P. contorta territory. Furthermore, successful pine species
migration may be constrained by the distribution or co-migration of
ectomycorrhizal fungi (EMF). Knowledge of the linkages among soil fungal
diversity, community structure, and environmental factors is critical to
understanding the organization and stability of pine ecosystems. The objectives
of this study were to establish a foundational knowledge of the EMF communities
of P. ponderosa and P. contorta in the Deschutes National Forest, OR, USA, and to
examine soil characteristics associated with community composition. We examined
EMF root tips of P. ponderosa and P. contorta in soil cores and conducted soil
chemistry analysis for P. ponderosa cores. Results indicate that Cenococcum
geophilum, Rhizopogon salebrosus, and Inocybe flocculosa were dominant in both P.
contorta and P. ponderosa soil cores. Rhizopogon spp. were ubiquitous in P.
ponderosa cores. There was no significant difference in the species composition
of EMF communities of P. ponderosa and P. contorta. Ordination analysis of P.
ponderosa soils suggested that soil pH, plant-available phosphorus (Bray), total
phosphorus (P), carbon (C), mineralizable nitrogen (N), ammonium (NH4), and
nitrate (NO3) are driving EMF community composition in P. ponderosa stands. We
found a significant linear relationship between EMF species richness and
mineralizable N. In conclusion, P. ponderosa and P. contorta, within the
Deschutes National Forest, share the same dominant EMF species, which implies
that P. ponderosa may be able to successfully establish within the historic P.
contorta range and dominant EMF assemblages may be conserved.
PMID- 26547442
TI - Ammonia concentrations in different size classes of ovarian follicles of sheep
(Ovis aries): Possible mechanisms of accumulation and its effect on oocyte and
granulosa cell growth in vitro.
AB - The present study investigated the concentrations and the mechanisms of
accumulation of ammonia in different sizes of ovarian follicles and the effect of
ammonia on oocyte and granulosa cell growth and functions in vitro with sheep
(Ovis aries) as an animal model. The effects of cyclicity, seasonality, phases of
the estrous cycle, and seasons (environmental) on ammonia concentrations in
follicular fluid were also investigated. The effect of ammonia on in vitro
development of oocytes (maturation rate, viability rate, cleavage rate,
morulae/blastocysts yield) recovered from different sizes of follicles was
examined at the levels of 0, 50, 100, 150, 250, 300, and 500 MUM. Same
concentrations of ammonia were examined on growth parameters (metabolic activity,
viability, cell number increment, monolayer formation, apoptosis rate) and
hormone (progesterone, estrogen) secretion activity of granulosa cells in vitro.
Results suggested as the follicle size increased, ammonia concentrations
decreased. The ammonia concentrations in ovine follicular fluid were found to be
261.5 +/- 32.4, 157.7 +/- 19.2, and 42.9 +/- 8.3 MUM, respectively, for small,
medium, and large follicles. The corresponding ranges were 290 to 238 MUM, 184 to
142 MUM, and 70 to 22 MUM. The differences were due to more accumulation of
fluid, less metabolic activity of granulosa cells, and elevation of protein,
potassium, and chloride as the follicle size increased. The seasonality and
phases of the estrous cycle did not have any effect on ammonia level in ovarian
follicles. Ammonia concentrations in all size classes of follicles examined were
significantly reduced in ewes during hot seasons compared to cold seasons and in
acyclic animals compared to cyclic ones. Ammonia impaired oocyte development at
300 MUM when the oocytes were isolated from small follicles and at 250 MUM when
the oocytes were isolated from medium and large follicles. In contrast, ammonia
caused the negative impact on granulosa cells growth and secretary activity at
250 MUM when the cells were isolated from small and medium follicles and at 150
MUM when the cells were isolated from large follicles.
PMID- 26547441
TI - Impact of clinical osteoarthritis of the hip, knee and hand on self-rated health
in six European countries: the European Project on OSteoArthritis.
AB - PURPOSE: Osteoarthritis (OA) has been shown to be associated with decreased
physical function, which may impact upon a person's self-rated health (SRH). Only
a few studies have examined the association between OA and SRH in the general
population, but to date none have used a clinical definition of OA. The
objectives are: (1) To examine the cross-sectional association between clinical
OA and fair-to-poor SRH in the general population; (2) To examine whether this
association differs between countries; (3) To examine whether physical function
is a mediator in the association between clinical OA and SRH. METHODS: Baseline
data of the European Project on OSteoArthritis (EPOSA) were used, which includes
pre-harmonized data from six European cohort studies (n = 2709). Clinical OA was
defined according to the American College of Rheumatology criteria. SRH was
assessed using one question: How is your health in general? Physical function was
assessed using the Western Ontario and McMaster Universities OA Index and
Australian/Canadian OA Hand Index. RESULTS: The prevalence of fair-to-poor SRH
ranged from 19.8 % in the United Kingdom to 63.5 % in Italy. Although country
differences in the strength of the associations were observed, clinical OA of the
hip, knee and hand were significantly associated with fair-to-poor SRH in five
out of six European countries. In most countries and at most sites, the
association between clinical OA and fair-to-poor SRH was partly or fully mediated
by physical function. CONCLUSIONS: Clinical OA at different sites was related to
fair-to-poor SRH in the general population. Most associations were (partly)
mediated by physical functioning, indicating that deteriorating physical function
in patients with OA should be a point of attention in patient care.
PMID- 26547444
TI - Immuno-capture of UVDE generated 3'-OH ends at UV photoproducts.
AB - A strategy amenable to the genome-wide study of DNA damage and repair kinetics is
described. The ultraviolet damage endonuclease (UVDE) generates 3'-OH ends at the
two major UV induced DNA lesions, cyclobutane pyrimidine dimers (CPDs) and 6,4
pyrimidine-pyrimidone dimers (6,4 PPs), allowing for their capture after biotin
end-labeling. qPCR amplification of biotinylated DNA enables parallel measuring
of DNA damage in several loci, which can then be combined with high-throughput
screening of cell survival to test genotoxic reagents. Alternatively, a library
of captured sequences could be generated for a genome wide study of damage sites
and large-scale assessment of repair kinetics in different regions of the genome,
using next-generation sequencing. The assay is suitable to study any DNA lesion
that can be converted into 3'-OH by UVDE, or other enzymes. Toward these goals,
we compared UVDE with the classical T4 endonuclease V (T4V) assay. We showed that
there is a linear correlation between UV dose, 3'-OH formation and capture by
immunoprecipitation, together with its potential application for in vivo studies.
PMID- 26547443
TI - Wnt5a reverses the inhibitory effect of hyperoxia on transdifferentiation of
alveolar epithelial type II cells to type I cells.
AB - Transdifferentiation of alveolar epithelial type II cells (AECIIs) to type I
cells (AECIs) is critical for reestablishment and maintenance of an intact
alveolar epithelium. However, this process is frequently destroyed by hyperoxia
treatment, which is commonly used in respiratory distress syndrome therapy in
preterm infants. Wnt5a is considered to participate in this physiopathologic
process, but the clear mechanisms still need to be further investigated. In this
study, preterm rats and primary rat AECIIs were exposed to hyperoxia. Hematoxylin
and eosin staining was used to examine the histological changes of the lungs.
Real-time PCR and western blotting were used to examine Wnt5a expression and
biomarkers of AECII and AECI expression. Immunohistochemistry and
immunofluorescence were also used to determine the expression and location of
selected biomarkers. Furthermore, AECIIs transfected with Wnt5a gene and
exogenous Wnt5a were used to examine whether Wnt5a contributes to the
transdifferentiation of AECIIs to AECIs. Results showed that hyperoxia inhibited
the transdifferentiation of AECIIs to AECIs in vitro, which is represented by
biomarkers of two types of cell that remained unchanged. In addition, Wnt5a
protein expression was found to be decreased after hyperoxia exposure in vitro
and in vivo. Furthermore, both the overexpression of Wnt5a and exogenous Wnt5a
addition blocked the inhibitory effect of hyperoxia in vitro. In conclusion, our
results suggest that the transdifferentiation of AECIIs to AECIs is impaired by
hyperoxia, and this process may be associated with Wnt5a downregulation.
Targeting Wnt5a may have the potential for the therapy of lung injury in preterm
infants induced by hyperoxia.
PMID- 26547447
TI - Untitled: Stephen Gilbert.
PMID- 26547448
TI - NHSC Students to Service Awardees Begin Residencies.
PMID- 26547456
TI - Predicting the Overuse of PCSK-9 Inhibitors.
PMID- 26547457
TI - Asymptomatic Atrial Fibrillation: The Case for Screening to Prevent Stroke.
PMID- 26547458
TI - Improving Awareness and Outcomes Related to Venous Thromboembolism.
PMID- 26547459
TI - Exercise Is Medicine: At Any Dose?
PMID- 26547460
TI - Evidence-Based Management of Stable Ischemic Heart Disease: Challenges and
Confusion.
PMID- 26547461
TI - A piece of my mind. What Now?
PMID- 26547462
TI - Erasing Disability in Peripheral Artery Disease: The Role of Endovascular
Procedures and Supervised Exercise.
PMID- 26547463
TI - Advancing Cardiovascular Science.
PMID- 26547464
TI - Effect of Financial Incentives to Physicians, Patients, or Both on Lipid Levels:
A Randomized Clinical Trial.
AB - IMPORTANCE: Financial incentives to physicians or patients are increasingly used,
but their effectiveness is not well established. OBJECTIVE: To determine whether
physician financial incentives, patient incentives, or shared physician and
patient incentives are more effective than control in reducing levels of low
density lipoprotein cholesterol (LDL-C) among patients with high cardiovascular
risk. DESIGN, SETTING, AND PARTICIPANTS: Four-group, multicenter, cluster
randomized clinical trial with a 12-month intervention conducted from 2011 to
2014 in 3 primary care practices in the northeastern United States. Three hundred
forty eligible primary care physicians (PCPs) were enrolled from a pool of 421.
Of 25,627 potentially eligible patients of those PCPs, 1503 enrolled. Patients
aged 18 to 80 years were eligible if they had a 10-year Framingham Risk Score
(FRS) of 20% or greater, had coronary artery disease equivalents with LDL-C
levels of 120 mg/dL or greater, or had an FRS of 10% to 20% with LDL-C levels of
140 mg/dL or greater. Investigators were blinded to study group, but participants
were not. INTERVENTIONS: Primary care physicians were randomly assigned to
control, physician incentives, patient incentives, or shared physician-patient
incentives. Physicians in the physician incentives group were eligible to receive
up to $1024 per enrolled patient meeting LDL-C goals. Patients in the patient
incentives group were eligible for the same amount, distributed through daily
lotteries tied to medication adherence. Physicians and patients in the shared
incentives group shared these incentives. Physicians and patients in the control
group received no incentives tied to outcomes, but all patient participants
received up to $355 each for trial participation. MAIN OUTCOMES AND MEASURES:
Change in LDL-C level at 12 months. RESULTS: Patients in the shared physician
patient incentives group achieved a mean reduction in LDL-C of 33.6 mg/dL (95%
CI, 30.1-37.1; baseline, 160.1 mg/dL; 12 months, 126.4 mg/dL); those in physician
incentives achieved a mean reduction of 27.9 mg/dL (95% CI, 24.9-31.0; baseline,
159.9 mg/dL; 12 months, 132.0 mg/dL); those in patient incentives achieved a mean
reduction of 25.1 mg/dL (95% CI, 21.6-28.5; baseline, 160.6 mg/dL; 12 months,
135.5 mg/dL); and those in the control group achieved a mean reduction of 25.1
mg/dL (95% CI, 21.7-28.5; baseline, 161.5 mg/dL; 12 months, 136.4 mg/dL; P < .001
for comparison of all 4 groups). Only patients in the shared physician-patient
incentives group achieved reductions in LDL-C levels statistically different from
those in the control group (8.5 mg/dL; 95% CI, 3.8-13.3; P = .002). CONCLUSIONS
AND RELEVANCE: In primary care practices, shared financial incentives for
physicians and patients, but not incentives to physicians or patients alone,
resulted in a statistically significant difference in reduction of LDL-C levels
at 12 months. This reduction was modest, however, and further information is
needed to understand whether this approach represents good value. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: NCT01346189.
PMID- 26547465
TI - Endovascular Revascularization and Supervised Exercise for Peripheral Artery
Disease and Intermittent Claudication: A Randomized Clinical Trial.
AB - IMPORTANCE: Supervised exercise is recommended as a first-line treatment for
intermittent claudication. Combination therapy of endovascular revascularization
plus supervised exercise may be more promising but few data comparing the 2
therapies are available. OBJECTIVE: To assess the effectiveness of endovascular
revascularization plus supervised exercise for intermittent claudication compared
with supervised exercise only. DESIGN, SETTING, AND PARTICIPANTS: Randomized
clinical trial of 212 patients allocated to either endovascular revascularization
plus supervised exercise or supervised exercise only. Data were collected between
May 17, 2010, and February 16, 2013, in the Netherlands at 10 sites. Patients
were followed up for 12 months and the data were analyzed according to the
intention-to-treat principle. INTERVENTIONS: A combination of endovascular
revascularization (selective stenting) plus supervised exercise (n = 106) or
supervised exercise only (n = 106). MAIN OUTCOMES AND MEASURES: The primary end
point was the difference in maximum treadmill walking distance at 12 months
between the groups. Secondary end points included treadmill pain-free walking
distance, vascular quality of life (VascuQol) score (1 [worst outcome] to 7 [best
outcome]), and 36-item Short-Form Health Survey (SF-36) domain scores for
physical functioning, physical role functioning, bodily pain, and general health
perceptions (0 [severe limitation] to 100 [no limitation]). RESULTS: Endovascular
revascularization plus supervised exercise (combination therapy) was associated
with significantly greater improvement in maximum walking distance (from 264 m to
1501 m for an improvement of 1237 m) compared with the supervised exercise only
group (from 285 m to 1240 m for improvement of 955 m) (mean difference between
groups, 282 m; 99% CI, 60-505 m) and in pain-free walking distance (from 117 m to
1237 m for an improvement of 1120 m vs from 135 m to 847 m for improvement of 712
m, respectively) (mean difference, 408 m; 99% CI, 195-622 m). Similarly, the
combination therapy group demonstrated significantly greater improvement in the
disease-specific VascuQol score (1.34 [99% CI, 1.04-1.64] in the combination
therapy group vs 0.73 [99% CI, 0.43-1.03] in the exercise group; mean difference,
0.62 [99% CI, 0.20-1.03]) and in the score for the SF-36 physical functioning
(22.4 [99% CI, 16.3-28.5] vs 12.6 [99% CI, 6.3-18.9], respectively; mean
difference, 9.8 [99% CI, 1.4-18.2]). No significant differences were found for
the SF-36 domains of physical role functioning, bodily pain, and general health
perceptions. CONCLUSIONS AND RELEVANCE: Among patients with intermittent
claudication after 1 year of follow-up, a combination therapy of endovascular
revascularization followed by supervised exercise resulted in significantly
greater improvement in walking distances and health-related quality-of-life
scores compared with supervised exercise only. TRIAL REGISTRATION: Netherlands
Trial Registry Identifier: NTR2249.
PMID- 26547467
TI - Does This Patient With Chest Pain Have Acute Coronary Syndrome?: The Rational
Clinical Examination Systematic Review.
AB - IMPORTANCE: About 10% of patients with acute chest pain are ultimately diagnosed
with acute coronary syndrome (ACS). Early, accurate estimation of the probability
of ACS in these patients using the clinical examination could prevent many
hospital admissions among low-risk patients and ensure that high-risk patients
are promptly treated. OBJECTIVE: To review systematically the accuracy of the
initial history, physical examination, electrocardiogram, and risk scores
incorporating these elements with the first cardiac-specific troponin. STUDY
SELECTION: MEDLINE and EMBASE were searched (January 1, 1995-July 31, 2015),
along with reference lists from retrieved articles, to identify prospective
studies of diagnostic test accuracy among patients admitted to the emergency
department with symptoms suggesting ACS. DATA EXTRACTION AND SYNTHESIS: We
identified 2992 unique articles; 58 met inclusion criteria. MAIN OUTCOMES AND
MEASURES: Sensitivity, specificity, and likelihood ratio (LR) of findings for the
diagnosis of ACS. The reference standard for ACS was either a final hospital
diagnosis of ACS or occurrence of a cardiovascular event within 6 weeks. RESULTS:
The clinical findings and risk factors most suggestive of ACS were prior abnormal
stress test (specificity, 96%; LR, 3.1 [95% CI, 2.0-4.7]), peripheral arterial
disease (specificity, 97%; LR, 2.7 [95% CI, 1.5-4.8]), and pain radiation to both
arms (specificity, 96%; LR, 2.6 [95% CI, 1.8-3.7]). The most useful
electrocardiogram findings were ST-segment depression (specificity, 95%; LR, 5.3
[95% CI, 2.1-8.6]) and any evidence of ischemia (specificity, 91%; LR, 3.6 [95%
CI,1.6-5.7]). Both the History, Electrocardiogram, Age, Risk Factors, Troponin
(HEART) and Thrombolysis in Myocardial Infarction (TIMI) risk scores performed
well in diagnosing ACS: LR, 13 (95% CI, 7.0-24) for the high-risk range of the
HEART score (7-10) and LR, 6.8 (95% CI, 5.2-8.9) for the high-risk range of the
TIMI score (5-7). The most useful for identifying patients less likely to have
ACS were the low-risk range HEART score (0-3) (LR, 0.20 [95% CI, 0.13-0.30]), low
risk range TIMI score (0-1) (LR, 0.31 [95% CI, 0.23-0.43]), or low to
intermediate risk designation by the Heart Foundation of Australia and Cardiac
Society of Australia and New Zealand risk algorithm (LR, 0.24 [95% CI, 0.19
0.31]). CONCLUSIONS AND RELEVANCE: Among patients with suspected ACS presenting
to emergency departments, the initial history, physical examination, and
electrocardiogram alone did not confirm or exclude the diagnosis of ACS. Instead,
the HEART or TIMI risk scores, which incorporate the first cardiac troponin,
provided more diagnostic information.
PMID- 26547466
TI - Prevalence and Correlates of Myocardial Scar in a US Cohort.
AB - IMPORTANCE: Myocardial scarring leads to cardiac dysfunction and poor prognosis.
The prevalence of and factors associated with unrecognized myocardial infarction
and scar have not been previously defined using contemporary methods in a
multiethnic US population. OBJECTIVE: To determine prevalence of and factors
associated with myocardial scar in middle- and older-aged individuals in the
United States. DESIGN, SETTING, AND PARTICIPANTS: The Multi-Ethnic Study of
Atherosclerosis (MESA) study is a population-based cohort in the United States.
Participants were aged 45 through 84 years and free of clinical cardiovascular
disease (CVD) at baseline in 2000-2002. In the 10th year examination (2010-2012),
1840 participants underwent cardiac magnetic resonance (CMR) imaging with
gadolinium to detect myocardial scar. Cardiovascular disease risk factors and
coronary artery calcium (CAC) scores were measured at baseline and year 10.
Logistic regression models were used to estimate adjusted odds ratios (ORs) for
myocardial scar. EXPOSURES: Cardiovascular risk factors, CAC scores, left
ventricle size and function, and carotid intima-media thickness. MAIN OUTCOMES
AND MEASURES: Myocardial scar detected by CMR imaging. RESULTS: Of 1840
participants (mean [SD] age, 68 [9] years, 52% men), 146 (7.9%) had myocardial
scars, of which 114 (78%) were undetected by electrocardiogram or by clinical
adjudication. In adjusted models, age, male sex, body mass index, hypertension,
and current smoking at baseline were associated with myocardial scar at year 10.
The OR per 8.9-year increment was 1.61 (95% CI, 1.36-1.91; P < .001); for men vs
women: OR, 5.76 (95% CI, 3.61-9.17; P < .001); per 4.8-SD body mass index: OR,
1.32 (95% CI, 1.09-1.61, P = .005); for hypertension: OR, 1.61 (95% CI, 1.12
2.30; P = .009); and for current vs never smokers: 2.00 (95% CI, 1.22-3.28; P =
.006). Age-, sex-, and ethnicity-adjusted CAC scores at baseline were also
associated with myocardial scar at year 10. Compared with a CAC score of 0, the
OR for scores from 1 through 99 was 2.4 (95% CI, 1.5-3.9); from 100 through 399,
3.0 (95% CI, 1.7-5.1), and 400 or higher, 3.3 (95% CI, 1.7-6.1) (P <= .001). The
CAC score significantly added to the association of myocardial scar with age,
sex, race/ethnicity, and traditional CVD risk factors (C statistic, 0.81 with CAC
vs 0.79 without CAC, P = .01). CONCLUSIONS AND RELEVANCE: The prevalence of
myocardial scars in a US community-based multiethnic cohort was 7.9%, of which
78% were unrecognized by electrocardiography or clinical evaluation. Further
studies are needed to understand the clinical consequences of these undetected
scars.
PMID- 26547468
TI - Multiple Imputation: A Flexible Tool for Handling Missing Data.
PMID- 26547469
TI - Exercise Treadmill Testing.
PMID- 26547471
TI - Thrombophilia and the Risk of Recurrent Venous Thromboembolism.
PMID- 26547470
TI - Consistency of Laboratory Monitoring During Initiation of Mineralocorticoid
Receptor Antagonist Therapy in Patients With Heart Failure.
PMID- 26547472
TI - Treatment Trends for Prostate Cancer.
PMID- 26547473
TI - Thrombophilia and the Risk of Recurrent Venous Thromboembolism--Reply.
PMID- 26547475
TI - Incorrect References and Figure Caption Wording.
PMID- 26547474
TI - Treatment Trends for Prostate Cancer--Reply.
PMID- 26547477
TI - Angina Pectoris and Arteriosclerosis.
PMID- 26547478
TI - JAMA Patient Page. Acute Coronary Syndrome.
PMID- 26547479
TI - Leishmaniasis in Yemen: a clinicoepidemiological study of leishmaniasis in
central Yemen.
AB - BACKGROUND: Leishmaniasis is a serious public health problem in Yemen.
OBJECTIVES: This study was designed to identify clinical and epidemiological
features of leishmaniasis in Yemen. METHODS: The study was conducted at the
Regional Leishmaniasis Control Center in central Yemen. Data sourced from the
medical records of 152 patients with confirmed active leishmaniasis, managed
during April-August 2013, were analyzed. RESULTS: A total of 94.1% of patients
were rural residents. Al Bayda was the most endemic governorate (59.9%). Children
represented the group at highest risk (57.2%), followed by adult females (32.9%);
together these groups accounted for 90.1% of all patients. Mucocutaneous
leishmaniasis was the most prevalent form (49.3%), followed by cutaneous
leishmaniasis (47.4%), and visceral leishmaniasis (3.3%). The wet ulcer was the
most common type of lesion (49.7%) and the single lesion (69.4%) represented the
most common presentation. All patients were ignorant of the nature of the
disease, and 55.9% had a history of using "popular" treatments. CONCLUSIONS:
Cutaneous, mucocutaneous, and visceral leishmaniases have significant endemicity
in Yemen, especially in central areas. Al Bayda is the governorate with the
highest endemicity, and rural children and women represent the populations at
highest risk. Mucocutaneous leishmaniasis seems to be the most prevalent form and
a single wet ulcer is the most common presentation. Infected refugees may
represent new foci for imported Leishmania species. Ecology, geography, climate
change, cultural gender- and age-specific duties, urban night activities, and use
of popular treatments are among proven risk factors.
PMID- 26547480
TI - Effect upon mortality of the extension to holidays and weekends of the "ICU
without walls" project. A before-after study.
AB - OBJECTIVE: To determine whether extension to holidays and weekends of the
protocol for the early proactive detection of severity in hospital ("ICU without
walls" project) results in decreased mortality among patients admitted to the ICU
during those days. DESIGN: A quasi-experimental before-after study was carried
out. SETTING: A level 2 hospital with 210 beds and a polyvalent ICU with 8 beds.
PATIENTS OR PARTICIPANTS: The control group involved no "ICU without walls"
activity on holidays or weekends and included those patients admitted to the ICU
on those days between 1 January 2010 and 30 April 2013. The intervention group in
turn extended the "ICU without walls" activity to holidays and weekends, and
included those patients admitted on those days between 1 May 2013 and 31 October
2014. Patients arriving from the operating room after scheduled surgery were
excluded. VARIABLES OF INTEREST: An analysis was made of the demographic
variables (age, gender), origin (emergency room, hospital ward, operating room),
type of patient (medical, surgical), reason for admission, comorbidities and SAPS
3 score as a measure of severity upon admission, stay in the ICU and in hospital,
and mortality in the ICU and in hospital. RESULTS: A total of 389 and 161
patients were included in the control group and intervention group, respectively.
There were no differences between the 2 groups except as regards cardiovascular
comorbidity (49% in the control group versus 33% in the intervention group;
P<.001), severity upon admission (median SAPS 3 score 52 [percentiles 25-75: 42
63) in the control group versus 48 [percentiles 25-75: 40-56] in the intervention
group; P=.008) and mortality in the ICU (11% in the control group [95% CI 8-14]
versus 3% [95% CI 1-7] in the intervention group; P=.003). In the multivariate
analysis, the only 2 factors associated to mortality in the ICU were the SAPS 3
score (OR 1.08; 95% CI 1.06-1.11) and inclusion in the intervention group (OR
0.33; 95% CI 0.12-0.89). CONCLUSIONS: Extension of the "ICU without walls"
activity to holidays and weekends results in a decrease in mortality in the ICU.
PMID- 26547481
TI - Ambulatory proctologic surgery: Recommendations of the French National
Coloproctology Society (SNFCP).
PMID- 26547482
TI - Parents' Perspectives on Shared Decision Making for Children With Solid Organ
Transplants.
AB - INTRODUCTION: The Institute of Medicine prioritizes active family and clinician
participation in treatment decisions, known as shared decision making (SDM). In
this article we report the decision-making experiences for parents of children
who had a solid organ transplant. METHOD: We performed a prospective longitudinal
mixed methods study at five major U.S. children's medical centers. Qualitative
interview data were obtained at 3 weeks, 3 months, and 6 months after hospital
discharge following the child's transplant. RESULTS: Forty-eight parents
participated in the study. Three themes were identified: (a) Parents expect to
participate in SDM; (b) parents seek information to support their participation
in SDM; and (c) attributes of providers' professional practice facilitates SDM.
SDM was facilitated when providers were knowledgeable, transparent, approachable,
accessible, dependable, and supportive. CONCLUSIONS: Parents expect to
participate in SDM with their transplant team. Health care providers can
intentionally use the six key attributes to engage parents in SDM. The results
provide a framework to consider enhancing SDM in other chronic illness
populations.
PMID- 26547483
TI - A Retrospective Administrative Claims Database Evaluation of the Utilization of
Belimumab in US Managed Care Settings.
AB - PURPOSE: Belimumab is an approved therapy for the treatment of systemic lupus
erythematosus (SLE). This study examined the real-world utilization patterns of
belimumab and standard SLE therapies in patients after regulatory approval of
belimumab in the United States. METHODS: A retrospective, observational study of
belimumab users in the HealthCore Integrated Research Database was conducted
using administrative claims data (GlaxoSmithKline Clinical Study Register Study
ID: 114955). The overall population for analysis was composed of patients who
were prescribed belimumab, had >=6 months pre- and >=6 months post-index medical
and pharmacy eligibility, and at least 1 medical claim for SLE. Patients'
clinical and demographic characteristics, treatment history, treatment patterns
of belimumab, utilization of other medications, all-cause resource utilization,
and costs were assessed. No hypotheses were tested. FINDINGS: All patients who
were prescribed belimumab had an SLE claim. Patients who met all eligibility
criteria (n = 155) were primarily female (94.2%; mean [SD] age, 44 [12] years)
and 94.2% had used standard SLE therapies during the pre- and post-index periods.
The majority had moderate SLE disease severity pre-index, and there was a small
shift (approximately 8%) from moderate to mild SLE after initiation of belimumab.
Two thirds of patients remained on belimumab therapy at 6 months post-index. The
percentage of patients with any claim for oral corticosteroids remained stable;
however, the point estimate for mean daily dose decreased slightly in months 3 to
6 post-index. Inpatient hospital admissions decreased slightly in the post-index
period. The point estimate for total costs (excluding belimumab) decreased after
initiation of belimumab, although overall total health care costs (including
belimumab) increased. IMPLICATIONS: All patients with a belimumab prescription
had an SLE diagnosis on at least 1 medical claim, and the vast majority of those
meeting all eligibility criteria had previously used a standard SLE therapy.
Disease severity improved for a number of patients while on belimumab treatment
and modest corticosteroid dose reductions were observed in later months. After
initiating belimumab, health care costs (excluding belimumab) decreased.
GlaxoSmithKline Clinical Study Register Study ID: 114955.
PMID- 26547484
TI - The relationship among gastroparetic symptoms, quality of life, and gastric
emptying in patients referred for gastric emptying testing.
AB - BACKGROUND: Symptoms suggestive of gastroparesis are non-specific and conflicting
reports exist regarding the ability of symptoms to predict the presence of
gastroparesis. Our aim, therefore, was to evaluate the relationships between
gastroparetic symptoms and their impact on quality of life and determine their
relationship with clinical factors and gastric emptying. METHODS: Gastric
emptying scintigraphy, sociodemographic features, health care resource
utilization, gastroparetic symptoms, and quality of life using validated
questionnaires were obtained from consecutive patients referred for gastric
emptying testing (GET). Descriptive analyses were conducted and logistic
regression was performed to evaluate associations with abnormal gastric emptying
after controlling for other covariates. KEY RESULTS: Two hundred and sixty-six
patients participated (195 females; mean age, 49.1 +/- 17.6 years); 75% met Rome
III criteria for functional dyspepsia. Gastric emptying was delayed in 28.2% at 4
h; the delay was mild in 48%, moderate in 20% and severe in 32%. Nausea/emesis
and postprandial fullness, but not bloating, were significantly greater in those
with delayed emptying. Postprandial fullness was most severe. Weak correlations
were identified between symptom severity and the severity of gastric emptying
delay. Quality of life was also lower in the delayed emptying group. Logistic
regression analysis demonstrated associations between delayed gastric emptying
and lower quality of life and increased symptom severity. CONCLUSIONS &
INFERENCES: In patients referred for GET, gastroparetic symptoms were more severe
in those with delayed emptying. A decrease in quality of life in those with
delayed gastric emptying was also present; this was not related to the severity
of the delay in gastric emptying.
PMID- 26547485
TI - Pericardial and myocardial disease.
PMID- 26547486
TI - The 2015 ESC Guidelines on the diagnosis and management of pericardial diseases.
PMID- 26547487
TI - A comparison of hysterosalpingo-foam sonography (HyFoSy) and hysterosalpingo
contrast sonography with saline medium (HyCoSy) in the assessment of tubal
patency.
AB - OBJECTIVE: A randomized controlled selective cross-over trial was performed to
compare the diagnostic yield and efficacy of ExEm foam (HyFoSy) with saline
medium (HyCoSy) as a contrast agent for hysterosalping-contrast sonography in
subfertile patients. STUDY DESIGN: 40 patients were randomized into HyCoSy with
saline medium and HyFoSy with ExEm foam. Tubal patency were assessed according to
pre-determined objective criteria that classified tubes based on degree of
certainty in tubal patency. Selective cross-over testing with the other medium
was performed in patients who had at least one possibly occluded or unexaminable
tube on the initial test. RESULTS: 80 tubes were evaluated. On initial testing,
the proportion of tubes that were classified as patent was higher with HyFoSy
compared to HyCoSy (70.0% vs 40.0%, p=0.01). A higher proportion of patients in
the HyCoSy group required crossover testing [80.0% (16/20) vs 45.0% (9/20),
p=0.02]. On cross-over testing, 41.7% (10/24) of possibly occluded or
unexaminable tubes in the HyCoSy group were re-classified as patent when examined
with Ex-Em foam, compared to 8.3% (1/12) of possibly occluded or unexaminable
tubes in the HyFoSy group (p=0.03). CONCLUSION: ExEm foam medium (HyFoSy) might
improve the diagnostic yield and efficacy over saline medium (HyCoSy) for
hysterosalpingsonography.
PMID- 26547488
TI - Colo-uterine fistula due to colonic diverticulitis. Incidental radiological
finding.
PMID- 26547490
TI - Regularized MANOVA (rMANOVA) in untargeted metabolomics.
AB - Many advanced metabolomics experiments currently lead to data where a large
number of response variables were measured while one or several factors were
changed. Often the number of response variables vastly exceeds the sample size
and well-established techniques such as multivariate analysis of variance
(MANOVA) cannot be used to analyze the data. ANOVA simultaneous component
analysis (ASCA) is an alternative to MANOVA for analysis of metabolomics data
from an experimental design. In this paper, we show that ASCA assumes that none
of the metabolites are correlated and that they all have the same variance.
Because of these assumptions, ASCA may relate the wrong variables to a factor.
This reduces the power of the method and hampers interpretation. We propose an
improved model that is essentially a weighted average of the ASCA and MANOVA
models. The optimal weight is determined in a data-driven fashion. Compared to
ASCA, this method assumes that variables can correlate, leading to a more
realistic view of the data. Compared to MANOVA, the model is also applicable when
the number of samples is (much) smaller than the number of variables. These
advantages are demonstrated by means of simulated and real data examples. The
source code of the method is available from the first author upon request, and at
the following github repository: https://github.com/JasperE/regularized-MANOVA.
PMID- 26547491
TI - Review of recent developments and applications in low-pressure (vacuum outlet)
gas chromatography.
AB - The concept of low pressure (LP) vacuum outlet gas chromatography (GC) was
introduced more than 50 years ago, but it was not until the 2000s that its
theoretical applicability to fast analysis of GC-amenable chemicals was realized.
In practice, LPGC is implemented by placing the outlet of a short, wide
(typically 10-15 m, 0.53 mm inner diameter) analytical column under vacuum
conditions, which speeds the separation by reducing viscosity of the carrier gas,
thereby leading to a higher optimal flow rate for the most separation efficiency.
To keep the inlet at normal operating pressures, the analytical column is
commonly coupled to a short, narrow uncoated restriction capillary that also acts
as a guard column. The faster separations in LPGC usually result in worse
separation efficiency relative to conventional GC, but selective detection
usually overcomes this drawback. Mass spectrometry (MS) provides highly selective
and sensitive universal detection, and nearly all GC-MS instruments provide
vacuum outlet conditions for implementation of LPGC-MS(/MS) without need for
adaptations. In addition to higher sample throughput, LPGC provides other
benefits, including lower detection limits, less chance of analyte degradation,
reduced peak tailing, increased sample loadability, and more ruggedness without
overly narrow peaks that would necessitate excessively fast data acquisition
rates. This critical review summarizes recent developments in the application of
LPGC with MS and other detectors in the analysis of pesticides, environmental
contaminants, explosives, phytosterols, and other semi-volatile compounds.
PMID- 26547489
TI - Domestic exposure to endotoxin and respiratory morbidity in former smokers with
COPD.
AB - Indoor air pollution has been linked to adverse chronic obstructive pulmonary
disease (COPD) health, but specific causative agents have not yet been
identified. We evaluated the role of indoor endotoxin exposure upon respiratory
health in former smokers with COPD. Eighty-four adults with moderate to severe
COPD were followed longitudinally and indoor air and dust samples collected at
baseline, 3 and 6 months. Respiratory outcomes were repeatedly assessed at each
time point. The associations between endotoxin exposure in air and settled dust
and health outcomes were explored using generalizing estimating equations in
multivariate models accounting for confounders. Dust endotoxin concentrations in
the main living area were highest in spring and lowest in fall, while airborne
endotoxins remained steady across seasons. Airborne and dust endotoxin
concentrations were weakly correlated with one another (rs = +0.24, P = 0.005).
Endotoxin concentrations were not significantly associated with respiratory
symptoms, rescue medication use, quality of life, or severe exacerbations. In
vitro whole-blood assays of the pro-inflammatory capacity of PM10 filters with
and without endotoxin depletion demonstrated that the endotoxin component of
indoor air pollution was not the primary trigger for interleukin-1beta release.
Our findings support that endotoxin is not the major driver in the adverse
effects of indoor PM upon COPD morbidity.
PMID- 26547492
TI - Recent developments in computer vision-based analytical chemistry: A tutorial
review.
AB - Chemical analysis based on colour changes recorded with imaging devices is
gaining increasing interest. This is due to its several significant advantages,
such as simplicity of use, and the fact that it is easily combinable with
portable and widely distributed imaging devices, resulting in friendly analytical
procedures in many areas that demand out-of-lab applications for in situ and real
time monitoring. This tutorial review covers computer vision-based analytical
(CVAC) procedures and systems from 2005 to 2015, a period of time when 87.5% of
the papers on this topic were published. The background regarding colour spaces
and recent analytical system architectures of interest in analytical chemistry is
presented in the form of a tutorial. Moreover, issues regarding images, such as
the influence of illuminants, and the most relevant techniques for processing and
analysing digital images are addressed. Some of the most relevant applications
are then detailed, highlighting their main characteristics. Finally, our opinion
about future perspectives is discussed.
PMID- 26547493
TI - The Cu-MOF-199/single-walled carbon nanotubes modified electrode for simultaneous
determination of hydroquinone and catechol with extended linear ranges and lower
detection limits.
AB - A novel electrochemical sensor based on Cu-MOF-199 [Cu-MOF-199 = Cu3(BTC)2 (BTC =
1,3,5-benzenetricarboxylicacid)] and SWCNTs (single-walled carbon nanotubes) was
fabricated for the simultaneous determination of hydroquinone (HQ) and catechol
(CT). The modification procedure was carried out through casting SWCNTs on the
bare glassy carbon electrode (GCE) and followed by the electrodeposition of Cu
MOF-199 on the SWCNTs modified electrode. Cyclic voltammetry (CV),
electrochemical impedance spectroscopy (EIS) and scanning electron microscopy
(SEM) were performed to characterize the electrochemical performance and surface
characteristics of the as-prepared sensor. The composite electrode exhibited an
excellent electrocatalytic activity with increased electrochemical signals
towards the oxidation of HQ and CT, owing to the synergistic effect of SWCNTs and
Cu-MOF-199. Under the optimized condition, the linear response range were from
0.1 to 1453 MUmol L(-1) (RHQ = 0.9999) for HQ and 0.1-1150 MUmol L(-1) (RCT =
0.9990) for CT. The detection limits for HQ and CT were as low as 0.08 and 0.1
MUmol L(-1), respectively. Moreover, the modified electrode presented the good
reproducibility and the excellent anti-interference performance. The analytical
performance of the developed sensor for the simultaneous detection of HQ and CT
had been evaluated in practical samples with satisfying results.
PMID- 26547494
TI - Highly selective and sensitive simple sensor based on electrochemically treated
nano polypyrrole-sodium dodecyl sulphate film for the detection of para
nitrophenol.
AB - An ultrasensitive and highly selective electrochemical sensor for the
determination of p-nitrophenol (p-NP) was developed based on electrochemically
treated nano polypyrrole/sodium dodecyl sulphate film (ENPPy/SDS film) modified
glassy carbon electrode. The nano polypyrrole/sodium dodecyl sulphate film
(NPPy/SDS film) was prepared and treated electrochemically in phosphate buffer
solution. The surface morphology and elemental analysis of treated and untreated
NPPy/SDS film were characterized by FESEM and EDX analysis, respectively.
Wettability of polymer films were analysed by contact angle test. The hydrophilic
nature of the polymer film decreased after electrochemical treatment. Effect of
the pH of electrolyte and thickness of the ENPPy/SDS film on determination of p
NP was optimised by cyclic voltammetry. Under the optimised conditions, the p-NP
was determined from the oxidation peak of p-hydroxyaminophenol which was formed
from the reduction of p-NP in the reduction segment of cyclic voltammetry. A very
good linear detection range (from 0.1 nM to 100 MUM) and the best LOD (0.1 nM)
were obtained for p-NP with very good selectivity. This detection limit is below
to the allowed limit in drinking water, 0.43 MUM, proposed by the U.S.
Environmental Protection Agency (EPA) and earlier reports. Moreover, ENPPy/SDS
film based sensor exhibits high sensitivity (4.4546 MUA MUM(-1)) to p-NP.
Experimental results show that it is a fast and simple sensor for p-NP.
PMID- 26547495
TI - Extraction of trace nitrophenols in environmental water samples using boronate
affinity sorbent.
AB - In this research, the applicability of a new sorbent based on boronate affinity
material is demonstrated. For this purpose, six strong polar nitrophenols were
selected as models which are difficult to be extracted in neutral form (only
based on hydrophobic interactions). The extracted nitrophenols were separated and
determined by high-performance liquid chromatography with diode array detection.
The sorbent was synthesized by in situ copolymerization of 3
acrylamidophenylboronic acid and divinylbenzene using dimethyl sulfoxide and
azobisisobutyronitrile as porogen solvent and initiator, respectively. The effect
of the preparation parameters in the polymerization mixture on extraction
performance was investigated in detail. The size and morphology of the sorbent
have been characterized via different techniques such as infrared spectroscopy,
elemental analysis, scanning electron microscopy and mercury intrusion
porosimetry. The important parameters influencing the extraction efficiency were
studied and optimized thoroughly. Under the optimum extraction conditions, the
limits of detection (S/N = 3) and limits of quantification (S/N = 10) for the
target nitrophenols were 0.097-0.28 and 0.32-0.92 MUg/L, respectively. The
precision of the proposed method was evaluated in terms of intra- and inter-assay
variability calculated as RSD, and it was found that the RSDs were all below 9%.
Finally, the developed method was successfully applied for environmental water
samples such as wastewater, tap, lake and river water. The recoveries varied
within the range of 71.2-115% with RSD below 11% in all cases. The results well
demonstrate that the new boronate affinity sorbent can extract nitrophenols
effectively through multi-interactions including boron-nitrogen coordination,
hydrogen-bond and hydrophobic interactions between sorbent and analytes.
PMID- 26547496
TI - Label-free aptamer biosensor for selective detection of thrombin.
AB - We fabricated a novel fluorescence biosensor for the selective detection of
thrombin by using bovine serum albumin-capped CdS quantum dots (BSA-CdS QDs). Two
kinds of designed DNA (DNA1 and DNA2) could bind to CdS QDs through the
electrostatic interaction between DNA and Cd(2+) on the surface of CdS QDs. The
obtained DNA/BSA-CdS QDs kept stable in the solution with the fluorescence
intensity obviously enhanced. Hairpin structure of DNA1contained two domains, one
is the aptamer sequence of thrombin and the other is the complementary sequence
of DNA2. When thrombin was added, it would bind to DNA1 and induce the hairpin
structure of DNA1 changed into G-quadplex structure. Meanwhile, DNA2 would
transfer from the surface of CdS QDs to DNA1 via hybridization, which resulted in
the removal of DNA1 and DNA2 from the surface of CdS QDs, and led to the
fluorescence intensity of CdS QDs reduced. Thus, the determination of thrombin
could be achieved by monitoring the change of the fluorescence intensity of CdS
QDs. The present method is simple and fast, and exhibits good selectivity for
thrombin over other proteins. We have successfully detected thrombin in human
serum samples with satisfactory results.
PMID- 26547497
TI - Sensitive electrochemical immunoassay for chlorpyrifos by using flake-like Fe3O4
modified carbon nanotubes as the enhanced multienzyme label.
AB - A highly sensitive electrochemical immunoassay of chlorpyrifos (CPF) was
developed by using a biocompatible quinone-rich polydopamine nanospheres modified
glass carbon electrode as the sensor platform and multi-horseradish peroxidase
flake like Fe3O4 coated carbon nanotube nanocomposites as the signal label. Due
to the quinone-rich polydopamine nanospheres, the platform exhibited excellent
fixing capacity by simple coating of sticky polydopamine nanospheres and
subsequent oxidization. By coprecipitation of Fe(3+) and Fe(2+) on polydopamine
modified carbon nanotubes (CNTs) with the aid of ethylene glycol (EG), the flake
like Fe3O4 coated CNTs (CNTs@f-Fe3O4) were synthesized and chosen as the carrier
of multi-enzyme label due to the high loading of secondary antibody (Ab2) and
horseradish peroxidase (HRP) and also the peroxidase-mimic activity of Fe3O4.
Under the optimum conditions, the immunosensor can detect CPF over a wide range
with a detection limit of 6.3 pg/mL. Besides, the high specificity,
reproducibility and stability of the proposed immunosensor were also proved. The
preliminary application in real sample showed good recoveries, indicating it
holds promise for fast analysis of CPF in aquatic environment.
PMID- 26547498
TI - Horseradish peroxidase and aptamer dual-functionalized nanoprobe for the
amplification detection of alpha-methylacyl-CoA racemase.
AB - Alpha-methylacyl-CoA racemase (AMACR) is over-expressed in many cancer types and
can serve as a novel diagnostic biomarker. Development of convenient and
sensitive detection methods of AMACR is of particular importance for cancer
diagnosis. Aptamers are a type of recognition elements, which possess many
advantages over antibody, making them suitable for applications in biosensing and
biotechnology. In this work, we use the efficient surface modification of gold
nanoparticles (AuNPs) to prepare the horseradish peroxidase (HRP) and aptamer
dual-functionalized nanoprobe. The immobilization of HRP and thiol-terminated
aptamer on the surface of AuNPs can be achieved through electrostatic interaction
and the formation of Au-S bond, respectively. This nanoprobe, which is used as
discriminating and catalytic probe, can be combined with enzyme immunoassay
method to increase the detection sensitivity of AMACR. The detection limit can
reach as low as 4.6 pg mL(-1) due to the dual signal amplification from enzymatic
cycling and the high loading of enzymes on AuNPs. This sensitivity is about three
orders of magnitude higher than that of AMACR aptamer based fluorescence method,
which is also comparable to or one order of magnitude higher than that of ELISA.
Furthermore, this method is more simple and effective, which not only avoids the
conjugation between recognition element and the catalytic enzyme, but also
achieves greater signal amplification. This assay could be used as a sensitive
and selective platform for the detection of target protein.
PMID- 26547499
TI - Safety and efficacy of ledipasvir-sofosbuvir in black patients with hepatitis C
virus infection: A retrospective analysis of phase 3 data.
AB - Black patients chronically infected with genotype 1 hepatitis C virus (HCV) have
historically had lower rates of response to interferon-based treatment than
patients of other races. In the phase 3 ION program, the single-tablet regimen of
the NS5A inhibitor ledipasvir and NS5B nucleotide polymerase inhibitor sofosbuvir
was shown to be safe and highly effective in the general population. The aim of
this study was to evaluate the safety and efficacy of ledipasvir/sofosbuvir in
black patients using data from the three open-label ION clinical trials, which
evaluated the safety and efficacy of 8, 12, and 24 weeks of ledipasvir/sofosbuvir
with or without ribavirin for the treatment of treatment-naive and treatment
experienced patients with genotype 1 HCV, including those with compensated
cirrhosis. The primary endpoint was sustained virologic response at 12 weeks
after the end of therapy (SVR12). For our analysis, rates of SVR12, treatment
emergent adverse events, and graded laboratory abnormalities were analyzed in
black versus non-black patients. Of the 1949 patients evaluated, 308 (16%) were
black. On average, black patients were older, had higher body mass index, were
more likely to be IL28B non-CC, and had a lower serum alanine aminotransferase at
baseline than non-black patients. Overall, 95% of black and 97% of non-black
patients achieved SVR12. The rate of relapse was 3% in black patients as compared
with 2% in non-black patients. The most common adverse events included fatigue,
headache, nausea, and insomnia. The majority of adverse events occurred more
frequently in the ribavirin-containing arms of the studies. No differences were
observed in overall safety by race. CONCLUSION: A once-daily dosage of
ledipasvir/sofosbuvir was similarly effective in black and non-black patients
with genotype 1 HCV infection. The addition of ribavirin did not appear to
increase SVR12 but was associated with higher rates of adverse events.
PMID- 26547500
TI - Prediction of conformationally dependent atomic multipole moments in
carbohydrates.
AB - The conformational flexibility of carbohydrates is challenging within the field
of computational chemistry. This flexibility causes the electron density to
change, which leads to fluctuating atomic multipole moments. Quantum Chemical
Topology (QCT) allows for the partitioning of an "atom in a molecule," thus
localizing electron density to finite atomic domains, which permits the
unambiguous evaluation of atomic multipole moments. By selecting an ensemble of
physically realistic conformers of a chemical system, one evaluates the various
multipole moments at defined points in configuration space. The subsequent
implementation of the machine learning method kriging delivers the evaluation of
an analytical function, which smoothly interpolates between these points. This
allows for the prediction of atomic multipole moments at new points in
conformational space, not trained for but within prediction range. In this work,
we demonstrate that the carbohydrates erythrose and threose are amenable to the
above methodology. We investigate how kriging models respond when the training
ensemble incorporating multiple energy minima and their environment in
conformational space. Additionally, we evaluate the gains in predictive capacity
of our models as the size of the training ensemble increases. We believe this
approach to be entirely novel within the field of carbohydrates. For a modest
training set size of 600, more than 90% of the external test configurations have
an error in the total (predicted) electrostatic energy (relative to ab initio) of
maximum 1 kJ mol(-1) for open chains and just over 90% an error of maximum 4 kJ
mol(-1) for rings.
PMID- 26547501
TI - A novel mutation, c.494C>A (p.Ala165Asp), in the GPR143 gene causes a mild
phenotype in a Chinese X-linked ocular albinism patient.
PMID- 26547502
TI - Pretreatment combining ultrasound and sodium percarbonate under mild conditions
for efficient degradation of corn stover.
AB - Ultrasound (US) can be used to disrupt microcrystalline cellulose to give
nanofibers via ultrasonic cavitation. Sodium percarbonate (SP), consisting of
sodium carbonate and hydrogen peroxide, generates highly reactive radicals, which
cause oxidative delignification. Here, we describe a novel pretreatment technique
using a combination of US and SP (US-SP) for the efficient saccharification of
cellulose and hemicellulose in lignocellulosic corn stover. Although US-SP
pretreatment was conducted under mild condition (i.e., at room temperature and
atmospheric pressure), the pretreatment greatly increased lignin removal and
cellulose digestibility. We also determined the optimum US-SP treatment
conditions, such as ultrasonic power output, pretreatment time, pretreatment
temperature, and SP concentration for an efficient cellulose saccharification.
Moreover, xylose could be effectively recovered from US-SP pretreated biomass
without the formation of microbial inhibitor furfural.
PMID- 26547503
TI - A pragmatic and scalable strategy using mobile technology to promote sustained
lifestyle changes to prevent type 2 diabetes in India-Outcome of screening.
AB - AIMS: We describe a two-step screening approach using non-invasive risk
assessment and glycated hemoglobin (HbA1c) to identify participants for a
diabetes prevention trial. METHODS: A total of 6030 non-diabetic persons of 35-55
years were screened using risk assessment for diabetes. Those with three or more
risk factors were screened using point of care HbA1c test. For this study,
participants in HbA1c categories of 6.0% (42.1 mmol/mol)-6.4% (46.4 mmol/mol)
were selected and their characteristics were analyzed. RESULTS: Among 6030
persons, 2835 (47%) had three or more risk factors for diabetes. Among those
screened with HbA1c, 43.2% (1225) had HbA1c values of <6.0% (42.1 mmol/mol),
46.8% (1327) had HbA1c values between 6.0% (42.1 mmol/mol) and <= 6.4% (46.4
mmol/mol) and 10% (283) had undiagnosed diabetes with >=6.5% (47.5 mmol/mol).
Positive family history was present in 53.2%, 81.7% were obese and 14.8% were
overweight. CONCLUSIONS: Opportunistic screening using a two-step approach:
diabetes risk profile and HbA1c measurement detected a large percentage of
individuals with prediabetes. Prediabetic persons recruited to the trial had
higher percentage of obesity and presence of positive family history than those
who had lower HbA1c values. Outcomes from this trial will enable comparisons with
the previous prevention studies that used blood glucose levels as the screening
criteria.
PMID- 26547504
TI - Helicobacter pylori infection associated with type 2 diabetic nephropathy in
patients with dyspeptic symptoms.
AB - AIMS: The aim of this conventional case-control study was to investigate the
prevalence and relationship between Helicobacter pylori infection in type 2
diabetes mellitus (DM) and diabetic nephropathy (DN). METHODS: A total of 241
type 2 DM patients and 69 non-diabetic subjects with dyspeptic symptoms were
enrolled in the study. Gastroduodenal lesions were observed by gastrointestinal
endoscopy and the presence of H. pylori was identified by rapid urease test and
serum IgG antibodies to H. pylori. According to the urinary albumin excretion
rate (UAE), patients were classified into diabetes mellitus group (DM group, with
UAE <30 mg/24h); diabetic nephropathy group 1 (DN group 1, with UAE 30 mg/24 h to
<300 mg/24 h); and diabetic nephropathy group 2 (DN group 2 >= 300 mg/24 h). The
69 non-diabetic subjects were used as control group. The serum levels of
inflammatory factors such as tumor necrosis factor-alpha (TNF-alpha) and
interleukin (IL)-8 were determined using ELISA. RESULTS: The prevalence of H.
pylori infection in DN group 1 and DN group 2 was 45/72 (62.5%) and 34/53
(64.15%), respectively, which was significantly higher than in control [28/65
(43.1%)] and DM groups [42.9% (27/63)]. No significant differences of H. pylori
prevalence were detected between DN groups as well as DM and control groups.
Interestingly, in both DN groups, higher levels of IL-8, TNF-alpha and urinary
albumin excretion rate were found in H. pylori positive subjects. CONCLUSIONS:
Diabetic nephropathy patients are more susceptible to H. pylori infection. Our
data support an association between H. pylori infection and diabetic nephropathy.
PMID- 26547505
TI - Design and control of multi-actuated atomic force microscope for large-range and
high-speed imaging.
AB - This paper presents the design and control of a high-speed and large-range atomic
force microscopy (AFM). A multi-actuation scheme is proposed where several nano
positioners cooperate to achieve the range and speed requirements. A simple data
based control design methodology is presented to effectively operate the AFM
scanner components. The proposed controllers compensate for the coupled dynamics
and divide the positioning responsibilities between the scanner components. As a
result, the multi-actuated scanner behavior is equivalent to that of a single X-Y
Z positioner with large range and high speed. The scanner of the designed AFM is
composed of five nano-positioners, features 6 MUm out-of-plane and 120 MUm
lateral ranges and is capable of high-speed operation. The presented AFM has a
modular design with laser spot size of 3.5 MUm suitable for small cantilever, an
optical view of the sample and probe, a conveniently large waterproof sample
stage and a 20 MHz data throughput for high resolution image acquisition at high
imaging speeds. This AFM is used to visualize etching of calcite in a solution of
sulfuric acid. Layer-by-layer dissolution and pit formation along the crystalline
lines in a low pH environment is observed in real time.
PMID- 26547506
TI - Integrative analysis of copy number and gene expression data suggests novel
pathogenetic mechanisms in primary myelofibrosis.
AB - Primary myelofibrosis (PMF) is a Myeloproliferative Neoplasm (MPN) characterized
by megakaryocyte hyperplasia, progressive bone marrow fibrosis, extramedullary
hematopoiesis and transformation to Acute Myeloid Leukemia (AML). A number of
phenotypic driver (JAK2, CALR, MPL) and additional subclonal mutations have been
described in PMF, pointing to a complex genomic landscape. To discover novel
genomic lesions that can contribute to disease phenotype and/or development, gene
expression and copy number signals were integrated and several genomic
abnormalities leading to a concordant alteration in gene expression levels were
identified. In particular, copy number gain in the polyamine oxidase (PAOX) gene
locus was accompanied by a coordinated transcriptional up-regulation in PMF
patients. PAOX inhibition resulted in rapid cell death of PMF progenitor cells,
while sparing normal cells, suggesting that PAOX inhibition could represent a
therapeutic strategy to selectively target PMF cells without affecting normal
hematopoietic cells' survival. Moreover, copy number loss in the chromatin
modifier HMGXB4 gene correlates with a concomitant transcriptional down
regulation in PMF patients. Interestingly, silencing of HMGXB4 induces
megakaryocyte differentiation, while inhibiting erythroid development, in human
hematopoietic stem/progenitor cells. These results highlight a previously un
reported, yet potentially interesting role of HMGXB4 in the hematopoietic system
and suggest that genomic and transcriptional imbalances of HMGXB4 could
contribute to the aberrant expansion of the megakaryocytic lineage that
characterizes PMF patients.
PMID- 26547508
TI - A Patchwork Paper: What Paediatricians Should Read.
AB - This paper honours a paediatrician whose career has been patchwork but
distinguished, with many facets. It is a light-hearted look at the printed
(infinitely preferable to the electronic) word. Although the obvious response is
"read everything I have written", this would only drive down the stores of
Prozac. Read the scientific literature of course. The oldie reads to stay one
jump ahead on the ward round. Meta-analyses and systematic reviews rely on the
judgement of others, so should be regarded with suspicion. Do your own research,
and do not rely on the thoughts of other people. Read beyond PubMed. "There is
more in Heaven and Earth, Horatio, than is dreamed of in your PubMed"
(Shakespeare). Read the giants of the past. Richard Asher has so much to teach.
What are the seven sins of medicine, and how many have you committed? Asher is
top read of the talk. Learn from the mistakes of the past. Your patient comes
first. Read how the medical profession covered up the death of Steve Biko and
never compromise with patient safety and your integrity. Finally, remember thou
art mortal - read a real book for pleasure. Kindle reading must be like making
love to an inflatable doll (not that I have done either). Choose what you relax
with; for me, PG Wodehouse, Jane Austen, Billy Bunter and Wisden. Who said and
why: 'Madam, under similar circumstances I would have kicked the Archangel
Gabriel!'.
PMID- 26547507
TI - Is ITP a thrombophilic disorder?
AB - Immune thrombocytopenia (ITP) represents the epitome of acquired bleeding
diseases for the hematologist. Stemming from the interest for the safety of
thrombopoietin-receptor agonists (TPO-ra) romiplostim and eltrombopag, recent
data have investigated if thrombotic risk is also increased in this disorder. In
patients not treated with TPO-ra, a slightly higher risk of venous thrombosis
(VTE) is consistently found in ITP, but not to a rate demanding special attention
in the generality of cases. No significant increase of arterial thrombosis (AT)
is apparent. However, age, splenectomy, and personal risk factors may put some
ITP patient to a particularly higher risk of venous and arterial thrombosis
(three to four times higher than the average subject). Patients exposed to TPO-ra
present indirect evidence of a much higher risk of both AT and VTE.
Unfortunately, no matched control population is available and the prospective and
registrative nature of these studies may have emphasized the incidence of
thrombosis, which was recorded as adverse event. The clinician should be able to
individualize the best treatment for the patient, taking also into account the
thrombotic risk, limiting active treatment of ITP to those patients really at
risk of bleeding.
PMID- 26547509
TI - Education, education, education.
AB - Patient outcomes can be influenced by one of three main mechanisms: the delivery
of optimal clinical care, the conduct of high quality research and through
teaching and mentorship of other health care professionals. Measurable
educational outcomes include the mentorship of others, the publication of
guidelines and the authorship of journal articles. There is good evidence to
support an optimal profile for medical educators and evidence that optimal
teaching can improve outcomes for children with asthma. The evidence for the
value of clinical guidelines and even the publication of research is limited.
PMID- 26547510
TI - Origins of multisynaptic projections from the basal ganglia to the forelimb
region of the ventral premotor cortex in macaque monkeys.
AB - The ventral premotor cortex (PMv), occupying the ventral aspect of area 6 in the
frontal lobe, has been implicated in action planning and execution based on
visual signals. Although the PMv has been characterized by cortico-cortical
connections with specific subregions of the parietal and prefrontal cortical
areas, a topographical input/output organization between the PMv and the basal
ganglia (BG) still remains elusive. In the present study, retrograde
transneuronal labelling with the rabies virus was employed to identify the
origins of multisynaptic projections from the BG to the PMv. The virus was
injected into the forelimb region of the PMv, identified in the ventral aspect of
the genu of the arcuate sulcus, in macaque monkeys. The survival time after the
virus injection was set to allow either the second- or third-order neuron
labelling across two or three synapses. The second-order neurons were observed in
the ventral portion (primary motor territory) and the caudodorsal portion (higher
order motor territory) of the internal segment of the globus pallidus.
Subsequently, the third-order neurons were distributed in the putamen caudal to
the anterior commissure, including both the primary and the higher-order motor
territories, and in the ventral striatum (limbic territory). In addition, they
were found in the dorsolateral portion (motor territory) and ventromedial portion
(limbic territory) of the subthalamic nucleus, and in the external segment of the
globus pallidus including both the limbic and motor territories. These findings
indicate that the PMv receives diverse signals from the primary motor, higher
order motor and limbic territories of the BG.
PMID- 26547511
TI - Pain Self-Efficacy and Fear of Movement are Similarly Associated with Pain
Intensity and Disability in Italian Patients with Chronic Low Back Pain.
AB - The purpose of this study was to investigate cross-sectional associations of pain
self-efficacy and fear of movement with pain intensity and disability in Italian
patients with chronic low back pain (CLBP). One hundred and three adult
outpatients with nonspecific CLBP were included in the study. Socio-demographic
and clinical characteristics were assessed, together with Italian versions of
self-reported questionnaires to measure the four constructs of interest. Multiple
linear regression models were built with psychosocial constructs as main
determinants, and pain intensity and disability as outcomes. Potential
confounding of socio-demographic and clinical characteristics was assessed. Pain
self-efficacy and fear of movement displayed moderate correlations with pain
intensity (r = -0.41 and 0.42, respectively) and disability (-0.55 and 0.54).
Association models adjusted for pain intensity showed that both pain self
efficacy (beta = -0.35, 95% CI = -0.5; -0.2, R2 = 41%) and fear of movement
(beta = 0.65, 95% CI = 0.36; 0.93, R2 = 40%) are significantly and strongly
associated with disability. Pain self-efficacy was no longer significantly
associated with pain intensity when disability was added as a confounder to the
model, whereas fear of movement retained its significant association (beta =
0.06, 95% CI = 0.00;0.11, R2 = 30%). No other variables acted as confounders in
these associations. Pain self-efficacy and fear of movement are very similarly
associated with main outcomes in this sample of Italian patients with CLBP. The
results of this study suggest that both psychosocial constructs should be
considered in clinical management. Future studies should investigate whether
these findings can be replicated in other samples, in longitudinal designs and if
other variables not measured in this study confound the associations.
PMID- 26547513
TI - Apically extruded debris during root canal preparation using Vortex Blue, K3XF,
ProTaper Next and Reciproc instruments.
AB - AIM: To assess the amount of debris extruded apically during root canal
preparation using various nickel-titanium instrumentation systems. METHODOLOGY:
Sixty extracted single-rooted mandibular premolar human teeth were randomly
assigned to four groups (n = 15 teeth for each group). The canals were then
instrumented with the following instrument systems: Vortex Blue (VB; Dentsply
Tulsa Dental, Tulsa, OK, USA), K3XF (SybronEndo, Orange, CA, USA), Reciproc (VDW,
Munich, Germany) and ProTaper Next (PTN; Dentsply Maillefer, Ballaigues,
Switzerland). Apically extruded debris during instrumentation was collected into
pre-weighed Eppendorf tubes. The Eppendorf tubes were then stored in an incubator
at 70 degrees C for 5 days. The weight of the dry extruded debris was
established by subtracting the pre-instrumentation and post-instrumentation
weight of the Eppendorf tubes for each group. The data were analysed using one
way analysis of variance (anova) and Tukey's post hoc tests. RESULTS: Vortex Blue
and PTN files were associated with significantly less apically extruded debris
than the K3XF and Reciproc files (P < 0.05), but there was no significant
difference between the VB and PTN files or between the K3XF and Reciproc files (P
> 0.05). CONCLUSIONS: All instruments were associated with apical extrusion of
debris. VB and PTN files were associated with less debris extrusion compared to
the other systems.
PMID- 26547512
TI - Differences in sleep disturbances among offspring of parents with and without
bipolar disorder: association with conversion to bipolar disorder.
AB - OBJECTIVES: Disruptions in sleep and dysregulation in circadian functioning may
represent core abnormalities in the pathophysiology of bipolar disorder (BP).
However, it is not clear whether these dysfunctions are state or trait markers of
BP. This report compared sleep and circadian phenotypes among three groups:
offspring of parents with BP diagnosed with BP at intake (BP/OB; n = 47),
offspring of parents with BP without BP at intake (non-BP/OB; n = 386), and
offspring of matched control parents who did not have BP (controls; n = 301). We
also examined the association of baseline sleep parameters with subsequent
development of BP among the non-BP/OB group. METHODS: Pittsburgh Bipolar
Offspring Study youth (ages 6-18 years) and their parents completed assessments
every two years pertaining to the child's sleep and circadian phenotypes and
current psychopathology. Mixed-effects models examined differences in baseline
sleep and circadian variables among the three groups. RESULTS: BP/OB offspring
who were in a mood episode differed significantly on sleep parameters from the
non-BP/OB and the offspring of controls, such as having inadequate sleep. Mixed
logistic regression procedures showed that baseline sleep and circadian
variables, such as frequent waking during the night, significantly predicted the
development of BP among non-BP/OB over longitudinal follow-up. CONCLUSIONS: While
lifetime diagnostic status accounted for differences among the groups in sleep
and circadian disturbances, psychopathology explained the differences even
further. Additionally, sleep disturbance may be a prognostic indicator of the
development of BP in high-risk youth. Future studies are required to further
disentangle whether sleep and circadian disruption are state or trait features of
BP.
PMID- 26547514
TI - Ankaferd blood stopper enhances healing after osseous grafting in patients with
intrabony periodontal defects.
AB - BACKGROUND AND OBJECTIVE: The aim of this clinical study were to compare the
clinical efficacy of ankaferd blood stopper (ABS) when used in combination with
autogenous cortical bone graft (ACB) in the treatment of intrabony periodontal
defects. MATERIAL AND METHODS: The study was planned as a split-mouth design.
Fifteen patients with chronic periodontitis at 30 sites (six men, nine women; 42
+/- 7 years) were included. Treatment sites had probing pocket depths (PPD) of >=
6 mm and osseous defect depths of >= 4 mm as radiographically assessed. Following
the initial periodontal therapy, patients were randomly assigned to two
treatments in contralateral areas of the dentition: ACB + ABS or ACB alone. At
baseline and 6 mo after surgery, clinical parameters of plaque index, gingival
index, PPD, clinical attachment level and gingival recession (GR) were recorded.
The primary outcome variable was the change in clinical attachment level between
baseline and 24 wk after surgery. Gingival crevicular fluid samples were
collected immediately before surgery and at 2, 4, 6, 12 and 24 wk after the
surgery. Gingival crevicular fluid volume was calculated and vascular endothelial
growth factor levels in gingival crevicular fluid were measured. RESULTS: PPD
decreased, clinical attachment level improved and gingival index decreased
significantly in response to both modes of treatment (p < 0.05). Both treatment
modalities resulted in a significant gain in radiographic bone levels compared to
baseline (p < 0.05). Intergroup comparisons showed that there was a significantly
higher gain in clinical attachment level in the ABS/ACB group compared to ACB
group (p < 0.05) with significantly less GR (p < 0.05). Similarly, vascular
endothelial growth factor concentration in gingival crevicular fluid was
significantly higher in the ABS/ACB group at postoperative weeks 2 and 4 compared
to the ACB group (p < 0.01). CONCLUSIONS: The findings suggest that ABS enhances
the soft tissue healing during the periodontal defect fill by the ACB by
stimulating angiogenesis and vascular endothelial cell function, prevents GR and
thereby increases the clinical attachment gain.
PMID- 26547515
TI - Influence of the larval phase on connectivity: strong differences in the genetic
structure of brooders and broadcasters in the Ophioderma longicauda species
complex.
AB - Closely related species with divergent life history traits are excellent models
to infer the role of such traits in genetic diversity and connectivity.
Ophioderma longicauda is a brittle star species complex composed of different
genetic clusters, including brooders and broadcasters. These species diverged
very recently and some of them are sympatric and ecologically syntopic, making
them particularly suitable to study the consequences of their trait differences.
At the scale of the geographic distribution of the broadcasters (Mediterranean
Sea and northeastern Atlantic), we sequenced the mitochondrial marker COI and
genotyped an intron (i51) for 788 individuals. In addition, we sequenced 10
nuclear loci newly developed from transcriptome sequences, for six sympatric
populations of brooders and broadcasters from Greece. At the large scale, we
found a high genetic structure within the brooders (COI: 0.07 < F(ST) < 0.65) and
no polymorphism at the nuclear locus i51. In contrast, the broadcasters displayed
lower genetic structure (0 < F(ST) < 0.14) and were polymorphic at locus i51. At
the regional scale, the multilocus analysis confirmed the contrasting genetic
structure between species, with no structure in the broadcasters (global F(ST) <
0.001) and strong structure in the brooders (global F(ST) = 0.49), and revealed a
higher genetic diversity in broadcasters. Our study showed that the
lecithotrophic larval stage allows on average a 50-fold increase in migration
rates, a 280-fold increase in effective size and a threefold to fourfold increase
in genetic diversity. Our work, investigating complementary genetic markers on
sympatric and syntopic taxa, highlights the strong impact of the larval phase on
connectivity and genetic diversity.
PMID- 26547516
TI - Immunomodulation of Lactobacillus reuteri CRL1324 on Group B Streptococcus
Vaginal Colonization in a Murine Experimental Model.
AB - PROBLEM: Maternal Group B Streptococcus (GBS) colonization is a risk factor for
infectious disease in newborns. One promising strategy is the modulation of
vaginal defense to increase the host's ability to combat infection. METHOD OF
STUDY: The effect of intravaginal (i.va.) Lactobacillus reuteri CRL1324
inoculation on different immune cell populations, cytokines, and immunoglobulin
isotypes in a murine model of GBS vaginal colonization was evaluated. RESULTS:
Seven i.va. inoculations of L. reuteri CRL1324 previous to GBS challenge showed
an immunomodulatory effect on the cells and mediators of innate immunity,
decreasing the number of neutrophils induced by the pathogen and increasing the
activated macrophage population. Moreover, increases in B lymphocytes and IgA and
IgG subclasses were observed in mice inoculated with L. reuteri CRL1324 and then
challenged with GBS. CONCLUSION: Lactobacillus reuteri CRL1324 shows a protective
effect against GBS colonization that could be mediated by the modulation of the
immune response.
PMID- 26547517
TI - A menu with prices: Annual per person costs of programs addressing community
integration.
AB - Information on costs of programs addressing community integration for persons
with serious mental illness in the United States, essential for program planning
and evaluation, is largely lacking. To address this knowledge gap, community
integration programs identified through directories and snowball sampling were
sent an online survey addressing program costs and organizational attributes. 64
Responses were received for which annual per person costs (APPC) could be
computed. Programs were categorized by type of services provided. Program types
differed in median APPCs, though median APPCs identified were consistent with the
ranges identified in the limited literature available. Multiple regression was
used to identify organizational variables underlying APPCs such as psychosocial
rehabilitation program type, provision of EBPs, number of volunteers, and
percentage of budget spent on direct care staff, though effects sizes were
moderate at best. This study adds tentative prices to the menu of community
integration programs, and the implications of these findings for choosing,
designing and evaluating programs addressing community integration are discussed.
PMID- 26547518
TI - Impact of multicomponent, nonpharmacologic interventions on perioperative
cortisol and melatonin levels and postoperative delirium in elderly oral cancer
patients.
AB - OBJECTIVE: To investigate the impact of multicomponent, nonpharmacologic
interventions (MNI) on perioperative cortisol and melatonin levels, as well as
postoperative delirium (PD), in elderly oral cancer patients. METHODS: A total of
160 elderly oral cancer patients who underwent tumor resection surgery and
completed our investigation were included in this study. The cancer patients were
randomly divided into 2 groups: Group U or Group I. During the perioperative
period, Group U received usual care, while Group I received MNI, which is based
on usual care and aims to decrease the risk of PD. MNI focused on general
geriatric approaches and supportive nursing care. On the day before surgery and
the first three postoperative days, nocturnal (20:00-8:00) urine samples were
collected. The melatonin sulfate and cortisol levels in the urine samples were
determined. Moreover, the RASS (Richmond Agitation Sedation Scale), CAM-ICU
(Confusion Assessment Method for the Intensive Care Unit) and QoR40 (40-item
quality of recovery score) scores were dynamically monitored. RESULTS: There were
no significant differences in the general characteristics between the 2 groups.
After surgery, the melatonin sulfate levels in the nocturnal urine of Group I
were higher than those in Group U. The cortisol concentrations were lower in
Group I compared to those in Group U. Group I achieved better postoperative RASS
and QoR40 scores than Group U. Compared to Group U, Group I also experienced less
PD (incidence and duration). CONCLUSIONS: MNI ameliorated some postoperative
disturbances regarding sleep and stress, decreased the incidence of PD and
improved recovery quality.
PMID- 26547519
TI - Flexural strength of small connector designs of zirconia-based partial fixed
dental prostheses.
AB - STATEMENT OF PROBLEM: Partial fixed dental prostheses with a small connector size
are required for optimal esthetics and limited interarch space; however, final
strength is endangered. PURPOSE: The purpose of this in vitro study was to
evaluate the effect of different connector designs on the flexural strength of
simulated 3-unit partial fixed dental prostheses made of yttria-stabilized
tetragonal zirconia polycrystalline using computer-aided design and computer
aided manufacturing technology. MATERIAL AND METHODS: To simulate a 3-unit
partial fixed dental prosthesis, 20 rectangular bar-shaped specimens were
fabricated with dimensions of 4 +/- 0.05 mm (H) * 4 +/- 0.05 mm (W) * 30 0.5 mm
(L). Each bar specimen had 2 constricted parts on both sides, representing the
connector and defining a central pontic of 10 +/- 0.10 mm in length. The
specimens were divided into 4 groups according to the connector diameter and
design, as follows: SR: 2 mm (H) * 3 mm (W) round 0.6 mm radius of curvature; SS:
2 mm (H) * 3 mm (W) sharp 0.1 mm radius of curvature; CR: 3 mm (H) * 3 mm (W)
round 0.6 mm radius of curvature; and CS: 3 mm (H) * 3 mm (W) sharp 0.1 mm radius
of curvature. An additional 5 specimens were fabricated with no constriction and
served as the control group. The specimens were subjected to a 3-point flexural
strength test in a universal testing machine with a crosshead speed of 0.5 mm/min
until failure. Scanning electron microscopic and photomicrograph images were used
to examine the fracture surfaces. Two-way ANOVA and the Tukey-Kramer post hoc
test were used to analyze the data (alpha = .05). RESULTS: The mean flexural
strength for SR 2 mm (H) * 3 mm (W) round 0.6 mm radius of curvature (583.6 +/-
49.7 MPa) was significantly higher than that of SS, which was 2 mm (H)* 3 mm (W)
sharp 0.1 mm radius of curvature (502.8 +/- 23.3 MPa). Similarly, the mean
flexural strength for CR was 3 mm (H) * 3 mm (W) round 0.6 mm radius of curvature
(682.9 +/- 36.8), which was significantly higher than that of CC, 3 mm (H) * 3 mm
(W) sharp 0.1 mm radius of curvature (486.7 +/- 35.6 MPa). CONCLUSIONS: The
flexural strength of the yttria-stabilized tetragonal zirconia polycrystalline
based ceramics was affected by the connector dimension and design. The 2-round
connector design was more able to withstand occlusal forces than the sharp
design. The 3-connector design with a minimum cross section of 2 * 3 mm is
recommended for anterior fixed dental prostheses, provided it has a round
curvature.
PMID- 26547520
TI - Dental health professional recommendation and consumer habits in denture
cleansing.
AB - STATEMENT OF PROBLEM: Regular cleaning of dentures is essential to the oral and
general health of denture wearers. Only limited systematic data are available on
the recommendations that dental health care professionals (DHCPs) make to
patients for denture cleaning. Data on denture wearers' cleaning regimens are
also lacking. PURPOSE: The purpose of this study was to provide data on
recommendations that DHCPs make to patients for denture cleaning and on the
cleaning regimens of denture wearers. MATERIAL AND METHODS: DHCPs (n=613),
including dentists and hygienists, were surveyed in developed (Japan, USA, Italy)
and developing (Brazil, India) countries. A questionnaire assessing a range of
denture cleaning recommendations was used. The questions addressed products,
frequency, how to use remedies, the suggested dilution and duration of cleansing
treatment, the location of dentures while cleaning, and the reasoning behind the
recommendation of particular products or modes of treatment. Denture cleansing
methods and the routine of denture wearers in developed and developing countries
were also surveyed with a questionnaire (n=2862) and a 1-week diary (n=1462).
RESULTS: An average of more than 2 treatments was recommended by DHCPs.
Specialist denture cleanser tablets, "regular" toothpaste, mouthwash, soap and
water, denture paste, foam or liquid denture cleanser, and dishwashing detergents
were most commonly recommended; other product recommendations included baking
soda, vinegar, salt water, and bleach. More than 10% of DHCPs made no primary
recommendation on cleaning. Denture tablets were more commonly recommended in
developed countries, whereas toothpaste was the most common recommendation in
developing countries. Denture wearers used products and methods similar to those
recommended by DHCPs. Toothpaste, water, and mouthwash were used more frequently
than denture tablets. More than 75% of denture wearers reported using denture
cleanser tablets for more than 5 minutes, whereas soap and toothpaste were
typically used for less than 2 minutes. CONCLUSIONS: DHCP recommendations and
denture wearer habits are diverse, with no consensus on the most appropriate
denture cleaning methods. This reflects a lack of clear, systematic evidence upon
which to base recommendations.
PMID- 26547521
TI - Predictive factors for relapse of epileptic spasms after adrenocorticotropic
hormone therapy in West syndrome.
AB - PURPOSE: To investigate whether serial electroencephalographic (EEG) findings can
predict relapse of epileptic spasms after synthetic adrenocorticotropic hormone
(ACTH) therapy in patients with West syndrome (WS). SUBJECTS AND METHODS: Thirty
nine WS patients (8 cryptogenic and 31 symptomatic) were included in this study.
These patients received ACTH therapy for the first time and were regularly
followed up for more than three years at our hospital. Sixteen patients (41.0%)
showed seizure relapse (relapse group) and 23 patients (59.0%) did not show
relapse (non-relapse group). We used survival analysis to investigate the
influence of etiology and presence of epileptic discharges after the ACTH therapy
on seizure outcome. RESULTS: Immediately after the ACTH therapy, etiology was
associated with seizure outcome (p=0.003). In the early stage (1 month after the
ACTH therapy), only the presence of epileptic discharges (p=0.001) had a
significant association with seizure outcome, regardless of etiology. Because all
relapsed patients were in the symptomatic group, we performed the same
statistical analysis on symptomatic WS patient data only. We found that the group
with no epileptic discharges on EEG showed a significantly higher seizure-free
rate than those with epileptic discharges in the early stage (p=0.0091).
CONCLUSION: This study demonstrated that serial EEG findings after ACTH therapy
are significantly related to relapse of epileptic spasms.
PMID- 26547522
TI - The transverse force experienced by the radial head during axial loading of the
forearm: A cadaveric study.
AB - BACKGROUND: When designing a radial head replacement, the magnitude and direction
of forces applied across the proximal radio-ulnar joint (PRUJ) and the
radiocapitellar joint must be included. These designs often focus on axial loads
transmitted to the radial head by the capitellum; however, the radial head also
bears a significant transverse force at the PRUJ. Load transmission by the
central band of the interosseous ligament induces a force component in a lateral
direction perpendicular to the axis of the limb, which is borne by the articular
surfaces of the proximal and distal radio-ulnar joints. The objective of this
study is to establish the relationship between distally applied axial forces and
proximal transverse reaction forces. METHODS: Five cadaveric, human forearms with
intact interosseous membranes were used to measure the magnitude of transversely
directed forces experienced by the radial head during axial loading of the
forearm at the lunate fossa. A Mark-10 test stand applied a gradual and
continuous axial load on the articular surface of the distal radius. A Mark-10
force gauge measured the resultant transverse force experienced by the radial
head in the proximal radioulnar joint. Classical mechanics and static force
analysis were applied in order to predict lateral force values that would occur
when the interosseous ligament is treated as the major load transmitter between
the radius and ulna. FINDINGS: Acquired data show that the radial head bears a
force in the transverse direction that averages 18% (SD 3.89%) in magnitude of
the axial force applied at the wrist. This figure is in close accordance with the
predicted value of 22% that was calculated by way of free-body plotting.
INTERPRETATION: Physiologic forearm loading results in a clinically significant
transverse force component transmitted through the interosseous ligament complex.
The existence of transverse forces in the human forearm may explain clinical
problems seen after radial head resection and suggest that radial head implants
be designed to sustain substantial transverse forces. LEVEL OF EVIDENCE: Basic
science study, anatomical.
PMID- 26547523
TI - Pediatric decision support using adapted Arden Syntax.
AB - BACKGROUND: Pediatric guidelines based care is often overlooked because of the
constraints of a typical office visit and the sheer number of guidelines that may
exist for a patient's visit. In response to this problem, in 2004 we developed a
pediatric computer based clinical decision support system using Arden Syntax
medical logic modules (MLM). METHODS: The Child Health Improvement through
Computer Automation system (CHICA) screens patient families in the waiting room
and alerts the physician in the exam room. Here we describe adaptation of Arden
Syntax to support production and consumption of patient specific tailored
documents for every clinical encounter in CHICA and describe the experiments that
demonstrate the effectiveness of this system. RESULTS: As of this writing CHICA
has served over 44,000 patients at 7 pediatric clinics in our healthcare system
in the last decade and its MLMs have been fired 6182,700 times in "produce" and
5334,021 times in "consume" mode. It has run continuously for over 10 years and
has been used by 755 physicians, residents, fellows, nurse practitioners, nurses
and clinical staff. There are 429 MLMs implemented in CHICA, using the Arden
Syntax standard. Studies of CHICA's effectiveness include several published
randomized controlled trials. CONCLUSIONS: Our results show that the Arden Syntax
standard provided us with an effective way to represent pediatric guidelines for
use in routine care. We only required minor modifications to the standard to
support our clinical workflow. Additionally, Arden Syntax implementation in CHICA
facilitated the study of many pediatric guidelines in real clinical environments.
PMID- 26547524
TI - [Characteristics and management of ST-elevation myocardial infarction in France:
Regional variations in 2010].
AB - Data on regional variations in the characteristics, management and early outcome
of patients admitted with ST-elevation myocardial infarction (STEMI) in France
are limited. We used data from the FAST-MI 2010 registry to determine whether
regional specificities existed, dividing the French territory into 6 larger
geographical regions. Variations in the patients' characteristics were found,
partly related to regional variations in demography. Acute reperfusion strategy
showed more use of primary percutaneous coronary intervention in the greater
Paris area, compared to other regions, which would be expected owing to geography
and local availability of catheterization laboratories. Overall, however, in
hospital management showed more similarities than differences across regions.
Complications, and in particular in-hospital mortality, did not differ
significantly among regions.
PMID- 26547525
TI - [Management of patients treated for acute ST-elevation myocardial infarction in
Tunisia: Preliminary results of FAST-MI Tunisia Registry from Tunisian Society of
Cardiology and Cardiovascular Surgery].
AB - FAST-MI Tunisian registry was initiated by the Tunisian Society of Cardiology and
Cardio-vascular Surgery to assess characteristics, management, and hospital
outcomes in patients with ST-elevation myocardial infarction (STEMI). METHODS: We
prospectively collected data from 203 consecutive patients (mean age 60.3 years,
79.8 % male) with STEMI who were treated in 15 public hospitals (representing
68.2 % of Tunisian public centres treating STEMI patients) during a 3-month
period at the end of 2014. The most common risk factor was tobacco (64.9 %),
hypertension (38.6 %), diabetes (36.9 %) and dyslipidemia (24.6 %). RESULTS:
Among these patients, 66 % received reperfusion therapy, 35 % with primary
percutaneous coronary interventions (PAMI), 31 % with thrombolysis (28.6 % of
them by pre-hospital thrombolysis). The median time from symptom onset to
thrombolysis was 185 and 358 min for PAMI, respectively. The in-hospital
mortality was 7.0 %. Patients enrolled in interventional centers (n=156) were
more likely to receive any reperfusion therapy (19.8 % vs 44.6 %; p<0.001) than
at the regional system of care with less thrombolysis (26.9 % vs 44.6 %; p=0.008)
and more PAMI (52.8 % vs 8.5 %; p<0.0001). Also the in-hospital mortality was
lower (6.4 % vs 9.3 %) but not significant. CONCLUSIONS: Preliminary results from
FAST-MI in Tunisia show that the pharmaco- invasive strategy should be promoted
in non-interventional centers.
PMID- 26547526
TI - Complications after surgery for metastatic humeral lesions.
AB - BACKGROUND: Knowledge of surgical outcome and its predictors helps inform
patients and aids in surgical decision-making. We aimed to assess the outcome
reoperation and systemic complication rate-of surgery for humeral metastases,
myeloma, or lymphoma. Our null hypothesis was that there are no factors
associated with these outcomes. METHODS: We included 295 consecutive patients in
this retrospective study: 134 (45%) proximal, 131 (44%) diaphyseal, and 30 (10%)
distal impending or pathologic fractures. Proximal lesions were treated by
intramedullary nailing (43%, n = 57), prosthesis (34%, n = 46), plate-screw
fixation (22%, n = 30), and a combination (n = 1). Diaphyseal lesions were
treated by intramedullary nailing (69%, n = 91), plate-screw fixation (30%, n =
39), and a combination (n = 1). Distal lesions were treated by plate-screw
fixation (97%, n = 29) and intramedullary nailing (3.3%, n = 1). RESULTS: We
found 25 (8.5%) reoperations, and 17 (5.8%) patients had 18 systemic
complications: pneumonia (3.7%, n = 11), pulmonary embolism (1.3%, n = 4), sepsis
(0.68%, n = 2), and fat embolism (0.34%, n = 1). No factors were independently
associated with reoperation. Logistic regression analysis demonstrated that
favorable cancer status (i.e., a higher modified Bauer score: odds ratio, 0.48;
95% confidence interval, 0.29-0.80; P = .005) was independently associated with a
decreased systemic complication rate. CONCLUSION: Poor cancer status was an
independent predictor of postoperative systemic complications. This could help
inform the patient and anticipate postoperative problems.
PMID- 26547527
TI - Editorial overview: Biophysical and molecular biological methods: Structure,
dynamics, and single molecules.
PMID- 26547528
TI - Extracts from peppermint leaves, lemon balm leaves and in particular angelica
roots mimic the pro-secretory action of the herbal preparation STW 5 in the human
intestine.
AB - AIM: The herbal preparation STW 5 contains fresh plant extracts from bitter
candytuft whole plant, extracts from greater celandine herb, angelica root, lemon
balm leaves, peppermint leaves, caraway fruit, liquorice root, chamomile flower
and milk thistle fruit. We recently reported that STW 5 increased intestinal
chloride secretion and proposed that this action may be involved in its clinical
efficacy in the treatment of irritable bowel syndrome. The aim of this study was
to identify the extracts responsible for the secretory action in order to provide
the basis to develop novel target oriented herbal combinations. METHODS: We used
the Ussing chamber voltage clamp technique to study the effects of individual
extracts of STW 5 on short circuit current (Isc, reflecting electrogenic ion
transport across epithelial cells) in mucosal/submucosal preparations of human
small or large intestinal specimens and the human epithelial cell line T84.
RESULTS: STW 5 at concentrations of 512 ug/ml and 5120 ug/ml evoked an increase
in Isc. The increase at the lower concentration was due to pro-secretory effects
of angelica which were nerve mediated. The increase at the higher concentration
was additionally mimicked by peppermint and lemon balm. The remaining extracts
did not influence ISC in the large intestine. The results were similar in T84
cells except that angelica had no effect while chamomile induced secretion. These
pro-secretory effects were reduced by adenylate cyclase inhibitor MDL-12330A,
cystic fibrosis transmembrane conductance regulator (CFTR) inhibitor CFTRinh-172
and calcium activated chloride channels blocker 4-acetamido-4
isothiocyanatostilbene-2,2-disulphonic acid (SITS). Liquorice decreased ISC only
in small intestine which was reversed by the epithelial sodium channel blocker
amiloride. CONCLUSIONS: Results suggested that the pro-secretory action of STW 5
is mainly due to angelica with lesser contribution of peppermint and lemon balm.
Their effects involve activation of cAMP- and Ca(++)-activated Cl(-) channels. We
suggest that peppermint, lemon balm and in particular angelica may be the basis
to develop novel herbal preparations to specifically treat secretory disorder
based on impaired epithelial secretion, such as constipation.
PMID- 26547529
TI - Ethanolic Ginkgo biloba leaf extract prevents renal fibrosis through Akt/mTOR
signaling in diabetic nephropathy.
AB - BACKGROUND: Recently, extract of Ginkgo biloba leaves (GbE) have become widely
known phytomedicines and have shown various pharmacological activities, including
improvement of blood circulation, protection of oxidative cell damage, prevention
of Alzheimer's disease, treatment of cardiovascular disease and diabetes
complications. This study was designed to investigate the effects of an ethanolic
GbE on renal fibrosis in diabetic nephropathy (DN) and to clarify the possible
mechanism by which GbE prevents renal fibrosis. STUDY DESIGN: We investigated the
protective effects of GbE on renal fibrosis in STZ-induced diabetic rats. Rats
were randomized into six groups termed normal control, diabetes mellitus, low
dose of GbE (50 mg/kg/d), intermediate dose of GbE (100 mg/kg/d), high dose of
GbE (200 mg/kg/d) and rapamycin (1 mg/kg/d). METHODS: After 12 weeks, the rats
were sacrificed and then fasting blood glucose (FBG), creatinine (Cr), blood urea
nitrogen (BUN), urine protein, relative kidney weight, glycogen and collagen
accumulation, and collagen IV and laminin expression were measured by different
methods. The amounts of E-cadherin, alpha-SMA and snail, as well as the
phosphorylation of Akt, mTOR and p70S6K in the renal cortex of rats, were
examined by western blotting. RESULTS: Compared with diabetic rats, the levels of
Cr, BUN, urine protein, relative kidney weight, accumulation of glycogen and
collagen, and expression of collagen IV and laminin in the renal cortex were all
decreased in GbE treated rats. In addition, GbE reduced the expression of E
cadherin, alpha-SMA, snail and the phosphorylation of Akt, mTOR and p70S6K in
diabetic renal cortex. CONCLUSION: GbE can prevent renal fibrosis in rats with
diabetic nephropathy, which is most likely to be associated with its abilities to
inhibit the Akt/mTOR signaling pathway.
PMID- 26547530
TI - Dihydrotanshinone I induced apoptosis and autophagy through caspase dependent
pathway in colon cancer.
AB - BACKGROUND: Dihydrotanshinone I (DHTS) was previously reported to exhibit the
most potent anti-cancer activity among several tanshinones in colon cancer cells.
Its cytotoxic action was reactive oxygen species (ROS) dependent but p53
independent. PURPOSE: To further study the anti-cancer activity of DHTS and its
molecular mechanisms of action in colon cancer both in vitro and in vivo.
METHODS: Caspase activity was detected by fluorescence assay. Apoptosis was
detected by flow cytometry and TUNEL assay. Protein levels were analyzed by
western blotting. Knockdown of target gene was achieved by siRNA transfection.
Formation of LC3B puncta and activation of caspase-3 were detected by confocal
fluorescence microscope. In vivo anti-colon cancer activity of DHTS was observed
in xenograft tumors in NOD/SCID mice. RESULTS: Anti-colon cancer activity of DHTS
by inducing apoptosis and autophagy was observed both in vitro and in vivo.
Mitochondria mediated caspase dependent pathway was essential in DHTS-induced
cytotoxicity. The apoptosis induced by DHTS was suppressed by knockdown of
apoptosis inducing factor (AIF), inhibition of caspase-3/9 but was increased
after knockdown of caspase-2. Meantime, knockdown of caspase-2, pretreatment with
Z-VAD-fmk or NAC (N-Acety-L-Cysteine) efficiently inhibited the autophagy induced
by DHTS. A crosstalk between cytochrome c and AIF was also reported. CONCLUSION:
DHTS-induced caspase and ROS dependent apoptosis and autophagy were mediated by
mitochondria in colon cancer. DHTS could be a promising leading compound for the
development of anti-tumor agent or be developed as an adjuvant drug for colon
cancer therapy.
PMID- 26547531
TI - Antitussive, expectorant, and bronchodilating effects of quinazoline alkaloids
(+/-)-vasicine, deoxyvasicine, and (+/-)-vasicinone from aerial parts of Peganum
harmala L.
AB - BACKGROUND: The aerial parts of Peganum harmala L. (APP) is a well-known and
effective herbal medicine in China, and has been commonly used for treating
various ailments, including cough and asthma. OBJECTIVES: To evaluate the
antitussive, expectorant, and bronchodilating effects of the quinazoline
alkaloids (+/-)-vasicine (VAS), deoxyvasicine (DVAS) (both isolated from the
alkaloid fraction of APP) and (+/-)-vasicinone (VAO) (synthesized from VAS).
METHODS: The three quinazoline alkaloids were tested as antitussive on cough
models in mice and guinea pigs. VAO was synthesized from VAS via the oxidation of
hydrogen peroxide. VAS, VAO, and DVAS were orally administered at dosages of 5,
15, and 45 mg/kg. Cough in these models was induced by ammonia, capsaicin, and
citric acid. Phenol red secretion experiments in mice were performed to evaluate
the expectorant activity of the alkaloids. Bronchodilating effects were evaluated
by using a bronchoconstrictive induced by acetylcholine chloride and histamine in
guinea pigs. RESULTS: In antitussive tests, VAS, VAO, and DVAS significantly
inhibited coughing frequency and prolonged the cough latency period in animals.
At the highest doses tested (45 mg/kg), they showed antitussive activities
similar to codeine phosphate (30 mg/kg) in mice and guinea pigs. Expectorant
evaluation showed that VAS, VAO, and DVAS could significantly increase phenol red
secretion in mice by 0.54-, 0.79- and 0.97-fold, by 0.60-, 0.99-, and 1.06-fold,
and by 0.46-, 0.73-, and 0.96-fold, respectively, at dosages of 5, 15, and 45
mg/kg compared with the control (0.5% CMC-Na, 20 ml/kg). Ammonium chloride at
1500 mg/kg increased phenol red secretion in mice by 0.97-fold compared with the
control. Bronchodilation tests showed that VAS, VAO, and DVAS prolonged the pre
convulsive time for 28.59%, 57.21%, and 29.66%, respectively, at a dose of 45
mg/kg in guinea pigs, whereas aminophylline prolonged the pre-convulsive time by
46.98% compared with pretreatment. CONCLUSIONS: Quinazoline alkaloids VAS, VAO,
and DVAS have significant antitussive, expectorant, and bronchodilating
activities. VAS, VAO, and DVAS are the active ingredients in APP, which can be
used to treat respiratory disease.
PMID- 26547532
TI - Cytotoxicity of three naturally occurring flavonoid derived compounds
(artocarpesin, cycloartocarpesin and isobavachalcone) towards multi-factorial
drug-resistant cancer cells.
AB - INTRODUCTION: Cancer remains an aggressive deadly disease, if drug resistance
develops. This problem is aggravated by the fact that multiple rather than single
mechanisms are involved in resistance and that multidrug resistance (MDR)
phenomena cause inefficacy of many clinical established anticancer drugs. We are
seeking for novel cytotoxic phytochemicals to combat drug-resistant tumour cells.
METHODS: In the present study, we investigated the cytotoxicity of three
naturally occurring flavonoids including two flavones artocarpesin (1) and
cycloartocarpesin (2) and one chalcone, isobavachalcone (3) against 9 drug
sensitive and MDR cancer cell lines. The resazurin reduction assay was used to
evaluate the cytotoxicity of these compounds, whilst caspase-Glo assay was used
to detect caspase activation. Cell cycle, mitochondrial membrane potential (MMP)
and levels of reactive oxygen species (ROS) were all analysed via flow cytometry.
RESULTS: Flavones 1 and 2 as well as chalcone 3 displayed cytotoxic effects at
various extent on all the 9 tested cancer cell lines with IC50 values
respectively below 106 uM, 50 uM and 25 uM. The IC50 values for the three
investigational flavonoids ranged from 23.95 uM (towards hepatocarcinoma HepG2
cells) to 105 uM [towards colon carcinoma HCT116 (p53(-/-)) cells] for 1, from
15.51 uM (towards leukemia CCRF-CEM cells) to 49.83 uM [towards glioblastoma
U87MG.DeltaEGFR cells] for 2 and from 2.30 uM (towards CCRF-CEM cells) to 23.80
uM [towards colon carcinoma HCT116 (p53(+/+)) cells] for 3 and from 0.20 uM
(towards CCRF-CEM cells) to 195.12 uM (towards leukemia CEM/ADR5000 cells) for
doxorubicin. Compounds 2 and 3 induced apoptosis in CCRF-CEM leukemia cells,
mediated by caspase activation and the disruption of MMP. CONCLUSIONS: The three
tested flavonoids and mostly chalcone 3 are potential cytotoxic natural products
that deserve more investigations to develop novel antineoplastic drugs against
multifactorial drug-resistant cancers.
PMID- 26547533
TI - Enhanced oral bioavailability and anticancer activity of novel curcumin loaded
mixed micelles in human lung cancer cells.
AB - BACKGROUND: Curcumin has a wide range of pharmacological activities including
antioxidant, anti-inflammatory, antidiabetic, antibacterial, wound healing,
antiatherosclerotic, hepatoprotective and anti-carcinogenic. However, its
clinical applications are limited owing to its poor aqueous solubility, multidrug
pump P-gp efflux, extensive in vivo metabolism and rapid elimination due to
glucuronidation/sulfation. PURPOSE: The objective of the current work was to
prepare novel curcumin loaded mixed micelles (CUR-MM) of Pluronic F-127 (PF127)
and Gelucire(r) 44/14 (GL44) in order to enhance its oral bioavailability and
cytotoxicity in human lung cancer cell line A549. STUDY DESIGN: 3(2) Factorial
design was used to assess the effect of formulation variables for optimization of
mixed micelle batch. METHODS: CUR-MM was prepared by a solvent evaporation
method. The optimized CUR-MM was evaluated for size, entrapment efficiency (EE),
in vitro curcumin release, cytotoxicity and oral bioavailability in rats.
RESULTS: The average size of CUR-MM was found to be around 188 +/- 3 nm with an
EE of about 76.45 +/- 1.18% w/w. In vitro dissolution profile of CUR-MM revealed
controlled release of curcumin. Additionally, CUR-MM showed significant
improvement in cytotoxic activity (3-folds) and oral bioavailability (around 55
folds) of curcumin as compared to curcumin alone. Such significant improvement in
cytotoxic activity and oral bioavailability of curcumin when formulated into
mixed micelles could be attributed to solubilization of hydrophobic curcumin into
micelle core along with P-gp inhibition effect of both, PF127 and GL44.
CONCLUSION: Thus the present work propose the formulation of mixed micelles of
PF127 and GL44 which can act as promising carrier systems for hydrophobic drugs
such as curcumin with significant improvement in their oral bioavailability.
PMID- 26547534
TI - Cytotoxic and anti-colorectal tumor effects of sulfated saponins from sea
cucumber Holothuria moebii.
AB - BACKGROUND: Whether sulfated saponins from Holothuria moebii inhibit the
proliferation of colorectal cancer cells and have anti-colorectal tumor effects
in animal model has not been investigated. PURPOSE: To evaluate the cytotoxic and
anti-colorectal tumor effects of sulfated saponins from sea cucumber Holothuria
moebii. METHOD: (1) Column chromatography was used to prepare the total and
individual saponins and HPLC was applied to define the components of the total
saponins; (2) the activity of the total and individual saponins inhibiting the
proliferation of human colorectal cancer cells was determined by SRB assay and
the apoptosis induced by the saponins was qualified using cytometric analysis
with Annexin V-FITC/PI double staining; and (3) the antitumor effects of the
sulfated saponins on colorectal CT-26 tumor-bearing Balb/c mice were tested.
RESULTS: The total and individual sulfated saponins significantly inhibited the
proliferation of four different human colorectal cancer cells with IC50 values
ranging from 1.04 to 4.08 MUM (or 1.46 to 3.24 MUg/ml for total saponins) and
induced late apoptosis at an early treatment time in cancer cells. The total
saponins (120 mg/kg) had antitumor activity in colorectal CT-26 tumor-bearing
Balb/c mice. CONCLUSION: The sulfated saponins from H. moebii remarkably
inhibited the proliferation of different human colorectal cancer cells and had
significant anti-colorectal tumor activity in animal model.
PMID- 26547535
TI - Citrus limonoid nomilin inhibits osteoclastogenesis in vitro by suppression of
NFATc1 and MAPK signaling pathways.
AB - BACKGROUND: Animal experiment studies have revealed a positive association
between intake of citrus fruits and bone health. Nomilin, a limonoid present in
citrus fruits, is reported to have many biological activities in mammalian
systems, but the mechanism of nomilin on bone metabolism regulation is currently
unclear. PURPOSE: To reveal the mechanism of nomilin on osteoclastic
differentiation of mouse primary bone marrow-derived macrophages (BMMs) and the
mouse RAW 264.7 macrophage cell line into osteoclasts. STUDY DESIGN: Controlled
laboratory study. Effects of nomilin on osteoclastic differentiation were studied
in in vitro cell cultures. METHODS: Cell viability of RAW 264.7 cells and BMMs
was measured with the Cell Counting Kit. TRAP-positive multinucleated cells were
counted as osteoclast cell numbers. The number and area of resorption pits were
measured as bone-resorbing activity. Osteoclast-specific genes expression was
evaluated by quantitative real-time PCR; and proteins expression was evaluated by
western blot. RESULTS: Nomilin significantly decreased TRAP-positive
multinucleated cell numbers compared with the control, and exhibited no
cytotoxicity. Nomilin decreased bone resorption activity. Nomilin downregulated
osteoclast-specific genes, NFATc1 and TRAP mRNA levels. Furthermore, nomilin
suppressed MAPK signaling pathways. CONCLUSION: This study demonstrates clearly
that nomilin has inhibitory effects on osteoclastic differentiation in vitro.
These findings indicate that nomilin-containing herbal preparations have
potential utility for the prevention of bone metabolic diseases.
PMID- 26547536
TI - Analgesic effect of total flavonoids from Sanguis draxonis on spared nerve injury
rat model of neuropathic pain.
AB - BACKGROUND: Sanguis draxonis (SD) is a kind of red resin obtained from the wood
of Dracaena cochinchinensis (Lour.) S. C. Chen (D. cochinchinensis). The active
components of total flavonoids from SD (SDF) have analgesic effect. AIM: The aim
of this study is to evaluate the analgesic effects and potential mechanism of SDF
on mechanical hypersensitivity induced by spared nerve injury (SNI) model of
neuropathic pain in the rat. METHODS: SNI model in rats was established and then
the rats were treated with SDF intragastric administration for 14 days. Paw
withdrawal mechanical threshold (PMWT) in response to mechanical stimulation was
measured by von Frey filaments on day 1 before operation and days 1, 3, 5, 7, 9,
11, 14 after operation, respectively. After 14 days, we measured the levels of
nitric oxide (NO), nitric oxide synthase (NOS), tumor necrosis factor-alpha (TNF
alpha), interleukin-1beta (IL-1beta) and interleukin-10 (IL-10) in the spinal
dorsal horn. In addition, the expression of fibroblast growth factor receptor 3
(FGFR3), phosphorylated cyclic AMP response element-binding protein (p-CREB) and
glial fibrillary acidic protein (GFAP) of the spinal dorsal horn was evaluated by
western blotting and an immunofluorescence histochemical method, respectively.
RESULTS: Intragastric administration of SDF (100, 200, 400 mg/kg) alleviated
significantly SNI-induced mechanical hypersensitivity, as PMWT increased in a
dose-dependent manner. Moreover, SDF not only reduced the level of NO, NOS, TNF
alpha and IL-1beta, but also upregulated the level of IL-10 in the spinal dorsal
horn of SNI rats. At the same time, SDF (100, 200, 400 mg/kg) could inhibit the
expression of FGFR3, GFAP and p-CREB in the spinal dorsal horn. CONCLUSION: SDF
has potentially reduced mechanical hypersensitivity induced by SNI model of
neuropathic pain which may be attributed to inhibition of astrocytic function
(like release pro-inflammatory cytokines) and NO release as well as p-CREB
activation in the spinal dorsal horn.
PMID- 26547537
TI - Antileishmanial activity and trypanothione reductase effects of terpenes from the
Amazonian species Croton cajucara Benth (Euphorbiaceae).
AB - BACKGROUND: Leishmaniasis comprises several infectious diseases caused by
protozoa parasites of Leishmania genus. In recent years, there has been a growing
interest in the therapeutic use of natural products to treat parasitic diseases.
Among them Croton cajucara Benth. (Euphorbiaceae) is a plant found in the
Amazonian region with a history of safe use in folk medicine. PURPOSE: The
purpose of this study was to investigate the effects of clerodane diterpenes,
trans-dehydrocrotonin (DCTN), trans-crotonin (CTN) and acetylaleuritolic acid
(AAA) obtained from powdered bark of C. cajucara against promastigotes, axenic
and intracellular amastigotes of Leishmania amazonensis. Furthermore, the effects
of DCTN and CTN on the trypanotiona reductase enzyme were also investigated. The
extraction of the terpenes was carried out as previously reported (Maciel et al.,
1998; 2003). METHODS: The effect of the isolated compounds (DCTN, CTN and AAA)
from the bark of C. cajucara was assessed in vitro against promastigotes, axenic
amastigotes and intracellular amastigotes of L. amazonensis by counting of
remaining parasites in a Neubauer chamber in comparison to pentamidine used as
standard drug. The action of natural products on trypanothione reductase was
assessed using soluble protein fraction of promastigotes. The assays were
performed by incubation with HEPES, EDTA, NADPH and trypanothione disulfide to
quantify the NAPH consumption by TryR. RESULTS: The results showed very high
efficacy, especially of the diterpene DCTN, against promastigotes (IC50 = 6.30 +/
0.06 ug/ml) and axenic amastigotes (IC50 = 19.98 +/- 0.05 ug/ml) of L.
amazonenesis. The cytotoxic effect of the best active natural product was
evaluated on mouse peritoneal infected macrophages (IC50 = 0.47 +/- 0.03 ug/ml in
24 h of culture), and the treatment revealed that DCTN never reaches toxic
concentrations while reducing the infection and, most importantly, with no
toxicity (>100 ug/ml with 0% of macrophage kill) when compared to pentamidine
(37.5 ug/ml with 100% of macrophage kill). Furthermore, all of the natural
products assayed on the trypanothione reductase enzyme inhibited the enzyme
activity compared to the control. CONCLUSION: Clerodane diterpenes from C.
cajucara showed promising in vitro antileishmanial effects against L.
amazonensis, specially the DCTN with no macrophage toxicity up to the assayed
concentration. In addition, the action on trypanothione reductase enzyme revealed
a possible mechanism of action.
PMID- 26547538
TI - Precision Public Health for the Era of Precision Medicine.
PMID- 26547539
TI - Abuse in Childhood or Adolescence and Gestational Diabetes: A Retrospective
Cohort Study.
AB - INTRODUCTION: Early life abuse has been linked to later Type 2 diabetes, but its
association with gestational diabetes has not been examined. The aim of this
study was to examine the association between childhood and adolescent abuse
victimization and risk of gestational diabetes in the Nurses' Health Study II.
METHODS: Participants were asked about experiences of physical and sexual abuse
in childhood or adolescence in 2001 and about history of pregnancy complications
in 2009. Mothers of singleton live births who provided information on their abuse
history comprised the study sample. Modified Poisson regression was used to
estimate risk ratios and 95% CIs for gestational diabetes as a function of
physical and sexual abuse victimization. Analyses were conducted in 2014-2015.
RESULTS: Of 45,550 women in the analysis, 8% reported severe physical abuse and
11% reported forced sexual activity in childhood or adolescence. Approximately 3%
(n=3,181) of pregnancies were complicated by gestational diabetes. In adjusted
models, severe physical abuse was associated with a 42% greater gestational
diabetes risk (risk ratio=1.42, 95% CI=1.21, 1.66) relative to no physical abuse.
Forced sexual activity was associated with a 30% greater risk (95% CI=1.14,
1.49). Women with histories of both physical and sexual abuse were at higher risk
than women exposed to a single type of abuse. These associations were not
explained by overweight status in early adulthood or prior to pregnancy.
CONCLUSIONS: Childhood and adolescent victimization is associated with increased
risk of gestational diabetes in adult women.
PMID- 26547541
TI - Confessions of a Square Peg.
PMID- 26547540
TI - Utilization of Preventive Health Care in Adults and Children With Eczema.
AB - INTRODUCTION: Chronic disease is a barrier to delivery of preventive health care
and health maintenance. However, health behaviors of adults and children with
eczema, a chronic skin disorder, have not been examined. This study examined
associations of eczema with vaccination, disease screening, health maintenance,
and healthcare utilization. METHODS: This study investigated 34,613 adults and
13,298 children from the 2012 National Health Interview Survey, a prospective
questionnaire-based study. Data were analyzed between August 2014 and January
2015. RESULTS: Adult eczema was associated with higher odds of vaccination for
tetanus (OR [95% CI]=1.37 [1.22, 1.54]); influenza (1.23 [1.10, 1.37]); hepatitis
A (1.21 [1.04, 1.41]) and B (1.21 [1.07, 1.35]); human papilloma virus (1.66
[1.32, 2.08]); and pneumonia (1.35 [1.19, 1.54]), but not herpes zoster virus
(1.07 [0.87, 1.31]). Adult eczema was associated with increased measurement of
blood glucose (1.29 [1.16, 1.44]); cholesterol (1.19 [1.06, 1.34]); blood
pressure (1.84 [1.56, 2.08]); and HIV infection (1.50 [1.34, 1.70]), but not Pap
smears (1.11 [0.95, 1.30]); colon cancer screening (p=0.17); or mammograms
(p=0.63). Adults with eczema were more likely to interact with general doctors,
mid-level providers, mental health professionals, eye doctors, podiatrists,
chiropractors, therapists, obstetrician/gynecologists, and other specialists
(p<=0.01). Childhood eczema was associated with higher rates of vaccination for
influenza (p<0.0002); well child checkups (p=0.002); and interaction with most
types of healthcare providers (p<=0.01). Many associations remained significant
in multivariate models controlling for sociodemographics and healthcare
interaction frequency. CONCLUSIONS: Eczema in adults and children is associated
with greater utilization of preventive health care and health maintenance, but
not cancer screening.
PMID- 26547542
TI - Tobacco Exposure and Children: A Changing Landscape.
PMID- 26547544
TI - Latino Parents' Insight on Optimal Sleep for Their Preschool-Age Child: Does
Context Matter?
AB - OBJECTIVE: Insufficient sleep is a risk factor for pediatric obesity. Latino
children are among those groups in which both short sleep duration and obesity
are prevalent. Therefore, this study sought to explore Latino parents' views
about ideal sleep duration and sleep-related practices for their preschool-age
children in an urban setting. METHODS: Between October 2013 and January 2014,
Latino parents of 2- to 5-year-old children were interviewed at 3 pediatric
clinics (San Francisco, Calif). Using a semistructured interview guide, parents
were asked to describe bedtime routines and sleep practices of their preschool
age children, including behavioral, parental, social, and contextual factors that
may affect children's sleep. Interviews were conducted in Spanish and English.
Parents also completed a brief survey on demographic information. Interviews were
analyzed on the basis of a priori and emergent themes. RESULTS: Latino parents (n
= 18) were mostly female, with a mean age of 31 years; most were foreign born;
and more than half had a monthly household income of $2000 or less. The following
themes emerged: parents' ideas about optimal sleep duration for their preschool
age child, consistent bedtime routines, room sharing and bed sharing, parent work
and child school schedules that affect children's sleep, and crowded housing and
neighborhood noise that disrupt children's sleep. CONCLUSIONS: These findings
increase our understanding of the factors related to achieving optimal sleep
duration among Latino children living in urban and often crowded housing.
Findings could be used to inform future research on how to increase parental
knowledge of healthy sleep practices and adequate sleep among Latino parents of
young children.
PMID- 26547543
TI - A Comparison of Ambulatory Care Sensitive Hospitalizations Among Children With
and Without Autism Spectrum Disorder.
AB - OBJECTIVE: To compare the prevalence of hospitalizations for ambulatory care
sensitive conditions (ACSC) in children with and without autism spectrum disorder
(ASD) and to compare inpatient health care utilization (total charges and length
of stay) for the same conditions in children with and without ASD. METHODS: The
2009 Kids' Inpatient Database was used to examine hospitalizations for ACSC in
children within 3 cohorts: those with ASD, those with chronic conditions (CC)
without ASD, and those with no CC. RESULTS: The proportion of hospitalizations
for ACSC in the ASD cohort was 55.9%, compared with 28.2% in the CC cohort and
22.9% in the no-CC cohort (P < .001). Hospitalized children with ASD were more
likely to be admitted for a mental health condition, epilepsy, constipation,
pneumonia, dehydration, vaccine-preventable diseases, underweight, and
nutritional deficiencies compared with the no-CC cohort. Compared with the CC
cohort, the ASD cohort was more likely to be admitted for mental health
conditions, epilepsy, constipation, dehydration, and underweight. Hospitalized
children with ASD admitted for mental health conditions had significantly higher
total charges and longer LOS compared with the other 2 cohorts. CONCLUSIONS: The
proportion of potentially preventable hospitalizations is higher in hospitalized
children with ASD compared with children without ASD. These data underscore the
need to improve outpatient care of children with ASD, especially in the areas of
mental health care and seizure management. Future research should focus on
understanding the reasons for increased inpatient health care utilization in
children with ASD admitted for mental health conditions.
PMID- 26547546
TI - Characterization and quantification of freezing of gait in Parkinson's disease:
Can detection algorithms replace clinical expert opinion?
AB - Freezing of gait is a paroxysmal phenomenon that is frequently reported by the
parkinsonian patients or their entourage. The phenomenon significantly alters
quality of life but is often difficult to characterize in the physician's office.
In the present review, we focus on the clinical characterization and
quantification of freezing of gait. Various biomechanical methods (based mainly
on time-frequency analysis) can be used to determine time-domain characteristics
of freezing of gait. Methods already used to study non-gait freezing of other
effectors (the lower limbs, upper limbs and orofacial area) are also being
developed for the analysis of freezing in functional magnetic resonance imaging
protocols. Here, we review the reliability of these methods and compare them with
reliability of information obtained from physical examination and detailed
analysis of the patient's medical history.
PMID- 26547545
TI - Screening for Adolescent Problematic Internet Use: Validation of the Problematic
and Risky Internet Use Screening Scale (PRIUSS).
AB - OBJECTIVE: Problematic Internet use (PIU) is an emerging health concern that
lacks screening measures validated for use with adolescents and young adults.
This study aimed to validate the Problematic and Risky Internet Use Screening
Scale (PRIUSS) for use with older adolescents and to increase its clinical
utility by determining scoring guidelines and assessing the relationship between
PIU and other mental health conditions. METHODS: This cross-sectional survey
study took place at a large, public Midwestern university among 330 older
adolescents aged 18 to 25 years. Confirmatory factor analysis and Spearman's
correlations were used to assess the PRIUSS' structural and construct validity,
respectively. A risk-based scoring cutoff was estimated using a Bayesian latent
class modeling approach to computing a receiver operating characteristic curve.
RESULTS: The confirmatory factor analysis indices for the 3-factor model
indicated an acceptable fit (goodness-of-fit index 0.89, root mean square error
of approximation 0.07). A cutoff of 25 (sensitivity 0.80, 95% confidence interval
[CI] 0.47-0.99; specificity 0.79, 95% CI 0.73-0.84) is proposed for identifying
those at risk for PIU. Participants at risk for PIU were at significantly greater
odds of also reporting symptoms of attention-deficit/hyperactivity disorder (odds
ratio [OR] 2.36 95% CI 1.21-4.62, P = .009), depression (OR 3.25, 95% CI 1.65
6.42, P = .008), and social anxiety (OR 3.77, 95% CI 2.06-6.89, P < .000).
CONCLUSIONS: The PRIUSS demonstrated validity as a PIU screening instrument for
adolescents and young adults. Screening for PIU may also help to identify those
at high reciprocal risk for other mental health conditions.
PMID- 26547547
TI - Gait post-stroke: Pathophysiology and rehabilitation strategies.
AB - We reviewed neural control and biomechanical description of gait in both non
disabled and post-stroke subjects. In addition, we reviewed most of the gait
rehabilitation strategies currently in use or in development and observed their
principles in relation to recent pathophysiology of post-stroke gait. In both non
disabled and post-stroke subjects, motor control is organized on a task-oriented
basis using a common set of a few muscle modules to simultaneously achieve body
support, balance control, and forward progression during gait. Hemiparesis
following stroke is due to disruption of descending neural pathways, usually with
no direct lesion of the brainstem and cerebellar structures involved in motor
automatic processes. Post-stroke, improvements of motor activities including
standing and locomotion are variable but are typically characterized by a common
postural behaviour which involves the unaffected side more for body support and
balance control, likely in response to initial muscle weakness of the affected
side. Various rehabilitation strategies are regularly used or in development,
targeting muscle activity, postural and gait tasks, using more or less high
technology equipment. Reduced walking speed often improves with time and with
various rehabilitation strategies, but asymmetric postural behaviour during
standing and walking is often reinforced, maintained, or only transitorily
decreased. This asymmetric compensatory postural behaviour appears to be robust,
driven by support and balance tasks maintaining the predominant use of the
unaffected side over the initially impaired affected side. Based on these
elements, stroke rehabilitation including affected muscle strengthening and often
stretching would first need to correct the postural asymmetric pattern by
exploiting postural automatic processes in various particular motor tasks
secondarily beneficial to gait.
PMID- 26547548
TI - Assessment of knowledge, accessibility and utilization of palliative care
services among adult cancer patients at Tikur Anbesa Specialized Hospital, Addis
Ababa, Ethiopia, 2014: a cross-sectional institution based study.
AB - BACKGROUND: Cancer has been the leading cause of death worldwide for more than
two decades. More than 150,000 cancer cases were estimated to exist in Ethiopia
each year. The goal of cancer palliative care (PC) services are to prevent and
relieve suffering and to support the best possible quality of life (QOL) for
patients and their families, regardless of the stage of disease or the need for
other therapies. This study attempted to assess the knowledge, accessibility and
Utilization of PC Services for adult cancer patients by their perspective at
Tikur Anbesa Specialized Hospital (TASH), Addis Ababa, Ethiopia. METHOD: A cross
sectional Institution based study was conducted among respondents who had Cancer
at TASH. TASH was the only referral center of PC and cancer treatment in
Ethiopia. The Hospital was selected for this study purposively. Data was
collected by interviewing the client's using a pretested Amharic version
questionnaire. During the survey, 384 respondents with cancer were interviewed.
Data entry was done using Epi Info version 3.5.2 and exported to SPSS version 20
for analysis. Logistic regression model was applied to control confounders.
RESULT: Of the total clients interviewed, more than 62.2 % respondents had
previous knowledge for cancer PC services. About 86 % of client's were in the age
35 years and older. About 9 out of 10 (89.8 %) respondents reported problems on
accessibility of PC services. Respondents previous knowledge of PC services (AOR
= 26.9, 95 % CI 12.3, 59), presence of little (more than 75 % of physical
problems/symptoms responded) physical well being of the respondents (AOR = 3.1,
95 % CI 1.96, 4.9), full (all social relationship problems responded as
good/positive by respondents) social well being of the respondents (AOR = 1.7, 95
% CI 1.01, 2.8); monthly income $US 25-50 of the respondents (AOR = 0.25, 95 % CI
0.09, 0.7) and marital status single (never married) (AOR = 55.4, 95 % CI 1.2,
2660.4) were significantly associated with respondents utilization of PC
services. CONCLUSION: High number of respondents reported problems on
accessibility of PC services for cancer in TASH and more than just an average of
respondents REPORTED presence of previous knowledge. Respondent's previous
knowledge about services, physical well being, social well being, income and
marital status were a concern for utilization of cancer PC services at TASH.
Health care providers at TASH will be recommended to have a sustainable health
education session program on cancer PC services to adult clients after diagnosis
of cancer.
PMID- 26547549
TI - The importance of the belief that "light" cigarettes are smoother in
misperceptions of the harmfulness of "light" cigarettes in the Republic of Korea:
a nationally representative cohort study.
AB - BACKGROUND: A number of countries have banned misleading cigarette descriptors
such as "light" and "low-tar" as called for by the WHO Framework Convention on
Tobacco Control. These laws, however, do not address the underlying cigarette
design elements that contribute to misperceptions about harm. This is the first
study to examine beliefs about "light" cigarettes among Korean smokers, and the
first to identify factors related to cigarette design that are associated with
the belief that "light" cigarettes are less harmful. METHODS: We analysed data
from Wave 3 of the ITC Korea Survey, a telephone survey of a nationally
representative sample of 1,753 adult smokers, conducted October - December 2010.
A multinomial logistic regression was used to examine which factors were
associated with the belief that "light" cigarettes are less harmful than regular
cigarettes. RESULTS: One quarter (25.0 %) of smokers believed that "light"
cigarettes are less harmful than regular cigarettes, 25.8 % believed that smokers
of "light" brands take in less tar, and 15.5 % held both of these beliefs. By far
the strongest predictor of the erroneous belief that "light" cigarettes are less
harmful was the belief that "light" cigarettes are smoother on the throat and
chest (p < 0.001, OR = 44.8, 95 % CI 23.6-84.9). CONCLUSIONS: The strong
association between the belief that "light" cigarettes are smoother on the throat
and chest and the belief that "light" cigarettes are less harmful, which is
consistent with previous research, provides further evidence of the need to not
only ban "light" descriptors, but also prohibit cigarette design and packaging
features that contribute to the perception of smoothness.
PMID- 26547550
TI - Implementation of the Community Health Assistant (CHA) Cadre in Zambia: A Process
Evaluation to Guide Future Scale-Up Decisions.
AB - Universal health coverage requires an adequate health workforce, including
community health workers (CHWs) to reach rural communities. To improve healthcare
access in rural areas, in 2010 the Government of Zambia implemented a national
CHW strategy that introduced a new cadre of healthcare workers called community
health assistants (CHAs). After 1 year of training the pilot class of 307 CHAs
deployed in September 2012. This paper presents findings from a process
evaluation of the barriers and facilitators of implementation of the CHA pilot,
along with how evidence was used to guide ongoing implementation and scale-up
decisions. Qualitative inquiry was used to assess implementation during the first
6 months of the program rollout, with 43 in-depth individual and 32 small group
interviews across five respondent types: CHAs, supervisors, volunteer CHWs,
community members, and district leadership. Potential 'implementation moderators'
were explored using deductive coding and thematic analysis of participant
perspectives on community acceptance of CHAs, supervision support mechanisms, and
coordination with volunteer CHWs, and health system integration of a new cadre.
Community acceptance of CHAs was generally high, but coordination between CHAs
and existing volunteer CHWs presented some challenges. The supervision support
system was found to be inconsistent, limiting assurance of consistent quality
care delivered by CHAs. Underlying health system weaknesses regarding drug supply
and salary payments furthermore hindered incorporation of a new cadre within the
national health system. Recommendations for implementation and future scale based
on the process evaluation findings are discussed.
PMID- 26547551
TI - Glucose lowering effect of montbretin A in Zucker Diabetic Fatty rats.
AB - Diabetes is an increasingly prevalent disease state with a global impact. It is
important that effective and cost-efficient methods be developed to treat this
disease state. Zucker diabetic fatty rats, an animal model of type 2 diabetes,
were treated with montbretin A (MbA), a selective human pancreatic alpha-amylase
inhibitor, isolated from the corms of the Crocosmia crocosmiiflora plant that may
have potential as a glucose-lowering agent. The study purpose was to determine if
MbA was an orally effective treatment for diabetes. The effect of MbA was
compared to a current clinical treatment modality, acarbose that is associated
with gastrointestinal side effects known to affect patient compliance. MbA and
acarbose were administered daily in the drinking water. Body weight and fluid
intake were measured daily to calculate dose consumption. Plasma glucose levels
were determined twice weekly in both the fed and fasted state. At termination
samples were collected to assess increased risk of secondary complications
related to diabetes and oxidative stress. There was no effect of either MbA or
acarbose treatment on insulin levels. Plasma glucose levels were significantly
lower following MbA treatment in the ZT group which persisted throughout the
study period (day 49: 12.1 +/- 1.2 mM). However, while there was an initial
decrease in plasma glucose levels in the acarbose-treated fatty group, this
effect was not sustained (day 49: 20.6 +/- 1.3 mM) through to termination. MbA
improved the oxidative status of the fatty diabetic animals as well as attenuated
markers for increased risk of cardiovascular complications associated with
diabetes. This study demonstrated that, at a lower dose as compared to acarbose
(10 mg/kg/day), chronic oral administration of MbA (7.5 mg/kg/day) was an
effective glucose-lowering agent in the treatment of type 2 diabetes.
PMID- 26547552
TI - The Trk family of neurotrophin receptors is downregulated in the lumbar spines of
rats with congenital kyphoscoliosis.
AB - Congenital scoliosis is a condition characterized by spinal curvature beyond the
physiological norm. The molecular mechanisms underlying the pathogenesis of
congenital scoliosis are beginning to be clarified; however, the genes related to
congenital scoliosis are still unknown. We herein report the results of a
comprehensive analysis of gene expression in the spines from a rat model of
congenital kyphoscoliosis obtained using DNA microarrays. The rats (Ishibashi
rats, IS) showed decreased expression levels of genes associated with bone
formation, such as those associated with retinol metabolism and type I collagen.
Interestingly, the flexion sites of the IS rats showed low expression levels of
tropomyosin receptor kinases (Trks: TrkA, TrkB, and TrkC), which belong to the
neurotrophic receptor tyrosine kinase family. Moreover, this phenomenon was
observed only in the flexion sites of the spine, and the expression levels of
Trks in other parts of the spine in these rats were normal. The decreased
expression levels of Trks were observed at both the mRNA and protein levels. We
also observed that the number of Trk-immunopositive cells in the lumbar spine in
the IS rats was lower than that in wild-type rats. These findings indicate that
the Trks have an important function in regulating normal bone formation, and
provide a molecular explanation for the pathogenesis of congenital
kyphoscoliosis.
PMID- 26547553
TI - When Should We Use Care Robots? The Nature-of-Activities Approach.
AB - When should we use care robots? In this paper we endorse the shift from a simple
normative approach to care robots ethics to a complex one: we think that one main
task of a care robot ethics is that of analysing the different ways in which
different care robots may affect the different values at stake in different care
practices. We start filling a gap in the literature by showing how the
philosophical analysis of the nature of healthcare activities can contribute to
(care) robot ethics. We rely on the nature-of-activities approach recently
proposed in the debate on human enhancement, and we apply it to the ethics of
care robots. The nature-of-activities approach will help us to understand why
certain practice-oriented activities in healthcare should arguably be left to
humans, but certain (predominantly) goal-directed activities in healthcare can be
fulfilled (sometimes even more ethically) with the assistance of a robot. In
relation to the latter, we aim to show that even though all healthcare activities
can be considered as practice-oriented, when we understand the activity in terms
of different legitimate 'fine-grained' descriptions, the same activities or at
least certain components of them can be seen as clearly goal-directed. Insofar as
it allows us to ethically assess specific functionalities of specific robots to
be deployed in well-defined circumstances, we hold the nature-of-activities
approach to be particularly helpful also from a design perspective, i.e. to
realize the Value Sensitive Design approach.
PMID- 26547555
TI - Information and Communication Technologies, Genes, and Peer-Production of
Knowledge to Empower Citizens' Health.
AB - The different and seemingly unrelated practices of Information and Communication
Technologies (ICT) used to collect and share personal and scientific data within
networked communities, and the organized storage of human genetic samples and
information-namely biobanking-have merged with another recent epistemic and
social phenomenon, namely scientists and citizens collaborating as "peers" in
creating knowledge (or peer-production of knowledge). These different dimensions
can be found in joint initiatives where scientists-and-citizens use genetic
information and ICT as powerful ways to gain more control over their health and
the environment. While this kind of initiative usually takes place only after
rights have been infringed (or are put at risk)-as the two cases presented in the
paper show-collaborative scientists-and-citizens' knowledge should be
institutionally allowed to complement and corroborate official knowledge
supporting policies.
PMID- 26547556
TI - A Simple Framework for Evaluating Authorial Contributions for Scientific
Publications.
AB - A simple tool is provided to assist researchers in assessing contributions to a
scientific publication, for ease in evaluating which contributors qualify for
authorship, and in what order the authors should be listed. The tool identifies
four phases of activity leading to a publication-Conception and Design, Data
Acquisition, Analysis and Interpretation, and Manuscript Preparation. By
comparing a project participant's contribution in a given phase to several
specified thresholds, a score of up to five points can be assigned; the
contributor's scores in all four phases are summed to yield a total "contribution
score", which is compared to a threshold to determine which contributors merit
authorship. This tool may be useful in a variety of contexts in which a
systematic approach to authorial credit is desired.
PMID- 26547557
TI - Exploring multiple quantitative trait loci models of hepatic fibrosis in a mouse
intercross.
AB - Most common diseases are attributed to multiple genetic variants, and the
feasibility of identifying inherited risk factors is often restricted to the
identification of alleles with high or intermediate effect sizes. In our previous
studies, we identified single loci associated with hepatic fibrosis (Hfib1
Hfib4). Recent advances in analysis tools allowed us to model loci interactions
for liver fibrosis. We analysed 322 F2 progeny from an intercross of the fibrosis
susceptible strain BALB/cJ and the resistant strain FVB/NJ. The mice were
challenged with carbon tetrachloride (CCl4) for 6 weeks to induce chronic hepatic
injury and fibrosis. Fibrosis progression was quantified by determining
histological fibrosis stages and hepatic collagen contents. Phenotypic data were
correlated to genome-wide markers to identify quantitative trait loci (QTL).
Thirteen susceptibility loci were identified by single and composite interval
mapping, and were included in the subsequent multiple QTL model (MQM) testing.
Models provided evidence for susceptibility loci with strongest association to
collagen contents (chromosomes 1, 2, 8 and 13) or fibrosis stages (chromosomes 1,
2, 12 and 14). These loci contained the known fibrosis risk genes Hc, Fasl and
Foxa2 and were incorporated in a fibrosis network. Interestingly the hepatic
fibrosis locus on chromosome 1 (Hfib5) connects both phenotype networks,
strengthening its role as a potential modifier locus. Including multiple QTL
mapping to association studies adds valuable information on gene-gene
interactions in experimental crosses and human cohorts. This study presents an
initial step towards a refined understanding of profibrogenic gene networks.
PMID- 26547560
TI - Optimization of the Timepix chip to measurement of radon, thoron and their
progenies.
AB - Radon and thoron as well as their short-lived progenies are decay products of the
radium and thorium series decays. They are the most important radionuclide
elements with respect to public exposure. To utilize the semiconductor pixel
radiation Timepix chip for the measurement of active and real-time alpha
particles from radon, thoron and their progenies, it is necessary to check the
registration and visualization of the chip. An energy check for radon, thoron and
their progenies, as well as for (241)Am and(210)Po sources, was performed using
the radon and thoron chambers at NIRS (National Institute of Radiological
Sciences). The check found an energy resolution of 200 keV with a 14% efficiency
as well as a linear dependency between the channel number (cluster volume) and
the energy. The coefficient of determination r(2) of 0.99 for the range of 5 to 9
MeV was calculated. In addition, an offset for specific Timepix configurations
between pre-calibration for low energy from 6 to 60 keV, and the actual
calibration for alpha particles with energies from 4000 to 9000 keV, was
detected.
PMID- 26547558
TI - Cassava root membrane proteome reveals activities during storage root maturation.
AB - Cassava (Manihot esculenta Crantz) is one of the most important crops of
Thailand. Its storage roots are used as food, feed, starch production, and be the
important source for biofuel and biodegradable plastic production. Despite the
importance of cassava storage roots, little is known about the mechanisms
involved in their formation. This present study has focused on comparison of the
expression profiles of cassava root proteome at various developmental stages
using two-dimensional gel electrophoresis and LC-MS/MS. Based on an anatomical
study using Toluidine Blue, the secondary growth was confirmed to be essential
during the development of cassava storage root. To investigate biochemical
processes occurring during storage root maturation, soluble and membrane proteins
were isolated from storage roots harvested from 3-, 6-, 9-, and 12-month-old
cassava plants. The proteins with differential expression pattern were analysed
and identified to be associated with 8 functional groups: protein folding and
degradation, energy, metabolism, secondary metabolism, stress response, transport
facilitation, cytoskeleton, and unclassified function. The expression profiling
of membrane proteins revealed the proteins involved in protein folding and
degradation, energy, and cell structure were highly expressed during early stages
of development. Integration of these data along with the information available in
genome and transcriptome databases is critical to expand knowledge obtained
solely from the field of proteomics. Possible role of identified proteins were
discussed in relation with the activities during storage root maturation in
cassava.
PMID- 26547559
TI - Interaction of Mg with heavy metals (Cu, Cd) in T. aestivum with special
reference to oxidative and proline metabolism.
AB - Little effort has been made to understand the influence of Mg on cellular
processes of plant cell during Cu and Cd toxicities. The present work
demonstrates the influence of magnesium (Mg) on copper (Cu) and cadmium (Cd)
toxicity on Triticum aestivum (Wheat). We measured a range of parameters related
to oxidative stress in wheat exposed to Cu or Cd toxicity in media with different
concentrations of Mg. Decreasing Mg concentration significantly exacerbated Cu
and Cd toxicity and optimum supply of Mg improved the growth and decreased the
toxicity-induced oxidative stress (a substantial decline in the amount of
hydrogen peroxide (H2O2) and malondialdehyde (MDA) in root and shoot tissues).
Activity of antioxidant enzymes-superoxide dismutase (SOD), ascorbae peroxidase
(APX), catalase (CAT) was restored upon optimum Mg concentration in the presence
of Cu and Cd toxicity. An increase in proline concentration in roots and shoots
that was triggered by Cu and Cd exposure was partly reversed. This was due to
decline in pyrroline-5-carboxylate synthetase (P5CS) and pyrroline-5-carboxylate
reductase (P5CR) activity and enhanced proline dehydrogenase (PDH) activity. In
conclusion, decreasing supply of Mg effectively exacerbated the toxicities of Cu
and Cd in wheat.
PMID- 26547561
TI - Pallidal neuronal apolipoprotein E in pantothenate kinase-associated
neurodegeneration recapitulates ischemic injury to the globus pallidus.
AB - Pantothenate kinase-associated neurodegeneration (PKAN) is a progressive movement
disorder that is due to mutations in PANK2. Pathologically, it is a member of a
class of diseases known as neurodegeneration with brain iron accumulation (NBIA)
and features increased tissue iron and ubiquitinated proteinaceous aggregates in
the globus pallidus. We have previously determined that these aggregates
represent condensed residue derived from degenerated pallidal neurons. However,
the protein content, other than ubiquitin, of these aggregates remains unknown.
In the present study, we performed biochemical and immunohistochemical studies to
characterize these aggregates and found them to be enriched in apolipoprotein E
that is poorly soluble in detergent solutions. However, we did not determine a
significant association between APOE genotype and the clinical phenotype of
disease in our database of 81 cases. Rather, we frequently identified similar
ubiquitin- and apolipoprotein E-enriched lesions in these neurons in non-PKAN
patients in the penumbrae of remote infarcts that involve the globus pallidus,
and occasionally in other brain sites that contain large gamma-aminobutyric acid
(GABA)ergic neurons. Our findings, taken together, suggest that tissue or
cellular hypoxic/ischemic injury within the globus pallidus may underlie the
pathogenesis of PKAN.
PMID- 26547562
TI - Anaplerotic treatment of long-chain fat oxidation disorders with triheptanoin:
Review of 15 years Experience.
AB - BACKGROUND: The treatment of long-chain mitochondrial beta-oxidation disorders
(LC-FOD) with a low fat-high carbohydrate diet, a diet rich in medium-even-chain
triglycerides (MCT), or a combination of both has been associated with high
morbidity and mortality for decades. The pathological tableau appears to be
caused by energy deficiency resulting from reduced availability of citric acid
cycle (CAC) intermediates required for optimal oxidation of acetyl-CoA. This
hypothesis was investigated by diet therapy with carnitine and anaplerotic
triheptanoin (TH). METHODS: Fifty-two documented LC-FOD patients were studied in
this investigation (age range: birth to 51 years). Safety monitoring included
serial quantitative measurements of routine blood chemistries, blood levels of
carnitine and acylcarnitines, and urinary organic acids. RESULTS: The average
frequency of serious clinical complications were reduced from ~60% with
conventional diet therapy to 10% with TH and carnitine treatment and mortality
decreased from ~65% with conventional diet therapy to 3.8%. Carnitine
supplementation was uncomplicated. CONCLUSION: The energy deficiency in LC-FOD
patients was corrected safely and more effectively with the triheptanoin diet and
carnitine supplement than with conventional diet therapy. Safe intervention in
neonates and infants will permit earlier intervention following pre-natal
diagnosis or diagnosis by expanded newborn screening.
PMID- 26547563
TI - [Cardiac arrest in dialysis patients: Risk factors, preventive measures and
management in 2015].
AB - Patients undergoing hemodialysis have a 10 to 20 times higher risk of sudden
cardiac arrest (SCA) than the general population. Sudden cardiac death is a rare
event (approximately 1 event per 10,000 sessions) but has a very high mortality
rate. Epidemiological data comes almost exclusively from North American studies;
there is a great lack of European data on the subject. Ventricular arrhythmia is
the main mechanism of sudden cardiac deaths in dialysis patients. These patients
develop increased sensitivity mainly due to a high prevalence of severe ischemic
heart disease and left ventricular hypertrophy and to a frequent trigger event:
electrolytic and plasma volume shifts during dialysis sessions. Unfortunately,
accurate predictive markers of SCA do not exist, however some primary prevention
trials using beta-blockers or angiotensin II receptor blockers are encouraging,
while the use of implantable cardioverter defibrillators in the population of
chronic dialysis patients remains controversial. Identification of patients at
risk, minimizing trigger events such as electrolytic shifts and improving team
skills in the diagnosis and initial resuscitation with the latest recommendations
from 2010 seem necessary to reduce incidence and improve survival in this high
risk population. Organization of European studies would also allow a more
accurate view of this reality in our dialysis units.
PMID- 26547564
TI - Effect of bait decomposition on the attractiveness to species of Diptera of
veterinary and forensic importance in a rainforest fragment in Brazil.
AB - Insects associated with carrion can have parasitological importance as vectors of
several pathogens and causal agents of myiasis to men and to domestic and wild
animals. We tested the attractiveness of animal baits (chicken liver) at
different stages of decomposition to necrophagous species of Diptera
(Calliphoridae, Fanniidae, Muscidae, Phoridae and Sarcophagidae) in a rainforest
fragment in Brazil. Five types of bait were used: fresh and decomposed at room
temperature (26 degrees C) for 24, 48, 72 and 96 h. A positive correlation was
detected between the time of decomposition and the abundance of Calliphoridae and
Muscidae, whilst the abundance of adults of Phoridae decreased with the time of
decomposition. Ten species of calliphorids were registered, of which Chrysomya
albiceps, Chrysomya megacephala and Chloroprocta idioidea showed a positive
significant correlation between abundance and decomposition. Specimens of
Sarcophagidae and Fanniidae did not discriminate between fresh and highly
decomposed baits. A strong female bias was registered for all species of
Calliphoridae irrespective of the type of bait. The results reinforce the
feasibility of using animal tissues as attractants to a wide diversity of
dipterans of medical, parasitological and forensic importance in short-term
surveys, especially using baits at intermediate stages of decomposition.
PMID- 26547566
TI - Genome Characteristics of a Novel Type I Methanotroph (Sn10-6) Isolated from a
Flooded Indian Rice Field.
AB - Flooded rice fields are important sources of atmospheric methane. Aerobic
methanotrophs living in the vicinity of rice roots oxidize methane and act as
environmental filters. Here, we present genome characteristics of a
gammaproteobacterial methanotroph, isolate Sn10-6, which was isolated from a rice
rhizosphere of a flooded field in India. Sn10-6 has been identified as a member
of a putative novel genus and species within the family Methylococcaceae (Type I
methanotrophs). The draft genome of Sn10-6 showed pathways for the following:
methane oxidation, formaldehyde assimilation (RuMP), nitrogen fixation,
conversion of nitrite to nitrous oxide, and other interesting genes including the
ones responsible for survival in the rhizosphere environment. The majority of
genes found in this genome were most similar to Methylovulum miyakonese which is
a forest isolate. This draft genome provided insight into the physiology,
ecology, and phylogeny of this gammaproteobacterial methanotroph.
PMID- 26547565
TI - Human TNF-alpha induces differential protein phosphorylation in Schistosoma
mansoni adult male worms.
AB - Schistosoma mansoni and its vertebrate host have a complex and intimate
connection in which several molecular stimuli are exchanged and affect both
organisms. Human tumor necrosis factor alpha (hTNF-alpha), a pro-inflammatory
cytokine, is known to induce large-scale gene expression changes in the parasite
and to affect several parasite biological processes such as metabolism, egg
laying, and worm development. Until now, the molecular mechanisms for TNF-alpha
activity in worms are not completely understood. Here, we aimed at exploring the
effect of hTNF-alpha on S. mansoni protein phosphorylation by 2D gel
electrophoresis followed by a quantitative analysis of phosphoprotein staining
and protein identification by mass spectrometry. We analyzed three biological
replicates of adult male worms exposed to hTNF-alpha and successfully identified
32 protein spots with a statistically significant increase in phosphorylation
upon in vitro exposure to hTNF-alpha. Among the differentially phosphorylated
proteins, we found proteins involved in metabolism, such as glycolysis, galactose
metabolism, urea cycle, and aldehyde metabolism, as well as proteins related to
muscle contraction and to cytoskeleton remodeling. The most differentially
phosphorylated protein (30-fold increase in phosphorylation) was 14-3-3, whose
function is known to be modulated by phosphorylation, belonging to a signal
transduction protein family that regulates a variety of processes in all
eukaryotic cells. Further, 75% of the identified proteins are known in mammals to
be related to TNF-alpha signaling, thus suggesting that TNF-alpha response may be
conserved in the parasite. We propose that this work opens new perspectives to be
explored in the study of the molecular crosstalk between host and pathogen.
PMID- 26547567
TI - Deciphering Community Structure of Methanotrophs Dwelling in Rice Rhizospheres of
an Indian Rice Field Using Cultivation and Cultivation-Independent Approaches.
AB - Methanotrophs play a crucial role in filtering out methane from habitats, such as
flooded rice fields. India has the largest area under rice cultivation in the
world; however, to the best of our knowledge, methanotrophs have not been
isolated and characterized from Indian rice fields. A cultivation strategy
composing of a modified medium, longer incubation time, and serial dilutions in
microtiter plates was used to cultivate methanotrophs from a rice rhizosphere
sample from a flooded rice field in Western India. We compared the cultured
members with the uncultured community as revealed by three culture-independent
methods. A novel type Ia methanotroph (Sn10-6), at the rank of a genus, and a
putative novel species of a type II methanotroph (Sn-Cys) were cultivated from
the terminal positive dilution (10(-6)). From lower dilution (10(-4)), a strain
of Methylomonas spp. was cultivated. All the three culture-independent analyses,
i.e., pmoA clone library, terminal restriction fragment length polymorphism (T
RFLP), and metagenomics approach, revealed the dominance of type I methanotrophs.
Only metagenomic analysis showed significant presence of type II methanotrophs,
albeit in lower proportion (37 %). All the three isolates showed relevance to the
methanotrophic community as depicted by uncultured methods; however, the
cultivated members might not be the most dominant ones. In conclusion, a combined
cultivation and cultivation-independent strategy yielded us a broader picture of
the methanotrophic community from rice rhizospheres of a flooded rice field in
India.
PMID- 26547569
TI - Creatinine Kinase Isoenzyme MB: A Simple Prognostic Marker for Pulmonary
Embolism.
PMID- 26547568
TI - Diverse Bacterial Groups Contribute to the Alkane Degradation Potential of
Chronically Polluted Subantarctic Coastal Sediments.
AB - We aimed to gain insight into the alkane degradation potential of microbial
communities from chronically polluted sediments of a subantarctic coastal
environment using a combination of metagenomic approaches. A total of 6178
sequences annotated as alkane-1-monooxygenases (EC 1.14.15.3) were retrieved from
a shotgun metagenomic dataset that included two sites analyzed in triplicate. The
majority of the sequences binned with AlkB described in Bacteroidetes (32 +/- 13
%) or Proteobacteria (29 +/- 7 %), although a large proportion remained
unclassified at the phylum level. Operational taxonomic unit (OTU)-based analyses
showed small differences in AlkB distribution among samples that could be
correlated with alkane concentrations, as well as with site-specific variations
in pH and salinity. A number of low-abundance OTUs, mostly affiliated with
Actinobacterial sequences, were found to be only present in the most contaminated
samples. On the other hand, the molecular screening of a large-insert metagenomic
library of intertidal sediments from one of the sampling sites identified two
genomic fragments containing novel alkB gene sequences, as well as various
contiguous genes related to lipid metabolism. Both genomic fragments were
affiliated with the phylum Planctomycetes, and one could be further assigned to
the genus Rhodopirellula due to the presence of a partial sequence of the 23S
ribosomal RNA (rRNA) gene. This work highlights the diversity of bacterial groups
contributing to the alkane degradation potential and reveals patterns of
functional diversity in relation with environmental stressors in a chronically
polluted, high-latitude coastal environment. In addition, alkane biodegradation
genes are described for the first time in members of Planctomycetes.
PMID- 26547570
TI - Introduction of Transplant Registry Unified Management Program 2 (TRUMP2):
scripts for TRUMP data analyses, part I (variables other than HLA-related data).
AB - Collection and analysis of information on diseases and post-transplant courses of
allogeneic hematopoietic stem cell transplant recipients have played important
roles in improving therapeutic outcomes in hematopoietic stem cell
transplantation. Efficient, high-quality data collection systems are essential.
The introduction of the Second-Generation Transplant Registry Unified Management
Program (TRUMP2) is intended to improve data quality and more efficient data
management. The TRUMP2 system will also expand possible uses of data, as it is
capable of building a more complex relational database. The construction of an
accessible data utilization system for adequate data utilization by researchers
would promote greater research activity. Study approval and management processes
and authorship guidelines also need to be organized within this context. Quality
control of processes for data manipulation and analysis will also affect study
outcomes. Shared scripts have been introduced to define variables according to
standard definitions for quality control and improving efficiency of registry
studies using TRUMP data.
PMID- 26547571
TI - Geographic and income variations in age at diagnosis and incidence of chronic
myeloid leukemia.
AB - Developing countries have a younger population of CML patients than developed
countries. Patterns of age at diagnosis and incidence by geography and gross
national income (GNI) are not well understood. A population-based descriptive
study was conducted using data from the International Agency for Research on
Cancer's population-based registry compilation. Geographical regions were
classified according to the United Nations World Macro Regions and Components.
Age-Standardized Incidence Rates (ASR) were adjusted to the World Standard
Population. Poisson regression was used to assess age-specific interactions.
57.2% were male among 33,690 diagnoses. Median age at diagnosis was lowest in
Africa and Asia (47 years) and highest in Oceania (72 years). ASR was lowest in
African males (0.61 per 100,000) and Asian females (0.55 per 100,000) and highest
in Oceania males and females (1.78 and 0.96 per 100,000, respectively). A
significant interaction (p < 0.0001) between age (<50 years and >50 years) and
region exists; no significant differences were seen by region in the <50 age
group while significant differences by region exist in the >50 age group.
Population-based estimates suggest that the median age at diagnosis and incidence
varies by region. Geographic and income heterogeneity suggest an important effect
of environment that warrants further studies.
PMID- 26547572
TI - Parents' Opinion About a Routine Head-to-Toe Examination of Children as a
Screening Instrument for Child Abuse and Neglect in Children Visiting the
Emergency Department.
AB - INTRODUCTION: To improve detection of child abuse and neglect (CAN), many
emergency departments use screening methods. Apart from diagnostic accuracy,
possible harms of screening methods are important to consider, especially because
most children are not abused and do not benefit from screening. We performed a
systematic literature review to assess parents' opinions about CAN screening, in
which we could only include 7 studies, all reporting that the large majority of
participating parents favor screening. Recently, a complete physical examination
(called "top-toe" inspection [TTI], a fully undressed inspection of the child)
was implemented as a CAN screening method at the emergency department of a
teaching hospital in The Netherlands. This study describes parents' opinions
about the TTI. METHODS: We used a questionnaire to assess parents' opinions about
the TTI of their children when visiting the emergency department. During the
study period, 1000 questionnaires were distributed by mail. RESULTS: In total,
372 questionnaires were returned (37%). A TTI was performed for 194 children
(52%). The overall attitude of parents whose children underwent a TTI was
positive; 77.3% of the respondents found the TTI acceptable, and 1.5% (N = 3)
found it unacceptable. Seventy percent of the respondents agreed with the theorem
that all children who visit the emergency department should have a TTI performed,
and 7.3% (N = 14) disagreed. DISCUSSION: Contrary to what is commonly believed,
both in our systematic literature review and in our questionnaire study, the
majority of participating parents agree with screening for CAN in general and
with the TTI specifically. Sharing the results of this study with ED personnel
and policy makers could take away prejudices about perceived disagreement of
parents, thereby improving implementation of and adherence to CAN screening.
PMID- 26547573
TI - Differences Between Emergency Nurse Perception and Patient Reported Experience
With an ED HIV and Hepatitis C Virus Screening Program.
AB - INTRODUCTION: Nontargeted human immunodeficiency virus (HIV) screening and
targeted hepatitis C virus (HCV) screening for selected high-risk patients (those
born between 1945 and 1965 and those who report injection drug use) was
integrated into our ED triage process and carried out by nurses. Determining
whether emergency nurses accurately perceive what patients experience is
important to know because staff misperceptions may pose a barrier to program
adherence and sustainability. METHODS: We performed a cross-sectional survey
study of emergency nurses and patients to assess the accuracy of emergency
nurses' perception of patient experience with the HIV/HCV screening program.
Respondents evaluated their level of agreement using a 5-item Likert scale for 9
statements across 4 domains related to the patient experience with the screening
process (satisfaction, sense of autonomy, sense of privacy, and comfort level).
RESULTS: Surveys were completed by 65 of the 153 eligible emergency nurses (42%).
Of the 1040 patients approached, 610 (59%) were eligible, and 491 of the 610
eligible patients (80%) completed surveys. Across all domains, statistically
significant differences were found between emergency nurse perception and patient
report, P < .001. Emergency nurses perceived patients to be less satisfied with
the screening program, more uncomfortable with being asked screening questions,
more concerned about privacy issues, and less likely to feel that the decision to
decline screening was autonomous than were patients. DISCUSSION: Emergency nurses
not only frequently misperceive how patients experience ED-based HIV/HCV
screening, but these misperceptions are skewed toward the negative, representing
a type of staff bias. Further research is recommended to determine if such
misperceptions adversely affect implementation of screening.
PMID- 26547574
TI - Stigma, Anchoring, and Triage Decisions.
PMID- 26547575
TI - IV Push Medication Administration: Making Safe Choices; Choosing Best Practice.
PMID- 26547576
TI - Use of Intranasal Ketamine for the Severely Agitated or Violent ED Patient.
PMID- 26547577
TI - Pterocarpadiols A-D, Rare 6a,11b-Dihydroxypterocarpans from Derris robusta.
AB - Four hitherto unknown 6a,11b-dihydroxypterocarpans, namely pterocarpadiols A-D (1
4), were isolated from the ethanol extract of the twigs and leaves of Derris
robusta. Their structures were elucidated on the basis of extensive spectroscopic
analysis. Pterocarpadiols A-D are a kind of very rare 6a,11b
dihydroxypterocarpans, and their presence as markers may be helpful in
chemotaxonomical classification.
PMID- 26547578
TI - Detection of Magnaporthe oryzae chrysovirus 1 in Japan and establishment of a
rapid, sensitive and direct diagnostic method based on reverse transcription loop
mediated isothermal amplification.
AB - Magnaporthe oryzae chrysovirus 1 (MoCV1) is a mycovirus with a dsRNA genome that
infects the rice blast fungus Magnaporthe oryzae and impairs its growth. To date,
MoCV1 has only been found in Vietnamese isolates of M. oryzae, and the
distribution of this virus in M. oryzae isolates from other parts of the world
remains unknown. In this study, using a one-step reverse transcription PCR (RT
PCR) assay, we detected a MoCV1-related virus in M. oryzae in Japan (named MoCV1
AK) whose sequence shares considerable similarity with that of the MoCV1
Vietnamese isolate. To establish a system for a comprehensive survey of MoCV1
infection in the field, we developed a reverse transcription loop-mediated
isothermal amplification (RT-LAMP) assay for direct detection of the virus. The
sensitivity of the RT-LAMP assay was at least as high as that of the one-step RT
PCR assay. In addition, we detected MoCV1-AK in M. oryzae-infected oatmeal agar
plates and lesions on rice leaves using the RT-LAMP assay without dsRNA
extraction, by simple sampling with a toothpick. Preliminary screening of MoCV1
in Japanese M. oryzae isolates indicated that MoCV1 is currently distributed in
rice fields in Japan. Our results provide a first example of the application of
RT-LAMP for the detection of mycoviruses, which will accelerate surveys for
mycovirus infection.
PMID- 26547579
TI - Protein kinase R (PKR) plays a pro-viral role in porcine reproductive and
respiratory syndrome virus (PRRSV) replication by modulating viral gene
transcription.
AB - Protein kinase R (PKR) is involved in apoptotic cell death and antiviral
activities in response to many virus infections. To reveal the role of PKR in the
replication of porcine reproductive and respiratory syndrome virus (PRRSV), we
first examined the kinetics of PKR phosphorylation during PRRSV infection. The
results showed that PRRSV transiently activates PKR at 12 and 24 h postinfection.
Surprisingly, eIF-2alpha, the well-known downstream target of PKR, was
significantly phosphorylated compared to mock-infected cells only at 48 and 72 h
postinfection. Reduced viral gene transcription, viral protein synthesis, and
virus titer were detected in cells transfected with PKR silencing RNA prior to
PRRSV infection compared to control silencing RNA transfected cells, indicating a
role of PKR in facilitating virus replication. Overall, our data suggest that PKR
is not a major contributor to the phosphorylation of eIF-2alpha during PRRSV
infection, but it plays a pro-viral role in PRRSV replication by modulating
primarily viral gene transcription.
PMID- 26547580
TI - The renoprotective effect of shichimotsukokato on hypertension-induced renal
dysfunction in spontaneously hypertensive rats.
AB - Antihypertensive treatment is highly important to prevent the progression of
chronic kidney disease. Shichimotsukokato (SKT), a traditional Japanese medicine
(i.e., Kampo formula), lowered systolic blood pressure (SBP) in experimental
animal models of hypertension. However, its mechanism of action has not been
fully elucidated. We investigated the potential renoprotective mechanism of SKT
in spontaneously hypertensive rats (SHRs). Ten-week-old SHRs were randomly
divided into four groups (six rats per group). In the SHR control group, the SBP
increased remarkably during the 8-week experimental period. In the SHRs, SKT
extract administered orally at a daily dose of 0.45 or 0.15 g/kg significantly
suppressed the increase in SBP to the same extent as telmisartan administered
orally at a daily dose of 0.01 g/kg. At the end of the experiment, blood, urine,
and kidney cortex tissue samples were examined. The SKT treatment significantly
decreased urinary albumin excretion to nearly the same level as the telmisartan
treatment. A notable loss of chloride channel 5 (ClC-5), a chloride channel in
the proximal renal tubules, occurred in the SHR control group. Thus, we concluded
that SKT administration significantly ameliorated this decrease. The mechanism of
SKT in reducing urinary albumin excretion is mediated, at least partly, by
prevention of the loss of ClC-5 in the renal cortex of SHRs.
PMID- 26547581
TI - Manool, a Salvia officinalis diterpene, induces selective cytotoxicity in cancer
cells.
AB - Manool, a diterpene isolated from Salvia officinalis, was evaluated by the XTT
colorimetric assay for cytotoxicity and selectivity against different cancer cell
lines: B16F10 (murine melanoma), MCF-7 (human breast adenocarcinoma), HeLa (human
cervical adenocarcinoma), HepG2 (human hepatocellular carcinoma), and MO59J, U343
and U251 (human glioblastoma). A normal cell line (V79, Chinese hamster lung
fibroblasts) was used to compare the selectivity of the test substance. Manool
exhibited higher cytotoxic activity against HeLa (IC50 = 6.7 +/- 1.1 ug/mL) and
U343 (IC50 = 6.7 +/- 1.2 ug/mL) cells. In addition, in the used experimental
protocols, the treatment with manool was significantly more cytotoxic for
different tumor cell lines than for the normal cell line V79 (IC50 = 49.3 +/- 3.3
ug/mL), and showed high selectivity. These results suggest that manool may be
used to treat cancer without affecting normal cells.
PMID- 26547582
TI - Integrin beta6 acts as an unfavorable prognostic indicator and promotes cellular
malignant behaviors via ERK-ETS1 pathway in pancreatic ductal adenocarcinoma
(PDAC).
AB - Pancreatic ductal adenocarcinoma (PDAC) remains one of the most deadly cancers
and is expected to become the second leading cause of cancer death by 2030.
Despite extensive efforts to improve surgical treatment, limited progress has
been made. Increasing evidence indicates that integrin beta6 plays a crucial role
in carcinoma invasion and metastasis. However, the expression and role of beta6
in PDAC remain largely unknown. In the present study, we investigated the
expression of beta6 in PDAC and its potential value as a prognostic factor and
therapeutic target. beta6 upregulation was identified as an independent
unfavorable prognostic indicator. Integrin beta6 markedly promoted the
proliferation and invasion of pancreatic carcinoma cells and induced ETS1
phosphorylation in an ERK-dependent manner, leading to the upregulation of matrix
metalloprotease-9, which is essential for beta6-mediated invasiveness of
pancreatic carcinoma cells. Accordingly, small interfering RNA-mediated silencing
of integrin beta6 markedly suppressed xenograft tumor growth in vivo. Taken
together, our results suggest that integrin beta6 plays important roles in the
progression of pancreatic carcinoma and contributes to reduced survival times,
and may serve as a novel therapeutic target for the treatment of PDAC.
PMID- 26547583
TI - The dual induction of apoptosis and autophagy by SZC014, a synthetic oleanolic
acid derivative, in gastric cancer cells via NF-kappaB pathway.
AB - Oleanolic acid (OA) possesses various pharmacological activities, such as
antitumor and anti-inflammation; however, its clinical applications are limited
by its relatively weak activities and low bioavailability. In this study, we
evaluated the cytotoxic activity of seven novel OA derivatives, one of which,
SZC014 [2-(pyrrolidine-1-yl) methyl-3-oxo-olean-12-en-28-oic acid], exhibited the
strongest antitumor activity; its anticancer effect on gastric cancer cells and
action mechanisms were investigated. The viability of OA and seven synthesized
derivatives treating gastric cancer cells was detected using tetrazolium (MTT).
Among them, SZC014 exhibited the strongest cytotoxic activity against gastric
cancer cells (SGC7901, MGC803, and MKN-45). The effect of SZC014 on cell cycle
was identified by propidium iodide (PI) staining assay. The cellular apoptosis
induced by SZC014 was tested by annexin V/PI. The cellular morphological changes
and ultrastructural structures affected by SZC014 were observed and imaged
through inverted phase contrast microscope and transmission electron microscopy.
Western blotting was performed to explore the expression of proteins associated
with apoptosis (caspase 3, caspase 9, Bax, Bcl-2, and Bcl-xL), autophagy (Beclin
1 and ATG 5), and nuclear factor-kappaB (NF-kappaB) signal pathway, respectively.
The cytotoxic activities of all the seven synthesized OA derivatives were
stronger than that of OA against gastric cancer cells. SZC014 exhibited stronger
cytotoxic activity than other OA derivatives, inhibited the proliferation of
gastric cancer cells, besides, induced G2/M phase cell cycle arrest in SGC7901
cells. Both apoptosis and autophagy were found simultaneously in SZC014-treated
SGC7901 cells. Caspase-dependent apoptosis induced by SZC014 was confirmed to be
associated with upregulation of Bax and downregulation of Bcl-2 and Bcl-xL, while
upregulation of Beclin 1 and ATG 5 was inferred to be involved in SZC014-induced
autophagy. Moreover, treating cells with SZC014 resulted in a decrease in
phosphorylation of IkappaBalpha and NF-kappaB/p65 and NF-kappaB/p65 nuclear
translocation. The cytotoxic activities of seven OA derivatives were generally
stronger than that of OA, among which, SZC014 possessed the most potent
anticancer activity in SGC7901 cells and would be a promising chemotherapic agent
for the treatment of gastric cancer.
PMID- 26547584
TI - Down-regulation of succinate dehydrogenase subunit B and up-regulation of
pyruvate dehydrogenase kinase 1 predicts poor prognosis in recurrent
nasopharyngeal carcinoma.
AB - Succinate dehydrogenase subunit B (SDHB) and pyruvate dehydrogenase kinase 1
(PDK1) play key roles in the regulation of growth and survival of various
cancers. This study aimed to investigate expression of SDHB and PDK1 in recurrent
nasopharyngeal carcinoma (rNPC) tissues and analyzed the association of SDHB and
PDK1 expression with the clinical significance and potential prognostic
implication of rNPC. Immunohistochemistry was performed to determine the
expression of SDHB and PDK1 in tissues in primary NPC (pNPC) and rNPC patients.
Our results revealed that expression of SDHB in rNPC was significantly lower than
that in pNPC, while the expression of PDK1 was higher compared to pNPC. The
expression levels of SDHB and PDK1 were associated with T stage, N stage,
clinical stage, and metastasis of rNPC. Survival analysis showed that patients
with low SDHB expression had a significantly shorter overall survival time than
those with high SDHB expression. Patients with high PDK1 expression had a shorter
survival time than patients with low PDK1 expression. Multivariate analysis
showed that the expression of SDHB and PDK1 was an independent predictor for the
survival of patients with rNPC. Our results demonstrated that down-regulation of
SDHB and up-regulation of PDK1 may be novel biomarkers for predicting advanced
tumor progression and unfavorable prognosis in rNPC patients.
PMID- 26547585
TI - Quantitative measurement of iNOS expression in melanoma, nasopharyngeal,
colorectal, and breast tumors of Tunisian patients: comparative study and
clinical significance.
AB - Chronic inflammation increases the risk of development of human malignancies.
iNOS is an enzyme dominantly expressed during inflammatory reactions and seems to
play a critical role in tumorigenesis. Our aim was to assess the iNOS expression
in four types of human tumors: breast, colorectal, nasopharyngeal, and melanoma,
of Tunisian patients. The level of iNOS was measured by RT-QPCR in tumor
specimens. We showed that the expression of iNOS was higher in breast compared to
colorectal and nasopharyngeal tumors, whereas in melanoma, the level of iNOS
expression was low. Significant associations were found when comparing the iNOS
expression in cancers pairs such as melanoma versus colorectal (p < 0.0001),
colorectal versus nasopharyngeal (p = 0.0072), and melanoma versus breast (p <
0.0001). Furthermore, iNOS expression correlated with the Breslow thickness,
Clark level, and histological subtype in melanoma, while in nasopharyngeal
carcinoma, significant association was seen with age at diagnosis, TNM,
metastasis, response to treatment, and expression of COX-2. Furthermore, the
expression of iNOS correlated with tumor size, TNM, tumor location, and
histological type in colorectal cancer, and with tumor size, tumor stage, SBR
grade, and triple negative cases in breast cancer. On the other hand,
immunohistochemistry analysis shows that the expression of iNOS is observed in
the stroma and tumor cells as well. Overall, our results highlight that iNOS is a
reliable marker for advanced stage and aggressive behavior for the four types of
cancer and might be a potential promising therapeutic target.
PMID- 26547586
TI - Increased expression of ESCO1 is correlated with poor patient survival and its
role in human bladder cancer.
AB - There is increasing evidence suggesting that establishment of sister chromatid
cohesion N-acetyltransferase 1 (ESCO1) was involved in tumorigenesis. However,
its role in bladder cancer remains unclear. In this study, we aimed to study the
clinical correlation and biological significance of ESCO1 in bladder cancer. Our
results showed that ESCO1 was significantly over-expressed in bladder cancer
tissues compared with that in adjacent normal tissues. And, increased ESCO1
expression was significantly associated with higher grade (P < 0.001), higher
tumor stage (P = 0.014), and multifocality (P = 0.042). Kaplan-Meier analysis and
Cox proportional hazards model were performed to determine the prognostic
significance of ESCO1, and the results showed that ESCO1 is a useful prognostic
marker for bladder cancer patients. Moreover, we found that ESCO1 knockdown
inhibited the growth, migration, and invasion of bladder cancer cells. In
conclusion, our findings indicated that ESCO1 may play an important role in human
bladder cancer, and ESCO1 might serve as a novel target and prognosis factor for
human bladder cancer.
PMID- 26547587
TI - DCN deficiency promotes renal cell carcinoma growth and metastasis through
downregulation of P21 and E-cadherin.
AB - Decorin (DCN), as an important component of the extracellular matrix (ECM), is a
small leucine-rich proteoglycan and synthesized by fibroblasts. Although DCN is
dysregulated in numerous cancer types, limited data are available on the
expression level and important role of DCN proteins in renal cell carcinoma
(RCC). In our study, we examined the expression patterns of DCN messenger RNA
(mRNA) in RCCs through the Oncomine database and DCN protein in 94 RCC specimens
by immunohistochemistry (IHC). The results revealed that DCN expression was
decreased in cancerous tissues compared to adjacent noncancerous tissues and was
highly correlated to tumor size. Then, via gain-of-function analyses, DCN
overexpression could inhibit RCC cell proliferation and metastasis in vitro and
vivo. At the mechanism level, we found that an ectopic expression of DCN
significantly upregulated P21 and E-cadherin expression. Altogether, these
results revealed that DCN is a tumor suppressor in RCC, and it could serve as a
potential therapeutic target in patients with RCC.
PMID- 26547588
TI - Characterization of condensed tannins and carbohydrates in hot water bark
extracts of European softwood species.
AB - Condensed tannins extracted from European softwood bark are recognized as
alternatives to synthetic phenolics. The extraction is generally performed in hot
water, leading to simultaneous extraction of other bark constituents such as
carbohydrates, phenolic monomers and salts. Characterization of the extract's
composition and identification of the extracted tannins' molecular structure are
needed to better identify potential applications. Bark from Silver fir (Abies
alba [Mill.]), European larch (Larix decidua [Mill.]), Norway spruce (Picea abies
[Karst.]), Douglas fir (Pseudotsuga menziesii [Mirb.]) and Scots pine (Pinus
sylvestris [L.]) were extracted in water at 60 degrees C. The amounts of phenolic
monomers, condensed tannins, carbohydrates, and inorganic compounds in the
extract were determined. The molecular structures of condensed tannins and
carbohydrates were also investigated (HPLC-UV combined with thiolysis, MALDI-TOF
mass spectrometry, anion exchange chromatography). Distinct extract compositions
and tannin structures were found in each of the analysed species. Procyanidins
were the most ubiquitous tannins. The presence of phenolic glucosides in the
tannin oligomers was suggested. Polysaccharides such as arabinans,
arabinogalactans and glucans represented an important fraction of all extracts.
Compared to traditionally used species (Mimosa and Quebracho) higher viscosities
as well as faster chemical reactivities are expected in the analysed species. The
most promising species for a bark tannin extraction was found to be larch, while
the least encouraging results were detected in pine. A better knowledge of the
interaction between the various extracted compounds is deemed an important matter
for investigation in the context of industrial applications of such extracts.
PMID- 26547589
TI - Plasma Neprilysin Concentrations: A New Prognostic Marker in Heart Failure?
PMID- 26547590
TI - Infant lung function tests as endpoints in the ISIS multicenter clinical trial in
cystic fibrosis.
AB - BACKGROUND: The Infant Study of Inhaled Saline (ISIS) in CF was the first
multicenter clinical trial to utilize infant pulmonary function tests (iPFTs) as
an endpoint. METHODS: Secondary analysis of ISIS data was conducted in order to
assess feasibility of iPFT measures and their associations with respiratory
symptoms. Standard deviations were calculated to aid in power calculations for
future clinical trials. RESULTS: Seventy-three participants enrolled, 70 returned
for the final visit; 62 (89%) and 45 (64%) had acceptable paired functional
residual capacity (FRC) and raised volume measurements, respectively. Mean
baseline FEV0.5, FEF75 and FRC z-scores were 0.3 (SD: 1.2), -0.2 (SD: 2.0), and
1.8 (SD: 2.0). CONCLUSIONS: iPFTs are not appropriate primary endpoints for
multicenter clinical trials due to challenges of obtaining acceptable data and
near-normal average raised volume measurements. Raised volume measures have
potential to serve as secondary endpoints in future clinical CF trials.
PMID- 26547593
TI - Ask someone who cares: Caregiver surveys as a means of improving the management
of pancreatic cancer patients.
PMID- 26547592
TI - Twenty-four hour infusion of human recombinant activated protein C (Xigris) early
in severe acute pancreatitis: The XIG-AP 1 trial.
AB - OBJECTIVE: Patients with severe acute pancreatitis were excluded from major
trials of human recombinant activated protein C (Xigris) because of concern about
pancreatic haemorrhage although these individuals have an intense systemic
inflammatory response that may benefit from treatment. The object of this study
was to provide initial safety data evaluating Xigris in severe acute
pancreatitis. DESIGN: Prospective clinical trial recruiting between November 2009
and October 2011. Patients received human recombinant activated protein C
(Xigris) for 24 h by intravenous infusion (24 MUg/kg/h) in addition to standard
clinical care. A matched historical control group treated within the same
hospital unit were used to compare outcomes. Of 166 consecutive admitted
patients, 43 met the screening criteria for severe acute pancreatitis and 19 were
recruited, all contributing to the analyses. RESULTS: Compared to historical
controls, there were fewer bleeding events in the Xigris group although the
finding did not reach significance (Xigris 0% vs. Control 21%, p = 0.13),
similarly further intervention appeared less frequent (11% vs. 47%, p = 0.07) in
the treatment group. Length of stay was shorter for patients receiving Xigris (19
vs. 41 days, p = 0.03) as was inotrope use (5% vs. 32%, p = 0.02); mortality and
incidence of infections in both groups were similar. Biomarker protein C
increased while IL-6 decreased following infusion. CONCLUSIONS: A 24-hr infusion
of Xigris appears safe when used in patients with severe acute pancreatitis.
TRIAL REGISTRATION: Eudract Number 2007-003635-23.
PMID- 26547591
TI - CFTR potentiator therapy ameliorates impaired insulin secretion in CF patients
with a gating mutation.
AB - OBJECTIVE: To investigate the effect of treatment with ivacaftor on insulin
secretion in patients with cystic fibrosis (CF) (DeltaF508?S549R) having
CFRD/impaired insulin secretion. METHODS: A standard OGTT was performed before
and after 16weeks of treatment with ivacaftor in 2 sibling patients with CF
carrying the S549R gating mutation. The area under the curve (AUC) for glucose
and insulin was calculated using the trapezoidal estimation. RESULTS: Before
treatment, the OGTT of case 1 showed indeterminate glycemia; the OGTT of case 2
indicated CFRD. After ivacaftor treatment the OGTT demonstrated improved insulin
secretion pattern mainly by increased first phase early insulin secretion,
resulting in reduction of the AUC of glucose in both cases. CONCLUSIONS: The
treatment with ivacaftor in patients with CF carrying gating mutation can
ameliorate impaired insulin secretion. Further studies and larger cohorts are
needed to evaluate the impact of ivacaftor on insulin secretion in patients with
CF carrying gating or other mutations.
PMID- 26547594
TI - Role of pharmacist-provided point of care testing.
PMID- 26547595
TI - Optimizing finite resources: Pharmacist chart reviews in an outpatient kidney
transplant clinic.
AB - OBJECTIVE: To determine if a pharmacist-executed comprehensive chart review could
serve as sufficient substitution for direct participation during outpatient
clinic visits in the postdischarge follow-up treatment of kidney transplant
recipients. DESIGN: Retrospective, longitudinal, cross-sectional study. SETTING:
Acute and chronic transplant clinics at the Medical University of South Carolina,
Charleston, SC. PARTICIPANTS: 219 individual kidney transplant recipients. MAIN
OUTCOME MEASURES: Effectiveness of chart review assessments (with written notes)
as compared with in-clinic assessments (with verbal communication with transplant
providers followed by documentation by pharmacists). An independent transplant
provider graded pharmacist recommendations by severity. All recommendations were
compared with the provider's plan to determine if the recommendations were
incorporated. RESULTS: During the 3-month study period, 170 pharmacist chart
reviews were written and 175 clinic visits involved direct pharmacist
participation. Providers accepted a greater percentage of recommendations that
were delivered directly compared with recommendations presented via a note in the
patient folder following chart review (92% vs. 28%, respectively; P <0.0001).
Directly provided recommendations were also associated with higher severity
scores. CONCLUSION: The results of this study suggest that comprehensive chart
review by pharmacists prior to patient clinic visits may not be as effective as
in-person consultation in communicating recommendations to providers. Further
research is needed in similar clinic settings.
PMID- 26547596
TI - Check it out: A practical tool for improving medication safety.
AB - OBJECTIVE: To recommend incorporation of a prospective drug utilization review
(DUR) checklist into the routine processing of prescription orders in the
community practice setting to improve the quality and safety of pharmaceutical
care. PRACTICE INNOVATION: We proposed a checklist that was designed to include
all the elements of a prospective DUR required by the Omnibus Budget
Reconciliation Act of 1990 (OBRA '90) and most pharmacy practice acts.
CONCLUSION: If properly incorporated into workflow and supported by company
policies and procedures, a simple DUR checklist like that proposed in this study
could significantly improve the quality of pharmacists' prospective DUR
activities and the safety of medication therapy provided to patients. We also
recommend that future quality metrics be created and implemented to ensure that
pharmacists consistently perform this key professional responsibility.
PMID- 26547597
TI - User testing and performance evaluation of the Electronic Quality Improvement
Platform for Plans and Pharmacies.
AB - OBJECTIVE: To user-test and evaluate a performance information management
platform that makes standardized, benchmarked medication use quality data
available to both health plans and community pharmacy organizations. SETTING:
Multiple health/drug plans and multiple chain and independent pharmacies across
the United States. EVALUATION: During the first phase of the study, user
experience was measured via user satisfaction surveys and interviews with key
personnel (pharmacists, pharmacy leaders, and health plan leadership).
Improvements were subsequently made to the platform based on these findings.
During the second phase of the study, the platform was implemented in a greater
number of pharmacies and by a greater number of payers. User experience was then
reevaluated to gather information for further improvements. RESULTS: The surveys
and interviews revealed that users found the Web-based platform easy to use and
beneficial in terms of understanding and comparing performance metrics. Primary
concerns included lack of access to real-time data and patient-specific data.
Many users also expressed uncertainty as to how they could use the information
and data provided by the platform. CONCLUSION: The study findings indicate that
while information management platforms can be used effectively in both pharmacy
and health plan settings, future development is needed to ensure that the
provided data can be transferred to pharmacy best practices and improved quality
care.
PMID- 26547598
TI - Community pharmacist participation in a practice-based research network: a report
from the Medication Safety Research Network of Indiana (Rx-SafeNet).
AB - OBJECTIVE: To describe the experiences and opinions of pharmacists serving as
site coordinators for the Medication Safety Research Network of Indiana (Rx
SafeNet). SETTING: Retail chain, independent, and hospital/health system
outpatient community pharmacies throughout Indiana, with a total of 127 pharmacy
members represented by 26 site coordinators. PRACTICE DESCRIPTION: Rx-SafeNet, a
statewide practice-based research network (PBRN) formed in 2010 and administered
by the Purdue University College of Pharmacy. MAIN OUTCOME MEASURES: Barriers and
facilitators to participation in available research studies, confidence
participating in research, and satisfaction with overall network communication.
RESULTS: 22 of 26 site coordinators participated, resulting in an 85% response
rate. Most (72.2%) of the respondents had received a doctor of pharmacy degree,
and 13.6% had postgraduate year (PGY)1 residency training. The highest reported
benefits of PBRN membership were an enhanced relationship with the Purdue
University College of Pharmacy (81% agreed or strongly agreed) and enhanced
professional development (80% agreed or strongly agreed). Time constraints were
identified as the greatest potential barrier to network participation, reported
by 62% of respondents. In addition, the majority (59%) of survey respondents
identified no prior research experience. Last, respondents' confidence in
performing research appeared to increase substantially after becoming network
members, with 43% reporting a lack of confidence in engaging in research before
joining the network compared with 90% reporting confidence after joining the
network. CONCLUSION: In general, Rx-SafeNet site coordinators appeared to
experience increased confidence in research engagement after joining the network.
While respondents identified a number of benefits associated with network
participation, concerns about potential time constraints remained a key barrier
to participation. These findings will assist network leadership in identifying
opportunities to positively increase member participation in the future.
PMID- 26547599
TI - Development and evaluation of a multidisciplinary controlled substances committee
within a patient-centered medical home.
AB - OBJECTIVE: To present the development of a multidisciplinary controlled
substances committee and describe its effectiveness in relation to prescribers'
acceptance of committee recommendations, the number of premature deaths
associated with controlled substances, and prescribers' need for education on
controlled substances. SETTING: A patient-centered medical home and accountable
care organization in Maine that serves more than 60,000 patients across a large
rural area, 70% of whom are classified as lower income. PRACTICE DESCRIPTION: A
multidisciplinary group of prescribers and PharmD residents created a committee
to influence organizational culture regarding controlled substances. The
Controlled Substances Initiative Committee (CSIC) updated institutional policies,
developed provider education, and made personalized patient recommendations to
prescribers. MAIN OUTCOME MEASURES: The primary outcome was average change in
daily morphine equivalent dose (MED) in patients for whom CSIC recommended a dose
reduction to the patient's prescriber. Secondary outcomes included the proportion
of patients who died of a known overdose or suspected drug-related death during
2012-2013 or 2013-2014. In addition, prescriber beliefs about controlled
substances were measured via a needs assessment. RESULTS: The average daily MED
for patients whom CSIC recommended dose reduction was lower after 3 months
compared with at baseline (175.5 +/- 344.3 mg vs. 292.7 +/- 466.5 mg; P <0.05).
The proportion of patients who died of a known overdose did not differ between
2012-2013 and 2013-2014 (11.8% vs. 11.1%; P = 1.00). However, a greater number of
patients had a suspected drug-related death during 2013-2014 compared with during
2012-2013 (0% vs. 27.3%; P = 0.05). CONCLUSION: A multidisciplinary controlled
substances committee may improve patient safety and outcomes by offering
prescriber support and helping alter prescribing culture.
PMID- 26547600
TI - Establishing pathways for access to pharmacist-provided patient care.
AB - OBJECTIVE: To describe the pathways being established for access to pharmacist
provided patient care and supply recommendations for the next steps in this
process. DATA SOURCES: A series of reports published by the American Pharmacists
Association regarding pharmacist-provided patient care services. SUMMARY:
Community pharmacies and integrated health organizations have emerged as the two
predominant pathways for patient access to pharmacist-provided patient care. We
view these two pathways as complementary in helping cover patients' entire
medication therapy needs as they traverse acute and chronic health care services.
However, gaps in access to pharmacist-provided care remain, especially during
transitions in care. CONCLUSION: In further establishing pathways for access to
pharmacist-provided patient care, we propose that the application of
collaboration theory will help close gaps that currently exist between health
care organizations. Such an approach carries risk and will require trust among
participating organizations. This approach is also likely to require updating and
contemporizing pharmacy practice acts and other statutes to allow pharmacists to
practice at maximum capacity within new models of care. To perform their new
roles and create sustainable business models to support these new functions,
pharmacists will need to be paid for their services. To this end, changes will
need to be made to payment and documentation systems, incentives, and contracting
approaches to develop proper reimbursement and accounting for pharmacists' new
roles.
PMID- 26547601
TI - HPV9: Combating HPV-associated cancers by strengthening serotype defenses.
PMID- 26547602
TI - Sacubitril/valsartan, ivabradine hydrochloride, alirocumab, and evolocumab.
PMID- 26547603
TI - Trying to bring common sense to the Common Rule1.
PMID- 26547604
TI - Quality of life in South African Black women with alopecia: a pilot study.
AB - BACKGROUND: Alopecia has been shown to have a significant impact on quality of
life (QoL), particularly in women. However, there are no data for African
populations. This study was conducted to pilot an original questionnaire and a
model-based methodology to measure QoL and its determinants in a sample of South
African Black women of African ancestry with alopecia. METHODS: Fifty
participants aged 21-79 years were randomly chosen from patients presenting to
dermatologists with alopecia. We used an original questionnaire consisting of 24
items grouped into those assessing the respective impacts of subjective symptoms,
objective signs, and relationship issues, measured on a four-level scale. These
were then combined using component-based structural equation modeling to return a
QoL index (QLI) and to rank the factors contributing to this. RESULTS: On a scale
ranging from 0 (high QoL) to 100 (severely decreased QoL), we found a mean QLI of
67.7. The negative impact of alopecia on QoL was higher in younger patients than
older patients. The factors with the highest impact were those relating to the
subjective experience of alopecia and self-image (56.3%), followed by those
relevant to relationships and interaction with other people (34.8%). The presence
of objective symptoms and signs such as pruritus was of minor importance (8.9%).
CONCLUSIONS: Although not a life-threatening condition, alopecia may seriously
impair QoL, particularly by inducing anxiety and reducing self-esteem among
African women. Healthcare practitioners should be mindful of this and intervene
appropriately to mitigate these effects.
PMID- 26547605
TI - [Not Available].
PMID- 26547606
TI - Occurrence Frequencies of Acoustic Patterns of Vocal Fry in American English
Speakers.
AB - OBJECTIVE: The goal of this study was to analyze the occurrence frequencies of
three individual acoustic patterns (A, B, C) and of vocal fry overall (A + B + C)
as a function of gender, word position in the sentence (Not Last Word vs. Last
Word), and sentence length (number of words in a sentence). STUDY DESIGN: This is
an experimental design. METHODS: Twenty-five male and 29 female American English
(AE) speakers read the Grandfather Passage. The recordings were processed by a
Matlab toolbox designed for the analysis and detection of creaky segments,
automatically identified using the Kane-Drugman algorithm. The experiment
produced subsamples of outcomes, three that reflect a single, discrete acoustic
pattern (A, B, or C) and the fourth that reflects the occurrence frequency counts
of Vocal Fry Overall without regard to any specific pattern. Zero-truncated
Poisson regression analyses were conducted with Gender and Word Position as
predictors and Sentence Length as a covariate. RESULTS: The results of the
present study showed that the occurrence frequencies of the three acoustic
patterns and vocal fry overall (A + B + C) are greatest at the end of sentences
but are unaffected by sentence length. The findings also reveal that AE female
speakers exhibit Pattern C significantly more frequently than Pattern B, and the
converse holds for AE male speakers. CONCLUSIONS: Future studies are needed to
confirm such outcomes, assess the perceptual salience of these acoustic patterns,
and determine the physiological correlates of these acoustic patterns. The
findings have implications for the design of new excitation models of vocal fry.
PMID- 26547607
TI - Voice Disorders: Etiology and Diagnosis.
AB - OBJECTIVES: Voice disorders affect adults and children and have different causes
in different age groups. The aim of the study is to present the etiology and
diagnosis dysphonia in a large population of patients with this voice
disorder.for dysphonia of a large population of dysphonic patients. METHODS: We
evaluated 2019 patients with dysphonia who attended the Voice Disease
ambulatories of a university hospital. Parameters assessed were age, gender,
profession, associated symptoms, smoking, and videolaryngoscopy diagnoses.
RESULTS: Of the 2019 patients with dysphonia who were included in this study, 786
were male (38.93%) and 1233 were female (61.07). The age groups were as follows:
1-6 years (n = 100); 7-12 years (n = 187); 13-18 years (n = 92); 19-39 years (n =
494); 41-60 years (n = 811); and >60 years (n = 335). Symptoms associated with
dysphonia were vocal overuse (n = 677), gastroesophageal symptoms (n = 535), and
nasosinusal symptoms (n = 497). The predominant professions of the patients were
domestic workers, students, and teachers. Smoking was reported by 13.6% patients.
With regard to the etiology of dysphonia, in children (1-18 years old), nodules
(n = 225; 59.3%), cysts (n = 39; 10.3%), and acute laryngitis (n = 26; 6.8%)
prevailed. In adults (19-60 years old), functional dysphonia (n = 268; 20.5%),
acid laryngitis (n = 164; 12.5%), and vocal polyps (n = 156; 12%) predominated.
In patients older than 60 years, presbyphonia (n = 89; 26.5%), functional
dysphonia (n = 59; 17.6%), and Reinke's edema (n = 48; 14%) predominated.
CONCLUSIONS: In this population of 2019 patients with dysphonia, adults and women
were predominant. Dysphonia had different etiologies in the age groups studied.
Nodules and cysts were predominant in children, functional dysphonia and reflux
in adults, and presbyphonia and Reinke's edema in the elderly.
PMID- 26547608
TI - [Acute pancreatitis associated with the intragastric balloon].
PMID- 26547609
TI - Endoscopic resection as a treatment for duodenal gangliocytic paraganglioma.
PMID- 26547610
TI - A case of sclerosing angiomatoid nodular transformation of the spleen: Imaging
and histopathological findings.
PMID- 26547611
TI - Primary hepatic lymphoma: An infrequent focal liver tumour.
PMID- 26547612
TI - Pneumatosis intestinalis due to 5-fluorouracil chemotherapy.
PMID- 26547613
TI - [Treatment of non-cirrhotic, non-tumoural portal vein thrombosis].
AB - Thrombosis of the splenoportal axis not associated with liver cirrhosis or
neoplasms is a rare disease whose prevalence ranges from 0.7 to 3.7 per 100,000
inhabitants. However, this entity is the second most common cause of portal
hypertension. Prothrombotic factors are present as an underlying cause in up to
70% of patients and local factors in 10-50%. The coexistence of several
etiological factors is frequent. Clinical presentation may be acute or chronic
(portal cavernomatosis). The acute phase can present as abdominal pain, nausea,
vomiting, fever, rectorrhagia, intestinal congestion, and ischemia. In this
phase, early initiation of anticoagulation is essential to achieve portal vein
recanalization and thus improve patient prognosis. In the chronic phase, symptoms
are due to portal hypertension syndrome. In this phase, the aim of treatment is
to treat or prevent the complications of portal hypertension. Anticoagulation is
reserved to patients with a proven underlying thrombophilic factor.
PMID- 26547614
TI - [Treatment of hepatitis C before and after liver transplantation].
AB - Hepatitis C recurrence after liver transplantation is universal and increases
morbidity and mortality in these patients. The development of new direct
antiviral agents against the hepatitis C virus is a major treatment advance. Pre
transplant treatment avoids graft infection and sometimes improves liver
function, allowing the patient to be withdrawn from the transplant waiting list.
Delaying treatment until the postpostransplant period may be advisable in
patients with advanced cirrhosis. Generally, antiviral therapy after liver
transplantation is provided in patients with histological evidence of the
disease. In these patients, treatment is more effective in the initial stages of
the disease. The choice of antiviral therapy in these patients is based on the
degree of liver function, the presence of renal failure, and potential drug-drug
interactions.
PMID- 26547615
TI - Colorectal cancer screening in the familial risk population: Is colonoscopy still
the strategy of choice?
AB - First-degree relatives of patients with colorectal cancer (CRC) are at high risk
of this disease. For this reason, medical organizations and clinical guidelines
recommend more intensive screening and surveillance for such first-degree
relatives than for the average-risk population. Colonoscopy has been the
cornerstone of CRC screening in this setting. Although colonoscopy is the most
sensitive technique for the detection of neoplastic lesions (especially non
advanced adenomas), its role is less clear for CRC. In addition, screening
colonoscopy has several limitations that may affect the success of a screening
campaign, such as poor participant acceptance, the need for skilled endoscopists,
participant access to screening colonoscopy, overburdened endoscopy units,
potential complications, and procedure-related costs. In addition, recent
evidence has cast doubt on the advantage of colonoscopy over other strategies for
the detection of advanced neoplastic lesions. Despite being less sensitive in
general, other screening methods frequently recommended in the average-risk
population may be more acceptable and thus help increase CRC screening uptake.
This review discusses recent evidence on the risk of CRC in first-degree
relatives, the advantages and disadvantages of each screening technique,
participation rates depending on the technique, patient preferences, and barriers
to screening.
PMID- 26547616
TI - Lichen planus after rabies vaccination.
PMID- 26547617
TI - Atmospheric metallic and arsenic pollution at an offshore drilling platform in
the Bo Sea: A health risk assessment for the workers.
AB - To investigate the ambient metal pollution at the offshore drilling platform in
the Bo Sea, which few studies have focused on, PM2.5 samples were collected and
ten heavy metals, as well as As, were analyzed. High concentration levels of
metals were observed, and the heavy metal pollution was quite serious compared to
air quality standards and other marine areas. Back trajectories and wind
dependent and PCA analyses showed that the marine sources included ship traffic
emissions and corrosive stainless steels from the equipment at the platform as
well as industrial emissions from stainless steel production and coal combustion
sources, which were transported from the surrounding mainland. Both contributed
greatly to the ambient metallic particles at the offshore platform. The Hazard
Index values of the metals, which were much less than 1, the Carcinogenic Risk
data, which were lower than the EPA's acceptable range, and the fact that the
metal concentrations did not the exceed the permissible exposure limits of OSHA,
indicated that the health risks from the ambient metallic particles for the oil
drilling workers were not significant.
PMID- 26547618
TI - A simple electrochemical biosensor based on AuNPs/MPS/Au electrode sensing layer
for monitoring carbamate pesticides in real samples.
AB - A simple electrochemical biosensor for quantitative determination of carbamate
pesticide was developed based on a sensing interface of citrate-capped gold
nanoparticles (AuNPs)/(3-mercaptopropyl)-trimethoxysilane (MPS)/gold electrode
(Au). The biosensor was fabricated by firstly assembling three-dimensional (3D)
MPS networks on Au electrode and subsequently assembling citrate-capped AuNPs on
3D MPS network via AuS bond. The interface of AuNPs/MPS/Au was negatively charged
originating from the citrate coated on AuNPs that would repulse the negatively
charged ferricyanide ([Fe(CN)6](3-/4-)) to produce a negative response. In the
presence of acetylcholinesterase (AChE) and acetylthiocholine (ATCl), the AChE
catalyzes the hydrolysis of ATCl into positively charged thiocholine which would
replace the citrate on AuNPs through the strong AuS bond and convert the negative
charged surface to be positively charged. The resulted positively charged
AuNPs/MPS/Au then attracted the [Fe(CN)6](3-/4-) to produce a positive response.
Based on the inhibition of carbamate pesticides on the activity of AChE, the
pesticide could be quantitatively determined at a very low potential. The linear
range was from 0.003 to 2.00 MUM. The sensing platform was also proved to be
suitable for carbamate pesticides detection in practical sample.
PMID- 26547619
TI - Arsenate sorption on monohydrocalcite by coprecipitation during transformation to
aragonite.
AB - The metastability of monohydrocalcite (CaCO3.H2O: MHC) suggests high reactivity
to dissolved trace elements. Using kinetic and isotherm sorption experiments with
different reaction times (24h, 48h), As(V) sorption on MHC was examined to
elucidate As(V) uptake by MHC. Although the MHC was transformed to aragonite with
time, the MHC in higher As(V) loading conditions was able to persist longer than
in lower loading conditions. Actually, As(V) uptake was negligible for samples in
which the MHC remained. However, remarkable uptake of As(V) was observed for
samples in which a complete transformation of MHC to aragonite occurred. Results
of kinetic study confirmed that the timing of the MHC transformation coincided
perfectly with that of As(V) removal from the solution. XAFS measurements showed
that the local structure of As after the MHC transformation was almost identical
to that of As in the As(V) coprecipitated aragonite. Sorption behavior of As(V)
during the transformation is explainable theoretically by the substitution of
As(V) into the aragonite structure. The distribution coefficient and (apparent)
maximum sorption capacity of As(V) sorption on MHC after 48h at low-to-moderate
As(V) concentrations are 500L/kg and 25MUmol/g, respectively, which are much
higher than those of simple adsorption of As(V) on calcite.
PMID- 26547620
TI - Synergistic effect using vermiculite as media with a bacterial biofilm of
Arthrobacter sp. for biodegradation of di-(2-ethylhexyl) phthalate.
AB - Vermiculite is one of matrix material used for constructed wetland (CW) for the
treatment of municipal wastewater. Arthrobacter sp. strain C21 (CGMCC No. 7671),
isolated from a constructed wetland receiving municipal wastewater, forms biofilm
on the surface of vermiculite. Di-(2-ethylhexyl) phthalate (DEHP), a typical
phthalate pollutant in environment, can be degraded by the biofilm of strain C21
formed on vermiculite. Results of laboratory studies indicated that DEHP was
removed from aqueous phase via biodegradation, adsorption by vermiculite, and
adsorption by biofilm biomass. Synergistic effect of these three reactions
enhanced the overall DEHP removal efficiency. During a batch incubation test with
vermiculite and the cell suspension, bacterial adhesion to the media surface
occurred within 5h and the phthalate esters (PEs) removal was due to both
biodegradation and vermiculite adsorption. As the biofilm developed on surface of
vermiculite (5-36 h), biodegradation became the predominance for PEs removal. As
mature biofilm was formed (36-54 h), the adsorption of PEs by biofilm biomass
became a main driving force for the removal of PEs from aqueous phase. The
content of extracellular polymers (EPS) of the biofilm and DEHP removal
performance showed a significant positive correlation (rp>0.86).
PMID- 26547621
TI - Superoxide radical-mediated photocatalytic oxidation of phenolic compounds over
Ag+/TiO2: Influence of electron donating and withdrawing substituents.
AB - A comparative study was constructed to correlate the electronic property of the
substituents with the degradation rates of phenolic compounds and their oxidation
pathways under UV with Ag(+)/TiO2 suspensions. It was verified that a weak
electron withdrawing substituent benefited photocatalytic oxidation the most,
while an adverse impact appeared when a substituent was present with stronger
electron donating or withdrawing ability. The addition of p-benzoquinone
dramatically blocked the degradation, confirming superoxide radicals (O2(-)) as
the dominant photooxidant, rather than hydroxyl radicals, singlet oxygen or
positive holes, which was also independent of the substituent. Hammett
relationship was established based on pseudo-first-order reaction kinetics, and
it revealed two disparate reaction patterns between O2(-) and phenolic compounds,
which was further verified by the quantum chemical computation on the frontier
molecular orbitals and Mulliken charge distributions of O2(-) and phenolic
compounds. It was found that electron donating group (EDG) substituted phenols
were more likely nucleophilically attacked by O2(-), while O2(-) preferred to
electrophilically assault electron withdrawing group (EWG) substituted phenols.
Exceptionally, electrophilic and nucleophilic attack by O2(-) could
simultaneously occur in p-chlorophenol degradation, consequently leading to its
highest rate constant. Possible reactive positions on the phenolic compounds were
also detailedly uncovered.
PMID- 26547622
TI - Explosions of ammonium nitrate fertilizer in storage or transportation are
preventable accidents.
AB - Ammonium nitrate (AN) is a detonable substance which has led to numerous
disasters throughout the 20th century and until the present day, with the latest
disaster occurring on 17 April 2013. Needed safety lesson have not been learned,
since typically each accident was viewed as a great surprise and investigations
focused on finding some unique reason for the accident, rather than examining
what is common among the accidents. A review is made of accidents which involved
AN for fertilizer purposes, and excluding incidents involving ANFO or additional
explosives apart from AN. It is found that, for explosions in storage or
transportation, 100% of these disasters had a single causative factor-an
uncontrollable fire. Thus, such disasters can be eliminated by eliminating the
potential for uncontrolled fire. Two actions are required to achieve this: (1)
adoption of fertilizer formulations which reduce the potential for uncontrolled
fire and for detonation; and (2) adoption of building safety measures which
provide assurance against uncontrolled fires. Technical means are available for
achieving both these required measures. These measures have been known for a long
time and the only reason that disasters continue to occur is that these safety
measures are not implemented. The problem can be solved unilaterally by product
manufacturers or by government authorities, but preferably both should take
necessary steps.
PMID- 26547623
TI - Experimental studies of thermal environment and contaminant transport in a
commercial aircraft cabin with gaspers on.
AB - Gaspers installed in commercial airliner cabins are used to improve passengers'
thermal comfort. To understand the impact of gasper airflow on the air quality in
a cabin, this investigation measured the distributions of air velocity, air
temperature, and gaseous contaminant concentration in five rows of the economy
class section of an MD-82 commercial aircraft. The gaseous contaminant was
simulated using SF6 as a tracer gas with the source located at the mouth of a
seated manikin close to the aisle. Two-fifths of the gaspers next to the aisle
were turned on in the cabin, and each of them supplied air at a flow rate of 0.66
l/s. The airflow rate in the economy-class cabin was controlled at 10 l/s per
passenger. Data obtained in a previous study of the cabin with all gaspers turned
off were used for comparison. The results show that the jets from the gaspers had
a substantial impact on the air velocity and contaminant transport in the cabin.
The air velocity in the cabin was higher, and the air temperature slightly more
uniform, when the gaspers were on than when they were off, but turning on the
gaspers may not have improved the air quality.
PMID- 26547625
TI - Collecting and Pooling Assessment and Outcome Data in Couple and Family Therapy
Training Clinics: Reasons to Do It, Common Problems, and Some Suggestions for
Avoiding Them.
AB - The article by Johnson et al. (2015) is an excellent example of many of the
advantages and challenges of collecting data in clinics associated with Couple
and Family Therapy training programs. In the hopes of encouraging more use of
clinic datasets for research, we suggest some ways to improve the feasibility,
quality, and value of routinely collecting such data.
PMID- 26547624
TI - The Liver Clock Controls Cholesterol Homeostasis through Trib1 Protein-mediated
Regulation of PCSK9/Low Density Lipoprotein Receptor (LDLR) Axis.
AB - Disruption of the body clock has been recognized as a risk factor for
cardiovascular disease. How the circadian pacemaker interacts with the genetic
factors associated with plasma lipid traits remains poorly understood. Recent
genome-wide association studies have identified an expanding list of genetic
variants that influence plasma cholesterol and triglyceride levels. Here we
analyzed circadian regulation of lipid-associated candidate genes in the liver
and identified two distinct groups exhibiting rhythmic and non-rhythmic patterns
of expression during light-dark cycles. Liver-specific inactivation of Bmal1 led
to elevated plasma LDL/VLDL cholesterol levels as a consequence of the disruption
of the PCSK9/LDL receptor regulatory axis. Ablation of the liver clock perturbed
diurnal regulation of lipid-associated genes in the liver and markedly reduced
the expression of the non-rhythmically expressed gene Trib1. Adenovirus-mediated
rescue of Trib1 expression lowered plasma PCSK9 levels, increased LDL receptor
protein expression, and restored plasma cholesterol homeostasis in mice lacking a
functional liver clock. These results illustrate an unexpected mechanism through
which the biological clock regulates cholesterol homeostasis through its
regulation of non-rhythmic genes in the liver.
PMID- 26547626
TI - Reply.
PMID- 26547627
TI - A novel c.2T>A NDP missense mutation in a Chinese family with Norrie disease.
PMID- 26547628
TI - The PDGF system and its antagonists in liver fibrosis.
AB - Platelet derived growth factor (PDGF) signaling plays an important role in
activated hepatic stellate cells and portal fibroblast proliferation, chemotaxis,
migration and cell survival. PDGF receptors and ligands are upregulated in
experimental liver fibrotic models as well as in human liver fibrotic diseases.
Blocking of PDGF signaling ameliorates experimental liver fibrogenesis. The
plurality of molecular and cellular activities of PDGF and its involvement in
initiation, progression and resolution of hepatic fibrogenesis offers an infinite
number of therapeutic possibilities. These include the application of therapeutic
antibodies (e.g. AbyD3263, MOR8457) which specifically sequester individual PDGF
isoforms or the inhibition of PDGF isoforms by synthetic aptamers. In particular,
the isolation of innovative slow off-rate modified aptamers (e.g., SOMAmer SL1
and SL5) that carry functional groups absent in natural nucleic acids by the
Systematic Evolution of Ligands by EXponential (SELEX) enrichment technique
offers the possibility to design high affinity aptamers that target PDGF isoforms
for clinical purposes. Dominant-negative soluble PDGF receptors are also
effective in attenuation of hepatic stellate cell proliferation and hepatic
fibrogenesis. Moreover, some multikinase inhibitors targeting PDGF signaling have
been intensively tested during the last decade and are on the way into advanced
preclinical studies and clinical trials. This narrative review aims to gauge the
recent progression of research into PDGF systems and liver fibrosis.
PMID- 26547630
TI - Evolution of sickle cell disease from a life-threatening disease of children to a
chronic disease of adults: The last 40 years.
AB - Over the past 40 years, public health measures such as universal newborn
screening, penicillin prophylaxis, vaccinations, and hydroxyurea therapy have led
to an impressive decline in sickle cell disease (SCD)-related childhood mortality
and SCD-related morbidity in high-income countries. We remain cautiously
optimistic that the next 40 years will be focused on meeting current challenges
in SCD by addressing chronic complications of SCD to reduce mortality and improve
quality of life in a growing population of adults with SCD in high-income
countries, while simultaneously decreasing the disparity of medical care between
high and low-income countries.
PMID- 26547629
TI - A population-based estimate of the economic burden of influenza in Peru, 2009
2010.
AB - INTRODUCTION: Influenza disease burden and economic impact data are needed to
assess the potential value of interventions. Such information is limited from
resource-limited settings. We therefore studied the cost of influenza in Peru.
METHODS: We used data collected during June 2009-December 2010 from laboratory
confirmed influenza cases identified through a household cohort in Peru. We
determined the self-reported direct and indirect costs of self-treatment,
outpatient care, emergency ward care, and hospitalizations through standardized
questionnaires. We recorded costs accrued 15-day from illness onset. Direct costs
represented medication, consultation, diagnostic fees, and health-related
expenses such as transportation and phone calls. Indirect costs represented lost
productivity during days of illness by both cases and caregivers. We estimated
the annual economic cost and the impact of a case of influenza on a household.
RESULTS: There were 1321 confirmed influenza cases, of which 47% sought health
care. Participants with confirmed influenza illness paid a median of $13
[interquartile range (IQR) 5-26] for self-treatment, $19 (IQR 9-34) for
ambulatory non-medical attended illness, $29 (IQR 14-51) for ambulatory medical
attended illness, and $171 (IQR 113-258) for hospitalizations. Overall, the
projected national cost of an influenza illness was $83-$85 millions. Costs per
influenza illness represented 14% of the monthly household income of the lowest
income quartile (compared to 3% of the highest quartile). CONCLUSION: Influenza
virus infection causes an important economic burden, particularly among the
poorest families and those hospitalized. Prevention strategies such as annual
influenza vaccination program targeting SAGE population at risk could reduce the
overall economic impact of seasonal influenza.
PMID- 26547631
TI - Tonically active NMDA receptors--a signalling mechanism critical for
interneuronal excitability in the CA1 stratum radiatum.
AB - In contrast to tonic extrasynaptic gamma-aminobutyric acid (GABA)A receptor
mediated signalling, the physiological significance of tonic extrasynaptic N
methyl-D-aspartate (NMDA) receptor (NMDAR)-mediated signalling remains uncertain.
In this study, reversible open-channel blockers of NMDARs, memantine and
phencyclidine (PCP) were used as tools to examine tonic NMDAR-mediated signalling
in rat hippocampal slices. Memantine in concentrations up to 10 MUM had no effect
on synaptically evoked NMDAR-mediated responses in pyramidal neurons or GABAergic
interneurons. On the other hand, 10 MUM memantine reduced tonic NMDAR-mediated
currents in GABAergic interneurons by approximately 50%. These tonic NMDAR
mediated currents in interneurons contributed significantly to the excitability
of the interneurons as 10 MUM memantine reduced the disynaptic inhibitory
postsynaptic current in pyramidal cells by about 50%. Moreover, 10 MUM memantine,
but also PCP in concentrations <= 1 MUM, increased the magnitude of the
population spike, likely because of disinhibition. The relatively higher impact
of tonic NMDAR-mediated signalling in interneurons was at least partly explained
by the expression of GluN2D-containing NMDARs, which was not observed in mature
pyramidal cells. The current results are consistent with the idea that low doses
of readily reversible NMDAR open-channel blockers preferentially inhibit
tonically active extrasynaptic NMDARs, and they suggest that tonically active
NMDARs contribute more prominently to the intrinsic excitation in GABAergic
interneurons than in pyramidal cells. It is proposed that this specific
difference between interneurons and pyramidal cells can explain the disinhibition
caused by the Alzheimer's disease medication memantine.
PMID- 26547632
TI - Multiple Endocrine Neoplasia, Type 1: Imaging Solutions to Clinical Questions.
AB - The common clinical presentations of multiple endocrine neoplasia, type 1 (MEN1)
often lead to predictable clinical questions that can be answered with imaging.
From pituitary adenomas to parathyroid adenoms and pancreaticoduodenal
neuroendocrine tumors, the multiple faces of MEN1 require an understanding of the
basic disease characteristics and an understanding of multiple imaging
modalities. We attempt to provide the reader with a basic understanding of the
common clinical questions raised by patients with MEN1 and how radiologists can
provide critical management information.
PMID- 26547633
TI - Trans-Stent B-Mode Ultrasound and Passive Cavitation Imaging.
AB - Angioplasty and stenting of a stenosed artery enable acute restoration of blood
flow. However, restenosis or a lack of re-endothelization can subsequently occur
depending on the stent type. Cavitation-mediated drug delivery is a potential
therapy for these conditions, but requires that particular types of cavitation be
induced by ultrasound insonation. Because of the heterogeneity of tissue and
stochastic nature of cavitation, feedback mechanisms are needed to determine
whether the sustained bubble activity is induced. The objective of this study was
to determine the feasibility of passive cavitation imaging through a metal stent
in a flow phantom and an animal model. In this study, an endovascular stent was
deployed in a flow phantom and in porcine femoral arteries. Fluorophore-labeled
echogenic liposomes, a theragnostic ultrasound contrast agent, were injected
proximal to the stent. Cavitation images were obtained by passively recording and
beamforming the acoustic emissions from echogenic liposomes insonified with a low
frequency (500 kHz) transducer. In vitro experiments revealed that the signal-to
noise ratio for detecting stable cavitation activity through the stent was
greater than 8 dB. The stent did not significantly reduce the signal-to-noise
ratio. Trans-stent cavitation activity was also detected in vivo via passive
cavitation imaging when echogenic liposomes were insonified by the 500-kHz
transducer. When stable cavitation was detected, delivery of the fluorophore into
the arterial wall was observed. Increased echogenicity within the stent was also
observed when echogenic liposomes were administered. Thus, both B-mode ultrasound
imaging and cavitation imaging are feasible in the presence of an endovascular
stent in vivo. Demonstration of this capability supports future studies to
monitor restenosis with contrast-enhanced ultrasound and pursue image-guided
ultrasound-mediated drug delivery to inhibit restenosis.
PMID- 26547635
TI - Pathophysiological Mechanisms Involved in Vasomotor Disturbances in Complex
Regional Pain Syndrome and Implications for Therapy: A Review.
AB - Complex regional pain syndrome (CRPS) is characterized by continuous pain,
disproportional to the initial trauma. It usually spreads to the distal parts of
the affected limb. Besides continuing pain, a mix of sensory, sudo- and vasomotor
disturbances, motor dysfunction, and trophic changes is responsible for physical
complaints. Vasomotor disturbance is characterized by changes in skin temperature
and color. In CRPS patients with a cold extremity, a decrease in blood flow can
cause decreased tissue saturation and tissue acidosis, resulting in ischemic
pain. The pathophysiology of vasomotor disturbances is not completely understood.
Temperature asymmetry is generally assumed as a result of disturbance in the
sympathetic nervous system. Vasodilating drugs and sympathetic blockade have been
cornerstones of therapy in cold CRPS for years. However, only a limited part of
these patients improve on this kind of therapies. Research has shown a pivotal
role for inflammation in the pathophysiology of CRPS. Inflammation can result in
endothelial dysfunction. Endothelial function plays an important role in the
local regulation of vascular tone. Endothelial dysfunction could be another
mechanism responsible for the vasomotor disturbances in cold CRPS. An important
goal in the treatment of cold-type CRPS is the restoration of a normal blood
flow. Consequently it is important to distinguish the underlying
pathophysiological mechanisms of vasomotor disturbances. A disturbance of the
sympathetic nervous system may require another type of treatment than
inflammation-induced endothelial dysfunction. Diagnostic tools to distinguish
these underlying pathophysiological mechanisms of vasomotor disturbances would
enable a mechanism-based treatment and improve clinical outcome.
PMID- 26547634
TI - Calibration and Evaluation of Ultrasound Thermography Using Infrared Imaging.
AB - Real-time monitoring of the spatiotemporal evolution of tissue temperature is
important to ensure safe and effective treatment in thermal therapies including
hyperthermia and thermal ablation. Ultrasound thermography has been proposed as a
non-invasive technique for temperature measurement, and accurate calibration of
the temperature-dependent ultrasound signal changes against temperature is
required. Here we report a method that uses infrared thermography for calibration
and validation of ultrasound thermography. Using phantoms and cardiac tissue
specimens subjected to high-intensity focused ultrasound heating, we
simultaneously acquired ultrasound and infrared imaging data from the same
surface plane of a sample. The commonly used echo time shift-based method was
chosen to compute ultrasound thermometry. We first correlated the ultrasound echo
time shifts with infrared-measured temperatures for material-dependent
calibration and found that the calibration coefficient was positive for fat
mimicking phantom (1.49 +/- 0.27) but negative for tissue-mimicking phantom (
0.59 +/- 0.08) and cardiac tissue (-0.69 +/- 0.18 degrees C-mm/ns). We then
obtained the estimation error of the ultrasound thermometry by comparing against
the infrared-measured temperature and revealed that the error increased with
decreased size of the heated region. Consistent with previous findings, the echo
time shifts were no longer linearly dependent on temperature beyond 45 degrees C
50 degrees C in cardiac tissues. Unlike previous studies in which thermocouples
or water bath techniques were used to evaluate the performance of ultrasound
thermography, our results indicate that high-resolution infrared thermography is
a useful tool that can be applied to evaluate and understand the limitations of
ultrasound thermography methods.
PMID- 26547636
TI - Management of Indigenous patients presenting with non ST-segment elevation acute
coronary syndrome in South Australia: a retrospective cohort study.
AB - AIM: Using Australian guidelines for management of acute coronary syndromes, we
assessed the probability of an Indigenous patient receiving interventional and
therapeutic care after presenting in two metropolitan hospitals. METHODS: A
retrospective case note review of patients admitted through two Adelaide public
tertiary hospital emergency departments from December 2007 to December 2009. The
study cohort was 488 patients with high-risk clinical features without ST-segment
elevation. RESULTS: Indigenous patients were significantly younger, present later
in the disease process and have a higher burden of cardiovascular risk factors
when compared with non-Indigenous patients. Indigenous patients were 54% more
likely to receive angiography (Risk ratios (RR) = 1.54; 95% CI 1.31; 1.81) than
non-Indigenous patients, however, this difference disappeared after adjustment
for age, sex and propensity score. Indigenous patients were 20% more likely to
receive the recommended medications (RR = 1.19, 95% CI 1.01; 1.40) compared with
non-Indigenous patients. Patients over 65 years were 53% less likely to receive
an angiogram (RR = 0.47, 95% CI 0.38; 0.56) and were 35% less likely to receive
the recommended medications (RR = 0.65, 95% CI 0.54; 0.78) than a patient at the
ages of 18-49. Women were almost 20% less likely to receive an angiogram (RR =
0.81, 95% CI 0.66; 0.99) and 20% less likely to receive the recommended
medications (RR = 0.80, 95% CI 0.71; 0.91) when compared with men. The likelihood
of receiving medications on discharge was significantly influenced by age,
gender, ethnicity, comorbid burden and revascularisation. CONCLUSIONS: The
younger age and significantly higher-risk profile of Indigenous adults presenting
to SA hospitals with acute coronary syndromes appears to lead to different
management decisions, which may well be led by patient factors. Many of these
risk conditions can be better managed in the primary care setting.
PMID- 26547637
TI - Lying times of lactating cows on dairy farms with automatic milking systems and
the relation to lameness, leg lesions, and body condition score.
AB - Lying down and resting are important for optimal cow health, welfare, and
production. In comparison with free stall farms with a milking parlor, farms with
automated milking systems (AMS) may place less constraint on how long cows can
lie down. However, few studies report lying times on AMS farms. The aims of this
study were to describe the variation in lying times of dairy cows in AMS farms
and to understand how much of the variation in individual lying times is related
to cow-level factors, including lameness, the presence of hock and knee lesions,
and body condition score (BCS). We visited 36 farms in Canada (Quebec: n = 10;
Ontario: n = 10; British Columbia: n = 4; and Alberta: n = 5), and the United
States (Michigan: n = 7). Gait scores, presence of hock and knee lesions, and BCS
were recorded for 40 Holstein cows from each herd. Parity and days in milk were
retrieved from farm records. Lying time was recorded across 4d using
accelerometers (n = 1,377). Multivariable analysis was performed. Of scored cows,
15.1% were lame (i.e., obviously limping; 203 of 1,348 cows). Knee lesions were
found in 27.1% (340 of 1,256 cows) and hock lesions were found in 30.8% (421 of
1,366 cows) of the animals. Daily lying time varied among cows. Cows spent a
median duration of 11.4 h/d lying down (25th-75th percentile = 9.7-12.9 h), with
a lying bout frequency of 9.5 bouts/d (25th-75th percentile = 7.5-12 bouts/d) and
a median bout duration of 71 min (25th-75th percentile = 58-87 min/bout).
Lameness was associated with cows lying down for 0.6 h/d longer in fewer, longer
bouts. Increased lying time was also associated with increased parity, later
stage of lactation and higher BCS. Older cows (parity >= 3) spent about 0.5 h/d
more lying down compared with parity 1 cows, and cows with BCS >= 3.5 lay down on
average 1 h/d longer than cows with BCS <= 2.25. Hock lesions were associated
with shorter lying times in univariable models, but no associations were found in
the multivariable models. We concluded that only a small proportion of the
variation between cows in lying time is explained by lameness, leg lesions, and
BCS.
PMID- 26547638
TI - Dairy farmers' use and non-use values in animal welfare: Determining the
empirical content and structure with anchored best-worst scaling.
AB - In this study, we sought to identify empirically the types of use and non-use
values that motivate dairy farmers in their work relating to animal welfare of
dairy cows. We also sought to identify how they prioritize between these use and
non-use values. Use values are derived from productivity considerations; non-use
values are derived from the wellbeing of the animals, independent of the present
or future use the farmer may make of the animal. In particular, we examined the
empirical content and structure of the economic value dairy farmers associate
with animal welfare of dairy cows. Based on a best-worst scaling approach and
data from 123 Swedish dairy farmers, we suggest that the economic value those
farmers associate with animal welfare of dairy cows covers aspects of both use
and non-use type, with non-use values appearing more important. Using principal
component factor analysis, we were able to check unidimensionality of the
economic value construct. These findings are useful for understanding why dairy
farmers may be interested in considering dairy cow welfare. Such understanding is
essential for improving agricultural policy and advice aimed at encouraging dairy
farmers to improve animal welfare; communicating to consumers the values under
which dairy products are produced; and providing a basis for more realistic
assumptions when developing economic models about dairy farmers' behavior.
PMID- 26547639
TI - Improving the reliability of female fertility breeding values using type and milk
yield traits that predict energy status in Australian Holstein cattle.
AB - The objectives of this study were (1) to propose changing the selection criteria
trait for evaluating fertility in Australia from calving interval to conception
rate at d 42 after the beginning of the mating season and (2) to use type traits
as early fertility predictors, to increase the reliability of estimated breeding
values for fertility. The breeding goal in Australia is conception within 6 wk of
the start of the mating season. Currently, the Australian model to predict
fertility breeding values (expressed as a linear transformation of calving
interval) is a multitrait model that includes calving interval (CVI), lactation
length (LL), calving to first service (CFS), first nonreturn rate (FNRR), and
conception rate. However, CVI has a lower genetic correlation with the breeding
goal (conception within 6 wk of the start of the mating season) than conception
rate. Milk yield, type, and fertility data from 164,318 cow sired by 4,766 bulls
were used. Principal component analysis and genetic correlation estimates between
type and fertility traits were used to select type traits that could subsequently
be used in a multitrait analysis. Angularity, foot angle, and pin set were chosen
as type traits to include in an index with the traits that are included in the
multitrait fertility model: CVI, LL, CFS, FNRR, and conception rate at d 42
(CR42). An index with these 8 traits is expected to achieve an average bull first
proof reliability of 0.60 on the breeding objective (conception within 6 wk of
the start of the mating season) compared with reliabilities of 0.39 and 0.45 for
CR42 only or the current 5-trait Australian model. Subsequently, we used the
first eigenvector of a principal component analysis with udder texture, bone
quality, angularity, and body condition score to calculate an energy status
indicator trait. The inclusion of the energy status indicator trait composite in
a multitrait index with CVI, LL, CFS, FNRR, and CR42 achieved a 12-point increase
in fertility breeding value reliability (i.e., increased by 30%; up to 0.72
points of reliability), whereas a lower increase in reliability (4 points, i.e.,
increased by 10%) was obtained by including angularity, foot angle, and pin set
in the index. In situations when a limited number of daughters have been
phenotyped for CR42, including type data for sires increased reliabilities
compared with when type data were omitted. However, sires with more than 80
daughters with CR42 records achieved reliability estimates close to 80% on
average, and there did not appear to be a benefit from having daughters with type
records. The cost of phenotyping to obtain such reliabilities (assuming a cost of
AU$14 per cow with type data and AU$5 per cow with pregnancy diagnosed) is lower
if more pregnancy data are collected in preference to type data. That is, efforts
to increase the reliability of fertility EBV are most cost effective when
directed at obtaining a larger number of pregnancy tests.
PMID- 26547640
TI - Identification and characterization of psychrotolerant coliform bacteria isolated
from pasteurized fluid milk.
AB - The presence of coliform bacteria in pasteurized fluid milk typically indicates
that product contamination occurred downstream of the pasteurizer, but it may
also indicate pasteurization failure. Although coliform detection is frequently
used as a hygiene indicator for dairy products, our understanding of the
taxonomic and phenotypic coliform diversity associated with dairy products is
surprisingly limited. Therefore, using Petrifilm Coliform Count plates (3M, St.
Paul, MN), we isolated coliforms from high-temperature, short-time (HTST)
pasteurized fluid milk samples from 21 fluid milk processing plants in the
northeast United States. Based on source information and initial characterization
using partial 16S rDNA sequencing, 240 nonredundant isolates were obtained. The
majority of these isolates were identified as belonging to the genera
Enterobacter (42% of isolates), Hafnia (13%), Citrobacter (12%), Serratia (10%),
and Raoultella (9%); additional isolates were classified into the genera
Buttiauxella, Cedecea, Kluyvera, Leclercia, Pantoea, and Rahnella. A subset of
104 representative isolates was subsequently characterized phenotypically. Cold
growth analysis in skim milk broth showed that all isolates displayed at least a
2-log increase over 10 d at 6 degrees C; the majority of isolates (n=74)
displayed more than a 5-log increase. In total, 43% of the representative
isolates displayed lipolysis when incubated on spirit blue agar at 6 degrees C
for 14 d, whereas 71% of isolates displayed proteolysis when incubated on skim
milk agar at 6 degrees C for 14 d. Our data indicate that a considerable
diversity of coliforms is found in HTST-pasteurized fluid milk and that a
considerable proportion of these coliforms have phenotypic characteristics that
will allow them to cause fluid milk spoilage.
PMID- 26547641
TI - Genetic parameters between feed-intake-related traits and conformation in 2
separate dairy populations--the Netherlands and United States.
AB - To include feed-intake-related traits in the breeding goal, accurate estimates of
genetic parameters of feed intake, and its correlations with other related traits
(i.e., production, conformation) are required to compare different options.
However, the correlations between feed intake and conformation traits can vary
depending on the population. Therefore, the objective was to estimate genetic
correlations between 6 feed-intake-related traits and 7 conformation traits
within dairy cattle from 2 countries, the Netherlands (NL) and the United States
(US). The feed-intake-related traits were dry matter intake (DMI), residual feed
intake (RFI), milk energy output (MilkE), milk yield (MY), body weight (BW), and
metabolic body weight (MBW). The conformation traits were stature (ST), chest
width (CW), body depth (BD), angularity (ANG), rump angle (RA), rump width (RW),
and body condition score (BCS). Feed intake data were available for 1,665 cows in
NL and for 1,920 cows in US, from 83 nutritional experiments (48 in NL and 35 in
US) conducted between 1991 and 2011 in NL and between 2007 and 2013 in US.
Additional conformation records from relatives of the animals with DMI records
were added to the database, giving a total of 37,241 cows in NL and 28,809 in US
with conformation trait information. Genetic parameters were estimated using
bivariate animal model analyses. The model included the following fixed effects
for feed-intake-related traits: location by experiment-ration, age of cow at
calving modeled with a second order polynomial by parity class, location by year
season, and days in milk, and these fixed effects for the conformation traits:
herd by classification date, age of cow at classification, and lactation stage at
classification. Both models included additive genetic and residual random
effects. The highest estimated genetic correlations involving DMI were with CW in
both countries (NL=0.45 and US=0.61), followed by ST (NL=0.33 and US=0.57), BD
(NL=0.26 and US=0.49), and BCS (NL=0.24 and US=0.46). The MilkE and MY were
moderately correlated with ANG in both countries (0.33 and 0.47 in NL, and 0.36
and 0.48 in US). Finally, BW was highly correlated with CW (0.77 in NL and 0.84
in US) and with BCS (0.83 in NL and 0.85 in US). Feed-intake-related traits were
moderately to highly genetically correlated with conformation traits (ST, CW, BD,
and BCS) in both countries, making them potentially useful as predictors of DMI.
PMID- 26547642
TI - Short communication: Measuring feed volume and weight by machine vision.
AB - Individual dairy cow feed intake is closely related to the health and productive
output of each cow, with healthy cows generally eating more feed than unhealthy
cows. Incorporating the use of an automated system to monitor feed consumption
for each cow may be beneficial for dairy farm management. This study examined the
use of an inexpensive 3-dimensional video camera to measure feed volume, from
which we derived feed weight. Proof-of-concept testing was conducted to determine
the effectiveness and capability of the machine vision feed-scanning system and
its possible use in feed intake monitoring. Such systems are ideal because they
do not impede the workflow of the farm or interrupt feeding behavior. This is an
improvement over existing systems that are labor and cost intensive. Our
conducted experiments involve measuring feed volume at known weights, up to 22.68
kg, with the resulting volume and weight values analyzed by means of linear and
quadratic least squares t-test regression analysis. The effects of feed
positioning in the bin and near-range sensor limitations were also examined. The
results showed that an estimation of feed weight from 3-dimensional scan of
volume measurements could be made to within 0.5 kg of the physically measured
feed weight using a digital scale. Future efforts will focus on extending this
work to active bunks with multiple cows eating throughout the day and testing
total mixed rations of varied composition.
PMID- 26547643
TI - Genetic analysis of calving traits by the multi-trait individual animal model.
AB - Five alternative models were applied for analysis of dystocia and stillbirth in
first and second parities. Models 1 and 2 were included only to estimate the
parameters required for model 4, and models 3 and 5 are included only as
comparisons to the model 4 estimates. Variance components were estimated by multi
trait REML, including cows with valid calving records for both parities. For the
effects of sire of calf on first and second parities, variance components were
estimated including only calvings with the same sire of calf for both parities.
All heritabilities for the cow effect were quite low, but higher for dystocia
than for stillbirth and higher in first parity. The sire-of-calf heritabilities
were higher than the cow effect heritabilities, except for stillbirth in parity
2. Unlike the effect of cow correlations, all sire of calf correlations were
>0.6, and the correlations for the same trait in parities 1 and 2 were >0.9.
Thus, a multi-trait analysis should yield a significant gain in accuracy with
respect to the sire of calf effects for bulls not mated to virgin heifers. A
multi-trait individual animal model algorithm was developed for joint analysis of
dystocia and stillbirth in first and second parities. Relationships matrices were
included both for the effects of cow and sire of calf. In addition, random herd
year-season and fixed sex of calf effects were included in the model. Records
were preadjusted for calving month and age. A total of 899,223 Israeli Holstein
cows with first calvings since 1985 were included in the complete analysis.
Approximate reliabilities were computed for both sire of cow and sire of calf
effects. Correlations between these reliabilities and reliabilities obtained by
direct inversion of the coefficient matrix for a sire of cow-sire of calf model
were all close to 0.99. Phenotypic trends for cows born from 1983 through 2007
were economically unfavorable for dystocia and favorable for stillbirth in both
parities. Genetic trends were economically unfavorable for both dystocia and
stillbirth in first parity. First-parity sire of calf trends were unfavorable for
dystocia, but favorable for stillbirth. All environmental trends were nearly
zero. Regressions of evaluations of the complete analysis on a model including
only calvings before 2011 were all >0.8. All evaluations met the Interbull Method
3 criterion for unbiasedness. Model 4, which computed genetic evaluations for all
animals for all 4 traits accounting for all known relationships and correlations
among the traits, is recommended for routine genetic evaluation of calving
traits.
PMID- 26547644
TI - Survival of the functional yeast Kluyveromyces marxianus B0399 in fermented milk
with added sorbic acid.
AB - In this study, the survival of the functional yeast Kluyveromyces marxianus B0399
in an industrially produced fermented milk was evaluated. In particular, the
yeast viability was assessed throughout the entire shelf-life of the product (30
d) to ensure the presence of the effective yeast dose (20 million viable cells
for each serving of 125 g) while avoiding, by sorbic acid addition, yeast growth,
which could affect product quality and stability. To find the best combination of
yeast and sorbic acid concentration, 13 different combinations were tested, and
then 2 of them were chosen for industrial production. In production at lower
concentrations (30 million viable cells, 150 mg/kg of sorbic acid) the effective
dose was maintained only at 4 and 6 degrees C, whereas at higher dosages (70
million viable cells, 250 mg/kg of sorbic acid) the effect of temperature was
less evident. In all the trials, the concentration of sorbic acid was not
affected by microbial metabolism and remained stable throughout the entire shelf
life.
PMID- 26547645
TI - Acidic pH and short-chain fatty acids activate Na+ transport but differentially
modulate expression of Na+/H+ exchanger isoforms 1, 2, and 3 in omasal
epithelium.
AB - Low sodium content in feed and large amounts of salivary sodium secretion are
essential requirements to efficient sodium reabsorption in the dairy cow. It is
already known that Na(+)/H(+) exchange (NHE) of the ruminal epithelium plays a
key role in Na(+) absorption, and its function is influenced by the presence of
short-chain fatty acids (SCFA) and mucosal pH. By contrast, the functional role
and regulation of NHE in omasal epithelium have not been completely understood.
In the present study, we used model studies in small ruminants (sheep and goats)
to investigate NHE-mediated Na(+) transport and the effects of pH and SCFA on NHE
activity in omasal epithelium and on the expression of NHE isoform in omasal
epithelial cells. Conventional Ussing chamber technique, primary cell culture,
quantitative PCR, and Western blot were used. In native omasal epithelium of
sheep, the Na(+) transport was electroneutral, and it was inhibited by the
specific NHE3 inhibitor 3-[2-(3-guanidino-2-methyl-3-oxo-propenyl)-5-methyl
phenyl]-N-isopropylidene-2-methyl-acrylamide dihydrochloride, which decreased
mucosal-to-serosal, serosal-to-mucosal, and net flux rates of Na(+) by 80% each.
The application of low mucosal pH (6.4 or 5.8) in the presence of SCFA activated
the Na(+) transport across omasal epithelium of sheep compared with that at pH
7.4. In cultured omasal epithelial cells of goats, mRNA and protein of NHE1,
NHE2, and NHE3 were detected. The application of SCFA increased NHE1 mRNA and
protein expression, which was most prominent when the culture medium pH decreased
from 7.4 to 6.8. At variance, the mRNA and protein expression of NHE2 and NHE3
were decreased with low pH and SCFA, which was contrary to the published data
from ruminal epithelial studies. In conclusion, this paper shows that (1) NHE1,
NHE2, and NHE3 are expressed in omasal epithelium; (2) NHE3 mediates the major
portion of transepithelial Na(+) transport in omasal epithelium; and (3) SCFA and
acidic pH acutely activate Na(+) transport but suppress the expression of NHE2
and NHE3 in the longer term. By contrast, the expression of NHE1 is increased by
SCFA and acidic pH, indicating a prominent role for NHE1 in the regulation of
intracellular pH of omasal epithelium. Our results suggest a regulatable Na(+)
absorption in ruminal and omasal epithelium. It is of benefit for intracellular
pH homeostasis and highly relevant to dairy cows fed on high-concentrate diets.
PMID- 26547646
TI - Localization and quantitation of macrophages, mast cells, and eosinophils in the
developing bovine mammary gland.
AB - Prepubertal mammary development involves elongation and branching of ducts and
stromal tissue remodeling. This process is highly regulated and in mice is known
to be affected by the presence of innate immune cells. Whether or not such immune
cells are present or involved in bovine mammary development is unknown. For the
first time, we determined the presence, location (relative to mammary ductal
structures), and changes in numbers of eosinophils, mast cells, and macrophages
in prepubertal bovine mammary tissue, and evaluated the effects of age,
ovariectomy, and exogenous estrogen on numbers of each cell type. Chemical stains
and immunofluorescence were used to identify the 3 cell types in formalin-fixed,
paraffin-embedded mammary tissue from prepubertal female calves from 3 archived
tissue sets. The ontogeny tissue set included samples of mammary tissue from
female calves (n=4/wk) from birth to 6 wk of age. The ovary tissue set contained
samples from ovary intact and ovariectomized heifers allowing us to investigate
the influence of the ovaries on immune cells in the developing mammary gland in
prepubertal heifers. Nineteen animals were intact or ovariectomized 30 d before
sampling; they were 90, 120, or 150 d old at the time of sampling. A third tissue
set, the estrogen set, allowed us to determine the effect of exogenous estrogen
on innate immune cells in the gland. Eosinophils were identified via Luna
staining, mast cells by May-Grunwald Giemsa staining, and macrophages with
immunofluorescence. Key findings were that more eosinophils and mast cells were
observed in near versus far stroma in the ontogeny and ovary tissue sets but not
estrogen. More macrophages were observed in near versus far stroma in ontogeny
animals. Eosinophils were more abundant in the younger animals, and fewer
macrophages tended to be observed in ovariectomized heifers as compared with
intact heifers and estrogen treatment resulted in a reduction in cell numbers. In
summary, we show for the first time that innate immune cells are present in
prepubertal bovine mammary tissue, localization varies by immune cell type, and
abundance is related to proximity of epithelial structures and physiological
state. We suggest a likely role for these cells in control of bovine mammary
growth and ductal development.
PMID- 26547647
TI - Short communication: A nanoemulsified form of oil blends positively affects the
fatty acid proportion in ruminal batch cultures.
AB - Two consecutive rumen batch cultures were used to study the effect of
nanoemulsified oils as a new type of supplement, on the in vitro fatty acid
proportion and vaccenic acid formation. Three levels (3, 5, and 7%) of 2
different oil blends [soybean:fish oil (SF) or rapeseed-fish oil (RF)] were used.
Both oil blends were used either in the raw form (SF or RF, respectively) or in
the nanoemulsified form (NSF or NRF, respectively). The diets were the control
(0%), which consisted of a dry total mixed ration without any supplements, the
control plus 3, 5, or 7% of the SF or RF oil blend in appropriate form (raw or
nanoemulsified). For each treatment, 6 incubation vessels were used. Each batch
culture was incubated for 24h and conducted twice in 2 consecutive days. All
supplements were calculated as a percentage of the substrate dry matter (400mg).
Nanoemulsified supplements were recalculated to make sure the oil amount was
equal to the raw oil supplementation levels. The results from both experiments
indicated that the proportions of vaccenic acid and cis-9,trans-11 C18:2
increased when a raw oil blend was supplemented; on the other hand, no influence
of nanoemulsified form of oil blend was observed on the proportion cis-9,trans-11
C18:2. Generally, supplementation with the nanoemulsified oil blends had less
effect on biohydrogenation intermediates than the raw form of oil blends.
However, the nanoemulsified form had a greater effect on the increase of n-3 and
n-6 fatty acids. Nanoemulsified oil blends had a positive effect on decreasing
the transformation rate of polyunsaturated fatty acids to saturated fatty acids
in the biohydrogenation environment. Supplements of nanoemulsified oil blends
tended to be more effective than supplements of raw oils in preserving a greater
proportion of polyunsaturated fatty acids in the fermentation culture.
PMID- 26547648
TI - New insights into the importance of prolactin in dairy ruminants.
AB - In most mammals, prolactin (PRL) is essential for maintaining lactation, and the
suppression of PRL inhibits lactation. However, the involvement of PRL in the
control of ruminant lactation is less clear, because inconsistent effects on milk
yield have been observed with the short-term suppression of PRL by bromocriptine.
Therefore, several experiments have been conducted to assess the galactopoietic
role of PRL. In an initial experiment, cows in early lactation received daily
injections of the dopamine agonist quinagolide for 9 wk. Quinagolide reduced
milking-induced PRL release and caused a faster decline in milk production.
Quinagolide also reduced mammary epithelial cell activity, survival, and
proliferation. In goats, cabergoline, another dopamine agonist, caused a 28%
decrease in milk yield the day after injection. In another experiment, cows were
injected for 5d with quinagolide, with quinagolide plus bovine PRL injected at
milking time, or with vehicles only. Again, quinagolide reduced milk, protein,
and lactose yields. Although PRL injections were not sufficient to restore milk
yield, they tended to increase milk protein and lactose yields and increased the
viability of mammary epithelial cells purified from milk. Recently, our team
stimulated PRL secretion with daily injections of the dopamine antagonist
domperidone for 5 wk. Milk production increased gradually and was greater in
domperidone-treated cows during the last 4 wk of the treatment period. In most
experiments where PRL secretion was manipulated, feed intake paralleled the
changes of PRL concentration, supporting the idea that PRL increases feed intake
to provide the nutrients necessary to support lactation in dairy ruminants. In
late-lactation cows, quinagolide and cabergoline decreased milk production within
the first day of treatment and induced more rapid changes in several markers of
mammary gland involution after drying-off. In addition, quinagolide improved the
resistance to intramammary infection, suggesting that PRL inhibition could be an
alternative strategy for facilitating drying-off. Prolactin appears to directly
affect mammary gland functions, but mammary gland responsiveness to PRL appears
to be modulated by local and systemic factors. Therefore, the modulation of the
number and isoforms of the PRL receptors as well as the expression of
intracellular modulators of cell signaling in the mammary gland require further
investigation. In conclusion, these data, combined with those from other studies,
provide a good body of evidence that PRL is galactopoietic in dairy ruminants.
PMID- 26547649
TI - Short communication: Regulation of hepatic gluconeogenic enzymes by dietary
glycerol in transition dairy cows.
AB - Nutritional status and glucose precursors are known regulators of gluconeogenic
gene expression. Glycerol can replace corn in diets fed to dairy cows and use of
glycerol is linked to increased rumen propionate production. The effect of
dietary glycerol on the regulation of gluconeogenic enzymes is unknown. The
objective of this study was to examine the effect of glycerol on expression of
pyruvate carboxylase (PC), cytosolic and mitochondrial phosphoenolpyruvate
carboxykinase (PEPCK-C and PEPCK-M), and glucose-6-phosphatase. Twenty-six
multiparous Holstein cows were fed either a control diet or a diet where high
moisture corn was replaced by glycerol from -28 through +56 d relative to calving
(DRTC). Liver tissue was collected via percutaneous liver biopsy at -28, -14, +1,
+14, +28, and +56 DRTC for RNA analysis. Expression of PC mRNA increased 6-fold
at +1 and 4-fold at +14 DRTC relative to precalving levels. Dietary glycerol did
not alter expression of PC mRNA expression. Expression of PEPCK-C increased 2.5
fold at +14 and 3-fold at +28 DRTC compared with +1 DRTC. Overall, dietary
glycerol increased PEPCK-C expression compared with that of cows fed control
diets. The ratio of PC to PEPCK-C was increased 6.3-fold at +1 DRTC compared with
precalving and tended to be decreased in cows fed glycerol. We detected no effect
of diet or DRTC on PEPCK-M or glucose-6-phosphatase mRNA, and there were no
interactions of dietary treatment and DRTC for any transcript measured.
Substituting corn with glycerol increased the expression of PEPCK-C mRNA during
transition to lactation and suggests that dietary energy source alters hepatic
expression. The observed increase in PEPCK-C expression with glycerol feeding may
indicate regulation of hepatic gene expression by changes in rumen propionate
production.
PMID- 26547650
TI - Monitoring individual cow udder health in automated milking systems using online
somatic cell counts.
AB - This study presents and validates a detection and monitoring model for mastitis
based on automated frequent sampling of online cell count (OCC). Initially, data
were filtered and adjusted for sensor drift and skewed distribution using ln
transformation. Acceptable data were passed on to a time-series model using
double exponential smoothing to estimate level and trends at cow level. The OCC
levels and trends were converted to a continuous (0-1) scale, termed elevated
mastitis risk (EMR), where values close to zero indicate healthy cow status and
values close to 1 indicate high risk of mastitis. Finally, a feedback loop was
included to dynamically request a time to next sample, based on latest EMR values
or errors in the raw data stream. The estimated EMR values were used to issue 2
types of alerts, new and (on-going) intramammary infection (IMI) alerts. The new
alerts were issued when the EMR values exceeded a threshold, and the IMI alerts
were issued for subsequent alerts. New alerts were only issued after the EMR had
been below the threshold for at least 8d. The detection model was evaluated using
time-window analysis and commercial herd data (6 herds, 595,927 milkings) at
different sampling intensities. Recorded treatments of mastitis were used as gold
standard. Significantly higher EMR values were detected in treated than in
contemporary untreated cows. The proportion of detected mastitis cases using new
alerts was between 28.0 and 43.1% and highest for a fixed sampling scheme aiming
at 24h between measurements. This was higher for IMI alerts, between 54.6 and
89.0%, and highest when all available measurements were used. The lowest false
alert rate of 6.5 per 1,000 milkings was observed when all measurements were
used. The results showed that a dynamic sampling scheme with a default value of
24h between measurements gave only a small reduction in proportion of detected
mastitis treatments and remained at 88.5%. It was concluded that filtering of raw
data combined with a time-series model was effective in detecting and monitoring
mastitis status in dairy cows when based on IMI alerts, and by using a
dynamically adjusting sampling scheme almost full performance was still
obtainable. However, results were less desirable when based on new alerts most
likely because of the used gold standard for mastitis, which may not necessarily
reflect the onset of and IMI case in contrast to a new alert.
PMID- 26547651
TI - Short communication: Changes in the composition of yak colostrum during the first
week of lactation.
AB - Although the great interest has been paid to colostrum utilization for calves, no
systematic studies evaluating the compositional changes of yak colostrum during
the first week after parturition have been reported. The aim of this study was to
elucidate such postpartum nutritional changes. Colostrum samples from 12
multiparous (2-7 lactations) yaks, grazed on alpine pasture, were collected at
exactly 1, 24, 48, 72, 96, 120, 144, and 168 h postpartum. Gross composition
(fat, total solids, protein, and ash) were measured, as well as fat and water
soluble vitamins, fatty acid and mineral composition, and IgG. The colostrum,
collected 1h postpartum, had the highest concentrations of crude protein, total
solids, ash, vitamin A and E, beta-carotene, and most minerals (Na, Mg, Zn, Cu,
and Fe). These components decreased rapidly within 24h. Similarly, at 24h
postpartum, IgG content decreased to 7.5% of the value (87.78 mg/mL) at 1h
postpartum. In contrast, the concentration of vitamin C increased from 1 to 24h
and then decreased consistently thereafter to the lowest value at 168 h.
Phosphorus and Ca contents showed an increasing trend from 24 to 168 h after
calving. Lactose content increased from 2.88% at 1h to 4.96% at 48 h postpartum
and was steady to 168 h. Total n-3 fatty acids, monounsaturated fatty acids,
polyunsaturated fatty acids, and n-3-to-n-6 fatty acid ratio were proportionally
similar as a percentage of total fatty acid methyl esters during the first 168 h
of milk production. However, the proportion of total n-6 fatty acid content to
total fatty acid methyl esters decreased from 3.07% at 1h to 2.60% at 24h. In
summary, experimental results indicate colostrum should be provided to yak calves
as soon as possible after birth to provide nutrients and enhance passive
immunity.
PMID- 26547652
TI - Prediction of phosphorus output in manure and milk by lactating dairy cows.
AB - Mathematical models for predicting P excretions play a key role in evaluating P
use efficiency and monitoring the environmental impact of dairy cows. However,
the majority of extant models require feed intake as predictor variable, which is
not routinely available at farm level. The objectives of the study were to (1)
explore factors explaining heterogeneity in P output; (2) develop a set of
empirical models for predicting P output in feces (Pf), manure (PMa), and milk
(Pm, all in g/cow per day) with and without dry matter intake (DMI) using
literature data; and (3) evaluate new and extant P models using an independent
data set. Random effect meta-regression analyses were conducted using 190 Pf, 97
PMa, and 118 Pm or milk P concentration (PMilkC) treatment means from 38 studies.
Dietary nutrient composition, milk yield and composition, and days in milk were
used as potential covariates to the models with and without DMI. Dietary
phosphorus intake (Pi) was the major determinant of Pf and PMa. Milk yield
negatively affected Pi partitioning to Pf or PMa. In the absence of DMI, milk
yield, body weight, and dietary P content became the major determinants of Pf and
PMa. Milk P concentration (PMilkC) was heterogeneous across the treatment groups,
with a mean of 0.92 g/kg of milk. Milk yield, days in milk, and dietary Ca-to-ash
ratio were negatively correlated with PMilkC and explained 42% of the
heterogeneity. The new models predicted Pf and PMa with root mean square
prediction error as a percentage of observed mean (RMSPE%) of 18.3 and 19.2%,
respectively, using DMI when evaluated with an independent data set. Some of the
extant models also predicted Pf and PMa well (RMSPE%=19.3 to 20.0%) using DMI.
The new models without DMI as a variable predicted Pf and PMa with RMSPE% of 22.3
and 19.6%, respectively, which can be used in monitoring P excretions at farm
level. When evaluated with an independent data set, the new model and extant
models based on milk protein content predicted PMilkC with RMSPE% of 12.7 to
19.6%. Although models using P intake information gave better predictions, P
output from lactating dairy cows can also be predicted well without intake using
milk yield, milk protein content, body weight, and dietary P, Ca, and total ash
contents.
PMID- 26547653
TI - Effect of rice bran as a replacement for oat grain in energy and nitrogen
balance, methane emissions, and milk performance of Murciano-Granadina goats.
AB - The objective of this experiment was to study the effects of substituting oat
grain with rice bran on energy, nitrogen and carbon balance, methane emissions,
and milk performance in dairy goats. Ten Murciano-Granadina dairy goats in late
lactation (46.1 +/- 3.07 kg) were assigned to 2 treatments in a crossover design,
where each goat received both treatments in 2 periods. One group of 5 goats was
fed a mixed ration with 379 g of oat grain/kg of dry matter (O diet) and the
other group of 5 goats was fed a diet that replaced oat grain with 379 g/kg dry
matter of rice bran (RB diet). Diets were formulated to be isoenergetic and
isoproteic, so bypass fat was added to reach the same amount of energy in both
diets. The goats were allocated to individual metabolism cages. After 14 d of
adaptation, feed intake, total fecal and urine outputs, and milk yield were
recorded daily over a 5-d period. Then, gas exchange measurements were recorded
individually by a mobile open-circuit indirect calorimetry system using a head
box. Dry matter intake was different for both diets [1.83 +/- 0.11 vs. 1.61 +/-
0.08 (means +/- SD), for O and RB, respectively]. Metabolizable energy intake and
heat production were not significantly different between diets, with average
values of 1,254 [standard error of the mean (SEM) = 110.0] and 640 (SEM = 21.0)
kJ/kg of BW(0.75), respectively. Significant differences were found in milk fat
content (5.3 and 6.9%, SEM = 0.36; for O and RB, respectively) and milk fatty
acids: medium-chain fatty acids (17.17 vs. 12.90 g/100g, SEM = 0.969; for O and
RB, respectively) and monounsaturated fatty acids (20.63 vs. 28.29 g/100g, SEM =
1.973; for O and RB, respectively). Enteric CH4 emission was lower for the RB
diet (23.2 vs. 30.1g/d, SEM = 2.14; for O and RB, respectively), probably because
of the higher lipid content in RB diets than O diets (11.7 vs. 4.1%,
respectively). Lactating goats utilized RB without detrimental effects on energy
metabolism. Higher milk fat and lower CH4 emissions were observed with the RB
diet compared with the O diet.
PMID- 26547654
TI - Short communication: Forage particle size and fat intake affect rumen passage,
the fatty acid profile of milk, and milk fat production in dairy cows consuming
dried distillers grains with solubles.
AB - Four ruminally cannulated Holstein cows averaging (+/- SD) 116 +/- 18 d in milk
and 686 +/- 52 kg of body weight were used in a 4 * 4 Latin square design with a
2 * 2 factorial arrangement of treatments to test the effects of forage particle
size and concentration of corn oil on milk fat depression. Cows were housed in
individual stalls, milked daily at 0700 and 1800 h, and individually fed daily at
0900 h for ad libitum consumption allowing approximately 10% orts. Four 28-d
periods, in which each cow was offered 1 of 4 total mixed rations, included
reduced-fat dried distillers grains with solubles at 30% of dietary dry matter
and differed in forage particle size by inclusion of chopped grass hay (LONGP) or
grass hay pellets (SHORTP) and 0 or 2% corn oil (CO). Dietary treatments were 0%
corn oil + short particle size (CO0+SHORTP), 0% corn oil + long particle size
(CO0+LONGP), 2% corn oil + short particle size (CO2 + SHORTP), and 2% corn oil +
long particle size (CO2 + LONGP). Dry matter intake and milk yield were not
affected by treatment averaging 26.5 +/- 1.19 kg/d and 32.8 +/- 3.34 kg/d,
respectively. A decrease was found in 3.5% fat-corrected milk with the inclusion
of oil resulting in 34.6 and 26.6 +/- 2.6 kg/d for 0 and 2% oil diets,
respectively. An oil * size interaction was found for milk fat concentration
resulting in 2.27, 3.02, 3.62, and 3.62 +/- 0.23% for CO2+SHORTP, CO2 + LONGP,
CO0 + SHORTP, and CO0 + LONGP, respectively. Fat yield was reduced from 1.22 to
0.81 +/- 0.09 kg/d with 2% oil diets. Cows consuming diets with long particle
size spent 29 more minutes eating compared with the cows consuming short particle
size (198 and 169 +/- 15 min/d). Rumination time decreased from 504 to 400 +/- 35
min/d for cows consuming short particle size compared with long particle size.
Total chewing was reduced from 702 to 570 +/- 4 min/d when cows consumed short
particle size. Feeding long particle size decreased rate of passage of dry matter
from 3.38 to 2.89 +/- 0.42%/h; concomitantly mean retention time increased from
31.7 to 38.4 +/- 5.36 h for diets containing long particle size. The results of
this experiment show that effects of oil on milk fat depression were less severe
when cows consumed long particle size, suggesting that dietary manipulations that
modify rumen kinetics also affect milk fat production in dairy cows consuming
reduced-fat dried distillers grains with solubles supplemented with corn oil.
PMID- 26547655
TI - Dairy heifers benefit from the presence of an experienced companion when learning
how to graze.
AB - Pasture remains important on many dairy farms, but the age of first contact with
pasture varies depending on the month of birth, weaning age, and farm management.
Regardless of age, naive dairy heifers must learn to graze when first introduced
to pasture. This study investigated whether being grouped with experienced dairy
cows would affect the development of grazing behaviors. Sixty-three Holstein
heifers (mean +/- SD 14.2 +/- 1.3 mo; 546 +/- 60.7 kg) and 21 dry Holstein cows
(2.6 +/- 0.8 lactations; 751 +/- 53.9 kg) were assigned into 7 groups of 12
animals (3 dry cows and 9 naive heifers), and each was divided and assigned to an
experienced (3 cows and 3 heifers) and nonexperienced (6 heifers) sub-group. Sub
groups were introduced to pasture in different paddocks without visual contact
with any other cattle. No difference was found in the time after introduction to
the paddock for heifers to first attempt to nibble grass [experienced: 0:23 (0:17
0:43) vs. nonexperienced 0:40 (0:35-0:46); median (quartile 1 - quartile 3),
h:mm]. However, heifers grouped with experienced cows showed a shorter latency to
begin grazing [experienced: 0:47 (0:28-00:52) vs. nonexperienced 2:13 (1:25
2:30)]. During the first hour after introduction to pasture, heifers in the
experienced treatment showed fewer stomping events [experienced: 2.5 (1.25-4) vs.
nonexperienced: 6.5 (4-8)] and vocalized less often [experienced: 3.5 (1.25-5.75)
vs. nonexperienced: 7 (5-8.75)]. After this initial period, animals in both
subgroups began to graze normally; treatments did not differ in grazing behaviors
over the 3-d observation period. These results indicate that grouping heifers
with pasture-experienced cows improves grazing behavior of dairy heifers in the
first hours following introduction to pasture.
PMID- 26547656
TI - Relationships between functional genes in Lactobacillus delbrueckii ssp.
bulgaricus isolates and phenotypic characteristics associated with fermentation
time and flavor production in yogurt elucidated using multilocus sequence typing.
AB - Lactobacillus delbrueckii ssp. bulgaricus (L. bulgaricus) is well known for its
worldwide application in yogurt production. Flavor production and acid producing
are considered as the most important characteristics for starter culture
screening. To our knowledge this is the first study applying functional gene
sequence multilocus sequence typing technology to predict the fermentation and
flavor-producing characteristics of yogurt-producing bacteria. In the present
study, phenotypic characteristics of 35 L. bulgaricus strains were quantified
during the fermentation of milk to yogurt and during its subsequent storage;
these included fermentation time, acidification rate, pH, titratable acidity, and
flavor characteristics (acetaldehyde concentration). Furthermore, multilocus
sequence typing analysis of 7 functional genes associated with fermentation time,
acid production, and flavor formation was done to elucidate the phylogeny and
genetic evolution of the same L. bulgaricus isolates. The results showed that
strains significantly differed in fermentation time, acidification rate, and
acetaldehyde production. Combining functional gene sequence analysis with
phenotypic characteristics demonstrated that groups of strains established using
genotype data were consistent with groups identified based on their phenotypic
traits. This study has established an efficient and rapid molecular genotyping
method to identify strains with good fermentation traits; this has the potential
to replace time-consuming conventional methods based on direct measurement of
phenotypic traits.
PMID- 26547657
TI - Effect of a phase I Coxiella burnetii inactivated vaccine on body temperature and
milk yield in dairy cows.
AB - Q fever is a zoonotic disease caused by Coxiella burnetii. The pathogen is
prevalent in ruminants (goats, sheep, cows), which are the main sources of human
infection. In the cattle industry around the world, animal (15 to 20%) and herd
(38 to 72%) level prevalences of C. burnetii are high. Vaccination of ruminants
against Q fever is considered important to prevent spreading of the disease and
risk of infection in humans. However, published information on side effects of
the Q fever vaccination under field conditions is limited for cows. The objective
of this study was to investigate the effect of the phase I C. burnetii
inactivated vaccine Coxevac on body temperature and milk yield in dairy cows. In
2 experiments, a total of 508 cows were randomly divided into 2 groups to
determine the effect of first vaccination on body temperature and milk yield. The
C. burnetii serostatus of all cows was tested before vaccination with an indirect
ELISA. The first experiment took place in the teaching and research barn of the
Clinic of Animal Reproduction at the Freie Universitat Berlin. Temperature was
measured vaginally in 10 cows in a crossover design. The second experiment was
conducted on a commercial dairy farm. Milk yield of 498 cows was measured 1 wk
before and 1 wk after vaccination. In a subset of 41 cows, temperature was
measured rectally. In both experiments, body temperature increased significantly
after vaccination (1.0 +/- 0.9 degrees C and 0.7 +/- 0.8 degrees C). A
significant difference was also found in body temperature between vaccinated and
control cows. Thirty percent of the vaccinated animals in experiment 1 showed
reversible swelling at the injection site as a reaction to the vaccination. The
results indicate that vaccination against Q fever causes a transient increase of
body temperature that peaks in the first 12 to 24h and declines after that. In
experiment 2, vaccinated cows (26.8 +/- 0.39 kg/d) produced significantly less
milk than did control cows (28.2 +/- 0.44 kg/d) 7d after first vaccination. The
cumulative milk loss after first vaccination was influenced by an interaction
between C. burnetii serostatus and average milk yield 7d before first
vaccination. This was considered as part of the physiological immune response.
Three out of 10 vaccinated animals in experiment 1 showed painful swelling of the
skin at the injection site, which had a maximum size of 14.0 * 14.0 * 1.1cm. In
conclusion, a transient increase of body temperature and a decrease in milk yield
is prevalent after Coxevac vaccination.
PMID- 26547658
TI - Dislodgement resistance of calcium silicate-based materials from root canals with
varying thickness of dentine.
AB - AIM: To evaluate the dislodgement resistance of DiaRoot BioAggregate and
Biodentine from canals in roots with varying amounts of remaining dentine
thickness. METHODOLOGY: A total of 90 maxillary incisor teeth with similar
dimensions were used. The teeth were extracted for periodontal reasons from adult
subjects aged between 52 and 61 years. They were decoronated at the cemento
enamel junction. Simulated internal cavities with a depth of 3 mm were performed
by widening the canals on the coronal thirds of the roots, leaving varying
amounts of remaining dentine thickness. The canals were enlarged progressively
using round diamond burs until the desired dentine wall thickness was obtained.
The samples were divided into three main groups (n = 30 each) according to the
dentine thickness as follows: group 1: dentine thickness of 0.75 mm, group 2:
dentine thickness of 1.50 mm and group 3: dentine thickness of 2.25 mm. Then,
these main groups were further divided into two subgroups (n = 15 each) according
to the filling material (DiaRoot BioAggregate or Biodentine). Horizontal root
slices of 1 mm were obtained from each specimen. Vertical loading was applied to
the filling materials at a cross-head speed of 1 mm min-1 using a universal
testing machine. The force that led to dislodgement of the filling was recorded
in Newtons. The resistance to dislodgement was calculated in megapascals (MPa) by
dividing the load in Newtons by the area of the bonded interface. The data were
statistically analysed with two-way anova with Tukey's honestly significant
difference (HSD) test. RESULTS: Biodentine had significantly higher resistance to
dislodgement than DiaRoot BioAggregate (P < 0.001). The specimens with thinner
remaining dentine had lower dislodgement resistance compared to those with
dentine thicknesses of 1.50 and 2.25 mm (P < 0.001). The lowest mean value for
dislodgement resistance was obtained from the DiaRoot BioAggregate group with
0.75 mm dentine thickness (2.72 +/- 0.90 MPa). CONCLUSION: The dislodgement
resistance of Biodentine and DiaRoot BioAggregate from root dentine was
influenced by remaining dentine thickness, which determines dentinal tubular
density.
PMID- 26547659
TI - Randomized Placebo-Controlled and Controlled Non-Inferiority Phase III Trials
Comparing Trafermin, a Recombinant Human Fibroblast Growth Factor 2, and Enamel
Matrix Derivative in Periodontal Regeneration in Intrabony Defects.
AB - We investigated the efficacy, safety, and clinical significance of trafermin, a
recombinant human fibroblast growth factor (rhFGF)-2, for periodontal
regeneration in intrabony defects in Phase III trials. Study A, a multicenter,
randomized, double-blind, placebo-controlled study, was conducted at 24 centers.
Patients with periodontitis with 4-mm and 3-mm or deeper probing pocket depth and
intrabony defects, respectively, were included. A total of 328 patients were
randomly assigned (2:1) to receive 0.3% rhFGF-2 or placebo, and 323 patients
received the assigned investigational drug during flap surgery. One of the co
primary endpoints, the percentage of bone fill at 36 weeks after drug
administration, was significantly greater in the rhFGF-2 group at 37.131% (95%
confidence interval [CI], 32.7502 to 41.5123; n = 208) than it was in the placebo
group at 21.579% (95% CI, 16.3571 to 26.8011; n = 100; p < 0.001). The other
endpoint, the clinical attachment level regained at 36 weeks, was not
significantly different between groups. Study B, a multicenter, randomized,
blinded (patients and evaluators of radiographs), and active-controlled study was
conducted at 15 centers to clarify the clinical significance of rhFGF-2. Patients
with 6-mm and 4-mm or deeper probing pocket depth and intrabony defects,
respectively, were included. A total of 274 patients were randomly assigned
(5:5:2) to receive rhFGF-2, enamel matrix derivative (EMD), or flap surgery
alone. A total of 267 patients received the assigned treatment during flap
surgery. The primary endpoint, the linear alveolar bone growth at 36 weeks, was
1.927 mm (95% CI, 1.6615 to 2.1920; n = 108) in the rhFGF-2 group and 1.359 mm
(95% CI, 1.0683 to 1.6495; n = 109) in the EMD group, showing non-inferiority (a
prespecified margin of 0.3 mm) and superiority of rhFGF-2 to EMD. Safety problems
were not identified in either study. Therefore, trafermin is an effective and
safe treatment for periodontal regeneration in intrabony defect, and its efficacy
was superior in rhFGF-2 compared to EMD treatments.
PMID- 26547660
TI - Tanshinone IIA treatment alleviated the rat gingival connective tissue overgrowth
induced by cyclosporine A.
AB - BACKGROUND AND OBJECTIVE: The use of cyclosporine A induces fibrous enlargement
of the gingival connective tissue. Existing treatment modalities, although
effective, do not necessarily prevent the recurrence of the lesion. Emerging
evidence indicates that tanshinone IIA (Tan IIA) could effectively attenuate a
variety of fibrotic diseases. The present research aims to assess whether Tan IIA
can effectively alleviate the gingival fibrous overgrowth induced by cyclosporine
A. MATERIAL AND METHODS: Forty-five Wistar rats were divided into the no
treatment control group, cyclosporine A-treated group and the group treated with
a combination of cyclosporine A and Tan IIA. Paraffin-embedded sections of
mandibular first molar regions were selected for hematoxylin and eosin staining,
Masson's trichrome staining, picro-sirius red staining and immunohistochemistry
analyses of transforming growth factor-beta1 (TGF-beta1), tissue inhibitor of
metalloproteinase-1 (TIMP-1) and matrix metalloproteinase-1 (MMP-1). The gingival
connective tissue area was measured and numbers of the TGF-beta1-, TIMP-1- and
MMP-1-positive cells were counted. The analysis of variance for factorial designs
for testing the overall differences and Fisher least significant difference test
for post hoc analysis were used to determine the significance levels. RESULTS:
Cyclosporine A treatment led to overgrowth of gingival connective tissue in rats.
In the cyclosporine A-treated rats, the expression of TGF-beta1 and TIMP-1 was
significantly upregulated, whereas expression of the MMP-1 was downregulated,
along with thicker and denser collagen fibers. In rats treated with a combination
of cyclosporine A and Tan IIA, the cyclosporine A-induced changes were
alleviated. CONCLUSIONS: Cyclosporine A enhanced gingival fibrous overgrowth via
upregulation of the TGF-beta1 and TIMP-1 expression, and downregulation of MMP-1
expression. Tan IIA can effectively prevent cyclosporine A-induced gingival
fibrous overgrowth in rats by downregulating TGF-beta1 and TIMP-1 expression, and
upregulating MMP-1 expression.
PMID- 26547661
TI - Evolutionary and demographic history of the Californian scrub white oak species
complex: an integrative approach.
AB - Understanding the factors promoting species formation is a major task in
evolutionary research. Here, we employ an integrative approach to study the
evolutionary history of the Californian scrub white oak species complex (genus
Quercus). To infer the relative importance of geographical isolation and
ecological divergence in driving the speciation process, we (i) analysed inter-
and intraspecific patterns of genetic differentiation and employed an approximate
Bayesian computation (ABC) framework to evaluate different plausible scenarios of
species divergence. In a second step, we (ii) linked the inferred divergence
pathways with current and past species distribution models (SDMs) and (iii)
tested for niche differentiation and phylogenetic niche conservatism across taxa.
ABC analyses showed that the most plausible scenario is the one considering the
divergence of two main lineages followed by a more recent pulse of speciation.
Genotypic data in conjunction with SDMs and niche differentiation analyses
support that different factors (geography vs. environment) and modes of
speciation (parapatry, allopatry and maybe sympatry) have played a role in the
divergence process within this complex. We found no significant relationship
between genetic differentiation and niche overlap, which probably reflects niche
lability and/or that multiple factors, have contributed to speciation. Our study
shows that different mechanisms can drive divergence even among closely related
taxa representing early stages of species formation and exemplifies the
importance of adopting integrative approaches to get a better understanding of
the speciation process.
PMID- 26547663
TI - IL-17: A promising therapeutic target for atherosclerosis.
PMID- 26547662
TI - Case-control study of oral glucose-lowering drugs in combination with long-acting
insulin and the risks of incident myocardial infarction and incident stroke.
AB - BACKGROUND: The use of oral glucose-lowering therapies with insulin is common,
but the cardiovascular effects are largely unknown. Among users of long-acting
insulin, we conducted a population-based case-control study to evaluate the
incident myocardial infarction (MI) and incident stroke risks associated with the
use of sulfonylureas and the use of metformin. METHODS: Cases were Group Health
Cooperative enrollees with type 2 diabetes who used long-acting insulin at the
time of diagnosis with a first MI (n = 413) or first stroke (n = 247) from 1995
to 2010. Controls (n = 443) with type 2 diabetes who used long-acting insulin
were matched to cases on age, sex, and calendar year. Sulfonylurea and metformin
use was classified as current, past, or never using electronic pharmacy records.
MI and stroke diagnoses were validated by medical record review. Analyses were
adjusted for potential confounders. RESULTS: Current use of sulfonylureas
compared with never use was associated with a higher risk of MI (odds ratio [OR]
1.67; 95% confidence interval [CI], 1.10-2.55) but not stroke (OR 1.22; 95%CI,
0.74-2.00). Current use of metformin compared with never use was associated with
a lower risk of stroke (OR 0.54; 95%CI, 0.31-0.95) but not MI (OR 0.77; 95%CI,
0.44-1.33). Past use of sulfonylureas and past use of metformin were not
associated with either outcome. CONCLUSIONS: Sulfonylureas in combination with
long-acting insulin may increase the risk of MI compared with the use of insulin
alone. Metformin may be an important cardiovascular disease prevention therapy
for patients on insulin therapy. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26547664
TI - Transradial intervention versus transfemoral intervention accompanied with
vascular closure device in acute myocardial infarction.
PMID- 26547665
TI - Everolimus-eluting stent versus Sirolimus-eluting stent for prognostic
significance.
PMID- 26547666
TI - The spontaneous coronary artery dissection may need intervention in the proximal
segment of the arteries.
PMID- 26547667
TI - Sex-related differences in lung inflammation after brain death.
AB - BACKGROUND: Donor sex has been suggested to be a factor influencing organ
transplantation outcome. Sex hormones possess inflammatory and immune-mediating
properties; therefore, immune responses may differ between males and females.
Brain death (BD) affects organ function by numerous mechanisms including
alterations in hemodynamics, hormonal changes, and increased systemic
inflammation. In this study, we investigated sex-dependent differences in the
evolution of lung inflammation in a rat model of BD. MATERIALS AND METHODS: BD
was induced by a sudden increase in intracranial pressure by rapidly inflating a
balloon catheter inserted into the intracranial space. Groups of male, female,
and ovariectomized (OVx) female rats were used. Lung vascular permeability,
inducible nitric oxide synthase, and intercellular adhesion molecule 1 expression
were analyzed 6 h after BD. Serum female sex hormones, vascular endothelial
growth factor, and cytokine-induced neutrophil chemoattractant 1 levels were also
quantified. Lung sections were analyzed by histology. RESULTS: After 6 h of BD,
serum estradiol and progesterone concentrations in female rats were significantly
reduced. Lung microvascular permeability was increased in females compared to
males. Cytokine-induced neutrophil chemoattractant 1 and vascular endothelial
growth factor concentrations were increased in female rats compared to males.
Furthermore, female rats showed higher levels of leukocyte infiltration and
inducible nitric oxide synthase expression in the lung parenchyma. CONCLUSIONS:
Our results indicate that the more severe lung inflammation in female animals
after BD might be related to acute estradiol reduction. Based on our findings, we
believe that, in a future study, a group of female treated with estradiol after
BD could indicate a possible therapy for the control of lung inflammation in the
female donor.
PMID- 26547668
TI - Trajectories of smoking behavior as a function of mood and satisfaction with
life: What matters most?
AB - BACKGROUND: Worldwide, tobacco use causes more than 5 million deaths per year.
The present study investigated possible preventative factors of nicotine
dependence, such as mood and Satisfaction With Life (SWL). METHODS: Longitudinal
Internet survey of 1957 individuals assessed three times at a two week interval
(2007-2010). OUTCOME MEASURES: Cigarette Dependence Scale (CDS), feeling prisoner
to cigarettes, smoking cessation, reduction, and relapse. PREDICTORS: Time (i.e.
trajectory of dependence over time), smoking status (daily, occasional or ex
smoker), mood, and SWL. All measures were assessed at each time point. RESULTS:
Both SWL, and mood, respectively were significantly associated with dependence
(slopeSWL=-0.03, slopeSWL=-0.11, ps<0.001). With respect to feeling prisoner to
cigarettes, mood but not SWL showed a significant association (slopeSWL=-0.14,
p<0.001). Occasional, and ex-smokers showed significantly greater decrease in
psychological dependence, as mood increased, than daily smokers (slopeoccasional=
0.28, poccasional=0.011; slopeex=-0.27, pex<0.001). Smoking cessation decreased
over time, and both mood, as well as SWL had a significant impact on cessation
(ORmood=1.43, pmood<0.01, ORSWL=1.15, pSWL=0.042). Reduction in smoking was
predicted by SWL only (ORSWL=1.21, p=0.043), whereas relapse was predicted by
mood only (ORmood=0.55, p<0.01). LIMITATIONS: The participants were self
selected. Possible confounding relationships (e.g., medication) have to be
further assessed. CONCLUSIONS: Cigarette dependence, feeling prisoner to
cigarettes, cessation, and reduction are significantly influenced by both mood
and SWL. Treatments may thus tackle mood regulation, SWL, and nicotine dependence
in tandem.
PMID- 26547669
TI - [Therapeutic outcomes of anti-tuberculosis treatment in the context of HIV
tuberculosis co-infection: Cohort of Kabinda Center in Kinshasa, Democratic
Republic of Congo].
AB - BACKGROUND: The study aimed to determine the clinical forms of tuberculosis and
therapeutic outcome of anti-tuberculosis treatment in the context of HIV
tuberculosis co-infection. METHODS: A retrospective cohort of 120 HIV-positive
patients with tuberculosis and 297 HIV-negative patients with tuberculosis
attending the Kabinda Center was followed from 2010 to June, 30th 2013. The
logistic regression model identified the determinants of a defavorable outcome
after initiation of tuberculostatics. RESULTS: The proportion of female patients
was higher in the co-infected group compared with the non-co-infected group
(60.8% versus 42.7%, P<0.001). HIV-seropositive patients had more forms of
pulmonary smear-negative (39.2% versus 25.3%, P<0.002) and extra-pulmonary (38%
versus 35%, P<0.002) tuberculosis than HIV-negative patients. HIV-positive
serology (OR: 3.13, 95%CI: 1.72-5.69) and age of patients more than 41 years (OR:
3.15, 95%CI: 1.36-7.29) were associated with an unfavorable outcome. CONCLUSION:
This study highlights the usefulness of a systematically determining
immunological status in co-infected patients and a timely and systematic ARV
treatment, together with early diagnosis of tuberculosis. It also emphasizes the
importance of adherence to support measures in order to improve tuberculosis
treatment outcomes in co-infected patients.
PMID- 26547670
TI - [Study of the immunization coverage determinants of vaccination campaign against
meningococcal A meningitis in Burkina Faso].
AB - BACKGROUND: Sub-Saharan Africa countries suffer from repeated and explosive
epidemics of meningitis mainly due to Neisseria meningitidis A. In December 2010,
Burkina Faso organized a vaccination campaign with MenAfriVac(r) for people aged
1-29 years old. The objective of this study was to analyze the determinants of
immunization coverage. METHODS: We used a cross-sectional survey by cluster
sampling in two stages, descriptive and analytical, conducted in the region of
"Boucle du Mouhoun". Data were collected during a home interview. The dependent
variable was vaccination status and the independent variables included individual
and household characteristics but also the means of communication used during the
campaign. A logistic regression model was used to estimate the risk of being
vaccinated using the 'Survey' Package (SVYGLM) of R. RESULTS: Two thousand and
twenty-five people were included with a 93.5 % estimated coverage. Factors
associated with high vaccination coverage are rural areas (ORa=2.53 [1.53-4.17])
and smaller households (ORa=3.06 [1.36-6.91]). The risk of being vaccinated was
lower for persons from salaried heads of household (ORa=0.14 [0.02-0.87]) versus
farmers, persons informed by religious and traditional leaders (ORa=0.25 [0.11
0.57]) or family and friends (ORa=0.51 [0.29-0.9]) versus community mobilizers.
CONCLUSION: The coverage recorded is sufficient to provide community immunity.
However, a part of the target population could not benefit from vaccination due
to lack of information. Particular emphasis should be placed on large urban
families using community mobilizers.
PMID- 26547671
TI - High serum iron level is associated with an increased risk of hypertensive
disorders during pregnancy: a meta-analysis of observational studies.
AB - The exact cause of hypertensive disorders in pregnancy (HDP) has not been clearly
elucidated. Some researchers have recently investigated the relationship between
the serum iron level and the incidence of HDP. However, the results are
inconsistent, and these data have not been systematically evaluated. Therefore,
we conducted a meta-analysis to evaluate the real association between the serum
iron level and the incidence of HDP. We searched for published and ongoing trials
in PubMed, EMBASE, Scopus, Web of Science, the Chinese Biomedical Database, CNKI,
and the WANFANG database from January 1990 to May 2015 to identify studies that
met our predefined criteria. Finally, 26 studies, including 1 cross-sectional
study, 23 case-control studies, and 2 prospective nested case-control studies,
including 1349 patients and 1119 control participants, were selected for this
meta-analysis. The pooled results show that a high serum iron level increased the
incidence of HDP (standard mean deviation [SMD], 1.50; 95% confidence interval
[CI], 0.94-2.06; P < .0001), especially gestational hypertension (SMD, 3.65; 95%
CI, 1.50-5.81; P = .0009) and preeclampsia (SMD, 1.27; 95% CI, 0.76-1.78; P <
.0001). No significant difference was seen between the eclampsia groups and the
control participants (SMD, 3.34; 95% CI, -0.02 to 6.69; P = .05). The results of
this meta-analysis indicate that a high serum iron level is associated with an
increased risk of HDP, especially gestational hypertension and preeclampsia.
PMID- 26547672
TI - Gallic acid ameliorates hyperglycemia and improves hepatic carbohydrate
metabolism in rats fed a high-fructose diet.
AB - Herein, we investigated the hypoglycemic effect of plant gallic acid (GA) on
glucose uptake in an insulin-resistant cell culture model and on hepatic
carbohydrate metabolism in rats with a high-fructose diet (HFD)-induced diabetes.
Our hypothesis is that GA ameliorates hyperglycemia via alleviating hepatic
insulin resistance by suppressing hepatic inflammation and improves abnormal
hepatic carbohydrate metabolism by suppressing hepatic gluconeogenesis and
enhancing the hepatic glycogenesis and glycolysis pathways in HFD-induced
diabetic rats. Gallic acid increased glucose uptake activity by 19.2% at a
concentration of 6.25 MUg/mL in insulin-resistant FL83B mouse hepatocytes. In HFD
induced diabetic rats, GA significantly alleviated hyperglycemia, reduced the
values of the area under the curve for glucose in an oral glucose tolerance test,
and reduced the scores of the homeostasis model assessment of insulin resistance
index. The levels of serum C-peptide and fructosamine and cardiovascular risk
index scores were also significantly decreased in HFD rats treated with GA.
Moreover, GA up-regulated the expression of hepatic insulin signal transduction
related proteins, including insulin receptor, insulin receptor substrate 1,
phosphatidylinositol-3 kinase, Akt/protein kinase B, and glucose transporter 2,
in HFD rats. Gallic acid also down-regulated the expression of hepatic
gluconeogenesis-related proteins, such as fructose-1,6-bisphosphatase, and up
regulated expression of hepatic glycogen synthase and glycolysis-related
proteins, including hexokinase, phosphofructokinase, and aldolase, in HFD rats.
Our findings indicate that GA has potential as a health food ingredient to
prevent diabetes mellitus.
PMID- 26547673
TI - [Tunnelled central venous line-associated infections in patients with pulmonary
arterial hypertension treated with intravenous prostacyclin].
AB - Intravenous prostacyclins are a valuable treatment for patients with severe
pulmonary arterial hypertension, leading to improved exercise capacity,
haemodynamics, quality of life and survival. Unfortunately, due to the short half
life of these drugs, they need to be administered continuously through central
venous catheters. Despite aseptic technique, regular dressing changes, tunneled
central venous catheters and patient education, patients are exposed to central
venous catheter associated infections. These infections cause significant
morbidity and mortality. The clinical presentation, microbiology, consequences
and management of these central venous catheter associated infections in
pulmonary arterial hypertension patients treated with intravenous prostacyclins
are discussed.
PMID- 26547674
TI - [Air pollution].
AB - Short-term exposure to particulate matter (PM) air pollution is associated with
an increased cardiovascular mortality. Chronic exposure to PM is also associated
with cardiovascular risk. Myocardial infarction and heart failure are the most
common cardiovascular events associated with PM pollution. The pathophysiological
mechanisms related to PM pollution are inflammation, thrombosis, vasomotion
abnormalities, progression of atherosclerosis, increased blood pressure, and
cardiac remodeling. A decrease in PM exposure may be particularly beneficial in
subjects with a high cardiovascular risk.
PMID- 26547675
TI - Pulmonary embolism: Epidemiology and registries.
AB - Real-life data is important in understanding the needs of patients in routine
clinical practice, particularly owing to the fact that almost a quarter of
patients with venous thromoboembolism (VTE) have at least one exclusion criterion
preventing their recruitment into randomized clinical trials. The Registro
Informatizado de Enfermedad Trombo Embolica (RIETE) registry is an ongoing,
international, multicentre, prospective registry of consecutive patients
presenting with acute VTE. In this chapter, we summarized some of the most
relevant data concerning the epidemiology of VTE in the RIETE registry.
PMID- 26547676
TI - [Cluster headache treatment].
AB - Acute treatment: sumatriptan, oxygen inhalation. Prophylactic treatment:
verapamil, lithium carbonate. Transitional treatment. SURGICAL TREATMENT: deep
brain stimulation, occipital nerve stimulation, stimulation of the sphenopalatin
ganglion.
PMID- 26547677
TI - Pulmonary embolism: An update.
PMID- 26547678
TI - Treatment of pulmonary embolism.
AB - The treatment of pulmonary embolism is going to be deeply modified by the
development of Direct Oral Anticoagulants (DOACs). There are currently three anti
Xa factors (rivaroxaban, apixaban, edoxaban) and one anti-IIa factor (dabigatran)
labeled by the FDA and the EMA. All these drugs are direct anticoagulant, orally
effective, without the need for adaptation to hemostasis test. As kidney
excretion is involved for all of them, they are contra-indicated in patients with
severe renal failure (creatinine clearance < 30 mL/min according to Cockcroft &
Gault formula). All the anti-Xa factor drugs are metabolized by liver cytochromes
and then contra-indicated in case of liver insufficiency. Of note, the four DOACS
have been evaluated in non-inferiority trials, including one open-label trial
(the EINSTEIN program with the rivaroxaban). Moreover, two of them (rivaroxaban
and apixaban) were evaluated in a single drug approach (provided initial
increased doses: 15 mg bid during 21 days for rivaroxaban and 10 mg bid during 7
days for apixaban) whereas the two others (edoxaban and dabigatran) were
evaluated after at least 5 days of parenteral heparin. They were found to be non
inferior to the conventional treatment, but also seem to be associated with a
decreased risk of major bleeding, in a quite young and without significant
comorbidities population. The risk/benefit ratio of DOACs in specific subgroups
deserves prospective validations.
PMID- 26547679
TI - Nurse migration--from the outside in.
PMID- 26547680
TI - Changes in dimensions of total knee arthroplasty anterior knee dressings during
flexion: Preliminary findings.
AB - INTRODUCTION: Wound care is critical to the management of patients post total
knee arthroplasty, requiring prudent selection of dressings that can accommodate
changes in wound length during knee flexion. This study aims to quantify
differences in dressing dimensions based on the position of the knee during the
application of the dressing and subsequent flexion. METHODS: Our study involved
40 knees divided into 2 groups, an extension group and a flexion group, each
consisting of 20 knees. These groups had dressings applied to the knee in full
extension and in 90 degrees of flexion respectively. Measurements of the
dressings were taken for the extension group with the knee in extension and for
both groups at 90 degrees of flexion. RESULTS: For the extension group, the
changes in length and breadth of the dressings when measured in 90 degrees of
flexion were 3.42 +/- 0.15 cm, p < 0.001 and -0.43 +/- 0.10 cm, p < 0.001
respectively. Compared to the flexion group, the differences in length and
breadth between the dressings when measured in 90 degrees of flexion were 3.48
+/- 0.15 cm, p < 0.001 and -0.14 +/- 0.12 cm, p < 0.001 respectively. CONCLUSION:
There are significant differences and changes in the dimensions of knee dressings
depending on the position of the knee during the application of dressing and
subsequent flexion.
PMID- 26547681
TI - Evaluating the orthogeriatric model of care at an Australian tertiary hospital.
AB - INTRODUCTION: The management of fragility hip fractures requires a collaborative
multi-disciplinary approach to care to ensure optimal patient outcomes. It is
important to rigorously evaluate the model of care and enable the delivery of
evidence based optimal patient care. AIM OF THE STUDY: The aim of this study was
to document an orthogeriatric model of care (OGMOC) at a major tertiary hospital:
assessing how particular indicators within the patient's admission were
influenced by the OGMOC. METHODS: A retrospective case analysis of all patients
with fragility hip fracture from two pre-intervention groups and three post
intervention groups was undertaken. Data from (i) length of stay in the emergency
department (ii) length of stay in the orthopaedic unit (iii) time from admission
to surgery and (iv) time from surgery to admission to rehabilitation were used.
RESULTS: Implementation of the OGMOC resulted in: reduced time in the emergency
department, quicker access to surgery, reduced length of acute hospital stay and
an increase in the number of patients accessing the rehabilitation unit.
CONCLUSION: This study contributes to the increasing body of evidence for best
practice in the management of fragility hip fracture within an OGMOC.
PMID- 26547683
TI - Commissioning, implementation and delivery of an interface secondary fracture
prevention service within the NHS: Lessons learnt from the Oxfordshire Fracture
Prevention Service.
AB - AIMS: To provide a case history of the service model, commissioning,
implementation and delivery of an interface secondary fracture prevention
service. BACKGROUND: Fracture Prevention has been identified as key to reducing
the burden on the NHS from an ageing population. The need to have a systematic
process for identifying, assessing and ensuring treatment adherence is vital.
RATIONALE: Delivering the service to identify patients at risk and initiate
treatment is important as is the use of a database for patient tracking and the
need to ensure that patients remain on treatment to ensure maximum fracture
prevention benefit, and cost savings are seen. The process for implementing a
Fracture Prevention service can be challenging but identifying the components and
working closely with local commissioners can provide the evidence and release the
resource required. SUMMARY: The key components of a Fracture Prevention Service
should include robust case-finding, assessment, treatment initiation, patient
education and monitoring.
PMID- 26547682
TI - Optimizing physical activity among older adults post trauma: Overcoming system
and patient challenges.
AB - BACKGROUND: By 2050 it is anticipated that close to half (40%) of all trauma
patients will be over the age of 65. Recovery for these individuals is more
complicated than among younger individuals. Early mobilization has been shown to
improve outcomes. Unfortunately, there are many challenges to early mobilization.
The Function Focused Care Intervention was developed to overcome these
challenges. PURPOSE: The purpose of this paper was to describe the initial
recruitment of the first 25 participants and delineate the challenges and
successes associated with implementation of this intervention. RESULTS: Overall
recruitment rates were consistent with other studies and the intervention was
implemented as intended. Most patients were female, white and on average 79 years
of age. Optimizing physical activity of patients was a low priority for the
nurses with patient safety taking precedence. Patients spent most of the time in
bed. Age, depression and tethering were the only factors that were associated
with physical activity and functional outcomes of patients. CONCLUSION: Ongoing
work is needed to keep patients physically active in the immediate post trauma
recovery period.
PMID- 26547684
TI - Delirium detection and improved delirium management in older patients
hospitalized for hip fracture.
AB - Delirium is a common and potentially devastating problem for older patients
following hip fracture. Although early detection is recommended, description and
evaluation of standardized approaches are scarce. The aims of this quality
improvement project were to: (1) implement a clinical algorithm for improving
delirium detection and management and (2) assess the impact of the clinical
algorithm on length of stay, discharge disposition and patient satisfaction. The
pilot study was implemented on an orthopedic unit to evaluate the effectiveness
of a clinical protocol for delirium detection and management to improve outcomes.
Outcomes of 33 elderly post-operative hip fracture patients were compared to
historical controls from the same unit. Delirium was detected in 18% of patients.
Length of stay was reduced by 22% (P < .001), discharge disposition showed a 13%
improvement (P = .17) and patient satisfaction scores showed a 15% (P = .15)
improvement post-intervention. Implementation of a clinical algorithm to promote
early detection and treatment of delirium in post-operative hip fracture patients
is feasible and associated with improved outcomes.
PMID- 26547685
TI - A day in the life of orthopaedic and trauma practitioners: Advanced Nurse
Practitioner Sarcoma.
PMID- 26547688
TI - Being lonely or using substances with friends? A cross-sectional study of
Hungarian adolescents' health risk behaviours.
AB - BACKGROUND: Studying adolescents' health risk behaviours is oddly significant in
Central and Eastern European countries, where the prevalence of smoking and
drinking among 14-18 year old students is significantly high. The goal of our
study is to examine the role of social psychological and social behavioural
variables in health risk behaviours among Hungarian adolescents. METHODS: Our
sample was comprised of three high schools of Debrecen (the second largest city
of Hungary). In all, 501 students filled in the questionnaire from 22 classes (14
22 years old). Students aged above 18 years were excluded for the purpose of the
study, giving a total sample size of 471 high school students. Descriptive
statistics and binary logistic regression analyses were conducted. RESULTS:
According to our results (1) social behavioural factors (namely, smoking and
alcohol use of the best friend and peer group) proved to be better predictors of
adolescents' health risk behaviours as compared to the included social
psychological attributes (2); among the latter ones, loneliness and shyness were
negatively related with both smoking and drinking, while competitiveness was a
predictor of drinking prevalence among boys. CONCLUSIONS: The findings suggest
that social behavioural factors, including smoking and drinking of friends, are
oddly important predictors of Hungarian adolescents' health risk behaviours.
According to our results, health policy should pay more attention to peer norms
related to smoking and drinking during school health promotion. Developing health
protective social norms may be an indispensable component of effective health
promotion in high schools.
PMID- 26547687
TI - Fidelity to and comparative results across behavioral interventions evaluated
through the RE-AIM framework: a systematic review.
AB - BACKGROUND: The reach, effectiveness, adoption, implementation, and maintenance
(RE-AIM) framework was developed to determine potential public health impact of
interventions (i.e., programs, policy, and practice). The purpose of this
systematic review was to determine (1) comparative results across accurately
reported RE-AIM indicators, (2) relevant information when there remains under
reporting or misclassification of data across each dimension, (3) the degree to
which authors intervened to improve outcomes related to each dimension, and (4)
the number of articles reporting RE-AIM dimensions for a given study. METHODS: In
April 2013, a systematic search of the RE-AIM framework was completed in PubMed,
PSYCHInfo, EbscoHost, Web of Science, and Scopus. Evidence was analyzed until
January 2015. RESULTS: Eighty-two interventions that included empirical data
related to at least one of the RE-AIM dimensions were included in the review.
Across these interventions, they reached a median sample size of 320 participants
(M = 4894 +/- 28,256). Summarizing the effectiveness indicators, we found that:
the average participation rate was 45 % (+/-28 %), 89 % of the interventions
reported positive changes in the primary outcome and 11 interventions reported
broader outcomes (e.g., quality of life). As for individual-level maintenance, 11
% of studies showed effects >=6 months post-program. Average setting and staff
adoption rates were 75 % (+/-32 %) and 79 % (+/-28 %), respectively.
Interventions reported being delivered as intended (82 % (+/-16 %)) and 22 %
intervention reported adaptations to delivery. There were insufficient data to
determine average maintenance at the organizational level. Data on costs
associated with each dimension were infrequent and disparate: four studies
reported costs of recruitment, two reported intervention costs per participant,
and two reported adoption costs. CONCLUSIONS: The RE-AIM framework has been
employed in a variety of populations and settings for the planning, delivery, and
evaluation of behavioral interventions. This review highlights inconsistencies in
the degree to which authors reported each dimension in its entirety as well as
inaccuracies in reporting indicators within each dimension. Further, there are
few interventions that aim to improve outcomes related to reach, adoption,
implementation, and maintenance.
PMID- 26547689
TI - Enhancing SHP-1 expression with 5-azacytidine may inhibit STAT3 activation and
confer sensitivity in lestaurtinib (CEP-701)-resistant FLT3-ITD positive acute
myeloid leukemia.
AB - BACKGROUND: Tumor-suppressor genes are inactivated by methylation in several
cancers including acute myeloid leukemia (AML). Src homology-2 (SH2)-containing
protein-tyrosine phosphatase 1 (SHP-1) is a negative regulator of the JAK/STAT
pathway. Transcriptional silencing of SHP-1 plays a critical role in the
development and progression of cancers through STAT3 activation. 5-Azacytidine (5
Aza) is a DNA methyltransferase inhibitor that causes DNA demethylation resulting
in re-expression of silenced SHP-1. Lestaurtinib (CEP-701) is a multi-targeted
tyrosine kinase inhibitor that potently inhibits FLT3 tyrosine kinase and induces
hematological remission in AML patients harboring the internal tandem duplication
of the FLT3 gene (FLT3-ITD). However, the majority of patients in clinical trials
developed resistance to CEP-701. Therefore, the aim of this study, was to assess
the effect of re-expression of SHP-1 on sensitivity to CEP-701 in resistant AML
cells. METHODS: Resistant cells harboring the FLT3-ITD were developed by
overexposure of MV4-11 to CEP-701, and the effects of 5-Aza treatment were
investigated. Apoptosis and cytotoxicity of CEP-701 were determined using Annexin
V and MTS assays, respectively. Gene expression was performed by quantitative
real-time PCR. STATs activity was examined by western blotting and the
methylation profile of SHP-1 was studied using MS-PCR and pyrosequencing
analysis. Repeated-measures ANOVA and Kruskal-Wallis tests were used for
statistical analysis. RESULTS: The cytotoxic dose of CEP-701 on resistant cells
was significantly higher in comparison with parental and MV4-11R-cep + 5-Aza
cells (p = 0.004). The resistant cells showed a significant higher viability and
lower apoptosis compared with other cells (p < 0.001). Expression of SHP-1 was 7
fold higher in MV4-11R-cep + 5-Aza cells compared to parental and resistant cells
(p = 0.011). STAT3 was activated in resistant cells. Methylation of SHP-1 was
significantly decreased in MV4-11R-cep + 5-Aza cells (p = 0.002). CONCLUSIONS:
The restoration of SHP-1 expression induces sensitivity towards CEP-701 and could
serve as a target in the treatment of AML. Our findings support the hypothesis
that, the tumor-suppressor effect of SHP-1 is lost due to epigenetic silencing
and its re-expression might play an important role in re-inducing sensitivity to
TKIs. Thus, SHP-1 is a plausible candidate for a role in the development of CEP
701 resistance in FLT3-ITD+ AML patients.
PMID- 26547690
TI - Design and synthesis of an in vivo-efficacious PIM3 kinase inhibitor as a
candidate anti-pancreatic cancer agent.
AB - Serine/threonine kinase PIM3 is a potential therapeutic target for pancreatic
cancer. Here, we describe the evolution of our previous PIM1 inhibitor 1 into
PIM3 inhibitor 11 guided by use of the crystal structure of PIM1 as a surrogate
to provide a basis for rational modification. Compound 11 potently inhibits PIM3
kinase activity, as well as growth of several pancreatic cancer cell lines. In a
mouse xenograft model, 11 inhibited growth of human pancreatic cancer cell line
PCI66 with negligible body weight loss. Thus, 11 appears to be a promising lead
compound for further optimization to develop new anti-pancreatic cancer agents.
PMID- 26547691
TI - 2-(Nitroaryl)benzothiazole and benzoxazole derivatives as fluorogenic substrates
for the detection of nitroreductase activity in clinically important
microorganisms.
AB - A series of carboxy-substituted 2-(nitroaryl)benzothiazole derivatives and
carboxy-substituted 2-(nitroaryl)benzoxazole derivatives were prepared and
evaluated as potential nitroreductase substrates for the purpose of detecting
clinically important microorganisms. Several of the substrates produced highly
fluorescent colonies with the majority of a panel of 10 Gram-negative bacteria
and also with two of a panel of 8 Gram-positive bacteria.
PMID- 26547692
TI - Protective effects of 5,7,4'-trihydroxy-6,3'dimethoxy-flavone 5-O-alpha-l
rhamnopyranoside, isolated from Annona squamosa leaves in thyrotoxicosis and in
hepatic lipid peroxidation in rats.
AB - Hitherto unknown protective effects of 5,7,4'-trihydroxy-6,3'dimethoxy-flavone 5
O-alpha-l-rhamnopyranoside (THDMF-Rha); isolated from Annona squamosa leaves were
evaluated in l-thyroxine (l-T4)-induced thyrotoxicosis in rats. Administration of
l-T4 at 500MUg/kg body weight for 12days increased the levels of serum thyroid
hormones, the activity of 5'-monodeiodinase-I (5'DI) and hepatic glucose-6
phosphatase (G-6Pase) as well as lipid peroxidation (LPO); with a parallel
decrease in the levels of cellular antioxidants and serum lipids. However,
administration of the isolated THDMF-Rha at a pre-standardized dose for 15days
ameliorated the l-T4-induced alterations in the levels of thyroid hormones,
hepatic LPO, G-6-Pase, 5'DI activity, and cellular levels of antioxidants and
improved the status of different serum lipids, suggesting its antithyroidal and
antioxidative potential. As compared to standard antithyroid drug,
propylthiouracil, THDMF-Rha appeared to be more promising.
PMID- 26547693
TI - A new cerebroside from the fruiting bodies of Hericium erinaceus and its
applicability to cancer treatment.
AB - A new cerebroside, cerebroside E (1) was isolated from the fruiting bodies of
Hericium erinaceus (Hericiaceae). The structure of 1 was elucidated by a
combination of extensive spectroscopic analyses, including extensive 2D NMR, HR
MS, and chemical reactions. Compound 1 was evaluated for its applicability to
medicinal use in several human diseases using cell-based assays. As a result,
compound 1 attenuated cisplatin-induced nephrotoxicity in LLC-PK1 cells and
exhibited a significant inhibitory effect on angiogenesis in HUVECs. These
results collectively reflect the beneficial effects of compound 1 in cancer
treatment.
PMID- 26547694
TI - 45 or 50 Gy, Which is the Optimal Radiotherapy Pelvic Dose in Locally Advanced
Cervical Cancer in the Perspective of Reaching Magnetic Resonance Image-guided
Adaptive Brachytherapy Planning Aims?
AB - AIMS: In locally advanced cervical cancer, the dose delivered results from the
sum of external beam radiotherapy and brachytherapy, and is limited by the
surrounding organs at risk. The balance between both techniques influences the
total dose delivered to the high-risk clinical target volume (HR-CTV). The aim of
the present study was to compare the ability of reaching different planning aims
after external beam radiotherapy pelvic doses of 45 Gy in 25 fractions or 50.4 Gy
in 28 fractions, both considered as standard prescriptions. MATERIALS AND
METHODS: The optimised plans of 120 patients treated with pelvic chemoradiation
followed by magnetic resonance image-guided intracavitary brachytherapy were
reviewed. The doses per pulse were calculated, and the number of pulses required
to reach the planning aims, or a limiting dose constraint to organs at risk, was
calculated. All doses were converted to 2-Gy equivalents. Three scenarios were
applied consisting of different sets of planning aims: 85 and 60 Gy for the HR
CTV and the intermediate-risk CTV (IR-CTV) D90 (minimal dose received by 90% of
the volume) in scenario 1, 90 and 60 Gy, respectively, for scenarios 2 and 3. For
organs at risk, dose constraints were 90, 75 and 75 Gy to the bladder, rectum and
sigmoid D2cm(3), respectively, in scenarios 1 and 2, and 80, 65 and 70 Gy in
scenario 3. RESULTS: A similar HR-CTV D90 could have been reached in scenarios 1
and 2 according to both pelvic doses. In scenario 3, a higher mean HR-CTV could
have been reached in the 45 Gy arm (83.5 +/- 8.0 versus 82.4 +/- 8.0, P <
0.0001). The mean D2cm(3) of organs at risk was systematically and significantly
increased after a delivery of 50.4 Gy to the pelvis, from 0.9 to 2.89 Gy. The
proportions of plans reaching planning aims were 85.8, 72.5 and 42.5% after 45 Gy
and 85.5, 67.5 and 33.3% after 50.4 Gy according to scenarios 1, 2 and 3,
respectively. According to scenario 3, 50.4 Gy, the reachable HR-CTV D90 was
higher in 30% of the cases, by 2 Gy in two cases. Those cases were unpredictable
and due to unfavourable organs at risk topography and poor response to external
beam radiotherapy. CONCLUSION: The delivery of 45 Gy in 25 fractions to the
pelvis before brachytherapy warrants a higher probability to reach brachytherapy
planning aims, in comparison with 50.4 Gy in 28 fractions.
PMID- 26547695
TI - Type 2 Diabetes Self-management Among Spanish-Speaking Hispanic Immigrants.
AB - This article describes the quantitative findings of a mixed-methods study that
examined the relationship among knowledge, self-efficacy, health promoting
behaviors, and type 2 diabetes self-management among recent Spanish-speaking,
limited English proficient immigrants to the US. This population is at risk for
both a higher incidence of disease and increased barriers to successful disease
management compared to the general US population. Distinguishing aspects of this
study compared to the available literature are the comprehensive nature of the
data collected, the theoretical component, and the analysis and modeling
approach. Social cognitive theory provides the framework for the study design and
analysis. An innovative community-based recruiting strategy was used, a broad
range of physiological measures related to health were observed, and instruments
related to knowledge, self-efficacy, and healthy lifestyle behaviors were
administered orally in Spanish to 30 participants. A broad range of statistical
analysis methods was applied to the data, including a set of three structural
equation models. The study results are consistent with the importance of
education, health knowledge, and healthy lifestyle practices for type 2 diabetes
self-management. With the usual cautions associated with applying structural
equation modeling to modest sample sizes, multiple elements of the posited
theoretical model were consistent with the data collected. The results of the
investigation of this under-studied population indicate that, on average,
participants were not effectively managing their disease. The results suggest
that clinical interventions focused on improving knowledge, nutrition, and
physical activity, reducing stress, and leveraging the importance of
interpersonal relations could be effective intervention strategies to improve
self-management among this population.
PMID- 26547697
TI - Breaking a Tradition; the 2016 Honoree.
PMID- 26547696
TI - A Matter of Taste? Quality of Life in Day-to-Day Living with ALS and a Feeding
Tube.
AB - Although people often refer to quality of life and there is a respectable
research tradition to establish it, the meaning of the term is unclear. In this
article we qualitatively study an intervention of which the quantitative effects
are documented as indecisive. We do this in order to learn more about what the
meaning of the term quality of life means when it is studied in daily life. With
the help of these findings we reflect on the intricacies of objectifying and
measuring quality of life using quantitative research designs. Our case is the
feeding tube for patients suffering from ALS, a severe motor neuron disease that
rapidly and progressively incapacitates patients. We studied how these patients,
who lived in the Netherlands, anticipated and lived with a feeding tube in the
course of their physical deterioration. Our analysis shows that the quality of
life related to the feeding tube has to be understood as a process rather than as
an outcome. The feeding tube becomes a different thing as patients move through
the various phases of their illness, due to changes in their condition, living
circumstances, and concerns and values. There are very different appreciations of
the way the feeding tube changes the body's appearance and feel. Some patients
refuse it because they feel it disfigures their body, whereas others are
indifferent to its appearance. Our conclusion is that these differences are
difficult to grasp with a quantitative study designs because 'matters of taste'
and values are not distributed in a population in the same ways as physiological
responses to medication. Effect studies assume physiological responses to be more
or less the same for everyone, with only gradual differences. Our analysis of
quality in daily life, however, shows that what a treatment comes to be and how
it is valued shows shows generalities for subgroups rather than populations.
PMID- 26547698
TI - Does smoking affect gingival crevicular fluid LL-37 levels following non-surgical
periodontal treatment in chronic periodontitis?
AB - OBJECTIVE: LL-37 contributes to maintaining the balance between health and
disease. Smoking is a risk factor for periodontitis that impairs neutrophil
functions. The aim of the present study was to comparatively evaluate gingival
crevicular fluid (GCF) LL-37 levels in smoker and non-smoker chronic
periodontitis (CP) patients and controls, as well as the effect of non-surgical
periodontal treatment on GCF LL-37 levels. DESIGN: Thirty-one CP patients (16
smokers, 15 non-smokers) and thirty-one controls (16 smokers, 15 non-smokers)
were included in the study. CP patients received non-surgical treatment. GCF LL
37 levels and periodontal parameters were assessed at baseline, 1 and 3 months
after completion of non-surgical periodontal treatment. GCF LL-37 levels were
analyzed by ELISA. RESULTS: No significant difference was observed in GCF LL-37
levels between smoker and non-smoker controls (p>0.05). Smoker CP group had
significantly lower GCF LL-37 level than non-smoker CP group at baseline
(p<0.05). GCF LL-37 levels significantly decreased in non-smoker CP group at
first week, 1 and 3 months after completion of non-surgical periodontal treatment
(p<0.05) although no significant decrease in GCF LL-37 levels was observed in
smoker CP group (p>0.05). Periodontal parameters were correlated with GCF LL-37
levels in non-smoker CP group (p<0.05), but not in smoker CP group (p>0.05).
CONCLUSIONS: GCF LL-37 levels do not seem to be affected from smoking in
periodontal health. However, smoking might have a suppressive effect on GCF LL-37
levels in CP. Non-surgical treatment is effective in decreasing GCF LL-37 levels
in non-smoker CP patients but not in smokers with CP.
PMID- 26547699
TI - Complex cellular responses to tooth wear in rodent molar.
AB - The arrangement and roles of the odontoblast and its process in sensing and
responding to injuries such as tooth wear are incompletely understood. Evidence
is presented that dentine exposure by tooth wear triggers structural and
functional changes that aim to maintain tooth integrity. Mandibular first molars
from freshly culled 8 week Wistar rats were prepared for light microscopy ground
sections (n=6), or fixed in 4% paraformaldehyde, decalcified in 17% EDTA,
sectioned and stained with antibodies to cyto-skeletal proteins (vimentin (vim),
alpha-tubulin (tub) and alpha-actin), cellular homeostatic elements (sodium
potassium ATPase (NaK-ATPase) and sodium hydrogen exchanger (NHE-1)), and sensory
nerve fibres (CGRP) (n=10) for fluorescence microscopy of worn and unworn regions
of the mesial cusp. Immunoreactivity (IR) to vim, actin, NaK-ATPase and CGRP was
confined to the pulpal third of odontoblast processes (OPs). IR to tub and nhe-1
was expressed by OPs in full dentine thickness. In areas associated with dentine
exposure, the tubules contained no OPs. In regions with intact dentine,
odontoblasts were arranged in a single cell layer and easily distinguished from
the sub-odontoblast cells. In regions with open tubules, the odontoblasts were in
stratified or pseudo-stratified in arrangement. Differences in structural
antibody expression suggest a previously unreported heterogeneity of the
odontoblast population and variations in different regions of the OP. This
combined with differences in OPs extension and pulp cellular arrangement in worn
and unworn regions suggests active and dynamic cellular responses to the opening
of dentinal tubules by tooth wear.
PMID- 26547701
TI - Alternative Medicine and Asthma, What Is the Evidence?
PMID- 26547700
TI - Antioxidant therapy in the elderly with tinnitus.
AB - INTRODUCTION: Several approaches have been tried for the treatment of tinnitus,
from cognitive-behavioral therapies and sound enrichment to medication. In this
context, antioxidants, widely used in numerous areas of medicine, appear to
represent a promising approach for the control of this symptom, which often is
poorly controlled. OBJECTIVE: To evaluate the effects of antioxidant therapy for
tinnitus in a group of elderly patients. METHODS: Prospective, randomized, double
blinded, placebo-controlled clinical trial. The sample consisted of 58 subjects
aged 60 years or older, with a complaint of tinnitus associated with
sensorineural hearing loss. These individuals completed the Tinnitus Handicap
Inventory (THI) questionnaire before and after six months of therapy. The
treatment regimens were: Ginkgo biloba dry extract (120mg/day), alpha-lipoic acid
(60mg/day)+vitamin C (600mg/day), papaverine hydrochloride (100mg/day)+vitamin E
(400mg/day), and placebo. RESULTS: There was no statistically significant
difference between THI by degree (p=0.441) and by score (p=0.848) before and
after treatment. CONCLUSION: There was no benefit from the use of antioxidant
agents for tinnitus in this sample.
PMID- 26547702
TI - Role of Innate Lymphoid Cells in Lung Disease.
AB - Innate lymphoid cells (ILCs) are identified as novel population of hematopoietic
cells which protect the body by coordinating the innate immune response against a
wide range of threats including infections, tissue damages and homeostatic
disturbances. ILCs, particularly ILC2 cells, are found throughout the body
including the brain. ILCs are morphologically similar to lymphocytes, express and
release high levels of T-helper (Th)1, Th2 and Th17 cytokines but do not express
classical cell-surface markers that are associated with other immune cell
lineages. Three types of ILCs (ILC1, 2 & 3) have been reported depending upon the
cytokines produced. ILC1 cells encompass natural killer (NK) cells and interferon
(IFN)-g releasing cells; ILC2 cells release the Th2 cytokines, IL-5, IL-9 and IL
13 in response to IL-25 and IL-33; and ILC3 cells which release IL-17 and IL-22.
ILC2 cells have been implicated inmucosal reactions occurring in animal models of
allergic asthma and virus-induced lung disorders resulting in the regulation of
airway remodeling and tissue homeostasis. There is evidence for increased ILC2
cell numbers in allergic responses in man but little is known about the role of
ILCs in chronic obstructive pulmonary disease (COPD). Further understanding of
the characteristics of ILCs such as their origin, location and phenotypes and
function would help to clarify the role of these cells in the pathogenesis of
various lung diseases. In this review we will focus on the role of ILC2 cells and
consider their origin, function,location and possible role in the pathogenesis of
the chronic inflammatory disorders such as asthma and COPD.
PMID- 26547703
TI - Identification of Aspergillus (A. flavus and A. niger) Allergens and
Heterogeneity of Allergic Patients' IgE Response.
AB - Aspergillus species (A. flavus and A. niger) are important sources of inhalant
allergens. Current diagnostic modalities employ crude Aspergillus extracts which
only indicate the source to which the patient has been sensitized, without
identifying the number and type of allergens in crude extracts. We report a study
on the identification of major and minor allergens of the two common airborne
Aspergillus species and heterogeneity of patients' IgE response to them. Skin
prick tests were performed on 300 patients of bronchial asthma and/or allergic
rhinitis and 20 healthy volunteers. Allergen specific IgE in patients' sera was
estimated by enzyme allergosorbent test (EAST). Immunoblots were performed to
identify major/minor allergens of Aspergillus extracts and to study heterogeneity
of patients'IgE response to them. Positive cutaneous responses were observed in
17% and 14.7% of patients with A. flavus and A. niger extracts, respectively.
Corresponding EAST positivity was 69.2% and 68.7%. In immunoblots, 5 allergenic
proteins were identified in A. niger extract, major allergens being 49, 55.4 and
81.5 kDa. Twelve proteins bound patients' IgE in A. flavus extract, three being
major allergens (13.3, 34 and 37 kDa). The position and slopes of EAST binding
and inhibition curves obtained with individual sera varied from patient to
patient. The number and molecular weight of IgE-binding proteins in both the
Aspergillus extracts varied among patients. These results gave evidence of
heterogeneity of patients' IgE response to major/minor Aspergillus allergens.
This approach will be helpful to identify disease eliciting molecules in the
individual patients (component resolved diagnosis) and may improve allergen
specific immunotherapy.
PMID- 26547704
TI - Molecular Cloning and Expression of a New Allergen of Acacia farnesiana (Aca f
2).
AB - Inhalation of pollens from different species of Acacia is a common cause of
respiratory allergy in tropical areas of the world. Acacia farnesiana is commonly
used as street trees in towns and ornamental shade trees in parks and gardens
throughout arid and semi-arid regions of Asia. This study aimed to produce and
purify the A. farnesiana pollen profilin (Aca f 2) and evaluate its nucleotide
sequence homology with profilins of common allergenic plants to predict
allergenic cross-reactivity. Thirty-nine patients who were allergic to Acacia
pollens were included in the study. Cloning of Acacia profilin-coding sequence
was performed by polymerase chain reaction using primers from Acacia pollen RNA.
The cDNA of Acacia pollen profilin was then expressed in Escherichia coli using
pET-21b(+) vector and purified by metal affinity chromatography. Immunoreactivity
of the recombinant Acacia profilin (rAca f 2) was evaluated by specific ELISA,
immunoblotting, and inhibition assays. The coding sequence of the Acacia profilin
cDNA was recognized as a 399-bp open reading frame encoding 133 amino acid
residues. Eighteen patients (18/39, 46.15%) had significant specific IgE levels
against Aca f 2. Immunodetection and inhibition assays indicated that purified
Aca f 2 might be the same as that in the crude extract. Aca f2, the first
allergen from A. farnesiana pollen, was identified as belonging to the family of
profilins. The amino acid sequence homology analysis showed high cross-reactivity
between Aca f 2 and other profilins from botanically unrelated common allergenic
plants.
PMID- 26547705
TI - Decreased Circulating Interleukin-35 Levels Are Related to Interleukin-4
Producing CD8+ T Cells in Patients with Allergic Asthma.
AB - Interleukin (IL)-35 is a newly discovered suppressive cytokine and has been shown
to alleviate inflammatory and autoimmune diseases. The purpose of this study was
to investigate immunomodulatory capacity of IL-35 in patients with allergic
asthma. IL-35 mRNA expression levels in peripheral blood mononuclear cells
(PBMCs) were detected by quantitative real-time PCR (qPCR). The frequencies of
cytotoxic T cells (Tc)1, Tc2 and Tc17 cells were measured by flow cytometry.
Plasma levels of IL-35, interferon (IFN)-gamma, IL-4, and IL-17 were examined by
enzyme-linked immunosorbent assay (ELISA). The correlations between plasma IL-35
levels and Tc1, Tc2, and Tc17 cytokine production in allergic asthmatics (n = 25)
and healthy controls (n = 12) were analyzed by Pearson's test. IL-35 protein and
mRNA expression levels were down-regulated in allergic asthmatics compared with
healthy controls. The frequencies of Tc2 and Tc17 cells were significantly
increased in patients with asthma, and the frequency of Tc1 cells did not differ
between asthmatic patients and healthy controls. Similarly, plasma levels of IL-4
and IL-17 were significantly increased in asthmatic patients, while there was no
difference in IFN-gamma levels between allergic asthma patients and healthy
controls. More importantly, plasma IL-35 protein levels were negatively
correlated with the frequency of IL-4-producing CD8+ T (Tc2) cells and with the
IL-4 level in patients with allergic asthma. Our results suggest that decreased
circulating IL-35 levels could contribute to the pathogenesis of allergic asthma
by regulating CD8+ T cells.
PMID- 26547706
TI - Association between Interleukin-23 Receptor R381Q Gene.
AB - The SNP (rs11209026, Arg381Gln, R381Q) in the IL-23 receptor (IL23R) confers
protection against multiple inflammatory diseases, representing one of the most
significant human genetic polymorphisms in inflammatory diseases. We, therefore,
investigated the association between IL-23 R R381Q gene polymorphism and asthma.
This case-control study was performed on 209 patients, and 200 healthy controls.
Using PCR-RFLP, the R381Q variant was screened in the IL-23R gene of the patients
and controls. Serum IgE levels were measured using ELISA technique. Eosinophil
absolute count was done with Sesmex cell counter. Our results indicated that the
genotype and allele frequencies of the IL-23R R381Q polymorphism is significantly
different between asthmatic patients and control subjects (p<0.001; odd ratio=
0.266; 95%, CI=0.118-0.604. Moreover, the asthmatic patients had higher
eosinophil count and total serum IgE levels than controls as expected (p<0.001).
The present study suggested that R381Q polymorphism in IL-23 receptor may be a
predisposing allele for asthma.
PMID- 26547707
TI - Effect of Influenza Virus Infection in a Murine Model of Asthma.
AB - Respiratory virus infection is a major cause of asthma exacerbation. However, the
underlying mechanisms of this exacerbation are unknown. Therefore, to determine
the mechanisms, we examined the effect of influenza infection in a murine model
of asthma. Mice were divided into four groups: the phosphate-buffered saline
(PBS), house dust mite(HDM), influenza, and HDM/influenza groups. The influenza
group and the HDM/influenza group were infected with influenza A virus. We
measured airway resistance (Penh value), examined the lung tissue for pathology,
and analyzed the cells and cytokines in bronchoalveolar lavage fluid (BALF) by
ELISA. At 50 mg/mL methacholine, the HDM/influenza group showed a significantly
higher Penh value than the PBS, HDM, and influenza groups. The number of
neutrophils in BALF was higher in the HDM/influenza group than in the HDM group.
A significantly greater number of lymphocytes and macrophages were detected in
the HDM/influenza group than in the HDM group. IFN-gamma and IL-1beta levels were
higher in the HDM/influenza group than in the HDM group. IL-5 levels did not vary
between the HDM and HDM/influenza groups, IL-10 was significantly lower in the
HDM/influenza than in the HDM group. Chemokine (C-X-C motif) ligand 1 (CXCL1) and
regulated upon activation, normal T cell expressed and secreted (RANTES) were
higher in the HDM/influenza group than in the HDM group. In a murine model of
asthma, influenza-induced airway inflammation appeared to be caused by
simultaneous activation of neutrophilic and eosinophilic inflammation.
PMID- 26547708
TI - The Effect of Preventive Immunization on the Incidence of Allergic Conditions.
AB - The purpose of this study was to assess the effect of preventive immunization on
the incidence of allergies in Poland. 18,617 (53.8% female, 24.2% 6-7 years old,
25.4% 13-14 years old, 50.4% 20-44 years old) were selected by stratified cluster
sampling method in 8 cities and 1 rural area. 4783 of whom underwent objective
outpatient screening assessments. Study subjects were evaluated for any
association between preventive immunization against rubella, measles, typhoid
fever, smallpox and incidence of atopic dermatitis, allergic rhinitis, and
asthma. There was no increased risk of allergy incidence in the majority of
vaccinated subjects against rubella, measles, typhoid fever, or smallpox (OR from
0.42 (p<0.0001) to 1.34 (p<0.0001) with 95% CI from 0.27-0.65 to 1.19-1.50).
Slightly increased risk of asthma was after vaccination against typhoid (OR=1.27;
p<0.0001) and smallpox (OR=1.21; p=0.02). The risk of atopic dermatitis (AD) was
also evaluated following vaccination against rubella (OR=1.34; p<0.0001), typhoid
(OR=1.13; p=0.005), varicella (OR=1.18; p=0.003); rhinitis and AR following
vaccination against measles (respectively OR=1.22; p<0.0005 and OR =1.21;
p=0.0002). No higher risk of allergic diseases was demonstrated in vaccinated
individuals diagnosed by doctor in an outpatient setting. These data do not
demonstrate a causal relationship between vaccinations and allergic conditions.
PMID- 26547709
TI - High Usage of Complementary and Alternative Medicine among Turkish Asthmatic
Children.
AB - An increasing number of asthmatic patients are attracted by complementary and and
alternative medicine (CAM). The aim of this study was to estimate the prevalence
and describe the characteristics of CAM use by children with asthma in a
paediatric allergy clinic in Istanbul, Turkey. The parents of asthmatic children
were invited to participate in a cross-sectional survey study. Current asthma
treatment, severity of asthma, emergency admittances and hospitalisations,
education of parents, settlements, income of the family and parental use of CAM
were investigated as predictors of CAM usage. Out of the 500 patients, 330 (66%)
had used CAM therapy; most popular modalities were herbal medicine (45%), honey
(41.6%), grape syrup (37.2%) and quail eggs (36.2%). The most common used herbal
medicine in the study group were linden (21.6%) and ginger (21.2%). There was no
significant difference in regard to the use of regular asthma treatment, the
severity of asthma, the frequency of emergency admittance, hospitalisations due
to asthma, education of parents and settlements between CAM users and non-CAM
group. A significant inverse association was found in terms of family income and
CAM usage. Parents' own use of CAM was also associated with significant increase
in the use of CAM. In conclusion; the prevalance of reported CAM use among
Turkish asthmatic children was found to be high (66%), with herbal medicine being
the most popular modality. The results of this study shows that CAM use becomes
more prevelant among asthmatic children from families with low income. It will be
increasingly important for physicians who care for allergic children to be aware
of high CAM usage.
PMID- 26547710
TI - In Vitro Generation of IL-35-expressing Human Wharton's Jelly-derived Mesenchymal
Stem Cells Using Lentiviral Vector.
AB - Human Wharton's Jelly-derived Mesenchymal Stem Cells (hWJ-MSCs) are easily
available cells without transplant rejection problems or ethical concerns
compared to bone-marrow-derived MSCs for prospective clinical applications. These
cells display immunosuppressive properties and may be able to play an important
role in autoimmune disorders. Regulatory T-cells (Treg) are important to prevent
autoimmune disease development. Interleukin 35 (IL-35) induces the proliferation
of Treg cell populations and reduces the activity of T helper 17 (Th17) and T
helper 1 (Th1) cells, which play a central role in initiation of inflammation and
autoimmune disease. Recent studies identified IL-35 as a new inhibitory cytokine
required for the suppressive function of Treg cells. We created IL-35-producing
hWJ-MSCs as a good vehicle for reduction of inflammation and autoimmune diseases.
We isolated hWJ-MSCs based on explant culture. HWJ-MSCs were transduced at MOI=50
(Multiplicity of Infection) with lentiviral particles harboring murine
Interleukin 35 (mIL-35). Expression of IL-35 in hWJ-MSCs was quantified by an IL
35 ELISA kit. IL-35 bioactivity was analyzed by inhibiting the proliferation of
mouse splenocytes using CFSE cell proliferation kit. Frequency of CD4+CD25+CD127
low/neg Foxp3+ Treg cells was measured by flow cytometry. There was an up to 85%
GFP positive transduction rate, and the cells successfully released a high level
of mIL-35 protein (750 ng/ml). IL-35 managed to inhibit CD4+ T cell proliferation
with PHA, and improved the frequency of Treg cells. Our data suggest that
transduced hWJ-MSCs overexpressing IL-35 may provide a useful approach for basic
research on gene therapy for autoimmune disorders.
PMID- 26547711
TI - Constructing Chimeric Antigen for Precise Screening of HTLV-I Infection.
AB - Individual preparation of two human T-cell lymphotropic virus type I (HTLV-I)
diagnostic GST fused peptides (MTA-1 and GD21) is time-consuming and expensive.
The aim of this study was to design a novel single chimeric antigen (SCA) to
obviate separate expression of proteins and reduce the cost of reagent
preparation. Structural protein fragments, including immunodominant B cell linear
epitopes, were selected and different SCAs were designed. Tertiary structure,
epitope exposure, solubility and stability were calculated for each SCA and
compared with each other. The synthetic DNA encoding the interested SCA was sub
cloned into pET32a expression vector, expressed as a soluble form in Escherichia
coli BL21 (DE3) cells and purified under native condition using affinity
chromatography. The SDS-PAGE results indicated that thioredoxin-fused SCA was
successfully expressed as a soluble form in E. coli BL21 (DE3) cells. The results
of ELISA confirmed that SCA reacted with anti-HTLV-I antibodies in a
concentration-dependent manner. Our results indicated that the designed SCA may
be a good candidate for the screening of HTLV-I carriers with antigen-antibody
based tests.
PMID- 26547713
TI - Polymorphism in the IL-8 Gene Promoter and the Risk of Acne Vulgaris in a
Pakistani Population.
AB - Interleukin-8 (IL-8) is a well-known inflammatory chemokine and suggested to be
involved in the development of acne vulgaris. This study investigates IL-8 plasma
levels in acne patients and healthy controls and the molecular basis for the
regulation of the IL-8 gene in a Pakistani population. Patients with acne
vulgaris (n = 264) and healthy individuals (n = 264) were enrolled in this
investigation. Plasma IL-8 levels were determined by enzyme-linked immunosorbent
assay (ELISA). The genotyping for IL-8 gene was performed by polymerase chain
reaction (PCR) and restriction fragment length polymorphism (RFLP). Our data
showed a statistically significant increase in IL-8 levels from acne patients
compared with healthy subjects (154.2 +/- 52.1 pg/mL in patients vs. 101.6 +/-
33.5 pg/mL in controls, p<0.0001). The IL-8-251T>A (rs4073) polymorphism was
significantly higher in patients with acne compared with the control group
(p=0.013). There was a significant difference between the T and A alleles from
acne cases and controls (odds ratio OR=1.6,95 % CI= 1.16-2.19, p=0.003). Logistic
regression analysis showed that the increased IL-8 levels, and the IL-8-251T>A
polymorphism were significantly associated with acne. Our data suggest that the
elevated IL-8 levels and the IL-8-251T>A polymorphism may be associated with acne
vulgaris in the study population.
PMID- 26547712
TI - Single Nucleotide Polymorphism rs 2476601 of PTPN22 Gene and Susceptibility to
Rheumatoid Arthritis in Iranian Population.
AB - The rs2476601 (R620W, C1858T) polymorphism in PTPN22 gene has been repeatedly
reported to be associated with rheumatoid arthritis (RA). The rs 2476601 is
widely suggested for predictive testing and risk assessment for RA. The aim of
this study was to test the possible association of this SNP with RA in Iranian
population. A total of 872 samples (405 confirmed RA patients and 467 healthy
controls) were recruited in this study. Genomic DNA was extracted from whole
blood and the genotyping was performed by polymerase chain reaction-restriction
fragment length polymorphism (PCR- RFLP). Genotyping for a set of samples were re
confirmed by two other rounds of genotyping, using another PCR-RFLP experiment
with different enzyme and DNA sequencing. All 872 samples were genotyped as
homozygous CC in first round of genotyping. Genotyping was repeated for 30% of
samples by another restriction enzyme and for 10% of samples by sequencing. Again
all samples showed homozygous CC genotype. This study suggests that the rs2476601
polymorphism of PTPN22 gene is mono-morphic in Iranian population, containing
only C allele. Considering that previous studies in other populations reported
the T allele as the risk allele at this locus, the present study concluded that
rs2476601 play no role in susceptibility to RA and other autoimmune diseases in
Iranian population. This finding has significant future clinical implications in
determining the strategy for risk assessment and predictive testing for such
diseases in Iranian population.
PMID- 26547714
TI - Toxocara Canis IgG Seropositivity in Patients with Chronic Urticaria.
AB - We aimed to investigate IgG antibody levels specific to Toxocara canis (T.
canis), a parasite which subsists in dog's intestine, on serum samples obtained
from patients with chronic urticaria (CU) to evaluate effective risk in CU
etiopathogenesis. In this study, 73 patients diagnosed with CU and 109 healthy
individuals as control group, were included. Various factors such as sex, age,
education and income, daily hand washing habits, history of dog owning and soil
eating were questioned in patient anamnesis. T. canis IgG antibodies were
detected using an enzyme linked immunosorbent assay (ELISA) kit prepared with T.
canis larval excretory-secretory antigens. Positive results were confirmed with
western blot (WB) WB test. We found T. canis IgG positivity in 17.8% (n=13) of
patients (n=73) with CU. But we did not observe any T. canis IgG positivity in
healthy controls (n=109). Low molecular weight bands (24-35 kDa) were observed in
11 samples in WB analyses while two of the samples were weakly positive. It is
revealed that dog owning history increases T. canis seropositivity 12.9 times
while insufficient daily hand washing habit (less than six times a day) increases
seropositivity 20.7 times. Our study showed that T. canis may trigger CU since we
found 17.8% seropositivity in 73 patients with CU and none in 109 healthy
individuals. Moreover, various socio-demographic characteristics have been shown
to affect T. canis seropositivity in patients with CU.
PMID- 26547715
TI - A New IL-2RG Gene Mutation in an X-linked SCID Identified through TREC/KREC
Screening: a Case Report.
AB - Severe combined immunodeficiency (SCID) represents a rare group of primary
immunodeficiency disorders (PIDs), with known or unknown genetic alterations.
Here, we report a new interleukin 2 receptor, gamma chain (IL-2RG) mutation in an
Iranian SCID newborn. The patient was a 6-day old boy with a family history of
PID. The child was screened using a molecular-based analysis for the assessment
of T cell receptor excision circles (TRECs) and kappa-deleting recombination
excision circles (KRECs). Moreover, a complete immunological evaluation and gene
sequencing was performed. Results showed undetectable TREC but a high level of
KREC copy numbers. Flow cytometric data indicated low numbers of T and NK cells,
but elevated number of B cells. A novel substitution in IL2RG: c.675 C>A, leading
to p.225 Ser>Arg was found. Based on the functional analysis, the mutation is
predicted to be damaging. The patient was diagnosed as a T B+ NK X-linked SCID.
PMID- 26547716
TI - Similarities and Differences in Sexual Risk Behaviors Between Young Black MSM Who
Do and Do Not Have Sex with Females.
AB - The objective of this study is to determine whether young Black MSM who also have
sex with females report similar levels of sexual risk behaviors as those not
having sex with females. YBMSM (N = 400) were recruited from an STI clinic,
located in the Southern U.S. Men completed an audio-computer assisted self
interview and donated specimens for STI/HIV testing. Forty-three percent recently
engaged in penile-vaginal sex. They were less likely to report having concurrent
partners (P = .01), unprotected fellatio (P = .04), multiple partners as a bottom
(P < .02), any unprotected anal sex as a bottom (P < .013), and any anal sex (P =
.007). They were equally likely to report favorable attitudes toward serosorting
(P = .80), multiple male partners as a top (P = .20), unprotected anal insertive
sex with males (P = .15). Frequency of sex with males as a top (P = .61) or
bottom (P = .61) did not differ. Compared to YBMSM not having sex with females,
those having sex with females may be exercising greater caution.
PMID- 26547717
TI - Notochord manipulation does not impact oesophageal and tracheal formation from
isolated foregut in 3D explant culture.
AB - BACKGROUND: Tracheo-oesophageal malformations result from disturbed foregut
separation during early development. The notochord, a specialised embryonic
structure, forms immediately adjacent to the dividing foregut. In the Adriamycin
mouse model of oesophageal atresia, foregut and notochord abnormalities co-exist,
and the site and severity of foregut malformations closely correlate to the
position and extent of the notochord defects. Notochord and foregut abnormalities
also co-exist in the Noggin Knockout mouse as well in a small number of human
cases. The notochord is a source of powerful molecular signals during early
embryogenesis, being particularly important for neural crest development. The
influence of notochord signaling on the adjacent foregut is not known. The
purpose of this study was to examine the impact of notochord manipulation on
foregut separation using a robust 3D explant method for culturing isolated
foregut which permits oeosphageal and tracheal formation in vitro. METHODS:
Foregut was micro-dissected from embryonic day 9 mice (License B100/4447 Irish
Medicines Board), embedded in collagen and cultured for 48 h with native
notochord intact (n = 6), notochord removed (n = 10) or additional notochord
transplanted from stage matched controls (n = 8). Specimens were analysed for
foregut morphology and molecular patterning using immunohistochemistry for Hnf3b
(an endoderm marker) and Sox2 (a notochord and oesophageal marker) on
cryosections. RESULTS: Foregut separation into distinct oesophagus and trachea
was observed in isolated foregut specimens with or without their native
notochord. In specimens with additional notochord transplants, foregut morphology
and molecular patterning were comparable to controls whether or not the native
notochord was maintained. In particular foregut separation was not disrupted by
the transplantation of additional notochord at the dorsal foregut endoderm.
CONCLUSION: The relationship between the embryonic foregut and notochord is
complex and ill-defined; however, the notochord does not contribute essentially
to oesophagus and trachea formation beyond E9 in the mouse, and the
transplantation of additional notochord does not disrupt foregut separation in 3D
explant culture.
PMID- 26547718
TI - Consumer Understanding, Preferences, and Responses to Different Versions of Drug
Safety Messages in the United States: A Randomized Controlled Trial.
AB - INTRODUCTION: As part of its mission, the US Food and Drug Administration (FDA)
communicates with the public regularly about the benefits and risks of
prescription and over-the-counter (OTC) drugs. Effectively communicating risk,
however, is a significant public health challenge. OBJECTIVE: To better
understand how different populations understand information communicated by the
FDA about drug safety, we conducted a randomized experiment to examine
comprehension and other measures of effectiveness of drug safety messages that
occurred in a post-market surveillance phase. METHODS: We used an Internet panel
survey of 1244 consumers, of whom 58% used prescription drugs in the past year.
Half of the sample panel was randomized to read a previous FDA Drug Safety
Communication (DSC) with the drug name changed, and the other half was randomized
to read a revised version of the same DSC. We examined how making certain
modifications to the way drug risk information is communicated has an impact on
comprehension and behavioral intentions, including the user's likelihood of
discontinuing the drug. We also studied how comprehension varied by respondent
characteristics, health literacy skills, risk perceptions, and trust in the
message. RESULTS: Based on a five-item comprehension index, the revised version
of the message was associated with significantly greater comprehension of the
information relative to the standard version (63 vs 52% correct, p < 0.001).
Significantly more respondents found the revised version to be clear (82 vs 73%,
p < 0.000), while fewer in that group reported learning something new (78% vs
84%, p = 0.015). No significant differences emerged between the two groups in
terms of the message being informative, convincing, or helpful. We found no
significant differences between the two groups in terms of behavioral intentions,
risk perception, and trust. CONCLUSIONS: We found that making plain language
changes to the DSC significantly increased consumers' level of comprehension of
its content, providing support for ongoing use and further exploration of these
strategies in pharmacovigilance communication research. The study findings have
important implications for future drug safety and other communication messages
related to prescription drugs.
PMID- 26547719
TI - Adverse Drug Reactions Reported to a National HIV & Tuberculosis Health Care
Worker Hotline in South Africa: Description and Prospective Follow-Up of Reports.
AB - INTRODUCTION: The National HIV & Tuberculosis Health Care Worker (HCW) Hotline
provides advice on the management of suspected adverse drug reactions (ADRs). We
describe suspected ADRs reported to the hotline by HCWs, concordance with advice,
and patient outcomes. METHODS: We reviewed suspected ADRs in HIV-infected
patients, patients taking antiretrovirals and patients taking anti-tuberculosis
therapy reported from May 2013 to October 2014. We performed causality assessment
using the World Health Organization Uppsala Monitoring Centre (WHO-UMC) criteria.
We included suspected ADRs categorized as certain, probable or possible in
further analysis. RESULTS: We received 772 ADR reports, of which 87/772 (11.3%)
were classified as certain, 176/772 (22.8%) as probable, 361/772 (46.8%) as
possible, and 148/772 (19.2%) as unlikely or unassessable. The most frequent ADRs
were rash, drug-induced liver injury (DILI) and kidney injury, comprising 110/624
(17.6%), 87/624 (13.9%), and 77/624 (12.3%), respectively. The ADR was severe in
27.3% of rashes, 36.4% of kidney injury reports and 88.5% of DILI reports. Most
frequently implicated drugs, either alone or in combination with other
potentially causative drugs, were efavirenz (rashes), efavirenz and anti
tuberculosis drugs (DILI) and tenofovir (kidney injury). In 383 cases with HCW
follow-up, 254 (66.3%) improved, 9 (2.3%) had complete resolution, 32 (8.4%)
remained unchanged, 6 (1.6%) deteriorated, 10 (2.6%) died and 72 (18.8%) had
unknown outcome. Advice provided was followed in 93.2% of these cases. Of 223
ADRs with preventability data, 40 (17.9%) were preventable. CONCLUSION: Queries
about rashes, DILIs and kidney injuries were common. Detection and management of
these ADRs should be included in HCW training. In cases with follow-up,
concordance with advice was high, and HCWs reported improvement in the majority.
PMID- 26547720
TI - Assessment of Apical Extrusion during Root Canal Irrigation with the Novel
GentleWave System in a Simulated Apical Environment.
AB - INTRODUCTION: This study assessed apical extrusion during treatment with
GentleWave (GW; Sonendo Inc, Laguna Hills, CA), a conventional open-ended 30-G
needle (CN), or Endovac (EV; SybronEndo, Orange, CA) in root canals enlarged to
different dimensions with and without apical constriction. METHODS: Sixteen
mandibular molars were mounted in an in vitro apparatus. Roots were immersed in a
pressure-regulated chamber containing distilled water with pressure kept at 5.88
+/- 0.15 mm Hg to simulate periapical back pressure. Mesiobuccal (curved <=30
degrees ) and distal (straight) canals were instrumented to the working length
(WL) as follows: minimal instrumentation (MI, #15/.04), traditional
instrumentation (#35/.06), or overinstrumentation (OI, #35/.06, to the WL + 1
mm). Canals were tested 5 times each with distilled water using GW, CN (at WL-3
mm), or EV and the mass (g) of extruded water recorded. Extrusion frequency and
mean extruded mass were compared for each canal, irrigation group, and canal
instrumentation mode (Wilcoxon t test, P < .05). RESULTS: No extrusion occurred
with GW and EV, whereas the frequency of extrusion with CN was 33%. Mean extruded
water mass using CN ranged in mesial canals from 0.000 +/- 0.000 g (OI) to 0.047
+/- 0.098 g (MI) and in distal canals from 0.123 +/- 0.191 g (MI) to 0.505 +/-
0.490 g (OI). With traditional instrumentation and OI instrumentation, extruded
mass in distal canals was significantly higher than in mesial canals (P < .002)
and distal canals with MI (P < .020). CONCLUSIONS: Within this study's
limitations, root canal treatment with GW and irrigation with EV was not
associated with extrusion. Extruded irrigation mass using the open-ended 30-G
needle depended on the canal type and enlargement. These results have to be
interpreted with caution, and further investigations are warranted to evaluate
the possibility of extrusion using GW in different tooth types and clinical
situations.
PMID- 26547721
TI - Pathway-based variant enrichment analysis on the example of dilated
cardiomyopathy.
AB - Genome-wide association (GWA) studies have significantly contributed to the
understanding of human genetic variation and its impact on clinical traits.
Frequently only a limited number of highly significant associations were
considered as biologically relevant. Increasingly, network analysis of affected
genes is used to explore the potential role of the genetic background on disease
mechanisms. Instead of first determining affected genes or calculating scores for
genes and performing pathway analysis on the gene level, we integrated both steps
and directly calculated enrichment on the genetic variant level. The respective
approach has been tested on dilated cardiomyopathy (DCM) GWA data as showcase. To
compute significance values, 5000 permutation tests were carried out and p values
were adjusted for multiple testing. For 282 KEGG pathways, we computed variant
enrichment scores and significance values. Of these, 65 were significant.
Surprisingly, we discovered the "nucleotide excision repair" and "tuberculosis"
pathways to be most significantly associated with DCM (p = 10(-9)). The latter
pathway is driven by genes of the HLA-D antigen group, a finding that closely
resembles previous discoveries made by expression quantitative trait locus
analysis in the context of DCM-GWA. Next, we implemented a sub-network-based
analysis, which searches for affected parts of KEGG, however, independent on the
pre-defined pathways. Here, proteins of the contractile apparatus of cardiac
cells as well as the FAS sub-network were found to be affected by common
polymorphisms in DCM. In this work, we performed enrichment analysis directly on
variants, leveraging the potential to discover biological information in
thousands of published GWA studies. The applied approach is cutoff free and
considers a ranked list of genetic variants as input.
PMID- 26547724
TI - Discussion.
PMID- 26547722
TI - The diagnostic value of [(18)F]FDG PET for the detection of chronic osteomyelitis
and implant-associated infection.
AB - PURPOSE: The diagnosis of osteomyelitis and implant-associated infections in
patients with nonspecific laboratory or radiological findings is often
unsatisfactory. We retrospectively evaluated the contributions of [(18)F]FDG PET
and [(18)F]FDG PET/CT to the diagnosis of osteomyelitis and implant-associated
infections, enabling timely and appropriate decision-making for further therapy
options. METHODS: [(18)F]FDG PET or PET/CT was performed in 215 patients with
suspected osteomyelitis or implant-associated infections between 2000 and 2013.
We assessed the diagnostic accuracy of both modalities together and separately
with reference to intraoperative microbial findings, with a mean clinical follow
up of 69 +/- 49 months. RESULTS: Infections were diagnosed clinically in 101 of
the 215 patients. PET and PET/CT scans revealed 87 true-positive, 76 true
negative, 38 false-positive, and 14 false-negative results, indicating a
sensitivity of 86 %, a specificity of 67 %, a positive predictive value (PPV) of
70 %, a negative predictive value (NPV) of 84 % and an accuracy of 76 %. The
sensitivity of PET/CT was 88 %, but specificity, PPV, NPV and accuracy (76 %, 76
%, 89 % and 82 %, respectively) were higher than those of stand-alone PET.
CONCLUSION: [(18)F]FDG PET is able to identify with high sensitivity the presence
of osteomyelitis in orthopaedic surgery patients with nonspecific clinical
symptoms of infection.
PMID- 26547725
TI - Reply to: Social media and surgery: An alternative view.
PMID- 26547726
TI - Resection versus expectant management of small incidentally discovered
nonfunctional pancreatic neuroendocrine tumors.
AB - BACKGROUND: Sporadic, nonfunctional pancreatic neuroendocrine tumors (NF-PNETs)
are diagnosed with increasing frequency. We compared the risk of tumor growth,
metastasis, and mortality between patients treated versus those treated
expectantly. METHOD: A retrospective study of patients seen at our institution
with sporadic NF-PNETs, with >= 12 months of follow-up. Kaplan-Meier analysis was
performed. RESULTS: Between 1999 and 2014, 35 patients with an incidentally
discovered nonfunctional PNET were identified. Twenty underwent resection and 15
were followed with imaging. In the operative group, 8 had NF-PNETs < 2 cm, while
12 had NF-PNETs >= 2 cm. In the nonoperative expectant management by serial
imaging group, 10 had NF-PNETs < 2 cm while 5 had NF-PNETs >= 2 cm. Small NF
PNETs (<2 cm) in either the operative or nonoperative groups demonstrated no
evidence of progression or metastasis (median follow-up of 27.8 months).
Morbidity in the operative group was 35% with pancreatic pseudocyst the most
common. CONCLUSION: Incidentally discovered NF-PNETs < 2 cm in size can be
observed safely with serial imaging.
PMID- 26547727
TI - Quality of life and independent living and working levels of farmers and ranchers
with disabilities.
AB - BACKGROUND: The status of farmers and ranchers with disabilities has been
understudied. Understanding this population's quality of life (QOL) and
independent living and working (ILW) levels have the potential to be informative
for changes in public policy and service provision. OBJECTIVE: To assess QOL
levels among farmers and ranchers with disabilities as well as explore a
conceptual model of ILW accounting for variance in QOL levels. METHODS:
Participants (N = 398) included farmers and ranchers with varying disabilities.
Descriptive information was gathered using the McGill Quality of Life (MQOL) and
ILW measures. The MQOL measure produces an objective and comprehensive profile of
one's QOL across several domains. ILW was used to account for variance in QOL
scores. We also examined whether there were any differences in QOL and or ILW
based on type of disability. RESULTS: There were no differences in type of
disability and QOL levels. The mean QOL level was 5.50 (SD = 1.67; N = 398). The
sample rated support and existential well-being the highest among the QOL
subscales, which confounds previous research. Further, age group and ILW
accounted for 16.2% of the variance in QOL levels, P < .001. CONCLUSIONS: With
this sample of farmers and ranchers with disabilities, age group and ILW account
for significant variance in QOL. Health professionals can use these findings to
support and assess improvements in clients' ILW, self-determination, and QOL.
Future research is needed to explore further the effects of QOL and ILW in this
population.
PMID- 26547728
TI - Freedom from reoperation 38 years after a ross procedure performed in middle age-
what can we learn from this remarkable survival?
PMID- 26547729
TI - Long-term course of a patient with congenital corrected transposition of the
great arteries and dextrocardia--The Fisher King.
PMID- 26547730
TI - Red blood cell distribution width: Just one of many things to consider.
PMID- 26547731
TI - Acute myocardial injury during strenuous physical activity.
PMID- 26547732
TI - Sedentary behavior and predicted 10-yr risk for a first atherosclerotic
cardiovascular disease (ASCVD) event using the pooled cohort risk equations among
US adults.
PMID- 26547733
TI - Comments on Li et al. HbA1c and all-cause mortality risk among patients with type
2 diabetes. International Journal of Cardiology. 2015; 202:490-496.
PMID- 26547734
TI - Takotsubo cardiomyopathy and pheochromocytoma: "What therefore God hath joined
together, let not man put asunder".
PMID- 26547735
TI - Rare and life-threatening cardiovascular complication of chronic thromboembolic
pulmonary hypertension diagnosed by trans-thoracic echocardiography.
PMID- 26547736
TI - aVR and attenuation of the amplitude of the QRS complexes by comparing 2
electrocardiograms in the differentiation of takotsubo syndrome and anterior ST
elevation myocardial infarction.
PMID- 26547737
TI - Focus on direct oral anticoagulants (DOAC) for prophylaxis of venous thrombosis
and pulmonary embolism in medically ill patients.
PMID- 26547738
TI - The potential role of TLR4/caveolin-1/NOS pathway in oxyLDL-modulation of
autophagic/apoptotic responses in endothelial cells.
PMID- 26547739
TI - Native T1 in deciphering the reversible myocardial inflammation in cardiac
sarcoidosis with anti-inflammatory treatment.
PMID- 26547740
TI - Myocardial infarction precipitating Takotsubo cardiomyopathy.
PMID- 26547741
TI - Screening for atrial fibrillation with automated blood pressure measurement:
Research evidence and practice recommendations.
AB - Several guidelines recommend opportunistic screening for atrial fibrillation (AF)
in subjects aged >= 65 years using pulse palpation during routine blood pressure
(BP) measurement. However, this method has limited diagnostic accuracy. A
specific algorithm for AF detection during automated BP measurement was developed
and implemented in a novel oscillometric device (Microlife WatchBP Home-A). In
2013, the UK National Institute for Health and Care Excellence (NICE) recommended
this device for AF screening during routine office BP measurement in primary care
in subjects >= 65 years. A review and meta-analysis of the evidence on the
diagnostic accuracy of this algorithm were performed. Six studies (n=2332)
investigated the accuracy of AF detection using the Microlife BP monitor and
estimated a pooled sensitivity at 0.98 (95% CI 0.95, 1.00) and specificity 0.92
(0.88, 0.96). Analysis of 4 studies (n=1126) showed more readings to improve
specificity (from 0.86 to 0.91) and sensitivity (from 0.97 to 0.99). Taking 3
sequential readings with at least 2 detecting AF gave the highest diagnostic
accuracy. A single study (n=139) of paroxysmal AF screening with home BP
monitoring (3316 days) showed sensitivity 99% and specificity 93%. Another study
(n=46) of AF screening with 24h ambulatory BP monitoring showed that AF detected
in >15% of all readings has high probability of AF diagnosis requiring
confirmation by 24h electrocardiography. AF detection with routine automated BP
measurement is a reliable screening tool in the elderly, which requires
confirmation by electrocardiography. Paroxysmal AF might also be detected by
routine automated home or ambulatory BP monitoring.
PMID- 26547742
TI - A long-term follow-up of patients with prolonged asystole of greater than 15s on
head-up tilt testing.
AB - BACKGROUND: Head-up tilt (HUT) is used for diagnosis of vasovagal syncope (VVS),
and can provoke cardioinhibition. VVS is usually considered benign, however
pacemaker insertion may be indicated in some patients. We sought to characterize
the long-term outcomes of patients with prolonged asystole (>15s) on HUT.
METHODS: We conducted a retrospective study on patients with asystole >15s on HUT
identified from 5133 patients who were investigated between 1998 and 2012 at our
institution. Patients were mailed questionnaires or telephoned to ascertain
outcomes. Where contact was not possible, the patients' general practitioners
were contacted to request up-to-date information. RESULTS: A total of 26 patients
with a mean age of 45 +/- 18 years and a mean duration of asystole on HUT of 26
+/- 7s were successfully followed up from a total of 77 patients identified. The
follow-up duration was 99 +/- 39 months. Six patients had undergone pacemaker
(PPM) implantation. Of the patients without PPM, 16 reported spontaneously
improved symptoms. Ten patients sustained injury prior to HUT compared with one
after HUT, when a clear diagnosis was made and management advice was given. There
were no major injuries or deaths after HUT. The 6 patients with PPMs had a mean
age of 60 +/- 16 (67% male) at HUT. Four patients had no further syncope after
PPM and two demonstrated improvement but still experienced recurrent syncope.
CONCLUSIONS: Prolonged asystole (>15s) on tilt does not necessarily predict
adverse outcomes with most patients improving spontaneously over the long-term.
Pacemaker insertion in selected patients may reduce syncope recurrence but does
not always abolish it.
PMID- 26547743
TI - Anabolic-androgenic steroids and athlete's heart: When big is not beautiful....!
PMID- 26547744
TI - Acute myocardial infarction in a 39-year-old lactating woman.
PMID- 26547745
TI - Evaluation of the learning curve for transcatheter aortic valve implantation via
the transfemoral approach.
AB - BACKGROUND: The aim of this study was to evaluate the learning curve in
performing transfemoral TAVI (TF-TAVI). METHODS: Between October 2006 and October
2013, 312 consecutive TF-TAVI cases performed by 6 interventional cardiologists,
using the Edwards Sapien valve and 104 using the CoreValve, were included in the
present analysis. Cumulative sum (CUSUM) failure analysis of combined 30-day
safety endpoint was used to evaluate learning curves. RESULTS: The CUSUM analysis
revealed a learning curve regarding the occurrence of 30-day adverse events with
an improvement after the initial 86 cases using the Edwards valve and 40 cases
using the CoreValve. We divided the Edwards valve cases into two groups (early
experience: Cases 1 to 86; late experience: Cases 87 to 312). The rate of 30-day
mortality and 1-year mortality significantly decreased in the late experience
group (17% to 7%, p=0.019; 34% to 21%, p=0.035, respectively). We divided the
CoreValve cases into two groups (early experience: Cases 1 to 40; late
experience: Cases 41 to 104). The rate of 30-day mortality and 1-year mortality
significantly decreased in the late experience group (20% to 6%, p=0.033; 38% to
15%, p=0.040, respectively). The groups including both valves were also analyzed
after propensity-matching (early [n=52] vs late [n=52]). This model also showed
that 30-day and 1-year mortality rates were significantly lower in the late
experience group (13% to 1%, p=0.028; 34% to 20%, p=0.042, respectively).
CONCLUSIONS: An appropriate level of experience is needed to reduce the
complication rate and mortality in TF-TAVI.
PMID- 26547746
TI - Improved Surgical Success of Combined Glaucoma Tube Shunt and Retisert((r))
Implantation in Uveitic Eyes: A Retrospective Study.
AB - INTRODUCTION: The purpose of this study was to determine whether the outcomes
following placement of a fluocinolone acetonide implant (Retisert((r)); Bausch &
Lomb, Inc.) combined with an AhmedTM glaucoma valve (New World Medical, Inc.) in
eyes with uveitic glaucoma (UG Retisert) were different when compared to an Ahmed
valve alone in eyes with uveitic glaucoma or primary open angle glaucoma (UG non
Retisert and POAG, respectively). METHODS: Retrospective, interventional study of
consecutive uveitic and OAG eyes undergoing Ahmed valve (AV) implantation with or
without combined Retisert insertion at a single academic center between 2009 and
2012. Surgical success was defined as intraocular pressure (IOP) between 5 and 18
mmHg and greater than 20% reduction of IOP at two consecutive visits without need
for additional IOP-lowering medications or surgical procedures. Secondary outcome
measures included IOP and number of glaucoma medications. RESULTS: Sixty eyes of
60 patients (22 UG Retisert, 16 UG non-Retisert, 22 POAG) were included. Mean +/-
standard deviation surgical success duration was significantly greater in UG
Retisert eyes, 629 +/- 53 days, compared to those with UG non-Retisert, 361 +/-
37 days, and POAG, 472 +/- 65 days (P = 0.034). At 24 months, the mean IOP was
11.7, 12.1, and 15.0 mmHg and the average patient was on 1.45, 0.71, and 2.00
medications in the UG Retisert, UG non-Retisert, and POAG valve groups,
respectively. CONCLUSION: Retisert implants when combined with AV in uveitic
glaucoma had a longer duration of surgical success than uveitic or POAG treated
with AV insertion alone.
PMID- 26547747
TI - Galactosylation of steroidal saponins by beta-galactosidase from Lactobacillus
bulgaricus L3.
AB - The galactosylation of furostanosides and spirostanosides were investigated by
using beta-galactosidase from Lactobacillus bulgaricus L3 as a catalyst and
lactose as a sugar donor. Four novel galactosylated furostanoside products
(compounds 1-4) from compound F, compound G, compound I, and compound H were
obtained and their structures were identified by HR-ESI-MS, 1D and 2D NMR
spectra. The result showed that galactosyl moiety was found to be added to the 6
OH of the 26-O-glucosyl in these four furostanoside substrates.
PMID- 26547748
TI - Metal ion-promoted cleavage of nucleoside diphosphosugars: a model for reactions
of phosphodiester bonds in carbohydrates.
AB - Cleavage of five different nucleoside diphosphosugars has been studied in the
presence of Cu(2+) and Zn(2+) complexes. The results show that metal ion
catalysts promote the cleavage via intramolecular transesterification whenever a
neighbouring HO group can adopt a cis-orientation with respect to the phosphate.
The HO group attacks the phosphate and two monophosphate products are formed. If
such a nucleophile is not available, Cu(2+) complexes are able to promote a
nucleophilic attack of an external nucleophile, e.g. a water molecule or metal
ion coordinated HO ligand, on phosphate. With the Zn(2+) complex, this was not
observed.
PMID- 26547750
TI - Determination of glycosylated hemoglobin during hospitalization as an opportunity
to assess and optimize glycemic control in our patients: An unresolved matter.
PMID- 26547749
TI - SOD mimetic activity and antiproliferative properties of a novel tetra nuclear
copper (II) complex.
AB - The search for novel anticancer therapeutic agents is an urgent and important
issue in medicinal chemistry. Here, we report on the biological activity of the
copper-based bioinorganic complex Cu4 (2,4-di-tert-butyl-6-(1H-imidazo- [1, 10]
phenanthrolin-2-yl)phenol)4].10 CH3CN (2), which was tested in rat L6 myotubes,
mouse NSC-34 motor neurone-like cells, and HepG-2 human liver carcinoma. Upon 96
h incubation, 2 exhibited a significant cytotoxic effect on all three types of
cells via activation of two cell death mechanisms (apoptosis and necrosis).
Complex 2 exhibited better potency and efficacy than the canonical cytotoxic drug
cisplatin. Moreover, during shorter incubations, complex 2 demonstrated a
significant SOD mimetic activity, and it was more effective and more potent than
the well-known SOD mimetic TEMPOL. In addition, complex 2 was able to interact
with DNA and, cleave DNA in the presence of sodium ascorbate. This study shows
the potential of using polynuclear redox active compounds for developing novel
anticancer drugs through SOD-mimetic redox pathways.
PMID- 26547751
TI - Discharge communication is an important underestimated problem in emergency
department.
PMID- 26547752
TI - Fate of low arsenic concentrations during full-scale aeration and rapid
filtration.
AB - In the Netherlands, groundwater treatment commonly consists of aeration, with
subsequent sand filtration without using chemical oxidants like chlorine. With
arsenic (As) concentrations well below the actual guidelines of 10 MUg As/L,
groundwater treatment plants have been exclusively designed for the removal of
iron (Fe), manganese and ammonium. The aim of this study was to investigate the
As removal capacity at three of these groundwater treatment plants (10-26 MUg
As/L) in order to identify operational parameters that can contribute to lowering
the filtrate As concentration to <1 MUg/L. For this purpose a sampling campaign
and experiments with supernatant water and hydrous ferric oxide (HFO) flocs were
executed to identify the key mechanisms controlling As removal. Results showed
that after aeration, As largely remained mobile in the supernatant water; even
during extended residence times only 20-48% removal was achieved (with 1.4-4.2
mg/L precipitated Fe(II)). Speciation showed that the mobile As was in the
reduced As(III) form, whereas, As(V) was readily adsorbed to the formed HFO
flocs. In the filter bed, the remaining As(III) completely oxidized within 2 min
of residence time and As removal efficiencies increased to 48-90%. Filter grain
coating analysis showed the presence of manganese at all three treatment plants.
It is hypothesized that these manganese oxides are responsible for the
accelerated As(III) oxidation in the filter bed, leading to an increased removal
capacity. In addition, pH adjustment from 7.8 to 7.0 has been found to improve
the capacity for As(V) uptake by the HFO flocs in the filter bed. The overall
conclusion is, that during groundwater treatment, the filter bed is crucial for
rapid As(III) removal, indicating the importance to control the oxidation
sequence of Fe and As for improved As removal efficiencies.
PMID- 26547753
TI - Minimally Invasive Management of Complicated Diverticular Disease: Current Status
and Review of Literature.
AB - BACKGROUND: Diverticulitis is a common condition which carries significant
morbidity and socioeconomic burden (McGillicuddy et al in Arch Surg 144:1157
1162, 2009). The surgical management of diverticulitis has undergone significant
changes in recent years. This article reviews the role of minimally invasive
approach in management of complicated diverticulitis, with a focus on recent
concepts and advances. MATERIALS AND METHODS: A literature review of past 10
years (January 2004 to September 2014) was performed using the electronic
database MEDLINE from PubMed which included articles only in English. RESULTS: We
identified total of 139 articles, out of which 50 were excluded resulting in 89
full-text articles for review 16 retrospective studies, 7 prospective cohorts, 1
case-control series and 1 systematic review were included. These suggest that
urgent surgery is performed for those with sepsis and diffuse peritonitis or
those who fail to improve despite medical therapy and/or percutaneous drainage.
In addition, 3 randomized control trials: DILALA, LapLAND and the Scandinavian
Diverticulitis trial are working towards evaluating whether laparoscopic lavage
is safe in management of complicated diverticular diseases. Growing trend toward
conservative or minimally invasive treatment modality even in severe acute
diverticulitis was noticed. CONCLUSIONS: Laparoscopic peritoneal lavage has
evolved as a good alternative to invasive surgery, yet clear indications for its
role in the management of complicated diverticulitis need to be established.
Recent evidence suggests that existing guidelines for optimal management of
complicated diverticulitis should be updated. Non-resectional radiographic
techniques are likely to play a prominent role in the initial treatment of
complicated diverticulitis in the near future.
PMID- 26547755
TI - The Lactulose Breath Test in Irritable Bowel Syndrome: Is It All Hot Air?
PMID- 26547754
TI - Nerve Growth Factor Expression Is Not Associated with Perineural Invasion in
Extrahepatic Cholangiocarcinoma.
AB - BACKGROUND: Although the presence of perineural invasion has been recognized as a
poor prognostic factor in extrahepatic cholangiocarcinoma, the molecular
mechanisms of perineural invasion in extrahepatic cholangiocarcinoma remain
unclear. Nerve growth factor has been reported to be a candidate predictive
biomarker of perineural invasion in some cancers. AIM: To investigate the impact
of intratumoral nerve growth factor expression in resected extrahepatic
cholangiocarcinoma on survival. METHODS: Intratumoral nerve growth factor
expression was investigated immunohistochemically in 112 patients with resected
extrahepatic cholangiocarcinoma. Associations between nerve growth factor
expression and clinicopathological factors were statistically evaluated, and risk
factors for poor survival were analyzed using univariate and multivariate
analyses. RESULTS: High and low nerve growth factor expression was observed in 62
(55%) and 50 (45%) patients, respectively. For all 112 patients, no significant
correlation was found between nerve growth factor expression and presence of
perineural invasion (P = 0.942). Moreover, nerve growth factor expression was not
associated with recurrence-free survival (P = 0.861) and overall survival (P =
0.973). In multivariate analysis, lymph node metastasis (P = 0.004) was
identified as an independent risk factor for early recurrence and the presence of
perineural invasion (P = 0.002) and lymph node metastasis (P < 0.001) was
identified as independent risk factors for poor survival. CONCLUSIONS:
Intratumoral nerve growth factor expression is not associated with perineural
invasion or recurrence-free and overall survival in patients with resected
extrahepatic cholangiocarcinoma.
PMID- 26547756
TI - The Density of Interstitial Cells of Cajal Is Diminished in Choledochal Cysts.
AB - BACKGROUND AND AIMS: Interstitial cells of Cajal (ICC) have been shown to be
present in the extrahepatic biliary tract of animals and humans. However, ICC
distribution in choledochal cysts (CC) has not been investigated. A study was
conducted to investigate the distribution of ICC in the extrahepatic biliary
tract, including CC, in pediatric human specimens. METHOD: The specimens were
divided into two main groups as gallbladders and common bile ducts. Gallbladders
were obtained from the cholelithiasis, CC operations and autopsies. Common bile
ducts were obtained from autopsies. Tissues were stained using c-kit
immunohistochemical staining. ICC were assessed semi-quantitatively by applying
morphological criteria and were counted as the number of cells/0.24 mm(2) in each
area under light microscopy. RESULTS: A total of 35 gallbladders and 14 CC were
obtained from operations. Ten gallbladders plus common bile ducts were obtained
from autopsies. The mean numbers of ICC in the gallbladders of cholelithiasis and
the gallbladders of CC were 12.2 +/- 4.9 and 5.3 +/- 1.2, respectively (p =
0.003). The mean numbers of ICC in the common bile ducts and CC were 9.8 +/- 2.9
and 3.4 +/- 1.4, respectively (p = 0.001). CONCLUSION: The scarcity of ICC in the
extrahepatic biliary tract may be responsible for the etiopathogenesis of the CC.
PMID- 26547757
TI - Obscure Occult GI Bleeding: An Iatrogenic Tale?
PMID- 26547758
TI - From Prague to Seattle: Improved Endoscopic Technique and Reporting Improves
Outcomes in Patients with Barrett's Esophagus.
PMID- 26547759
TI - The single pile classification: a new tool for the classification of
haemorrhoidal disease and the comparison of treatment results.
AB - The purpose of the paper is to compare Goligher Classification with the Single
Pile Hemorrhoid Classification (SPHC) to show the possible bias and limits of
Goligher's use and the possible advantage with the employment of the new
classification. SPHC considers the number of pathological piles(N), the
characteristics of each internal pile and the characteristics of each external
pile, reporting the presence of a fibrous inelastic redundant pile(F), the
presence of the subversion of dentate line or the congestion of the external
pile(E) and the presence of not tolerated skin tags(S). From September 2010 to
December 2012, 197 consecutive patients were analysed according to both
classifications. Considering pathological piles, I and II Goligher patients
showed a complete agreement between pathological pile and grade, III Goligher
patients had 80.5 % of pathological piles of III grade while IV Goligher patients
had only 44.3 % of IV grade pathological piles (p < 0.001). Regarding the
distribution of the other anatomical variables: F, E, S described in SPHC, the
results showed that F was present in 18.3 % while ES was present in 46.2 %.
Goligher's Classification has showed to be an inadequate tool to overview
surgical outcome or to compare surgical procedure, particularly for high grades,
while SPHC showed to be a feasible instrument both to describe and to compare
patients affected by hemorrhoid disease.
PMID- 26547760
TI - Cardiac Troponin and its Relationship to Cardiovascular Outcomes in Community
Populations - A Systematic Review and Meta-analysis.
AB - BACKGROUND: The clinical relevance of minor elevations of cardiac troponin (cTn)
in the general population remains uncertain. The objective of this systematic
review was to examine the literature and evaluate the prevalence of raised cTn in
asymptomatic, community populations and explore the strength of the relationship
between cTn and cardiovascular mortality amongst those studied. METHODS: Studies
were identified by searching Medline, Embase, CINAHL, EBM Reviews, Cochrane
Library and using the "related citation" search tool in PubMed from inception
through August 2014. Prospective cohort studies of asymptomatic individuals
recruited from the community (age >= 18 years) that assessed the relationship
between cTn levels and mortality or cardiovascular events were included. RESULTS:
Twenty-one prospective studies involving 64,855 participants were identified. An
elevated cTn measurement (>99th percentile) occurred in 5% of individuals and was
associated with a tripling of risk of mortality (adjusted RR 3.07, 95% confidence
interval [CI] 2.32-4.06) and cardiovascular mortality (adjusted RR 3.30, 95% CI
1.77-6.12). In studies including high sensitivity assays, cTn was detectable in
58% of individuals. A detectable cardiac troponin T (cTnT) was also associated
with an increased risk of cardiovascular mortality (adjusted RR 1.32, 95% CI 1.10
- 1.59). The risk increased with increasing cTnT level. CONCLUSIONS: Elevated
troponin in asymptomatic individuals in the community is associated with a
tripling of risk of all-cause and cardiovascular mortality. Cardiac troponin T
(cTnT) is generally not measured in this group of patients, but may potentially
have utility in predicting risk in this population. Further research is required
to assess if this risk is modifiable with usual primary prevention treatments.
PMID- 26547761
TI - Contact allergy in cheilitis.
AB - BACKGROUND: Recalcitrant non-actinic cheilitis may indicate contact allergy.
OBJECTIVES: This study aimed to determine the prevalence of allergic contact
cheilitis (ACC) in patients with non-actinic cheilitis and to identify the most
relevant allergens. METHODS: We used an institutional database to identify
patients with non-actinic cheilitis who underwent patch testing between January
1, 2001, and August 31, 2011, and conducted a retrospective review of patch test
results in these patients. Additional data were obtained from institutional
electronic medical records. RESULTS: Ninety-one patients (70 [77%] female; mean
age: 51 years) were included in the study. Almost half (41 [45%]) had a final
diagnosis of ACC. Patch testing was performed in line with universally accepted
methods, with application on day 1, allergen removal and an initial reading on
day 3, and the final reading on day 5. The allergens of most significance were
fragrance mix, Myroxylon pereirae resin, dodecyl gallate, octyl gallate, and
benzoic acid. Nickel was the most relevant metal allergen. CONCLUSIONS: Contact
allergy is an important consideration in recalcitrant cheilitis. Fragrances,
antioxidants, and preservatives dominated the list of relevant allergens in our
patients. Nickel and gold were among the top 10 allergens. Almost half (45%) of
these patients had a final diagnosis of ACC. Patch testing beyond the oral
complete series should be undertaken in any investigation of non-actinic
cheilitis.
PMID- 26547762
TI - Fiber Typing of the Erector Spinae and Multifidus Muscles in Healthy Controls and
Back Pain Patients: A Systematic Literature Review.
AB - OBJECTIVE: Understanding the changes in muscle fiber typing is relevant in the
context of muscle disorders because it provides information on the metabolic
profile and functional capacity. The aim of this study was to systematically
review the literature comparing muscle fiber typing in the back muscles of
healthy subjects with low back pain (LBP) patients. METHODS: Predefined keywords
regarding muscle fiber typing and back muscles were combined in PubMed and Web of
Science electronic search engines from inception to August 2014. Full-text
articles were independently screened by 2 independent, blinded researchers. Full
texts fulfilling the predefined inclusion criteria were assessed on risk of bias
by 2 independent researchers, and relative data were extracted. Data were not
pooled because of heterogeneity in biopsy locations and population. RESULTS: From
the 214 articles that were identified, 18 met the inclusion criteria. These
articles evaluated the muscle fiber type distribution or proportional fiber type
area between muscles, muscle layers, men, and women or healthy subjects and LBP
patients. Regarding muscle fiber type distribution, findings in healthy subjects
and LBP patients show no or inconclusive evidence for intermuscular and
interindividual differentiation. Studies evaluating the proportional fiber type
area also suggest little intermuscular differentiation but provide plausible
evidence that the proportional area occupied by type I fibers is higher in women
compared to men. The evidence for differentiation based on the presence of low
back pain is conflicting. CONCLUSION: This study found that the evidence
regarding muscle fiber typing in back muscles is either inconclusive or shows
little differences. The most plausible evidence exists for differentiation in
proportional fiber type area depending on sex.
PMID- 26547765
TI - Past WFITN Meetings.
PMID- 26547766
TI - Letter from the President of WFITN.
PMID- 26547767
TI - Welcome Message.
PMID- 26547763
TI - Comparing Propensity Score Methods for Creating Comparable Cohorts of
Chiropractic Users and Nonusers in Older, Multiply Comorbid Medicare Patients
With Chronic Low Back Pain.
AB - OBJECTIVE: Patients who use complementary and integrative health services like
chiropractic manipulative treatment (CMT) often have different characteristics
than do patients who do not, and these differences can confound attempts to
compare outcomes across treatment groups, particularly in observational studies
when selection bias may occur. The purposes of this study were to provide an
overview on how propensity scoring methods can be used to address selection bias
by balancing treatment groups on key variables and to use Medicare data to
compare different methods for doing so. METHODS: We described 2 propensity score
methods (matching and weighting). Then we used Medicare data from 2006 to 2012 on
older, multiply comorbid patients who had a chronic low back pain episode to
demonstrate the impact of applying methods on the balance of demographics of
patients between 2 treatment groups (those who received only CMT and those who
received no CMT during their episodes). RESULTS: Before application of propensity
score methods, patients who used only CMT had different characteristics from
those who did not. Propensity score matching diminished observed differences
across the treatment groups at the expense of reduced sample size. However,
propensity score weighting achieved balance in patient characteristics between
the groups and allowed us to keep the entire sample. CONCLUSIONS: Although
propensity score matching and weighting have similar effects in terms of
balancing covariates, weighting has the advantage of maintaining sample size,
preserving external validity, and generalizing more naturally to comparisons of 3
or more treatment groups. Researchers should carefully consider which propensity
score method to use, as using different methods can generate different results.
PMID- 26547768
TI - ICS2015 - Welcome Message.
PMID- 26547769
TI - WFITN Executive Committees.
PMID- 26547770
TI - WFITN Faculty List.
PMID- 26547771
TI - Thank you to our Sponsors - WFITN.
PMID- 26547772
TI - Thank you to our Sponsors - ICS.
PMID- 26547773
TI - WFITN Congress Information.
PMID- 26547774
TI - WFITN Symposia.
PMID- 26547775
TI - Venue Map.
PMID- 26547776
TI - Exhibition Hall.
PMID- 26547777
TI - At a Glance.
PMID- 26547778
TI - Program WFITN , Monday 9 November 2015.
PMID- 26547780
TI - Program WFITN, Wednesday 11 November 2015.
PMID- 26547779
TI - Program WFITN, Tuesday 10 November 2015.
PMID- 26547781
TI - Program WFITN, Thursday 12 November 2015.
PMID- 26547782
TI - Joint Program WFITN and ICS, Friday 13 November 2015.
PMID- 26547783
TI - ICS2015 Program.
PMID- 26547784
TI - WFITN 2015 Abstracts: Oral Expositions.
PMID- 26547785
TI - WFITN 2015 Abstracts: Poster Display.
PMID- 26547788
TI - Serum vitamin D and functional impairment in octogenarian women.
AB - PURPOSE: Serum vitamin D deficiency has been associated with frailty in people
aged 65 and over, however its relationship with functional impairment has not
been investigated in octogenerian (aged 80-90 years) institutionalized women.
METHODS: We assessed functional impairment in this latter group by measuring
frailty syndrome and other geriatric and psychological assessment scales: the
Tinetti gait and balance index to determine the risk for falls, the Barthel index
to measure the basic activities of daily living, the Lawton index for
instrumental activities, the mini-mental score examination test for cognitive
impairment, the Yesavage scale for geriatric depression, and the Norton scale for
the risk of ulceration. RESULTS: Frail individuals had significantly reduced
serum vitamin D concentrations (measured as total 25-hydroxyvitamin D; 25(OH)D)
compared to robust individuals, but reduced 25(OH)D concentration did not
significantly correlate with frailty syndrome severity, and mean 25(OH)D
concentrations were within the recommended levels in all groups. The 25(OH)D
concentration did not correlate with any of the blood analytical parameters
measured and with the geriatric assessment scales used, suggesting a selective
relationship with frailty. CONCLUSION: These results highlight the need to
individualize treatment such as vitamin D supplementation in order to treat
frailty syndrome.
PMID- 26547789
TI - Anti-angiogenic and anti-inflammatory effect of Magnolol in the oxygen-induced
retinopathy model.
AB - OBJECTIVE: In the present study, we investigated the effects of Magnolol on the
retinal neovascularization (RNV) and local glial cells in an oxygen-induced
retinopathy (OIR) model and explored their molecular mechanisms. MATERIALS AND
METHODS: Neonatal C57BL/6J mice were subjected to 75% O2 +/- 5% from postnatal
day (P) 7 to P12 and subsequently returned to room air. Mice were injected with
25 mg/kg Magnolol intraperitoneally once a day from P12 to P17, then retinas were
harvested and flat-mounted to assess the retinal vessels, astrocytes and
microglia. To clarify the molecular mechanisms of Magnolol, we observed the level
of inflammatory cytokines such as interleukin (IL)-1beta, IL-6, monocyte
chemoattractant protein-1, tumor necrosis factor-alpha, and analyzed the hypoxia
inducible factor (HIF)-1alpha/vascular endothelial growth factor (VEGF) pathway
in OIR mice. RESULTS: Intraperitoneal administration of Magnolol resulted in
significant reduction of RNV without retinal toxicity or perturbation of
developmental retinal angiogenesis. In addition, Magnolol preserved the astrocyte
morphology and diminished the activation of microglia. Moreover, Magnolol down
regulated the expression of inflammatory cytokines and inactivated the HIF
1alpha/VEGF pathway. CONCLUSIONS: These results indicated that Magnolol might
have potential for the treatment of pathological retinal angiogenesis and glial
dysfunctions via anti-inflammation and inhibition of HIF-1alpha/VEGF pathway.
PMID- 26547790
TI - Hyperbaric index in the primary prevention of hypertensive complications in high
risk pregnancy.
AB - INTRODUCTION: Preeclampsia (PE) is a major cause of fetal morbidity and
mortality. In the Western World, PE affects 2-7% of pregnancies and is
responsible for 50,000 deaths annually. Early detection is a priority as it can
change the clinical course, but there are no biomarkers or instrumental methods
with high sensitivity and specificity. Only the hyperbaric index has a
sensitivity and specificity of 99% for early identification of pregnant women at
risk of developing PE, but its use is not widespread. OBJECTIVE: To assess the
usefulness of the hyperbaric index in the primary prevention of hypertensive
pregnancy complications in a public healthcare area. MATERIAL AND METHODS: This
is a retrospective study of pregnancies that occurred in our area during the
period 2007-2012 (N=11,784). The diagnosis was established by the hyperbaric
index and pregnant women at risk were treated with ASA at night. RESULTS: In
pregnant patients referred to the nephrology clinic (38.2%), diagnosed as high
risk for PE, and treated with 100mg ASA/night (from week 17), the incidence of PE
episodes was reduced by 96.94.
PMID- 26547791
TI - Functional variants inPXRare associated with colorectal cancer susceptibility in
Chinese populations.
AB - BACKGROUND: As an important member of the steroid nuclear receptor family, recent
research has suggested that PXR may play important roles in the development of
multiple cancers. However, no well-designed studies has been conducted to
investigate the associations between genetic polymorphisms of PXR and colorectal
cancer (CRC) risk in Chinese populations. MATERIALS AND METHODS: We performed a
hospital-based case-control analysis to assess two genetic polymorphisms in the
3'-untranslated regions (3'-UTR) via allele-specific MALDI-TOF mass spectrometry
assay and evaluated the associations between two polymorphisms and risk of CRC.
RESULTS: The PXR rs3814058C>T polymorphism was significantly associated with a
higher risk of CRC (P<10-3), and the CT/TT variant genotypes had an increased CRC
risk (adjusted odds ratio=1.54, 95% confidence interval=1.27-1.83) comparing CC
genotype. In stratified analyses, rs3814058CT+TT genotypes was associated with
increased risk among alcohol consumers (P=0.002). In vitro experiments indicated
that the rs3814058C to rs3814058T transition gained a new binding of the microRNA
hsa-miR-129-5p and decreased the PXR expression. CONCLUSIONS: Our data suggest
that the functional polymorphism rs3814058C>T in 3'-UTR of PXR may be a
functional biomarker to predict risk of CRC.
PMID- 26547792
TI - Polymorphisms in lncRNA HOTAIR and susceptibility to breast cancer in a Chinese
population.
AB - Controversial data have emerged on the association between cancer risk and the
single-nucleotide polymorphism (SNP, rs920778C>T) in Hox transcript antisense RNA
(HOTAIR). No data on the association between HOTAIR polymorphism and breast
cancer (BC) susceptibility and reproductive factors have been reported in China.
In this study we investigated the association between HOTAIR polymorphisms and BC
susceptibility in a population-based case-control study of 502 cases and 504
matched controls in China. Three haplotype tagging SNPs (rs1899663, rs4759314,
rs920778) of HOTAIR were genotyped with polymerase chain reaction-restriction
fragment-length polymorphism (PCR-RFLP) and created-restriction-site PCR (CRS
RFLP) assays. False-positive report probability (FPRP) was calculated to test for
false-positive associations. Interactions between the SNPs and reproductive
factors were further evaluated by the multifactor dimensionality reduction (MDR)
method. BC risk reduction was confined to subgroups of age at menarche >14 (OR:
0.42, 95%CI: 0.21, 0.82) and number of pregnancies >2 (OR: 0.65, 95%CI: 0.49,
0.95) for GT+TT rs1899663, and age at menopause <= 50 (OR: 0.97, 95%CI: 0.84,
0.99) for AG+GG rs4759314. Subjects with Trs920778 had a significantly increased
risk of breast cancer (OR: 1.41, 95%CI: 1.13, 1.75). We observed a significant
interaction between rs920778 and reproductive factors, including age at
menopause, number of abortions, and family history. Our results were unlikely to
be false positives according to FPRP calculation. In conclusion, genetic variant
rs920778 in HOTAIR significantly increased the risk of BC, and it may have
apparent interaction with reproductive factors in the progression on BC. These
findings extend available data on the association between HOTAIR polymorphisms
and BC susceptibility.
PMID- 26547793
TI - Validation of sun exposure and protection index (SEPI) for estimation of sun
habits.
AB - BACKGROUND: In both Sweden and Australia high incidence rates of skin cancer have
become a major health problem. In prevention and risk communication, it is
important to have reliable ways for identifying people with risky sun habits. In
this study the validity and reliability of the questionnaire Sun Exposure
Protection Index (SEPI), developed to assess individual's sun habits and their
propensity to increase sun protection during routine, often brief, clinical
encounters, has been evaluated. The aim of our study was to evaluate validity and
reliability of the proposed SEPI scoring instrument, in two countries with
markedly different ultraviolet radiation environments (Sweden and Australia).
METHOD: Two subpopulations in Sweden and Australia respectively were asked to
fill out the SEPI together with the previously evaluated Readiness to Alter Sun
Protective Behaviour questionnaire (RASP-B) and the associated Sun-protective
Behaviours Questionnaire. To test reliability, the SEPI was again filled out by
the subjects one month later. RESULTS: Comparison between SEPI and the questions
in the Sun-protective Behaviours Questionnaire, analyzed with Spearman's Rho,
showed good correlations regarding sun habits. Comparison between SEPI and RASP-B
regarding propensity to increase sun protection showed concurrently lower SEPI
mean scores for action stage, but no difference between precontemplation and
contemplation stages. The SEPI test-retest analysis indicated stability over
time. Internal consistency of the SEPI, assessed with Cronbach's alpha estimation
showed values marginally lower than the desired >0.70 coefficient value generally
recommended, and was somewhat negatively affected by the question on sunscreen
use, likely related to the classic "sunscreen paradox". There were some
differences in the performance of the SEPI between the Swedish and Australian
samples, possibly due to the influence of "available" sunlight and differing
attitudes to behaviour and protection "at home" and on vacation. CONCLUSIONS:
SEPI appears to be a stable instrument with an overall acceptable validity and
reliability, applicable for use in populations exposed to different UVR
environments, in order to evaluate individual sun exposure and protection.
PMID- 26547794
TI - The plant microbiome explored: implications for experimental botany.
AB - The importance of microbial root inhabitants for plant growth and health was
recognized as early as 100 years ago. Recent insights reveal a close symbiotic
relationship between plants and their associated microorganisms, and high
structural and functional diversity within plant microbiomes. Plants provide
microbial communities with specific habitats, which can be broadly categorized as
the rhizosphere, phyllosphere, and endosphere. Plant-associated microbes interact
with their host in essential functional contexts. They can stimulate germination
and growth, help plants fend off disease, promote stress resistance, and
influence plant fitness. Therefore, plants have to be considered as metaorganisms
within which the associated microbes usually outnumber the cells belonging to the
plant host. The structure of the plant microbiome is determined by biotic and
abiotic factors but follows ecological rules. Metaorganisms are co-evolved
species assemblages. The metabolism and morphology of plants and their microbiota
are intensively connected with each other, and the interplay of both maintains
the functioning and fitness of the holobiont. Our study of the current literature
shows that analysis of plant microbiome data has brought about a paradigm shift
in our understanding of the diverse structure and functioning of the plant
microbiome with respect to the following: (i) the high interplay of bacteria,
archaea, fungi, and protists; (ii) the high specificity even at cultivar level;
(iii) the vertical transmission of core microbiomes; (iv) the extraordinary
function of endophytes; and (v) several unexpected functions and metabolic
interactions. The plant microbiome should be recognized as an additional factor
in experimental botany and breeding strategies.
PMID- 26547796
TI - Myopia and/or longer axial length are protective against diabetic retinopathy: a
meta-analysis.
AB - PURPOSE: To evaluate the current evidence of the relationship between myopia,
together with its structural and refractive component, and diabetic retinopathy
(DR) risk. METHODS: A systematic search was performed up to April, 2015. Summary
odds ratios (ORs) and 95% confidence intervals (CIs) were calculated employing
random-effects models. Three models were used to assess the association between
myopia and risk of DR: axial length (AL) (per millimetre increase) and DR; myopia
(myopia versus non-myopia) and DR; refractive error (RE) (per D decrease) and DR.
Publication bias of the literature was evaluated using Begg's funnel plots and
Egger's test. RESULTS: A total of 11 studies that met the predefined criteria
were included in this meta-analysis. Overall, longer AL (per millimetre increase)
was associa-ted with a significantly decreased risk of DR (combined OR, 0.75; 95%
CI, 0.65-0.86; p < 0.001); myopic eyes (myopia versus non-myopia) showed a lower
risk of DR (combined OR, 0.70; 95% CI, 0.58-0.85; p < 0.001). A greater degree of
myopic RE (per D decrease) also revealed a significantly decreased risk of DR
(combined OR, 0.89; 95% CI, 0.85-0.93; p < 0.001). The sensitivity analyses and
cumulative meta-analysis showed similar results. No publication bias was detected
in any of the three models. CONCLUSIONS: This meta-analysis suggests that both
myopic refraction and longer AL are associated with a lower risk of DR. Further
studies are needed to determine exact mechanisms underpinning the protective
effect of myopia against DR.
PMID- 26547795
TI - JAZ7 negatively regulates dark-induced leaf senescence in Arabidopsis.
AB - JASMONATE ZIM-domain (JAZ) proteins play important roles in plant defence and
growth by regulating jasmonate signalling. Through data mining, we discovered
that the JAZ7 gene was up-regulated in darkness. In the dark, the jaz7 mutant
displayed more severe leaf yellowing, quicker chlorophyll degradation, and higher
hydrogen peroxide accumulation compared with wild-type (WT) plants. The mutant
phenotype of dark-induced leaf senescence could be rescued in the JAZ7
complemented and -overexpression lines. Moreover, the double mutants of jaz7 myc2
and jaz7 coi1 exhibited delayed leaf senescence. We further employed GeneChip
analysis to study the molecular mechanism. Some key genes down-regulated in the
triple mutant myc2 myc3 myc4 were up-regulated in the jaz7 mutant under darkness.
The Gene Ontology terms 'leaf senescence' and 'cell death' were significantly
enriched in the differentially expressed genes. Combining the genetic and
transcriptomic analyses together, we proposed a model whereby darkness can induce
JAZ7, which might further block MYC2 to suppress dark-induced leaf senescence. In
darkness, the mutation of JAZ7 might partially liberate MYC2/MYC3/MYC4 from
suppression, leading the MYC proteins to bind to the G-box/G-box-like motifs in
the promoters, resulting in the up-regulation of the downstream genes related to
indole-glucosinolate biosynthesis, sulphate metabolism, callose deposition, and
JA-mediated signalling pathways. In summary, our genetic and transcriptomic
studies established the JAZ7 protein as an important regulator in dark-induced
leaf senescence.
PMID- 26547797
TI - Intraoperative Technique for Evaluation of the Interosseous Ligament of the
Forearm.
AB - PURPOSE: To introduce a technique for the diagnosis of interosseous ligament
(IOL) disruption based on lateral displacement of the radius after radial head
resection and to determine the cutoff value of the lateral displacement for the
diagnosis of disruption, the best elbow position for testing, and the diagnostic
performance of the technique in different positions. METHODS: We used 10 fresh
frozen cadavers. After resection of the radial head, a Steinman pin was placed
into the radius medullary canal and used to mark the pin location on the
capitellum. We applied 1 kg force to pull the proximal radius laterally and
measured the displacement in full supination, neutral, and full pronation of the
forearm with the elbow in extension and then in 90 degrees flexion. All
measurements were performed once with the IOL intact and again with it cut. To
assess diagnostic efficacy, receiver operating characteristics curves were
constructed. To determine the quality of the technique, we measured the area
under the receiver operating characteristics curve for each position. We also
determined the cutoff value to obtain the highest sensitivity and specificity.
RESULTS: The area under the curve of the test in extension-supination and flexion
supination showed that these positions were excellent for the diagnosis of IOL
disruption. The cutoff value of 5.5 mm lateral displacement in extension
supination had 100% sensitivity and 90% specificity. In flexion-supination, the
cutoff value of 9 mm had 100% sensitivity and 90% specificity for the diagnosis
of IOL disruption. CONCLUSIONS: This maneuver was reliable and accurate in
cadavers with complete IOL disruption. It is likely that in an intraoperative
setting, these results will be reproducible. TYPE OF STUDY/LEVEL OF EVIDENCE:
Diagnostic II.
PMID- 26547798
TI - The HPA axis in bipolar disorder: Systematic review and meta-analysis.
AB - OBJECTIVES: To provide a quantitative and qualitative synthesis of the available
evidence on the role of Hypothalamic-Pituitary-Adrenal (HPA) axis in the
pathophysiology of Bipolar Disorder (BD). METHODS: Meta-analysis and meta
regression of case-control studies examining the levels of cortisol, ACTH, CRH
levels. Systematic review of stress reactivity, genetic, molecular and
neuroimaging studies related to HPA axis activity in BD. RESULTS: Forty-one
studies were included in the meta-analyses. BD was associated with significantly
increased levels of cortisol (basal and post-dexamethasone) and ACTH, but not of
CRH. In the meta-regression, case-control differences in cortisol levels were
positively associated with the manic phase (p=0.005) and participants' age
(p=0.08), and negatively with antipsychotics use (p=0.001). Reviewed studies
suggest that BD is associated with abnormalities of stress-related molecular
pathways in several brain areas. Variants of HPA axis-related genes seem not
associated with a direct risk of developing BD, but with different clinical
presentations. Also, studies on unaffected relatives suggest that HPA axis
dysregulation is not an endophenotype of BD, but seems related to environmental
risk factors, such as childhood trauma. Progressive HPA axis dysfunction is a
putative mechanism that might underlie the clinical and cognitive deterioration
of patients with BD. CONCLUSIONS: BD is associated with dysfunction of HPA axis
activity, with important pathophysiological implications. Targeting HPA axis
dysfunctions might be a novel strategy to improve the outcomes of BD.
PMID- 26547799
TI - A Non-Reciprocal Autosomal Translocation 64,XX, t(4;10)(q21;p15) in an Arabian
Mare with Repeated Early Embryonic Loss.
AB - Balanced autosomal translocations are a known cause for repeated early embryonic
loss (REEL) in horses. In most cases, carriers of such translocations are
phenotypically normal, but the chromosomal aberration negatively affects
gametogenesis giving rise to both genetically balanced and unbalanced gametes.
The latter, if involved in fertilization, result in REEL, whereas gametes with
the balanced form of translocation will pass the defect into next generation.
Therefore, in order to reduce the incidence of REEL, identification of
translocation carriers is critical. Here, we report about a phenotypically normal
3-year-old Arabian mare that had repeated resorption of conceptuses prior to day
45 of gestation and was diagnosed with REEL. Conventional and molecular
cytogenetic analyses revealed that the mare had normal chromosome number 64,XX
but carried a non-mosaic and non-reciprocal autosomal translocation
t(4;10)(q21;p15). This is a novel translocation described in horses with REEL and
the first such report in Arabians. Previous cases of REEL due to autosomal
translocations have exclusively involved Thoroughbreds. The findings underscore
the importance of routine cytogenetic screening of breeding animals.
PMID- 26547800
TI - Altered expression of keratin 14 in lesional epidermis of autoimmune skin
diseases.
AB - BACKGROUND: Keratin 14 (K14) is an intermediate filament protein that is mainly
expressed in the basal layer of healthy stratified epithelia. K14 has been
identified as an autoantigen in the autoimmune-mediated skin disease of Scurfy
mice and patients with the "immune dysregulation polyendocrinopathy, enteropathy,
and X-linked" syndrome. OBJECTIVES: To examine whether K14 is a target protein in
autoimmune skin diseases (ASD), we analyzed the expression pattern of K14 in
lesional skin of patients with lichen ruber, cutaneous lupus erythematosus,
dermatomyositis, graft-versus-host disease, psoriasis, and pemphigus vulgaris,
and evaluated the reactivity of patient sera with recombinantly expressed and
epidermis-derived K14. METHODS: K14 expression was analyzed by
immunohistochemistry on paraffin-embedded tissue sections of 17 healthy
individuals and 58 patients with ASD. Sera from 10 healthy individuals and 41
patients with ASD were analyzed by Western blot for the presence of anti-K14
autoantibodies. RESULTS: In skin of patients with ASD, K14 expression is retained
in suprabasal layers. In ASD with interface dermatitis, we observed focal loss of
K14 within the basal layer and in hair follicles as well. A scattered dot-like
K14 staining is seen in papillary dermis, most prominently in cutaneous lupus
erythematosus and lichen ruber. Using Western blot, we show that sera of
different patients with ASD recognize recombinantly expressed K14. CONCLUSION: We
show focal loss of K14 in the basal epidermis correlating with interface
dermatitis and hair follicle involvement. Moreover, enhanced reactivity of sera
of patients with atopic dermatitis with K14 suggests K14 may function as an
autoantigen in ASD.
PMID- 26547801
TI - Prognostic impact of pattern-based grading system by the new IASLC/ATS/ERS
classification in Asian patients with stage I lung adenocarcinoma.
AB - OBJECTIVES: We examined the prognostic effect of the grading system based on the
new IASLC/ATS/ERS classification in an Asian cohort of patients with early-stage
lung adenocarcinoma. MATERIALS AND METHODS: Patients with a lung adenocarcinoma
less than 3cm in diameter that had undergone complete anatomic resection,
diagnosed with pT1a-2aN0M0 consecutively from 2004 to 2013, were enrolled. All
specimens were reviewed according to the new IASLC/ATS/ERS classification. The
growth patterns were divided into three major categories: grade 1 for lepidic
growth, grade 2 for acinar and papillary patterns, and grade 3 for solid and
micropapillary patterns. Each tumor was then graded according to the modified
grading system, the final score being the sum of the two most predominant grades.
The correlations of clinical and pathological factors with disease-free survival
(DFS) and overall survival (OS) were evaluated. RESULTS: In total, 201
adenocarcinomas were eligible for score grading. Only 37 (18.4%) patients had a
pure pathological growth pattern. Higher stage, greater tumor diameter, positive
lymphovascular invasion, and a higher score were associated with shorter DFS. In
contrast, stage no longer had a significant impact on OS in a multivariable
analysis. Acinar/papillary-predominant tumors with a score of 3 or 4 were
associated with better survival than those with a score of 5 (5-year DFS rate:
64.68 vs. 44.18%, HR=2.19, 95% CI: 1.24-3.87; 5-year OS rate: 85.61 vs. 68.59%,
HR=3.03, 95% CI: 1.25-7.32). CONCLUSION: The architectural scores may help to
stratify survival differences among certain predominant growth subtypes of
adenocarcinoma.
PMID- 26547802
TI - MET gene exon 14 deletion created using the CRISPR/Cas9 system enhances cellular
growth and sensitivity to a MET inhibitor.
AB - BACKGROUND: MET splice site mutations resulting in an exon 14 deletion have been
reported to be present in about 3% of all lung adenocarcinomas. Patients with
lung adenocarcinoma and a MET splice site mutation who have responded to MET
inhibitors have been reported. The CRISPR/Cas9 system is a recently developed
genome-engineering tool that can easily and rapidly cause small insertions or
deletions. MATERIALS AND METHODS: We created an in vitro model for MET exon 14
deletion using the CRISPR/Cas9 system and the HEK293 cell line. The phenotype,
which included MET inhibitor sensitivity, was then investigated in vitro.
Additionally, MET splice site mutations were analyzed in several cancers included
in The Cancer Genome Atlas (TCGA) dataset. RESULTS: An HEK293 cell line with a
MET exon 14 deletion was easily and rapidly created; this cell line had a higher
MET protein expression level, enhanced MET phosphorylation, and prolonged MET
activation. In addition, a direct comparison of phenotypes using this system
demonstrated enhanced cellular growth, colony formation, and MET inhibitor
sensitivity. In the TCGA dataset, lung adenocarcinomas had the highest incidence
of MET exon 14 deletions, while other cancers rarely carried such mutations.
Approximately 10% of the lung adenocarcinoma samples without any of driver gene
alterations carried the MET exon 14 deletion. CONCLUSIONS: These findings
suggested that this system may be useful for experiments requiring the creation
of specific mutations, and the present experimental findings encourage the
development of MET-targeted therapy against lung cancer carrying the MET exon 14
deletion.
PMID- 26547803
TI - ALK-FISH borderline cases in non-small cell lung cancer: Implications for
diagnostics and clinical decision making.
AB - BACKGROUND: Fluorescence in-situ hybridization (FISH) for the detection of ALK
rearrangements in non-small cell lung cancer (NSCLC) is based on at first sight
clear cut-off criteria (>=15% of tumor cells) for split signals (SS) and single
red signals (SRS). However, NSCLC with SS-counts around the cut-off may cause
interpretation problems. MATERIAL AND METHODS: Tissue microarrays containing 753
surgically resected NSCLCs were independently tested for ALK-alterations by FISH
and immunohistochemistry (IHC). Our analysis focused on samples with SS/SRS in
the range between 10% and 20% (ALK-FISH borderline group). To better understand
the role of these samples in routine diagnostics, we performed statistical
analyses to systematically estimate the probability of ALK-FISH-misclassification
(false negative or positive) for different numbers of evaluated tumor cell nuclei
(30, 50, 100, and 200). RESULTS: 94.3% (710/753) of the cases were classified as
unequivocally (<10% or >=20%) ALK-FISH-negative (93%; 700/753) or positive (1.3%;
10/753) and showed concordant IHC results. 5.7% (43/753) of the samples showed
SS/SRS between 10% and 20% of the tumor cells. Out of these, 7% (3/43; ALK-FISH:
14%, 18% and 20%) were positive by ALK-IHC, while 93% (40/43) had no detectable
expression of the ALK-protein. Statistical analysis showed that ALK-FISH
misclassifications occur frequently for samples with rearrangements between 10%
and 20% if ALK-characterization is based on a sharp cut-off point (15%). If
results in this interval are defined as equivocal (borderline), statistical
sampling-related ALK-FISH misclassifications will occur in less than 1% of the
cases if 100 tumor cells are evaluated. CONCLUSION: While ALK status can be
determined robustly for the majority of NSCLC by FISH our analysis showed that
~6% of the cases belong to a borderline group for which ALK-FISH evaluation has
only limited reliability due to statistical sampling effects. These cases should
be considered equivocal and therapy decisions should include additional tests and
clinical considerations.
PMID- 26547804
TI - Impact of a Four-Point Order-Priority Score on Imaging Examination Performance
Times.
AB - BACKGROUND: Many hospitals use a traditional categoric system (eg, STAT, ASAP [as
soon as possible], routine) to prioritize orders for imaging examination
performance. If left undefined, these categories contain ambiguity, which
contributes to errant or misused categorizations, and ultimately, lost
opportunity to optimally direct resources toward timely patient care. Our
hospital implemented ordinal order-priority categories with specific definitions.
We sought to determine the impact of this prioritization method on examination
performance time and consistency. METHODS: A four-level numeric priority system
with clinical definitions for each category was implemented in 2011 to replace a
traditional model for hospital imaging orders. Retrospective analysis was
performed on imaging orders for three years (2011-2013) after implementation, to
assess the order-to-performance time (OTPT), defined as the time between order
placement by the provider and examination completion by the technologist.
Consistency was measured by the length of the interquartile range for the OTPT
distribution. Comparison was made to orders from the preimplementation year
(2010), as a control. RESULTS: The OTPT and OTPT consistency for performed
examinations were both predictably stratified by order-priority level. Relative
to control, we observed a reduction in the percentage of prioritized
examinations, as well as modest general improvements in OTPT and OTPT
consistency. CONCLUSIONS: A revised order-priority system with ordinal
categorizations and clinical definitions accompanying each priority level at
order entry yielded desirable prioritization of imaging examination performance
by technologists, as evidenced by appropriate stratification of turnaround times
and consistency by level of priority.
PMID- 26547805
TI - Syncope caused by right ventricular obstruction by pectus excavatum.
PMID- 26547806
TI - Temporal variation of Bistorta vivipara-associated ectomycorrhizal fungal
communities in the High Arctic.
AB - Ectomycorrhizal (ECM) fungi are important for efficient nutrient uptake of
several widespread arctic plant species. Knowledge of temporal variation of ECM
fungi, and the relationship of these patterns to environmental variables, is
essential to understand energy and nutrient cycling in Arctic ecosystems. We
sampled roots of Bistorta vivipara ten times over two years; three times during
the growing-season (June, July and September) and twice during winter (November
and April) of both years. We found 668 ECM OTUs belonging to 25 different ECM
lineages, whereof 157 OTUs persisted throughout all sampling time-points.
Overall, ECM fungal richness peaked in winter and species belonging to
Cortinarius, Serendipita and Sebacina were more frequent in winter than during
summer. Structure of ECM fungal communities was primarily affected by spatial
factors. However, after accounting for spatial effects, significant seasonal
variation was evident revealing correspondence with seasonal changes in
environmental conditions. We demonstrate that arctic ECM richness and community
structure differ between summer (growing-season) and winter, possibly due to
reduced activity of the core community, and addition of fungi adapted for winter
conditions forming a winter-active fungal community. Significant month * year
interactions were observed both for fungal richness and community composition,
indicating unpredictable between-year variation. Our study indicates that
addressing seasonal changes requires replication over several years.
PMID- 26547807
TI - The prognostic significance of troponin I elevation in acute ischemic stroke.
AB - BACKGROUND: The significance of cardiac troponin I (TnI) levels in patients with
acute ischemic stroke remains unclear. METHODS: Data were prospectively collected
on 1718 patients with acute ischemic stroke (2009-2010). Patients with positive
TnI (peak TnI >=0.1 MUg/L) were assigned to the myocardial infarction (MI) group
if they met diagnostic criteria. The remaining patients with positive TnI were
assigned to the no-MI group. Patients were followed up for 1.4 +/- 1.1 years.
Primary outcome was inhospital and long-term all-cause mortality. RESULTS:
Positive TnI was present in 309 patients (18%), 119 of whom (39%) were classified
as having MI. Positive TnI was independently associated with older age,
hypertension, smoking, peripheral arterial disease, heart failure, higher
systolic blood pressure, higher serum creatinine, and lower heart rate (P < .01).
Patients with MI had the highest inpatient mortality (P < .001) and the lowest
survival rate by Kaplan-Meier analysis (P < .0001). Peak TnI greater than or
equal to 0.5 MUg/L, particularly if satisfying criteria for MI, was independently
associated with long-term mortality (P < .0001); peak TnI less than 0.5 MUg/L
alone was not when adjusted for covariates. CONCLUSION: Positive TnI greater than
or equal to 0.5 MUg/L in patients with acute ischemic stroke was independently
associated with worse outcomes. Patients with diagnosis of MI represent a
particularly high-risk subgroup.
PMID- 26547808
TI - Thrombelastography-guided blood product use before invasive procedures in
cirrhosis with severe coagulopathy.
PMID- 26547809
TI - Coordinated regulation of nitrogen supply mode and initial cell density for
energy storage compounds production with economized nitrogen utilization in a
marine microalga Isochrysis zhangjiangensis.
AB - Lipids and carbohydrates are main energy storage compounds (ESC) of microalgae
under stressed conditions and they are potential feedstock for biofuel
production. Yet, the sustainable and commercially successful production of ESC in
microalgae needs to consider nitrogen utilization efficiency. Here the impact of
different initial cell densities (ICDs) on ESC accumulation in Isochrysis
zhangjiangensis under two nitrogen supply modes (an initially equal concentration
of nitrogen per-cell in the medium (N1) and an equal total concentration of
nitrogen in the culture system (N2)) were investigated. The results demonstrated
that the highest ESC yield (1.36gL(-1)) at N1, which included a maximal nitrogen
supply in the cultivation system, and the highest ESC content (66.5%) and ESC
productivity per mass of nitrogen (3.28gg(-1) (N) day(-1)) at N2, were all
obtained under a high ICD of 8.0*10(6)cellsmL(-1). Therefore I. zhangjiangensis
qualifies for ESC-enriched biomass production with economized nitrogen
utilization.
PMID- 26547810
TI - Outdoor cultures of Chlorella pyrenoidosa in the effluent of anaerobically
digested activated sludge: The effects of pH and free ammonia.
AB - A freshwater algae Chlorella pyrenoidosa was cultured outdoors using
anaerobically digested activated sludge effluent. The effects of pH variations
were evaluated. The coupled pH variations and free ammonia toxicity significantly
affected the algal growth, lipids accumulation and contamination control during
every season. The free ammonia toxicity at high pH levels actually inhibited the
algal growth. Compared to an optimal algal growth at a pH of 5.7-6.5, biomass
productivity at a high pH of 8.3-8.8 was reduced by 67.15+/-6.98%, 54.39+/-6.42%
and 83.63+/-5.71% in the spring, fall and summer, respectively. When the pH rose
above 9.1-9.6, algae were unable to grow in the wastewater. However, high pH
levels reduced contamination (e.g., bacteria and microalgae grazers) and
triggered lipids accumulation in algal cells. These findings suggest that pH
control strategies are essential for this type of algal wastewater system, where
ammonia is the dominant nitrogen source.
PMID- 26547811
TI - Semi-continuous methane production from undiluted brown algae using a halophilic
marine microbial community.
AB - Acclimated marine sediment-derived culture was used for semi-continuous methane
production from materials equivalent to raw brown algae, without dilution of
salinity and without nutrient supply, under 3 consecutive conditions of varying
organic loading rates (OLRs) and hydraulic retention time (HRT). Methane
production was stable at 2.0gVS/kg/day (39-day HRT); however, it became unstable
at 2.9gVS/kg/day (28-day HRT) due to acetate and propionate accumulation. OLR
subsequently decreased to 1.7gVS/kg/day (46-day HRT), stabilizing methane
production beyond steady state. Methane yield was above 300mL/g VS at all OLRs.
These results indicated that the acclimated marine sediment culture was able to
produce methane semi-continuously from raw brown algae without dilution and
nutrient supply under steady state. Microbial community analysis suggested that
hydrogenotrophic methanogens predominated among archaea during unstable methane
production, implying a partial shift of the methanogenic pathway from
acetoclastic methanogenesis to acetate oxidation.
PMID- 26547812
TI - Plant Life without Ethylene.
AB - We propose that the ability to synthesize ethylene was selectively lost in
evolution when the ancestors of fully aquatic higher plants lost their
terrestrial lifestyle. We suggest that there has been negative selection on
ethylene in these submerged species because it might interfere with growth in
permanently deluged environments.
PMID- 26547813
TI - A Novel Compound Analgesic Cream (Ketamine, Pentoxifylline, Clonidine, DMSO) for
Complex Regional Pain Syndrome Patients.
AB - BACKGROUND: Evidence suggests that complex regional pain syndrome (CRPS) is a
manifestation of microvascular dysfunction. Topical combinations of alpha2
adrenergic receptor agonists or nitric oxide donors with phosphodiesterase or
phosphatidic acid inhibitors formulated to treat microvascular dysfunction have
been shown to reduce allodynia in a rat model of CRPS-I. Driven by these
findings, we assessed the outcomes of CRPS patients treated with a compound
analgesic cream (CAC) consisting of ketamine 10%, pentoxifylline 6%, clonidine
0.2%, and dimethyl sulfoxide 6% to 10%. METHODS: An audit was conducted on 13
CRPS patients who trialed the CAC. A detailed report was compiled for each
patient which comprised baseline characteristics, including CRPS description,
previous treatments, and pain scores (numerical pain rating scale; 0 to 10).
Recorded outcomes consisted of pain scores, descriptive outcomes, and concurrent
medications/treatments, for which basic analysis was performed to determine the
effectiveness of the CAC. Case reports are presented for 3 patients with varying
outcomes. RESULTS: Nine patients (69%) reported pain/symptom reduction (4.4 +/-
2.1 vs. 6.3 +/- 1.9) with use of the CAC. Six patients reported sustained
benefits after 2 months of CAC use, and 2 patients reported complete resolution
of pain/symptoms: one had early CRPS-I and the other received a partial CRPS
diagnosis. An otherwise medication refractory and intolerant patient found
partial benefit with the CAC. CONCLUSIONS: These results demonstrate promise for
this topical combination as a useful treatment in multimodal therapy for patients
with CRPS, with the potential to resolve pain/symptoms in early CRPS patients.
PMID- 26547814
TI - Analysis of HFE mutations and non-HFE gene mutations (TFR2 and SLC40A1) in
patients with phenotypic hemochromatosis from the Basque Country.
PMID- 26547815
TI - Maternal anti-HLA class I antibodies are associated with reduced birth weight in
thrombocytopenic neonates.
AB - In this comparative cross-sectional study, possible associations between maternal
anti-HLA class I antibodies and birth weight in neonatal thrombocytopenia are
explored. Although commonly detected in pregnancies and generally regarded as
harmless, it has been suggested that such antibodies might be associated with
fetal and neonatal alloimmune thrombocytopenia (FNAIT). As a link between FNAIT
due to human platelet antigen 1a-specific antibodies and reduced birth weight in
boys has previously been demonstrated, we wanted to explore whether maternal anti
HLA class I antibodies might also affect birth weight. To examine this, suspected
cases of FNAIT referred to the Norwegian National Unit for Platelet Immunology
during the period 1998-2009 were identified. Pregnancies where the only finding
was maternal anti-HLA class I antibodies were included. An unselected group of
pregnant women participating in a prospective study investigating maternal-fetal
hemodynamics at the University Hospital North Norway during the years 2006-2010
served as controls. Twenty-nine percent of controls had anti-HLA class I
antibodies. The thrombocytopenic neonates had a significantly lower adjusted
birth weight (linear regression, P=0.036) and significantly higher odds of being
small for gestational age (OR=6.72, P<0.001) compared with controls. Increasing
anti-HLA class I antibody levels in the mother were significantly associated with
lower birth weight and placental weight among thrombocytopenic neonates, but not
among controls. These results indicate that maternal anti-HLA class I antibodies
in thrombocytopenic neonates are associated with reduced fetal growth. Further
studies are needed to test if placental function is affected.
PMID- 26547816
TI - Insulin dependent diabetes mellitus (IDDM) and first trimester markers in
prenatal screening for Down syndrome.
PMID- 26547817
TI - The cryptoglandular theory revisited.
PMID- 26547818
TI - Commentary on 'Impact of 3-dimensional endoanal ultrasound on the outcome of anal
fistula surgery: a prospective cohort study'.
PMID- 26547824
TI - The impact of infection with Schmallenberg virus on weaning rate in Irish sheep
flocks.
AB - Schmallenberg virus (SBV) disease emerged in Europe in 2011, with the virus
initially identified in Germany, and the first confirmed case of SBV infection in
Ireland diagnosed in a dairy calf in October 2012. SBV was subsequently confirmed
by RT-PCR in 49 cattle herds and 39 sheep flocks. While these studies provide a
good representation of the spatial distribution of SBV in Ireland, they do not
quantify the impact of SBV on productivity. The objectives of this study were to
assess the impact of SBV on weaning rate in Irish sheep flocks, based on data
reported by Irish sheep farmers, and to evaluate weaning rate in sheep flocks as
an indicator to be used in emerging disease surveillance systems. A questionnaire
on productivity and management practices in sheep flocks was developed to gather
data from sheep farmers. Valid responses from 267 sheep farmers were received.
Negative binomial regression indicated that flocks with a confirmed SBV diagnosis
had a weaning rate 0.9 times that of flocks free of SBV. The 10% reduction in
weaning rates as a result of SBV is a justifiable concern for farmers and should
be considered in formulating flock breeding policy. This study shows the value of
a production database as an indicator of an emerging disease and the economic
impact of that disease in Irish sheep flocks.
PMID- 26547825
TI - Frailty Change and Major Osteoporotic Fracture in the Elderly: Data from the
Global Longitudinal Study of Osteoporosis in Women 3-Year Hamilton Cohort.
AB - Investigating the cumulative rate of deficits and the change of a frailty index
(FI) chronologically is helpful in clinical and research settings in the elderly.
However, limited evidence for the change of frailty before and after some
nonfatal adverse health event such as a major osteoporotic fracture (MOF) is
available. Data from the Global Longitudinal Study of Osteoporosis in Women 3
Year Hamilton cohort were used in this study. The changes of FI before and after
onset of MOF were compared between the women with and without incident MOF. We
also evaluated the relationship between risk of MOF, falls, and death and the
change of FI and the absolute FI measures. There were 3985 women included in this
study (mean age 69.4 years). The change of FI was significantly larger in the
women with MOF than those without MOF at year 1 (0.085 versus 0.067, p = 0.036)
and year 2 (0.080 versus 0.052, p = 0.042) post-baseline. The FI change was not
significantly related with risk of MOF independently of age. However, the
absolute FI measures were significantly associated with increased risk of MOF,
falls, and death independently of age. In summary, the increase of the FI is
significantly larger in the elderly women experiencing a MOF than their peer
controls, indicating their worsening frailty and greater deficit accumulation
after a MOF. Measures of the FI change may aid in the understanding of cumulative
aging nature in the elderly and serve as an instrument for intervention planning
and assessment.
PMID- 26547826
TI - Interobserver variability in clinical target volume delineation for primary
mediastinal B-cell lymphoma.
AB - PURPOSE: The purpose of this study was to evaluate interobserver variability
among radiation oncologists with experience in the field of lymphoma radiation
therapy in the delineation of clinical target volume (CTV) in a challenging case
of primary mediastinal B-cell lymphoma. METHODS AND MATERIALS: Ten experienced
radiation oncologists were invited to a 1-day contouring session. The case of a
56-year-old man with primary mediastinal B-cell lymphoma with complete metabolic
response after chemotherapy was chosen as the sample for the study. A brief
presentation of his clinical history was given, together with guidelines for
contouring. The 10 CTVs obtained were then compared in terms of variation in
total volume and in craniocaudal, laterolateral, and anteroposterior diameters.
The CTV with the best Dice similarity coefficient (DSC) between the union of all
10 CTVs and the individual CTV was considered the reference CTV, and the DSC and
the Hausdorff distance (HD) for each volume compared with the reference CTV were
then calculated. RESULTS: A significant variability was found in total volume
(mean, 498.3 cm(3); range, 181.8-1003 cm(3)) and craniocaudal (median, 144.7 mm;
range, 80.6-159 mm), laterolateral (median, 133.5 mm; range, 83.7-149.5 mm), and
anteroposterior diameters (median, 136.2 mm; range, 84-150.5 mm). Analysis of the
DSC and the HD showed a mean DSC of 0.53 (range, 0.31-0.74) and a mean HD of 6.4
cm (range, 1.8-14.8 cm). CONCLUSIONS: Results of this study strongly indicate the
need to develop and share appropriate contouring guidelines among experts and
suggest the promotion of specific educational activities to improve radiation
therapy quality in both clinical trials and routine clinical practice.
PMID- 26547827
TI - The American Board of Radiology Focused Practice Recognition in Brachytherapy
(FPRB) Program: Opportunities lost, lessons learned, and future implications.
AB - In 2011, the American Board of Medical Specialties approved a pilot project
submitted by the American Board of Radiology for a Focused Practice Recognition
in Brachytherapy initiative. Developers had anticipated significant interest
within the profession and had hoped that the project would stimulate clinical
interest, research, and education in the modality. A centerpiece of the project
was a National Brachytherapy Registry, which was to serve as a dynamic
longitudinal database for participants and the profession. Ultimately, the
project did not achieve its anticipated goals and was terminated by the American
Board of Radiology in 2015. Development, implementation, problems encountered,
and potential implications and solutions are discussed.
PMID- 26547828
TI - Image guidance and stabilization for stereotactic ablative body radiation therapy
(SABR) treatment of primary kidney cancer.
AB - PURPOSE: Stereotactic ablative body radiation therapy for primary kidney cancer
treatment relies on motion management that can quantify both the trajectory of
kidney motion and stabilize the patient. A prospective ethics-approved clinical
trial of stereotactic treatment to primary kidney targets was conducted at our
institution. Our aim was to report on specific kidney tumor motion and the inter-
and intrafraction motion as seen on treatment. METHODS AND MATERIALS: Patients
with tumor size <5 cm received a dose of 26 Gy in 1 fraction and those with tumor
size >=5 cm received 42 Gy in 3 fractions. All patients underwent a 4-dimensional
computed tomography planning scan, immobilized in a dual-vacuum system. A
conventional linear accelerator cone beam computed tomography scan was used for
pre-, mid-, and posttreatment imaging to verify target position. RESULTS: Between
July 2012 and October 2014, 33 targets from 32 consecutive patients (24 males/8
females) were treated. Seventeen targets were prescribed 26 Gy/1 fraction and the
remaining 16 targets received 42 Gy/3 fractions. Kidney motion at each of the
poles was not affected by the presence of tumor (P = .875), nor was the motion
statistically different from the corresponding contralateral kidney pole (P =
.909). The mean 3-dimensional displacement of the target at mid- and
posttreatment was 1.3 mm (standard deviation +/- 1.6) and 1.0 mm (standard
deviation +/- 1.3), respectively. The maximum displacement in any direction for
95% of the fractions at mid- and posttreatment was <=3 mm. CONCLUSION: In
summary, stereotactic ablative body radiation therapy of primary kidney targets
can be accurately delivered on a conventional linear accelerator with protocol
that has minimal intrafractional target motion.
PMID- 26547829
TI - Ambulatory pulse oximetry as a clinical aid for the diagnosis and treatment
response of radiation pneumonitis.
AB - PURPOSE: Radiation pneumonitis (RP) is a clinical diagnosis, with no single best
method of detection currently available. This study evaluated whether a decline
between resting (rPO) and ambulatory (aPO) pulse oximetry (PO) levels after
concurrent chemotherapy and radiation therapy (RT) can serve as a clinical aid in
diagnosing and evaluating treatment response of grade 2-3 RP. METHODS AND
MATERIALS: Between March 2007 and November 2013, rPO and aPO values were obtained
from 55 patients immediately after definitive thoracic RT and at each subsequent
visit, for up to 4 visits. Median values of the decline from rPO to aPO were
compared between those with and without subsequent RP. A logistic regression
model was used to determine an association between a drop in PO and,
independently, clinically defined RP. RESULTS: RP was identified in 19 of 55
patients, with a median time to diagnosis of 56 days after RT. Twelve patients
(22%) were diagnosed with grade 2 RP and 7 (13%) with grade 3 RP. According to a
Wilcoxon rank sum test, the median calculated drop between rPO and aPO was
greater in RP patients than in those without RP (median 4.21 and 1.01,
respectively; P<.0001). After adjustment for total tumor dose and age,
multivariate analyses revealed a 64.8% increase in the chance of RP development
with every unit of decline in PO (P=.0014). After initiation of treatment with a
corticosteroid, the mean difference in PO drop was compared with patients'
baselines and demonstrated a statistically significant improvement, with peak PO
value recovery after 2 weeks of corticosteroid therapy (P=.0001). CONCLUSIONS:
Patients diagnosed with RP demonstrated an early, measurable drop between rPO and
aPO that was detected at or before diagnosis. Consequent recovery in PO followed
treatment with corticosteroids. PO measurements are cost-effective and readily
available, and they can be a valuable tool to aid in diagnosing RP and gauging
treatment response.
PMID- 26547830
TI - Ten-year trends in safe radiation therapy delivery and results of a radiation
therapy quality assurance intervention.
AB - PURPOSE: This study reviews our institutional error data and assesses the
effectiveness of a policy implemented January 1, 2011, as a "no rushed treatment"
initiative to avoid universal, large-scale replanning for all patients in the
event that a treatment unit is down for <=1 day. METHODS AND MATERIALS: Radiation
error data between January 1, 2004, and December 31, 2014, were reviewed to
determine absolute delivery error rates. Variables were compared (using a chi(2)
or Fisher exact test) before and after the policy change, including planning
versus delivery error status and differences in error type. We also evaluated
time of day in relation to therapist shift change, deviation from scheduled time,
and weekend treatment as predictors of error using a test of proportions or
chi(2) test. RESULTS: Treatment delivery error rate over the entire period was
0.18% per fraction; the rate before intervention was 0.24% and after was 0.08%, P
< .001. For the 5 years for which detailed records were available (2010-2014),
109 delivery errors were reported. Delivery error rate was 0.09%; before
intervention 0.15% versus after, 0.08% (P = .005) and 94% were level 1 errors.
Fifty-six percent were primary planning errors and 44% were primary delivery
errors. Before intervention, large-scale replanning occurred 18 times/year versus
4.5/year after, with 21% versus 12% of errors directly attributable to large
scale replanning. Fourteen error reports specifically implicated a rushed
environment as causal. There was no significant difference in error rate based on
time of day (P = .631). Error rates were higher for weekend simulation and
treatments, 1.3% versus 0.09% per fraction (P < .001). CONCLUSIONS: Delivery
error rates at our institution were similar compared with published series from
other academic institutions. A significant improvement in delivery error rate was
appreciated after implementation of a "no rushed treatment" initiative. A
significantly higher error rate for weekend treatments was noted, warranting
consideration of additional quality assurance measures.
PMID- 26547832
TI - Impact of hospital length of stay on the distribution of Gram negative bacteria
and likelihood of isolating a resistant organism in a Canadian burn center.
AB - RATIONALE: The impact of hospital length of stay (LOS) on the distribution and
susceptibility of Gram negative bacteria (GNB) causing infection in burn patients
remains unexplored. Knowledge of causative pathogens is important in guiding
empiric antibiotic therapy. OBJECTIVES: To characterize the distribution of GNB
causing infection and to identify changes in susceptibility with LOS in a
tertiary care burn center. METHODS: A retrospective review of all admissions to
the Ross Tilley Burn Centre at Sunnybrook Health Sciences Centre with clinical
cultures yielding GNB (duplicates excluded) between March 12, 2010 to July 17,
2013 was completed. Positive cultures were categorized into 5 clinically relevant
time periods (in days) based on specimen collection date relative to the
patient's date of admission: 0-7, 7-14, 14-21, 21-28, >28. Chi-square for
proportions was used to compare the time periods. RESULTS: The proportion of
patients with clinical cultures for P. aeruginosa increased with hospital LOS (0
7 days: 8% vs. >28 days: 55%; p<0.05). Conversely, clinical cultures for H.
influenzae occurred primarily within the first 7 days of hospitalization (0-7
days: 36% vs. >28 days: 0.7%; p<0.05). Enterobacteriaceae isolation was highest
between 7 and 14 days of hospitalization (7-14 days: 62% vs. >28 days: 38%;
p<0.05). Antibiotic resistance was directly proportional to hospital LOS (%
patients with multidrug resistant GNB increased from 6% [LOS 0-7 days] to 44%
[LOS>28 days]; p<0.05). CONCLUSIONS: This study provides objective data
documenting changes in species and resistance patterns of GNB causing infection
in patients admitted to a burn center as a function of hospital LOS; which may
support delaying the use of broad spectrum antibiotics (e.g. carbapenems and beta
lactam/beta-lactamase inhibitors) in clinically stable patients.
PMID- 26547831
TI - Shank-cortactin interactions control actin dynamics to maintain flexibility of
neuronal spines and synapses.
AB - The family of Shank scaffolding molecules (comprising Shank1, 2 and 3) are core
components of the postsynaptic density (PSD) in neuronal synapses. Shanks link
surface receptors to other scaffolding molecules within the PSD, as well as to
the actin cytoskeleton. However, determining the function of Shank proteins in
neurons has been complicated because the different Shank isoforms share a very
high degree of sequence and domain homology. Therefore, to control Shank content
while minimizing potential compensatory effects, a miRNA-based knockdown strategy
was developed to reduce the expression of all synaptically targeted Shank
isoforms simultaneously in rat hippocampal neurons. Using this approach, a strong
(>75%) reduction in total Shank protein levels was achieved at individual
dendritic spines, prompting an approximately 40% decrease in mushroom spine
density. Furthermore, Shank knockdown reduced spine actin levels and increased
sensitivity to the actin depolymerizing agent Latrunculin A. A SHANK2 mutant
lacking the proline-rich cortactin-binding motif (SHANK2-DeltaPRO) was unable to
rescue these defects. Furthermore, Shank knockdown reduced cortactin levels in
spines and increased the mobility of spine cortactin as measured by single
molecule tracking photoactivated localization microscopy, suggesting that Shank
proteins recruit and stabilize cortactin at the synapse. Furthermore, it was
found that Shank knockdown significantly reduced spontaneous remodelling of
synapse morphology that could not be rescued by the SHANK2-DeltaPRO mutant. It
was concluded that Shank proteins are key intermediates between the synapse and
the spine interior that, via cortactin, permit the actin cytoskeleton to
dynamically regulate synapse morphology and function.
PMID- 26547833
TI - Beliefs about intimate partner violence: A survey of the Swedish general public.
AB - Public interventions are considered to be an important means of preventing
intimate partner violence (IPV). What people believe about the nature of IPV is
likely to determine their propensity to intervene, but little is known at present
about IPV beliefs among the general public. In a survey of 650 Swedish citizens,
beliefs about the prevalence and causes of IPV, and viable means of intervention
were assessed. Respondents estimated, on average, that IPV occurs in almost one
quarter of all intimate relationships in Sweden, and that IPV is particularly
prevalent in low-income groups, among non-European immigrants, in suburban areas,
and in couples under the age of 50 years. Physical violence was believed to be
the most frequent form of abuse in male offender-female victim cases, whereas
psychological violence was considered most frequent in other combinations of
offender and victim gender. Female respondents estimated a higher prevalence of
IPV, attributed less blame to IPV victims, and suggested more means of
intervention, than did male respondents. The findings are discussed in relation
to empirical prevalence estimates, and implications are proposed.
PMID- 26547834
TI - Racial and economic disparities in the treatment of penile squamous cell
carcinoma: Results from the National Cancer Database.
AB - PURPOSE: We evaluated sociodemographic and economic differences in overall
survival (OS) of patients with penile SCC using the National Cancer Data Base
(NCDB). METHODS: We identified 5,412 patients with a diagnosis of penile squamous
cell carcinoma from 1998 to 2011 with clinically nonmetastatic disease and
available pathologic tumor and nodal staging. OS was estimated using the Kaplan
Meier method, and differences were determined using the log-rank test. Cox
proportional hazard regression was performed to identify independent predictors
of OS. RESULTS: Estimated median OS was 91.9 months (interquartile range: 25.8
not reached) at median follow-up of 44.7 months (interquartile range: 17.2-81.0).
Survival did not change over the study period (P = 0.28). Black patients
presented with a higher stage of disease (pT3/T4: 16.6 vs. 13.2%, P = 0.027) and
had worse median OS (68.6 vs. 93.7 months, P<0.01). Patients with private
insurance and median income>=$63,000 based on zip code presented with a lower
stage of disease (pT3/T4: 11.6 vs. 14.7%, P = 0.002 and 12.0 vs. 14.0%, P =
0.042, respectively) and had better median OS (163.2 vs. 70.8 months, P<0.01 and
105.3 vs. 86.4 months, p = 0.001, respectively). On multivariate analysis, black
race (hazard ratio [HR]: 1.39, 95% confidence interval [CI]: 1.21-1.58; P<0.01)
was independently associated with worse OS, whereas private insurance (HR = 0.79,
95% CI: 0.63-0.98; P = 0.028) and higher median income>=$63,000 (HR = 0.82; 95%
CI: 0.72-0.93; P = 0.001) were independently associated with better OS.
CONCLUSIONS: Racial and economic differences in the survival of patients with
penile cancer exist. An understanding of these differences may help minimize
disparities in cancer care.
PMID- 26547835
TI - Evaluating the quality of internet information for breast cancer.
AB - The internet is frequently used by patients for researching information regarding
breast cancer. This study aims to assess the quality of these websites using
validated tools. The term 'breast cancer' was searched for in 3 search engines.
The top 20 results were selected, and duplicates and irrelevant websites were
excluded. 26/34 websites were analysed using the DISCERN Plus tool, HONcode and
the JAMA benchmarks. 46% of the websites were classed as 'excellent' when
assessed with the DISCERN tool. The range of DISCERN scores was wide (range: 25
74). Nine websites were found to be HONcode certified. Seven websites complied
with all four JAMA benchmarks. This study shows the quality of breast cancer
information on the internet is on the whole good; however the range of quality is
wide. We recommend healthcare professionals use all 3 tools together to establish
which websites are best to advise which websites patients should trust.
PMID- 26547836
TI - Brain natriuretic peptide as a cardiac marker of transient radiotherapy-related
damage in left-sided breast cancer patients: A prospective study.
AB - PURPOSE: Our study evaluated brain natriuretic peptide (BNP) changes over time
after adjuvant radiotherapy (RT) in women with left-sided breast cancer
investigating its correlation with heart dosimetric parameters. METHODS: Forty
three patients underwent clinical cardiac examination, electrocardiogram (ECG),
echocardiography and BNP measurement before RT (T0) and 1 (T1), 6 (T6) and 12
months (T12) after. After T12 cardiac assessment was performed annually in each
patient. Mean values and standard deviation (SD) of BNP, left ventricular
ejection fraction (LVEF), V20, V25, V30, V45 and mean dose were calculated.
Normalized BNP (BNPn) was calculated as follows: BNPnT1 = BNPT1/BNPT0, BNPnT6 =
BNPT6/BNPT0, BNPnT12 = BNPT12/BNPT0. Absolute BNP and BNPn values were used for
data analysis. RESULTS: Median follow-up from the end of RT to the last check-up
was 87 months (range 37-120 months). Minimum follow-up was 74 months except for
two patients, who died at respectively 37 and 47 months after RT. In all patients
LVEF did not change significantly (p = 0.22) after RT. BNP increased
significantly (p < 0.001), particularly 1 and 6 months after RT. It slightly
decreased after 12 months. BNP did not correlate with V20, V25, V30, V45, mean
dose and MHD. All BNPn correlated significantly (p < 0.05) with V20, V25, V30,
V45, mean dose and MHD. Four patients had a cardiac event; in the only subject
who developed myocardial infarction, V20, V25, V30 and V45 were the highest and
BNP increased from T1 and persisted high even at T12. CONCLUSION: Our results
confirm that BNP could be a useful minimally invasive marker of early RT related
cardiac impairment.
PMID- 26547837
TI - Symmetrization in jellyfish: reorganization to regain function, and not lost
parts.
AB - We recently reported a previously unidentified strategy of self-repair in the
moon jellyfish Aurelia aurita. Rather than regenerating lost parts, juvenile
Aurelia reorganize remaining parts to regain essential body symmetry. This
process that we called symmetrization is rapid and frequent, and is not driven by
cell proliferation or cell death. Instead, the swimming machinery generates
mechanical forces that drive symmetrization. We found evidence for symmetrization
across three other species of jellyfish (Chrysaora pacifica, Mastigias sp., and
Cotylorhiza tuberculata). We propose reorganization to regain function without
recovery of initial morphology as a potentially broad class of self-repair
strategy beyond radially symmetrical animals, and discuss the implications of
this finding on the evolution of self-repair strategies in animals.
PMID- 26547838
TI - Editorial.
PMID- 26547839
TI - Nebulised dornase alfa versus placebo or hypertonic saline in adult critically
ill patients: a systematic review of randomised clinical trials with meta
analysis and trial sequential analysis.
AB - BACKGROUND: Nebulised dornase alfa is used off-label in critically ill patients.
We aimed to assess the benefits and harms of nebulised dornase alfa versus
placebo, no prophylaxis, or hypertonic saline on patient-important outcome
measures in adult critically ill patients. METHODS: We performed a systematic
review with meta-analysis and trial sequential analysis (TSA) using the Cochrane
Collaboration methodology. Eligible trials were randomised clinical trials
comparing nebulised dornase alfa with placebo, no prophylaxis, or hypertonic
saline. The predefined outcome measures were all-cause mortality, duration of
mechanical ventilation, length of stay, and adverse events. Two reviewers
independently assessed trials for inclusion, data extraction, and risk of bias.
Risk ratios (RRs) with 95 % confidence intervals (CIs) were estimated by
conventional cumulative meta-analysis, and the robustness of the primary estimate
was assessed by TSA. RESULTS: Two trials (n = 63) were included; both were judged
to have high risk of bias. There was no statistically significant difference in
mortality (random effects model RR (95 % CI) 0.73 (0.09-5.77); P = 0.24; I (2) =
30 %). TSA could not be conducted because less than 1 % of the required
information size had been accrued. None of the two trials reported adequate and
detailed data on any of the secondary outcome measures. CONCLUSIONS: We found
very low quantity and quality of evidence for use of nebulised dornase alfa in
adult critically ill patients in this systematic review with meta-analysis.
SYSTEMATIC REVIEW REGISTRATION: The International Prospective Register of
Systematic Reviews (PROSPERO), no. CRD442015016047.
PMID- 26547840
TI - Non-alcoholic fatty liver disease among sasang constitutional types: a population
based study in Korea.
AB - BACKGROUND: Non-alcoholic fatty liver disease (NAFLD) is the most common cause of
chronic liver disease and is highly prevalent in populations with metabolic
conditions such as obesity and type II diabetes. Specific types of Sasang
constitution can act as a risk factor for metabolic diseases, but there are no
studies addressing the association between the Sasang constitutional types (SCTs)
and NAFLD. METHODS: A total of 1184 individuals (508 males, 676 females) that
enrolled in the Korean Genome and Epidemiology Study were included in the present
study. Classification of SCTs was done with an integrated diagnostic model. NAFLD
was diagnosed when the liver attenuation index (LAI) value was <5 Hounsfield
units using computed tomography. Relationships between the SCTs and NAFLD were
analyzed using multiple logistic regressions. RESULTS: The average LAI was 13.3+/
6.0 in the So-eum (SE) type, 12.3+/-7.0 in the So-yang (SY) type, and 6.5+/-9.9
in the Tae-eum (TE) type. Prevalence of NAFLD was 4.7% in the SE type, 14.0% in
the SY type, and 34% in the TE type. Even after adjusting for possible
confounders, the SY and TE types continued to show a 3.90-fold (95% CI, 1.60
9.51; P=0.0028) and 3.36-fold (95% CI, 1.42-7.92; P=0.0057) increase in chance of
having NAFLD, respectively, compared with the SE type. In the additional analysis
including only non-obese subjects, the odds ratio of NAFLD was 3.27 (95% CI, 1.29
8.29; P=0.0126) in the SY type and 3.53 (95% CI, 1.30-9.58; P=0.0134) in the TE
type compared with SE type. In the multivariate analysis to determine which
parameter had an independent association with NAFLD, higher body mass index,
alanine aminotransferase (ALT), triglyceride (TG), and low high-density
lipoprotein cholesterol were independently associated with developing NAFLD in
the SY type. In contrast, male sex, alcohol consumption, higher ALT, TG, and
fasting glucose were risk factors for NAFLD in the TE type. CONCLUSIONS: These
results indicated that the SY and TE types are independent risk factors for
NAFLD.
PMID- 26547842
TI - A new method to assess passive and active ankle stiffness during quiet upright
stance.
AB - Both passive and active ankle torque contribute to postural stability during
quiet upright stance, yet directly measuring their relative contributions is
difficult. Here, a new method was developed to estimate passive and active ankle
stiffness (ST) and damping (DA). In contrast to earlier approaches, the proposed
method does not require external mechanical or sensory perturbations. Instead,
the method is based on the assumption that upright stance is intermittently
controlled, and that active ankle torque is in-phase coherent with ankle angular
acceleration. Thus, identifying the local maxima of ankle angular accelerations
facilitates the identification of time windows that include substantial active
ankle torque. After identifying these local maxima and associated windows,
estimates of passive and active ankle ST and DA were obtained using linear
regression analyses. Consistent with earlier work, passive ankle torque was
estimated to account for 94-97% of the total ankle torque, and to have linear
relationships with ankle angle and angular velocity. Predicted values of passive
and active ankle stiffness were also consistent with earlier reports. This new
approach may be a useful tool to efficiently investigate passive and active joint
stiffness during quiet upright stance.
PMID- 26547841
TI - Ascorbic acid and ascorbate-2-phosphate decrease HIF activity and malignant
properties of human melanoma cells.
AB - BACKGROUND: Hypoxia inducible factor-1 alpha (HIF-1alpha) is thought to play a
role in melanoma carcinogenesis. Posttranslational regulation of HIF-1alpha is
dependent on Prolyl hydroxylase (PHD 1-3) and Factor Inhibiting HIF (FIH)
hydroxylase enzymes, which require ascorbic acid as a co-factor for optimal
function. Depleted intra-tumoral ascorbic acid may thus play a role in the loss
of HIF-1alpha regulation in melanoma. These studies assess the ability of
ascorbic acid to reduce HIF-1alpha protein and transcriptional activity in
metastatic melanoma and reduce its invasive potential. METHODS: HIF-1alpha
protein was evaluated by western blot, while transcriptional activity was
measured by HIF-1 HRE-luciferase reporter gene activity. Melanoma cells were
treated with ascorbic acid (AA) and ascorbate 2-phosphate (A2P) to assess their
ability to reduce HIF-1alpha accumulation and activity. siRNA was used to deplete
cellular PHD2 in order to evaluate this effect on AA's ability to lower HIF
1alpha levels. A2P's effect on invasive activity was measured by the Matrigel
invasion assay. Data was analyzed by One-way ANOVA with Tukey's multiple
comparisons test, or Student-T test as appropriate, with p < .05 considered
significant. RESULTS: Supplementation with both AA and A2P antagonized normoxic
as well as cobalt chloride- and PHD inhibitor ethyl 3, 4-dihydroxybenzoate
induced HIF-1alpha protein stabilization and transcriptional activity. Knockdown
of the PHD2 isoform with siRNA did not impede the ability of AA to reduce
normoxic HIF-1alpha protein. Additionally, reducing HIF-1alpha levels with A2P
resulted in a significant reduction in the ability of the melanoma cells to
invade through Matrigel. CONCLUSION: These studies suggest a positive role for AA
in regulating HIF-1alpha in melanoma by demonstrating that supplementation with
either AA, or its oxidation-resistant analog A2P, effectively reduces HIF-1alpha
protein and transcriptional activity in metastatic melanoma cells. Our data,
while supporting the function of AA as a necessary cofactor for PHD and likely
FIH activity, also suggests a potential non-PHD/FIH role for AA in HIF-1alpha
regulation by its continued ability to reduce HIF-1alpha in the presence of PHD
inhibition. The use of the oxidation-resistant AA analog, A2P, to reduce the
ability of HIF-1alpha to promote malignant progression in melanoma cells and
enhance their response to therapy warrants further investigation.
PMID- 26547843
TI - Detecting the perception of illusory spatial boundaries: Evidence from distance
judgments.
AB - Spatial boundaries demarcate everything from the lanes in our roadways to the
borders between our countries. They are fundamental to object perception, spatial
navigation, spatial memory, spatial judgments, and the coordination of our
actions. Although explicit spatial boundaries formed by physical structures
comprise many of the actual boundaries we encounter, implicit and permeable
spatial boundaries are pervasive. The prevailing paradigm for detecting implicit
spatial boundaries relies on memory-based distance and location judgments. One
possibility is that these biases in spatial memory may be attributable to initial
biases in spatial perception, but the extent to which implicit spatial boundaries
bias spatial perception remains unknown. An approach for detecting the perception
of implicit spatial boundaries would be to infer it through known systematic
biases in memory-based distance judgments. We harnessed known biases in memory
based distance judgments to infer perception of spatial boundaries by probing the
extent to which distances were overestimated across potential spatial boundaries.
Results suggest that participants perceived potential spatial boundaries as
illusory spatial boundaries leading to biased judgments of distance. A control
group eliminated simple two-dimensional distance cues as responsible for this
bias. This bias provides a novel method to detect the perception of illusory
spatial boundaries.
PMID- 26547844
TI - Utilization of a Pharmacy Clinical Surveillance System for Pharmacist Alerting
and Communication at a Tertiary Academic Medical Center.
AB - The objective of this analysis is to describe the utilization metrics of a
pharmacy clinical surveillance system (PCSS) at a tertiary, academic medical
center.We performed a retrospective database analysis assessing rule-based alerts
(RBA), interventions and pharmacist communication notes documented in the PCSS
from January 1, 2014 to December 31, 2014. Reports were generated on 92 unique
RBAs sent to clinicians for evaluation. Metrics assessed included the number of
RBAs that were triggered, clinically evaluated, intervened on by pharmacists, and
therapeutic category of interventions. Pharmacy communication notes were also
evaluated.A total of 399,979 RBAs were triggered through the PCSS. During that
time, pharmacists documented a total of 17,733 interventions. The most common
RBAs were related to lab abnormalities (132,487; 33 %) and
anticoagulation/antiplatelet therapy (126,425; 32.1 %). Interventions were most
frequently related to RBAs regarding anticoagulation/antiplatelet therapy (6412;
36 %) and antimicrobial therapy (3320; 19 %). Pharmacist communication was most
commonly related to clarification of medication and lab orders, and therapeutic
drug monitoring.Based on utilization metrics presented, the implementation of a
PCSS has successfully generated RBAs to aid pharmacists in clinical practice and
improved departmental documentation and communication. Further analysis is
warranted to assess the impact of the RBAs, interventions, and communication
notes on outcomes such as hospital cost and adverse drug events.
PMID- 26547845
TI - A Method to Differentiate Mild Cognitive Impairment and Alzheimer in MR Images
using Eigen Value Descriptors.
AB - Automated analysis and differentiation of mild cognitive impairment and
Alzheimer's condition using MR images is clinically significant in dementic
disorder. Alzheimer's Disease (AD) is a fatal and common form of dementia that
progressively affects the patients. Shape descriptors could better differentiate
the morphological alterations of brain structures and aid in the development of
prospective disease modifying therapies. Ventricle enlargement is considered as a
significant biomarker in the AD diagnosis. In this work, a method has been
proposed to differentiate MCI from the healthy normal and AD subjects using
Laplace-Beltrami (LB) eigen value shape descriptors. Prior to this, Reaction
Diffusion (RD) level set is used to segment the ventricles in MR images and the
results are validated against the Ground Truth (GT). LB eigen values are infinite
series of spectrum that describes the intrinsic geometry of objects. Most
significant LB shape descriptors are identified and their performance is analysed
using linear Support Vector Machine (SVM) classifier. Results show that, the RD
level set is able to segment the ventricles. The segmented ventricles are found
to have high correlation with GT. The eigen values in the LB spectrum could show
distinction in the feature space better than the geometric features. High
accuracy is observed in the classification results of linear SVM. The proposed
automated system is able to distinctly separate the MCI from normal and AD
subjects. Thus this pipeline of work seems to be clinically significant in the
automated analysis of dementic subjects.
PMID- 26547846
TI - Applications of Multi-Channel Safety Authentication Protocols in Wireless
Networks.
AB - People can use their web browser or mobile devices to access web services and
applications which are built into these servers. Users have to input their
identity and password to login the server. The identity and password may be
appropriated by hackers when the network environment is not safe. The multiple
secure authentication protocol can improve the security of the network
environment. Mobile devices can be used to pass the authentication messages
through Wi-Fi or 3G networks to serve as a second communication channel. The
content of the message number is not considered in a multiple secure
authentication protocol. The more excessive transmission of messages would be
easier to collect and decode by hackers. In this paper, we propose two schemes
which allow the server to validate the user and reduce the number of messages
using the XOR operation. Our schemes can improve the security of the
authentication protocol. The experimental results show that our proposed
authentication protocols are more secure and effective. In regard to applications
of second authentication communication channels for a smart access control
system, identity identification and E-wallet, our proposed authentication
protocols can ensure the safety of person and property, and achieve more
effective security management mechanisms.
PMID- 26547847
TI - Implementation of an Embedded Web Server Application for Wireless Control of
Brain Computer Interface Based Home Environments.
AB - Brain Computer Interface (BCI) based environment control systems could facilitate
life of people with neuromuscular diseases, reduces dependence on their
caregivers, and improves their quality of life. As well as easy usage, low-cost,
and robust system performance, mobility is an important functionality expected
from a practical BCI system in real life. In this study, in order to enhance
users' mobility, we propose internet based wireless communication between BCI
system and home environment. We designed and implemented a prototype of an
embedded low-cost, low power, easy to use web server which is employed in
internet based wireless control of a BCI based home environment. The embedded web
server provides remote access to the environmental control module through BCI and
web interfaces. While the proposed system offers to BCI users enhanced mobility,
it also provides remote control of the home environment by caregivers as well as
the individuals in initial stages of neuromuscular disease. The input of BCI
system is P300 potentials. We used Region Based Paradigm (RBP) as stimulus
interface. Performance of the BCI system is evaluated on data recorded from 8 non
disabled subjects. The experimental results indicate that the proposed web server
enables internet based wireless control of electrical home appliances
successfully through BCIs.
PMID- 26547848
TI - Analysis of Muscle Fatigue Progression using Cyclostationary Property of Surface
Electromyography Signals.
AB - Analysis of neuromuscular fatigue finds various applications ranging from
clinical studies to biomechanics. Surface electromyography (sEMG) signals are
widely used for these studies due to its non-invasiveness. During cyclic dynamic
contractions, these signals are nonstationary and cyclostationary. In recent
years, several nonstationary methods have been employed for the muscle fatigue
analysis. However, cyclostationary based approach is not well established for the
assessment of muscle fatigue. In this work, cyclostationarity associated with the
biceps brachii muscle fatigue progression is analyzed using sEMG signals and
Spectral Correlation Density (SCD) functions. Signals are recorded from fifty
healthy adult volunteers during dynamic contractions under a prescribed protocol.
These signals are preprocessed and are divided into three segments, namely, non
fatigue, first muscle discomfort and fatigue zones. Then SCD is estimated using
fast Fourier transform accumulation method. Further, Cyclic Frequency Spectral
Density (CFSD) is calculated from the SCD spectrum. Two features, namely, cyclic
frequency spectral area (CFSA) and cyclic frequency spectral entropy (CFSE) are
proposed to study the progression of muscle fatigue. Additionally, degree of
cyclostationarity (DCS) is computed to quantify the amount of cyclostationarity
present in the signals. Results show that there is a progressive increase in
cyclostationary during the progression of muscle fatigue. CFSA shows an
increasing trend in muscle fatiguing contraction. However, CFSE shows a
decreasing trend. It is observed that when the muscle progresses from non-fatigue
to fatigue condition, the mean DCS of fifty subjects increases from 0.016 to
0.99. All the extracted features found to be distinct and statistically
significant in the three zones of muscle contraction (p < 0.05). It appears that
these SCD features could be useful in the automated analysis of sEMG signals for
different neuromuscular conditions.
PMID- 26547849
TI - A Method to Find Generic Thresholds for Identifying Relevant Physical Activity
Events in Sensor Data.
AB - The increasing use of wearable actimetry devices in cohort studies can provide a
deep and objective insight in physical activity (PA) patterns. For reliable and
reproducible pattern recognition, and to minimize the influence of specific
device characteristics, there is a need for a generic method to identify relevant
PA events in sensor data sets on the basis of comprehensive features such as PA
duration and intensity. The objectives of this paper are to present a method to
identify universal event detection thresholds for such parameters, and to attempt
to find stable meta-clusters of PA behaviour. PA events of 5, 10, 20 and 30 min
with low, medium and high intensity thresholds found in literature and intensity
deciles were computed for a random sample (N = 100) of the NHANES 2005-06
accelerometer data set (N = 7457). On the basis of all combinations of the above,
activity events were detected, and parameters mean duration, mean intensity and
event regularity were computed. Results were clustered using x-Means clustering
and visualized for 5-, 10-, 20-, and 30-min events. Stable clustering results are
obtained with intensity thresholds up to the 8th decile and for event durations
up to 10 min. Two stable meta-clusters were detected: 'irregularly active'
(intensity at 52nd percentile) and 'regularly active' (intensity at 42nd
percentile). Distinct generic thresholds could be identified and are proposed.
They may prove useful for further investigations of similar actimetry data sets,
minimising the influence of specific device characteristics. The results also
confirm that distinct PA event patterns - including event regularity - can be
identified using wearable sensor devices, especially when regarding low
intensity, short-term activities which do not correspond to current PA
recommendations. Further research is necessary to evaluate actual associations
between sensor-based PA parameters and health outcome. The author identified
generic intensity and duration thresholds for analysing objective PA data from
wearable devices. This may contribute to further analyses of PA patterns along
with their relations with health outcome parameters.
PMID- 26547850
TI - Predictive / Reactive Planning and Scheduling of a Surgical Suite with Emergency
Patient Arrival.
AB - This paper surveys the problem of predictive / reactive scheduling of an
integrated operating theatre with two types of demand for surgery: 1) elective or
known demand; 2) emergency or uncertain demand. The stochastic arrival of
emergency patients with uncertain surgery time enforces the scheduler to react to
disruption and modify scheduling plan of elective patients. We focus on this
predictive / reactive scheduling problem which has not been investigated in such
way before. As in hospitals, at the time of occurrence a disruption in a surgical
suite, the scheduler has not enough time to make the best decision; we propose a
new approach based on two-stage stochastic programming model with recourse which
determines the best recourse strategy in advance of any disruption occurrence.
Using the proposed approach, the primary schedule is generated in such a way that
it can absorb disruption with minimum effect on planned elective surgeries. For
the first time in operating theatre planning, two new significant sets of
performance measures comprising "robustness" and "stability" measures are
considered in generation of primary schedule which will be shown to be of great
importance in efficiency of surgical suite planning. Computational experiments
performed on sets of generated problem based on the data obtained from a non
profit hospital. In order to demonstrate efficiency of the proposed method,
computational results of the proposed approach are compared with classic
approach.
PMID- 26547851
TI - Presence of DNA methyltransferase activity and CpC methylation in Drosophila
melanogaster.
AB - Drosophila melanogaster lacks DNMT1/DNMT3 based methylation machinery. Despite
recent reports confirming the presence of low DNA methylation in Drosophila;
little is known about the methyltransferase. Therefore, in this study, we have
aimed to investigate the possible functioning of DNA methyltransferase in
Drosophila. The 14 K oligo microarray slide was incubated with native cell
extract from adult Drosophila to check the presence of the methyltransferase
activity. After incubation under appropriate conditions, the methylated oligo
sequences were identified by the binding of anti 5-methylcytosine monoclonal
antibody. The antibody bound to the methylated oligos was detected using Cy3
labeled secondary antibody. Methylation sensitive restriction enzyme mediated PCR
was used to assess the methylation at a few selected loci identified on the
array. It could be seen that a few of the total oligos got methylated under the
assay conditions. Analysis of methylated oligo sequences provides evidence for
the presence of de novo methyltransferase activity and allows identification of
its sequence specificity in adult Drosophila. With the help of methylation
sensitive enzymes we could detect presence of CpC methylation in the selected
genomic regions. This study reports presence of an active DNA methyltransferase
in adult Drosophila, which exhibits sequence specificity confirmed by presence of
asymmetric methylation at corresponding sites in the genomic DNA. It also
provides an innovative approach to investigate methylation specificity of a
native methyltransferase.
PMID- 26547852
TI - A Comprehensive Review on L-Asparaginase and Its Applications.
AB - L-asparaginase (LA) catalyzes the degradation of asparagine, an essential amino
acid for leukemic cells, into ammonia and aspartate. Owing to its ability to
inhibit protein biosynthesis in lymphoblasts, LA is used to treat acute
lymphoblastic leukemia (ALL). Different isozymes of this enzyme have been
isolated from a wide range of organisms, including plants and terrestrial and
marine microorganisms. Pieces of information about the three-dimensional
structure of L-asparaginase from Escherichia coli and Erwinia sp. have identified
residues that are essential for catalytic activity. This review catalogues the
major sources of L-asparaginase, the methods of its production through the solid
state (SSF) and submerged (SmF) fermentation, purification, and characterization
as well as its biological roles. In the same breath, this article explores both
the past and present applications of this important enzyme and discusses its
future prospects.
PMID- 26547853
TI - Cloning, Expression, Mutagenesis Library Construction of Glycerol Dehydratase,
and Binding Mode Simulation of Its Reactivase with Ligands.
AB - The production of 1, 3-propanediol (1, 3-PD) and 3-hydroxypropionaldehyde (3-HPA)
by enzyme reaction has been a hot field, and glycerol dehydratase (GDHt) is the
key and rate-limiting enzyme involved in their biosynthesis. The gldABC gene
encoding GDHt was cloned from Klebsiella pneumoniae, and the activity of the
corresponding proteins expressed extracellularly and intracellularly was 6.8 and
3.2 U/mg, respectively, about six and three times higher than that of the wild
strain. The change of amino acids for the beta subunit can adjust the length of
the Co-N bond and affect the homolysis rate of the Co-C bond to change GDHt
activity. The expression plasmid, pET-32a-gldAC (containing no gldB which encodes
the beta subunit of GDHt), was constructed to build the mutagenesis library to
improve the GDHt activity. The binding models of glycerol dehydratase
reactivation factor (GDHtR) with ATP, CTP, or GTP were simulated by semi-flexible
docking, respectively, and there was almost no difference between them. This
research provided the basis for studying the quantitative structure-activity
relationships between GDHtR and its ligands, as well as searching inexpensive
ligands to replace ATP. These results and methods are of great use in economical
and highly efficient production of 3-HPA and 1, 3-PD by the enzyme method.
PMID- 26547854
TI - Nocardia zapadnayensis sp. nov., isolated from soil.
AB - A novel Gram-stain positive, rod-shaped, non-motile and mycolic acid containing
strain, FMN18(T), isolated from soil, was characterised using a polyphasic
approach. The organism showed a combination of morphological, biochemical,
physiological and chemotaxonomic properties that were consistent with its
classification in the genus Nocardia and it formed a phyletic line in the
Nocardia 16S rRNA gene tree. The cell wall contained meso-diaminopimelic acid
(type IV) and whole cell sugars were galactose, glucose, arabinose and ribose.
The predominant menaquinone was MK-8(H4omega-cyclo). The major phospholipids are
diphosphatidylglycerol, phosphatidylglycerol, phosphatidylethanolamine,
phosphatidylinositol and phosphatidylinositol mannosides. Major fatty acids are
C16:0, 10-methyl C18:0 (TBSA), C18:1 cis9 and C16:1 trans9. These chemotaxonomic
traits are in good agreement with those known for representatives of the genus
Nocardia. The phylogenetic analysis based on the 16S rRNA gene sequence of strain
FMN18(T) showed it to be closely related to Nocardia grenadensis GW5-5797(T)
(99.2 %), Nocardia speluncae N2-11(T) (99.1 %), Nocardia jinanensis 04-5195(T)
(99.0 %) and Nocardia rhamnosiphila 202GMO(T) (98.3 %). The phylogenetic analysis
based on the gyrB gene sequence of strain FMN18(T) showed it to be closely
related to N. rhamnosiphila 202GMO(T) (99.0 %), N. grenadensis DSM 45869(T) (96.6
%), N. jinanensis DSM 45048(T) (93.1 %), N. carnea IFM 0237(T) (89.7 %) and N.
speluncae DSM 45078(T) (89.1 %). A combination of DNA-DNA hybridization results
and phenotypic properties demonstrated that strain FMN18(T) was clearly
distinguished from all closely related Nocardia species. It is proposed that the
organism be classified as representing a novel species of the genus Nocardia, for
which the name Nocardia zapadnayensis (type strain FMN18(T) = DSM 45872(T) = KCTC
29234(T)) is proposed.
PMID- 26547855
TI - Age-Related Changes in Hepatic Function: An Update on Implications for Drug
Therapy.
AB - The accumulation of deficits with increasing age results in a decline in the
functional capacity of multiple organs and systems. These changes can have a
significant influence on the pharmacokinetics and pharmacodynamics of prescribed
drugs. Although alterations in body composition and worsening renal clearance are
important considerations, for most drugs the liver has the greatest effect on
metabolism. Age-related change in hepatic function thereby causes much of the
variability in older people's responses to medication. In this review, we propose
that a decline in the ability of the liver to inactivate toxins may contribute to
a proinflammatory state in which frailty can develop. Since inflammation also
downregulates drug metabolism, medication prescribed to frail older people in
accordance with disease-specific guidelines may undergo reduced systemic
clearance, leading to adverse drug reactions, further functional decline and
increasing polypharmacy, exacerbating rather than ameliorating frailty status. We
also describe how increasing chronological age and frailty status impact liver
size, blood flow and protein binding and enzymes of drug metabolism. This is used
to contextualise our discussion of appropriate prescribing practices. For
example, while the general axiom of 'start low, go slow' should underpin the
initiation of medication (titrating to a defined therapeutic goal), it is
important to consider whether drug clearance is flow or capacity-limited. By
summarising the effect of age-related changes in hepatic function on medications
commonly used in older people, we aim to provide a guide that will have high
clinical utility for practising geriatricians.
PMID- 26547856
TI - The Effect of Melatonin on Benzodiazepine Discontinuation and Sleep Quality in
Adults Attempting to Discontinue Benzodiazepines: A Systematic Review and Meta
Analysis.
AB - BACKGROUND: Abrupt discontinuation of benzodiazepines often results in side
effects including anxiety and insomnia, which can be barriers to discontinuation
among long-term users. Melatonin improves the onset, duration, and quality of
sleep. By preventing insomnia in those attempting to discontinue benzodiazepines,
melatonin may facilitate benzodiazepine discontinuation. OBJECTIVES: The primary
objective was to determine the effect of melatonin compared with placebo on
benzodiazepine discontinuation in adults attempting to discontinue
benzodiazepines. The secondary objective was to determine the effect of melatonin
on sleep quality in this population. METHODS: We searched PubMed, MEDLINE,
EMBASE, PsychINFO, and ClinicalTrials.gov from inception to November 2014. We
included randomized controlled trials published in English comparing melatonin
with placebo that reported benzodiazepine discontinuation or sleep quality. Two
reviewers independently screened trials, extracted data, and assessed the risk of
bias. RESULTS: We included six trials randomizing 322 participants. The mean age
of participants was approximately 64 years. The trials used varied tapering
strategies to discontinue benzodiazepines over 4-10 weeks while using melatonin.
Melatonin had no effect on the odds of successfully discontinuing benzodiazepines
(odds ratio 0.72, 95% confidence interval 0.21-2.41, p = 0.59). There was
important heterogeneity among the trials (I (2) = 76%). The effect of melatonin
on sleep quality was inconsistent. CONCLUSIONS: Melatonin had no effect on
benzodiazepine discontinuation while the effect of melatonin on sleep quality was
inconsistent. We cannot rule out a role of melatonin in improving benzodiazepine
discontinuation or sleep quality owing to imprecise effect estimates. Larger,
well-designed, and reported randomized controlled trials may provide more valid
and precise estimates of the effect of melatonin on these outcomes.
PMID- 26547857
TI - Effects of Depression and Serotonergic Antidepressants on Bone: Mechanisms and
Implications for the Treatment of Depression.
AB - Osteoporosis is a chronic skeletal disease marked by microarchitectural
deterioration of the bone matrix and depletion of bone mineral density (BMD),
with a consequent increased risk for fragility fractures. It has been frequently
associated with depression, which is also a chronic and debilitating disorder
with high prevalence. Selective serotonin reuptake inhibitors (SSRIs), first-line
agents in the pharmacological treatment of mood and anxiety disorders, have also
been shown to negatively affect bone metabolism. SSRIs are the most prescribed
antidepressants worldwide and a large number of persons at risk of developing
osteoporosis, including older patients, will receive these antidepressants.
Therefore, a proper musculoskeletal evaluation of individuals who are being
targeted for or using SSRIs is a priority. The aim of this article is to review
the evidence regarding the effects of depression and serotonergic antidepressants
on bone and its implications for clinical care.
PMID- 26547858
TI - Testicular acid phosphatase induces odontoblast differentiation and
mineralization.
AB - Odontoblasts differentiate from dental mesenchyme during dentin formation and
mineralization. However, the molecular mechanisms controlling odontoblast
differentiation remain poorly understood. Here, we show that expression of
testicular acid phosphatase (ACPT) is restricted in the early stage of
odontoblast differentiation in proliferating dental mesenchymal cells and
secretory odontoblasts. ACPT is expressed earlier than tissue-nonspecific
alkaline phosphatase (TNAP) and partly overlaps with TNAP in differentiating
odontoblasts. In MDPC-23 odontoblastic cells, expression of ACPT appears
simultaneously with a decrease in beta-catenin activity and is abolished with the
expression of Phex and Dsp. Knockdown of ACPT in MDPC-23 cells stimulates cell
proliferation together with an increase in active beta-catenin and cyclin D1. In
contrast, the overexpression of ACPT suppresses cell proliferation with a
decrease in active beta-catenin and cyclin D1. Expression of TNAP, Osx, Phex and
Dsp is reduced by knockdown of ACPT but is enhanced by ACPT overexpression. When
ACPT is blocked with IgG, alkaline phosphatase activity is inhibited but cell
proliferation is unchanged regardless of ACPT expression. These findings suggest
that ACPT inhibits cell proliferation through beta-catenin-mediated signaling in
dental mesenchyme but elicits odontoblast differentiation and mineralization by
supplying phosphate during dentin formation. Thus, ACPT might be a novel
candidate for inducing odontoblast differentiation and mineralization for dentin
regeneration.
PMID- 26547859
TI - Osteogenic stimulation of human adipose-derived stem cells by pre-treatment with
fibroblast growth factor 2.
AB - Although adipose-derived stem cells (ADSCs) have many advantageous traits
compared with other postnatal stem cells, the consensus is that their
differentiation potential must be improved to allow their practical utilization.
During the in vitro expansion of human ADSCs (hADSCs), pre-treatment of
fibroblast growth factor 2 (FGF2) not only induced an increase of approximately
44-fold in cell number at passage 7 but also augmented the differentiation
potential of hADSCs. The effect of FGF2-induced cell preconditioning was
evaluated by in vitro and in vivo osteogenesis after pre-treatment with various
concentrations of FGF2 (0, 5, 25 ng/ml). FGF2-pre-treated hADSCs showed enhanced
in vitro osteogenesis. An evaluation of in vivo osteogenic potential with an
ectopic bone model showed that FGF2-preconditioned hADSCs produced an abundant
osteoid/bone matrix and the effect was dependent on the concentration of FGF2 pre
treatment; bone matrix formation by control hADSCs was virtually non-existent.
FGF2-pre-treated hADSCs also showed enhanced in vitro chondrogenesis, whereas no
significant difference was observed in adipogenic potential. Pre-treatment of
hADSCs with FGF2 induced an increase in the expression of osteogenic markers such
as Cbfa1/Runx2 and alkaline phosphatase and in the expression of beta-catenin.
These results suggest that FGF2 plays a highly beneficial role in the
preconditioning of ADSCs for musculoskeletal tissue engineering.
PMID- 26547860
TI - Predictors of Venous Thromboembolism in Patients with Glioblastoma.
AB - To evaluate different risk factors associated with development of venous
thromboembolism (VTE) in patients with Glioblastoma (GBM). A retrospective chart
review was performed to include patients diagnosed with GBM from 2001 to 2011.
Cases (n = 162) were defined as patients with GBM who developed VTE after
diagnosis of GBM. Controls (n = 840) were defined as patients with GBM with no
history of VTE. Data was collected for multiple variables including age, gender,
race, length of hospital stay after brain biopsy, total number of hospital
admissions unrelated to VTE, Karnofsky Performance Status (KPS), use of
Bevacizumab and any bleeding episodes. Patients with GBM who had VTE had poorer
KPS scores, with the majority (57%) being in between 40 and 70, as compared to
the controls where majority (82%) had better performance (KPS 80-100). For every
one year increase in age, the odds of developing VTE increased by 3% (OR 1.03,
95%CI 1.02-1.04, p < 0.001) with the mean age being 61.8 +/- 11.4 years. GBM
patients who developed a VTE were found to have greater number of hospital
admissions (OR 1.43, 95%CI 1.33-1.53, p < 0.001) and longer stays in hospital
after GBM biopsy (OR 1.14, 95%CI 1.09-1.18, p < 0.001). Patients receiving
Bevacizumab were more likely to develop VTE (OR 1.79, 95%CI 1.21-2.64, p < 0.001)
and were more likely to have a bleed (OR 3.78, 95% CI 2.70-5.30, p < 0.001).
Patients with GBM are at a higher risk of developing VTE. The risk is higher in
older patients who require multiple hospital admissions, longer duration of
hospital stays related to GBM biopsy, and in patients with lower KPS scores.
Bevacizumab use is related to a higher incidence of VTE as well as bleeds. This
study suggests that a more aggressive strategy for VTE prophylaxis should be
considered in GBM patients with risk factors for VTE.
PMID- 26547861
TI - ATG16L1 T300A Polymorphism is Correlated with Gastric Cancer Susceptibility.
AB - Gastric cancer is a major leading cause of cancer-related death in both sexes in
Europe. The role of autophagy process in carcinogenesis remains unclear and there
is increasing evidence that Helicobacter pylori is a key player in modulating
autophagy in gastric carcinogenesis. The aim of this study was to assess the
potential association of ATG16L1 T300A polymorphism with susceptibility of
gastric cancer, and further to analyze the expression profile of ATG16L1 gene in
paired tumoral and peritumoral gastric tissue. A total of 108 patients diagnosed
with gastric cancer and 242 healthy controls were enrolled. ATG16L1 T300A
polymorphism was detected using TaqMan genotyping assay containing primers and
specific probes for A and G allele, respectively. ATG16L1 mRNA level was
evaluated in 34 paired tumoral and peritumoral tissues using qRT-PCR. We found a
significant association for both carriers of AG (OR 0.52, 95% CI: 0.30-0.91, p =
0.02) and GG genotype (OR 0.53, 95% CI: 0.28-0.98, p = 0.043), these were at a
lower risk for gastric cancer when compared with the wild-type AA genotype. The
strongest association was observed in a dominant model, the carriers of G allele
were protected against gastric cancer (OR 0.52, 95% CI: 0.13-0.88, p = 0.013). In
a stratified analyse, the association was limited to non-cardia type and
intestinal type. ATG16L1 gene expression was detected in both tumor and
peritumoral tissues, with the mRNA-ATG16L1 levels significantly higher in tumor
sample. Our results suggest that ATG16L1 T300A polymorphism may be associated
with gastric carcinogenesis.
PMID- 26547862
TI - Treatment of colonic transplantation tumor-bearing mice with a high-dose aspirin
in a short period of time.
PMID- 26547863
TI - Sacral malformations: use of imaging to optimise sacral nerve stimulation.
AB - INTRODUCTION: The success of sacral nerve stimulation, a common treatment for
pelvic floor disorders, depends on correct placement of the electrodes through
the sacral foramina. When the bony anatomy and topography of the sacrum and
sacral spinal nerves are intact, this is easily achieved; where sacral anomalies
exist, it can be challenging. A better understanding of common sacral
malformations can improve the success of sacral nerve stimulation (SNS) electrode
placement. MATERIAL AND METHODS: We reviewed 998 consecutive MRI scans performed
to investigate low back pain in patients who had undergone CT and/or X-ray.
RESULTS: Congenital sacral malformations were found in 24.1%, the most common
being sacral meningeal cysts (16%) and spina bifida occulta (9.9%). Others were
lumbosacral transitional vertebrae (2.5%), anterior occult meningocele (0.5%),
partial sacral agenesis (0.2%) and vertebral dysplasia of S1 (0.2%). CONCLUSION:
This radiologic review uncovered a high incidence of sacral malformations, and
most were asymptomatic. All surgeons who perform SNS should have a basic
understanding of sacral malformations, their incidence and effect on foraminal
anatomy. Imaging will aid procedural planning.
PMID- 26547864
TI - Idiopathic erythrocytosis: a study of a large cohort with a long follow-up.
AB - Idiopathic erythrocytosis (IE) is an absolute erythrocytosis with no known cause,
diagnosed by exclusion of primary and secondary erythrocytosis. Familial
erythrocytosis (FE) is a rare disease and as the rare patients with JAK2-wild
type polycythemia vera (PV) may be misdiagnosed as IE. We compared 78 patients
with IE, 21 with FE and 136 with PV in the effort to identify simple features
capable of discriminating between them. FE patients were younger at diagnosis
either than IE and PV (p < 0.001); IE and FE had lower WBC, platelet counts and
higher serum EPO levels, and had splenomegaly and thrombotic events less
frequently than PV patients. Phlebotomies to obtain a haematocrit lower than 45 %
induce platelet count increase in 70 % of PV but not in IE. Mainly in men, normal
spleen, normal platelet counts and no history of thrombosis at diagnosis argue
against PV; diagnosis of IE could be supported by means of a cycle of venesection
to see how it affects their platelet count. No simple data capable of
distinguishing between IE and FE were identified; therefore, a case of sporadic
erythrocytosis in a young patient should be investigated as a possible genetic
cause.
PMID- 26547865
TI - Is an early retirement offer good for your health? Quasi-experimental evidence
from the army.
AB - This paper studies empirically the consequences on health of an early retirement
offer. To this end we use a targeted retirement offer to military officers 55
years of age or older. Before the offer was implemented, the normal retirement
age in the Swedish defense was 60 years of age. Estimating the effect of the
offer on individuals' health within the age range 56-70, we find support for a
reduction in both mortality and in inpatient care as a consequence of the early
retirement offer. Increasing the mandatory retirement age may thus not only have
positive government income effects but also negative effects on increasing
government health care expenditures.
PMID- 26547866
TI - Medication Adherence Following Acute Coronary Syndrome: Does One Size Fit All?
AB - Guideline-based management of acute coronary syndrome (ACS) is well established,
yet some may challenge that strict implementation of guideline recommendations
can limit the individualization of therapy. The use of all recommended
medications following ACS places a high burden of responsibility and cost on
patients, particularly when these medications have not been previously
prescribed. Without close attention to avoiding non-adherence to these
medications, the full benefits of the guideline recommendations will not be
realized in many patients. Using a case example, we discuss how the recognition
of adherence barriers can be an effective and efficient process for identifying
patients at risk of non-adherence following ACS. For those identified as at risk,
the World Health Organization's model of adherence barriers is explored as a
potentially useful tool to assist with individualization of therapy and promotion
of adherence.
PMID- 26547867
TI - Theta burst stimulation improves overt visual search in spatial neglect
independently of attentional load.
AB - Visual neglect is considerably exacerbated by increases in visual attentional
load. These detrimental effects of attentional load are hypothesised to be
dependent on an interplay between dysfunctional inter-hemispheric inhibitory
dynamics and load-related modulation of activity in cortical areas such as the
posterior parietal cortex (PPC). Continuous Theta Burst Stimulation (cTBS) over
the contralesional PPC reduces neglect severity. It is unknown, however, whether
such positive effects also operate in the presence of the detrimental effects of
heightened attentional load. Here, we examined the effects of cTBS on neglect
severity in overt visual search (i.e., with eye movements), as a function of high
and low visual attentional load conditions. Performance was assessed on the basis
of target detection rates and eye movements, in a computerised visual search task
and in two paper-pencil tasks. cTBS significantly ameliorated target detection
performance, independently of attentional load. These ameliorative effects were
significantly larger in the high than the low load condition, thereby equating
target detection across both conditions. Eye movement analyses revealed that the
improvements were mediated by a redeployment of visual fixations to the
contralesional visual field. These findings represent a substantive advance,
because cTBS led to an unprecedented amelioration of overt search efficiency that
was independent of visual attentional load.
PMID- 26547868
TI - [Mass gatherings: A new public health challenge in Spain].
PMID- 26547869
TI - In vivo precision of conventional and digital methods for obtaining quadrant
dental impressions.
AB - OBJECTIVES: Quadrant impressions are commonly used as alternative to full-arch
impressions. Digital impression systems provide the ability to take these
impressions very quickly; however, few studies have investigated the accuracy of
the technique in vivo. The aim of this study is to assess the precision of
digital quadrant impressions in vivo in comparison to conventional impression
techniques. MATERIALS AND METHODS: Impressions were obtained via two conventional
(metal full-arch tray, CI, and triple tray, T-Tray) and seven digital impression
systems (Lava True Definition Scanner, T-Def; Lava Chairside Oral Scanner, COS;
Cadent iTero, ITE; 3Shape Trios, TRI; 3Shape Trios Color, TRC; CEREC Bluecam,
Software 4.0, BC4.0; CEREC Bluecam, Software 4.2, BC4.2; and CEREC Omnicam, OC).
Impressions were taken three times for each of five subjects (n = 15). The
impressions were then superimposed within the test groups. Differences from model
surfaces were measured using a normal surface distance method. Precision was
calculated using the Perc90_10 value. The values for all test groups were
statistically compared. RESULTS: The precision ranged from 18.8 (CI) to 58.5 MUm
(T-Tray), with the highest precision in the CI, T-Def, BC4.0, TRC, and TRI
groups. The deviation pattern varied distinctly depending on the impression
method. Impression systems with single-shot capture exhibited greater deviations
at the tooth surface whereas high-frame rate impression systems differed more in
gingival areas. Triple tray impressions displayed higher local deviation at the
occlusal contact areas of upper and lower jaw. CONCLUSIONS: Digital quadrant
impression methods achieve a level of precision, comparable to conventional
impression techniques. However, there are significant differences in terms of
absolute values and deviation pattern. CLINICAL RELEVANCE: With all tested
digital impression systems, time efficient capturing of quadrant impressions is
possible. The clinical precision of digital quadrant impression models is
sufficient to cover a broad variety of restorative indications. Yet the precision
differs significantly between the digital impression systems.
PMID- 26547870
TI - Influence of surface treatment on the in-vitro fracture resistance of zirconia
based all-ceramic anterior crowns.
AB - OBJECTIVES: The purpose of this study was to assess the effect of surface
treatment on the fracture resistance of zirconia-based all-ceramic anterior
crowns. METHODS: Sixty-four zirconia-based all-ceramic anterior crowns, veneered
by use of a press-on technique, were produced. For 48 crowns intraoral adjustment
was simulated (A-group), 16 crowns remained unadjusted (WA-group). The adjusted
area was then treated in three ways: 1. no further surface treatment; 2.
polishing, with irrigation, using polishers interspersed with diamond grit for
ceramics; and 3. polishing and glaze firing. Half of the specimens were loaded
until fracture in an universal testing device without artificial ageing; the
other crowns underwent thermocycling and chewing simulation before ultimate-load
testing. Explorative statistical analysis was performed by use of non-parametric
and parametric tests. In addition, fracture-strength tests according to ISO 6872
were performed for veneer ceramic subjected to the different surface treatments.
Finite element analysis was also conducted for the crowns, and surface roughness
was measured. RESULTS: Crowns in the A-group were more sensitive to aging than
crowns in the WA-group (p=0.038). Although both polishing and glaze firing
slightly improved the fracture resistance of the specimens, the fracture
resistance in the WA-group (initial fracture resistance (IFR): 652.0 +/- 107.7N,
remaining fracture resistance after aging (RFR): 560.6 +/- 233.3N) was higher
than the fracture resistance in the A-group (polished: IFR: 477.9 +/- 108.8N,
RFR: 386.0 +/- 218.5N; glaze firing: IFR: 535.5 +/- 128.0N, RFR: 388.6 +/-
202.2N). Surface roughness without adjustment was Ra=0.1 MUm; for adjustment but
without further treatment it was Ra=1.4 MUm; for adjustment and polishing it was
Ra=0.3 MUm; and for adjustment, polishing, and glazing it was Ra=0.6 MUm. Stress
distributions obtained by finite element analysis in combination with fracture
strength tests showed that fractures most probably originated from the occlusal
surface. SIGNIFICANCE: To improve fracture resistance and reduce the incidence of
failure, extensive occlusal adjustment of veneered anterior zirconia restorations
should be avoided. Neither polishing nor glazing could restore the fracture
resistance to the level maintained with unadjusted crowns.
PMID- 26547871
TI - Non-invasive ventilation in the treatment of acute and chronic exacerbated
respiratory failure: What to expect outside the critical care units?
PMID- 26547872
TI - Growth inhibition and possible mechanism of oleamide against the toxin-producing
cyanobacterium Microcystis aeruginosa NIES-843.
AB - Oleamide, a fatty acid derivative, shows inhibitory effect against the bloom
forming cyanobacterium Microcystis aeruginosa. The EC50 of oleamide on the growth
of M. aeruginosa NIES-843 was 8.60 +/- 1.20 mg/L. In order to elucidate the
possible mechanism of toxicity of oleamide against M. aeruginosa, chlorophyll
fluorescence transient, cellular ultrastructure, fatty acids composition and the
transcription of the mcyB gene involved in microcystins synthesis were studied.
The results of chlorophyll fluorescence transient showed that oleamide could
destruct the electron accepting side of the photosystem II of M. aeruginosa NIES
843. Cellular ultrastructure examination indicated that the destruction of fatty
acid constituents, the distortion of thylakoid membrane and the loss of integrity
of cell membrane were associated with oleamide treatment and concentration. The
damage of cellular membrane increased the release of microcystins from intact
cells into the medium. Results presented in this study provide new information on
the possible mechanisms involved and potential utilization of oleamide as an
algicide in cyanobacterial bloom control.
PMID- 26547873
TI - Biological properties of extremely acidic cyanide-laced mining waste.
AB - With respect to acidic, cyanide-laced tailings, the data about in situ toxicity
and biological activity in highly polluted environment are often lacking. The aim
of this study was to assess the microbial characteristics, composition of
oribatid mite species, and level of genotoxic impact on plants in the area of
inactive tailings pond (Horna Ves, Kremnica region). Sampling of the tailings,
soils and selected plant species was carried out in spring of 2012. Trace element
analysis (inductively coupled plasma emission and mass spectrometry) showed that
concentration of Pb, Zn, and Cu in the tailings is approximately in thousands of
ppm (mg kg(-1)). Amount of lead exceeded 16,000 mg kg(-1), which is perceived as
the biggest threat with respect to possible toxicity. The risk is accentuated by
extremely acidic pH of the tailings material which approached 2. In such
conditions great mobility of (divalent) heavy metal cations is expected. The
total cyanide concentration in the tailings was 472 mg kg(-1). Results of
performed tests and measurements suggest that microbial activity at the tailings
site (and its close environment) is hampered markedly. In the sludge material we
detected low abundance of soil bacteria (2.08 * 10(4) CFU) and predominance of
slowly growing K-strategists. On the other hand, the content of microbial C in
the sludge sample was not too low, considering its extreme acidity and high
amount of risk elements. In the same sample, just one mite species, Oppiella (O.)
uliginosa (Willmann 1919), was identified. Also in case of the dam site the
abundance of mites was considerably lower in comparison to reference sample.
Values of Oribatida abundance were in positive correlation with values of
microbial biomass carbon. Results of the pollen grain abortivity test, applied in
situ on chosen plant species, indicated substantial presence of genotoxicity in
the environment. Total induction index of tailings pond reached 3.59(+/-2.4)
which expresses also total load of locality, comparing to natural biotope. In
case of the technogenic sediment, the value was more than three times higher.
PMID- 26547874
TI - The use of biotic and abiotic components of Red Sea coastal areas as indicators
of ecosystem health.
AB - A biomonitoring study was conducted using some biotic (Pomadasys hasta and
Lutjanus russellii fish) and abiotic (water and sediment) components of the Red
Sea coast of Hodeida, Yemen Republic along two polluted sites (Al-Dawar beach and
Urj village) in comparison to a reference site (Al-Nukhailah beach). The studied
fish biomarkers included hepatosomatic index (HSI), condition factor (K), scaled
mass index (SMI), catalase, glutathione-S-transferase (GST), malondialdehyde
(MDA), total protein and albumin. In addition, metals (Fe, Cu, Zn, Pb and Cd)
concentrations in water and sediment were measured and sediment pollution
assessment was carried out using contamination factor (CF), geoaccumulation index
(Igeo), pollution load index (PLI) and enrichment factor (EF). The studied metals
concentration in water and sediment samples showed significant increase among the
polluted sites in comparison to the reference site. Sediment pollution assessment
generally confirmed that Urj village was the most contaminated site followed by
Al-Dawar beach. Catalase, GST and MDA proved to be the most responsive biomarkers
with increased values of GST and MDA at sites influenced by agricultural, urban
and industrial activities while catalase, HSI, K, SMI, total protein and albumin
showed the opposite trend. This study recommends monitoring of sediment Igeo and
EF values as well as SMI, catalase, GST and MDA as sensitive indicators of
different anthropogenic activities and their effects on aquatic ecosystems under
complex and different gradients of metal pollution. In addition, P. hasta proved
to be more sensitive towards the detected pollution condition.
PMID- 26547875
TI - A TME study with the fungicide pyrimethanil combined with different moisture
regimes: effects on enchytraeids.
AB - Today's ecosystems are influenced by different factors that could evolve into
stressors. Effects of pesticides, especially in agricultural areas, may interact
with environmental factors, such as soil moisture fluctuation caused by global
climate change. In this contribution, two semi-field studies conducted in Germany
and Portugal with terrestrial model ecosystems are presented. Their aim was to
assess the effects of the fungicide pyrimethanil under different soil moisture
levels on Enchytraeidae. In Portugal a no observed effect concentration design
was chosen, using two concentration levels: the maximum application rate (MAR)
according to the safe use registration within the European Union and five times
the MAR (1.82 and 9.09 mg/kg dry soil, respectively). Both concentrations did
neither affect the total enchytraeid abundance nor single populations. In Germany
an ECx design (effect concentration) was conducted, using 11 concentrations. In
general, 14 EC50 values for different combinations of single species, moisture
level and sampling date were determined. The strongest effects were found in dry
soil, particularly for Fridericia connata (EC50: 3.48 mg/kg dry soil after 8
weeks of exposure). The advantages and challenges of these test designs are
discussed with regard to the registration process of pesticides in the European
Union. In any case, enchytraeids are suitable test organisms in such higher tier
studies for the combined evaluation of chemical and climatic stressors due to
their usually high diversity and abundances and their close contact with the soil
solution.
PMID- 26547876
TI - Role of transpiration and metabolism in translocation and accumulation of cadmium
in tobacco plants (Nicotiana tabacum L.).
AB - Tobacco plants grown in pots and in hydroponic culture accumulated cadmium (Cd)
particularly: the Cd content of tobacco leaves exceeded 100 mg/kg and the
enrichment factor (the ratio of Cd in leaves to that in soil) was more than 4.
These high levels of accumulation identify tobacco as a hyperaccumulator of Cd.
Two transpiration inhibitors (paraffin or CaCl2) and shade decreased the Cd
content of tobacco leaves, and the decrease showed a linear relationship with the
leaf transpiration rate. A metabolism inhibitor, namely 2,4-dinitrophenol (DNP),
and low temperature (4 degrees C) also lowered the Cd content of tobacco leaves,
but the inhibitory effect of low temperature was greater. In the half number of
leaves that were shaded, the Cd content decreased to 26.5% of that in leaves that
were not shaded in the same tobacco plants. These results suggests that
translocation of Cd from the medium to the leaves is driven by the symplastic and
the apoplastic pathways. Probably, of the two crucial steps in the translocation
of Cd in tobacco plants, one, namely uptake from the medium to the xylem, is
energy-dependent whereas the other, namely the transfer from the xylem to the
leaves, is driven mainly by transpiration.
PMID- 26547877
TI - New insights into the risk of phthalates: Inhibition of UDP
glucuronosyltransferases.
AB - Wide utilization of phthalates-containing products results in the significant
exposure of humans to these compounds. Many adverse effects of phthalates have
been documented in rodent models, but their effects in humans exposed to these
chemicals remain unclear until more mechanistic studies on phthalate toxicities
can be carried out. To provide new insights to predict the potential adverse
effects of phthalates in humans, the recent study investigated the inhibition of
representative phthalates di-n-octyl ortho-phthalate (DNOP) and diphenyl
phthalate (DPhP) towards the important xenobiotic and endobiotic-metabolizing UDP
glucuronosyltransferases (UGTs). An in vitro UGTs incubation system was employed
to study the inhibition of DNOP and DPhP towards UGT isoforms. DPhP and DNOP
weakly inhibited the activities of UGT1A1, UGT1A7, and UGT1A8. 100 uM of DNOP
inhibited the activities of UGT1A3, UGT1A9, and UGT2B7 by 41.8% (p < 0.01), 45.6%
(p < 0.01), and 48.8% (p < 0.01), respectively. 100 uM of DPhP inhibited the
activity of UGT1A3, UGT1A6, and UGT1A9 by 81.8 (p < 0.001), 49.1% (p < 0.05), and
76.4% (p < 0.001), respectively. In silico analysis was used to explain the
stronger inhibition of DPhP than DNOP towards UGT1A3 activity. Kinetics studies
were carried our to determine mechanism of inhibition of UGT1A3 by DPhP. Both
Dixon and Lineweaver-Burk plots showed the competitive inhibition of DPhP towards
UGT1A3. The inhibition kinetic parameter (Ki) was calculated to be 0.89 uM. Based
on the [I]/Ki standard ([I]/Ki < 0.1, low possibility; 1>[I]/Ki > 0.1, medium
possibility; [I]/Ki > 1, high possibility), these studies predicted in vivo drug
drug interaction might occur when the plasma concentration of DPhP was above
0.089 uM. Taken together, this study reveales the potential for adverse effects
of phthalates DNOP and DPhP as a result of UGT inhibition.
PMID- 26547878
TI - Cu and Zn adsorption to a heterogeneous natural sediment: Influence of leached
cations and natural organic matter.
AB - Adsorption of heavy metals by natural sediments has important implications to the
fate and transport of contaminants in subsurface environments. Although the
importance of major multivalent cations and dissolved organic matter (DOM) in
heavy metal adsorption had been previously demonstrated, the leaching of major
cations and DOM from sediments and its influence on heavy metal adsorption have
not been fully examined. In this study, the concentrations of Ca, Mg, Al, Fe, and
natural organic matter that leached from a natural sediment in Cu and Zn
adsorption experiments were measured and used in surface complexation models to
elucidate their effects on Cu and Zn adsorption. Experimental results showed that
the leaching of cations and DOM was substantial and pH-dependent. The leached
concentrations of Ca and Mg were reasonably simulated based on BaCl2 extractable
Ca and Mg at pH < 5, and Al and Fe activities were accurately predicted for
specific pH ranges by assuming solubility control by Al(OH)3 and Fe(OH)3. Visual
MINTEQ simulations showed that the leached cations markedly decreased Cu
adsorption at pH < 6 and Zn adsorption at pH 3-8. Due to varying affinity for DOM
between Cu and Zn, DOM was found to decrease Cu adsorption at pH > 6 due to
formation of Cu-DOM aqueous complexes, but increase Zn adsorption at pH 4-7 due
to formation of aqueous complexes between DOM and major cations, which reduced
competition from these cations against Zn for binding sites on the sediment.
PMID- 26547879
TI - Assessment of metal concentrations in indigenous and caged mussels (Mytilus
galloprovincialis) on entire Turkish coastline.
AB - This survey was conducted to determine metal concentrations in the biomonitor
organism Mytilus galloprovincialis collected from all coasts of Turkey. Naturally
occurring mussels were gathered along the coast of the Black Sea, Sea of Marmara,
Bosphorus and Aegean Sea, while a 6-month transplantation session was implemented
on the Levantine Sea coast where M. galloprovincialis does not adapt naturally.
Mussels collected from the Izmir area were employed for transplantation to five
locations along the Levantine Sea coast of Turkey. Concentrations of Ag, Al, As,
Cd, Co, Cr, Cu, Fe, K, Mn, Ni, Pb, Sn, V, and Zn were measured using ICP-MS
(Inductively Coupled Plasma-Mass Spectrometry). Results showed that
concentrations of some essential elements (Co, Fe, K, Mn, Zn) generally fell
within the range of acceptable global values. However, at some sampling sites the
metal concentrations were found at higher levels compared to the world average
and recommended limits. For instance, very high Ag and Cu concentrations were
observed in mussel caged at Yumurtalik. Elevated As, Ni, Pb, and V concentrations
were observed at the Tirebolu, Eregli, Eskihisar, and Tekirdag locations,
respectively. Taking into account all measured metals, it can be inferred that
Yumurtalik is the most metal-contaminated locality. The results highlighted metal
specific pollution at coastal areas in each sea cover Turkey. In spite of the
high metal levels estimated daily intakes (EDI) of the measured elements were
well below through very low mussel consumption by Turkish public.
PMID- 26547880
TI - Impact of anionic ion exchange resins on NOM fractions: Effect on N-DBPs and C
DBPs precursors.
AB - The formation potential of carbonaceous and nitrogenous disinfection by-products
(C-DBPs, N-DBPs) after ion exchange treatment (IEX) of three different water
types in multiple consecutive loading cycles was investigated. Liquid
chromatography with organic carbon detector (LC-OCD) was employed to gauge the
impact of IEX on different natural organic matter (NOM) fractions and data
obtained were used to correlate these changes to DBPs Formation Potential (FP)
under chlorination. Humic (-like) substances fractions of NOM were mainly
targeted by ion exchange resins (40-67% removal), whereas hydrophilic, non-ionic
fractions such as neutrals and building blocks were poorly removed during the
treatment (12-33% removal). Application of ion exchange resins removed 13-20% of
total carbonaceous DBPs FP and 3-50% of total nitrogenous DBPs FP. Effect of the
inorganic nitrogen (i.e., Nitrate) presence on N-DBPs FP was insignificant while
the presence of dissolved organic nitrogen (DON) was found to be a key parameter
affecting the formation of N-DBPs. DON especially the portion affiliated with
humic substances fraction, was reduced effectively (~77%) as a result of IEX
treatment.
PMID- 26547881
TI - Motor dysfunction within the schizophrenia-spectrum: A dimensional step towards
an underappreciated domain.
AB - At the beginning of the 20th century, genuine motor abnormalities (GMA) were
considered to be intricately linked to schizophrenia. Subsequently, however, GMA
have been increasingly regarded as unspecific transdiagnostic phenomena or
related to side effects of antipsychotic treatment. Despite possible medication
confounds, within the schizophrenia spectrum GMA have been categorized into three
broad categories, i.e. neurological soft signs, abnormal involuntary movements
and catatonia. Schizophrenia patients show a substantial overlap across a broad
range of distinct motor signs and symptoms suggesting a prominent involvement of
the motor system in disease pathophysiology. There have been several attempts to
increase reliability and validity in diagnosing schizophrenia based on behavior
and neurobiology, yet relatively little attention has been paid to the motor
domain in the past. Nevertheless, accumulating neuroscientific evidence suggests
the possibility of a motor endophenotype in schizophrenia, and that GMA could
represent a specific dimension within the schizophrenia-spectrum. Here, we review
current neuroimaging research on GMA in schizophrenia with an emphasis on
distinct and common mechanisms of brain dysfunction. Based on a dimensional
approach we show that multimodal neuroimaging combined with fine-grained clinical
examination can result in a comprehensive characterization of structural and
functional brain changes that are presumed to underlie core GMA in schizophrenia.
We discuss the possibility of a distinct motor domain, together with its
implications for future research. Investigating GMA by means of multimodal
neuroimaging can essentially contribute at identifying novel and biologically
reliable phenotypes in psychiatry.
PMID- 26547882
TI - Ketogenic diet reverses behavioral abnormalities in an acute NMDA receptor
hypofunction model of schizophrenia.
PMID- 26547883
TI - Caring for dying cancer patients in the Chinese cultural context: A qualitative
study from the perspectives of physicians and nurses.
AB - OBJECTIVE: To explore the experiences of Chinese physicians and nurses who care
for dying cancer patients in their practical work. METHOD: This was a qualitative
study using semi-structured face-to-face interviews. Fifteen physicians and 22
nurses were recruited from a cancer center in mainland China. The data were
analyzed by qualitative thematic analysis. RESULTS: Disclosure of information on
death and cancer to dying cancer patients is taboo in traditional Chinese
culture, which greatly decreases the physicians' and nurses' effective
communication with dying patients in end-of-life (EOL) care. Both physicians and
nurses described strong ambitions to give dying cancer patients high-quality
care, and they emphasized the importance of maintaining dying patients' hopes in
the death-denying cultural context. However, the nurses were more concerned with
dying patients' physical comfort and wish fulfillment, while the physicians
placed greatest emphasis on patients' rights and symptom management. Both
physicians and nurses suffered whilst also benefitting from taking care of dying
patients which helped with their personal growth and allowed greater insight into
themselves and their clinical practice. Our results also indicated that Chinese
physicians and nurses require improved methods of communication on EOL care, as
well as needing more support to provide quality EOL care. CONCLUSION: Chinese
physicians and nurses experience a challenge when caring for dying cancer
patients in the Chinese cultural context. Flexible and specific education and
training in EOL cancer care are required to meet the needs of Chinese physicians
and nurses at the cancer center studied.
PMID- 26547884
TI - Identification of specific antinuclear antibodies in dogs using a line
immunoassay and enzyme-linked immunosorbent assay.
AB - Circulating antinuclear antibodies (ANA) are commonly present in the systemic
autoimmune disease Systemic Lupus Erythematosus (SLE) and in other systemic
rheumatic diseases, in humans as well as in dogs. The indirect immunofluorescence
(IIF)-ANA test is the standard method for detecting ANA. Further testing for
specific ANA with immunoblot techniques or ELISAs is routinely performed in
humans to aid in the diagnosis and monitoring of disease. Several specific ANA
identified in humans have been identified also in suspected canine SLE but, in
contrast to humans, investigation of autoantibodies in canine SLE is mainly
restricted to the IIF-ANA test. Our aim was to identify both known and novel
specific ANA in dogs and to investigate if different IIF-ANA patterns are
associated with different specific ANA in dogs. Sera from 240 dogs with suspicion
of autoimmune disease (210 IIF-ANA positive (ANA(pos)) and 30 IIF-ANA negative
(ANA(neg))) as well as sera from 27 healthy controls were included. The samples
were analysed with a line immunoassay, LIA (Euroline ANA Profile 5, Euroimmun,
Lubeck, Germany) and four different ELISAs (Euroimmun). The ANA(pos) dogs were
divided in two groups depending on the type of IIF-ANA pattern. Of the 210
ANA(pos) samples 68 were classified as ANA homogenous (ANA(H)) and 141 as ANA
speckled (ANA(S)), one sample was not possible to classify. Dogs in the ANA(H)
group had, compared to the other groups, most frequently high levels of anti
double stranded deoxyribonucleic acid (dsDNA) and anti-nucleosome ANA. Anti-dsDNA
antibodies were confirmed in some dogs with the Crithidia luciliae indirect
immunofluorescence test (CLIFT). The frequency of ANA(H) dogs with values above
those observed in the healthy group was significantly higher compared to ANA(S)
dogs for anti-dsDNA, anti-nucleosome, and anti-histone reactivity. Dogs in the
ANA(S) group had, compared to the other groups, most frequently high levels of
anti-ribonucleoproteins (RNP) and/or anti-Smith (Sm) antibodies. Reactivity
against Sjogren's syndrome related antigens (SS)-A (including the Ro-60 and Ro-52
subcomponents), SS-B, histidyl tRNA synthetase (Jo-1), topoisomerase I antigen
(Scl-70), polymyositis-scleroderma antigen (PM-Scl) and proliferating cell
nuclear antigen (PCNA) was also noted in individual dogs. In conclusion, by using
a commercial LIA and different ELISAs originally developed for detection of human
ANA, we identified several specific ANA in serum samples from dogs sampled for
IIF-ANA testing. Further, we found that the types of IIF-ANA pattern were
associated with reactivity against some particular nuclear antigens.
PMID- 26547885
TI - Modulation by gamithromycin and ketoprofen of in vitro and in vivo porcine
lipopolysaccharide-induced inflammation.
AB - The immunomodulatory properties of gamithromycin (GAM), ketoprofen (KETO) and
their combination (GAM-KETO) were investigated after both in vitro and in vivo
lipopolysaccharide (LPS)-induced inflammation. The influence of these drugs was
measured on the production of prostaglandin E2 (PGE2) and the pro-inflammatory
cytokines tumour necrosis factor (TNF)-alpha, interleukin (IL)-6 and IL-1beta in
both LPS-stimulated porcine peripheral blood mononuclear cells (PBMCs) and LPS
challenged pigs. Additionally, effects on the production of acute phase proteins
(APPs), including pig major acute phase protein (pig-MAP) and C-reactive protein
(CRP), as well as on the development of fever, pulmonary symptoms and sickness
behaviour were investigated. Dexamethasone was included as a positive control in
the in vitro research. Following an 18h-incubation period with 1.25MUg/mL LPS,
the levels of TNF-alpha, IL-1beta and IL-6 (p<0.05) measured in the PBMC
supernatants were significantly increased. Incubation with a high concentration
of both GAM and KETO significantly reduced the in vitro levels of all three
cytokines. Maximal plasma concentrations of TNF-alpha and IL-6 were observed at
1h and 2.5h following LPS challenge in pigs, respectively. Neither GAM, nor KETO
nor the combination GAM-KETO was able to inhibit the in vivo LPS-induced cytokine
production. Furthermore, none of the drugs influenced the subsequent APPs
production. In contrast, administration of KETO significantly reduced PGE2
production both in vitro and in vivo (p<0.05 and p<0.001, respectively) and
prevented the development of fever and severe symptoms, including dyspnoea,
anorexia, vomiting and lateral decubitus.
PMID- 26547886
TI - When does social learning become cultural learning?
AB - Developmental research on selective social learning, or 'social learning
strategies', is currently a rich source of information about when children copy
behaviour, and who they prefer to copy. It also has the potential to tell us when
and how human social learning becomes cultural learning; i.e. mediated by
psychological mechanisms that are specialized, genetically or culturally, to
promote cultural inheritance. However, this review article argues that, to
realize its potential, research on the development of selective social learning
needs more clearly to distinguish functional from mechanistic explanation; to
achieve integration with research on attention and learning in adult humans and
'dumb' animals; and to recognize that psychological mechanisms can be
specialized, not only by genetic evolution, but also by associative learning and
cultural evolution.
PMID- 26547887
TI - Clinical study of 20 patients with incontinentia pigmenti.
AB - BACKGROUND: Incontinentia pigmenti (IP) is a rare genodermatosis with early
prenatal lethality in affected males. Clinical manifestations are usually more
exuberant in sporadic than in familial cases. Cutaneous manifestations occur in
all sporadic cases and about 96% of familial cases. As well as the skin, other
tissues arising from the neuroectoderm may be affected. OBJECTIVES: This study
was designed to evaluate dermatologic, dental, neurologic, and ophthalmologic
manifestations in patients with IP. METHODS: Findings in IP patients and family
members also diagnosed with IP in Porto Alegre, Brazil, during 2003-2012, were
analyzed. RESULTS: Thirteen children and seven relatives were diagnosed with IP;
38.4% of cases were familial, and 61.5% were sporadic. Mean +/- standard
deviation follow-up was 46.08 +/- 39.47 months. Frequencies of 100% and 85.7% for
dermatologic manifestations, 23.0% and 0% for neurologic manifestations, 62.5%
and 71.4% for dental manifestations, and 11.1% and 42.8% for ophthalmologic
manifestations were found in affected children and relatives, respectively.
Associated diseases include Wilms' tumor, myasthenia gravis, Still's syndrome,
and congenital hypothyroidism. CONCLUSIONS: These findings reinforce the
heterogeneity of dermatologic findings and the numerous extracutaneous
manifestations requiring a multidisciplinary approach. The follow-up of patients
with IP is important in the detection of serious associated diseases. The
relationships between these disorders and IP raise the need for additional
longitudinal studies with longterm monitoring of these patients. The management
of IP in clinical practice may benefit from early efforts to detect associated
diseases.
PMID- 26547888
TI - Perianal pyoderma gangrenosum after excision and fulguration of anal condyloma
acuminatum.
AB - INTRODUCTION: Pyoderma gangrenosum (PG) is a rare, inflammatory skin pathology
frequently associated with systemic inflammatory disease. While rare after
surgery, recognition of this disease in the post-surgical setting is important as
it can mimic wound infection. PRESENTATION OF CASE: We herein present a dramatic
presentation of perianal PG four days after routine excision and fulguration of
anal condyloma acuminatum. The affected area did not improve with broad spectrum
antibiotics or surgical debridement. A diagnosis of PG was made from clinical
suspicion and pathology findings, and further confirmed with rapid improvement
after starting steroids. Diagnosis of this disease in the postoperative period
requires high suspicion when the characteristic ulcerative or bullae lesions are
seen diffusely and show minimal improvement with antibiotic treatment or
debridement. DISCUSSION: Our case highlights the importance of recognizing this
disease in the post-operative period, to allow for early initiation of
appropriate treatment and prevent unnecessary surgical debridement of a highly
sensitive area. There have been 32 case reports of PG in the colorectal
literature, mostly following stoma creation. There is one case report of
idiopathic perianal pyoderma gangrenosum with no known prior trauma. To our
knowledge there are no previously reported cases of perianal PG after routine
elective anorectal surgery. CONCLUSION: This is the first reported case of
perianal pyoderma gangrenosum in the post-surgical setting. Increased awareness
of pyoderma gangrenosum in the surgical literature will aid in prompt diagnosis
and proper medical management of this uncommon postoperative morbidity.
PMID- 26547889
TI - A modular informatics platform for effective support of collaborative and
multicenter studies in cardiology.
AB - Collaborative and multicenter studies permit a large number of patients to be
enrolled within a reasonable time and providing the opportunity to collect
different data. Informatics platforms play an important role in management,
storage, and exchange of data between the participants involved in the study. In
this article, we describe a modular informatics platform designed and developed
to support collaborative and multicenter studies in cardiology. In each developed
module, data management is implemented following local defined protocols. The
modular characteristic of the developed platform allows independent transfer of
different kinds of data, such as biological samples, imaging raw data, and
patients' digital information. Moreover, it offers safe central storage of the
data collected during the study. The developed platform was successfully tested
during a European collaborative and multicenter study, focused on evaluating
multimodal non-invasive imaging to diagnose and characterize ischemic heart
disease.
PMID- 26547890
TI - Multicenter clinical trial of high-intensity focused ultrasound treatment in
glaucoma patients without previous filtering surgery.
AB - PURPOSE: To evaluate the efficacy and safety of the ultrasonic circular
cyclocoagulation procedure in patients with open-angle glaucoma naive of previous
filtering surgery. METHODS: Prospective non-comparative interventional clinical
study conducted in five French University Hospitals. Thirty eyes of 30 patients
with open-angle glaucoma, intra-ocular pressure (IOP) > 21 mmHg and with no
previous filtering glaucoma surgeries were sonicated with a probe comprising six
piezoelectric transducers. The six transducers were activated with a 6-s exposure
time. Complete ophthalmic examinations were performed before the procedure and at
1 day, 1 week, 1, 2, 3, 6 and 12 months after the procedure. Primary outcomes
were qualified surgical success (defined as IOP reduction from baseline >=20% and
IOP > 5 mmHg with possible re-intervention and without hypotensive medication
adjunction) and complete surgical success (defined as IOP reduction from baseline
>=20%, IOP > 5 mmHg and IOP < 21 mmHg with possible re-intervention and without
hypotensive medication adjunction) at the last follow-up visit and vision
threatening complications. Secondary outcomes were mean IOP at each follow-up
visit compared with baseline, medication use, complications and re-interventions.
RESULTS: Intra-ocular pressure was significantly reduced (p < 0.05) from a mean
pre-operative value of 28.2 +/- 7.2 mmHg (n = 3.6 hypotensive medications) to
19.6 +/- 7.9 mmHg at 12 months (n = 3.1 hypotensive medications and n = 1.1
procedures) (mean IOP reduction of 30%). Qualified success was achieved in 63% of
eyes (19/30) (mean IOP reduction of 37% in these eyes) and complete success in
46.7% of eyes (14/30) (mean IOP reduction of 37% in these eyes) at the last
follow-up. No major intra- or post-operative complications occurred. CONCLUSIONS:
The UC(3) procedure seems to be an effective and well-tolerated method to reduce
IOP in patients with open-angle glaucoma without previous filtering surgery.
PMID- 26547891
TI - [Common benign breast tumors including fibroadenoma, phyllodes tumors, and
papillary lesions: Guidelines].
AB - OBJECTIVES: To provide guidelines for clinical practice from the French College
of Obstetrics and Gynecology (CNGOF), based on the best evidence available,
concerning common benign breast tumors: fibroadenoma (FA), phyllodes breast
tumors (PBT), and papillary lesions (BPL). METHODS: Bibliographical search in
French and English languages by consultation of PubMed, Cochrane and
international databases. RESULTS: In case of percutaneous biopsy diagnosis of FA,
clinico-radiologic and pathologic discordance or complex FA or proliferative
lesions or atypia with FA, a family history of cancer, it seems legitimate to
discuss management in a multidisciplinary meeting. When surgery is proposed for
FA, periareolar compared to direct incision is associated with more insensitive
nipple but better aesthetic results (LE4). When surgery is proposed for FA,
indirect incision is preferable for better cosmetic results (Grade C). Techniques
of percutaneous destruction or resection can be used (Grade C). The WHO
classification distinguishes three categories of phyllodes tumors (PBT): benign
(grade 1), borderline (grade 2) and malignant (grade 3). For grade 1 PBT, the
risk of local recurrence after surgical excision increases when PBT lesion is in
contact with surgical limits (not in sano). After in sano resection, there is no
correlation between margin size and the risk of recurrence (LE4). For grade 2
PBT, local recurrence after surgical excision increases for margins under 10mm
margins (LE4). For grade 1-2 PBT, in sano excision is recommended. For grade 2
PBT, 10-mm margins are recommended (Grade C). No lymph node evaluation or neither
systematic mastectomy is recommended (Grade C). Breast papillary lesion (BPL)
without atypia, complete resection of radiologic signal is recommended (Grade C).
For BPL with atypia, complete excisional surgery is recommended (Grade C).
PMID- 26547892
TI - Use of dermoscopy to identify nail plate cavities as a clinical diagnostic clue
for onychomatricoma.
PMID- 26547894
TI - Federal Funding for Food Science--Challenges and Opportunities.
PMID- 26547896
TI - A Statistical Error in: "Analysis of Caecal Microbiota in Rats Fed with
Genetically Modified Rice by Real-Time Quantitative PCR".
PMID- 26547897
TI - Response to Panchin's Letter on Statistics.
PMID- 26547898
TI - Contrasting patterns of selection and drift between two categories of immune
genes in prairie-chickens.
AB - Immune-receptor genes of the adaptive immune system, such as the major
histocompatibility complex (MHC), are involved in recognizing specific pathogens
and are known to have high rates of adaptive evolution, presumably as a
consequence of rapid co-evolution between hosts and pathogens. In contrast, many
'mediating' genes of the immune system do not interact directly with specific
pathogens and are involved in signalling (e.g. cytokines) or controlling immune
cell growth. As a consequence, we might expect stronger selection at immune
receptor than mediating genes, but these two types of genes have not been
compared directly in wild populations. Here, we tested the hypothesis that
selection differs between MHC (class I and II) and mediating genes by comparing
levels of population differentiation across the range of greater prairie-chickens
(Tympanuchus cupido). As predicted, there was stronger population differentiation
and isolation by distance at immune receptor (MHC) than at either mediating genes
or neutral microsatellites, suggesting a stronger role of local adaptation at the
MHC. In contrast, mediating genes displayed weaker differentiation between
populations than neutral microsatellites, consistent with selection favouring
similar alleles across populations for mediating genes. In addition to selection,
drift also had a stronger effect on immune receptor (MHC) than mediating genes as
indicated by the stronger decline of MHC variation in relation to population
size. This is the first study in the wild to show that the effects of selection
and drift on immune genes vary across populations depending on their functional
role.
PMID- 26547899
TI - A Call for Change in the Diagnosis and Treatment of Patients with Ductal
Carcinoma In Situ: An Opportunity to Minimize Overdiagnosis and Overtreatment.
PMID- 26547900
TI - Triple-Negative Breast Cancer in Ghanaian Women: The Korle Bu Teaching Hospital
Experience.
AB - Breast cancers that have negative or extremely low expression of estrogen
receptor and progesterone receptor and non-amplification of human epidermal
growth factor receptor-2 (HER2)/neu are termed triple-negative breast cancer
(TNBC). The majority of TNBC tumors belong to the biologically aggressive basal
subtype, and they cannot be managed with targeted endocrine or anti-HER2/neu
agents. In western, high resource environments, risk factors for TNBC include
younger age at diagnosis and hereditary susceptibility. Women of African ancestry
in the United States and in continental Africa have higher frequencies of TNBC,
prompting speculation that this risk may have an inherited basis and may at least
partially explain breast cancer survival disparities related to racial/ethnic
identity. Efforts to document and confirm the breast cancer burden of continental
Africa have been hampered by the limited availability of registry and
immunohistochemistry resources. Our goal was to evaluate the breast cancers
diagnosed in one of the largest health care facilities in western Africa, and to
compare the frequencies as well as risk factors for TNBC versus non-TNBC in this
large referral tertiary hospital. The Korle Bu Teaching Hospital is affiliated
with the University of Ghana and is located in Accra, the capital of Ghana. We
conducted an institutional, Department of Pathology-based review of the breast
cancer cases seen at this facility for the 2010 calendar year, and for which
histopathologic specimens were available. The overall study population of 223
breast cancer cases had a median age of 52.4 years, and most had palpable tumors
larger than 5 cm in diameter. More than half were TNBC (130; 58.3%). We observed
similar age-specific frequencies, distribution of stage at diagnosis and tumor
grade among cases of TNBC compared to cases of non-TNBC. Ghanaian breast cancer
patients tend to have an advanced stage distribution and relatively younger age
at diagnosis compared to Caucasian Americans and African Americans. The triple
negative molecular marker pattern was the most common subtype of breast cancer
seen among this sample of Ghanaian women, regardless of age, tumor grade, or
stage of diagnosis. Research into the molecular pathogenesis of TNBC may help
elucidate the reasons for its increased prevalence among women with African
ancestry.
PMID- 26547901
TI - "Targeting or supporting, what drives patterns of aggressive intervention in
fights?".
AB - GrooFiWorld is an individual-based, computational model of social interactions
that can be used to examine factors underlying reciprocation and interchange of
social behavior in primate societies. Individuals within GrooFiWorld are
programed to maintain spatial proximity and thereby form a group. When an
individual encounters another individual in its proximity, the individual attacks
the other if the risk of losing is low. Otherwise, the individual considers
grooming the other. Patterns of social behavior that emerge in the model resemble
empirical data from primates. Triadic aggression emerges when an individual
attacks one of the former combatants by chance immediately after an aggressive
interaction, and reciprocation and interchange of grooming and support emerge
even though individuals have no intention to help others or pay back services.
The model generates predictions for patterns of contra-intervention that are
counterintuitive within a framework of interchange of social services, such as
that individuals receive more contra-intervention from those whom they groom more
frequently. Here we tested these predictions in data collected on social
interactions in a group of bonnet macaques (Macaca radiata). We confirmed the
predictions of the model in the sense that contra-intervention was strongly
correlated with dyadic aggression which suggests that contra-intervention is a
subset of dyadic aggression. Adult females directed more contra-intervention to
those individuals from whom they received more grooming. Further, contra
intervention was directed down the dominance hierarchy such that adult females
received more contra-intervention from higher ranking females. Because these
findings are consistent with the predictions from the GrooFiWorld model, they
suggest that the distribution of interventions in fights is regulated by factors
such as dominance rank and spatial structure rather than a motivation to help
others and interchange social services.
PMID- 26547903
TI - Corrigendum.
PMID- 26547902
TI - Couples Relationship Education and Couples Therapy: Healthy Marriage or Strange
Bedfellows?
AB - This paper focuses on issues sparked by the Couples Relationship Education (CRE)
field moving toward a more clinical model to meet the needs of an increasing
number of distressed couples coming to CRE programs. We review the concerns
raised and recommendations made by Bradford, Hawkins, and Acker (2015), most of
which push CRE toward a more clinical model. We address these recommendations and
make suggestions for best practices that preserve the prevention/education model
underlying research-based CRE. The three main issues are couple screening, leader
training, and service delivery models. Our suggested best practices include:
conducting minimal screening including the assessment of dangerous levels of
couple violence, training leaders with key skills to handle issues raised by
distressed couples as well as other couples who may place additional burdens on
leaders, providing referrals and choices of programs available to participants at
intake and throughout the CRE program, and adding (rather than integrating)
clinical services to CRE services for couples who desire additional intervention.
Finally, throughout the paper, we review other key issues in the CRE field and
make recommendations made for future research and practice.
PMID- 26547904
TI - Stanniocalcin1 gene expression in patients with acute leukemia: impact on
response to therapy and disease outcome.
AB - INTRODUCTION: Stanniocalcin1 (STC1) is a hormone that regulates cell growth and
survival; this study aimed to evaluate the STC1 gene expression in patients with
acute leukemia and assess its prognostic significance. METHODS: Seventy-six
patients with acute leukemia were enrolled for determination of mRNA STC1 by real
time quantitative polymerase chain reaction at diagnosis and at day 28. RESULTS:
Median STC1 gene expression was 16.2 and 4.43 in patients with acute myeloid
leukemia and 9.67 and 2.37 in patients with acute lymphoblastic leukemia on days
0 and 28, respectively. A cutoff level for STC1 gene expression was established
subdividing patients into high- and low-STC1 gene expression groups. Median STC1
gene expression at days 0 and 28 was significantly higher among patients who were
nonresponders to therapy than among those who were therapy responders in both
groups. Patients achieving complete remission had significantly lower baseline
STC1 gene expression than those in relapse. High STC1 gene expression was
associated with shorter overall and disease-free survival times. CONCLUSION: STC1
gene expression at diagnosis might be a useful prognostic marker for clinical
outcome and monitoring therapeutic response in patients with acute leukemia.
PMID- 26547905
TI - Evaluation of a Clostridium difficile infection management policy with clinical
pharmacy and medical microbiology involvement at a major Canadian teaching
hospital.
AB - WHAT IS KNOWN AND OBJECTIVE: Clostridium difficile infection (CDI) represents a
spectrum of disease and is a significant concern for healthcare institutions. Our
study objective was to assess whether implementation of a regional CDI management
policy with Clinical Pharmacy and Medical Microbiology and Infection Control
involvement would lead to an improvement in concordance in prescribing practices
to an evidence-based CDI disease severity assessment and pharmacological
treatment algorithm. METHODS: Conducted at a tertiary care teaching hospital,
this two-phase quality assurance study consisted of a baseline retrospective
healthcare record review of patients with CDI prior to the implementation of a
regional CDI management policy followed by a prospective evaluation post
implementation. RESULTS AND DISCUSSION: One hundred and forty-one CDI episodes in
the pre-implementation group were compared to 283 episodes post-implementation.
Overall treatment concordance to the CDI treatment algorithm was achieved in 48
of 141 cases (34%) pre-implementation compared with 136 of 283 cases (48.1%) post
implementation (P = 0.01). The median time to treatment with vancomycin was
reduced from five days to one day (P < 0.01), with median length of hospital stay
decreasing from 30 days to 21 days (P = 0.01) post-implementation. There was no
difference in 30-day all-cause mortality. WHAT IS NEW AND CONCLUSION: A
comprehensive approach with appropriate stakeholder involvement in the
development of clinical pathways, education to healthcare workers and prospective
audit with intervention and feedback can ensure patients diagnosed with CDI are
optimally managed and prescribed the most appropriate therapy based on CDI
disease severity.
PMID- 26547906
TI - Expression of inflammatory cytokines and MMPs on replanted teeth at different
extra-alveolar time: an ex vivo and in vivo study.
AB - BACKGROUND: Immediately after the avulsed tooth is replanted, a complex
inflammatory response ensues. As part of the periodontium healing process, the
extracellular matrix macromolecules are essential to create the cellular
environment required during healing and morphogenesis. AIM: This study was
designed to evaluate the correlation between different extra-alveolar dry times
and inflammatory cytokines and matrix metalloproteinases (MMPs) as part of the
periodontal ligament (PDL) gene expression. DESIGN: The first phase of the study
aimed testing human PDL cells ex vivo. Extracted teeth were dried for 15 and 30
min. The PDL cells were extracted and analyzed by qRT-PCR. The second phase was
performed in vivo, and 36 Sprague Dawley rat first maxillary molars were
extracted and replanted after 15, 30, and 60 min extra-alveolar time. We tested
the levels of inflammatory cytokines and MMPS in periodontal tissue at 3, 7, and
28 days after tooth replantation. The replanted area was dissected, grounded, and
analyzed by RT-PCR. RESULTS: Expressions of IL-1beta, IL-6, TNF-alpha, and MMP-3
and MMP-9 were significantly higher in the replanted teeth. Extended dry time had
a direct correlation with induction of pro-inflammatory cytokine and MMPs in PDL
cells. CONCLUSION: Our study showed that pro-inflammatory cytokines were more
significantly expressed in the tissues surrounding the replanted teeth. Future
research must be undertaken to additionally confirm the release of these
cytokines and be focused on the inhibition of these cytokines to reduce
inflammation of replanted teeth.
PMID- 26547907
TI - Sensemaking and the co-production of safety: a qualitative study of primary
medical care patients.
AB - This study explores the ways in which patients make sense of 'safety' in the
context of primary medical care. Drawing on qualitative interviews with primary
care patients, we reveal patients' conceptualisation of safety as fluid,
contingent, multi-dimensional, and negotiated. Participant accounts drew
attention to a largely invisible and inaccessible (but taken for granted)
architecture of safety, the importance of psycho-social as well as physical
dimensions and the interactions between them, informal strategies for negotiating
safety, and the moral dimension of safety. Participants reported being proactive
in taking action to protect themselves from potential harm. The somewhat
routinised and predictable nature of the primary medical care consultation, which
is very different from 'one off' inpatient spells, meant that patients were not
passive recipients of care. Instead they had a stock of accumulated knowledge and
experience to inform their actions. In addition to highlighting the differences
and similarities between hospital and primary care settings, the study suggests
that a broad conceptualisation of patient safety is required, which encompasses
the safety concerns of patients in primary care settings.
PMID- 26547908
TI - Pathways of healthcare utilisation in patients with suspected adolescent
idiopathic scoliosis: a cross-sectional study.
AB - BACKGROUND: School screening programs for adolescent idiopathic scoliosis (AIS)
have been discontinued in Canada and elsewhere because they were not considered
cost-effective. In communities lacking such programs, we expect a significant
variety of healthcare pathways and timeframes for patient referrals to
orthopaedics. The objectives of this study were: 1) to characterise the
healthcare pathways of young children with suspected AIS in a population without
school screening; and 2) to investigate the relationships between these
healthcare pathways and the appropriateness of referrals to specialised
orthopaedic clinics. METHODS: This study concerned all children, ages 10 to 18,
referred for an initial visit for suspected AIS to any of the five out-patient
paediatric orthopaedic clinics of south-western Quebec (Canada). For the 831
participants, referrals to orthopaedics were characterised as appropriate, late,
or inappropriate, based on known risk factors for AIS progression and on
treatment indications. Parents documented the circumstances of healthcare use
prior to the orthopaedic consultation. Relevant predisposing, enabling, and need
variables derived from Andersen's Behavioral Model of Health Services Use were
also documented. Healthcare pathways were characterised by developing a taxonomy
using multiple correspondence analysis prior to hierarchical classification.
Associations between the healthcare pathways and appropriateness of referral were
assessed using multinomial regression analyses. RESULTS: We constructed a
taxonomy of five distinct healthcare pathways: 1) Lay/regular source of care
interrelation, 2) Other professionals, 3) Lay/consultation discontinuity, 4)
Other medical doctor, and 5) Regular source of care continuity. Laypersons played
an important role in AIS suspicion (53% of cases), but did not prevent late
referrals. Continuity of care, as opposed to numerous uncoordinated
consultations, was an effective strategy to prevent late referrals (OR = 0.32
[0.17-0.59]), but was related to increased probability of inappropriate
referrals. CONCLUSIONS: We identified two cardinal characteristics that
distinguished the healthcare pathways and related significantly to
appropriateness of referral status, namely the role of laypersons and the
involvement of the regular source of care. This suggests directions for
intervention such as advocating for access to a regular source of care,
increasing awareness of the disease to medical practitioners' and improving their
knowledge of AIS detection and referral criteria.
PMID- 26547909
TI - Return-to-Work Within a Complex and Dynamic Organizational Work Disability
System.
AB - Background Return-to-work (RTW) within a complex organizational system can be
associated with suboptimal outcomes. Purpose To apply a sociotechnical systems
perspective to investigate complexity in RTW; to utilize system dynamics modeling
(SDM) to examine how feedback relationships between individual, psychosocial, and
organizational factors make up the work disability system and influence RTW.
Methods SDMs were developed within two companies. Thirty stakeholders including
senior managers, and frontline supervisors and workers participated in model
building sessions. Participants were asked questions that elicited information
about the structure of the work disability system and were translated into
feedback loops. To parameterize the model, participants were asked to estimate
the shape and magnitude of the relationship between key model components. Data
from published literature were also accessed to supplement participant estimates.
Data were entered into a model created in the software program Vensim.
Simulations were conducted to examine how financial incentives and light duty
work disability-related policies, utilized by the participating companies,
influenced RTW likelihood and preparedness. Results The SDMs were
multidimensional, including individual attitudinal characteristics, health
factors, and organizational components. Among the causal pathways uncovered,
psychosocial components including workplace social support, supervisor and co
worker pressure, and supervisor-frontline worker communication impacted RTW
likelihood and preparedness. Interestingly, SDM simulations showed that work
disability-related policies in both companies resulted in a diminishing or
opposing impact on RTW preparedness and likelihood. Conclusion SDM provides a
novel systems view of RTW. Policy and psychosocial component relationships within
the system have important implications for RTW, and may contribute to
unanticipated outcomes.
PMID- 26547910
TI - Concentrations of Mineral in Amniotic Fluid and Their Relations to Selected
Maternal and Fetal Parameters.
AB - The concentrations of various trace elements in amniotic fluid (AF) change over
the course of pregnancy, with gestational age and fetus growth. The aim of the
present study was to evaluate the concentrations of selected essential and toxic
elements in AF and their relations to maternal and fetal parameters. The study
was carried out in 39 pregnant women, aged 34.6 +/- 4.7 years, between weeks 16
and 26 of gestation. Amniotic fluid samples were obtained during the standard
procedure of amniocentesis in high-risk patients for chromosomal abnormalities.
An inductively coupled plasma mass spectrometry (ICP-MS) technique was used to
determine the levels of Al, As, Ba, Cd, Co, Cr, Cu, Mg, Mn, Ni, Sr, U, and V in
AF. Body mass and blood pressure were measured in all the women. The basic
parameters of fetal development were also assayed. It was found that the age of
the mother, the gender of the fetus, and the week of the pregnancy may affect the
concentrations of mineral in the amniotic fluid. Moreover, several significant
correlations between the essential and toxic elements and maternal and fetal
parameters were observed. In particular, negative and positive correlations
between fetal parameters and magnesium and copper levels in AF, respectively,
were seen. The present findings demonstrate the association between minerals in
AF and fetal development.
PMID- 26547911
TI - Seizure reduction is a prognostic marker in low-grade glioma patients treated
with temozolomide.
AB - We aimed to analyze the value of seizure reduction and radiological response as
prognostic markers of survival in patients with low-grade glioma (LGG) treated
with temozolomide (TMZ) chemotherapy. We retrospectively reviewed adult patients
with a progressive LGG and uncontrolled epilepsy in two hospitals (VUmc
Amsterdam; MCH The Hague), who received chemotherapy with TMZ between 2002 and
2014. End points were a >=50 % seizure reduction and MRI response 6, 12 and 18
months (mo) after the start of TMZ, and their relation with progression-free
survival (PFS) and overall survival (OS). We identified 53 patients who met the
inclusion criteria. Seizure reduction was an independent prognostic factor for
both PFS (HR 0.38; 95 % CI 0.19-0.73; p = 0.004) and OS (HR 0.39; 95 % CI 0.18
0.85; p = 0.018) after 6mo, adjusting for age and histopathological diagnosis, as
well as after 12 and 18mo. Patients with an objective radiological response
showed a better OS (median 87.5mo; 95 % CI 62.0-112.9) than patients without a
response (median 34.4mo; 95 % CI 26.1-42.6; p = 0.046) after 12mo. However, after
6 and 18mo OS was similar in patients with and without a response on MRI. Seizure
reduction is an early and consistent prognostic marker for survival after
treatment with TMZ, that seems to precede the radiological response. Therefore,
seizure reduction may serve as a surrogate marker for tumor response.
PMID- 26547913
TI - A Qualitative Study of Vulnerable Patient Views of Type 2 Diabetes Consumer
Reports.
AB - BACKGROUND: The Patient Protection and Affordable Care Act (ACA) mandates the
release of publicly available consumer reports to highlight differences in
quality of care and reduce healthcare disparities. However, little is known about
patient perceptions of the value of such reports. OBJECTIVE: This study aims to
identify whether vulnerable populations with type 2 diabetes perceive consumer
reports as helpful in making decisions about diabetes care. METHODS: We conducted
a brief demographic survey and qualitative study of 18 focus groups: six each of
African American, Hispanic, and non-Hispanic White consumers diagnosed with type
2 diabetes (n = 92). We analysed focus group transcripts to identify recurring
themes, which were summarized and compared across population groups. RESULTS:
Participants expressed minimal interest in currently available consumer reports.
They instead listed personal referrals and interpersonal interactions among the
most important factors when choosing a physician. Further, in place of
information to aid in physician selection, participants articulated strong
desires for more basic, straightforward disease-specific information that would
promote diabetes self-management. CONCLUSIONS: This study's results call into
question the value of consumer reports as defined by the ACA. Participants
reported little interest in comparative provider performance data. Instead, they
were more interested in information to assist in diabetes self-management. This
suggests that consumer reports may not be as important a tool to improve outcomes
and reduce health disparities as policy makers imagine them to be.
PMID- 26547912
TI - A Systematic Review of Factors Associated with Non-Adherence to Treatment for
Immune-Mediated Inflammatory Diseases.
AB - BACKGROUND: Non-adherence impacts negatively on patient health outcomes and has
associated economic costs. Understanding drivers of treatment adherence in immune
mediated inflammatory diseases is key for the development of effective strategies
to tackle non-adherence. OBJECTIVE: To identify factors associated with treatment
non-adherence across diseases in three clinical areas: rheumatology,
gastroenterology, and dermatology. DESIGN: Systematic review. DATA SOURCES:
Articles published in PubMed, Science Direct, PsychINFO and the Cochrane Library
from January 1, 1980 to February 14, 2014. STUDY SELECTION: Studies were eligible
if they included patients with a diagnosis of rheumatoid arthritis, ankylosing
spondylitis, psoriatic arthritis, inflammatory bowel disease, or psoriasis and
included statistics to examine associations of factors with non-adherence. DATA
EXTRACTION: Data were extracted by the first reviewer using a standardized 23
item form and verified by a second/third reviewer. Quality assessment was carried
out for each study using a 16-item quality checklist. RESULTS: 73 studies were
identified for inclusion in the review. Demographic or clinical factors were not
consistently associated with non-adherence. Limited evidence was found for an
association between non-adherence and treatment factors such as dosing frequency.
Consistent associations with adherence were found for psychosocial factors, with
the strongest evidence for the impact of the healthcare professional-patient
relationship, perceptions of treatment concerns and depression, lower treatment
self-efficacy and necessity beliefs, and practical barriers to treatment.
CONCLUSIONS: While examined in only a minority of studies, the strongest evidence
found for non-adherence were psychosocial factors. Interventions designed to
address these factors may be most effective in tackling treatment non-adherence.
PMID- 26547914
TI - Assessment of Transdermal Buprenorphine Patches for the Treatment of Chronic Pain
in a UK Observational Study.
AB - BACKGROUND: Opioids provide effective analgesia for moderate-to-severe, chronic
pain. Transdermal buprenorphine (TDB) is available in the UK as weekly, lower
dose (5-20 MUg/h) patches and twice-weekly, higher dose (35-70 MUg/h) patches.
This prospective, observational, multicenter study of patients with various
chronic pain conditions assessed the safety, perceptions, and discontinuation of
treatment with TDB in a real-world, non-interventional setting
(ClinicalTrials.gov study ID: NCT01225861). METHODS: Patients aged >=18 years who
were already receiving or initiating treatment with TDB were recruited in the UK
during routine clinical visits and were followed for 6 visits or 9 months
(whichever came first). Self-reported treatment adherence, patient satisfaction,
and safety data were collected at each study visit. RESULTS: Of 465 patients, 272
were already receiving 7-day TDB at the study start (TDB experienced), 146 were
TDB naive, and 47 were prescribed twice-weekly TDB. Most patients were female
(72.9 %) and overweight/obese (body mass index >=25: 75.3 %). The median age was
67 years, and the mean duration of pain was 11.1 years. Arthritis/other
musculoskeletal disorders (39.6 %) were the most common causes of pain. Mild
adverse events were commonly reported. Skin irritations, which were most frequent
in 7-day TDB-experienced patients (45.6 %), rarely resulted in treatment
discontinuation (8.8 %). Nearly all patients used TDB in accordance with
treatment recommendations. Most patients reported that TDB was 'effective'/'very
effective' at relieving pain and were 'satisfied'/'very satisfied' with TDB
therapy. CONCLUSION: In everyday clinical practice, TDB was well tolerated and
patients were satisfied with their therapy. Self-reported adherence to TDB was
very high, and adverse events rarely resulted in treatment discontinuation.
Opportunities were identified to limit common adverse events associated with TDB.
PMID- 26547915
TI - Prognostic role of N-terminal pro-brain natriuretic peptide in asymptomatic
hypertensive and diabetic patients in primary care: impact of age and gender :
Results from the PROBE-HF study.
AB - BACKGROUND: The association between natriuretic peptides and clinical outcome in
asymptomatic hypertensive and diabetic patients with no clinical evidence of
heart failure (HF) is still unclear. We assessed the prognostic value of NT-pro
BNP, and its interactions with age and gender, in a cohort of asymptomatic, stage
A/B HF hypertensive and diabetic patients enrolled in primary care. METHODS: NT
proBNP was measured in 1012 asymptomatic subjects with systemic hypertension
and/or type-2 diabetes (age 66.6 +/- 7.8 years, 48 % males) with no clinical
evidence of HF. Patients were prospectively followed over 49.8 +/- 6.7 months for
the development of cardiac death, HF hospitalization, and nonfatal myocardial
infarction. RESULTS: Patients with NT-proBNP above the 80th age- and gender
specific percentile showed a threefold risk of events as compared to those with
NT-proBNP under this cut-off [hazard ratio 3.2 (2.6-8.3), p < 0.0001]. In
multivariable analysis, NT-proBNP added independent and incremental prognostic
information to a predictive model including established risk factors (p <
0.0001). After stratification by age, increased NT-proBNP predicted outcome among
patients in the second and third age tertiles, but not among those in the first
tertile. Increased NT-proBNP was associated with a 3.6-fold risk in women and a
2.9-fold risk in men. Addition of the gender-NT-proBNP interaction to prognostic
models further improved prediction of events (p = 0.014). CONCLUSIONS: NT-proBNP
measurement adds independent and incremental information for the prediction of
clinical outcome in asymptomatic, stage A-B HF hypertensive and diabetic patients
taken from primary care. This prognostic value might be further evident in the
elderly and among women.
PMID- 26547917
TI - Evaluation of the safety and pathological effects of neoadjuvant full-dose
gemcitabine combination radiation therapy in patients with biliary tract cancer.
AB - PURPOSE: This study aimed to evaluate the safety of neoadjuvant gemcitabine
combination radiation therapy in the treatment of biliary tract cancer and to
investigate the pathological effects of chemoradiation therapy and its impact on
survival. METHODS: Chemoradiation therapy entailed three cycles of full dose of
gemcitabine (1000 mg/m(2) at days 1, 8, and 15, every 4 weeks) with 50-60 Gy
radiation (2 Gy/day) at the main tumor and the regional and para-aortic lymph
nodes. The present study included 25 patients. RESULTS: All of the patients were
pathologically diagnosed before treatment. The relative dose intensity of
gemcitabine was 84 %. The average dose of radiation was 53.8 Gy. Sixty percent of
the patients underwent pancreatoduodenectomy, and 32 % underwent hemi-hepatectomy
due to bile duct cancer (n = 24) or gall bladder cancer (n = 1). During
neoadjuvant therapy, 21 patients (84 %) suffered from adverse events. The common
hematological adverse events were leukopenia (44 %) and thrombocytopenia (32 %).
It was necessary to exchange the plastic biliary stent in 11 patients (44 %). An
R0 resection was achieved in 96 % of the patients, with pathological lymph node
metastasis noted in 16 %. Moderate or marked histological changes were noted in
32 % of the patients. The 3-year overall survival rate after the first treatment
was 74.6 %, with a 3.2-year observation period. CONCLUSIONS: Neoadjuvant therapy
was feasible and is expected to improve survival by controlling regional
extension.
PMID- 26547916
TI - Short-term effects of fine particulate air pollution on cardiovascular hospital
emergency room visits: a time-series study in Beijing, China.
AB - BACKGROUND: The link between particulate matter (PM) and cardiovascular morbidity
has been investigated in numerous studies. Less evidence exists, however, about
how age, gender and season may modify this relationship. The aim of this study
was to evaluate the association between ambient PM2.5 (PM <= 2.5 um) and daily
hospital emergency room visits (ERV) for cardiovascular diseases in Beijing,
China. Moreover, potential effect modification by age, gender, season, air mass
origin and the specific period with 2008 Beijing Olympic were investigated.
Finally, the temporal lag structure of PM2.5 has also been explored. METHODS:
Daily counts of cardiovascular ERV were obtained from the Peking University Third
Hospital from January 2007 to December 2008. Concurrently, data on PM2.5, PM10
(PM <= 10 um), nitrogen dioxide and sulfur dioxide concentrations were obtained
from monitoring networks and a fixed monitoring station. Poisson regression
models adjusting for confounders were used to estimate immediate, delayed and
cumulative air pollution effects. The temporal lag structure was also estimated
using polynomial distributed lag (PDL) models. We calculated the relative risk
(RR) for overall cardiovascular disease ERV as well as for specific causes of
disease; and also investigated the potential modifying effect of age, gender,
season, air mass origin and the period with 2008 Beijing Olympics. RESULTS: We
observed adverse effects of PM2.5 on cardiovascular ERV--an IQR increase (68
MUg/m(3)) in PM2.5 was associated with an overall RR of 1.022 (95% CI 0.990
1.057) obtained from PDL model. Strongest effects of PM2.5 on cardiovascular ERV
were found for a lag of 7 days; the respective estimate was 1.012 (95% CI 1.002
1.022). The effects were more pronounced in females and in spring. Arrhythmia and
cerebrovascular diseases showed a stronger association with PM2.5. We also found
stronger PM-effects for stagnant and southern air masses and the period of
Olympics modified the air pollution effects. CONCLUSIONS: We observed a rather
delayed effect of PM2.5 on cardiovascular ERV, which was modified by gender and
season. Our findings provide new evidence about effect modifications and may have
implications to improve policy making for particulate air pollution standards in
Beijing, China.
PMID- 26547918
TI - Adalimumab Efficacy in Patients with Psoriasis Who Received or Did Not Respond to
Prior Systemic Therapy: A Pooled Post Hoc Analysis of Results from Three Double
Blind, Placebo-Controlled Clinical Trials.
AB - INTRODUCTION: There are limited data from randomized controlled clinical trials
on the outcomes of biologics after discontinuation of a different systemic
therapy. To determine the efficacy of adalimumab in patients who previously
received systemic therapy (including failed therapy), we performed a pooled post
hoc analysis of Psoriasis Area and Severity Index (PASI) response data from three
double-blind, placebo-controlled clinical trials in patients with moderate to
severe psoriasis. METHODS: Patients from the M02-528, REVEAL, and CHAMPION
studies who were previously exposed to systemic treatment were categorized based
on their response. The efficacy of adalimumab compared with placebo was analyzed
at the end of the double-blind treatment period for the overall pooled intent-to
treat population (N = 1469) and subgroups that received (n = 780) or did not
respond to (n = 229) previous systemic pretreatments. RESULTS: Rates for an
improvement of >=75% from baseline in the PASI score (PASI75 response) were
significantly greater (p < 0.001) at week 16 in patients treated with adalimumab
compared with patients who received placebo in the overall (72.1 vs. 8.0%,
respectively), previously treated (72.7 vs. 8.5%), and previously failed
treatment (70.4 vs. 8.1%) groups. PASI75 response rates were similar in the
overall group and in patients who did not respond to methotrexate, cyclosporine,
or psoralen plus ultraviolet A therapy. Improvements of >=90 or >=100 % from
baseline PASI score were also higher with adalimumab vs. placebo in previously
treated patients. Adverse events were similar among subgroups. CONCLUSIONS:
Adalimumab was efficacious for the treatment of moderate to severe psoriasis
regardless of prior exposure to systemic therapies or failure of those prior
therapies. CLINICALTRIALS. GOV IDENTIFIERS: NCT00645814, NCT00237887,
NCT00235820.
PMID- 26547919
TI - Coffee Consumption and Melanoma: A Systematic Review and Meta-Analysis of
Observational Studies.
AB - BACKGROUND: Laboratory and animals studies have suggested a possible protective
effect of coffee consumption on the development of melanoma. However, the results
of epidemiological studies investigating this association have been inconclusive.
OBJECTIVE: A systematic review and meta-analysis of published studies was
conducted to evaluate any association between coffee consumption and melanoma.
METHODS: Observational studies were searched for in MEDLINE, EMBASE, and the
Cochrane Central Register from inception to September 1, 2015. The Meta-analysis
Of Observational Studies in Epidemiology (MOOSE) guidelines were followed in
conducting this study. RESULTS: We identified nine observational studies with a
total of 927,173 study participants, of which 3787 had melanoma. With random
effects modeling, the pooled relative risks (RR) for melanoma among regular
coffee drinkers was 0.75 (95 % confidence interval [CI] 0.63-0.89, p = 0.001)
compared with controls. Visual inspection of a funnel plot suggested publication
bias, although Egger's test (p = 0.981) delineated no small-study effects. The
pooled relative risks for melanoma among decaffeinated coffee drinkers was,
however, not statistically significant at 0.92 (95 % CI 0.82-1.05, p = 0.215).
CONCLUSION: There is some evidence for the beneficial effects of regular coffee
consumption on melanoma. More prospective cohort studies with systematic
quantification of coffee consumption would be necessary to further elucidate this
association.
PMID- 26547920
TI - Readers with Autism Can Produce Inferences, but they Cannot Answer Inferential
Questions.
AB - Readers with autism (ASD), poor comprehension (PC), and typical development (TD)
took part in three reading experiments requiring the production of inferences. In
Experiments 1 and 2 reading times for target phrases-placed immediately after
text implicitly indicating the emotion of a protagonist or after a number of
filler sentences, respectively--were used as measures of inferencing. In
Experiment 3, participants were explicitly asked to identify the protagonist's
emotion. There were no significant differences among groups in Experiment 1.
Compared to TD readers, the PC group performed poorly in Experiments 2 and 3. ASD
readers performed worse than PC participants only in the explicit-question task.
Although ASD readers can produce inferences, they respond to questions about them
with difficulty.
PMID- 26547921
TI - Health Services Utilization Among Children With and Without Autism Spectrum
Disorders.
AB - Using data from multiple health systems (2009-2010) and the largest sample to
date, this study compares health services use among youth with and without an
autism spectrum disorder (ASD)-including preventive services not previously
studied. To examine these differences, we estimated logistic and count data
models, controlling for demographic characteristics, comorbid physical health,
and mental health conditions. Results indicated that youth with an ASD had
greater health care use in many categories, but were less likely to receive
important preventive services including flu shots and other vaccinations. An
improved understanding of the overall patterns of health care use among this
population could enable health systems to facilitate the receipt of appropriate
and effective health care.
PMID- 26547922
TI - Prediction of Antimalarial Drug Clearance in Children: A Comparison of Three
Different Interspecies Scaling Methods.
AB - BACKGROUND AND OBJECTIVE: Allometric scaling is extensively used for the
prediction of pharmacokinetic parameters from animals to humans and is often used
for the selection of first-in-human dose. Allometric scaling can also be used to
predict a pharmacokinetic parameter in children from adult data including animal
species such as rat and dog. The current study was undertaken to evaluate if the
clearances of antimalarial drugs in children with malaria can be predicted
allometrically (interspecies scaling) from adult rat, dog, and human adult
(healthy as well patients with malaria) clearance values. METHODS: Three methods
[simple allometry, maximum lifespan potential (MLP), and MLP with an empirical
correction factor] using clearance values from adult rat, dog, and adult humans
with and without malaria were used for the prediction of antimalarial drug
clearance in children with malaria. RESULTS: The results of this study indicated
that the simple allometry would systematically over-predict antimalarial drug
clearance in children with malaria whereas the application of MLP would under
predict the clearances of these drugs in children. Therefore, an empirical
correction factor was introduced to MLP which substantially improved the
antimalarial drug clearances in children. CONCLUSIONS: Overall, the results of
the study indicated that interspecies scaling using adult rat, dog, and human
clearance values of antimalarial drugs could possibly be used to predict drug
clearance in children with malaria of different age groups and may be useful
during pediatric drug development of antimalarial drugs.
PMID- 26547923
TI - Attentional bias to threat in children at-risk for emotional disorders: role of
gender and type of maternal emotional disorder.
AB - Previous studies suggested that threat biases underlie familial risk for
emotional disorders in children. However, major questions remain concerning the
moderating role of the offspring gender and the type of parental emotional
disorder on this association. This study addresses these questions in a large
sample of boys and girls. Participants were 6-12 years old (at screening)
typically developing children participating in the High Risk Cohort Study for
Psychiatric Disorders (n = 1280; 606 girls, 674 boys). Children were stratified
according to maternal emotional disorder (none; mood disorder; anxiety disorder;
comorbid anxiety/mood disorder) and gender. Attention biases were assessed using
a dot-probe paradigm with threat, happy and neutral faces. A significant gender
by-parental emotional disorder interaction predicted threat bias, independent of
anxiety and depression symptoms in children. Daughters of mothers with an
emotional disorder showed increased attention to threat compared with daughters
of disorder-free mothers, irrespective of the type of maternal emotion disorder.
In contrast, attention bias to threat in boys only occurred in mothers with a non
comorbid mood disorder. No group differences were found for biases for happy-face
cues. Gender and type of maternal emotional disorder predict attention bias in
disorder-free children. This highlights the need for longitudinal research to
clarify whether this pattern of threat-attention bias in children relates to the
risk of developing anxiety and mood disorders later in life.
PMID- 26547924
TI - Factors associated with medical student clinical reasoning and evidence based
medicine practice.
AB - OBJECTIVES: To identify the factors associated with medical students' clinical
reasoning (CR) use and evidence-based medicine (EBM) use in the clinical setting.
METHODS: Our cross-sectional study surveyed 44 final-year medical students at an
emerging academic medical center in Singapore. We queried the students' EBM and
CR value and experiences in the classroom and clinical settings. We compared this
to their perceptions of supervisors' value and experiences using t-tests. We
developed measures of teaching culture and practice culture by combining relevant
questions into summary scores. Multivariate linear regression models were applied
to identify factors associated with the students' CR and EBM clinical use.
RESULTS: Eighty-nine percent of students responded (n=39). Students reported
valuing CR (p=0.03) and EBM (p=0.001) more than their supervisors, but practiced
these skills similarly (p=0.83; p=0.82). Clinical practice culture and classroom
CR experience were independently associated with students' CR clinical use
(p=0.05; p=0.04), and classroom EBM experience was independently associated with
students' EBM clinical use (p=0.03). Clinical teaching culture was not associated
with students' CR and EBM clinical use. CONCLUSIONS: Our study found that medical
students' classroom experience and the clinical practice culture influenced their
CR and EBM use. The clinical teaching culture did not. These findings suggest
that in order to increase student CR and EBM use, in addition to providing
classroom experience, medical educators may need to change the hospital culture
by encouraging supervisors to use these skills in their clinical practice.
PMID- 26547925
TI - Health-related quality of life of students from a private medical school in
Brazil.
AB - OBJECTIVES: To assess health-related quality of life (HRQOL) and to describe
factors associated with its variation among undergraduate medical students at a
Brazilian private medical school. METHODS: A cross-sectional study in a sample
(n=180) of medical students at a private medical school in Salvador, Brazil,
stratified by year of medical course. Data about age, sex, year of course,
physical activity, sleepiness, headaches, participation in a student loan program
supported by the Brazilian government (FIES) and living arrangements were
collected using a self-administered form. HRQOL was assessed by using a Brazilian
Portuguese version of the SF-36 form. The eight domains of SF-36 and the Physical
Component (PCS) and Mental Component (MCS) Summaries scales were calculated.
RESULTS: The medical students showed poor HRQOL, mainly because of the mental
component. Lower mean scores were found among those with FIES support, females,
those suffering from sleepiness, headaches and lacking physical activity. No
clear trend was observed in the variation of the SF-36 mean scores according to
the year of medical school. However, students in the fifth year of the course had
the highest HRQOL mean scores. CONCLUSIONS: Health-related quality of life of
students at this private medical school was poor, mainly because of its mental
component. Lower HRQOL was associated with FIES support, females, sleepiness,
headaches and lack of regular physical activity. Higher scores were found among
fifth year students.
PMID- 26547926
TI - NCI Funding Trends and Priorities in Physical Activity and Energy Balance
Research Among Cancer Survivors.
AB - There is considerable evidence that a healthy lifestyle consisting of physical
activity, healthy diet, and weight control is associated with reduced risk of
morbidity and mortality after cancer. However, these behavioral interventions are
not widely adopted in practice or community settings. Integrating heath behavior
change interventions into standard survivorship care for the growing number of
cancer survivors requires an understanding of the current state of the science
and a coordinated scientific agenda for the future with focused attention in
several priority areas. To facilitate this goal, this paper presents trends over
the past decade of the National Cancer Institute (NCI) research portfolio, fiscal
year 2004 to 2014, by funding mechanism, research focus, research design and
methodology, primary study exposures and outcomes, and study team expertise and
composition. These data inform a prioritized research agenda for the next decade
focused on demonstrating value and feasibility and creating desire for health
behavior change interventions at multiple levels including the survivor,
clinician, and healthcare payer to facilitate the development and implementation
of appropriately targeted, adaptive, effective, and sustainable programs for all
survivors.
PMID- 26547928
TI - RE: Circulating Adipokines and Inflammatory Markers and Postmenopausal Breast
Cancer Risk.
PMID- 26547927
TI - Benefit, Risk, and Outcomes in Drug Development: A Systematic Review of
Sunitinib.
AB - BACKGROUND: Little is known about the total patient burden associated with
clinical development and where burdens fall most heavily during a drug
development program. Our goal was to quantify the total patient burden/benefit in
developing a new drug. METHODS: We measured risk using drug-related adverse
events that were grade 3 or higher, benefit by objective response rate, and trial
outcomes by whether studies met their primary endpoint with acceptable safety.
The differences in risk (death rate) and benefit (overall response rate) between
industry and nonindustry trials were analyzed with an inverse-variance weighted
fixed effects meta-analysis implemented as a weighted regression analysis. All
statistical tests were two-sided. RESULTS: We identified 103 primary publications
of sunitinib monotherapy, representing 9092 patients and 3991 patient-years of
involvement over 10 years and 32 different malignancies. In total, 1052 patients
receiving sunitinib monotherapy experienced objective tumor response (15.7% of
intent-to-treat population, 95% confidence interval [CI] = 15.3% to 16.0%), 98
died from drug-related toxicities (1.08%, 95% CI = 1.02% to 1.14%), and at least
1245 experienced grade 3-4 drug-related toxicities (13.7%, 95% CI = 13.3% to
14.1%). Risk/benefit worsened as the development program matured, with several
instances of replicated negative studies and almost no positive trials after the
first responding malignancies were discovered. CONCLUSIONS: Even for a successful
drug, the risk/benefit balance of trials was similar to phase I cancer trials in
general. Sunitinib monotherapy development showed worsening risk/benefit, and the
testing of new indications responded slowly to evidence that sunitinib
monotherapy would not extend to new malignancies. Research decision-making should
draw on evidence from whole research programs rather than a narrow band of
studies in the same indication.
PMID- 26547929
TI - Comprehensive profiling of novel microRNA-9 targets and a tumor suppressor role
of microRNA-9 via targeting IGF2BP1 in hepatocellular carcinoma.
AB - MicroRNA-9 (miR-9) dysregulation is implicated in a variety of human malignancies
including hepatocellular carcinoma (HCC), but its role remains contradictory. In
this study, we explored the expression and methylation status of miR-9 in HCC
samples, as well as the tumor-related functions of miR-9 in vitro. Bioinformatics
analysis, array-based RNA expression profile, and literature retrieval were used
to identify miR-9 targets in HCC. The potential downstream candidates were then
validated by luciferase reporter assay, real-time quantitative PCR, and western
blot or enzyme linked immunosorbent assay (ELISA). The expression status and
clinicopathologic significances of miR-9 target genes in clinical samples were
further explored. The results showed that miR-9 was frequently downregulated in
primary HCC. Its silencing was largely contributed by a high frequency (42.5%) of
mir-9-1 hypermethylation, which was correlated with bigger tumor size (P =
0.0234). In vitro functional studies revealed that miR-9 restoration retarded HCC
cell proliferation and migration. IL-6, AP3B1, TC10, ONECUT2, IGF2BP1, MYO1D, and
ANXA2 were confirmed to be miR-9 targets in HCC. Among them, ONECUT2, IGF2BP1,
and ANXA2 were confirmed to be aberrantly upregulated in HCC. Moreover,
upregulation of ONECUT2, IGF2BP1, and IL-6 were significantly associated with
poor post-surgery prognosis (P = 0.0458, P = 0.0037 and P = 0.0461,
respectively). Mechanically, miR-9 plays a tumor suppressive role partially
through a functional miR-9/IGF2BP1/AKT&ERK axis. Our study suggests that miR-9
functions as a tumor suppressor in HCC progression by inhibiting a series of
target genes, including the newly validated miR-9/IGF2BP1/AKT&ERK axis, thus
providing potential therapeutic targets and novel prognostic biomarkers for HCC
patients.
PMID- 26547930
TI - Effects of Use of a Continuous Positive Airway Pressure Device on Glaucoma.
AB - BACKGROUND: The aim of this study was to investigate the prevalence of glaucoma
in obstructive sleep apnea syndrome (OSAS) and to determine the efficacy of the
equipment used in the treatment of this disease. MATERIAL AND METHODS: In this
cross-sectional study, 38 patients with OSAS used the continuous positive airway
pressure (CPAP) device (Group 1) and 32 patients with OSAS refused CPAP device
(Group 2). Thirty-six patients did not have OSAS (Group 3). RESULTS: Patient age,
gender, height, weight, and neck circumference did not differ among groups
(p>0.05); and the apnea-hypopnea index (AHI) and respiratory disturbance index
(RDI) values did not differ between Groups 1 and 2 (p>0.05). Vision and
pachymetric values did not differ among groups (p>0.05). The IOP was
significantly higher in Group 2 than in Group 1 (p<0.05) but did not differ
between Groups 1 and 3 (p>0.05). The fundus C/D ratio was significantly higher
(p<0.05) in Group 2 than in the other groups but did not differ between Groups 1
and 3 (p>0.05). In Group 1, 2, and 3, 5.2%, 12.5%, and 0%, respectively, of
patients had glaucoma. CONCLUSIONS: OSAS should be considered a significant risk
factor for glaucoma. Eye tests may help to identify individuals with undiagnosed
OSAS, and such testing of patients with diagnosed OSAS may allow early detection
of glaucoma and referral of such patients for CPAP therapy to prevent development
of complications.
PMID- 26547931
TI - Cancer Prevention: Obstacles, Challenges and the Road Ahead.
AB - Approaches to reduce the global burden of cancer include two major strategies:
screening and early detection and active preventive intervention. The latter is
the topic of this Commentary and spans a broad range of activities. The genetic
heterogeneity and complexity of advanced cancers strongly support the rationale
for early interruption of the carcinogenic process and an enhanced focus on
prevention as a priority strategy to reduce the burden of cancer; however, the
focus of cancer prevention management should be on individuals at high risk and
on primary localized disease in which screening and detection should also play a
vital role. The timing and dose of (chemo-)preventive intervention also affects
response. The intervention may be ineffective if the target population is very
high risk or already presenting with preneoplastic lesions with cellular changes
that cannot be reversed. The field needs to move beyond general concepts of
carcinogenesis to targeted organ site prevention approaches in patients at high
risk, as is currently being done for breast and colorectal cancers. Establishing
the benefit of new cancer preventive interventions will take years and possibly
decades, depending on the outcome being evaluated. We also propose that
comparative effectiveness research designs and the value of information obtained
from large-scale prevention studies are necessary in order for preventive
interventions to become a routine part of cancer management.
PMID- 26547932
TI - Preclinical Efficacy of Ado-trastuzumab Emtansine in the Brain Microenvironment.
AB - BACKGROUND: Central nervous system (CNS) metastases represent a major problem in
the treatment of human epidermal growth factor receptor 2 (HER2)-positive breast
cancer because of the disappointing efficacy of HER2-targeted therapies against
brain lesions. The antibody-drug conjugate ado-trastuzumab emtansine (T-DM1) has
shown efficacy in trastuzumab-resistant systemic breast cancer. Here, we tested
the hypothesis that T-DM1 could overcome trastuzumab resistance in murine models
of brain metastases. METHODS: We treated female nude mice bearing BT474 or MDA-MB
361 brain metastases (n = 9-11 per group) or cancer cells grown in organotypic
brain slice cultures with trastuzumab or T-DM1 at equivalent or equipotent doses.
Using intravital imaging, molecular techniques and histological analysis we
determined tumor growth, mouse survival, cancer cell apoptosis and proliferation,
tumor drug distribution, and HER2 signaling. Data were analyzed with one-way
analysis of variance (ANOVA), Kaplan-Meier analysis, and Coefficient of
Determination. All statistical tests were two-sided. RESULTS: T-DM1 delayed the
growth of HER2-positive breast cancer brain metastases compared with trastuzumab.
These findings were consistent between HER2-driven and PI3K-driven tumors. The
activity of T-DM1 resulted in a survival benefit (median survival for BT474
tumors: 28 days for trastuzumab vs 112 days for T-DM1, hazard ratio = 6.2, 95%
confidence interval = 6.1 to 85.84, P < .001). No difference in drug distribution
or HER2-signaling was revealed between the two groups. However, T-DM1 led to a
statistically significant increase in tumor cell apoptosis (one-way ANOVA for
ApopTag, P < .001), which was associated with mitotic catastrophe. CONCLUSIONS: T
DM1 can overcome resistance to trastuzumab therapy in HER2-driven or PI3K-driven
breast cancer brain lesions due to the cytotoxicity of the DM1 component.
Clinical investigation of T-DM1 for patients with CNS metastases from HER2
positive breast cancer is warranted.
PMID- 26547934
TI - Robert P. Scholz, 1939-2015.
PMID- 26547933
TI - ST3GAL1-Associated Transcriptomic Program in Glioblastoma Tumor Growth, Invasion,
and Prognosis.
AB - BACKGROUND: Cell surface sialylation is associated with tumor cell invasiveness
in many cancers. Glioblastoma is the most malignant primary brain tumor and is
highly infiltrative. ST3GAL1 sialyltransferase gene is amplified in a subclass of
glioblastomas, and its role in tumor cell self-renewal remains unexplored.
METHODS: Self-renewal of patient glioma cells was evaluated using clonogenic,
viability, and invasiveness assays. ST3GAL1 was identified from differentially
expressed genes in Peanut Agglutinin-stained cells and validated in REMBRANDT (n
= 390) and Gravendeel (n = 276) clinical databases. Gene set enrichment analysis
revealed upstream processes. TGFbeta signaling on ST3GAL1 transcription was
assessed using chromatin immunoprecipitation. Transcriptome analysis of ST3GAL1
knockdown cells was done to identify downstream pathways. A constitutively active
FoxM1 mutant lacking critical anaphase-promoting complex/cyclosome ([APC/C]-Cdh1)
binding sites was used to evaluate ST3Gal1-mediated regulation of FoxM1 protein.
Finally, the prognostic role of ST3Gal1 was determined using an orthotopic
xenograft model (3 mice groups comprising nontargeting and 2 clones of ST3GAL1
knockdown in NNI-11 [8 per group] and NNI-21 [6 per group]), and the correlation
with patient clinical information. All statistical tests on patients' data were
two-sided; other P values below are one-sided. RESULTS: High ST3GAL1 expression
defines an invasive subfraction with self-renewal capacity; its loss of function
prolongs survival in a mouse model established from mesenchymal NNI-11 (P < .001;
groups of 8 in 3 arms: nontargeting, C1, and C2 clones of ST3GAL1 knockdown).
ST3GAL1 transcriptomic program stratifies patient survival (hazard ratio [HR] =
2.47, 95% confidence interval [CI] = 1.72 to 3.55, REMBRANDT P = 1.92 x 10-8; HR
= 2.89, 95% CI = 1.94 to 4.30, Gravendeel P = 1.05 x 10-11), independent of age
and histology, and associates with higher tumor grade and T2 volume (P = 1.46 x
10-4). TGFbeta signaling, elevated in mesenchymal patients, correlates with high
ST3GAL1 (REMBRANDT gliomacor = 0.31, P = 2.29 x 10-10; Gravendeel gliomacor =
0.50, P = 3.63 x 10-20). The transcriptomic program upon ST3GAL1 knockdown
enriches for mitotic cell cycle processes. FoxM1 was identified as a
statistically significantly modulated gene (P = 2.25 x 10-5) and mediates ST3Gal1
signaling via the (APC/C)-Cdh1 complex. CONCLUSIONS: The ST3GAL1-associated
transcriptomic program portends poor prognosis in glioma patients and enriches
for higher tumor grades of the mesenchymal molecular classification. We show that
ST3Gal1-regulated self-renewal traits are crucial to the sustenance of
glioblastoma multiforme growth.
PMID- 26547935
TI - [Laparoscopic gastrointestinal surgery: 2D and 3D vs. robot-assisted. Robot
assisted surgery is superior to 2D and 3D laparoscopic surgery].
PMID- 26547936
TI - [2D laparoscopy is in accordance with China' national conditions].
PMID- 26547938
TI - [Total laparoscopic operation].
PMID- 26547937
TI - [Laparoscopic distal gastrectomy with Billorth I reconstruction for gastric
cancer: small incision assisted vs. total laparoscopy. Small incision assisted
laparoscopic operation].
PMID- 26547939
TI - [Should Denonvilliers' fascia be preserved during laparoscopic radical surgery
for rectal cancer? Value and feasibility of preserving Denonvilliers' fascia].
PMID- 26547940
TI - [Disection of partial Denonvilliers' fascia ensures better circumferential
resection margin].
PMID- 26547941
TI - [Is protective stoma necessary after low anterior resection for rectal cancer?
Protective stoma: disadvantages outweigh advantages].
PMID- 26547942
TI - [The benefits of protective stoma outweigh the costs].
PMID- 26547944
TI - [Sleeve gastrectomy followed by gastric bypass if necessary].
PMID- 26547943
TI - [Selection of laparoscopic bariatric procedures in patients with a body mass
index of 50 and higher. Definitive gastric bypass is superior to two-stage
operation].
PMID- 26547945
TI - [Chinese consensus of enhanced recovery after surgery for colorectal surgery
(2015)].
AB - The Chinese consensus of enhanced recovery after surgery for colorectal surgery
(2015) is the first guideline in the area of enhanced recovery after surgery
(ERAS) in colorectal surgery in China, which is drafted by a committee organized
by the China ERAS Group of GSPEN. The concept of ERAS is a series of evidence
based perioperative treatment to reduce the stress of the patients both
physically and psychologically and then achieve rapid recovery. This is a new
concept in the 21st century which is a revolution to the medical treatment and
rehabilitation mode. There are a lot of benefits in ERAS: (1)More effective
treatment; (2)Less postoperative complications; (3)Accelerating rehabilitation;
(4)Shortening of hospital stay; (5)Reduction of medical expenses; (6)Relief of
the financial burden both on the family hood and the social security system. With
the successful application of ERAS in colorectal surgery, both literature and
clinical experiences are reviewed by the committee to draft this consensus.
PMID- 26547946
TI - [ACTION OF MODULATORS OF LARGE-CONDUCTANCE Ca2+-ACTIVATED K+ CHANNELS ON RAT
ASCITES HEPATOMA CELLS AND ISOLATED RAT LIVER MITOCHONDRIA TREATED BY Cd2+].
AB - ATP-producing cell organelles, mitochondria, are the primary target for heavy
metals which are major environmental pollutants and cause various pathological
conditions and diseases. It has been established that the mechanism of toxic
action of heavy metals, includes changes in the intracellular production of
reactive oxygen species and mitochondrial dysfunction mediated by disturbances of
the respiratory chain and by activation of Ca2+-dependent nonselective pore of
the inner mitochondrial membrane. The role of other ion channels, in particular
such selective potassium channels as Ca2+ activated large-conductance potassium
channels, BK(Ca), considered to be <> for a cell, is practically not
investigated. In the present work on rat ascites hepatoma AS-30D cells and
isolated rat liver mitochondria we studied action of different BK(Ca) effectors
in the absence and presence of Cd2+ in the assay medium, namely of two its
openers, N51619 and N5004,and one blocker, paxilline. After 24 h-incubation of AS
30D cells with 10 uM of either NS 1619 or N5004, the number of apoptotic cells
was found to increase compared with control. Besides, the presence of these
BK(Ca) openers in the media produced an additive effect on Cd2+-induced apoptosis
of AS-30D cells. The same concentration of N51619 and N5004 did not affect
significantly respiration ofAS-30D cells after 3, 24 and 48 h of incubation but
produced a substantial increase in intracellular production of reactive oxygen
species after 3 h of the treatment. In experiments on isolated rat liver
mitochondria NS1619 and N5004, added at the same concentration to the KCI
containing medium, had no effect on the respiratory rate at state 3 by Chance and
on the maximally uncoupled respiration rate (both in the presence and absence of
Cd2+); at the same time they induced a weak uncoupling action by accelerating the
basal respiration and the resting state respiration (at state 4 by Chance) as
well as they enlarged the high-amplitude mitochondrial swelling induced by Cd2+
in this medium. It was shown that paxilline, at concentration of 1 uM, decreased
the mortality of AS-30D cells after 3, 24 and 48 h of incubation in the presence
of Cd2+ and enhanced intracellular production of reactive oxygen species in
control cells after 3 and 24 h of incubation. At concentration producing a long
term protective effeet, paxilline did not influence the respiration of AS-30D
cells and isolated rat liver mitochondria (both in the presence and absence of
Cd2+) and did not decrease mitochondrial swelling observed in the presence of
Cd2+ and the BK(Ca) activators. Possible molecular mechanisms of action of the
BK(Ca) modulators are discussed.
PMID- 26547947
TI - [ELEMENTS OF THE LOW MOLECULAR WEIGHT CHAIN OF ANTIOXIDANT DEFENSE IN TISSUES OF
THE BLACK SEA MOLLUSC ANADARA KAGOSHIMENSIS BRUGUIERE].
AB - The content of reduced glutathione (GSH) and the activity of the coupled with it
antioxidant enzymes - glutathione peroxidase and glutathione reductase as well
the level of glucose, carbamide and amino acids were investigated in the
hepatopancreas, gills and foot of the Black, Sea mollusk Anadara kagoshimensis.
The highest content of GSH and the highest activity of glutathione peroxidase
were found in mollusk foot, evidencing the active antioxidant role of glutathione
played both within composition of this enzyme and independently. The maximal
content of glucose, amino acids and carbamide was in the hepatopancreas and gills
and the minimal - in the anadara's foot. The possible involvement and role of
these low molecular weight antioxidants in the defense of mollusk tissues against
action of free radical oxidation and in providing adaptation reactions of anadara
in hypoxic habitats are considered. Key words: antioxidant complex, glutathione,
glucose, carbamide, amino acids, anadara Anadara kagoshimensis, Black Sea.
PMID- 26547948
TI - [THE THYROID STATUS OF RATS IMMUNIZED WITH PEPTIDES DERIVED FROM THE
EXTRACELLULAR REGIONS OF THE TYPES 3 AND 4 MELANOCORTIN RECEPTORS AND THE 1B
SUBTYPE 5-HYDROXYTRYPTAMINE RECEPTOR].
AB - The activity of the hypothalamic-pituitary-thyroid (HPT) axis is controlled by
the brain neurotransmitter systems, including the melanocortin signaling system.
Pharmacological inhibition of type 4 melanocortin receptor (M4R) leads to
disruption of the functioning of HPT axis and to reduction of the level of
thyroid hormones. At the same time, the data on how prolonged inhibition of M4R
affects this axis and on its role in regulation of M3R are absent. The
relationship between the thyroid status and the activity of 1B-subtype 5
hydroxytryptamine receptor (5-HT1BR) is scarcely explored. The aim of this work
to study the effects of chronic inhibition of M3R, M4R and 5-HT1BR induced by
immunization of rats with BSA-conjugated peptide derived from the extracellular
regions of these receptors on the thyroid status and the activity of thyroid
stimulating hormone (TSH)-sensitive adenylyl cyclase signaling system (ACSS) in
the thyroid glarid (TG) of the immunized animals. In rats immunized with the
peptides K-[TSLHL WNRSSHGLHG11-25]-A of M4R, A[PTNPYCICTTAH269-280]-A of M3R and.
[QAKAEE-EVSEC(Acm)-VVNTDH189-205]-A of 5-HT1BR levels of thyroid hormones such as
fT4, tT4 and tT3 were significantly reduced. In rats immunized with M4R and M3R
peptides, an increase of TSH was detected whereas in the animals immunized with 5
HT1BR peptide the level of TSH, on the contrary, was reduced. In the TG of rats
immunized with M4R and M3R peptides, the stimulatory effects of hormones (TSH, PA
CAP-3 8) and GppNHp on adenylyl cyclase activity were attenuated, and the changes
were most pronounced in the case M4R peptide immunization. After immunization
with 5-HT1BR peptide the stimulatory effects of TSH, PACAP-38 and GppNHp were
retained. Thus, the main cause of thyroid hormones deficit in rats immunized with
M4R and M3R peptides was the decreased sensitivity of ACSS thyrocytes to TSH,
whereas in rats iimunized with 5-HT1BR peptide the deficit of thyroid hormones
was associated with decreased level of TSH. Our data on the negative impact of
long-term immunization of rats with BSA-conjugated peptides derived from the
extracellular regions of M4R, M3R.and 5-HT1BR on their thyroid status is a strong
argument in favor of participation of these receptors and intracellular signaling
pathways associated with them in the regulation of HPT axis.
PMID- 26547949
TI - [PHOSPHOLIPIDS AND FATTY ACIDS IN ERYTHROCYTES OF THE LAMPREY LAMPETRA
FLUVIATILIS DURING AUTUMN PRESPAWNING PERIOD AND THE ABSORPTION SPECTRUM OF THEIR
LIPID EXTRACT].
AB - The content of some classes of phospholipids and their fatty acid composition in
erythrocytes of the lamprey Lampetrafluviatilis during the autumn period of its
prespawning migration are investigated. It is found that the phospholipid
spectrum of erythrocytes of the lamprey, the oldest representative of
vertebrates, is similar to that of many mammals. A four-fold prevalence of
phosphatidilcholine content over sphingomyelin content as well as prevalence of
(omega3-acids over omega6-acids indicates the <> of lamprey's
erythrocyte membranes - an important indicator of deformational ability of
lamprey's erythrocytes. Phosphatidilethanol amine and its plasmalogenic form are
the most unsaturated phospholipids (their unsaturation indices are 230 and 342,
correspondingly). Phosphatidilcholine is the most saturated one (UI is 167). It
is found that the basic acid indicators characterizing the fluidity of
erythrocyte membranes remain unchanged during the whole period of prespawning
migration of lampreys up to spawning. The blood contains several buffer systems,
in particular, membrane phospholipids which neutralize acids and alkali incoming
into the blood. In the process of organism life a change of pH inside
erythrocytes occurs. One can suppose that the base of the system associated with
buffer properties of the blood is water dissociation. Inside thin vessels of the
circulatory system the hemoglobin attaches and returns molecules of oxygen due to
interaction of the buffer systems with water. The property of water to dissociate
as well as ion transfer produce in erythrocytes, lying within narrow vessels of
the circulatory system, a local pH alteration allowing displacing/attaching the
molecule of oxygen from hemoglobin.
PMID- 26547950
TI - [HOMOCYSTEINE-INDUCED MEMBRANE CURRENTS, CALCIUM RESPONSES AND CHANGES OF
MITOCHONDRIAL POTENTIAL IN RAT CORTICAL NEURONS].
AB - Homocysteine, a sulfur-containing amino acid, exhibits neurotoxic effects and is
involved in the pathogenesis of several major neurodegenerative disorders. In
contrast to well studied excitoxicity of glutamate, the mechanism of homocysteine
neurotoxicity is not clearly understood. By using whole-cell patch-clamp, calcium
imaging (fluo-3) and measurements of mitochondrial membrane potential (rhodamine
123) we studied transmembrane currents, calcium signals and changes in
mitochondrial membrane potential induced by homocysteine versus responses induced
by NMDA and glutamate in cultured rat cortical neurons. L-homocysteine (50 uM)
induced inward currents that could be completely blocked by the selective
antagonist of NMDA receptors - AP-5. In contrast to NMDA-induced currents,
homocysteine-induced currents had a smaller steady-state amplitude. Comparison of
calcium responses to homocysteine, NMDA or glutamate demonstrated that in all
cortical neurons homocysteine elicited short, oscillatory-type calcium responses,
whereas NMDA or glutamate induced sustained increase of intracellular calcium.
Analysis of mitochondrial changes demonstrated that in contrast to NMDA
homocysteine did not cause a drop of mitochondrial membrane potential at the
early stages of action. However, after its long-term action, as in the case of
NMDA and glutamate, the changes in mitochondrial membrane potential were
comparable with the full drop of respiratory chain induced by protonophore FCCP.
Our data suggest that in cultured rat cortical neuron homocysteine at the first
stages of action induces neurotoxic effects through activation of NMDA-type
ionotropic glutamate receptors with strong calcium influx through the channels of
these receptors. The long-term action of homocysteine may lead to mitochondrial
disfuction and appears as a drop of mitochondrial membrane potential.
PMID- 26547951
TI - [DIFFERENCES IN ADAPTIVE BEHAVIORS IN ADOLESCENT MALE AND FEMALE RATS EXPOSED AS
NEWBORNS TO INFLAMMATORY PAIN OR STRESS].
AB - In adolescent rats (25-35-day-old) exposed as newborns (the first and repeatedly
second days) to adverse impacts (inflammatory pain, stress of short-term maternal
separation or their combination) sex dimorphism was revealed in pain behavior
under conditions of similar peripheral inflammation. According to the priority
data obtained, strengthening of pain-related response in the formalin test was
found in males, whereas pain sensitivity in females was not changed, that is pain
experienced by them as newborns did not affect the system reactivity to the same
chemical irritant in the adolescent period. However, the rats of both sexes, who
experienced short-term stress of maternal deprivation (60 min-during the first
and the second days of life), displayed increased pain sensitivity in the
formalin test. Combined effect of inflammatory pain and maternal deprivation in
newborns did not alter pain sensitivity in both adolescent males and adolescent
females. The male and female rats exposed as newborns to maternal deprivation
displayed a decrease of the anxiety level in the elevated plus maze; the rats,
exposed to each of the above-mentioned early impacts showed a decline of adaptive
behavior in the forced swimming test; the males exposed to pain and combined
impacts demonstrated impairment of spatial learning in Morris labyrinth. Thus, we
pioneered in demonstrating sex differences in the effects of inflammatory pain in
newborn pups on pain sensitivity in the formalin test in adolescent rats.
Separation of the influence of early stress or pain was revealed in adolescent
females in the formalin test: maternal deprivation induced hyperalgesia, whereas
pain failed to change functional activity of the tonic nociceptive system.
PMID- 26547952
TI - [STUDY OF THE EFFICACY OF REGULATION OF THE BLOOD SERUM PHYSICOCHEMICAL
PARAMETERS IN CHILDREN WITH DYSFUNCTION OF PHYSIOLOGICAL SYSTEMS].
AB - The physicochemical parameters of blood serum (osmolality, concentration of
several ions, total protein, glucose) were studied in 200 children of different
age with various forms of pathology. The variability of each parameter was
calculated. A high level of stability of the parameters studies was revealed in
healthy children and in children with dysfunction of various systems (disease of
the respiratory system, gastrointestinal tract, renal and urinary tract, nervous
and endocrine systems). However, estimation of their coefficients of variation
showed significant individual deviations of these parameters from the average
value of the examined patients. This fact reflects the extent of efficacy of
activity of different organs and regulatory systems under pathological processes.
Combination of clinical and ontogenetic methods of evolutionary physiology in
this study opens new possibilities for understanding the nature of regulation of
water-salt balance in humans and points out to the expedience of using these
approaches in the practical medicine.
PMID- 26547953
TI - [VOCALIZATIONS OF SEX PARTNERS IN THE HOUSE MOUSE (MUS MUSCULUS)].
AB - Acoustic parameters of the house mouse male and female vocalizations accompanying
the sexual behavior were studied. The spectral-temporal analysis of female
defensive call and male ultrasound call was carried out for adult house mice-
hybrids of outbreed strains CBA and C57B1/6. The principal feature of the female
defensive call is its harmonic structure formed by three--five main harmonics
with the fundamental frequency about 3 kHz. The major energy of the signal is
concentrated below 25 kHz. The basic harmonic structure could be modified by
frequency and amplitude modulation or/and.noise. Call duration varied widely from
40 up to 470 ms with the average value of 180 +/- 70 ins. The principal feature
of male ultrasound vocalization is its low intensity and rareness of noise
components. Depending on semantic load of the call, its fundamental frequency
averaged 67.3 +/- 8.5 or 45.6 +/- 3.7 kHz. Male ultrasound vocalizations were
shorter than female defensive calls and their duration did not exceed 220 ms. Key
words: house mouse, acoustic structure of vocalizations, sexual behavior, sound
and ultrasound vocalizations of rodents.
PMID- 26547954
TI - [CHANGE OF CHARACTER OF INTERSYSTEMIC INTERACTIONS IN NEWBORN RAT PUPS UNDER
CONDITIONS OF A DECREASE OF MOTOR ACTIVITY].
AB - Interaction of slow-wave.rhythmic components of cardiac, respiratory.and motor
activity was investigated in newborn rat pups on the first day after birth under
normal conditions and after pharmacological depression of spontaneous periodic
motor activity (SPMA) produced by injecting myocuran (myanesin) at low (100
mg/pg, i/p) and maximal (235 mg/pg, i/p) dosages. The data obtained allow to
infer that in rat pups after birth the intersystemic interactions are realized
mainly via slow-wave oscillations of about-one- and many-minute ranges whereas
the rhythms of decasecond range do not play a significant role in integrative
processes. Injection of miocuran at a dose causing no muscle relaxation and no
inhibition of motor activity produces changes of the cardiac and respiratory
rhythms as well as a transitory decrease of the magnitude of coordinate relations
mediated by the rhythms of about-one- and many-minute ranges. The consequences of
muscle relaxant injection were found to be more significant for intersystemic
interactions with participation of the respiratory system. An increase of the
dosage and, correspondingly, the total inhibition of SPMA is accompanied by
reduction of the slow-wave components from the pattern of cardiac and respiratory
rhythms. The cardiorespiratory interactions, more expressed in intact rat pups,
are reduced in the about-one- and many-minute ranges of modulation whereas in the
decasecond range of modulation they are slightly increased. Key words: early
ontogenesis, intersystemic interactions, cardiac rhythm, respiration, motor
activity, myocuran (myanesin).
PMID- 26547955
TI - [SPECIFICITY OF CONTENT AND MORPHOLOGY OF PERIPHERAL BLOOD CELLS IN THREE BAT
SPECIES OF KARELIA DURING HIBERNATION].
AB - Pronounced leukopenia during hibernation was found in bats (Chiroptera) of
Karelia - brown long-eared bat (Plecotus auritus), Brandt's bat (Myotis brandtii)
and northern bat (Eptesicus nilssoni). A higher content of lymphocytes,
eosinophils and basophils was revealed in the northern bat featuring an increased
resistance to cold as compared to the Brandt's bat and the brown long-eared bat.
The differences in ratio of particular lymphocyte types may be related to
biological, ecological and physiological peculiarities of the species studied.
PMID- 26547956
TI - [SENSITIZATION OF NICOTINIC ACETYLCHOLINE RECEPTOR OF SOIL NEMATODE
CAENORHABDITIS ELEGANS THROUGH ACTIVATION OF MUSCARINIC RECEPTORS BY ARECOLINE].
PMID- 26547957
TI - [CHANGE IN THE PARAMETERS OF CALLING SONG OF THE CRICKET GRYLLUS BIMACULATUS DEG.
IN THE PROCESS OF INDIVIDUAL DEVELOPMENT].
PMID- 26547958
TI - STABILITY OF NATIVE AND MODIFIED alpha-GALACTOSIDASE OF Cladosporium
cladosporioides.
AB - By modifying carbohydrate component of glycoproteins it is possible to elucidate
its role in manifestation of structural and functional properties of the enzyme.
The comparison of activity and stability of the native and modified by oxidation
with sodium periodate alpha-galactosidase of Cladosporium cladosporioides was
carried out. To determine alpha-galactosidase activity the authors used n
nitrophenyl synthetic substrate, as well as melibiose; raffinose and stachyose.
Modification of the carbohydrate component had a significant effect on catalytic
properties of the enzyme. Both the reduction of V and enzyme affinity for natural
and synthetic substrates were observed The native enzyme retained more than 50%
ofthe maximum activity in the range of 20-60 degrees C, while for the modified
enzyme under the same conditions that temperature range was 30-50 degrees C. The
modified alpha-galactosidase demonstrated a higher thermal stability under
neutral pH conditions. The residual activity of the modified alpha-galactosidase
was about 30% when treated with 70% (v/v) methanol, ethanol and propanol. About
50% of initial activity was observed when 40% ethanol and propanol, and 50%
methanol were used. It was shown that the modification of C. cladosporioides
alpha-galactosidase by sodium periodate is accompanied by a significant decrease
in enzyme activity and stability, probably caused by topological changes in the
tertiary and quaternary structure of the protein molecule.
PMID- 26547959
TI - EFFECT OF DIPHTHERIA TOXIN T-DOMAIN ON ENDOSOMAL pH.
AB - A key step in the mode of cytotoxic action of diphtheria toxin (DT) is the
transfer of its catalytic domain (Cd) from endosomes into the cytosol. The main
activity in this process is performed by the transport domain (Td), but the
molecular mechanism of its action remains unknown. We have previously shown that
Td can have some influence on the endosomal transport of DT The aim of this work
was to study the effect of diphtheria toxin on the toxin compartmentalization in
the intracellular transporting pathway and endosomal pH. We used recombinant
fragments of DT which differed only by the presence of Td in their structure,
fused with fluorescent proteins. It was shown that the toxin fragment with Td
moved slower by the pathway early-late endosomes-lysosomes, and had a slightly
different pattern of colocalization with endosomal markers than DT fragment
without Td. In addition, endosomes containing DT fragments with Td had a constant
pH of about 6.5 from the 10th to 50th minute of observation, for the same time
endosomes containing DT fragments without Td demonstrated a decrease in pH from
6.3 to 5.5. These results indicate that Td inhibits acidification of endosomal
medium. One of possible explanations for this may be the effect of the ion
channel formed by the T-domain on the process of the endosomal acidification.
This property of Td may not only inhibit maturation of endosomes but also inhibit
activation of endosomal pH-dependent proteases, and this promotes successful
transport of Cd into the cell cytosol.
PMID- 26547960
TI - INHIBITORY POTENTIAL OF POLYHYDROXYLATED FULLERENES AGAINST PROTEIN TYROSINE
PHOSPHATASE 1B.
AB - Inhibition of PTP1B by polyhydroxylated fullerenes was studied in silico and in
vitro. The enzyme kinetics in the presence of polyhydroxy small gap fullerenes
showed that reciprocal value of maximum velocity non-linearly increases with
increasing the inhibitor concentration. Analysis of the dose-dependent curve of
PTP1B inhibition suggests an apparent positive cooperativity with involvement of
at least two binding sites for the hydroxylated fullerene cages. Molecular
docking calculations indicated that highly hydroxylated fullerene C60 may occupy
the active site and additional allosteric binding site with similar affinity. In
silico analysis of a number of fullerenols with 6, 12, 18, 24, 30, and 36
hydroxyl groups showed that the inhibitory activity may depend on the degree of
hydroxylation of the nanoparticles surface. These data provide some understanding
of the mechanisms of inhibitory action of fullerenols on activity of protein
tyrosine phosphatases.
PMID- 26547961
TI - BIOCHEMICAL MECHANISMS OF RESISTANCE TO p-NITROCHLOROBENZENE OF KARST CAVES
MICROORGANISMS.
AB - The biochemical mechanisms of resistance to persistent organic xenobiotic p
nitrochlorobenzene (NCB) of bacterial strains isolated from two cave clays
ecosystems-Mushkarova Yama (Podolia, Ukraine) and Kuybyshevskaya (Western
Caucasus, Abkhazia) have been established It has been determined that
chemoorganotrophic karst caves strains could interact with NCB and transform it
reducing the nitro group withformation of p-chloroaniline (ClA) followed by
further destruction of NCB aromatic ring. This explained high resistance of caves
strains to NCB. The studied strains could potentially be used in wastewater
treatment from nitrochloraromatic compounds.
PMID- 26547962
TI - HEPATOPROTECTIVE ACTIVITY OF EXOGENOUS RNA.
AB - Hepatoprotective activity of Nuclex, a pharmaceutical composed of low-molecular
yeast RNA, was investigated during acute and chronic thioacetamide-induced
hepatotoxicity. It is demonstrated, that Nuclex administration at a dose of 200
mg/kg during acute and chronic liver injury produces hepatoprotective effect,
which is associated with decrease in liver parenchyma lesions and in its
inflammatory infiltration. Nuclex application attenuates thioacetamide-induced
free radical damage of hepatic biopolymers, expressed in the reduction of TBA
reactive products, carbonyl derivatives, and recovery of protein thiol groups and
reduced glutathione levels.
PMID- 26547963
TI - THE SENSITIVITY OF CELLS WITH THE VARIOUS LEVEL OF NAD(P)H:QUINONE OXIDOREDUCTASE
1 TO CYTOTOXIC ACTION OF QUINONIMINES AND alpha-TOCOPHEROL SYNTHETIC DERIVATIVES.
AB - The effects of alpha-tocopherol with shortened to 6 carbon atoms side chain
(alpha-Toc-C6), alpha-tocopherol succinate (alpha-TS) and quinonimine 2,6
dichlorophenolindophenol (DCPIP) on DT-diaphorase activity and viability of rat
thymocytes, splenocytes and hepatocytes were investigated. It was shown that the
lowest basal activity of the enzyme is inherent in splenocytes. In comparison to
splenocytes, DT-diaphorase activity was 1.4 and 5 times higher in thymocytes and
hepatocytes, respectively. It was found that the sensitivity of cells to the
cytotoxic effect of DCPIP was inversely proportional to the basal level of DT
diaphorase activity and accompanied by its activation with subsequent inhibition
at non-toxic and toxic concentrations, respectively. Hepatocytes were least
sensitive to the cytotoxic effect of alpha-Toc-C6. In thymocytes and splenocytes
alpha-Toc-C6 exerts inhibitory effects on DT-diaphorase, whereas in hepatocytes
an increased activity of the enzyme was observed, which probably caused their
high survival rate. Simultaneous induction of cytochrome P450 enzyme expression
by alpha-Toc-C6 in hepatocytes is also possible. Cytotoxic effect of alpha-TS
does not depend on the basal level of DT-diaphorase activity in cells, is not
accompanied by its induction and it is most likely determined by the non-specific
esterase activity.
PMID- 26547964
TI - ACTIVITY AND ISOZYME CONTENT OF LACTATE DEHYDROGENASE UNDER LONG-TERM ORAL
TAURINE ADMINISTRATION TO RATS.
AB - The effect of long-term oral taurine administration to rats on activity of
lactate dehydrogenase (LDH), its isozyme content and activity in the whole blood,
liver, thigh muscle, brain and testes tissues were studied in the present work.
For this purpose male Wistar rats with body weight 190-220 g were randomly
divided into three groups, they were orally administered drinking water (control
group) or taurine solution 40 and 100 mg per kg of body weight ( groups I and II,
respectively). The total lactate dehydrogenase activity was measured
spectrophotometrically, the percentage content of isozymes was determined by
electrophoresis in 7.5% poliacrylamide gel withfurther staining according to J.
Garbus. It was found that the total lactate dehydrogenase activity increased in
all studied tissues. In testes of animals of both groups and in brain of group I
animals, the total percentage contents of isozymes that are responsible for
lactate production (LDH4+LDH5) increased. In liver of animals of both groups and
in whole blood of group II animals, the total percentage content of isozymes that
produce pyruvate (LDH1+LDH2) increased. In thigh muscle of both groups and in
brain of group II animals the balance between LDH1+LDH2 and LDH4+LDH5 content did
not differ from control values, though total lactate dehydrogenase activity was
significantly higher, than that in the control group. Thus, the increase in the
lactate dehydrogenase activity under long-term oral taurine administration in
different rat tissues was found to be tissue- and dose-dependent and was caused
by the increase in the content of different isozymes. Such increase in group I
animals might be explained by adaptive mechanisms to hypoxia caused by high doses
of taurine. For group II animals high doses of taurine were toxic and directly
affected metabolic processes in the animal bodies.
PMID- 26547965
TI - [ACTIVITY OF Ca2+,Mg(2+)-ATPase OF SARCOPLASMIC RETICULUM AND CONTRACTION
STRENGTH OF THE FROG SKELETAL MUSCLES UNDER THE EFFECT OF ORGANOPHOSPHORUS
INSECTICIDES].
AB - The results of an experimental study of organophosphorus insecticides, including
pirimiphosmethyl, diazinon and chlorpyrifos caused a decline of the contraction
properties in m. tibialis anterior fiber bundles of Rana temporaria, as well as
sarcoplasmic reticulum Ca2+, Mg(2+)-ATPase enzymatic activity reduction are
outlined in this paper. Concentration-dependent strengths response diminishing in
isolated skeletal muscle fiber bundles as a result of non-cholinergic influence
of organophosphorus insecticides were found. A decrease of Ca2+, Mg(2+)-ATPase
enzymatic activity in sarcoplasmic reticulum was observed after administration of
each insecticide. The most significant inhibition of this enzyme was observed
when using chlorpyrifos.
PMID- 26547966
TI - REDOX-SENSITIVE TRANSCRIPTION FACTORS EGR-1 AND SP1 IN THE PATHOGENESIS OF
EXPERIMENTAL GASTRIC ULCER.
AB - Changes in redox status of gastric mucosa cells are the main pathogenic factor of
gastric erosion and gastric ulcer development. Pro-oxidants can affect cell
transcription activity via changes in redox-sensitive transcription factors. Egr
1 and Sp-1 may regulate the transcription of genes that are associated with the
pathogenesis of gastric ulcer (growthfactors, cell cycle regulators, etc.). The
aim of the present study was to reveal the possible involvement of zinc-finger
transcriptionfactors Egr-1 & Sp-1 in the molecular mechanisms underlying gastric
lesions caused by aspirin administration and stress. Gastric ulcer was induced in
male rats (180-220 g) by immobilization stress combined with water-immersion (IMO
WI) or aspirin gavage (10 mg/100 g). The rats were euthanized 20 min, 1 hour, or
3 hours following the ulcerogenic factor exposure. Protein expression was
determined by Western blot analysis and RT-PCR; levels of SH-groups of proteins
were determined by method of Ellman et al. Development of gastric ulcer lesions
was associated with twofold (P < 0.05) decrease in concentration of protein SH
groups in the rat gastric mucosa. These changes were accompanied by significant
(P < 0.05) increase in the expression of Egr-1 mRNA and protein in both gastric
ulcer models, and the changes in IMO-WI were more profound. Increased levels of
Egr-1 were associated with the decrease in SpI protein levels. We showed for the
first time the competitive interaction between redox-sensitive transcription
factors Egr-1 and Sp1 in the early phases of gastric ulcer development, which
might facilitate inducible transcriptional activity of Egr-1 at the expense of
reduction in Sp1 activity.
PMID- 26547967
TI - [THE ALKALOID-FREE FRACTION FROM Galega officinalis EXTRACT PREVENTS OXIDATIVE
STRESS UNDER EXPERIMENTAL DIABETES MELLITUS].
AB - The effect of alkaloid-free fraction from Galega officinalis extract on the
process of formation of reactive oxygen species and indicators of prooxidant
antioxidant balance was investigated in rat peripheral blood under conditions of
experimental diabetes mellitus. It was shown that alkaloid-free fraction from
Galega officinalis extract prevents oxidative stress development in rats with
streptozotocin-induced diabetes, providing antioxidant and antiradical
mobilization mechanisms to protect the blood system. In the case of extract
application to animals with studied pathology, one can observe a reducing effect
of reactive oxygen species generation in leukocytes, inhibition of proteins and
lipids oxidative modification processes and increased activity of key enzymes of
rat peripheral blood antioxidant system (superoxide dismutase, catalase and
glutathione peroxidase). The revealed biological effect could be explained by the
presence of biologically active substances with antioxidant properties in the
extract composition (phytol and flavonoids).
PMID- 26547968
TI - IDENTIFICATION OF PROTEIN FRACTIONS OF MILK COWS CASEIN COMPLEX.
AB - To date, dozens of biologically active peptides formed during proteolysis of
casein fractions have been discovered. The use of these peptides is closely
related to the necessity of their rapid identification. The aim of this work was
the development of an electrophoresis system for rapid identification of
individual fractions in serial studies and the separation of the milk casein
complex. Considering the abnormal nature of the interaction of caseins with the
sodium dodecyl sulfate and similar values of their molecular masses, the anode
electrophoresis system in a homogeneous polyacrylamide gel was taken as a basis.
Caseins, in this system, are separated according to their charge and located on
the electrophoregram in accordance with the modern classification. Urea was used
as a disaggregating agent in gel. It was shown that the use of Studier type
apparatus for electrophoresis with changeable dimensions of electrophoretic
chamber significantly reduces (to 45 min) the time for identification of casein
fractions. This method may be useful for rapid identification of casein
fractions, as well as for rapid analysis of natural milk and milk products.
PMID- 26547969
TI - Invention activity of the Department of Lipid Biochemistry at Palladin Institute
of Biochemistry.
PMID- 26547970
TI - An effective hybrid approach of gene selection and classification for microarray
data based on clustering and particle swarm optimization.
AB - In this paper, a hybrid approach based on clustering and Particle Swarm
Optimisation (PSO) is proposed to perform gene selection and classification for
microarray data. In the new method, firstly, genes are partitioned into a
predetermined number of clusters by K-means method. Since the genes in each
cluster have much redundancy, Max-Relevance Min-Redundancy (mRMR) strategy is
used to reduce redundancy of the clustered genes. Then, PSO is used to perform
further gene selection from the remaining clustered genes. Because of its better
generalisation performance with much faster convergence rate than other learning
algorithms for neural networks, Extreme Learning Machine (ELM) is chosen to
evaluate candidate gene subsets selected by PSO and perform samples
classification in this study. The proposed method selects less redundant genes as
well as increases prediction accuracy and its efficiency and effectiveness are
verified by extensive comparisons with other classical methods on three open
microarray data.
PMID- 26547971
TI - A graph-based integrative method of detecting consistent protein functional
modules from multiple data sources.
AB - Many clustering methods have been developed to identify functional modules in
Protein-Protein Interaction (PPI) networks but the results are far from
satisfaction. To overcome the noise and incomplete problems of PPI networks and
find more accurate and stable functional modules, we propose an integrative
method, bipartite graph-based Non-negative Matrix Factorisation method (BiNMF),
in which we adopt multiple biological data sources as different views that
describe PPIs. Specifically, traditional clustering models are adopted as
preliminary analysis of different views of protein functional similarity. Then
the intermediate clustering results are represented by a bipartite graph which
can comprehensively represent the relationships between proteins and intermediate
clusters and finally overlapping clustering results are achieved. Through
extensive experiments, we see that our method is superior to baseline methods and
detailed analysis has demonstrated the benefits of integrating diverse clustering
methods and multiple biological information sources.
PMID- 26547973
TI - Analysing large biological data sets with an improved algorithm for MIC.
AB - The computational framework used the traditional similarity measures to find out
the significant relationships in biological annotations. But its prerequisites
that the biological annotations do not cooccur with each other is particular. To
overcome it, in this paper a new method Improved Algorithm for Maximal
Information Coefficient (IAMIC) is suggested to discover the hidden regularities
between biological annotations. IAMIC approximates a novel similarity coefficient
on maximal information coefficient with generality and equitability, by bettering
axis partition through quadratic optimisation instead of violence search. The
experimental results show that IAMIC is more appropriate for identifying the
associations between biological annotations, and further extracting the novel
associations hidden in collected data sets than other similarity measures.
PMID- 26547972
TI - Exploiting multi-layered vector spaces for signal peptide detection.
AB - Analysing and classifying sequences based on similarities and differences is a
mathematical problem of escalating relevance and importance in many scientific
disciplines. One of the primary challenges in applying machine learning
algorithms to sequential data, such as biological sequences, is the extraction
and representation of significant features from the data. To address this
problem, we have recently developed a representation, entitled Multi-Layered
Vector Spaces (MLVS), which is a simple mathematical model that maps sequences
into a set of MLVS. We demonstrate the usefulness of the model by applying it to
the problem of identifying signal peptides. MLVS feature vectors are generated
from a collection of protein sequences and the resulting vectors are used to
create support vector machine classifiers. Experiments show that the MLVS-based
classifiers are able to outperform or perform on par with several existing
methods that are specifically designed for the purpose of identifying signal
peptides.
PMID- 26547974
TI - miRNA target recognition using features of suboptimal alignments.
AB - MicroRNAs (miRNAs) are a class of short RNA molecules that regulate gene
expression by binding directly to messenger RNAs. Conventional approaches to
miRNA target prediction estimate the accessibility of target sites and the
strength of the binding miRNA by finding optimums of some energy models, which
involves O(n3) computations. Alternatively, we narrow down potential binding
sites of miRNAs to suboptimal hits of a pairwise alignment algorithm called
Fitting Alignment in O(n2). We invoke a same algorithm, once for all candidate
sites to measure the site accessibilities. These features are applied to a binary
classifier being learned to predict true associations between miRNAs and target
genes. Training the classifier requires the negative samples indicating non
affected genes. The experiments verifying such negative associations have been
rarely performed, so we exploit tissue-specific gene expression data to impute
the negative associations. The recall rate of our method is above 70% (at
precision 85%).
PMID- 26547975
TI - Orthogonal projection correction for confounders in biological data
classification.
AB - The existence of confounders such as population structure in genome-wide
association study makes it difficult to apply machine learning methods directly
to solve biological problems. It is still unclear how to effectively correct
confounders. In this work, we propose an Orthogonal Projection Correction (OPC)
method to correct confounders. This is achieved by orthogonally decomposing each
feature to a confounding component and a non-confounding component, such that the
original data can be best reconstructed by only the non-confounding components of
features. The confounder space is built based on prior knowledge, and each
feature is projected to its orthogonal complement space. This OPC procedure is
shown to be kernelisable. We then propose a ProSVM method by integrating the OPC
method and support vector machine for classification. In the experiments, our OPC
method for confounder correction improves the tumour diagnosis based on samples
from different labs and phenotype prediction in the presence of population
structure.
PMID- 26547976
TI - Wavelet-based gene selection method for survival prediction in diffuse large B
cell lymphomas patients.
AB - Microarray technology allows simultaneous measurements of expression levels for
thousands of genes. An important aspect of microarray studies includes the
prediction of patient survival based on their gene expression profile. This
naturally calls for the use of a dimension reduction procedure together with the
survival prediction model. In this study, a new method based on wavelet transform
for survival-relevant gene selection is presented. Cox proportional hazard model
is typically used to build prediction model for patients' survival using the
selected genes. The prediction model will be evaluated with the R2, concordance
index, likelihood ratio statistic and Akaike information criteria. The results
proved that good performance of survival prediction is achieved based on the
selected genes. The results suggested the possibility of developing more advanced
tools based on wavelets for gene selection from microarray data sets in the
context of survival analysis.
PMID- 26547978
TI - PMCR-Miner: parallel maximal confident association rules miner algorithm for
microarray data set.
AB - The MCR-Miner algorithm is aimed to mine all maximal high confident association
rules form the microarray up/down-expressed genes data set. This paper introduces
two new algorithms: IMCR-Miner and PMCR-Miner. The IMCR-Miner algorithm is an
extension of the MCR-Miner algorithm with some improvements. These improvements
implement a novel way to store the samples of each gene into a list of unsigned
integers in order to benefit using the bitwise operations. In addition, the IMCR
Miner algorithm overcomes the drawbacks faced by the MCR-Miner algorithm by
setting some restrictions to ignore repeated comparisons. The PMCR-Miner
algorithm is a parallel version of the new proposed IMCR-Miner algorithm. The
PMCR-Miner algorithm is based on shared-memory systems and task parallelism,
where no time is needed in the process of sharing and combining data between
processors. The experimental results on real microarray data sets show that the
PMCR-Miner algorithm is more efficient and scalable than the counterparts.
PMID- 26547977
TI - Sequence based human leukocyte antigen gene prediction using informative
physicochemical properties.
AB - Prediction of different classes within the human leukocyte antigen (HLA) gene
family can provide insight into the human immune system and its response to viral
pathogens. Therefore, it is desirable to develop an efficient and easily
interpretable method for predicting HLA gene class compared to existing methods.
We investigated the HLA gene prediction problem as follows: (a) establishing a
dataset (HLA262) such that the sequence identity of the complete HLA dataset was
reduced to 30%; (b) proposing a feature set of informative physicochemical
properties that cooperate with SVM (named HLAPred) to achieve high accuracy and
sensitivity (90.04% and 82.99%, respectively) compared with existing methods; and
(c) analysing the informative physicochemical properties to understand the
physicochemical properties and molecular mechanisms of the HLA gene family.
PMID- 26547979
TI - Cuckoo search optimisation for feature selection in cancer classification: a new
approach.
AB - Cuckoo Search (CS) optimisation algorithm is used for feature selection in cancer
classification using microarray gene expression data. Since the gene expression
data has thousands of genes and a small number of samples, feature selection
methods can be used for the selection of informative genes to improve the
classification accuracy. Initially, the genes are ranked based on T-statistics,
Signal-to-Noise Ratio (SNR) and F-statistics values. The CS is used to find the
informative genes from the top-m ranked genes. The classification accuracy of k
Nearest Neighbour (kNN) technique is used as the fitness function for CS. The
proposed method is experimented and analysed with ten different cancer gene
expression datasets. The results show that the CS gives 100% average accuracy for
DLBCL Harvard, Lung Michigan, Ovarian Cancer, AML-ALL and Lung Harvard2 datasets
and it outperforms the existing techniques in DLBCL outcome and prostate
datasets.
PMID- 26547980
TI - A fast Boyer-Moore type pattern matching algorithm for highly similar sequences.
AB - In the last decade, biology and medicine have undergone a fundamental change:
next generation sequencing (NGS) technologies have enabled to obtain genomic
sequences very quickly and at small costs compared to the traditional Sanger
method. These NGS technologies have thus permitted to collect genomic sequences
(genes, exomes or even full genomes) of individuals of the same species. These
latter sequences are identical to more than 99%. There is thus a strong need for
efficient algorithms for indexing and performing fast pattern matching in such
specific sets of sequences. In this paper we propose a very efficient algorithm
that solves the exact pattern matching problem in a set of highly similar DNA
sequences where only the pattern can be pre-processed. This new algorithm extends
variants of the Boyer-Moore exact string matching algorithm. Experimental results
show that it exhibits the best performances in practice.
PMID- 26547981
TI - Towards rule-based metabolic databases: a requirement analysis based on KEGG.
AB - Knowledge of metabolic processes is collected in easily accessable online
databases which are increasing rapidly in content and detail. Using these
databases for the automatic construction of metabolic network models requires
high accuracy and consistency. In this bipartite study we evaluate current
accuracy and consistency problems using the KEGG database as a prominent example
and propose design principles for dealing with such problems. In the first half,
we present our computational approach for classifying inconsistencies and provide
an overview of the classes of inconsistencies we identified. We detected
inconsistencies both for database entries referring to substances and entries
referring to reactions. In the second part, we present strategies to deal with
the detected problem classes. We especially propose a rule-based database
approach which allows for the inclusion of parameterised molecular species and
parameterised reactions. Detailed case-studies and a comparison of explicit
networks from KEGG with their anticipated rule-based representation underline the
applicability and scalability of this approach.
PMID- 26547982
TI - In silico identification and functional annotation of yeast E3 ubiquitin ligase
Rsp5 substrates.
AB - Rsp5, E3 ligases conserved from yeast to mammals, plays a key role in diverse
processes in yeast. However, many of Rsp5 substrates are still unclear. Therefore
we proposed an in silico method to recognise new substrates of Rsp5. To
investigate the molecular determinants that affect the interaction between Rsp5
and its substrate, we have systematically analysed many features that perhaps
correlated with the Rsp5 substrate recognition. It is found that PPxY motif,
transmembrane region, disorder region and N-linked glycosylation modification are
the most important features for substrate recognition. We have constructed an SVM
based classifier to recognise Rsp5 substrates, obtaining 81.5% sensitivity and
74.1% specificity averagely on ten independent testing dataset. We also applied
the model on the whole yeast proteome, and identified -66 new Rsp5 substrates.
Functional annotation reveals that half of these novel substrates function in the
Rsp5 involved cell processes as Rsp5-interacting proteins.
PMID- 26547983
TI - Genome-wide discovery of miRNAs using ensembles of machine learning algorithms
and logistic regression.
AB - In silico prediction of novel miRNAs from genomic sequences remains a challenging
problem. This study presents a genome-wide miRNA discovery software package
called GenoScan and evaluates two hairpin classification methods. These methods,
one ensemble-based and one using logistic regression were benchmarked along with
15 published methods. In addition, the sequence-folding step is addressed by
investigating the impact of secondary structure prediction methods and the choice
of input sequence length on prediction performance. Both the accuracy of
secondary structure predictions and the miRNA prediction are evaluated. In the
benchmark of hairpin classification methods, the regression model achieved
highest classification accuracy. Of the structure prediction methods evaluated,
ContextFold achieved the highest agreement between predicted and experimentally
determined structures. However, both the choice of secondary structure prediction
method and input sequence length had limited impact on hairpin classification
performance.
PMID- 26547984
TI - Application of consensus string matching in the diagnosis of allelic
heterogeneity involving transposition mutation.
AB - In this paper, an algorithm is proposed that detects the existence of a common
ancestor gene sequence for non-overlapping transposition metric given two input
DNA sequences. We consider two cases: fixed length transposition and all length
transposition. For the first one, the algorithm has the time complexity of O(n3),
where n is the length of input sequences. In case of all length transposition,
theoretical worst case time complexity of the algorithm is proven to be O(n4).
However, practically the worst case and the average case time complexity for all
length transposition are found to be O(n3) and O(n2) respectively. This work is
motivated by the purpose of diagnosing unknown genetic disease that shows allelic
heterogeneity, a case where a normal gene mutates in different orders resulting
in two different gene sequences causing two different genetic diseases. The
algorithm can be useful as well in the study of breed-related hereditary to
determine the genetic spread of a defective gene in the population.
PMID- 26547985
TI - Weighted fusion regularisation and predicting microbial interactions with vector
autoregressive model.
AB - In this paper, we develop a novel regularisation method for MVAR via weighted
fusion which considers the correlation among variables. In theory, we discuss the
grouping effect of weighted fusion regularisation for linear models. By virtue of
the probability method, we show that coefficients corresponding to highly
correlated predictors have small differences. A quantitative estimate for such
small differences is given regardless of the coefficients signs. The estimate is
also improved when consider empirical approximation error if the model fit the
data well. We then apply the proposed model on several time series data sets
especially a time series dataset of human gut microbiomes. The experimental
results indicate that the new approach has better performance than several other
VAR-based models and we also demonstrate its capability of extracting relevant
microbial interactions.
PMID- 26547986
TI - Learning multiple distributed prototypes of semantic categories for named entity
recognition.
AB - The scarcity of large labelled datasets comprising clinical text that can be
exploited within the paradigm of supervised machine learning creates barriers for
the secondary use of data from electronic health records. It is therefore
important to develop capabilities to leverage the large amounts of unlabelled
data that, indeed, tend to be readily available. One technique utilises
distributional semantics to create word representations in a wholly unsupervised
manner and uses existing training data to learn prototypical representations of
predefined semantic categories. Features describing whether a given word belongs
to a certain category are then provided to the learning algorithm. It has been
shown that using multiple distributional semantic models, each employing a
different word order strategy, can lead to enhanced predictive performance. Here,
another hyperparameter is also varied--the size of the context window--and an
experimental investigation shows that this leads to further performance gains.
PMID- 26547987
TI - Looking forward, reflecting back.
PMID- 26547988
TI - Let's make our maternity services excellent!
PMID- 26547989
TI - New guidance to foster openness and honesty.
PMID- 26547990
TI - Initiatives highlight extent of FGM in UK.
PMID- 26547991
TI - When early and often counts.
AB - In 2000, Millennium Development Goal 4 called for global deaths in under fives,
to be reduced by two thirds by 2015 (United Nations Millennium Declaration (UNMD)
2000). Birth asphyxia - failure to initiate or sustain spontaneous breathing at
birth - causes up to one million neonatal deaths per year (Ersdal and Singhal
2013). A high proportion.of these are in low-resource countries. In 2009, a group
of doctors and academics from hospitals and universities in Norway and the United
States wanted to find out if the Helping babies breathe (HBB) simulation-based
programme for midwives in low-resource countries helped reduce newborn fatalities
in a hospital in Tanzania. I was one of those doctors and our research showed
that when teaching switched from a one-day programme to a low-dose, high
frequency model, emphasising immediate basic steps, there was a significant
increase in the number of infants stimulated at birth, and a 40 per cent decrease
in early neonatal mortality.
PMID- 26547992
TI - The power of two: reflections on the MBRRACE-UK maternal and perinatal deaths
reports and the London maternity strategic clinical network.
AB - The UK maternal mortality rate is 10 per 100,000-maternities and is falling. The
decrease is due to fewer deaths from direct causes; there has been no significant
change in the indirect rate over the last 10 years. The UK mortality rate for
babies is six stillbirths and neonatal deaths per 100,000 births. Local rates
vary from 5.4-7.1. The variation is not due to normal variation or demographic
factors. The London Maternity Strategic Clinical Network uses a multi
disciplinary team approach to improve maternity user experience. The Northern New
England Cardiovascular Disease Study Group (NNE CVDSG) meet to share data,
observe clinical practice and make changes. Maternity units may wish to consider
adapting the NNE CVDSG approach to improve their quality of maternity care.
PMID- 26547993
TI - Making it happen: a programme of education in Kenya.
AB - The number of mothers and babies from the developing world who die in pregnancy
and childbirth remains unacceptably high. However, concerted efforts over the
last 20 years to reduce the number of deaths have produced significant results,
leading to a steady fall in maternal and neonatal mortality rates since 1990
(Unicef 2014). One initiative that is having an impact is the 'Making it happen'
programme funded by the UK government and run by Liverpool's School of Tropical
Medicine. A 'skills and drills'-type course covering obstetric and neonatal
emergencies is delivered to health professionals across Sub-Saharan Africa and
Asia. This article describes the volunteer experience of a UK midwife helping to
facilitate a course in Kenya, which has some of the world's poorest health
outcomes.
PMID- 26547994
TI - The 'art' of successful breastfeeding education.
AB - Breastfeeding education must motivate a complete workforce in such a way that
they are equipped with the fundamentals and extended skills to carry out their
role as infant feeding gurus, throughout their career. To achieve this with any
success, there is an intrinsic need to establish a total understanding of both
the physiology and emotional importance which underlies the art of breastfeeding.
Only when this is attained will passion and longevity for the 'art' be fully
embraced and respected.
PMID- 26547995
TI - Midwives making a difference: a conference organised by and for student midwives.
AB - The midwifery council at Bournemouth University, each year, is set the objective
to organise and deliver a conference for student midwives. It is a very lengthy
task that requires a lot of dedication from the students who make up the council;
they work tirelessly to arrange speakers for the day. Previous speakers at the
conferences have included Michel Odent, Milli Hill and representatives from
charities. Although time consuming, the day is highly valued by the students and
it equips each council member with organisational, public-speaking and time
management skills that are essential for midwifery practice today.
PMID- 26547996
TI - Towards midwifery education and regulation in Nepal.
AB - This is a short reflection of four wonderful weeks spent in Nepal supporting,
advocating and strengthening the existing work of the Midwifery Society of Nepal
and the Global midwifery twinning project (GMTP) with the Royal College of
Midwives. Although Nepal is on target to achieve reduction in its maternal
mortality rate for millennium goal 5 there is still no registered protected title
of 'midwife' In order to establish a strong midwifery workforce in Nepal, the
three pillars that need to be highlighted are: midwifery education, midwifery
regulation and professional midwifery association. The four-week programme led by
GMTP volunteers helped towards building capacity in leadership, advocacy and
campaigning skills in Nepal.
PMID- 26547997
TI - Introducing educational theory: vaginal examination.
AB - A vaginal examination (VE) is one of many core skills used in midwifery practice.
Despite the controversy of whether it is necessary in all situations, in this
article I take the standpoint that it is useful in providing a full clinical
picture, especially at times when closer monitoring is recommended. Additionally,
if the core skill is misinterpreted, the findings of the VE can distort the true
clinical picture. To support the student, subjective assessment and individual
learning pathways must be addressed to avoid unnecessary intervention and
psychological impairment to the woman. This article explores some of the
difficulties encountered with teaching and learning VE in clinical practice and
offers concepts from educational theory to assist in clarifying the difficulties
and offering new ways of thinking for both students and midwives.
PMID- 26547998
TI - To be a midwife: overcoming the obstacles in Canada (part 2).
AB - This two-part article introduces my working background in grassroots Canadian
midwifery, and my subsequent shift into 'thinking birth' through what I call the
'philosopher-midwife' I broach the idea that midwifery is a site of not only
holistic birth care, but of woman-centred birth studies and enquiry. Part 1
conveyed a storied account of my working background in midwifery care, situated
in the re-emergence of North American midwifery. I discussed how midwifery is a
relational, woman-centred method of knowing and enquiry. I have come to
understand midwifery as a metaphor for attending to the gift of life, a woman
centred way of healing, and an ongoing practice of overcoming oppositional
circumstances. Part 2 introduces ideas on how birth, in concept and experience,
has not been adequately addressed in social philosophy and understanding. I
suggest this be remedied through attention to midwives' and women's birth
experiences. In this attention, I muse on how the 'philosopher-midwife' may
further guide new birth-based enquiries.
PMID- 26547999
TI - Why won't Polish women birth at home?
AB - Polish women living in the United Kingdom (UK) are statistically more likely to
have normal births than their British counterparts, yet anecdotally, do not
choose to birth their babies at home. A medicalised approach to birth in their
country of origin means women are unaware of the benefits of midwifery-led care,
which they often perceive as sub-standard. Affordable travel means Polish women
can access care in both countries and compounds the difficulties in acclimatising
to UK maternity services. Online discussion groups and Internet forums represent
an opportunity for midwives to engage with women to promote their services. This
is increasingly important with rising numbers of both Polish migrants to the UK
and Polish residents applying for British citizenship.
PMID- 26548000
TI - How are you sleeping?
PMID- 26548001
TI - Herophilus.
PMID- 26548002
TI - [Customers are entitled to quick and comprehensible decisions].
PMID- 26548003
TI - [Update rheumatism focusing on rheumatoid arthritis].
AB - Rheumatic diseases do not only represent a challenge in day to day clinical
medicine but also during underwriting and claims handling in insurance medicine.
New diagnostic laboratory tests and therapeutic options constantly improve
diagnostic quality and treatment outcomes. Using rheumatoid arthritis (RA) as an
example this article explains how this new aspects found their way into
international diagnostic criteria and treatment guidelines. The introduction of
diagnostic ACPAs (Anti-Citrullinated Protein Antibodies) and the therapeutic use
of currently still relatively expensive biologicals have to be highlighted in
this respect. Backed by modern therapeutic options recent RA morbidity figures of
employed persons indicate a shift to less severe morbidity spectra. For
individual case evaluation it is of importance to understand the most relevant
aspects of such innovations for adequate and sound case assessment in
underwriting and claims.
PMID- 26548004
TI - [Patients' rights - medical duties (II). An analysis of the patients' rights law
by physicians for physicians].
PMID- 26548005
TI - [Principles of intervertebral disc assessment in private accident insurance].
AB - Due to the spread of intervertebral disc degeneration, insurance companies and
experts are regularly confronted with related assessments of insured persons
under their private accident insurance. These claims pose a particular challenge
for experts, since, in addition to the clinical assessment of the facts,
extensive knowledge of general accident insurance conditions, case law and
current study findings is required. Each case can only be properly assessed
through simultaneous consideration of both the medical and legal facts. These
guidelines serve as the basis for experts and claims.managers with respect to the
appropriate individual factual assessment of intervertebral disc degeneration in
private accident insurance.
PMID- 26548006
TI - [Ambient assisted living - an overview].
AB - Ambient assisted living (AAL) technologies are mainly developed to support
elderly people in their own homes and facilitate a longer, self-determined life.
In addition to providing a demographic prognosis for Germany, the present
publication includes the definition, classification and current market situation
of AAL. Lastly, the benefit of AAL technologies to the insurance industry and the
subsequent steps to be taken are addressed.
PMID- 26548007
TI - [Telemedicine - an overview].
AB - Currently, there is considerable interest in telemedicine for two reasons:
Firstly, it is expected to bring improvements in health care. Secondly, it is
assumed that telemedicine reduces health care costs. The latter is of particular
importance because health care costs are expected to rise considerably in the
future. The present article describes the current situation of telemedicine in
Germany.
PMID- 26548008
TI - [Insurance medicine - an overview from the Swiss perspective].
AB - Since the middle of the 19th century insurance medicine has played a role in
medical risk assessment and underwriting for insurance applications, and since
the beginning of the 20th century medical professionals have been assisting
social insurance institutions with claims adjustments. However, insurance
medicine has not yet become firmly established within the medical community. This
may to a large extent be related to the fact that insurance medicine is not in
itself curative and therefore tends to be sidelined by clinical subjects, while
little or no teaching time is dedicated to the topic during basic and advanced
training. A few institutions do offer training on the topic, although this is
generally limited to the respective arrangements for claims and risk assessment.
These factors result in insurance medicine being treated as a fragmented subject
with no clear or consistent definitions, leaving it devoid of identity. This
article begins by tracing the broad outlines of the history of insurance
medicine, before offering a comprehensive definition and description of insurance
medicine and providing information on the existing training opportunities and
institutions concerned with insurance medicine.
PMID- 26548009
TI - [Is a pre-contractual secretive multiple sclerosis, even after the 10 tear BU
contract period, still legally sanctionable?].
PMID- 26548010
TI - [Fascinating TCM].
PMID- 26548011
TI - [Unexpected serious illness in the travel cancellation insurance].
PMID- 26548012
TI - [Alternative medicine treatment of metastatic malignant melanoma].
PMID- 26548013
TI - [Biomarkers for the diagnosis of fatigue in patients with multiple sclerosis].
PMID- 26548014
TI - [Letter to A. Boger : Complex regional pain syndrome ( CRPS ) - difficulties in
the diagnosis, treatment and evaluation in Insurance Medicine 67 ( 2015) Issue 1
, page . 9].
PMID- 26548015
TI - [Medical Insurance . 2015 Mar 1 ; 67 ( 1 ) : 9-12].
PMID- 26548016
TI - [Suicide among physicians--a current analysis for the City of Hamburg].
AB - According to the literature, physicians have an increased risk of committing
suicide, which can partly be explained by occupational stress. A retrospective
analysis of the deaths investigated by the Hamburg office of Criminal
Investigation and documents of the Hamburg Institute of Forensic Medicine for the
years 2001 to 2013 showed that the average suicide rate among physicians is not
significantly higher than that of the total population, it is 0.021% for
physicians, 0.023% for dentists and 0.013% for the general public; thus the
difference is not statistically significant (p: 0.57 for physicians and 0.74 for
dentists). Drug intoxication has been found to be the most common method of
suicide committed by physicians. However, these results must be interpreted with
caution in view of the fact that a detailed evaluation of data was often not
possible, especially because information as to the profession of the deceased and
the motive for the suicide were missing or insufficiently documented.
PMID- 26548017
TI - [Morphology and phenomenology of crossbow injuries with a review of the
literature].
AB - This paper presents two cases of survived and fatal injuries caused by broad-head
arrows. Case no. 1 is characterised by repeated assaults resulting in severe
injuries to the legs of the victim. The lesions showed characteristic patterns
due to the arrow-heads with four cross-shaped blades. Case no. 2 represented a
suicide with the crossbow arrow entering the upper mouth region at close range,
penetrating the base of the skull, the pons and the inter-hemispheric space, with
the tip of the arrow being lodged in the skullcap. Morphology of the wounds,
ballistic features and technical characteristics are discussed and the available
literature is reviewed.
PMID- 26548018
TI - [Corpse disposal by concealment in transport containers or packaging materials:
Examination by post-mortem computed tomography (PMCT) before forensic autopsy].
AB - The presented case reports illustrate the value of post-mortem computed
tomography in cases of homicide in which the body was hidden in a container or
packaging material and could not be inspected directly from outside. In Case 1,
the body was forced into a suitcase, which was then thrown into a flood basin.
Post-mortem computed tomography (PMCT) visualized the compressed and extremely
flexed body inside the suitcase as well as fractures of the left temporal bone,
the left ulna and the left side of the mandible. After recovery of the body, the
consequences of long-term immersion in fresh water became visible. At autopsy, a
laceration in the left temporal region and a temporal bone fracture were
observed. The fractures of the left ulna and the left jaw were associated with
moderate hematoma. In Case 2, the body of an 11-year-old girl was retrieved from
a plastic bag concealed in a garden shed. The cause of death was ligature
strangulation. In the presented cases, PMCT was performed as part of the police
investigations for reliable primary documentation of the contents of the
containers without further manipulation. Thus the human body, its position inside
the container and the presence of bone injuries could be demonstrated before
opening the container. In such cases, post-mortem imaging provides reliable and
rapid information to the investigating authorities before autopsy already.
PMID- 26548019
TI - [Death by explosion of an aerial mine].
AB - Civilians are rarely killed by military weapons except in times of war. In early
2014, a 50-year-old man died in an explosion of an aerial mine from the Second
World War when he was crushing concrete chunks with an excavator at a recycling
plant. In the burned operator's cab, the remains of a body were found on the
driver's seat. The thorax and the head were missing. Still sticking in the shoe,
the right foot severed at the ankle was found about 7 m from the excavator
together with numerous small to tiny body parts. At autopsy, the completely
disrupted, strongly charred lower torso of a male connected to the left
extremities as well as a large number of small tissue fragments and calcined
bones were found. According to calculations performed by the seismographical
station on the basis of seismic data, only about 45-60 percent of the charge had
detonated. The autopsy results illustrate all the more the massive impact of such
an explosion.
PMID- 26548020
TI - [Myiasis because of neglect].
AB - Myiasis is known as the infestation of living organisms with maggots. It is often
caused by (self-) neglect. Eight cases of myiasis have been examined at the
Institute of Legal Medicine in Hamburg between 2002 and 2014. It is important
that the medical examiner compares the maggots' stage of development with the
alleged time of death. Especially in cases of home care, myiasis and neglect are
suspicious and deserve special attention.
PMID- 26548021
TI - [Alleged assault in a forest: An unusual case of self-inflicted blunt injury].
AB - The medico-legal assessment of potentially self-inflicted injuries is an
important field of clinical forensic medicine. Compared with sharp force
injuries, it is much more difficult to distinguish blunt injuries caused by
another party from self-inflicted lesions. We present a case of a young female
doctor, who was allegedly attacked by an unknown stranger during her evening walk
in the woods. She claimed to have been hit repeatedly on the head and arms with a
stone. During the forensic investigation, blunt injuries could be confirmed on
her head and forearms. Based on the arrangement and intensity of the injuries,
together with the result of a bloodstain pattern analysis of the weapon, the
victim's statement could be disproved. After being confronted with the results of
the investigation, the woman admitted to have inflicted the injuries herself.
This case is an unusual and rare example of self-inflicted blunt injury. It shows
that the criteria of self-inflicted injuries can also be applied to blunt trauma.
However, due to the small number of cases, a high degree of caution is required
from the forensic expert.
PMID- 26548022
TI - [[Medico-historical findings of (neuro-) prosthetics: an online survey].
AB - This article presents an online survey on medico-historical (neuro-)prostheses.
Important findings of the past 3000 years are outlined: toe prostheses from
ancient Egypt, the Capua leg prosthesis, Gotz von Berlichingen's artificial hands
and Sauerbruch's prosthetic arm. These historical examples are compared with
modern neuroprosthetics. It is also shown that historical prostheses were in no
way primitive and, even more, that ancient people already used first intelligent
medical engineering approaches.
PMID- 26548023
TI - [Walcher's hat brim line rule--a literature review].
AB - The first description in the forensic medical literature of a demarcation line
for the localization of head injuries resulting from falling to the ground
appears in Kratter (1919). Regarding a similar line, Walcher (1931) later
introduced the relation to the hat brim (Hutkrempe), which gave the rule its
name: the hat brim line rule (Hutkrempenregel). Thenceforth it was supposed to be
called Kratter's and Walcher's hat brim line rule (Kratter-Walcher'sche
Hutkrempenregel). Over the following decades, not only its content but also the
area of application and the definition of the hat brim line rule were repeatedly,
and in part significantly, altered. This could be one of the reasons for the
confusing diversity of academic opinions about the rule's applicability.
Generally, the hat brim line rule should be retained in its original sense: Fall
related injuries do not lie above the hat brim line if the fall occurred from a
standing position to the ground, without intermediary blows to the head. If
applied in this way, the rule can be a helpful point of orientation for experts.
The demarcation line in the original anatomical definition according to Kratter
(1919) should also be used henceforth: the line which connects "the frontal
eminence, the parietal eminence and the tip of the occipital plate" and lies
"somewhat.above the usual saw-line of the calvarium". This line corresponds
roughly to the hat brim line as it is understood by hat makers. The hat brim line
rule should not be applied with regard to small children, as they show a
different falling behaviour due to their disproportionately large and heavy
heads. The rule is also in no way applicable to the assessment of injuries from
blows, falls from a height (including from stairs) or traffic accidents. There is
an urgent need for research as to the applicability of the hat brim line rule in
relation to falling backwards, particularly in cases of high alcohol consumption.
PMID- 26548024
TI - [Semi-structured interviews on suicidality in the Hamburg pre-trial detention
center].
AB - A detailed study regarding inmates' suicides was conducted in the detention
center of Holstenglacis Hamburg (UHA). This study was triggered by an above
average number of attempted and committed suicides in detention centers in
Germany and in particular, two committed suicides in the above-mentioned prison
in early 2012. In this qualitative empirical study, 20 semi-structured interviews
were conducted with inmates and staff of the UHA Hamburg. The focus was placed on
aspects encountered in the daily life within the detention center and stressful
situations that could encourage suicides. This article presents the most striking
results of the study which are used as a basis for proposing several preventative
measures. The aim of this article is to present new ideas and methods conducive
to a decrease in the number of suicides in detention centers at minimal costs and
personnel expenses.
PMID- 26548025
TI - [Use of sonography in splinter injuries: a model simulation].
AB - In many clinical disciplines and in forensics, non-visible splinter injuries are
still a diagnostic challenge. In clinical routine, this kind of injury is
diagnosed by radiography whereas ultrasound has not yet been applied for this
indication. We, therefore, investigated the role of ultrasound in the detection
of splinters by using gelatine and meat models. Splinters were from wood, roses,
plastics, metal, and glass. All splinters were easily detectable by ultrasound.
The ultrasound models were compared with X-ray images and, in the case of a rose
thorn scarcely visible in the conventional X-ray image, with a CT scan. As
demonstrated by the promising results of this simulation, ultrasound may be a
significant improvement for in vivo and post mortem diagnostics of splinter
injuries as compared to conventional X-ray imaging.
PMID- 26548026
TI - [Corpse disposal by embedding it in concrete--a rare form of preserving a body
over a period of 5 years and 10 months].
AB - Final disposal of a homicide victim in concrete is rare. Often missing persons
are reported to the police, but the right of adults to determine their
whereabouts can make it very difficult to locate them. A 40-year-old woman had
been missing for 5 years and 10 months. She was found by the police in the cellar
of the family's house, packed in airtight plastic film and embedded in concrete.
The husband admitted to have killed her by manual strangulation after an argument
during which she fell on the head. His statements were confirmed by the findings
obtained from the very well preserved body. The autopsy results were generally
consistent with manual strangulation. The literature does not contain many case
reports on this rare method of disposing of a body. In the reported case, the
postmortem period was remarkably long and the corpse was in a good state of
preservation.
PMID- 26548027
TI - [Conventional radiology versus the increasing importance of post-mortem computed
tomography].
AB - Radiological imaging can provide valuable additional information, facilitate and
improve the quality of subsequent autopsy. Although the use of cross-sectional
imaging methods is increasing, they are not always available in medico-legal
practice. In many cases it is advisable to perform conventional X-rays before
autopsy, especially in cases of gunshot injuries, as illustrated by a case in
which the victim suffered several gunshot wounds with one projectile lodged in a
vertebral body. The radiological findings essentially contributed to the rapid
location of the projectiles and the reconstruction of the bullet paths.
PMID- 26548028
TI - Insulin Degludec/Insulin Aspart: A Novel Co-formulation for Insulin
Intensification.
PMID- 26548029
TI - Intensifying Insulin Therapy in Type 2 Diabetes: Choices & Challenges.
AB - Insulin therapy remains the cornerstone of effective diabetes management. Timely
intensification of insulin therapy reduces the progression of diabetes and the
development of diabetes-related complications. Given that overall hyperglycaemia
is a relative contribution of both fasting and postprandial hyperglycaemia, use
of basal insulin alone may not achieve optimal glucose control due to its
inability to cover postprandial glucose excursions. Intensifying therapy with
addition of bolus insulin or switching to premixed insulin is a viable option in
patients failing on basal alone therapy. Although the benefits of early insulin
treatment are well established, a considerable delay in intensifying insulin
therapy in patients with sub-optimal glycaemic control is still observed. Most of
the patients and physicians are reluctant to intensify therapy due to the fear of
hypoglycaemia, regimen complexity, and increased burden of multiple daily
injections. In this context, there is a need for a flexible, alternative
intensification option taking into account individual patient considerations to
achieve or maintain individual glycaemic targets. An ideal insulin regimen should
mimic physiological insulin release while providing optimal glycaemic control
with low risk of hypoglycaemia, weight gain and fewer daily injections. The
current paper reviews the challenges of insulin intensification in patients with
type 2 diabetes mellitus poorly controlled on current treatment regimens.
PMID- 26548030
TI - Review of Clinical Profile of IDegAsp.
AB - In patients with diabetes, treatment intensification requires basal and bolus
insulin injections to control the fasting and prandial insulin needs. To overcome
the burden of multiple daily injections, co-formulating basal and bolus insulins
in single injection could allow a simple regimen with fewer injections. Current
premixed insulin analogues are limited by the protaminated insulin component,
which cannot provide effective basal coverage. While, long-acting insulin
analogues like insulin glargine and insulin detemir cannot be combined with rapid
acting insulin analogues due to physicochemical incompatibility. Insulin
degludec/insulin aspart (IDegAsp) is a soluble co-formulation of two distinct
insulin analogues in the ratio of 70% ultra-long-acting insulin degludec (IDeg)
and 30% rapid-acting insulin aspart (IAsp). The distinct PK/PD properties of IDeg
and IAsp components are preserved in the co-formulation, with the rapid
absorption characteristics of IAsp and flat and stable profile of IDeg maintained
separately. Size exclusion chromatography studies of IDegAsp indicate that IDeg
and lAsp exist as stable di-hexamers and hexamers, respectively in the
formulation. Moreover, at steady state, the prandial and basal glucose lowering
effects of IDeg and IAsp were distinct and clearly separated. A clear dose
response relationship was observed in patients with type 1 and type 2 diabetes
treated with IDegAsp. The glucose lowering effects of basal and prandial
components of IDegAsp are maintained in elderly (>= 65 years of age) patients
with type 1 diabetes. In addition, the PK and clearance of IDeg and IAsp are not
affected by mild, moderate or severe renal or hepatic impairment. Presence of two
distinct insulin analogues, as a soluble co-formulation with basal component with
an ultra-long duration of action makes IDegAsp an advance to premix insulins.
PMID- 26548031
TI - Overview of Clinical Trial Program and Applicability of Insulin Degludec/Insulin
Aspart in Diabetes Management.
AB - Insulin degludec/insulin aspart (IDegAsp) is the first soluble coformulation
combining a long-acting insulin degludec (IDeg) and rapid-acting insulin aspart
(IAsp). In patients with uncontrolled type 2 diabetes (T2DM) previously treated
with insulins, IDegAsp twice daily effectively improves glycated haemoglobin
(HbA1c) and fasting plasma glucose (FPG) levels with fewer hypoglycaemic episodes
versus premix insulins. Further, insulin initiation with IDegAsp once daily
provides superior long-term glycaemic control compared to insulin glargine with
similar FPG and insulin doses, and numerically lower rates of overall and
nocturnal hypoglycaemia. In patients with type 1 diabetes mellitus (T1DM),
IDegAsp once daily and IAsp at remaining meals provides more convenient three
injection regimen per day over conventional 4-5 injections based basal-bolus
therapy. IDegAsp is an appropriate and reasonable option for intensifying insulin
therapy in patients with T2DM and a relatively less complex treatment option for
the management of T1DM.
PMID- 26548032
TI - [Fire disaster due to deflagration of a propane gas-air mixture].
AB - On 26 Nov 2012, a serious fire occurred at Neustadt/Black Forest in which 14
persons in a sheltered workshop died and 10 other individuals were injured. The
fire was caused by the unbridled escape of propane gas due to accidental
disconnection of the screw fixing between a gas bottle and a catalytic heater.
Deflagration of the propane gas-air mixture set the workshop facilities on fire.
In spite of partly extensive burns the fatally injured victims could be rapidly
identified. The results of the fire investigations at the scene and the autopsy
findings are presented. Carboxyhemoglobin concentrations ranged between 8 and 56
% and signs of fire fume inhalation were present in all cases. Three victims had
eardrum ruptures due to the sudden increase in air pressure during the
deflagration.
PMID- 26548033
TI - [On the forensic relevance of orphan diseases].
AB - A 40-year-old woman died shortly after complaining of non-specific symptoms after
a pharmacist had accidentally given her the wrong medication. The woman's partner
was not familiar with her medical history and the medical file had to be obtained
from the family doctor. Autopsy findings and histological examination confirmed
the clinically diagnosed autoimmune polyglandular syndrome without a tangible
cause of death. Poisoning could not be demonstrated and no relation between the
dosage error and death could be established. Laboratory tests revealed diabetic
coma with ketoacidosis as the cause of death, which was probably caused by a
prolonged lack of insulin administration. In addition to the clarification of
legal issues, the complete post-mortem examination of orphan diseases is also
relevant for achieving a better understanding of differential diagnostic aspects
and complex pathophysiological contexts. Moreover, the genetic background often
underlying such diseases should be a reason to inform the family of the deceased
about the autopsy results. Only then can secondary preventive measures be taken
in time.
PMID- 26548034
TI - [Lethal intravenous injection of benzine].
AB - A man who suffered from chronic pain syndrome died two days after intravenous
injection of 2 ml benzine. Previous suicide attempts by drug intoxication and
strangulation had failed. Death occurred due to multi-organ failure. We present
the results of the clinical, morphological and toxicological examinations
performed.
PMID- 26548035
TI - [Death by alcoholic ketoacidosis--analytical procedure and case report].
AB - Alcoholic ketoacidosis is a medical emergency, which is characterized at first by
an elevated level of ketone bodies. The production of these ketone bodies is
accompanied by an equimolar quantity of hydrogen ions and thus causes acidosis,
which cannot be detected post-mortem due to anaerobic glycolysis, whereas the
three ketone bodies beta-hydroxybutyrate, acetoacetate and acetone can be easily
identified. However, the limits of ketone body concentrations mentioned in the
literature for diagnosing ketoacidosis vary significantly, partly due to
inhomogeneous study groups. A 44-year-old woman was found dead with numerous
haematomas and partial mummification. Some days before, she had reported her
partner to the police for rape. Consequently investigations for homicide were
initiated. The autopsy itself did not reveal any morphologically identifiable
cause of death. The ketone body concentrations in three matrices (vitreous
humour, cerebrospinal fluid, cardiac blood) left no doubt that death was due to
alcoholic ketoacidosis.
PMID- 26548036
TI - [Death by avalanche in the minor mountain range].
AB - On 30 Jan 2015, two avalanche accidents happened in the Black Forest (at the foot
of the 1493 m high Feldberg and the Herzogenhorn situated next to it), in which
experienced ski tourers--a 58-year-old woman and a 20-year-old man--were
completely buried by snow masses. Both victims were recovered dead after nearly 2
hours under the snow. The avalanches were promoted by strong snowfalls, snowdrift
by the wind and steep downwind slopes. One of the victims, the 20-year-old man,
underwent a forensic autopsy. The findings suggested death by protracted
asphyxiation with agonal hypothermia. A mechanical traumatization with internal
injuries suspected by the emergency doctor at the scene could not be confirmed at
autopsy. The possible causes of death in the avalanche are discussed using the
reported case as an example and in reference to the relevant literature.
PMID- 26548037
TI - [Suicidal fall from height after restraint or accident?].
AB - Restraining patients is common practice in psychiatric hospitals and is permitted
by section 1906 BGB (German Civil Code) if there is a risk of suicide or serious
self-damage because of a mental disease or mental disability. Permanent
monitoring and supervision during restraints should be obligatory not only in
psychiatric hospitals. Nevertheless a number of deaths have occurred during
restraints, usually due to strangulation. Reports of cases with suicidal actions
after self-release from restraint are rare in the literature. We report on the
case of a 45-year-old woman who had suffered from schizophrenia for more than 20
years. After admission to a hospital, she had to be restrained since she became
more and more aggressive. When the monitor showed no vital signs any more, nurses
checked the patient's room and found an empty bed. The woman was detected lying
on the ground in a pool of blood under an open window of the hospital. The cause
of death was a polytrauma with leading cranio-cerebral injuries. Obviously the
woman had freed herself from the restraining device and committed suicide by
jumping out of the window on the third floor.
PMID- 26548039
TI - Partnering expertise with experience.
PMID- 26548038
TI - [Commemoration ceremony for 100th anniversary of the death of Hans Gross (1847
1915)].
PMID- 26548040
TI - Recent night shift pushes enrolled nurse to the limits.
PMID- 26548041
TI - Neglecting democratic rights.
PMID- 26548042
TI - Don't segregate young nurses.
PMID- 26548043
TI - Disappointed with cover.
PMID- 26548044
TI - Cover shows poor practice.
PMID- 26548045
TI - Letting nursing down.
PMID- 26548046
TI - Appearance plays a role.
PMID- 26548047
TI - Presenting professionally.
PMID- 26548048
TI - Doing nurses an injustice.
PMID- 26548049
TI - Co-editors respond.
PMID- 26548050
TI - The charge nurse manager of Wellington Hospital's ICU, Stephen James, responds.
PMID- 26548052
TI - Rehashing conspiracy theories.
PMID- 26548051
TI - Please look at the science.
PMID- 26548053
TI - Science improves quality of life.
PMID- 26548054
TI - Letter full of inaccuracies.
PMID- 26548055
TI - Remembering Betty Dunn.
PMID- 26548056
TI - The President comments.
PMID- 26548057
TI - Social media drives 'intense' campaign.
PMID- 26548058
TI - Equal pay pressure mounts.
PMID- 26548059
TI - DHB MECA ratified.
PMID- 26548060
TI - Unions in mediation over masks.
PMID- 26548061
TI - 'Flawed' health and safety bill passed.
PMID- 26548062
TI - The mutual benefits of preceptorship.
PMID- 26548064
TI - What's wrong with social bonds in mental health?
PMID- 26548063
TI - Trained actors help students learn mental health nursing skills.
PMID- 26548065
TI - Driving out systemic discrimination.
PMID- 26548066
TI - Weaving mental health into WITT's undergraduate curriculum.
PMID- 26548067
TI - Clinical reasoning tool aids practice.
PMID- 26548068
TI - 'Whole person' approach used in complex TB case.
PMID- 26548069
TI - Mental health triage--what Skills are needed?
PMID- 26548070
TI - Unmasking managerial power.
PMID- 26548071
TI - Providing immunisation across the lifespan.
PMID- 26548072
TI - Transcribing medications.
PMID- 26548074
TI - As time goes by...
PMID- 26548073
TI - Primary health care: Heartfelt messages.
PMID- 26548075
TI - Prospective microglia and brain macrophage distribution pattern in normal rat
brain shows age sensitive dispersal and stabilization with development.
AB - The monocytic lineage cells in brain, generally speaking brain macrophage and/or
microglia show some dissimilar distribution patterns and disagreement regarding
their origin and onset in brain. Here, we investigated its onset and
distribution/colonization pattern in normal brain with development. Primarily,
early and late embryonic stages, neonate and adult brains were sectioned for
routine H/E staining; a modified silver-gold staining was used for discriminating
monocytic lineage cells in brain; and TEM to deliver ultramicroscopic details of
these cells in brain. Immunofluorescence study with CD11b marker revealed the
distribution of active microglia/macrophage like cells. Overall, in early
embryonic day 12, the band of densely stained cells are found at the margin of
developing ventricles and cells sprout from there dispersed towards the outer
edge. However, with development, this band shrunk and the dispersion trend
decreased. The deeply stained macrophage like cell population migration from
outer cortex to ventricle observed highest in late embryonic days, continued with
decreased amount in neonates and settled down in adult. In adult, a few blood
borne macrophage like cells were observed through the vascular margins. TEM study
depicted less distinguishable features of cells in brain in early embryo, whereas
from late embryo to adult different neuroglial populations and
microglia/macrophages showed distinctive features and organization in brain.
CD11b expression showed some similarity, though not fully, with the distribution
pattern depending on the differentiation/activation status of these macrophage
lineage cells. This study provides some generalized spatial and temporal pattern
of macrophage/microglia distribution in rat brain, and further indicates some
intrigue areas that need to be addressed.
PMID- 26548076
TI - Thalamic superoxide and peroxide handling capacity (SPHC): An experimental study
with aluminum, ethanol and tocopherol in rats.
AB - Superoxide and peroxide handling capacity (SPHC) is an important determinant of
oxidative stress. Neurotoxic impacts of aluminum are associated with oxidant
imbalance. Here, we studied the influence of aluminum on oxidative stress
parameters, antioxidative enzymes and SPHC of thalamic area on pro-oxidant
(ethanol) and antioxidant (alpha-tocopherol) exposure. Two sets of male Wistar
rats were divided into 8 groups (6 each) and exposed to aluminum (10 mg/Kg body
wt.), ethanol (0.6 g/Kg body wt.) and alpha-tocopherol (5 IU/day) for 4 wk, each
having respective control group. Levels of reduced glutathione (GSH), lipid
peroxidation (TBARS) along with activities of superoxide dismutase (SOD),
catalase (CAT), glutathione peroxidase (GPx) and glutathione reductase (GR) of
thalamic area were estimated for each group. Glutathione-independent superoxide
peroxide handling capacity (GI-SPHC) and glutathione-dependent superoxide
peroxide handling capacity (GD-SPHC) were calculated from the GPx, CAT and SOD
values. Concomitant exposure to aluminum and ethanol demonstrated significant
increase in SOD activity and significant decrease in GPx activity compared to the
control group, while lone aluminum-exposed rats showed raised GR activity,
without alterations in GPx and SOD activities. However, significant reduction of
both GI- and GD- SPHC were found in ethanol-exposed groups. alpha-Tocopherol
supplementation could resist most of the alterations. In addition, current
antioxidant exposure reduced the inherent GD-SPHC, and thus, made thalamic area
more vulnerable to oxidant threat. The present study corroborates the thalamic
susceptibility to aluminum-augmented oxidant imbalance and suggests cautious use
of antioxidant supplementation against neurodegenerative disorders.
PMID- 26548077
TI - Ginger extract ameliorates phosphamidon induced hepatotoxicity.
AB - Organophosphorus (OP) compounds commonly used as pesticides in agriculture cause
serious health problems to living beings. The present study enumerates the
ameliorating effect of ginger extract (GE) against phosphamidon (PHO, an
organophosphorus insecticide) induced hepatotoxicity. GE was prepared from dried
ginger and characterized for compound profile and antioxidant activity. Eight
groups of albino rats (n = 6) were treated with 1/5th lethal dose of PHO for 5-20
days. Out of the treated 8 groups, 4 were simultaneously fed with GE (1 mg/kg
body wt.) along with PHO. Alterations in the levels of hepatocellular oxidative
stress (OS) markers in the treated groups indicated an enhanced generation of
reactive oxygen species (ROS) and oxidative stress (OS). Upregulation of
apoptotic markers, DNA fragmentation and appearance of apoptotic nuclei suggested
induction of apoptosis in the liver cell that was found to be attenuated after GE
treatment. Moreover, no toxicity and mortality was observed up to 100 mg/kg dose
of GE for 30 days in the rat model studied. Thus, GE can be considered as an
effective, economical and safe extract to circumvent PHO-induced hepatotoxicity.
PMID- 26548078
TI - Characterization of coal fly ash nanoparticles and their induced in vitro
cellular toxicity and oxidative DNA damage in different cell lines.
AB - Coal combustion generates considerable amount of ultrafine particles and exposure
to such particulate matter is a major health concern in the developing countries.
In this study, we collected nano sized coal fly ash (CFA) and characterized them
by scanning electron microscope-energy dispersive X-ray analysis (SEM-EDX),
particle size analyzer (PSA) and transmission electron microscope (TEM), and
investigated its toxicity in vitro using different cell lines. The imaging
techniques showed that the coal fly ash nanoparticles (CFA-NPs) are predominately
spherical shaped. The analyses have revealed that the CFA-NPs are 7-50 nm in
diameter and contain several heavy metals associated with CFA particles. The
studies showed significant amount of toxicity in all cell lines on treatment with
CFA-NPs. The cytotoxicity and oxidative DNA damage caused by CFA-NPs were
determined by inhibition of cellular metabolism (MTT), total intracellular
glutathione (GSH), reactive oxygen species (ROS) and DNA fragmentation in
cultured cell lines (Chang liver, HS294T and LL29). The cellular metabolism was
inhibited in a dose-dependent manner in CFA-NPs treated cell lines. The CFA-NPs
induced ROS and decreased the total intracellular glutathione with increased
dose. Further, the CFA-NPs treated cells showed severe DNA laddering as a result
of DNA fragmentation.
PMID- 26548079
TI - Biological activity of Dolichos biflorus L. trypsin inhibitor against
lepidopteran insect pests.
AB - Protease inhibitors confer resistance in plants against insect pests by
inhibiting larval gut proteases. Cultivars of Dolichos biflorus were screened for
their inhibitory activity against midgut proteases of Pieris brassicae larvae.
Seed extracts of developing and germinating seeds of HPK4 cultivar inhibited
larval gut proteases of Spodoptera littoralis efficiently. Neonate larvae of P.
brassicae fed on cabbage leaf discs coated with 0.025-2.50 mg protein (seed
extract) resulted in 10-80% larval mortality and significantly reduced leaf area
eaten and faecal matter as compared to control. The treated larvae had 40% less
soluble proteins per mg faecal matter and there was similar decline in midgut
proteases of treated larvae (@ 2.5 mg protein) compared to untreated ones after 5
days. The LC50 and LT50 value was calculated to be 1.05 mg/leaf disc and 4.8 days
(2.5 mg protein), respectively for neonate larvae of P. brassicae. Significant
reduction in egg hatching (75%) was observed in egg mass treated with 5.3 mg of
crude inhibitor protein of mature seeds. This could be due to the inhibition of
proteases involved in the hydrolysis of egg chorion proteins. The studies
demonstrated the insecticidal activity of D. biflorus seed extracts.
PMID- 26548080
TI - A microdroplet cell culture based high frequency somatic embryogenesis system for
pigeonpea, Cajanus cajan (L.) Millsp.
AB - A protocol for high frequency production of somatic embryos was worked out in
pigeonpea, Cajanus cajan (L.) Millsp. The protocol involved sequential employment
of embryogenic callus cultures, low density cell suspension cultures and a novel
microdroplet cell culture system. The microdroplet cell cultures involved culture
of a single cell in 10 MUI of Murashige and Skoog's medium supplemented with
phytohormones, growth factors and phospholipid precursors. By employing the
microdroplet cell cultures, single cells in isolation were grown into cell clones
which developed somatic embryos. Further, 2,4-dichlorophenoxyacetic acid,
kinetin, polyethylene glycol, putrescine, spermine, spermidine, choline chloride,
ethanolamine and LiCl were supplemented to the low density cell suspension
cultures and microdroplet cell cultures to screen for their cell division and
somatic embryogenesis activity. Incubation of callus or the inoculum employed for
low density cell suspension cultures and microdroplet cell cultures with
polyethylene glycol was found critical for induction of somatic embryogenesis.
Somatic embryogenesis at a frequency of 1.19, 3.16 and 6.51 per 10(6) cells was
achieved in the callus, low density cell suspension cultures and microdroplet
cell cultures, respectively. Advantages of employing microdroplet cell cultures
for high frequency production of somatic embryos and its application in genetic
transformation protocols are discussed.
PMID- 26548081
TI - Interaction of mouse intestinal P-glycoprotein with oral antidiabetic drugs and
its inhibitors.
AB - Type 2 diabetes (T2DM) is a progressive insulin secretory defect accompanied by
resistance to insulin, and thereby making glycemic control a major concern in the
treatment of these patients. Oral drug administration, though a popular option
for its non-invasiveness, suffer from poor bioavailability. It could be related
to the efflux transport of intestinal P-glycoprotein (Pgp). In the present study,
we explored the binding interactions of antidiabetic drugs i.e., sulfonylurea
drugs (glimepiride, glipizide, glyburide) and rapid acting insulin secretagogues
viz., nateglinide, repaglinide and rosiglitazone; and Pgp inhibitors i.e.,
Generation I (verapamil and tamoxifen), III (tetradrine and tariquidar), and
natural inhibitors (fumagillin and piperine) in mouse Pgp model. Our results
revealed that fumagillin piperine and verapamil possess maximum interaction
energies with Pgp compared to antidiabetic drugs. These observations elucidate
the role of fumagillin and piperine as potential natural compounds which could
intervene in the efflux action of Pgp in extruding the antidiabetic drugs and may
have implications for increasing efficacy of oral antidiabetic therapy.
PMID- 26548082
TI - Q2N and E64G double mutation of ubiquitin confers a stress sensitive phenotype on
Saccharomyces cerevisiae.
AB - The eukaryotic protein, ubiquitin harbours a parallel beta-bulge in its structure
which is formed by residues Glu64(1), Ser65(2) and Gln2(X). Despite their low %
frequency of occurrence in parallel beta-bulges, the residues Gln2 and Glu64 have
been totally conserved in ubiquitin. In a previous study, two single mutants
UbQ2N and UbE64G were constructed by replacing the residues Gln2 and Glu64 with
Asn and Gly, respectively to understand their importance. The choice of the
residues for substitution was made on the basis of their high preference for
existence in parallel beta-bulge, so that the structure of mutants remains
unaltered and any functional differences observed would highlight the importance
of Gln2 and Glu64 in ubiquitin biology. The results from this study established
that yeast cells expressing either UbQ2N or UbE64G, displayed functional
differences with respect to survival upon exposure to cycloheximide and
degradation of substrates by ubiquitin fusion degradation (UFD) pathway. It
describes construction of the double mutant UbQ2N-E64G and its characterization.
Our results showed expression of UbQ2N-E64G in stress hypersensitive SUB60 cells
led to significant decrease in growth rate and prolonged half-life of substrates
of UFD pathway, besides failure of complementation under heat and antibiotic
stresses, providing the reason for conservation of Gln2 and Glu64 in ubiquitin
sequence.
PMID- 26548083
TI - Pressing issues and family matters.
PMID- 26548084
TI - The venerable Sheila Tlou.
PMID- 26548085
TI - On being almost old.
PMID- 26548086
TI - Canadians connect with, learn from global colleagues.
PMID- 26548087
TI - Screening.
PMID- 26548088
TI - Experts in their own right.
PMID- 26548089
TI - Ethics in Practice: At End of Life--Part 1.
PMID- 26548090
TI - The Ward Women of the Northwest Territories.
PMID- 26548091
TI - Della Magnusson's one-stop shop.
PMID- 26548092
TI - What's happening to patient engagement?
PMID- 26548093
TI - Beautiful buttons.
PMID- 26548094
TI - Will the health professions' messages emerge from the fog?
PMID- 26548095
TI - [Clinical and MRI Findings in Patients with Congenital Anosmia].
AB - The clinical characteristics of 16 patients with congenital anosmia were examined
retrospectively. MRI (magnetic resonance imaging) was used to assess the
morphological changes in the olfactory bulbs and olfactory sulci according to the
method of P. Rombaux (2009). Congenital anosmia was divided into two forms:
syndromic forms in association with a syndrome, and isolated forms without
evidence of other defects. Only three patients (19%) in our series had syndromic
forms of congenital anosmia, such as the Kallmann syndrome. Most cases (13
patients, 81%) had isolated congenital anosmia. Psychophysical testing of the
olfactory function included T&T olfactometry and the intravenous Alinamin test,
which are widely used in Japan. In T&T olfactometry, detection and recognition
thresholds for the five odorants are used to assign a diagnostic category
representing the level of olfactory function. Most cases (14 patients, 88%)
showed off-scale results on T&T olfactometry, and the Alinamin test resulted in
no response in all 11 patients who underwent the test. Abnormal MRI findings of
the olfactory bulbs and sulci were detected in 15 of 16 patients (94%). Olfactory
bulbs were bilaterally absent in nine patients (56%), and two patients (13%) had
unilateral olfactory bulbs. Four patients (25%) had bilateral hypoplastic
olfactory bulbs, and only one patient had normal olfactory bulbs (6%). The
olfactory sulcus was unilaterally absent in one patient (6%), and nine patients
(56%) had bilaterally hypoplastic olfactory sulci. Two patients (13%) had a
unilateral normal olfactory sulcus and hypoplastic olfactory sulcus. Three
patients (19%) had normal olfactory sulci. Quantitative analysis showed that the
volume of olfactory bulbs varied from 0 mm3 to 63.5 mm3, with a mean volume of
10.20 +/- 18 mm3, and the mean depth of the olfactory sulcus varied from 0 mm to
12.22 mm, with a mean length of 4.85 +/- 4.1 mm. Currently, there is no effective
treatment for congenital anosmia. However, diagnosis of congenital anosmia is
important, as its presence can lead to dangerous situations. Careful examination
for hypogonadism is also required in people with anosmia. MRI examinations of the
olfactory bulbs and sulci were useful for the diagnosis of congenital anosmia.
PMID- 26548096
TI - [Investigation of Type I Thyroplasty Using Titanium Implant].
AB - OBJECTIVE: The aim of this study was to describe a new titanium thyroplasty
medializing implant and evaluate its usefulness. MATERIAL AND METHOD:
Retrospective study of 9 male patients with severe unilateral vocal fold
paralysis after aortic-aneurysm surgery who underwent type I thyoplasty with
arytenoid adduction under general anesthesia. Preoperative and postoperative
glottal closure and voice function were evaluated by acoustic analysis (Jitter %,
Shimmer %, NHR), stroboscopic findings, maximum phonation time, mean airflow
rate, voice handicap index and computed tomography findings. These tests were
evaluated before and 3 months after surgery. RESULT: All acoustic parameters
improved after surgery. In stroboscopic findings, symmetrical mucosal waves were
identified in 3 cases. Maximum phonation time prolonged, mean flow rate decreased
and voice handicap index improved. In computed-tomography findings, breakage,
deformation, dropped and migration of titanium plate were not identified.
CONCLUSION: In surgery of vocal fold paralyzed patient, it is necessary to
reconstruct vocal fold in physiological state at the time of phonation. Using the
titanium plate developed for this purpose, all patients obtained good vocal
improvement.
PMID- 26548097
TI - [Combined Anterior Craniofacial Resection for Locally Advanced Ethmoid
Carcinomas].
AB - OBJECTIVE: Carcinoma of the ethmoid sinus is very rare, and treatment for locally
advanced tumors remains as a formidable challenge to the clinician. We reviewed
cases of ethmoid carcinoma in which anterior craniofacial resection had been
undertaken and evaluated the safety and validity of the operative methods.
METHODS: We retrospectively reviewed 13 patients with ethmoid carcinoma who
underwent combined anterior craniofacial resection. We evaluated the surgical
procedures, complications, outcomes, local recurrence, and the survival rate.
RESULTS: Coronal incision and anterior craniotomy were performed in all cases.
Four out of 13 cases underwent ipsilateral orbital exenteration due to
involvement of the orbital contents. There were no potentially fatal
complications, except for two cases of epidural abscesses which were successfully
cured. Positive surgical margins were observed in 6 patients, and they received
postoperative radiotherapy and/or chemotherapy. Local recurrences occurred in 4
cases, and 3 died at 9, 11, and 49 months after the surgery. Distant metastasis
was not observed during the observation period. The overall 5-year survival rate
was 75.2% (Kaplan-Meier method). CONCLUSION: These tumors were safely removed
without severe complications and postoperative mortality. Combined anterior
craniofacial resection is an effective and safe option for treatment of locally
advanced ethmoid carcinomas after various preoperative treatments.
PMID- 26548098
TI - [A Case of Severe Hyponatremia Caused by Renal Salt Wasting Syndrome in
Oropharyngeal Cancer].
AB - Hyponatremia is one of the electrolyte abnormalities frequently encountered in
cancer therapy. Cisplatin is a well-known drug which can raise various adverse
events, including hyponatremia. A male with advanced oropharyngeal cancer is
presented in the present report, who was treated with radiotherapy with
concurrent administration of cisplatin and who underwent a total of three
episodes of severe hyponatremia in the course of therapy. The first two attacks
of hyponatremia following cisplatin administration were accompanied by
dehydration and excessive urination, and the patient recovered in one week with
rehydration and salt supplementation. Excessive loss of salt in urine confirmed
that these events were caused by renal salt wasting syndrome after cisplatin
administration. On the other hand, the third attack was due to the syndrome of
inappropriate antidiuretic hormone secretion after surgery for a bone fracture.
Estimation of the extracellular fluid volume and salt intake/output balance is
always believed to be necessary for the diagnosis and proper management of severe
hyponatremia after chemotherapy-based treatment with cisplatin.
PMID- 26548099
TI - [Survey on the Choice of the Treatment in Elderly Patients with Head and Neck
Cancer].
AB - The elderly population has been increasing, in Japan. With this increase, the
incidence of elderly patients with head and neck cancer is likely to increase
concomitantly. Therefore, a strategy for addressing this problem must be defined.
In this study, a questionnaire for head and neck cancer specialists, certified by
the Japanese Society of Head and Neck Surgery, is analyzed. The survey was
conducted in 225 head and neck cancer specialists, and 122 answers were complete
and bare analyzed in this study. Comorbidity was found to be the most important
factor in decision making for head and neck cancer, even though it is
subclinical. Especially important was the cognitive state, the dysfunction of
which might decrease the feeling of struggle against the disease. These results
indicate that we must choose the appropriate treatment based on the evaluation of
the physical and emotional condition of the patients and their families, not only
on their age. However, this suggestion includes some problems, such as the fact
that the criteria are not definite and the choice of the treatment might be at
risk of being judged by only one doctor.
PMID- 26548100
TI - [Complications Resulting from Taking Ear Impressions].
AB - In 2012, we carried out a study in a large sample to understand the secondary
injuries caused during the taking ear impressions for hearing aids. This study is
a follow-up of previous research conducted in 1986 (285 medical institutions) and
1999 (98 medical institutions). We posted a questionnaire survey to the
otolaryngology departments of 3,257 medical institutions. The response rate to
the questionnaire was 62.9% (2,050 of the 3,257 institutions), and the results
indicated that 301 of the 2050 institutions (14.7%) had experience with secondary
injuries, with a total of 460 cases reported. In 342 of the 460 cases (74.3%),
the secondary injuries occurred at hearing-aid dealerships, followed by 67 cases
(14.6%) at affiliated medical institutions, and 51 cases (11.1%) in other
locations, including other medical institutions, rehabilitation counseling
centers, and educational institutions. The most common type of secondary injury
(298 cases, 64.8%) was caused by the presence of foreign bodies in the ear, which
in turn was a result of complications occurring during the removal of residual
ear impression material. Of these 298 cases, 32 required excision of the foreign
bodies and surgical intervention under general anesthesia. The remaining 10 cases
exhibited isolated tympanic membrane perforation without foreign body-related
complications. Furthermore, 146 cases (31.7%) developed bleeding and otitis
externa following removal of the ear impression, and there were reports of cases
with bleeding that required long-term outpatient care and treatment. Therefore,
since retention of a foreign body in the ear and tympanic membrane perforation
can occur even in patients without a history of surgery or prior otologic
history, adjustment of hearing aids requires prior otorhinolaryngological
examination. Furthermore, because of the risk of secondary injury when taking ear
impressions, this procedure must be performed with caution under the guidance of
an otolaryngologist.
PMID- 26548101
TI - [PSA and blood test diagnostics of prostate cancer].
AB - Gleason grading of tumor biopses is the only method to distinguish clinically
significant prostate cancer. Local cancer is usually symptomless, and men would
benefit from functional screening. The aim of improving blood test diagnostics is
to find those for whom it is profitable on the basis of blood test to proceed to
biopsies. Overdiagnosis would be simultaneously avoided. In blood test
diagnostics, established use is made only of the levels of prostate-specific
antigen (PSA) and free PSA. New methods for blood test diagnosis are "Prostate
Health Index" and the four-kallikrein panel.
PMID- 26548102
TI - [Hips have a hard time in ice hockey goalkeeper's butterfly technique].
AB - Hip problems have increased especially among young ice hockey goalkeepers and
those using the butterfly technique. Femoroacetabular impingement (FAI) is a
common cause of the symptoms. Although hip impingement may also be symptomless,
it may later predispose to joint damages, especially in case of goalkeepers who
are loading their hip. Diagnosis of the impingement is important in order to
lessen any harmful effects. Magnetic resonance imaging is the most important
investigation in addition to the elucidation of patient history and clinical
condition. Conservative treatment includes changing the exercise, and making use
of exercises supporting the hip. If secondary joint damages have already
developed, surgical treatment is required.
PMID- 26548103
TI - [Family-based psychosocial interventions for children with attention deficit
hyperactivity disorder (ADHD), oppositional defiant disorder, and conduct
disorder].
AB - Psychosocial family-based interventions--family therapy, cognitive-behavioral
parent training and family-based treatment protocols--are empirically supported
treatments for children with attention deficit hyperactivity disorder (ADHD),
oppositional defiant disorder, and conduct disorder. Well-researched
interventions such as remote and group-based parent training programs relate to
improvements in parenting quality, positive parenting, and the child's decreased
ADHD and conduct behavioral problems, whereas individual family-based treatments
are sometimes required, depending on symptom severity. Specific family-based
treatment protocols are tailored for older children and adolescents with severe
behavioral and emotional problems. Considering the above, empirically supported
programs are used more in Finland, compared to licensed Anglo-American treatment
protocols.
PMID- 26548104
TI - [Magnetic resonance imaging of the brain in the monitoring of immune therapy of
multiple sclerosis].
AB - Magnetic resonance imaging of the brain is currently the most sensitive method in
detecting the lesions caused by multiple sclerosis. Assessment of the
immunological treatment response used in the treatment of multiple sclerosis
should be based on the clinical picture and brain MRI. T2-, flair- and T1-biased
images, gadolinium enhancement and assessment of atrophy are required for MRI
monitoring. In the first-line immune therapy MRI is taken at 6 to 12 months after
starting the drug therapy, in fingolimod therapy after 6 to 12 months and 1 to 2
years, respectively, and in alemtuzumab and natalizumab therapy after one and two
years.
PMID- 26548105
TI - [Screening of congenital heart defects in the newborn--time to unify the
practices of oxygen saturation screening in Finland].
AB - Saturation screening of congenital heart defects in the newborn takes place in
Finnish maternity hospitals. Saturation screening has been shown to be a cost
effective way to screen critical heart defects in the newborn. Screening aims to
reveal the heart defect before potential circulatory collapse. Early diagnosis is
important, as invasive therapeutic measures for congenital heart defects have
been concentrated to one center. There are differences in the implementation of
saturation screening. We therefore recommend unifying the screening system to
conform with the recently published Nordic recommendation. Screening should be
conducted during the first day of life by measuring the saturation values of both
the right upper limb and one of the lower limbs.
PMID- 26548106
TI - [What to do when my cancer patient is pregnant?].
AB - Cancers in pregnant women are rare and similar to those in women of similar,
reproductive age. Diffuse large B-cell lymphoma differs in its course in pregnant
women. Cancer treatment according to the guidelines is modified only according to
what is necessary in the overall situation. The goal of the treatment is to
secure the well-being of the mother and the fetus. Cancer surgery is successful
on almost the same principles as in other patients. Drug therapies required by a
severe cancer diagnosed in early pregnancy may jeopardize the health of both the
mother and the fetus. Radiation therapy during pregnancy is generally not
recommended.
PMID- 26548107
TI - [Insulinomas in Tampere University Hospital Special Responsibility Area in 1980
2010].
AB - BACKGROUND: Insulin-producing neuroendocrine tumours (iNETs) are rare, but their
incidence is increasing. We studied the incidence, clinical picture, diagnostics,
and treatment of insulinomas diagnosed in 1980 to 2010. METHODS: Retrospective
analysis of insulinomas diagnosed in Tampere University Hospital. RESULTS: We
found 23 iNET cases corresponding to an incidence of 0.7/million/year. All had
neuroglycopenic symptoms and 83% had autonomic ones. The median diagnostic delay
(from first symptoms up to diagnosis) was 25 months. Preoperative imaging found
the tumor in 87%. Twenty-one out of 22 patients who underwent surgery recovered
completely. CONCLUSIONS: Despite improved imaging the diagnostic delay of iNETs
remained unchanged. Hypoglycemia and insulinoma should be considered as a cause
of unspecific, symptomatic attacks.
PMID- 26548108
TI - [Peripheral ischemia and heart failure as complications of neoadjuvant therapy of
bladder cancer].
AB - Cystoctemy is the standard therapy of bladder cancer that has spread to muscle.
After five years from the surgery only 50% of the patients remain alive. Owing to
poor prognosis, preoperative cytostatic chemotherapy for the patients has been
commenced. Severe complications associated with the therapy are rare, and the
results are promising in selected patients. We describe a patient case, in which
necrosis of terminal segments of fingers and heart failure developed during
preoperative chemotherapy.
PMID- 26548110
TI - Harnessing natural resources for the benefit of mankind.
PMID- 26548111
TI - Potassium channels and prostacyclin contribute to vasorelaxant activities of
Tridax procumbens crude aqueous leaf extract in rat superior mesenteric arteries.
AB - BACKGROUND: Previous studies have shown that aqueous extract of the leaf of
Tridax procuinbens is capable of lowering blood pressure through its vasodilatory
effects. In the present study attempt was made to examine the biological active
components of T procuinbens leaf using GC-MS methods. We further investigated the
role of K+ channels in the vasorelaxation effects of Tridax procumbens using rat
isolated mesenteric artery. METHODS: The superior mesenteric artery isolated from
healthy, young adult Wistar rats (250-300 g) were precontracted with
phenylephrine (PE) (10(-7) M) and potassium chloride (KCl) (60 mM) and were
treated with Various concentrations of aqueous extract ofT procumbens (0.9.0
mg/ml). The changes in arterial tension were recorded using a force-displacement
transducer (Model 7004; Ugo Basil Varese, Italy) coupled to data capsule
acquisition system. RESULTS: The results of GG-MS revealed the presence of
linoleic acid. The T. procumbens extract (TPE) ranging from 0.5-9.0 mg/mI
significantly (p<0.05) reduced the, contraction induced by (PE) and (KCl) in a
concentration-dependent manner. The extract also antagonised the calcium-induced
vasoconstriction (1(-9) - 10(-5)) in calcium-free with high concentration of
potassium as well as. in calcium- and potassium free physiological solutions. The
vasorelaxing effect caused by TPE was significantly (p<0.05) attenuated with
preincubation of potassium channels blockers (Barium chloride and apamin), NO
synthaseinhibitor (L-NAME), prostacyclin inhibitor (indomethacin), atropine;
propranolol, and methylene blue while it was not affected by preincubation with
glibenclamide and tetra ethyl ammonium, 4-aminopyridine (4-AP) and oxadiazolo
quinoxalin (ODQ). CONCLUSION: The results of this study demonstrate that T
procumbens extract causes vasodilatory effects by blocking calcium channels and
the vasodilatory effect of the extract may also be due to stimulation of
prostacyclin production and opening of small-conductance Ga2+ activated potassium
channels. The observed effect of this extract may be probably due to the presence
of linoleic acid in this extract.
PMID- 26548112
TI - In vitro antimicrobial activity of crude ethanol extracts and fractions of
Terminalia catappa and Vitex doniana.
AB - BACKGROUND: The spread of microorganisms resistant to some antimicrobial agents
necessitates the need to search for novel and effective antimicrobial agents. In
this study, the antimicrobial activity of Terminalid catappa Linn. (Combretaceae)
and Vitex doniana Sweet. (Verbenaceae), two Nigerian medicinal plants used in
folk medicines for the management of various ailments related to microbial
infections were evaluated. OBJECTIVES: To evaluate the antimicrobial activity of
the crude ethanol extracts and fractions of the leaves and stem bark of T.
catappa and V. doniana. METHODOLOGY: Four crude ethanol extracts and 16 (n
hexane, ethylacetate, n-butanol and aqueous) fractions of leaves and stem bark of
T. catappa and V doniana were evaluated for in vitro antimicrobial activity
against fifteen (15) strains of bacteria and fungi. The antimicrobial activity
was determined in a 96-well plate using a resazurin based broth microdilution
method. Two standard antimicrobial drugs ampicillin and nystatin were included as
positive control. RESULTS: The butanoL fraction of stem bark of T. catappa and
ethanol crude extract of leaf of V don iana displayed the highest antibacterial
activity with similar minimum inhibitory concentration (MIC) value of 93.75
microg/mL against S. aureus and B. subtilis. Furthermore, the ethyl acetate
fraction of stem bark of T. catappa showed the highest antifungal activity with
MIC of 187.5 microg/mL against A. sydowi. Amp icillin had MIC of 15.6 and 31.3
microg/mL against S. aureus and B. subtili, respectively while nystatin produced
MIC of 3.9 microg/mL against A. sydowi. CONCLUSION: Termninalia catappa and Vitex
doniana may serve as useful sources of plant derived antimicrobial agents.
PMID- 26548113
TI - Comparison of postoperative pain in diathermy and conventional scalpel Skin
incision after mastectomy in Ibadan, Nigeria.
AB - INTRODUCTION: Pain control is a challenge after surgery. Inadequate control of
acute postoperative pain in mastectomy patients may lead to chronic post
mastectomy pain syndrome. The study aimed to compare the effect of diathermy
incision with scalpel incision on the severity of acute postoperative pain after
mastectomy. METHOD: Sixty three females had mastectomy under general anaesthesia.
Thirty two patients had skin incisions made with scalpel while 31 patients with
diathermy. Both groups received intraoperative Fentanyl and Tramadol. Tramadol
was also employed as postoperative analgesic while Paracetamol was given as the
rescue analgesic. The outcome measures were pain scores using visual analogue
score (VAS) and analgesic consumption within the twenty four hours
postoperatively. RESULTS: The mean VAS in the diathermy group versus scalpel
group at 6th, 12, 18th and 24th hour post operatively were 11.84 +/- 6.15 mm
versus 16.18 +/- 8.5 mm (p=0.001), 11.10 +/- 4.26 mm versus 15.84 +/- 5.12 mm
(p=0.001), 11.07 +/- 4.15 mm versus 17.32 +/- 6.01 mm (p=0.001), 10.6 +/- 8.08 mm
versus 19.19 +/- 8.7 mm (p = 0.001) respectively. The mean dose of Tramadol was
264 +/- 84 mg in the diathermy group versus 278 +/- 64 mg in the scalpel group
p=0.189, three patients required rescue analgesic (paracetamol) in the diathermy
group mean dose 1.5.7 +/- 0.54 g versus 7 patients in the scalpel group, mean
dose 1.67 +/- 0.58 g p=0.75. CONCLUSION: Diathermy can contribute to reduction in
the acute postoperative pain in patients undergoing mastectomy.
PMID- 26548114
TI - Lifetime and 7-day alcohol consumption in the elderly, prevalence and correlates:
Reports from the Ibadan Study of Aging.
AB - INTRODUCTION: There is a dearth of information on alcohol consumption in the
elderly in Africa. The aim of the study was to determine the prevalence of
lifetime and 7 day alcohol consumption in a community sample of elderly Nigerians
and the association of 7 day excessive alcohol consumption to several common
health conditions. METHODS: Face-to-face interviews were carried out among 2,149
elderly persons aged 65 years and older, selected through a multistage stratified
sampling method of households in the Yoruba-speaking areas of Nigeria (22% of
Nigerian population). Using a daily inventory of drinking, lifetime and 7-day
alcohol consumption estimates were derived. Excessive alcohol consumption was
defined as use of more than 1 unit/day at a sitting or more than 7 units/week.
Major depressive disorder was assessed with the WHO Composite International
Diagnostic Interview; chronic general medical conditions were assessed by self
reports. RESULTS: Majority (54.8%) of the respondents were lifetime abstainers.
Past-week alcohol use was reported by 12.0% of men and 3.6% of women. Drinking
rates declined with increasing age in both sexes (p < 0.05). Past week excessive
alcohol consumption was less likely among persons residing in rural areas, OR =
0.41 95% CI (0.17-0.99) but more likely to be associated with chronic backache or
neck pain OR = 2.04, 95% Cl, (1.0-4.59 and cognitive impairment OR=4.2, 95% CI,
(1.21-15.1). CONCLUSION: Excessive consumption is relatively common among elderly
males. Alcohol consumption in the elderly is associated with chronic pain,
cognitive impairment and living in urban areas.
PMID- 26548115
TI - Knowledge, determinants and unmet needs for postpartum family planning use among
women attending immunization clinic at Bowen University Teaching Hospital,
Ogbomoso, Oyo State, Nigeria.
AB - BACKGROUND: Most women in extended post partum period often have desire to use
family planning. Disappointedly, majority of such women end up having unplanned
or unwanted children. Little is currently known about factors responsible for
such unmet family planning need among Nigerian women. OBJECTIVES: To assess the
prevalence and determinants of unmet need for post partum family planning (PPFP)
among women in Oyo State, south- west, Nigeria. METHODOLOGY: This cross-sectional
analytic study was carried out using systematic sampling technique among 444
women attending immunization clinic in Ogbomoso, Nigeria. A pre-tested
questionnaire was used for data collection and data analysis. was done using SPSS
version 17. Chi-square test and binary logistic regression were used for
analysis. RESULT: The mean age of the respondents was 36?9. Majority (65.7%) of
the respondents demonstrated poor knowledge on PPFP. More than half (54.0%) of
them had unmet need for limiting while 46.0% had unmet need for spacing. Fear of
side effects was the commonest reason for lack of PPFP use (17.4%). Unmet need
was significantly associated with marital status, educational status and level of
awareness about PPFP. Level of awareness was the only significant predictor of
unmet need among our study participants (OR; 2.973, 95% C.I; 0.119-0.459).
CONCLUSION: Our study shows a high unmet need for PPFP among women in Ogbomoso,
thus there is need for a more programmatic focus on women in their extended post
partum periods. There is need for more awareness program on PPFP to increase
contraceptive uptake in Nigeria.
PMID- 26548116
TI - Compliance with infection control practices by Veterinarians in Nigeria.
AB - BACKGROUND: There is a global increase in morbidity and mortality due to zoonotic
diseases hence there is a need to identify possible sources of infections to
human population. This study assessed veterinarians' compliance with standard
infection control practices (ICPs) for prevention of zoonosis in Nigeria.
METHODOLOGY: A cross sectional survey of 320 veterinarians participating in the
National Annual Conference of the Nigerian Veterinary Me ic Association was done
in November, 2011 Characteristics related to compliance with standard infection
control practices were assessed. Chi-square and logistic regression tests were
done at 0.05 significant levels. More veterinarians (51.1% and 61.2%) did not
comply with appropriate ICPs while carrying out medical procedures of necropsy
and assisting in parturition. Those with longer years of practice (OR=0.42,95%
CI=0.23-0.75) and with long working hours (OR=0.52, 95% CI=0.28-0.97) were less
likely to comply with ICPS. Private practice veterinarians' were less likely than
public practitioners to comply (OR=0.67, 95% CI = 0.15-0.69). Also veterinarians
who had workplace IC policy were more likely than those without to be compliant
with ICPs (OR=3.71, 95% CI = 1.87-7.37). CONCLUSION: Future conferences can be
used to advise veterinarians on the importance of implementing appropriate IC
measures. Also infection prevention practices laws and policies should be enacted
to encourage compliance by veterinarians.
PMID- 26548117
TI - Household survey on Human Papilloma Virus vaccine awareness among women of
reproductive age in Ibadan, Nigeria.
AB - BACKGROUND: Cervical cancer is the commonest female genital tract malignancy
associated with high mortality in sub-Saharan Africa due to poor prevention plan.
Human Papilloma Virus (HPV) is a necessary cause of cervical cancer, and the
infection is vaccine preventable. Since the introduction of HPV vaccine, robust
community surveys on awareness of the vaccine that capture end-users perspective
is scarce. The aim of this study is to determine HPV vaccine awareness among
women of reproductive age group. METHODS: This was a household survey that used
multi-stage random sampling conducted amongst 1002 women aged 18-49 years from
August to September 2012 in Mokola area, Ibadan, south western Nigeria. A self
administered pre-tested questionnaire was used for data collection. Descriptive,
bivariate and multivariable analyses were performed, and statistical significance
was set at 95% confidence level. RESULTS: The mean age was 29.8 +/- 8.2 years and
49.9% had female children. Only 4.6% had heard of HPV vaccine. A significantly
higher odds of HPV vaccine awareness was found among highly skilled women, those
initiating sexual intercourse at older ages; women with multiple sexual partners
and those aware that cervical cancer is preventable. CONCLUSION: The awareness of
HPV vaccine is low. Policies and programs that will improve awareness utilising
culturally sensitive messages are imperative.
PMID- 26548118
TI - Anti-inflammatory and analgesic properties of Struchium sparganophora Linn leaves
in mice.
AB - BACKGROUND: Struchium sparganophora is a medicinal herb useful in the treatment
of pain, fever, arthritis, rheumatism, neurological and mental disorders in
traditional system of medicine in Nigeria and some other African countries. This
study was carried out to evaluate the anti-inflammatory and analgesic properties
of Struchium sparganophora in mice. METHODS: The anti-inflammatory effect of
Struchium sparganophora was evaluated using; carrageenan-induced paw oedema and
histamine-induced paw oedema in mice. The analgesic properties of Struchium
sparganophora were investigated utilizing; formalin, tail immersion and acetic
acid-induced abdominal constriction tests in mice, respectively. RESULTS:
Struchium sparganophora (100 - 400 mg/ kg; p.o) did not produce a dose dependent
anti-oedematogenic activity against carrageenan and histamine induced paw oedema.
Similarly, the leaf extract also exhibited analgesic effects that can be said to
be active both centrally and peripherally in
PMID- 26548119
TI - Cost implications of treatment of diabetes mellitus in a secondary healthcare
facility in Ibadan.
AB - BACKGROUND: Diabetes and its complications remain a major health challenge in
Nigeria. AIM: To evaluate the economic cost of type 2 diabetes mellitus in a
secondary healthcare facility in Ibadan, Nigeria. METHODS: The study was carried
out in Oyo state general hospital Ibadan, Nigeria using two methods of data
collection. A retrospective study design in which data were extracted from case
files of diabetic patients using a pre-designed data form and secondly with an
Open-ended, Affirmation, Reflective listening and Summaries (OARS) method. Data
was analysed using frequencies mean and correlation statistical tools. RESULTS:
Majority of the patients (73%) earned less than $125 per month. Hypertension was
the most common (98.08%) co-morbid disorder with diabetes mellitus and the most
common complication noted was neuropathy (48.10%). Metformin was the most widely
prescribed oral hypoglycemic agent (90.40%). The annual cost of diabetes mellitus
was $20,827.37. for the 52 patients while the average annual cost of diabetes per
patient was $400.52 but higher in age group 60-69 years. There was a significant
correlation (p < 0.05) between age (at onset of diabetes and at registration at
the healthcare facility) and total cost of drugs used. CONCLUSION: The study
demonstrated that type 2 diabetes mellitus and its complications imposed
significant economic burden on the patients. There is need for the policy maker
of health sector in the country to plan towards reducing the financial burden of
diabetes on the society.
PMID- 26548120
TI - Psychosocial impact of wheelchair usage on individuals with mobility disability
in Ibadan, Nigeria.
AB - BACKGROUND: Wheelchairs provide individuals with mobility impairments opportunity
for independent living within their environment. However, using this device may
have psychosocial impacts with consequent influence on the quality of life of the
users. The psychosocial impact of wheelchair usage among individuals with
mobility disability in a Nigerian community was investigated. METHODS: The study
is a descriptive cross-sectional survey. People who have been independent users
of wheelchair for a minimum of six months prior to the study were recruited from
centres for people with disabilities in Ibadan, Nigeria into the study. A profile
of their use of the device was documented and the psychosocial impact of
wheelchair was assessed using the Psychosocial Impact ofAssistive Devices Scale.
Data were analysed using descriptive and inferential statistics at p = 0.05.
RESULTS: Sixty consenting individuals with mobility disability participated in
this study. Their mean age was 38.7 +/- 14.1 years. Majority (90%) were manual
wheelchair users and two-thirds (63.3%) had been using the wheelchair for < or =
five years. Approximately a third of the participants use their wheelchairs
occasionally. There was no significant difference (p=0.26) in the psychosocial
impact of wheelchair usage between male and female users. CONCLUSION: The
psychosocial impact of wheelchair was similar between male and female users.
However, the impact was higher on the self-esteem of male than female users and
lower on their competence than that of their female counterparts. This may be due
to stigmatization or a culturally-related unwillingness of men in our environment
to be dependent on others.
PMID- 26548121
TI - Case reports of adult colo-colonic intussusception.
AB - BACKGROUND: Adult colo-colonic intussusception is a rare abnormality and it may
pose a diagnostic challenge. OBJECTIVE: To report two cases of adult colo-colonic
intussusception with benign lesion as the lead points. METHODS: The Clinical
records of the two patients containing the management details were retrieved and
reviewed. RESULTS: The 1st case was a 60 year old man presenting with a year
history of recurrent left abdominal pain a two week history of fullness left
upper and lower abdomen. Examination showed an 8cm by 6 cm mass in the left
hypochondrium continuing with another 16cm by 8 cm mass spanning the left lumbar
and left iliac fossa. Abdominal ultrasound scan showed a huge mixed echogenic
mass in the central abdomen spanning the left hypochondriac, left lumber and
suprapubic regions. He had exploratory laparotomy which revealed cob-cobonic
intussusception involving the ascending colon up to sigmoid colon. He had
subtotal colectomy done. The lead point was a hamartomatous polyp The 2nd case
was a 35 year old man with a two month history of recurrent abdominal pain and
haematochezia, a month history change in bowel habit and five day history of
abdominal distension. Examination showed distended abdomen with generalised
tenderness. There was a firm mass in the left hypochondrium extending to the left
iliac fossa. Abdominal ultrasound scan confirm intussusception: Exploratory
laparotomy showed perforation of transverse colon at the neck of cob-colonic
intussusception involving the distal third of the transverse colon to the rectum.
He had extended left hernicolectomy and Devine colostomy done. He died 36 h6urs
post operation. The lead point was an inflammatory polyp. CONCLUSION: Adult colo
colonic intussusception is an uncommon disease which may not present in a typical
feature of intussusception as occur in children thus posing diagnostic dilemma.
High index of suspicion with radiological investigation will serve to aid rapid
and accurate diagnosis.
PMID- 26548122
TI - The impact of political institution and structure on health policy making and
implementation: Nigeria as a case study.
AB - BACKGROUND: Typical of the political structure of the United States of America,
Nigeria operates a federal presidential system of government. Political power is
shared between the three levels of Federal, State and the Local Governments. The
political affairs of the country at these levels are determined by elected
politicians from different political parties, with different political and
developmental ideologies. This scenario has implications on policy making and
implementation especially in the health sector. This paper sought to discuss the
type of political structures and institutions and, the effect of these on health
policy implementation in selected countries, with emphasis on Nigeria. CURRENT
STATUS OF FINANCING HEALTH CARE: The National Health Insurance Scheme (NHIS) was
launched almost a decade ago but currently covers an estimated four percent of
the population, majority of which are in the formal sector. The poor coverage of
the scheme in Nigeria has been attributed to the type of political institution
and structure it operates. This is evident with examples of some countries in the
world; whereas, the scheme in Nigeria was implemented almost at the same time
like in neighbouring Ghana, the scheme in Ghana has made a considerable progress.
Likewise, prepayment scheme for health in Britain has been in practice for
decades, the U.S.A. is just currently making progress in the implementation of a
nationwide prepayment scheme despite several years of efforts. It is important to
note that Nigeria and the U.S.A. has similar political institution and structure
different from what Ghana and Britain have in common. CONCLUSION: The current low
level of coverage of the NHIS in Nigeria is largely due to the type of adopted
political institution and structure. The implications of this on the health
status of the people and national development cannot be overemphasized.
PMID- 26548123
TI - Looking to a capable future workforce.
PMID- 26548124
TI - New Regulations' impact discussed.
PMID- 26548125
TI - Europe's first children's hospital in a park.
AB - Just a year after the centenary of the completion of the 1914-built children's
hospital which it will soon replace, this autumn will see the opening of a new
Alder Hey Children's Hospital in Liverpool, dubbed Alder Hey in the Park thanks
to its attractive parkland setting. The 270-bedded hospital, designed by
architects, landscape architects, and interior designers, BDP, and built by Laing
O'Rourke, is located in Springfield Park on Liverpool's northern fringes, and
features a highly striking external design, with the three distinctive 'fingers'
housing the wards bordered by extensive greenery, and the buildings topped by
green undulating roofs. All the inpatient bedrooms, and indeed many other
internal spaces, will enjoy parkland views. The new hospital will also reportedly
offer some of Europe's most advanced children's healthcare. HEJ editor, Jonathan
Baillie, reports on the construction of this stunning new healthcare facility,
where children's views were key in shaping the design.
PMID- 26548126
TI - Ensuring cleaning's effectiveness.
PMID- 26548127
TI - How can acute space be better used?
PMID- 26548128
TI - More features, greater connectivity.
AB - Changes in our political infrastructure, the continuing frailties of our economy,
and a stark growth in population, have greatly impacted upon the perceived
stability of the NHS. Healthcare teams have had to adapt to these changes, and so
too have the technologies upon which they rely to deliver first-class patient
care. Here Sarah Hunt, marketing co-ordinator at Aid Call, assesses how the
changing healthcare environment has affected one of its fundamental technologies
the nurse call system, argues the case for wireless such systems in terms of
what the company claims is greater adaptability to changing needs, and considers
the ever-wider range of features and functions available from today's nurse call
equipment, particularly via connectivity with both mobile devices, and
ancillaries ranging from enuresis sensors to staff attack alert 'badges'.
PMID- 26548129
TI - Air quality for the 'clean side' examined.
PMID- 26548130
TI - Thorough training and knowledge are key.
PMID- 26548131
TI - Clean air's many benefits described.
PMID- 26548133
TI - Danesbury upgrade brings plenty of colour.
PMID- 26548132
TI - Robust, reliable systems paramount.
PMID- 26548134
TI - Complex challenges on larger sites.
PMID- 26548135
TI - Driving innovation through efficiency.
PMID- 26548136
TI - Are Medicare bundles in your future?
AB - To ensure they are well-positioned for an expansion by the Centers for Medicare &
Medicaid Services of bundled payment, hospitals that are not participants in the
Bundled Payments for Care Improvement initiative should take the following steps:
Understand which organizations in their markets are already participating and
which might participate. Understand care utilization patterns within their care
delivery networks and how those patterns affect cost per episode. Identify high
quality, cost-efficient postacute care providers and begin collaborating with
them to further improve outcomes. Educate discharging physicians about the impact
that choices related to postacute settings have on both beneficiary out-of-pocket
obligations and overall cost of care.
PMID- 26548137
TI - service line analytics in the new era.
AB - To succeed under the value-based business model, hospitals and health systems
require effective service line analytics that combine inpatient and outpatient
data and that incorporate quality metrics for evaluating clinical operations.
When developing a framework for collection, analysis, and dissemination of
service line data, healthcare organizations should focus on five key aspects of
effective service line analytics: Updated service line definitions. Ability to
analyze and trend service line net patient revenues by payment source. Access to
accurate service line cost information across multiple dimensions with drill
through capabilities. Ability to redesign key reports based on changing
requirements. Clear assignment of accountability.
PMID- 26548138
TI - Finding the 'sweet spot' in value-based contracts.
AB - Health systems pursing value-based contracts should address six important
considerations: The definition of value. Contracting goals. Cost of
implementation. Risk exposure. Contract structure and design. Essential
contractual protections.
PMID- 26548139
TI - Assessing the value in transactions involving multi-provider networks.
AB - When seeking to determine the financial value of a multi-provider network,
hospital finance executives should address the following considerations: Revenue
projections. Shared savings revenue risk. Distribution of profits. Cost
structure. Working capital requirements.
PMID- 26548140
TI - How to avoid 'death by benchmarking'.
AB - Hospitals and health systems should adopt four key principles and practices when
applying benchmarks to determine physician compensation: Acknowledge that a lower
percentile may be appropriate. Use the median as the all-in benchmark. Use peer
benchmarks when available. Use alternative benchmarks.
PMID- 26548141
TI - Connecting the dots: using 'big data' to build an efficient, integrated service
line.
AB - As seen in the case of musculoskeletal care, the broad steps hospitals and health
systems should take to optimize service lines include: Understanding their
market's demand for services. Guiding patients through integrated, patient
centric care. Taking patient engagement to the next level.
PMID- 26548142
TI - Market-driven health care and the Affordable Care Act.
PMID- 26548143
TI - On the safe side.
PMID- 26548144
TI - New MSSP ACO rule practicing the art of the possible.
PMID- 26548145
TI - Solving the monetization conundrum.
PMID- 26548146
TI - [Obstructive Sleep Apnea: review and a case presentation].
AB - Obstructive sleep apnea may be a life threatening situation if does not get
proper attention. Risk factors are easy to find, therefore general dental
surgeons should be aware of them and refer if needed. This literature review
clearly explains how obstructive sleep apnea is diagnosed and treated. We present
a case of a patient with severe obstructive sleep apnea (apnea hypopnea index of
87.5/hour), who underwent bi jaw surgery with 2 piece Le Fort 1 maxiilary
advancement, mandibular bilateral sagittal split osteotomy (BSSO) advancement,
and genial tubercle advancement. Post-surgery sleep study demonstrated near
resolution of previously severe obstructive sleep apnea with overall apnea
hypopnea index (AHI) of 3.7/hour consistent with a successful surgical outcome.
PMID- 26548147
TI - [Perceived attractiveness and other characteristics of different male facial
types before and after orthognathic surgery].
AB - Orthognathic intervention has not only profound implications on the individual's
function and esthetics, but also on the perception of his surrounding
environment. In this study, frontal and profile photographs of 3 groups of men (a
control group with normal profile and prognathic and retrognathic profiles,
before and after orthognathic surgery) were shown in random order to a group of
83 women, who were asked to rate the pictures according to attractiveness and
several personality traits on an analog scale. Results show that men with normal
profiles got the most positive scores on all categories. Men with prognathic
profiles were perceived as more aggressive and assertive, while men with
retrognathic profiles were perceived as less intelligent and reliable. After
orthognathic intervention both retrognathic and prognathic groups had a
significant improvement in their scores, which were closer to the normal profile
group.
PMID- 26548148
TI - [One stage combined endoscopic and per-oral buccal fat pad approach for large oro
antral-fistula closure with secondary chronic maxillary sinusitis].
AB - There are numerous surgical approaches for oro-antral-fistula (OAF) closure.
Secondary sinus disease is still considered by many experts a relative contra
indication for primary closure. To describe a single-stage combined endoscopic
sinus surgery and per-oral buccal fat pad (BFP) flap approach for large OAF
causing chronic maxillary sinusitis. The records of all the patients with OAF and
chronic manifestations of secondary rhinosinusitis that were treated between 2010
and 2013 in our tertiary care medical center were reviewed. The exclusion
criteria were: OAF 5 mm, resolved sino-nasal disease, OAF secondary to
malignancy, recurrent fistula, medical history that included radiotherapy to the
maxillary bone and age <18 years. Each procedure was performed by a team
consisting of a rhinologist and a maxillofacial surgeon. The surgical approach
included an endoscopic middle antrostomy with maxillary sinus drainage, and a per
oral BFP regional flap for OAF closure. Total OAF closure, complications and need
for revision surgeries. Forty-five patients that underwent OAF closure together
with sinus surgery using a combined endoscopic sinus surgery (ESS) and BFP flap
approach met the inclusion criteria. There were 28 males and 17 females with a
mean +/- SD age of 53.5 +/- 14.9 years (range 22-80 years). The presenting signs
and symptoms included purulent rhinorrhea (n = 22, 48.9%), foreign body in sinus
(n = 10, 22.2%) nasal congestion (n = 7, 15.5%), halitosis (n = 6, 13.3%) and
pain (n = 5, 12.2%). Surgical complications included local pain (n = 2, 4.4%),
persistent rhinitis (n = 2, 4.4%) and synechia (n = 1, 2.2%). One patient
required revision surgery due, to an unresolved OAF. The OAF of all the other 44
patients (97.8%) was closed after the first procedure and the paranasal sinuses
on the treated side were completely recovered. The mean follow-up time for the
group was 7.6 +/- 4.3 months (7-21 months), and no untoward sequelae or
recurrence were reported. Combined, one step, endoscopic Maxillary sinus drainage
together with per-oral BFP flap approach is an efficacious surgical approach for
safe closure of OAFs that are complicated with secondary chronic maxillary
sinusitis.
PMID- 26548149
TI - [Distraction osteogenesis of deficient alveolar bone prior to dental
rehabilitation].
AB - Implant supported rehabilitation has become very common in treatment plans
nowadays, yet many patients lack the vertical and horizontal bone dimensions
required for endosseous implant insertion. Distraction osteogenesis is a
technique in which bone is generated by progressive elongation of two bone
fragments following an osteotomy or corticotomy. Distraction osteogenesis of the
alveolar ridge as a treatment modality in implant dentistry is a very useful
technique that allows for adequate bone formation suitable for implant insertion.
Alveolar distraction can be unidirectional, bidirectional, multidirectional or
horizontal. Alveolar distraction osteogenesis can be performed by using
intraosseous distraction devices, intraosseous distraction implants or by
extraosseous devices which are the most prevalent today. Distraction osteogenesis
has many advantages such as gradual lengthening of the bone with no need for an
autogenous bone graft and lack of the associated donor site morbidity as well as
distraction of the surrounding soft tissue together with the transported bone.
One of the major challenges when using alveolar distraction osteogenesis is
controlling the vector of distraction, this problem should be further addressed
in future researches. We describe different methods for alveolar distraction
osteogenesis, including the surgical procedure, latency period, lengthening and
consolidation period. We also discuss the advantages, disadvantages and
complications of the method. In this manuscript a case of mandibular alveolar
deficiency following mandibular fracture and loss of teeth and the alveolar bone
is presented. This patient was treated by alveolar distraction osteogenesis with
excellent results. This patient was later rehabilitated . using endosseous
implants as demonstrated by radiographs. Alveolar distraction osteogenesis
provides a method to regain both hard tissue and soft tissue without additional
grafting and is an efficient modality in cases of medium to severe bone loss.
PMID- 26548150
TI - [Minimal invasive implantology].
AB - Endoscopic surgery has changed the philosophy and practice of modern surgery in
all aspects of medicine. It gave rise to minimally invasive surgery procedures
based on the ability to visualize and to operate via small channels. In
maxillofacial surgery, our ability to see clearly the surgical field opened an
entirely new world of exploration, as conditions that were once almost impossible
to control and whose outcome was uncertain can be now predictably managed. in
this article we will descripe the advantage of using the oral endoscope during
the dental implantology procedure, and we will describe a unique implant which
enable us in combination with the oral endoscope to create a maxillary sinus lift
with out the need of the major surgery with all of its risks and complication.
PMID- 26548151
TI - [Facial femalization in transgenders].
AB - Transsexualism is a gender identity disorder in which there is a strong desire to
live and be accepted as a member of the opposite sex. In male-to-female
transsexuals with strong masculine facial features, facial feminization surgery
is performed as part of the gender reassignment. A strong association between
femininity and attractiveness has been attributed to the upper third of the face
and the interplay of the glabellar prominence of the forehead. Studies have shown
that a certain lower jaw shape is characteristic of males with special attention
to the strong square mandibular angle and chin and also suggest that the
attractive female jaw is smaller with a more round shape mandibular angles and a
pointy chin. Other studies have shown that feminization of the forehead through
cranioplasty have the most significant impact in determining the gender of a
patient. Facial feminization surgeries are procedures aimed to change the
features of the male face to that of a female face. These include contouring of
the forehead, brow lift, mandible angle reduction, genioplasty, rhinoplasty and a
variety of soft tissue adjustments. In our maxillofacial surgery department at
the Sheba Medical Center we perform forehead reshaping combining with brow lift
and at the same surgery, mandibular and chin reshaping to match the remodeled
upper third of the face. The forehead reshaping is done by cranioplasty with
additional reduction of the glabella area by burring of the frontal bone. After
reducing the frontal bossing around the superior orbital rims we manage the soft
tissue to achieve the brow lift. The mandibular reshaping, is performed by
intraoral approach and include contouring of the angles by osteotomy for a more
round shape (rather than the manly square shape angles), as well as reshaping of
the bone in the chin area in order to make it more pointy, by removing the
lateral parts of the chin and in some cases performing also genioplasty reduction
by AP osteotomy.
PMID- 26548152
TI - [Oral cavity cancer: epidemiology and early diagnosis].
AB - Cancer of the oral cavity (Oral cancer) is the 11th most common malignancy in the
world, despite the general global trend of a slight decrease in the incidence of
oral cancer, tongue cancer incidence is increasing. About 90% of tumors are
subtyped to oral Squamous cell carcinoma (OSCC). The incidence and mortality of
this tumor shows variability according to the geographic location in which it is
diagnosed, however in the last decade an increase was seen in the percentage of
young patients, especially patients with tongue cancer. The overall prognosis of
this cancer is roughly 55-65%, this is probably due to late diagnosis. Early
diagnosis of oral cancer is the most important factor affecting the overall
survival and prognosis, thus several diagnosis methods have been developed in the
past few years. Still, the prognosis did not improve as expected. Oral cancer
biomarkers in saliva is as easy body fluid, for noninvasive detection. Several
researches identified several possible biomarkers, but none was specific. In our
review, the incidence and mortality of oral tumors pose a main health problem in
many aspects all around the world, as well as differences in behavior of these
tumors. We witnessed more cases of anterior tongue cancers affecting mainly the
young age patient group, a two decades younger than the normal risk group of oral
cancer. Several countries in Europe showed a significant increase of oral cancer
prevalence, such as Germany, especially in men. Similar behavior was also
reported in the United States, which showed a change in the risk groups. Studies
have reported an alarming lack of awareness about oral cancer, its symptoms and
early diagnosis. These gaps in knowledge need to be addressed by further public
education, possibly targeted at high-risk groups. With the knowledge of possible,
specific, early biomarkers, primary detection could improve the prognosis
tremendously. Research on the salivary biomarkers of the disease would help to
develop screening programs tailored more to the risk groups.
PMID- 26548154
TI - Professionalism in Nursing.
PMID- 26548153
TI - Maxillofacial surgery in the technological era.
PMID- 26548155
TI - Member Profile. Beasts' Best Friend.
PMID- 26548156
TI - Still changing: Medicare turns 50.
PMID- 26548157
TI - 5 ways to assess readiness for value-based payment.
PMID- 26548158
TI - Healthcare M&A: critical issues in today's fast-paced market.
AB - In an accelerating market for healthcare mergers and acquisitions, parties to a
potential deal should consider the following factors when assessing risk and
reward: Economic, business, and cultural forces. Funding issues and financing
structures. Potential complications during due diligence. The critical importance
of effective postmerger integration. The numerous regulatory, tax, and accounting
issues that can affect an acquisition.
PMID- 26548159
TI - The call conundrum.
AB - Physician pay for being on call to provide emergency department coverage has long
been a headache for health systems, but a few careful steps can help mitigate
future challenges: Proactively develop strategies and adhere to them
consistently. Promote integrated specialty groups/departments. Pursue payer
contracts that include key quality and total cost-of-care incentives.
PMID- 26548160
TI - Looking afield: debt collection tips hospitals can borrow from banks.
AB - When developing strategies for collecting on patient debt, hospitals can benefit
from following the example of the banking industry: Banks take a "do-it-yourself"
approach, working delinquent accounts in-house for as long as practical. They
embrace technology to give in-house debt collectors optimal opportunity to
connect with customers to work out terms for resolving debt. They strategically
leverage outside collections agencies based on the makeup of their debt
portfolio.
PMID- 26548161
TI - Improving denials management at the enterprise level.
AB - Providers have just one last chance to prepare for implementation of ICD-0. These
three components are key to a successful transition: Strong leadership, proactive
processes and technology, diligence with denials.
PMID- 26548162
TI - Redefining patient responsibility for a new era.
AB - In 2014, Ochsner Health System implemented a systemwide initiative to improve
financial stability, resulting in a 36 percent increase in preservice and point
of-service collections over the same period the previous year. Highlights of the
program include: Executive support for the effort, as well as strong, systemwide
support from a cross-functional group of influential stakeholders. Exceptions for
medically urgent services to ensure the new approach is aligned with Ochsner's
mission and values. Measurement and distribution of key metrics (e.g., deferral
rate) by the program's leadership to drive performance improvement, dispel
rumors, and ensure broad support from physicians.
PMID- 26548163
TI - The coding countdown.
AB - As the time for ICD-10 implementation draws near, hospitals and health systems
should prepare not only for the switch but also for long-term compliance. Key
areas of preparation include: Training of personnel, including coders and
physicians. Ensuring IT systems are compliant. Monitoring third parties for
compliance.
PMID- 26548164
TI - Optimizing the back office.
AB - The shift to value-based service calls for new attention to be paid to an area
often ignored in such a system: the back office. To reduce administrative costs
and maximize compensation, healthcare providers should: Stay current with rules
and timelines. Monitor provider eligibility and performance. Prepare for
performance data submission.
PMID- 26548165
TI - The self-pay problem.
AB - Development of a self-pay plan is key to improving collections. The five
opportunities for providers to do this include: Pre-registration/scheduling.
Admissions, time of service, discharge, post-billing.
PMID- 26548166
TI - Laying the cable: making investments that will enhance organizational value.
PMID- 26548167
TI - Timing: tax-exempt bond refunds.
PMID- 26548168
TI - Hospital admissions increasingly are originating in urgent care.
PMID- 26548169
TI - GrittingYour Teeth at Work?
PMID- 26548170
TI - What Is Caring with Dignity?
PMID- 26548171
TI - Not a Silly Question After All?
PMID- 26548172
TI - Incivility in the Classroom (i.e., How to Trap a Teacher).
PMID- 26548173
TI - See Me, See My Child: Glimpses into Autism Spectrum Disorder.
AB - Autism Spectrum Disorder (ASD) is on the rise, with one in 68 children diagnosed
with ASD. Families of children with ASD speak of being othered-feeling like
outsiders in social situations. Because of ASD prevalence, all nurses need to
understand current research, causes, symptoms, diagnosis, treatment, and how to
offer effective support. Nurses within the faith community, especially
parish/faith community nurses, can play a significant role in creating a
welcoming and supportive environment for children with ASD and their families.
PMID- 26548174
TI - After The Trenches: Spiritual Care of Veterans.
AB - Over a million veterans seek mental healthcare through the Veterans Health
Administration (VHA) every year. Many voice challenges to their spiritual
beliefs, especially after deployment. Research supports that integrating
spiritual care into mental health interventions improves the ability of veterans
to cope. Mental health practitioners-healthcare professionals specializing in
mental health--are key to incorporating spirituality into the mental healthcare
of veterans, as well as collaborating with VHA chaplains.
PMID- 26548175
TI - From Doing to Bring: Incorporating Faith into Diabetes Self-Care Education.
AB - Millions worldwide live with diabetes and are challenged to make lifestyle
changes. Nurses help patients learn strategies necessary for successful diabetes
management. However, patients frequently view long-term behavior change as
unachievable. This article offers educational strategies based on liturgical
anthropology that can be incorporated into any diabetic self-care education
program, but particularly in faith communities. Lifestyle habits are tightly
interwoven with cultural, social, and spiritual belief. Liturgical anthropology
explores how cultural and spiritual customs mold us and influence our behavior
choices.
PMID- 26548176
TI - Can Spiritual Care be TAUGHT via Simulation?
AB - Nurse educators are challenged to find meaningful methods to teach spirituality
throughout the curriculum. Simulation is one teaching strategy that offers real
life situations in a less threatening environment. This article offers a
simulation of a client in spiritual distress and describes student responses
using the Situation, Background, Assessment and Recommendation (SBAR) tool.
Simulation can be used to help students develop critical thinking and clinical
reasoning skills for spiritual care.
PMID- 26548177
TI - MEETING Spiritual Needs: A STUDY USING THE SPIRITUAL CARE COMPETENCE SCALE.
AB - Healthcare literature suggests that many nurses fail to address patients'
spiritual needs and/or identify signs of spiritual distress. A study was
conducted to explore whether nurses in a medical center possessed the knowledge
to assess patients' spirituality and design and implement a plan of spiritual
care. The Spiritual Care Competence Scale was used to assess competence in
spiritual care assessment and implementation; professionalization and improving
quality; personal support and patient counseling; referral; attitude toward
patient spirituality; and communication of spiritual needs.
PMID- 26548178
TI - PREPARING HEALTH PROFESSIONS VOLUNTEERS TO SERVE GLOBALLY.
AB - Scant literature exists to describe the global health and collaboration
competence of international healthcare professional volunteers. An educational
program to prepare volunteers for short-term service in resource-poor settings
was developed. Pre- and post- program competence and team collaboration levels
were assessed in 18 healthcare professionals. A significant improvement (p < .05)
occurred in global health competence after education. Formal educational
preparation of international health volunteers can enhance their overall
effectiveness when serving in resource-poor settings. Extensive resources for
global health education are referenced.
PMID- 26548179
TI - NURSING FOR THE KINGDOM OF GOD.
AB - The importance of nursing as Christ would is vital for Christian nurses. At one
Christian school of nursing, students are taught the concept of Kingdom Nursing:
focused, dynamic, patient-centered care, inspired by the qualities of Christ and
influenced by the presence of the Holy Spirit in the life of the nurse.
PMID- 26548180
TI - When Is It Right to Pray with a Patient?
PMID- 26548181
TI - Servant Educators Shape Future of Nursing.
PMID- 26548182
TI - Divine Appointment.
PMID- 26548183
TI - Hitch a Ride!
PMID- 26548185
TI - Certificate of Need...a perspective to consider....
PMID- 26548184
TI - SADA Communique.
PMID- 26548186
TI - Continuing Professional Development.
PMID- 26548187
TI - Fracture strength of cusp-replacing fibre-strengthened composite restorations.
PMID- 26548188
TI - Self-reported musculoskeletal pain among dentists in South Africa: A 12-month
prevalence study.
AB - INTRODUCTION: Musculoskeletal trouble (ache, pain, discomfort) originating in the
neck, shoulder, and back is a common occupational hazard among dental
professionals worldwide, The significance of this problem justified research into
the prevalence of these symptoms among dentists in South Africa for which there
is currently no information. AIMS: The aim of this study was to investigate the
one-year prevalence of self-reported musculoskeletal trouble in the neck,
shoulder, and lower back areas among dentists in South Africa. METHODS: A survey
questionnaire was forwarded to all members of the South African Dental
Association whose email addresses were recorded on the Association data base. The
email survey was performed using the previously validated Nordic Musculoskeletal
Questionnaires. RESULTS: This cross sectional study revealed a self-reported 12
month prevalence of musculoskeletal trouble (ache, pain, discomfort) among
dentists in South Africa of 77.9% involving the neck, 69.8% the lower back, and
72.4% the shoulders, Multiple regression analysis showed that a
PMID- 26548189
TI - Dental management of children with special healthcare needs.
AB - INTRODUCTION: Dental caries is a common condition amongst young children that
negatively impacts on their quality of life, It is an added burden on children
with special healthcare needs (CSHCN) who have an increased risk of developing
caries due to the high sugar contents in their medications, regular consumption
of cariogenic foods and a poor salivary flow. AIMS AND OBJECTIVES: to analyse the
management of dental caries in CSHCN at a tertiary public hospital in South
Africa. METHODS: A retrospective analysis was conducted of the dental and medical
records of 374 medically compromised children presenting with dental caries.
Dental treatment and anaesthetic techniques used were reviewed. RESULTS: Results
indicated that the majority of CSHCN presenting with caries were managed by
extractions (96.5%) under either local anaesthesia (73.3%) or general anaesthesia
(26.7%). There was a lack of restorative care provided to these compromised
children, CONCLUSIONS: Treatment by extractions may relieve discomfort and pain;
however, early loss of teeth leads to functional, psychological, aesthetic and
orthodontic problems, which can result in a further decline in the quality of
life of these already compromised patients, Restorative treatment and prevention
strategies are critical in managing caries in CSHCN in order to improve their
quality of life,
PMID- 26548190
TI - Scale.
PMID- 26548191
TI - Oral medicine case book 60: Xeroderma pigmentosum.
PMID- 26548192
TI - Over-servicing.
PMID- 26548193
TI - Maxillo-facial radiology case 121.
PMID- 26548195
TI - Team Dentistry in July.
PMID- 26548196
TI - Jules Kieser--1950 - 2014. BSc BDS PhD DSc FLS FDSRCS(Ed) FFSSoc.
PMID- 26548197
TI - Coding guidelines for dentists.
PMID- 26548198
TI - Heather Cunningham Graham. Passed away July 2014.
PMID- 26548199
TI - A review of child abuse and the role of the dental team in South Africa.
PMID- 26548200
TI - The adoption of social media and social media marketing by dentists in South
Africa.
AB - OBJECTIVES: The purpose of the study was to identify and understand social media
usage behaviour of dentists in South Africa, in general and in particular as part
of their marketing strategy and to consider the potential determinants associated
with these behaviours. METHODS: Dentists who are members of the South African
Dental Association were requested to anonymously complete an online
questionnaire. Apart from demographic information, respondents were asked to
report on their use of social media and their adoption of social media marketing.
One-on-one interviews were also conducted with three dentists, to gain a deeper
understanding of their adoption of this marketing option. RESULTS: South African
dentists have started to embrace social media and 50.2% interact through these
channels at least once a day. The most popular social media platforms are
GooglePlus and Facebook. Respondents use social media mainly for personal
purposes, including staying connected to family and friends.. Only 13.2% of those
responding currently use social media as a marketing tool, but the majority
(83.5%) predict that such usage will increase in future. CONCLUSION: Social media
marketing is a growing trend and will become more significant in future. Although
respondents used social media regularly for personal purposes, most are only now
starting to use it as a marketing tool.
PMID- 26548201
TI - The influence of glide path preparation on the failure rate of WaveOne
reciprocating instruments.
AB - INTRODUCTION: The aim of this study was to determine the influence of two
different glide path preparation methods on the fracture rate of the Primary
25/08 WaveOne reciprocating instrument. Preparation times for different glide
path methods and total time for root canal preparation, with and without prior
glide path preparation, were also calculated. MATERIALS AND METHODS: ISO 15, 0.02
taper Endo-Training-Blocks (n = 300) were selected and randomly divided into
three main groups (n = 100): Group 1: no glide path (control); Group 2: glide
path preparation with stainless-steel hand files; and Group 3: glide path
preparation with rotary PathFiles. The time taken to prepare each glide path was
recorded. The specimens in each main group were then randomly assigned into five
subgroups (n=20). New Primary 25/08 WaveOne files were used for canal preparation
in each subgroup. The outcome was measured by recording how many simulated canals
could be shaped with one WaveOne reciprocating file in each subgroup before
instrument breakage occurred. The average time it took to prepare each of the
simulated canals was calculated and recorded. The data were collected and
statistically analysed using the ANOVA / Bonferroni test. RESULTS: Glide path
preparation with PathFiles was significantly faster than with hand files
(P<0.001). After the glide path preparation had been performed with PathFiles, a
greater number of simulated canals could be prepared before failure of the
WaveOne file (P<0.001). Root canal preparation time was significantly shorter
(P<0.001) when an initial glide path had been prepared with PathFiles.
PMID- 26548202
TI - Race/ethnicity in biomedical research and clinical practice.
AB - There is ongoing debate as to whether persons of different racial/ethnic groups
are biologically significantly different, and, if such differences exist, whether
they are relevant in relation to disease susceptibility and to treatment
outcomes. There is also debate about the benefits of using race/ethnicity as a
factor in clinical decision making, and as a variable in biomedical or public
health research, because of the emotional sensitivities attached to race/ethnic
categorisation. Such categorisation may also divert attention from underlying
issues such as socioeconomic status and lack of access to modern health care. In
this short article we will discuss these controversies, and will emphasize the
importance of responsible and sensitive use of race/ethnicity as a variable in
biomedical research and in clinical practice.
PMID- 26548203
TI - Maxillo-facial radiology case 122.
PMID- 26548204
TI - Oral medicine case book 61: Oral malignant melanoma.
PMID- 26548205
TI - Minors' request for confidentiality.
AB - Dentists must be cognisant of what the law requires and how they are expected to
respond. When the law does not address an issue, the dentist would need to weigh
the circumstances and consequences and do what he/she thinks is ethically
appropriate and acceptable. The need to maintain confidentiality of any
information given to us in our professional capacity is paramount. Patient
autonomy and their absolute right to confidentiality must be ensured in almost
all but the most exceptional circumstances. Patients have the ethical and legal
right to expect a health professional to keep confidential the information
provided during the course of their care. Disclosure of patient information is
only permitted with the patient's consent or if there is an overwhelming public
interest in disclosure as prescribed by the law.
PMID- 26548207
TI - Consent to information.
PMID- 26548208
TI - SADA Communique.
PMID- 26548209
TI - Letter of appreciation.
PMID- 26548210
TI - Dentistry in South Africa is gravely ill, sick to the core.
PMID- 26548211
TI - Substance abuse: case management and dental treatment.
PMID- 26548212
TI - A comparison of preload values in gold and titanium dental implant retaining
screws.
AB - This in vitro investigation compared the effect of using either gold or titanium
retaining screws on preload in the dental implant- abutment complex. Inadequate
preload can result in screw loosening, whilst fracture may occur if preload is
excessive. These are the most commonly reported complications in implant-retained
prostheses, and result in unscheduled, costly and time-consuming visits for the
patient and the clinician. This study investigated changes in preload generation
after repeated torque applications to gold and titanium screws. The test set-up
consisted of an implant body, a cylindrical transmucosa abutment, and the test
samples of gold and of titanium retaining screws. The implant bodies were
anchored using a load cell, and the transmucosal abutments were attached using
either gold or titanium retaining screws. A torque gauge was used to apply torque
of 20Ncm, 32Ncm, and 40Ncm to the retaining screws. The preloads generated in
each screw type were compared at each torque setting, and after repeated
tightening episodes. In addition, the effect of applying torque beyond the
manufacturers' recommendations was also examined. Gold retaining screws were
found to achieve consistently higher preload values than titanium retaining
screws. Preload values were not significantly different from the first to the
tenth torque cycle. Titanium screws showed more consistent preload values, albeit
lower than those of the gold screws. However due to possible galling of the
internal thread of the implant body by titanium screws, gold screws remain the
retaining screw of choice. Based on the findings of this study, gold retaining
screws generate better preload than titanium. Torque beyond the manufacturers'
recommendations resulted in a more stable implant complex. However, further
investigations, with torque applications repeated until screw breakage, are
needed to advise on ideal maintenance protocols.
PMID- 26548213
TI - Oral medicine case book 62: CREST syndrome.
PMID- 26548214
TI - Forensic dentistry case book 2: Dental identification of severely carbonised
remains.
PMID- 26548215
TI - Maxillo-facial radiology case 123.
PMID- 26548216
TI - Ethical management of patients with hearing impairments.
PMID- 26548218
TI - Research: Capricious or Controlled?
PMID- 26548219
TI - SADA Communique.
PMID- 26548220
TI - Demarcation between medical schemes and health insurance.
PMID- 26548221
TI - Sugar leaves a bitter taste.
PMID- 26548222
TI - Confronting some fallacies of SA's sugar consumption with scientific facts.
PMID- 26548223
TI - Letter about dental decay, obesity shows that sugar industry is not to be
trusted.
PMID- 26548224
TI - Oral health needs and barriers to accessing care among the elderly in
Johannesburg.
AB - OBJECTIVES: The study sought to determine barriers to accessing oral health
services amongst the elderly residing in retirement villages in Johannesburg. The
objectives were to determine the normative and perceived oral health needs, the
barriers experienced and the predictors of oral health utilisation. MATERIALS AND
METHODS: This was a cross-sectional study. Three hundred and eight (n=308)
participants were recruited from 10 retirement villages in Johannesburg. Data
were collected from questionnaires and clinical oral examinations assessing the
DMFT and CPITN scores. RESULTS: The clinical findings of the oral health status
indicated a caries experience of 46%, whilst 58% of participants suffered from
periodontal conditions. Sixty four percent (64%) acknowledged the need to visit a
dentist, however only 28% of the study population had utilised oral health care
in the past 12 months, due to perceived barriers. The barriers most frequently
reported included the belief that they were not able to afford dental treatment
and the lack of transport availability. The multivariate analysis indicated that
a significant positive predictor of utilisation was Perceived Need. CONCLUSION:
Though oral health access was freely available in the public sector and normative
and perceived need for oral health care were high, the barriers experienced
prevented 72% of the participants from utilising oral health services.
PMID- 26548225
TI - Radix Entomolaris - a rare case series.
AB - INTRODUCTION: The success of root canal treatment is determined by satisfying the
basic principles of complete removal of the endodontic pathology through chemo
mechanical cleansing of the root canals followed by shaping and then by
obturation to provide the ideal hermetic seal. To achieve such success a thorough
knowledge of the root canal anatomy is a basic requirement. Several anatomic
variations of the mandibular molars have been reported among which is the
reportedly rare Radix Entomolaris(RE), a mandibular molar with an additional root
located lingually. AIMS AND OBJECTIVES: To present five cases of RE diagnosed pre
operatively using radiographs and subsequently successfully endodontically
treated. We also aim to highlight the considerations for the diagnosis and
management of RE in general dental practice. CONCLUSION: Even though RE is rarely
encountered in general dental practice, the possibility that it may occur
warrants the need to have sufficient knowledge regarding diagnosis and the
appropriate modifications in endodontic management of these teeth. Preparedness
coupled with a carefully modified clinical approach aids in successful management
of RE and ensures that these cases could be handled with ease.
PMID- 26548226
TI - Halitosis as a product of hepatic disease.
AB - OBJECTIVES: This study evaluated halitosis in patients suffering from hepatic
disease. MATERIAL AND METHODS: Twenty-five patients (12 males and 13 females)
aged between 16 and 73 years who had undergone treatment for liver disease were
included in this study. Three halimeter recordings were performed to measure
methyl mercarptan and hydrogen sulphite. Mean values were calculated and compared
with normal values (75-120 ppb). The level of significance was set at P < .05.
Results: Thirteen of the 25 subjects (52%) had normal Volatile Sulphur Compound
(VSC) values (75-120 ppb). Twelve subjects (48%) recorded values ranging from 132
to 1112 ppb. There was no correlation between hepatic pathology and halitosis.
Fifty-two percent of all subjects had poor oral hygiene, strongly correlated with
high VSC values (P<0.05) whereas the remaining 48% with good hygiene had normal
levels of VSC. CONCLUSIONS: Within the limitations of this study, high values of
VSC were not associated with the presence of hepatic disease.
PMID- 26548227
TI - Oral medicine case book 63: HIV-associated oral melanin hyperpigmentation.
PMID- 26548228
TI - Maxillo-facial radiology case 124.
PMID- 26548229
TI - Planning for treatment ethically.
PMID- 26548231
TI - [Identification of Clinical Thymidine-Dependent Small-Colony Variants of
Staphylococcus aureus by Using Matrix-Assisted Laser Desorption Ionization Time
of-Flight Mass Spectrometry].
AB - In this study, the performance of MALDI-TOF MS was evaluated for the
identification of clinical thymidine-dependent small-colony variants (TD-SCVs) of
Staphylococcus aureus. We performed identification of a total of 15 S. aureus TD
SCVs by using biochemical tests, 16S rRNA gene sequencing and MALDI-TOF MS
analysis. Although the biochemical method using MicroScan panels could not
identify all isolates due to insufficient growth in the control well. MALDI
Biotyper (Bruker Daltonics) could correctly identify all of them. Two sample
preparation methods, the direct transfer-formic acid method and ethanol-formic
acid method, for measurement by MALDI Biotyper made no difference in results.
MALDI-TOF MS is useful identification of S. aureus TD-SCVs.
PMID- 26548232
TI - [Study on the Sample Preservation Temperature and Period in Circulating MicroRNA
Quantification Using Spike-In Control].
AB - MicroRNA in body fluid is called circulating microRNA and is expected to be a non
invasive stable biomarker of various diseases. In real-time RT-PCR of circulating
microRNA, synthetic non-human microRNA, such as cel-miR-39, is used as spike-in
control RNA instead of endogenous control RNA. Spike-in control RNA, which is
added into sera or plasma just before RNA extraction, does not reflect microRNA
degradation in the period between blood sampling and RNA extraction. Therefore,
it is essential to prevent degradation of circulating microRNA in this period for
better reproducibility of the quantification of microRNA using spike-in control.
To address this issue, we analyzed the stability of cel-miR-39 on ice and
circulating miR-21 and miR-223 in whole blood and serum. The synthetic cel-miR-39
in RNase-free water was stable for at least 3 hours on ice. Degradation of miR-21
and miR-223 in whole blood was not observed for 3 hours at room temperature.
However, miR-223 in serum was apparently degraded within 24 hours at 4 degrees C
and the stability levels of miR-21 and miR-223 in serum were significantly
different (fold changes of miR-21 and miR- 223 within 24 hours were 0.891 and
0.485, respectively). These results show that it is essential to avoid long-term
storage of sera at 4 degrees C to prevent degradation of microRNA in the
quantification of circulating microRNA using spike-in control.
PMID- 26548233
TI - [Utility of a Simultaneous Detection Kit for Glutamate Dehydrogenase and Toxin
A/B with Toxigenic Culture in the Diagnosis and Treatment of Clostridium
difficile Infection].
AB - We examined how doctors evaluate the results of C. DIFF QUIK CHEK COMPLETE
(COMPLETE) in the diagnosis and treatment of Clostridium difficile infection
(CDI). A total of 887 stool samples submitted from 2012 to 2013 were examined
with COMPLETE. Requested specimens among samples with discrepant results were
inoculated onto CCMA plates and incubated under anaerobic conditions for 48 h,
then retested by COMPLETE if positive culture results were obtained. Of the 887
specimens, 198 (22.3%) were glutamate dehydrogenase-positive and 73(8.3%) were
toxin-positive. Of the 125 specimens yielding discrepant results, 106 specimens
were cultured and retested, with 46 (43.4%, 46/106) proving toxin-positive. As a
result, the total number of toxin-positive results increased from 73 (8.3%,
73/887) to 119 (13.4%, 119/887). This change was significant (p<0.01). We
analyzed the relationship between doctor's decision-making and timing of
receiving CD test results in 81 specimens among the discrepant results. Twenty
four patients started treatment just after obtaining the first test result
(29.6%, 24/81) and the toxin-positive ratio of the second test was 62.5% (15/24).
The decision to start treatment was made after obtaining results of the second
test in 48 patients, of whom 13 (16.0%, 13/81) started treatment, and the toxin
positive ratio was 37.5% (18/48). The difference in toxin ratio was significant
(p < 0.05). The increase in toxin-positive ratio in the final report facilitates
diagnosis in patients with CDL Many doctors, however, started treatment before
obtaining results from the second test, suggesting that the 3-day delay in report
results represents a drawback for this system.
PMID- 26548234
TI - [Point-of-Care Ultrasonography Developed in Emergency and Critical Care Medicine
and Its Application to the Lungs].
AB - The performance of ultrasound (US) devices is improving every year, and more
advanced US is being conducted in laboratory settings with high-end machines.
Meanwhile, portable US devices, which have become less expensive and of a higher
quality, have come into widespread use at bedsides in emergency rooms, intensive
care units, and general wards. In recent years, the concept of point-of-care
ultrasonography (POCUS) has been widely accepted. POCUS performed at the bedside
in acute care settings has value if it gives clinicians useful clinical
information in a short time. The findings are interpreted based on the vital
signs, history, and physical examination during the scan, and the interpretations
are rapidly applied for decision-making. In this article, we review the findings
of lung US, which is one of the main fields in POCUS, as well as diagnoses and
evaluations of pneumothorax and pulmonary edema in acute care settings.
PMID- 26548235
TI - [Utility of Ultrasonography in Point of Care for Cardiovascular Disease].
AB - Echocardiography is a powerful noninvasive cardiovascular diagnostic tool. In the
emergency room, an outpatient setting, and the intensive care unit, physician
performed point-of-care (POC) echocardiography is particularly important to
understand the concurrent pathophysiology of unstable patients. In POC
echocardiography, the purpose of examination should be clearly decided in advance
by performing careful symptom assessment and physical examination, including
heart and lung auscultation. In this article, heart failure, cardiac murmur-,
ischemic heart disease, and acute pulmonary artery thromboembolism are selected
and overviewed to assess the utility of POC cardiovascular ultrasound. In heart
failure, visual assessments of the left ventricular ejection fraction, chamber
size ratio, and inferior vena cava diameter are important. An ultrasound lung
comet is a very useful finding, suggesting the presence of lung congestion. In
patients with a cardiac murmur, the source of the abnormal sound can easily be
confirmed by the color Doppler signal in conjunction with chamber size
assessment. On the other hand, judgment of the severity of valvular heart disease
should be reserved for detailed echocardiography. In acute coronary syndrome, POC
echo is extremely important for prompt diagnosis and complication assessment. An
understanding of the coronary artery territory and method to detect regional wall
motion abnormality in ischemic heart disease is necessary. Papillary muscle
rupture and ventricular septal perforation are both fatal complications of
myocardial infarction, and they should be kept in mind and pan-systolic murmur
should be detected before echocardiography. In acute pulmonary thromboembolism,
the right heart size and characteristic wall motion abnormality should be focused
on using echocardiography in addition to tricuspid regurgitant flow velocity
measurement. Femoral vein ultrasonography with a compression test should be
performed for all patients with acute pulmonary thromboembolism to search for
potential embolic sources.
PMID- 26548236
TI - [Point-of-Care Abdominal Ultrasound].
AB - In this paper, abdominal ultrasound examination as a point-of-care examination
(POCUS) is discussed. POCUS is very useful in various clinical situations,
especially for the diagnosis of critically ill patients with non-specific
symptoms. In patients with an unknown fever origin, POCUS can detect unexpected
infection foci such as liver abscesses. Pseudomembranous colitis, which is one of
the important causes of fever during/after the use of broad-spectrum antibiotics
and sometimes difficult to diagnose or even suspect as the cause of fever, can
also be diagnosed with POCUS. Malignancies such as malignant lymphoma are also
frequent causes of fever of unknown origin and, with POCUS, we have diagnosed
many cases with malignant tumors presenting only with low-grade fever. Abdominal
fullness is another common symptom of critically ill patients. POCUS is very
useful for differentiation among several diseases causing abdominal fullness.
Ascites is expressed as anechoic fluid in the abdominal cavity, while bowel
obstruction as bowel distention presenting the so-called keyboard sign. Urinary
retention, often misdiagnosed as bowel obstruction or an abdominal tumor, is also
easily diagnosed by POCUS. It is very difficult to detect the occult causes of
exacerbation of the general condition and/or markedly abnormal laboratory data in
critically ill patients under endotracheal intubation or the influence of
sedative agents, which make it difficult for the patients to complain of their
symptoms. Attending physicians should make the best of POCUS as a useful
diagnostic modality for these patients.
PMID- 26548237
TI - [Usefulness of POCUS in Orthopedic and Trauma Fields].
AB - Recently, musculoskeletal ultrasonography has been becoming more common for
diagnosis and treatment by ultrasound-guided intervention in the field of
orthopedics. Because musculoskeletal ultrasound technology has rapidly advanced
in recent years, and has many advantages, including no exposure to radiation, non
invasive, wide availability, cost-effectiveness, and the ability to be used in
real-time in the general outpatient clinic. Traditional radiography was not able
to detect soft tissue injury, but musculoskeletal ultrasonography enables the
diagnosis of not only musculoskeletal disorders including soft tissue injury, but
also fractures by dynamic examination. The first choice of diagnostic imaging
should begin to shift to musculoskeletal ultra-sound from traditional
radiography.
PMID- 26548238
TI - [Application of Metabolomics for High-Resolution Phenotype Analysis].
AB - A metabolome, a total profile of metabolites, is placed downstream of a proteome.
The metabolome is thought to be the result of the implementation of genomic
information. In other words, the metabolome can be called a high-resolution
phenotype. The easiest operation of metabolomics is integration to the upstream
ome information, including the transcriptome and/or proteome. Such trials have
been reported at a certain scientific level. In addition, metabolomics can be
operated in stand-alone mode without any other ome information. Among methods of
metabolomics, the author's group is focusing on metabolic fingerprinting, in
which metabolome information is employed as an explanatory variant to evaluate
the response variant. Metabolic fingerprinting techniques are expected to not
only aid in analyzing slight differences depending on genotype differences, but
also in clarifying dynamic variation among living organisms. The author
introduces several good examples of studies which he performed. They are useful
for understanding the power of metabolomics. In addition, the author discusses
the latest technology for the analysis of metabolic dynamism. The author's group
has developed a facile analytical method for semi-quantitative metabolic
dynamism. The author introduces a novel method based on time-dependent variation
in isotope distribution employing stable isotope dilution.
PMID- 26548239
TI - [The Past and Future of Hepatitis B Virus, Hepatitis C Virus, and Human
Immunodeficiency Virus Infection].
AB - In Japan, hepatitis B virus (HBV) and hepatitis C virus (HCV) infections have
decreased; however, human immunodeficiency virus (HIV) infection has increased.
Antiviral treatment against these viruses has been established. With antiviral
medicines, HBV DNA and HIV RNA levels decrease to under the detectable limits and
HCV is completely eliminated from almost 90% of infected patients. Furthermore,
the morbidities associated with hepatocellular carcinoma and acquired
immunodeficiency syndrome (AIDS) have decreased. The: appearance of antiviral
resistant HBV and HCV is a concern because long-term treatment is needed against
these viruses. Patients infected with HBV in the past have the potential to
develop de novo hepatitis with immunosuppressive treatment, in spite of being
HBsAg-negative and with HBV DNA under the detectable level.
PMID- 26548240
TI - [Advanced Testing and Laboratory for HBV, HCV, and HIV Infection].
AB - Most target substances for immunoassay of infectious disease are antigens or
antibodies which do not exist in the human body. Therefore, the method to set
reference values is different from chemistry or hematology testing. High
sensitivity is required for infectious disease testing, particularly for
screening. Also, its reference values (cut-off values) are set as low as
possible. Therefore, a false-positive reaction can be caused due to slightly non
specific reactions in infectious disease reagents. The specificities for
infectious disease reagents were evaluated with 9 kinds of HCV antibody test kit
and 9 kinds of HIV screening kit. The frequencies of false-positive results were
0.2-1.8 and 0.2-1.3%, respectively, and even a kit with a high specificity showed
a false-positive result for 1 in 500 samples. The sensitivities for infectious
disease reagents were evaluated with a newly developed super-high- sensitive HBs
antigen assay kit and 8 kinds of chemiluminescence HBs antigen assay kit which
are highly sensitive conventional kits. As a result, the super-high-sensitive kit
was 10 to 40 times more sensitive than conventional kits. After introducing the
super-high-sensitive kit to routine assays, 16 HBV-infected patients, who were
not identified with the conventional kits, were detected for six months. On the
other hand, we confirmed false-positive results due to contamination between
specimens after introducing the super-high-sensitive kit. It is recommended to
use the super-high-sensitive kit in a well-controlled environment to prevent
contamination between specimens in order to generate highly reliable test
results.
PMID- 26548241
TI - [Hepatitis B Virus Infection: Current Trends and Issues].
AB - Hepatitis B virus (HBV) infection is a global public health problem. HBV has been
classified into eight genotypes (A to H) based on complete nucleotide sequencing.
The prevalence of specific genotype varies geographically. The rationale for
treatment in patients with chronic hepatitis B is to reduce the risk of
progressive chronic liver disease, such as cirrhosis and hepatocellular
carcinoma. Treatment strategies for chronic HBV infection include interferon and
nucleotide analogues (lamivudine, adefovir dipivoxil, entecavir, and tenofovir
disoproxil). HBV persists in the body even after serological recovery from acute
hepatitis B. Thus, individuals who have been exposed to HBV are at risk of the
reactivation of infection, which may result in an increase in serum
aminotransferases or a flare when the immune response is suppressed. Patients
requiring immunosuppressive therapy should undergo serologic testing for markers
of HBV infection. This topic review summarizes these issues related to the
management of hepatitis B.
PMID- 26548242
TI - [Progress in Examination and Treatment of Hepatitis C Virus].
AB - Hepatitis C virus (HCV) is one of the major pathogens causing liver cirrhosis and
hepatocellular carcinoma. For a long time, standard therapy against HCV infection
involved a combination of pegylated interferon (PEG-IFN) and ribavirin (RBV).
However, the sustained virological response (SVR) rate with this therapy can be
up to 50%. Therefore, to predict the interferon response prior to treatment,
novel viral and host factors were explored, and several novel examinations were
developed. Recently, several classes of novel direct-acting antivirals (DAAs)
targeting protease, NS5A, and polymerase of HCV have been developed and tested in
clinical trials. HCV protease inhibitors, simeprevir and telaprevir, have been
approved for the treatment of genotype 1 HCV infection in Japan. Moreover,
recently, IFN-free regimens, the NS5A inhibitor Daclatasvir, and protease
inhibitor Asunaprevir combination therapy have been approved in Japan. Treatment
outcomes have been improved; however, viral resistance against DAAs has become a
new issue. The aim of this review was to summarize the progress in examination
and treatment of hepatitis C virus.
PMID- 26548245
TI - "Nurses need to vocalise their contributions".
PMID- 26548243
TI - [Development of a Dual Detection Method with Fluorescence In Situ Hybridization
and Immunostaining on Formalin-Fixed Paraffin-Embedded Tissue Sections--Molecular
Pathological Detection Techniques and Their Applications to Pathological
Diagnosis].
AB - Fluorescence in situ hybridization (FISH) has recently become important for
pathological diagnosis. However, its practical applications is not widespread
because FISH protocol with FFPE specimens is complicated. We report a dual
detection method by overlapping FISH with fluorescent immunostaining on FFPE
sections. This method is characterized by changing buffers for heat treatment
without proteolytic enzyme treatment. Subsequent proteolytic enzyme treatment can
be omitted using an antigen activation solution, pH9 (Nichirei Corporation), for
heat treatment. After the pretreatment, dual detection was achieved by DNA FISH
following RNA FISH and fluorescent immunostaining. This protocol visualized gene
abnormalities and protein overexpression on the same sections. Of note, in poorly
differentiated tumors containing both normal and tumor cells, the tumor cells
were clearly identified on the sections, and FISH signals could be counted in
these cells. In addition, HER2 mRNA overexpression and gene amplification were
simultaneously detected in HER2-positive gastric cancer. Thus, this method should
be widely applicable in clinical settings.
PMID- 26548246
TI - Councils seek to save on nursing.
PMID- 26548247
TI - Staff numbers at failing trusts are insufficient, report nurses.
PMID- 26548248
TI - General practice nurse network is launched.
PMID- 26548249
TI - Multi-bed wards pose higher risk of infection spread by staff.
PMID- 26548250
TI - Nurse staffing levels in UK 'lag behind other wealthy nations'.
PMID- 26548251
TI - Community matrons service slashes hospital admission rates.
PMID- 26548252
TI - Patients value nurse cancer support line.
PMID- 26548253
TI - Court finds NMC 'unduly lenient' towards 'misguided' nurse.
PMID- 26548254
TI - Nurses rival doctors in AMD injections.
PMID- 26548255
TI - "Patient experience is the secret to staying alive in the NHS".
PMID- 26548256
TI - "Work together to support the vulnerable following discharge".
PMID- 26548257
TI - Scheme helps tackle obesity in childhood.
PMID- 26548258
TI - Reducing nurse medicine administration errors.
AB - Errors in administering medicines are common and can compromise the safety of
patients. This review discusses the causes of drug administration error in
hospitals by student and registered nurses, and the practical measures educators
and hospitals can take to improve nurses' knowledge and skills in medicines
management, and reduce drug errors.
PMID- 26548259
TI - How a patient perspective improved IV therapy.
AB - Cystic fibrosis (CF) treatment is demanding and includes courses of intravenous
antibiotics (IVAB), for which many patients are admitted to hospital. Our 35-bed
adult respiratory ward delivers antibiotic doses up to four times a day but time
pressures meant most patients did not receive their antibiotics on time. Many
adults with CF are expert patients and plan their care with the healthcare team
so a patient-nurse partnership was set up to resolve this issue. This article
outlines a radical service change, based on a patient's comments, that was
piloted and received positive feedback.
PMID- 26548260
TI - Health visitors tackle childhood obesity.
AB - One of Public Health England's priorities is to tackle obesity, particularly in
children. Health visitors are ideally placed to identify and support families of
children at risk from obesity, but research shows they lack the training and
confidence to do so. This article describes a short-term local scheme that
offered support by a specially trained health visitor to families in their own
homes. The health visitor was trained using a family partnership model that
teaches how to work with parents and carers to help them implement their own
solutions.
PMID- 26548261
TI - Assessing quality of life with incontinence.
AB - This article reports on an evaluation of commonly recommended questionnaires to
measure symptom severity and quality of life in women with urinary incontinence.
Three questionnaires outperform the others and a robust assessment concludes that
the International Consultation of Incontinence Questionnaire is the most
practical tool for the busy practitioner.
PMID- 26548262
TI - 60 seconds with Emma Munro.
PMID- 26548263
TI - The value of a sea change.
PMID- 26548264
TI - "Nurses need time to be compassionate".
PMID- 26548265
TI - Nurse workforce growth stalls as NHS budgets bite.
PMID- 26548267
TI - Epilepsy 'passport' aims to cut admission rates.
PMID- 26548266
TI - Nurse education may be at risk in government spending review.
PMID- 26548268
TI - Tributes paid to first Parkinson's specialist nurse.
PMID- 26548269
TI - Nurses lack training for new psychosis treatment standards.
PMID- 26548271
TI - MPs query compassion levels in provision of end-of-life care.
PMID- 26548270
TI - CQC: Broadmoor trust has 'substantial' staffing issue.
PMID- 26548272
TI - Child palliative care limited by nurse numbers.
PMID- 26548273
TI - Training needed to avoid the past failings of Liverpool Care Pathway.
PMID- 26548274
TI - Dehydration affects staff performance.
PMID- 26548275
TI - Two-year study to assess benefit of productive ward programme.
PMID- 26548276
TI - Grant win for liver service led by nurses.
PMID- 26548277
TI - "There are many reasons why nurses avoid incident reporting".
PMID- 26548278
TI - "Health promotion needs to be safe as well as better resourced".
PMID- 26548279
TI - Pain that can be relieved should not be endured.
PMID- 26548280
TI - The nurse's changing role in clinical research.
AB - The possibility of systematically sharing clinical data more quickly and
effectively has increased its potential to be used in research and, as a result
of changes in policy over the last decade, all nurses can now be involved in
clinical research. To do this, they must think actively about the quality of data
they gather, as it becomes increasingly visible to more users and can be used
many times for multiple purposes. This article outlines how the research
environment has changed and the implications for clinical practice.
PMID- 26548281
TI - How real-time data can improve patient care.
AB - Ever since Florence Nightingale analysed the causes of death of British soldiers
in the Crimea, interpreting and analysing data has been used to improve nursing.
Now some forms of data, such as electronically recorded patient observations, are
instantly available to nurses and managers. This article outlines how real-time
data can be used to improve patient care, staff efficiency and overall management
of hospitals.
PMID- 26548282
TI - Ensuring cultural safety in nurse education.
AB - Nursing courses now have a more culturally diverse mix of students and educators,
and students need to consider how this influences learning and how their needs
can be addressed. This article describes how the idea of "cultural safety" can
promote professionally comprehensive and culturally coherent healthcare education
in academic and clinical situations.
PMID- 26548283
TI - Physiology--how the body detects pain stimuli.
AB - Pain is the body's way of telling us something is wrong. It has a sensory and
emotional component. This three-part series focuses on acute pain, describing the
physiology of a normal and well-behaved pain pathway and how this relates to
commonly used pain-management strategies. This first article introduces the pain
system and how the body detects a threatening (noxious) stimulus. Part two
describes how that pain message is transmitted to the spinal cord and the brain,
and the response of the brain to the stimulus. The third article discusses the
assessment of pain.
PMID- 26548284
TI - 60 seconds with Lynsey Ayers.
PMID- 26548285
TI - Igniting a passion for nursing.
PMID- 26548286
TI - Don't pretend to be someone else.
PMID- 26548287
TI - Surveillance for MRSA in a periodontal clinic.
PMID- 26548288
TI - Laser assisted new attachment procedure (LANAP): strength of evidence.
PMID- 26548289
TI - Demineralized dentin matrix and bone graft: a review of literature.
PMID- 26548290
TI - [Clinical Implication of Insulin Resistance in Chronic Hepatitis C Patients].
AB - Chronic hepatitis C virus (HCV) infection is the leading cause for the
development of liver cirrhosis and hepatocellular carcinoma, however, it also
causes metabolic disorders. Insulin resistance is representative of these
metabolic disorders, and not only leads to the development of diabetes but also
affects the outcome of antiviral treatment with interferon. Historically, the
standard of care for chronic HCV infection was pegylated interferon and
ribavirin, but only 40-50% of HCV genotype 1 patients achieve a sustained
virological response (SVR). We successfully established a pretreatment prediction
model for the treatment outcome using a homeostasis model assessment of insulin
resistance (HOMA-IR) and the interleukin 28B genotype (rs 8099917). In recent
years, antiviral agents targeting viral proteins critical for HCV replication
have become available. Of these, telaprevir, an HCV NS3/4A serine protease
inhibitor, has been available in Japan since 2011. As a result, about 80% of
patients with HCV genotype 1 can achieve SVR. Nonetheless, insulin resistance is
associated with treatment failure, especially for difficult-to-treat patients. In
the near future, almost all patients with chronic HCV infection will achieve
virological clearance with combined direct antiviral agents, however, insulin
resistance will remain a risk for hepatocellular carcinoma. Therefore, the
prevention of obesity and avoidance of excessive alcohol intake are very
important after achieving SVR.
PMID- 26548291
TI - [Isolation of Neisseria elongata subsp. elongata Isolated from an Acute
Myelogenous Leukemia Patient].
AB - Gram-negative cocci with a rod-like shape were isolated from a blood sample of a
patient with acute myelogenous leukemia (AML). The 16S rRNA sequence of the
isolate was similar to that of Neisseria elongata. Because previous reports about
N. elongata as a pathogen have been extremely rare, more reliable identification
seemed to be needed. We thus additionally performed a Multilocus Sequencing
Analysis (MLSA) based on another four regions (argF, rho, recA, glnA), and
confirmed the identification of N. elongata. The results from the MLSA identified
the species; however, we could not identify the isolates into subspecies from the
sequences. Three subspecies of N. elongata (N. elongata subsp. elongata, N.
elongata subsp. glycolytica and N. elongata subsp. nitroreducens) were classified
based on three definitive characteristics (catalase possession, nitrite
reducibility, and acid from glucose). The results of the tests of three
characteristics supported the identification of the isolate as N. elongata subsp.
elongata. Therefore we determined the isolate from the AML patient to be N.
elongata subsp. elongata.
PMID- 26548292
TI - [Gene Mutations Associated with Macrolide-resistance and p1 Gene Typing of
Mycoplasma pneumoniae Isolated in Yamagata, Japan, between 2004 and 2013].
AB - To clarify the epidemiologic features of Mycoplasma pneumoniae, we examined 358
M. pneumoniae strains isolated between 2004 and 2013 in Yamagata, Japan. Analysis
of macrolide-resistance-associated 23S ribosomal RNA (rRNA) domain V mutations
revealed 6 kinds of mutants (81 A2063G, 43 A2063T, 1 A2063C, 1 A2064C, 4 C2617G
and 1 C2617 mutation). There were only two mutants before 2009, but mutants
A2063T and A2063G increased in 2009 and from 2010, respectively. The annual ratio
of mutants varied from 20.4% to 76.4% between 2009 and 2013. Typing of the p1
gene revealed 4 types; 278 type 1, and 3 kinds of type 2 variant strains (10 type
2a, 5 type 2b and 65 type 2c). Type 1 strains accounted for between 85.2% and
100% of isolates from 2004 to 2011, whereas type 2 variant strains increased by
26.5% and 66.1% in 2012 and 2013, respectively. These results indicate that type
1 strains may have been replaced by type 2 variant strains in 2013. Furthermore,
the ratio of type 1 strains with a 23S rRNA mutation was 65.1% in 2012 and 95.2%
in 2013, but none of the type 2 variant strains had this mutation. In conclusion,
type 1 strains with macrolide-resistant mutations appeared in 2006 and increased
from 2009. In contrast, type 2 variant strains, which increased in 2012 and
became predominant in 2013, showed no mutations.
PMID- 26548293
TI - [Evaluation of the Number of Varicella Patients Estimated by Prescription
Surveillance].
AB - OBJECT: It is important to know the precise number of varicella patients infected
for evaluation of routine immunization and anti-bioterrorism attack using
smallpox. Prescription Surveillance (PS) has been providing the estimated number
of varicella patients up to the present. However, the estimated number of
varicella patients cannot be validated because to date there has been no other
comparable precise method of estimation. Recently, all electronic medical claims
nationwide (NDB) have been disclosed. In this paper, we compare the number of
varicella patients estimated by PS with NDB data, and adjust the number estimated
with PS, if necessary. METHOD: For both NDB and PS, we used the monthly data from
April, 2010 to March, 2013. The estimation of the number of varicella patients
from the PS data was adjusted by the proportion of estimated number based on PS
to the one based on NDB in the entire study period. Moreover, we adjusted it
month by month, if the former method may not be enough to compensate for the
discrepancy between the two datasets. RESULTS: The average discrepancy between
NDB and PS was 48.00% in three years. By the adjustment using NDB in the three
years, the discrepancy was improved to 11.49%. However, seasonal patterns of
overestimation or underestimation were found. Conversely, by the adjustment using
NDB month by month, the discrepancy was greatly reduced to 4.33%. Moreover, the
seasonal patterns of overestimation or underestimation disappeared. CONCLUSION:
The number of patients based on NDB would appear the most precise number,
however, there may be a delay of about one year before it becomes available. On
the other hand, PS data are updated every day and provide us with the up-to-date
situation. This paper found that combining the timeliness of the PS data and
preciseness of the NDB data will provide substantial benefit for public health.
PMID- 26548294
TI - [Nasopharyngeal Hib Carriage Among Healthy Children Attending Daycare Centers in
Yokohama After One Year of a Publicly Funded Vaccine Program].
AB - Yokohama city started a regular, free vaccine program for Haemophilus influenzae
type b (Hib) from February of 2011. This study was completed to verify the
effectiveness of the vaccine on the nasopharyngeal Hib carriage among healthy
children attending daycare centers in the Isogo area. The research was conducted
during the late spring (Jun--Jul) and fall (Oct-Nov) of 2012. There was a
significant decrease in the Hib carriage rate (spring 8.8%, fall 1.6%). During
this period there was no increase in the Hib vaccine coverage. The Hib carriage
rate of each daycare center was 0-18.4% in spring and 0-4.9% in fall. There was
no significant relationship between the rate of non immunized children and that
of Hib carriage. This improvement in nasopharyngeal Hib carriage shows the impact
of community immunity.
PMID- 26548295
TI - [Frequency and Characterization of EPEC and EAggEC Isolated in Kawasaki City].
AB - Thirty isolates of enteropathogenic Escherichia coli (EPEC) and 32 isolates of
enteroaggregative E. coli (EAggEC) were isolated from 1,029 stool samples
collected from Spring 2012 to December 2013 in Kawasaki city with the polymerase
chain reaction (PCR) method targeting eae and aggR genes. Among the 30 EPEC and
32 EAggEC isolates, only 9 strains of EPEC and 8 strains of EAggEC were typed
with the commercial O-antisera, whereas the majority of strains were untypable.
However, several O-untypable EPEC and EAggEC strains were suggested to harbor the
same O-antigen because of the detection of several examples of the same H
antigen. Analysis of the HEp-2 cell adherence test showed positive for only 2
strains (6.6%) of 30 EPEC isolates, meanwhile it showed positive for 16 strains
(50.0%) of 32 EAggEC isolates. From these data, we concluded that EAggEC might be
more virulent than EPEC, although both EAggEC and EPEC were isolated with almost
similar rates from collected stool specimens.
PMID- 26548296
TI - [Serovars and Drug-Resistance of Salmonella Strains Isolated from Domestic
Chicken Meat in Tokyo (1992-2012)].
AB - A total of 477 Salmonella strains isolated from retail domestic chicken meat
during 1992-2012 in Tokyo, were examined regarding their serovars and drug
resistance. These strains were detected in 469 (29.8%) of 1,576 samples. The
detection rate in every two years was 10.1% to 46.3% of the range. Serological
typing results showed that 477 strains were classified into 22 serovars excepting
2 untypable strains. Among them, S. Infantis (312 strains) was the most
prevalent, followed by II O4: b: [e, n, x] (S. II Sofia) (71 strains), S. Hadar
(20 strains), S. Typhimurium (20 strains), S. Manhattan (12 strains), S.
Schwarzengrund (9 strains), S. Agona (7 strains), and other 15 serovars (24
strains). Results of the antibacterial drug susceptibility test for 477 strains
revealed that 89.9% was resistant to some of the 12 drugs tested, and multidrug
resistant strains accounted for 90.2% among them. The frequencies of resistance
to each drug were 81.8%; 77.8%, 45.5%, 33.3%, 11.3%, 9.6%, 2.9%, 0.6%, 0.6% and
0.2%, in order with high frequency, for SM, TC, KM, ST, NA, ABPC, CP, FOM, CTX
and CAZ, respectively. None of the strains was resistant to NFLX or IPM. Three
CTX-resistant strains were CTX-M type extended-spectrum beta-lactamase (ESBL)
producers, and the group of CTX-M type ESBL genes were CTX-M-2 group (2 strains)
and CTX-M-9 group (1 strain). CAZ-resistant 1 strain was an ESBL producer, but
the ESBL gene was not determined.
PMID- 26548297
TI - [Necrotizing Soft Tissue Infection Caused by Serratia marcescens in a Patient
Treated with Tocilizumab].
AB - We report herein on a case of community-acquired necrotizing soft tissue
infection caused by Serratia marcescens. The patient had been treated with
prednisolone, tocilizumab and tacrolimus for rheumatoid arthritis. Since Gram
staining of the tissue revealed Gram negative rod bacteria, ceftriaxone and
clindamycin were administered as empiric therapy. Tissue culture revealed S.
marcescens. Ceftriaxone was continued according to the antibiotic sensitivity.
She underwent debridement of necrotic tissue and continued ceftriaxone for 17
days. She recovered and was discharged after skin grafting.
PMID- 26548298
TI - [Two Cases of Rapidly Progressive Community-acquired Pneumonia Due to Pseudomonas
aeruginosa].
AB - Pseudomonas aeruginosa is a significant causative bacterium in hospital-acquired
pneumonia and nursing and healthcare-associated pneumonia, but it seems to be
rare in community-acquired pneumonia (CAP). We report two cases of severe CAP due
to P. aeruginosa. Case 1: A 52-year-old man was referred to our hospital for
chest and back pain. He was being treated for diabetes mellitus and had a long
history of smoking. Chest images showed consolidation in the right upper lobe.
Soon after hospitalization, he developed sepsis shock and died seven hours later.
Case 2: A 73-year-old man with a history of heavy smoking was referred to our
hospital for right chest pain. Chest images showed right upper lobe pneumonia.
Although wide-spectrum antimicrobial agents were administrated, he died ten hours
after admission. In both cases, there was a rapid progression to death, despite
administration of a broad spectrum of antibiotics and treatment for sepsis. In
cases of CAP involving the right upper lobe, the possibility of bacteremia and
rapid progress should be considered.
PMID- 26548299
TI - Yield of Computed Tomography at Baseline Staging of Melanoma.
AB - BACKGROUND: Current guidelines call for baseline imaging only for very high-risk
(T4b) primary cutaneous melanomas. OBJECTIVES: To estimate the frequency of
computed tomography (CT) at baseline staging of primary cutaneous melanoma and
the diagnostic yield of CT; and to describe the types and frequencies of
incidentaloma findings. MATERIAL AND METHODS: Cross-sectional study of cutaneous
melanoma cases (tumor classifications Tis to T4bN0M0) attended between 2008 and
2014 in a specialized melanoma unit. Reports of CT scans performed during
baseline staging were reviewed to determine the frequency of positive scan
results, incidentaloma findings, unit cost for detection of metastasis, and
factors associated with the decision to order CT. RESULTS: CT results were
available for 310 of the 419 patients included (73.99%). The tumor
classifications were as follows: Tis, 17; T1, 137; T2, 71; T3, 48; and T4, 37.
The CT results were negative in 81.61%, and incidentalomas were found in 18.06%.
Additional primary tumors were found in 2 patients (0.64%), and metastasis was
identified in one patient (0.32%). The cost of finding the case of metastasis was
?71,234.90. A T2 tumor classification (odds ratio [OR], 8.73) and age under 70
years (OR, 3.53) were associated with greater likelihood of CT being ordered.
Excision of the primary tumor in the melanoma unit (OR, 0.08) was associated with
less likelihood of ordering CT. CONCLUSIONS: The results for this patient series
support current recommendations restricting CT at baseline to cases where there
is high risk of metastasis (stagesiiC-iii).
PMID- 26548301
TI - The end of gating? An introduction to automated analysis of high dimensional
cytometry data.
AB - Ever since its invention half a century ago, flow cytometry has been a major tool
for single-cell analysis, fueling advances in our understanding of a variety of
complex cellular systems, in particular the immune system. The last decade has
witnessed significant technical improvements in available cytometry platforms,
such that more than 20 parameters can be analyzed on a single-cell level by
fluorescence-based flow cytometry. The advent of mass cytometry has pushed this
limit up to, currently, 50 parameters. However, traditional analysis approaches
for the resulting high-dimensional datasets, such as gating on bivariate dot
plots, have proven to be inefficient. Although a variety of novel computational
analysis approaches to interpret these datasets are already available, they have
not yet made it into the mainstream and remain largely unknown to many
immunologists. Therefore, this review aims at providing a practical overview of
novel analysis techniques for high-dimensional cytometry data including SPADE, t
SNE, Wanderlust, Citrus, and PhenoGraph, and how these applications can be used
advantageously not only for the most complex datasets, but also for standard 14
parameter cytometry datasets.
PMID- 26548300
TI - Effects of 2-methoxyestradiol on apoptosis and HIF-1alpha and HIF-2alpha
expression in lung cancer cells under normoxia and hypoxia.
AB - Hypoxic tumor cells are known to be more resistant to conventional chemotherapy
and radiation than normoxic cells. However, the effects of 2-methoxyestradiol (2
ME), an anti-angiogenic, antiproliferative and pro-apoptotic drug, on hypoxic
lung cancer cells are unknown. The aim of the present study was to compare the
effects of 2-ME on cell growth, apoptosis, hypoxia-inducible factor 1alpha (HIF
1alpha) and HIF-2alpha gene and protein expression in A549 cells under normoxic
and hypoxic conditions. To establish the optimal 2-ME concentration with which to
carry out the apoptosis assay and to examine mRNA and protein expression of HIFs,
cell growth analysis was carried out through N-hexa-methylpararosaniline staining
assays in A549 cell cultures treated with one of five different 2-ME
concentrations at different times under normoxic or hypoxic growth conditions.
The 2-ME concentration of 10 mM at 72 h was selected to perform all further
experiments. Apoptotic cells were analyzed by flow cytometry. Western blotting
was used to determine HIF-1alpha and HIF-2alpha protein expression in total cell
extracts. Cellular localization of HIF-1alpha and HIF-2alpha was assessed by
immunocytochemistry. HIF-1alpha and HIF-2alpha gene expression was determined by
real-time PCR. A significant increase in the percentage of apoptosis was observed
when cells were treated with 2-ME under a normoxic but not under hypoxic
conditions (p=0.006). HIF-1alpha and HIF-2alpha protein expression levels were
significantly decreased in cells cultured under hypoxic conditions and treated
with 2-ME (p<0.001). Furthermore, 2-ME decreased the HIF-1alpha and HIF-2alpha
nuclear staining in cells cultured under hypoxia. The HIF-1alpha and HIF-2alpha
mRNA levels were significantly lower when cells were exposed to 2-ME under
normoxia and hypoxia. Our results suggest that 2-ME could have beneficial results
when used with conventional chemotherapy in an attempt to lower the invasive and
metastatic processes during cancer development due to its effects on the gene
expression and protein synthesis of HIFs.
PMID- 26548302
TI - Knowledge, attitudes and practices with regard to the presence, transmission,
impact, and control of cystic echinococcosis in Sidi Kacem Province, Morocco.
AB - BACKGROUND: This study is a component of a large research project on five major
neglected zoonotic diseases (NZDs) including cystic echinococcosis and was
undertaken in the Province of Sidi Kacem over a period of four years (April 2009
March 2013). METHODS: Questionnaires were administered at community level in a
total of 27 communes and visits were made to all of the 10 abattoirs situated in
the Province, to collect qualitative data on determinants of transmission for
disease in humans and animals. More specifically, community knowledge, attitudes
and practices related to cystic echinococcosis were assessed, as well as the
extent to which local customs and behaviours may promote transmission. Abattoir
infrastructure and practices, and their role in perpetuating disease transmission
were also critically evaluated. RESULTS: The results show that only 50 % of
people have heard of the disease, and of those, only 21 % are aware of the dog's
role in disease transmission. Sixty-seven per cent of respondents stated that
dogs are fed ruminant organs deemed unfit for human consumption. Owned dogs have
access to the family home, including the kitchen, in 39 % of households. The
extent of this close proximity between humans and animals is even more pertinent
when one considers that dogs are omnipresent in the community, with an average of
1.8 dogs owned per household. The unrestricted access of dogs to abattoirs is a
huge issue, which further promotes disease transmission. CONCLUSION: This study
would suggest that the high prevalence of cystic echinococcosis in humans and
animals in Morocco is largely due to three factors: 1) abundance of dogs 2)
engagement in risky behaviour of the local population and 3) poor abattoir
infrastructure and practices. This has serious implications in terms of the socio
economic impact of the disease, especially for rural poor communities.
PMID- 26548303
TI - Ferritic Alloys with Extreme Creep Resistance via Coherent Hierarchical
Precipitates.
AB - There have been numerous efforts to develop creep-resistant materials
strengthened by incoherent particles at high temperatures and stresses in
response to future energy needs for steam turbines in thermal-power plants.
However, the microstructural instability of the incoherent-particle-strengthened
ferritic steels limits their application to temperatures below 900 K. Here, we
report a novel ferritic alloy with the excellent creep resistance enhanced by
coherent hierarchical precipitates, using the integrated experimental
(transmission-electron microscopy/scanning-transmission-electron microscopy, in
situ neutron diffraction, and atom-probe tomography) and theoretical (crystal
plasticity finite-element modeling) approaches. This alloy is strengthened by
nano-scaled L21-Ni2TiAl (Heusler phase)-based precipitates, which themselves
contain coherent nano-scaled B2 zones. These coherent hierarchical precipitates
are uniformly distributed within the Fe matrix. Our hierarchical structure
material exhibits the superior creep resistance at 973 K in terms of the minimal
creep rate, which is four orders of magnitude lower than that of conventional
ferritic steels. These results provide a new alloy-design strategy using the
novel concept of hierarchical precipitates and the fundamental science for
developing creep-resistant ferritic alloys. The present research will broaden the
applications of ferritic alloys to higher temperatures.
PMID- 26548304
TI - Early Vascular Aging: A New Target for Hypertension Treatment.
AB - Vascular aging represents a progressive procedure involving biochemical,
enzymatic, and cellular changes of the vascular tree. Early vascular aging (EVA),
is defined as the inappropriate for age of vascular damage. Increased for age
arterial stiffness is a biomarker that should be considered as a cardiovascular
(CV) risk factor that can be manipulated. EVA is a new tool for guidance in
everyday clinical praxis for patients at increased CV risk or a positive family
history of early onset of cardiovascular events, such as stroke or coronary
artery disease. Understanding the mechanisms promoting or protecting from EVA, a
process that is in close relationship with CV diseases. The role of hypertension
treatment against the development of vascular damage is important and different
strategies could have a considerable impact on future vascular health.
PMID- 26548305
TI - Cardiac Chamber Volumetric Assessment Using 3D Ultrasound - A Review.
AB - When designing clinical trials for testing novel cardiovascular therapies, it is
highly relevant to understand what a given technology can provide in terms of
information on the physiologic status of the heart and vessels. Ultrasound
imaging has traditionally been the modality of choice to study the cardiovascular
system as it has an excellent temporal resolution; it operates in real-time; it
is very widespread and - not unimportant - it is cheap. Although this modality is
mostly known clinically as a two-dimensional technology, it has recently matured
into a true three-dimensional imaging technique. In this review paper, an
overview is given of the available ultrasound technology for cardiac chamber
quantification in terms of volume and function and evidence is given why these
parameters are of value when testing the effect of new cardiovascular therapies.
PMID- 26548306
TI - Assessing Myocardial Metabolism with Hybrid PET Imaging: Instrumentation,
Concepts, and Workflows.
AB - Hybrid imaging devices including PET/CT and SPECT/CT have seen a great success
since these scanners found their way into clinical routine - although this
success is mainly based on the use in oncological imaging. But also the advent of
PET/MRI holds great promise. The combined assessment of molecular imaging and
morphology making use of the variety of PET tracers and the high spatial
resolution from CT or MRI has the potential of an increased diagnostic accuracy
all imaging but especially in cardiovascular questions. We put special emphasis
on PET/MR although the experience is still limited. However, as the use of MR
imaging in the assessment of myocardial viability as a prime example where
assessing myocardial metabolism - even if done so indirectly - is so widespread
in clinical reality, this appears to be justified. Thus, in this review, we aim
to outline technical characteristics of hybrid imaging systems and highlight
their use in cardiovascular diseases and their applications.
PMID- 26548307
TI - Hyperpolarized (13)C MR Angiography.
AB - Magnetic resonance angiography (MRA) is a non-invasive technology that can be
used for diagnosis and monitoring of cardiovascular disease; the number one cause
of mortality worldwide. Hyperpolarized imaging agents provide signal enhancement
of more than 10, 000 times, which implies large reduction in acquisition time and
improved spatial resolution. We review the role of hyperpolarized 13C agents for
MR angiography and present the literature in the field. Furthermore, we present a
study of the benefit of intra-arterial injection over intravenous injection of
hyperpolarized agent for cerebral angiography in the rat, and compare the
performance of two standard angiographic pulse sequences, the gradient echo (GRE)
sequence and the balanced steady-state free precession (bSSFP). 2D coronal
cerebral angiographies using intra-arterial injections were acquired with a GRE
sequence with in-plane resolution of 0.27 mm and matrix size 256x128, and 2D
coronal cerebral angiographies were acquired with a bSSFP sequence with in-plane
resolution of 0.55 mm and matrix size 128x64. The bSSFP sequence provides higher
SNR in phantoms than the GRE sequence. Similarly, intravenous injections are
imaged with higher SNR with the bSSFP sequence, where the signal destruction of
the GRE sequence is avoided. However, for intra-arterial injections, the bSSFP
sequence results in strong artefacts, and the GRE sequence is preferred.
Hyperpolarized MRA presents many challenges and cannot currently compete with
conventional contrast enhanced MRA. Further research may change this since
hyperpolarization is still an immature methodology.
PMID- 26548308
TI - Technological Innovations in Magnetic Resonance for Early Detection of
Cardiovascular Diseases.
AB - Most recent technical innovations in cardiovascular MR imaging (CMRI) are
presented in this review. They include hardware and software developments, and
novelties in parametric mapping. All these recent improvements lead to high
spatial and temporal resolution and quantitative information on the heart
structure and function. They make it achievable ambitious goals in the field of
magnetic resonance, such as the early detection of cardiovascular pathologies. In
this review article, we present recent innovations in CMRI, emphasizing the
progresses performed and the solutions proposed to some yet opened technical
problems.
PMID- 26548309
TI - Cross-Talk Between Adipose Tissue Health, Myocardial Metabolism and Vascular
Function: The Adipose-Myocardial and Adipose-Vascular Axes.
AB - Alterations in myocardial metabolism and blood flow have been described in
patients with metabolic disorders, cardiovascular disease and cardiomyopathies,
and have been implicated in the pathogenesis or prognosis of cardiac conditions.
Adipose tissue dysfunction occurs in the above categories of patients. Adipose
tissue plays a fundamental role in the modulation and selection of nutrients
reaching the myocardium, and adipocytes secrete adipokines and other molecules
affecting myocardial metabolism and regulating vascular function. In turn, the
myocardium secretes a series of peptides affecting adipose tissue metabolism, and
adipose tissue vascularization and perfusion contribute to the maintenance of
adipose tissue health. This review addresses the reciprocal interaction linking
adipose tissue to myocardial metabolism and vascular function. We summarize
evidence of factors released by adipose tissue that affect cardiac metabolism and
vice versa. Then, we address the role of adipose tissue in regulating vascular
health, and examine whether adipose tissue hypoperfusion is causative or
defensive of adipose tissue dysfunction.
PMID- 26548310
TI - Air Pollution Exposure and Blood Pressure: An Updated Review of the Literature.
AB - Both high arterial blood pressure (BP) and elevated levels of fine particulate
matter (PM2.5) air pollution have been associated with an increased risk for
several cardiovascular (CV) diseases, including stroke, heart failure, and
myocardial infarction. Given that PM2.5 and high BP are each independently
leading risk factors for premature mortality worldwide, a potential relationship
between these factors would have tremendous public health repercussions.
Therefore, the aim of this review is to summarize recent evidence linking air
pollution and BP. Epidemiological findings demonstrate that particulate
pollutants cause significant increases in BP parameters in relation to both short
and long-term exposures, with robust evidence for exposures to PM2.5. Moreover,
recent epidemiological studies suggest a positive association between residence
within regions with higher levels of ambient PM and an increased incidence and
prevalence of overt hypertension. Studies provide consistent results that
elevated concentrations of pollutants increase hospital admissions and/or
emergency visits for hypertensive disorders and also support that PM levels
increases BP in vulnerable subsets of individuals (pregnant women, high CV risk
individuals). In this context, PM-mediated BP elevations may be an important
pathway which acts as a potential triggering factor for acute CV events.
Mechanistic evidence illustrates plausible pathways by which acute and chronic
exposures to air pollutants might disrupt hemodynamic balance favoring
vasoconstriction, including autonomic imbalance and augmented release of various
pro-oxidative, inflammatory and/or hemodynamically-active mediators. Together
these responses may underlie PM-induced BP elevations; however, full details
regarding the responsible mechanisms require further studies. As a consequence of
the ubiquity of air pollution, even a small effect on raising BP and/or the
prevalence of hypertension, i.e. the major risk factor for mortality and
morbidity worldwide, would have enormous global public health implications.
PMID- 26548311
TI - All for Statins and Statins for All; An Update.
AB - Statins exert beneficial effects on cardiovascular [CV] outcomes as well as on
inflammation and oxidative stress. The widespread use of statins for both primary
and secondary CV disease prevention is based on the evidence from large
randomized controlled trials. The benefits of statin treatment outweigh any harm
in high risk patients. In this narrative review, we provide an update on several
aspects of statin treatment based on the most recent evidence in this field.
PMID- 26548312
TI - Markers of Atherosclerotic Disease: What do they Mean? Current Opinion and Future
Trends.
AB - The response to the harm caused by risk factors related to atherosclerosis may
consist of clinical-signs of cardiac and/or blood vessel ischemic pathology
sometimes accompanied by arrhythmias and heart failure-, metabolic- signs of
altered oxygen transport and pro-thrombotic changes of coagulation-fibrinolysis
cascade-, and sympathetic features due to nerve stimulation, which cause changes
in heart rate and blood pressure. Moreover, some special categories like women
have the responses, which are influenced by their endocrine constellation. There
is a different predisposition towards the markers of atherosclerosis in
premenopausal woman, who displays atherogenic effects, and in women after the
menopause who respond similarly to that of men. Finally, oxidative stress, which
is a strong pro-thrombotic factor, increases the development of an
atherosclerotic lesion. From these data, there is no doubt that a large number of
factors, primarily smoking and endothelial dysfunction influence the
cardiovascular system causing a major incidence of cardiovascular events. In
addition, there would be evidence that some factors related to atherosclerosis
should be considered as an etiologic (causal) factor of cardiovascular
alterations because they can lead, in the long run, to an irreversible damage to
the heart and blood vessels.
PMID- 26548313
TI - Research Ethics in the Context of Transition: Gaps in Policies and Programs on
the Protection of Research Participants in the Selected Countries of Central and
Eastern Europe.
AB - This paper examines the ability of countries in Central and Eastern Europe (CEE)
to ensure appropriate protection of research participants in the field of
increasingly globalizing biomedical research. By applying an analytical framework
for identifying gaps in policies and programs for human subjects protection to
four countries of CEE-Belarus, Latvia, Lithuania, and Poland, substantial gaps in
the scope and content of relevant policies and major impediments to program
performance have been revealed. In these countries, public policies on the
protection of research participants lack consistency and reliable mechanisms for
their implementation. Impediments to program performance most often relate to
inadequacies in the national research ethics systems with regard to
organizational structure, budgetary support, supervision, and training. The level
of research ethics capacity varies from country to country and depends on socio
economic and political factors of post-communist transition. The breadth and
depth of the problems identified suggest that the current level of protection for
research participants in CEE might be inadequate to the challenges posed by the
globalization of biomedical research. In CEE countries, there is a need for
strengthening research ethics capacity through modification of relevant policies
and improvement of program management. The differences among the countries call
for further research on identifying the best approaches for filling the gaps in
the policies and programs aimed at ensuring effective protection of research
participants.
PMID- 26548315
TI - Sexual Functioning and Cognitions During Sexual Activity in Men With Genital
Pain: A Comparative Study.
AB - Male genital pain is frequently associated with sexual dysfunction, and some
studies suggest it is influenced by cognitive factors. However, there is little
evidence on how these factors discriminate male genital pain from other sexual
problems. This study intends to explore differences on sexual functioning and
self-reported cognitions during sexual activity between men with genital pain,
men with sexual dysfunction, and sexually healthy men. A total of 134 men divided
in three groups based on their clinical condition (i.e., genital pain, sexual
dysfunction, or no sexual/pain complaints) and matched for demographic variables
completed measures of sexual functioning (IIEF) and thoughts during sexual
activity (SMQ). Findings showed that men with genital pain and men with sexual
dysfunctions reported significantly lower levels of overall satisfaction with
sexual life, compared to men without sexual problems. Additionally, men with
genital pain and men with sexual dysfunctions presented significantly more
failure anticipation thoughts in comparison to sexually healthy men. Overall,
findings emphasize the role of negative cognitions as a common factor associated
with male genital pain and sexual dysfunctions, suggesting that genital pain
should be regarded as a sexual problem and that clinical interventions should
include sex therapy techniques as well as cognitive-behavioral procedures.
PMID- 26548316
TI - Investigational drugs targeting 5-HT6 receptors for the treatment of Alzheimer's
disease.
AB - INTRODUCTION: There are significant efforts invested into the discovery and
development of novel treatments for Alzheimer's disease. While current discovery
efforts and most scientific discussions seem to focus on disease-modifying
therapy, there are several symptomatic therapy approaches that are being actively
pursued. The goal of this review is to summarize the recent developments in the
field of 5-HT6 receptor antagonists, a principle that has been extensively
characterized preclinically and is now undergoing critical phases of clinical
development. AREAS COVERED: The article covers the current status of 5-HT6
receptor antagonists in clinical development. It also discusses the underlying
mechanisms for the observed procognitive effects. The article is based on a
search for investigational drugs using the key words '5-HT6', 'cognition',
'dementia', 'Alzheimer's disease', 'Phase II' and 'Phase III' in various
databases and from conference abstracts. EXPERT OPINION: After some period of
little or no development activities, the field of 5-HT6 receptor antagonists
attracted a lot of attention with three companies (GSK, Pfizer and Lundbeck)
confirming aggressive development plans and initiating pivotal Phase II and III
studies. These studies will be critical to prove that 5-HT6 receptor antagonists
have a symptomatic efficacy profile that can be differentiated from that of
currently used agents (cholinesterase inhibitors and the NMDA-antagonist
memantine). Furthermore, there are several sets of data that point at a disease
modifying potential of this class of agents and these effects are likely to
receive critical exploration if the ongoing symptomatic trials bring 5-HT6
antagonists closer to clinical use.
PMID- 26548314
TI - Shared genetic aetiology of puberty timing between sexes and with health-related
outcomes.
AB - Understanding of the genetic regulation of puberty timing has come largely from
studies of rare disorders and population-based studies in women. Here, we report
the largest genomic analysis for puberty timing in 55,871 men, based on recalled
age at voice breaking. Analysis across all genomic variants reveals strong
genetic correlation (0.74, P=2.7 * 10(-70)) between male and female puberty
timing. However, some loci show sex-divergent effects, including directionally
opposite effects between sexes at the SIM1/MCHR2 locus (Pheterogeneity=1.6 * 10(
12)). We find five novel loci for puberty timing (P<5 * 10(-8)), in addition to
nine signals in men that were previously reported in women. Newly implicated
genes include two retinoic acid-related receptors, RORB and RXRA, and two genes
reportedly disrupted in rare disorders of puberty, LEPR and KAL1. Finally, we
identify genetic correlations that indicate shared aetiologies in both sexes
between puberty timing and body mass index, fasting insulin levels, lipid levels,
type 2 diabetes and cardiovascular disease.
PMID- 26548317
TI - Metabolic engineering of light-driven cytochrome P450 dependent pathways into
Synechocystis sp. PCC 6803.
AB - Solar energy provides the energy input for the biosynthesis of primary and
secondary metabolites in plants and other photosynthetic organisms. Some
secondary metabolites are high value compounds, and typically their biosynthesis
requires the involvement of cytochromes P450s. In this proof of concept work, we
demonstrate that the cyanobacterium Synechocystis sp. PCC 6803 is an eminent
heterologous host for expression of metabolically engineered cytochrome P450
dependent pathways exemplified by the dhurrin pathway from Sorghum bicolor
comprising two membrane bound cytochromes P450s (CYP79A1 and CYP71E1) and a
soluble glycosyltransferase (UGT85B1). We show that it is possible to express
multiple genes incorporated into a bacterial-like operon by using a self
replicating expression vector in cyanobacteria. We demonstrate that eukaryotic
P450s that typically reside in the endoplasmic reticulum membranes can be
inserted in the prokaryotic membranes without affecting thylakoid membrane
integrity. Photosystem I and ferredoxin replaces the native P450 oxidoreductase
enzyme as an efficient electron donor for the P450s both in vitro and in vivo.
The engineered strains produced up to 66mg/L of p-hydroxyphenylacetaldoxime and
5mg/L of dhurrin in lab-scale cultures after 3 days of cultivation and 3mg/L of
dhurrin in V-shaped photobioreactors under greenhouse conditions after 9 days
cultivation. All the metabolites were found to be excreted to the growth media
facilitating product isolation.
PMID- 26548318
TI - [Use of multiple regression models in observational studies (1970-2013) and
requirements of the STROBE guidelines in Spanish scientific journals].
AB - BACKGROUND: In medicine and biomedical research, statistical techniques like
logistic, linear, Cox and Poisson regression are widely known. The main objective
is to describe the evolution of multivariate techniques used in observational
studies indexed in PubMed (1970-2013), and to check the requirements of the
STROBE guidelines in the author guidelines in Spanish journals indexed in PubMed.
METHODS: A targeted PubMed search was performed to identify papers that used
logistic linear Cox and Poisson models. Furthermore, a review was also made of
the author guidelines of journals published in Spain and indexed in PubMed and
Web of Science. RESULTS: Only 6.1% of the indexed manuscripts included a term
related to multivariate analysis, increasing from 0.14% in 1980 to 12.3% in 2013.
In 2013, 6.7, 2.5, 3.5, and 0.31% of the manuscripts contained terms related to
logistic, linear, Cox and Poisson regression, respectively. On the other hand,
12.8% of journals author guidelines explicitly recommend to follow the STROBE
guidelines, and 35.9% recommend the CONSORT guideline. CONCLUSIONS: A low
percentage of Spanish scientific journals indexed in PubMed include the STROBE
statement requirement in the author guidelines. Multivariate regression models in
published observational studies such as logistic regression, linear, Cox and
Poisson are increasingly used both at international level, as well as in journals
published in Spanish.
PMID- 26548319
TI - [Multifactorial program for the prevention of falls: A multifactorial proyect in
the rural area].
PMID- 26548320
TI - Propofol Use in the Elderly Population: Prevalence of Overdose and Association
With 30-Day Mortality.
AB - PURPOSE: Geriatric patients are more sensitive to the anesthetic effects of
propofol and its adverse effects, such as hypotension, than is the general
population; thus, a reduced dose (1-1.5 mg/kg) is recommended for the induction
of anesthesia. The extent to which clinicians follow established dosing
guidelines has not been well described. Therefore, we investigated the prevalence
of propofol overdose in the elderly population to determine whether propofol
overdose occurs and is associated with increased hypotension and 30-day
mortality. METHODS: In this retrospective study in patients who received propofol
for the induction of general anesthesia, data on demographic characteristics,
preoperative medications, intraoperative management, and 30-day mortality were
collected. The dose of propofol used for the induction of anesthesia and the
median blood pressure in the pre- and immediate postinduction periods were
determined. Hypotension was defined as either: (1) a decrease in mean arterial
pressure (MAP) of >40% concurrent with a MAP of <70 mm Hg; or (2) a MAP of <60 mm
Hg. FINDINGS: A total of 17,540 patients were included in the analysis; 4033
(23.0%) were aged >65 years. The median (interquartile range) propofol dose in
the group aged >65 years was 1.8 (1.4-2.2) mg/kg, above the recommended dose, in
comparison to 2.2 (1.9-2.5) mg/kg in younger patients. On multivariate analysis,
increased propofol dose was associated with increased postinduction hypotension,
especially in patients over 70 years of age, but not 30-day mortality.
IMPLICATIONS: Older patients received greater-than-recommended doses of propofol
for induction, which may have led to significant dose-dependent hypotension.
Despite this finding, the dose of propofol for induction was not independently
associated with a greater 30-day mortality rate. More education regarding
geriatric concerns is needed for encouraging anesthesiologists to tailor the plan
for anesthesia in geriatric patients. However, overall postsurgical mortality is
a function of preoperative risk and type surgical procedure.
PMID- 26548322
TI - Non-statin Treatments for Managing LDL Cholesterol and Their Outcomes.
AB - PURPOSE: Over the past 3 decades reducing LDL-C has proven to be the most
reliable and easily achievable modifiable risk factor to decrease the rate of
cardiovascular morbidity and mortality. Statins are effective, but problems with
their side effects, adherence, or LDL-C efficacy in some patient groups remain.
Most currently available alternative lipid-modifying therapies have limited
efficacy or tolerability, and additional effective pharmacologic modalities to
reduce LDL-C are needed. METHODS: Recent literature on new and evolving LDL-C
lowering modalities in preclinical and clinical development was reviewed.
FINDINGS: Several new therapies targeting LDL-C are in development. Inhibition of
proprotein convertase subtilisin/kexin type 9 (PCSK9), a recently elucidated key
regulator of plasma LDL-C, is the most promising and effective, with a number of
approaches aimed at this target. The most advanced are monoclonal antibodies,
which have demonstrated LDL-C reductions of ~60%, whether given alone or added to
statins. Other PCSK9-targeted therapies in clinical development include adnectins
and gene silencing techniques. Preclinical approaches involve vaccines, whereas a
search remains for small molecule inhibitors. Other new pharmacologic approaches
in Phase III clinical trials include a refocusing of cholesterol ester transfer
protein inhibitors from primarily agents to increase HDL-C to their off-target
effect on LDL-C and adenosine triphosphate citrate lyase inhibition. In earlier
clinical development is new delivery of nicotinic acid-containing compounds.
Additional agents are being developed as orphan indications expressly for
patients with homozygous familial hypercholesterolemia, including peroxisome
proliferator activated receptor-delta agonists, angiopoietin-like protein 3
inhibitors, and gene therapy. IMPLICATIONS: Monoclonal antibodies that inhibit
PCSK9 were shown to be very effective reducers of LDL-C and well tolerated
despite subcutaneous administration, and no significant safety issues have yet
emerged during large Phase II and III trials. They have the potential to
substantially impact further the risk of cardiovascular disease. A number of
additional new, but less effective, oral LDL-C-lowering agents are also in
various stages of development, including some which are targeted only to patients
with homozygous familial hypercholesterolemia.
PMID- 26548321
TI - Recommendations Concerning the Therapeutic Approach to Immunocompromised Children
With Tuberculosis.
AB - PURPOSE: This article describes the recommendations of a group of scientific
societies concerning the therapeutic approach to immunocompromised children with
tuberculosis (TB). METHODS: Using the Consensus Conference method, relevant
publications in English were identified by a systematic review of MEDLINE and the
Cochrane Database of Systematic Reviews from their inception until December 31,
2014. FINDINGS: On the basis of their clinical experience and the published
evidence, the group of experts concluded that, although immunosuppressed subjects
are at greater risk of developing TB, none of the signs or symptoms is sensitive
or specific enough to enable a diagnosis. Immunocompromised patients are at
greater risk of developing extrapulmonary forms of TB, especially if they are
adolescents, whereas pulmonary forms are more prevalent among younger patients.
When TB is suspected, a combination of skin and immunologic tests and other
clinical, radiologic, and microbiologic examinations can be used to assess the
risk of infection or disease. If the TB diagnosis is confirmed, immunocompromised
children should be treated by using a standard regimen with a minimum of 4 drugs
for at least 9 to 12 months, during which the tolerability of the drugs and their
interactions should be carefully evaluated. IMPLICATIONS: It is difficult to
diagnose and treat TB in immunocompromised children. Thus, all pediatric patients
undergoing immunosuppressive therapy who develop TB should be diagnosed and
treated at a TB reference center, which should also be responsible for the
recommended follow-up.
PMID- 26548323
TI - Contemporary and Novel Therapeutic Options for Hypertriglyceridemia.
AB - PURPOSE: The purpose of this review was to outline the current and emerging
therapeutic options for the treatment of hypertriglyceridemia, with an emphasis
on emerging therapies. METHODS: A systematic literature search was conducted with
the use of PubMed and Embase for articles on hypertriglyceridemia, with a focus
on therapeutics, pharmacology, and management. Abstracts from recent
international meetings were also reviewed for presentations of Phase I and II
data on agents with triglyceride-lowering effects. A further review of the
references identified from these articles was also performed. FINDINGS:
Consistent with the multifactorial cause of hypertriglyceridemia, the therapeutic
options are broad and numerous. This review explores the current and potential
therapeutic options for treating hypertriglyceridemia and outlines the potential
mechanisms of action. However, the mechanism of triglyceride reduction is
complex, multifactorial, or not fully elucidated for some of these agents. The
magnitude of triglyceride reduction and findings of outcome studies are
described. IMPLICATIONS: Management of hypertriglyceridemia is about to enter an
exciting phase, with multiple emerging therapies in the final stages of
development. However, caution is warranted, because studies of therapeutic agents
over the previous decade have often not found cardiovascular outcome benefits
despite encouraging effects on triglyceride concentrations.
PMID- 26548324
TI - Targeting High-density Lipoproteins to Reduce Cardiovascular Risk: What Is the
Evidence?
AB - PURPOSE: This article reviews therapies that affect HDLs. FINDINGS: Lipid
modifying agents in current use (including statins, fibrates, and niacin)
increase the concentration of HDL cholesterol to some extent. However, these
agents have additional effects (beyond raising HDL) with the potential to reduce
atherosclerotic cardiovascular disease (ASCVD) risk, making it difficult to
determine (one way or the other) whether an increase in HDL concentration affects
risk. New investigational approaches targeting HDLs include infusions of
reconstituted HDLs, reinfusion of selectively delipidated plasma in which the
concentration of pre-beta HDLs (the preferred acceptor of cell cholesterol) has
been increased, and inhibitors of cholesteryl ester transfer protein (CETP).
Positive results of the effects of reconstituted HDL infusions on coronary
atheroma burden encourage further investigation of these agents. One small study
on the effects of reinfusing selectively delipidated plasma has also provided
results supporting additional development of this approach. CETP inhibitors are
the most effective HDL-raising agents developed yet, with the ability to more
than double the concentration of HDL cholesterol. They also reduce LDL
cholesterol by up to 50%. Clinical outcome trials with the first 2 of these
agents (torcetrapib and dalcetrapib) failed and, in the case of torcetrapib,
treatment increased ASCVD events and increased both cardiovascular and
noncardiovascular death. However, the subsequent discovery that torcetrapib had
serious adverse effects unrelated to CETP inhibition meant that trials with this
agent were unable to test the hypothesis that inhibiting CETP (or raising the
level of HDL cholesterol) would translate into a reduction in ASCVD risk. The
trial with dalcetrapib, a relatively weak inhibitor of CETP, was conducted in
people soon after an acute coronary event when HDL functionality is impaired. The
CETP inhibitor hypothesis will remain untested until completion of ongoing trials
with CETP inhibitors that are more potent than dalcetrapib and that do not have
the adverse effects of torcetrapib. IMPLICATIONS: Positive trials with
reconstituted HDL infusions and reinfusions of selectively delipidated plasma
will establish HDLs as important therapeutic targets. However, although a
positive result in the trials with CETP inhibitors will establish CETP inhibition
as a valid strategy to reduce ASCVD risk, it will not be possible to determine
with certainty whether the reduction in risk is the consequence of effects on the
HDL fraction or whether it is the result of CETP inhibitor-mediated reductions in
LDL cholesterol.
PMID- 26548326
TI - Validating pharmaceutical product claims: questions a formulary committee should
ask.
AB - Claims, justifying the acceptance and placement of new products on health system
formularies, are all too often presented in terms that are either unverifiable or
only verifiable in a timeframe that is of no practical benefit to formulary
committees. One solution is for formulary committees to request that (i) all
predictive claims made should be capable of empirical testing and (ii)
manufacturers in making submissions should be asked to submit a protocol that
details how their claims are to be assessed. Evaluation of claims can provide not
only a significant input to ongoing disease area and therapeutic reviews, but can
also provide a needed link to comparative effectiveness research and value-based
healthcare. This paper presents a set of protocol standards (PROST) together will
questions that should be addressed in a protocol review.
PMID- 26548325
TI - The role of H4 flagella in Escherichia coli ST131 virulence.
AB - Escherichia coli sequence type 131 (ST131) is a globally dominant multidrug
resistant clone associated with urinary tract and bloodstream infections. Most
ST131 strains exhibit resistance to multiple antibiotics and cause infections
associated with limited treatment options. The largest sub-clonal ST131 lineage
is resistant to fluoroquinolones, contains the type 1 fimbriae fimH30 allele and
expresses an H4 flagella antigen. Flagella are motility organelles that
contribute to UPEC colonisation of the upper urinary tract. In this study, we
examined the specific role of H4 flagella in ST131 motility and interaction with
host epithelial and immune cells. We show that the majority of H4-positive ST131
strains are motile and are enriched for flagella expression during static
pellicle growth. We also tested the role of H4 flagella in ST131 through the
construction of specific mutants, over-expression strains and isogenic mutants
that expressed alternative H1 and H7 flagellar subtypes. Overall, our results
revealed that H4, H1 and H7 flagella possess conserved phenotypes with regards to
motility, epithelial cell adhesion, invasion and uptake by macrophages. In
contrast, H4 flagella trigger enhanced induction of the anti-inflammatory
cytokine IL-10 compared to H1 and H7 flagella, a property that may contribute to
ST131 fitness in the urinary tract.
PMID- 26548327
TI - Case Report of a Synchronous Nipple Adenoma and Breast Carcinoma with Current
Multi-modality Radiologic Imaging.
AB - This case report presents the first reported molecular breast imaging appearance
of a nipple adenoma coexisting with an ipsilateral breast malignancy.
Conventional multi-modality radiologic imaging, including mammography,
ultrasonography, and magnetic resonance imaging are also shown, and a brief
review of the literature is provided.
PMID- 26548328
TI - Photoguided Shape Deformation of Azobenzene-Containing Polymer Microparticles.
AB - Here we present the generation of uniform microparticles with tunable diameters
from azobenzene-based homopolymer by combining the microfluidics technique and
emulsion-solvent evaporation route. In addition, the photoinduced deformation
behavior of these microspheres, irradiated by a linearly polarized beam with
different irradiation time and direction, are systemically studied. The
deformation process through real time optical microscope observation can be
investigated, benefiting from the uniform and microscaled size of the polymer
particles. These results indicate that the deformation degree characterized by
relative variation of the long axial for the particles can be controlled by the
irradiation time. Moreover, elongated particles with tunable aspect ratio or
tilted shape can be generated by manipulating the irradiation direction and/or
time. Interestingly, the shape transformation kinetics displays a significant
dependence on initial size of the polymer particle. In addition, the shape
transformation of the polymer particle can lead to the variation of the
orientation and distribution of the encapsulated anisotropic gold nanorods.
PMID- 26548329
TI - Clinical applications of molecular genetic discoveries.
AB - Genome-wide association studies of complex traits have mapped >15,000 common
single nucleotide variants (SNVs). Likewise, applications of massively parallel
nucleic acid sequencing technologies often referred to as next-generation
sequencing to molecular genetic studies of complex traits have catalogued a large
number of rare variants (population frequency of <0.01) in cases with complex
traits. Moreover, high-throughput nucleic acid sequencing, variant burden
analysis, and linkage studies are illuminating the presence of large number of
SNVs in cases and families with single-gene disorders. The plethora of the
genetic variants has exposed the formidable challenge of identifying the causal
and pathogenic variants from the enormous number of innocuous common and rare
variants that exist in the population and in an individual genome. The arduous
task of identifying the causal and pathogenic variants is further compounded by
the pleiotropic effects of the variants, complexity of cis and trans interactions
in the genome, variability in phenotypic expression of the disease, as well as
phenotypic plasticity, and the multifarious determinants of the phenotype.
Population genetic studies offer the initial roadmaps and have the potential to
elucidate novel pathways involved in the pathogenesis of the disease. However,
the genome of an individual is unique, rendering unambiguous identification of
the causal or pathogenic variant in a single individual exceedingly challenging.
Yet, the focus of the practice of medicine is on the individual, as Sir William
Osler elegantly expressed in his insightful quotation: "The good physician treats
the disease; the great physician treats the patient who has the disease." The
daunting task facing physicians, patients, and researchers alike is to apply the
modern genetic discoveries to care of the individual with or at risk of the
disease.
PMID- 26548330
TI - Proprotein convertase subtilisin kexin type 9 and high-density lipoprotein
metabolism: experimental animal models and clinical evidence.
AB - Proprotein convertase subtilisin kexin type 9 (PCSK9) belongs to the proprotein
convertase family. Several studies have demonstrated its involvement in the
regulation of low-density lipoprotein (LDL) cholesterol levels by inducing the
degradation of the LDL receptor (LDLR). However, experimental, epidemiologic, and
pharmacologic data provide important evidence on the role of PCSK9 also on high
density lipoproteins (HDLs). In mice, PCSK9 regulates the HDL cholesterol (HDL-C)
levels by the degradation of hepatic LDLR, thus inhibiting the uptake of
apolipoprotein (Apo)E-containing HDLs. Several epidemiologic and genetic studies
reported positive relationship between PCSK9 and HDL-C levels, likely by reducing
the uptake of the ApoE-containing HDL particles. PCSK9 enhances also the
degradation of LDLR's closest family members, ApoE receptor 2, very low-density
lipoprotein receptor, and LDLR-related protein 1. This feature provides a
molecular mechanism by which PCSK9 may affect HDL metabolism. Experimental
studies demonstrated that PCSK9 directly interacts with HDL by modulating PCSK9
self-assembly and its binding to the LDLR. Finally, the inhibition of PCSK9 by
means of monoclonal antibodies directed to PCSK9 (ie, evolocumab and alirocumab)
determines an increase of HDL-C fraction by 7% and 4.2%, respectively. Thus, the
understanding of the role of PCSK9 on HDL metabolism needs to be elucidated with
a particular focus on the effect of PCSK9 on HDL-mediated reverse cholesterol
transport.
PMID- 26548331
TI - The Directional Observation of Highly Dynamic Membrane Tubule Formation Induced
by Engulfed Liposomes.
AB - Highly dynamic tubular structures in cells are responsible for exchanges between
organelles. Compared with bacterial invasion, the most affordable and least toxic
lipids were found in this study to be gentle and safe exogenous stimuli for the
triggering of membrane tubules. A specific lipid system was internalized by
NIH3T3 cells. Following cellular uptake, the constructed liposomes traveled
towards the nucleus in aggregations and were gradually distributed into moving
vesicles and tubules in the cytosol. The triggered tubules proceeded, retreated
or fluctuated along the cytoskeleton and were highly dynamic, moving quickly (up
to several microns per second), and breaking and fusing frequently. These
elongated tubules could also fuse with one another, giving rise to polygonal
membrane networks. These lipid systems, with the novel property of accelerating
intracellular transport, provide a new paradigm for investigating cellular
dynamics.
PMID- 26548332
TI - Regulation of oxidative response and extracellular polysaccharide synthesis by a
diadenylate cyclase in Streptococcus mutans.
AB - Cyclic diadenosine monophosphate (c-di-AMP) has been implicated in the control of
many important bacterial activities. However, the function of this molecule in
Streptococcus mutans, the primary aetiological agent of human dental caries, is
unknown. In this study, we identified and characterized a diadenylate cyclase,
named CdaA, in S. mutans. Furthermore, we showed that in-frame deletion of the
cdaA gene in S. mutans causes decreased c-di-AMP levels, increased sensitivity to
hydrogen peroxide and increased production of extracellular polysaccharides.
Global gene expression profiling revealed that more than 200 genes were
significantly upregulated or downregulated (> 2.0-fold) in the cdaA mutant.
Interestingly, genes with increased or decreased expression were clustered in
cellular polysaccharide biosynthetic processes and oxidoreductase activity
respectively. Notably, the expression of several genomic islands, such as GTF
B/C, TnSmu, CRISPR1-Cas and CRISPR2-Cas, was found to be altered in the cdaA
mutant, indicating a possible link between these genomic islands and c-di-AMP
signalling. Collectively, the results reported here show that CdaA is an
important global modulator in S. mutans and is required for optimal growth and
environmental adaption. This report also paves the way to unveil further the
roles of c-di-AMP signalling networks in the biology and pathogenicity of S.
mutans.
PMID- 26548334
TI - Sensitivity of human embryonic stem cells to different conditions during
cryopreservation.
AB - Low cell recovery rate of human embryonic stem cells (hESCs) resulting from
cryopreservation damages leads to the difficulty in their successful
commercialization of clinical applications. Hence in this study, sensitivity of
human embryonic stem cells (hESCs) to different cooling rates, ice seeding and
cryoprotective agent (CPA) types was compared and cell viability and recovery
after cryopreservation under different cooling conditions were assessed. Both
extracellular and intracellular ice formation were observed. Reactive oxidative
species (ROS) accumulation of hESCs was determined. Cryopreservation of hESCs at
1 degrees C/min with the ice seeding and at the theoretically predicted optimal
cooling rate (TPOCR) led to lower level of intracellular ROS, and prevented
irregular and big ice clump formation compared with cryopreservation at 1
degrees C/min. This strategy further resulted in a significant increase in the
hESC recovery when glycerol and 1,2-propanediol were used as the CPAs, but no
increase for Me2SO. hESCs after cryopreservation under all the tested conditions
still maintained their pluripotency. Our results provide guidance for improving
the hESC cryopreservation recovery through the combination of CPA type, cooling
rate and ice seeding.
PMID- 26548335
TI - Cryogenic 3D printing for tissue engineering.
AB - We describe a new cryogenic 3D printing technology for freezing hydrogels, with a
potential impact to tissue engineering. We show that complex frozen hydrogel
structures can be generated when the 3D object is printed immersed in a liquid
coolant (liquid nitrogen), whose upper surface is maintained at the same level as
the highest deposited layer of the object. This novel approach ensures that the
process of freezing is controlled precisely, and that already printed frozen
layers remain at a constant temperature. We describe the device and present
results which illustrate the potential of the new technology.
PMID- 26548336
TI - Probiotics: a proactive approach to health. A symposium report.
AB - This report summarises talks given at the 8th International Yakult Symposium,
held on 23-24 April 2015 in Berlin. Two presentations explored different aspects
of probiotic intervention: the small intestine as a probiotic target and
inclusion of probiotics into integrative approaches to gastroenterology.
Probiotic recommendations in gastroenterology guidelines and current data on
probiotic efficacy in paediatric patients were reviewed. Updates were given on
probiotic and gut microbiota research in obesity and obesity-related diseases,
the gut-brain axis and development of psychobiotics, and the protective effects
of equol-producing strains for prostate cancer. Recent studies were presented on
probiotic benefit for antibiotic-associated diarrhoea and people with HIV, as
well as protection against the adverse effects of a short-term high-fat diet.
Aspects of probiotic mechanisms of activity were discussed, including
immunomodulatory mechanisms and metabolite effects, the anti-inflammatory
properties of Faecalibacterium prausnitzii, the relationship between
periodontitis, microbial production of butyrate in the oral cavity and ageing,
and the pathogenic mechanisms of Campylobacter. Finally, an insight was given on
a recent expert meeting, which re-examined the probiotic definition, advised on
the appropriate use and scope of the term and outlined different probiotic
categories and the prevalence of different mechanisms of activity.
PMID- 26548338
TI - Hydrogen bonding plays a significant role in the binding of coomassie brilliant
blue-R to hemoglobin: FT-IR, fluorescence and molecular dynamics studies.
AB - An analog of coomassie brilliant blue-R (CBB-R) was recently found to act as an
antagonist to ATP-sensitive purinergic receptors (P2X7R) and has potential to be
used in medicine. With the aim of understanding its transportation and
distribution through blood, in this investigation, we measured the binding
parameters of CBB-R with bovine hemoglobin (BHG). The molecule specifically bound
to a single binding site of the protein with a stoichiometric ratio of 1 : 1 and
the observed binding constant Ka was 3.5, 2.5, 2.0 and 1.5 * 10(5) M(-1) at 20
degrees C, 27 degrees C, 37 degrees C and 45 degrees C, respectively. The
measured respective DeltaG(0) values of the binding at four temperatures were
30.45, -22.44, -18.04 and -11.95 kJ mol(-1). The DeltaH(0) (change in enthalpy)
and DeltaS(0) (change in entropy) values were -23.6 kJ mol(-1) and -70.66 J mol(
1) respectively in the binding process. The negative value of DeltaH(0) and
DeltaS(0) indicated that the binding of the molecule was thermodynamically
favorable. The best energy structure in the molecular docking analysis revealed
that CBB-R preferred to be intercalated in the cavity among the alpha2, beta1 and
beta2 subunits and the binding location was 7.4 A away from Trp37 in the beta2
subunit. The binding of the molecule with the protein was stabilized by hydrogen
bonds involving the side chain of two amino acid residues. The residues were
Lys104 and Glu101 in the beta2 subunit. The binding was further stabilized via
hydrogen bond formation between the amide group of the peptide backbone (residue
Tyr145 of the beta1 subunit) and CBB-R. A shift of the amide I (-C=O stretching)
band frequency of ~8 cm(-1) to low energy was ascribed to the hydrogen bond
interaction involving the polypeptide carbonyl of the protein and the CBB-R
molecule. In addition, two pi-cation interactions between Lys99 of the alpha2
subunit and Lys104 of the beta2 subunit and CBB-R contributed favorably in the
binding processes. No substantial change in the soret and Q absorption bands of
BHG could be observed in the presence of CBB-R. It indicated that the oxygen
binding domain or the heme proximity was not blocked or substantially perturbed
due to the binding of CBB-R. The circular dichroism and the molecular dynamics
analysis further established that the binding interaction caused no significant
alteration in the protein long range secondary structure.
PMID- 26548337
TI - Chronic Stress Alters Spatial Representation and Bursting Patterns of Place Cells
in Behaving Mice.
AB - Chronic uncontrollable stress has been shown to produce various physiological
alterations and impair mnemonic functions in the rodent hippocampus. Impacts on
neuronal activities, however, have not been well investigated. The present study
examined dorsal CA1 place cells to elucidate the computational changes associated
with chronic stress effects on cognitive behaviors. After administering chronic
restraint stress (CRS; 6 hours/day for >=21 consecutive days) to adult male mice,
several hippocampal characteristics were examined; i.e., spatial learning, in
vitro synaptic plasticity, in vivo place cell recording, and western blot
analysis to determine protein levels related to learning and memory.
Behaviorally, CRS significantly impeded spatial learning but enhanced non-spatial
cue learning on the Morris water maze. Physiologically, CRS reduced long-term
potentiation (LTP) of Schaffer collateral/commisural-CA1 pathway, phospho
alphaCaMKII (alpha Ca2(+)/calmodulin-dependent protein kinase II) level in the
hippocampus, and stability of spatial representation and the mean firing rates
(FRs) of place cells. Moreover, the local cue-dependency of place fields was
increased, and the intra-burst interval (IntraBI) between consecutive spikes
within a burst was prolonged following CRS. These results extend the previous
findings of stress impairing LTP and spatial learning to CRS modifying physical
properties of spiking in place cells that contribute to changes in navigation and
synaptic plasticity.
PMID- 26548339
TI - Rapid Sample Preparation Methodology for Plant N-Glycan Analysis Using Acid
Stable PNGase H+.
AB - The quantification of potentially allergenic carbohydrate motifs of plant and
insect glycoproteins is increasingly important in biotechnological and
agricultural applications as a result of the use of insect cell-based expression
systems and transgenic plants. The need to analyze N-glycan moieties in a highly
parallel manner inspired us to develop a quick N-glycan analysis method based on
a recently discovered bacterial protein N-glycanase (PNGase H(+)). In contrast to
the traditionally used PNGase A, which is isolated from almond seeds and only
releases N-glycans from proteolytically derived glycopeptides, the herein
implemented PNGase H(+) allows for the release of N-glycans directly from the
glycoprotein samples. Because PNGase H(+) is highly active under acidic
conditions, the consecutive fluorescence labeling step using 2-aminobenzamide
(2AB) can be directly performed in the same mixture used for the enzymatic
deglycosylation step. All sample handling and incubation steps can be performed
in less than 4 h and are compatible with microwell-plate sampling, without the
need for tedious centrifugation, precipitation, or sample-transfer steps. The
versatility of this methodology was evaluated by analyzing glycoproteins derived
from various plant sources using ultra-performance liquid chromatography (UPLC)
analysis and further demonstrated through the activity analysis of four PNGase
H(+) mutant variants.
PMID- 26548340
TI - Telmisartan prevents proliferation and promotes apoptosis of human ovarian cancer
cells through upregulating PPARgamma and downregulating MMP-9 expression.
AB - The mortality rate of ovarian cancer is the highest of all gynecological
malignancies. Telmisartan is a commonly used clinical angiotensin receptor
blocker, which has antihypertensive, anti-inflammatory and antithrombotic
effects. In the present study, it was investigated whether telmisartan could
exert anticancer effects on ovarian cancer cells through upregulating peroxisome
proliferator-activated receptor gamma (PPARgamma) and downregulating matrix
metalloproteinase-9 (MMP-9) expression. A 3.3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide assay was conducted to analyze the proliferation of
HEY cells. A Caspase-3 Activity Assay kit and an Annexin V-fluorescein
isothiocyanate/propidium iodide kit were used to analyze the apoptosis of HEY
cells. In addition, a gelatin zymography assay and reverse trancription
quantitative polymerase chain reaction were included to analyze the expression of
PPARgamma and MMP-9 in HEY cells. The data showed that telmisartan could
significantly decrease cell viability and induce the apoptosis of HEY cells in a
time- and dose-dependent manner. Furthermore, telmisartan could also dose
dependently increase the expression of PPARgamma and decrease the expression of
MMP-9 in HEY cells. In addition, downregulation of the expression of PPARgamma by
small interfering (si)RNA could reduce the effect of telmisartan on ovarian
cancer cells and increase the expression of MMP-9. In conclusion, the results
indicated that telmisartan prevents proliferation and promotes apoptosis of human
ovarian cancer cells by upregulating PPARgamma and downregulating MMP-9
expression.
PMID- 26548341
TI - Adherence to an Aerobic Exercise Intervention after an Implantable Cardioverter
Defibrillator (ICD).
AB - BACKGROUND: Exercise adherence is an important element in achieving important
exercise outcomes. The purpose of this study was to describe adherence in a home
based aerobic exercise program following an implantable cardioverter
defibrillator (ICD), determine effects of adherence on peakVO2 , and outline
reasons for nonadherence. METHODS AND RESULTS: A single-blind randomized control
trial of home walking compared to usual care in 160 patients with an ICD for
primary or secondary prevention was conducted. This report is on adherence in the
exercise arm (N = 84). Home walking exercise consisted of 8 weeks of aerobic
conditioning (60 minutes/day, 5 days/week) followed by 16 weeks of aerobic
maintenance (150 minutes/week, 30 minutes/session) at 60-80% of heart rate
reserve. Adherence was tracked using Polar heart rate (HR) monitors, pedometers,
home exercise logs, and telephone follow-up. Adherence was defined as performing
at least 80% of prescribed exercise. For aerobic conditioning, there was a mean
frequency of 3.81 walks/week, duration of 1,873 minutes walked, and 17.5% of
exercise was in the target HR (THR) zone. For aerobic maintenance, there was a
mean frequency of 2.4 walks/week, duration of 1,872 minutes/walked, and 8.7% of
exercise was in the THR zone. Those who were 80% adherent achieved a 3.4
mL/kg/min (P = 0.03) improvement in peakVO2 over those who were <80% adherent.
Reasons for nonadherence to exercise ranged from scheduling issues to viral
illness and fatigue. CONCLUSIONS: Adherence to aerobic exercise frequency and
duration was high with few dropouts, resulting in higher peakVO2 . Exercise
monitoring equipment encouraged adherence and conferred a sense of safety to
exercise.
PMID- 26548342
TI - Prognostic value of psychological state in cochlear implantation.
AB - CONCLUSION: Perioperative Minnesota Multiphasic Personality Inventory (MMPI)
scores may be beneficial for predicting prognosis of cochlear implantation (CI).
A positive attitude for social interaction in particular correlates with a better
speech outcome. Proper perioperative psychological management may, therefore,
assist in the auditory rehabilitation of CI patients. OBJECTIVE: To determine the
perioperative psychological state of CI patients and its relationship with
patient prognosis after CI. METHODS: This study prospectively enrolled 29
patients who underwent CI from 2005-2013. The MMPI was administered to assess
psychosocial and emotional issues surrounding CI and the Korean version of the
Central Institute of Deafness (K-CID) score was used to measure speech
perception. RESULTS: CI resulted in a significant improvement on the MMPI
Paranoia scale (p = 0.02). Patients with abnormal pre-operative and post
operative MMPI scores also had an earlier onset of deafness, longer duration of
deafness, and lower K-CID scores than patients with normal MMPI scores (all p <
0.05). The post-CI K-CID score had a significant negative correlation with the
pre-operative MMPI Schizophrenia score (p < 0.01) and significant negative
correlations with the post-operative MMPI Paranoia (p = 0.02), Psychasthenia (p =
0.02), Schizophrenia (p = 0.04), Hypomania (p = 0.02) and Social Introversion (p
= 0.03) scores.
PMID- 26548343
TI - Immunomodulatory and neuroprotective effects of ginsenoside Rg1 in the MPTP(1
methyl-4-phenyl-1,2,3,6-tetrahydropyridine) -induced mouse model of Parkinson's
disease.
AB - Ginsenoside Rg1, one of the biologically active ingredients of ginseng, has been
considered to be a candidate neuroprotective drug. The objective of the study was
to study the protective effects of Rg1 through the peripheral and central
inflammation in the 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP)-induced
Parkinson's disease (PD) mouse model. Rg1 treatment protected TH-positive cells
in the SNpc region from MPTP toxicity measured with immunofluoresence. The
protein expression levels of TH in the SNpc region of MPTP-induced mice following
treatment with Rg1 were higher than MPTP-induced mice which were tested with
Western blot. The ratio of CD3(+)CD4(+) to CD3(+)CD8(+) T cells and
CD4(+)CD25(+)Foxp3(+) regulatory T cells in the blood increased in MPTP-induced
mice following treatment with Rg1 which were detected by flow cytometry analysis.
Moreover, Rg1 reduced the serum concentrations of proinflammatory cytokines TNF
alpha, IFN-gamma, IL-1beta and IL-6 which were tested with enzyme-linked
immunosorbent assay (ELISA). In addition, Rg1 inhibited the activation of
microglia and reduced the infiltration of CD3(+) T cells into the SNpc region
which were measured by immunofluorescence. Our results indicated that Rg1 may
represent a promising drug for the treatment of PD via the regulation of the
peripheral and central inflammation.
PMID- 26548344
TI - Baicalein inhibits hepatocellular carcinoma cells through suppressing the
expression of CD24.
AB - Hepatocellular carcinoma (HCC) is the third leading cause of cancer death and is
the most common type of liver cancer. Current therapies for hepatocellular
carcinoma are still rather limited and novel therapeutic strategies are required.
Baicalein, extracted from Scutellaria baicalensis, has anticancer effects on HCC
in vitro and vivo. However, the detailed mechanisms are not well studied yet. In
the present study, we evaluated anticancer effects of purified botanical extracts
on HCC cells using high-throughput screening and investigated the effects of
baicalein on HCC cells using proliferation and apoptosis assays, RT-PCR, and
Western blot. Transfection was used to explore the underlying mechanisms of these
effects. Our results showed that baicalein is the most efficient botanical
extract in a HCC cell line as compared with the other 13 extracts. Baicalein
significantly decreased the expression of c-Myc, a crucial regulator of cell
proliferation, apoptosis and cellular transformation, in dose- and time-dependent
manners in HCC cells. Moreover, baicalein inhibited HCC cell proliferation and
induced apoptosis. The mRNA and protein expressions of CD24 were downregulated by
baicalein in HCC cells and ectopic overexpression of CD24 reversed baicalein
induced inhibition of cell proliferation and survival. Taken together, our
results demonstrate efficient anticancer effects of baicalein on HCC cells and
indicate that baicalein suppresses cell growth and cell survival through
downregulation of CD24.
PMID- 26548345
TI - Ginseng marc-derived low-molecular weight oligosaccharide inhibits the growth of
skin melanoma cells via activation of RAW264.7 cells.
AB - Panax ginseng C.A. Meyer has been traditionally consumed to prevent or treat
various medical disorders due to its diverse health benefits. Polysaccharides
isolated from Panax ginseng have been known to possess various pharmacological
activities, including immune modulating, anti-diabetic, and anti-obesity
properties. Despite the increasing number of reports on the bioactivities of
ginseng polysaccharides, little is known regarding the medicinal potential of
ginseng-derived oligosaccharides. In this study, we prepared a lower-molecular
weight oligosaccharide (GOS, MW. 2.2kDa) from ginseng polysaccharides (MW. 11
605kDa) by enzymatic degradation and evaluated for its immunostimulating
activities in RAW 264.7 murine macrophage cells. GOS was shown to be a glucan
type oligosaccharide mainly containing glucose residues (97.48 in molar %).
Treatment with GOS (100-500MUg/ml) dose-dependently enhanced the production of
TNF-alpha, IL-6, and NO in RAW 264.7 cells. Western blot analysis indicated that
GOS dose-dependently induced the phosphorylation of c-Jun N-terminal kinase
(JNK), extracellular signal-regulated kinase (ERK), p38, and nuclear factor
kappaB (NFkappaB), which are upstream signalling molecules for cytokine
production. While GOS was not cytotoxic to the RAW 264.7 macrophage cells at the
concentration tested (up to 1000MUg/ml), when B16F10 melanoma cells were co
cultured with the GOS-activated macrophages, the cell viability of melanoma cells
was dose-dependently decreased through the induction of apoptotic cell death.
Taken together, these results suggested that ginseng marc-derived GOS has anti
cancer activity in vitro against melanoma cells by potentiating macrophage
function.
PMID- 26548346
TI - Jumihaidokuto effectively inhibits colon inflammation and apoptosis in mice with
acute colitis.
AB - Jumihaidokuto, a Japanese kampo medicine, is prescribed in Japan for its anti
inflammatory activity. Here we have examined its beneficial effects against acute
colitis induced by dextran sulfate sodium (DSS) in mice. We have used C57BL/6
female mice, divided into two groups and received 3% DSS in drinking water during
the experimental period (8days). Treatment group mice received 1g/kg/day dose of
Jumihaidokuto orally whereas DSS control group received equal volume of distilled
water. Normal control group mice received plain drinking water. Jumihaidokuto
treatment attenuated the colitis symptoms along with suppression of various
inflammatory marker proteins such as IL-1beta, IL-2Ralpha, IL-4, CTGF and RAGE.
It has also down-regulated the oxidative stress and apoptotic signaling in the
colons of mice with colitis. The present study has confirmed the beneficial
effects of Jumihaidokuto on DSS induced acute colitis in mice and suggests that
it can be a potential agent for the treatment of colitis.
PMID- 26548347
TI - Diallyl trisulfide inhibits naphthalene-induced oxidative injury and the
production of inflammatory responses in A549 cells and mice.
AB - Diallyl trisulfide (DATS) is a garlic organosulfide that may have a therapeutic
potential in the treatment of some diseases. We sought to determine whether DATS
could inhibit naphthalene-induced oxidative injury and the production of
inflammatory responses in vitro and in vivo. A549 cells were either pre-treated
(PreTx, prevention) or concurrently treated (CoTx, treatment) with 20MUM
naphthalene and either 5 or 10MUM DATS. PreTx and CoTx showed the prevention and
the treatment potential of DATS to inhibit the generation of naphthalene-induced
reactive oxygen species (ROS) in the A549 cells. DATS showed antioxidative
activity by elevating the SOD activities in the low dose groups. The mechanistic
study showed that the DATS-mediated inhibition of naphthalene-induced oxidative
injury and the production of inflammatory responses (i.e., TNF-alpha, IL-6, and
IL-8) were attributed to inhibiting the activity of nuclear factor-kappa B (NF
kappaB). In addition, DATS inhibited the production of serum nitric oxide NO and
myeloperoxidase (MPO) in the lungs of Kunming mice. The histological analysis
results indicate that DATS inhibited the naphthalene-induced lung damage, which
is consistent with the in vitro study results. The in vivo and in vitro results
suggest that DATS may be an effective attenuator of naphthalene-induced lung
damage.
PMID- 26548348
TI - Pristimerin inhibits angiogenesis in adjuvant-induced arthritic rats by
suppressing VEGFR2 signaling pathways.
AB - Rheumatoid arthritis (RA) is a progressive, inflammatory autoimmune disease. As
RA progresses, the hyperplastic synovial pannus creates a hypoxic, inflammatory
environment that induces angiogenesis. Further vascularization of the synovial
tissue promotes pannus growth and continued infiltration of inflammatory
leukocytes, thus perpetuating the disease. Pristimerin inhibits inflammation and
tumor angiogenesis. The present study focused on the inhibition of angiogenesis
by Pristimerin in adjuvant-induced arthritic rats and the underlying molecular
mechanisms. Our results clearly demonstrate for the first time that Pristimerin
significantly reduces vessel density in synovial membrane tissues of inflamed
joints and reduces the expression of pro-angiogenic factors in sera, including
TNF-alpha, Ang-1, and MMP-9. Pristimerin also decreased the expression of VEGF
and p-VEGFR2 in the synovial membrane, whereas the total amount of VEGFR2
remained unchanged. Pristimerin suppressed the sprouting vessels of the aortic
ring and inhibited VEGF-induced HFLS-RA migration in vitro. Pristimerin also
inhibited VEGF-induced proliferation, migration and tube formation by HUVECs,
blocked the autophosphorylation of VEGF-induced VEGFR2 and consequently
downregulated the signaling pathways of activated PI3K, AKT, mTOR, ERK1/2, JNK,
and p38 in VEGF-induced HUVECs. Our results indicate that Pristimerin suppressed
synovial angiogenesis in our rat model and in vitro by interrupting the targeting
of VEGFR2 activation. Therefore, Pristimerin has potential as an angiogenesis
inhibitor in the treatment of rheumatoid arthritis.
PMID- 26548349
TI - Cefdinir nanosuspension for improved oral bioavailability by media milling
technique: formulation, characterization and in vitro-in vivo evaluations.
AB - Cefdinir (Cef) is an orally active Biopharmaceutics Classification System (BCS)
class IV drug with incomplete absorption and low bioavailability (16-21%). The
aim of this investigation was to develop nanosuspensions (NS) of Cef to improve
its oral bioavailability. Cef NS were prepared by the media milling technique
using zirconium oxide beads as the milling media. Cef NS were characterized by
particle size, Scanning Electron Microscopy, Differential Scanning Calorimetry, X
Ray Diffraction pattern and evaluated for saturation solubility, in vitro release
studies, ex vivo permeability studies and in vivo bioavailability studies. The
particle size and zeta potential were found to be 224.2 +/- 2.7 nm and -15.7 +/-
1.9 mV, respectively. Saturation solubility of NS was found to be 1985.3 +/- 10.2
ug/ml which was 5.64 times higher than pure drug (352.2 +/- 6.5 ug/ml). The DSC
thermograms and XRD patterns indicated that there was no interaction between drug
and excipients and that the crystallinity of Cef remained unchanged after media
milling process. Results of in vitro release studies and ex vivo permeation
studies showed improved drug release of 88.2 1 +/- 2.90 and 83.11 +/- 2.14%,
respectively, from NS after 24 h as compared to drug release of 54.09 +/- 2.54
and 48.2 1 +/- 1.27%, respectively, from the marketed suspension (Adcef). In vivo
studies in rats demonstrated a 3-fold increase in oral bioavailability from the
NS in comparison to marketed suspension. The results of this investigation
conclusively show that the developed nanosuspension of Cef exhibited improved
solubility, dissolution and permeation which led to a significant enhancement in
its oral bioavailability.
PMID- 26548350
TI - Nanoparticles as drug carriers: current issues with in vitro testing.
AB - Incorporation of nanotechnology in the field of drug delivery has created
exciting opportunities for the purposeful design of nanocarriers with potentials
such as targeted delivery or controlled release of the incorporated cargo,
improvement of bioavailability and reduction of therapeutic side-effects. Prior
to in vivo administration, nanocarriers should undergo a set of in vitro
evaluation procedures to ensure their stability, safety, conformity and ability
to fulfill the desired mission. In this paper, current issues with in vitro
evaluation techniques used for nanocarrier characterization (assessment of
particle size, surface charge, drug release and toxicity) will be discussed.
Furthermore, sufficiency of in vitro evaluation procedures for the prediction of
in vivo scenarios and the necessary considerations to improve the correlation
between the two settings will be debated.
PMID- 26548351
TI - Higher relatedness mitigates mortality in a nematode with lethal male fighting.
AB - According to kin selection theory, individuals show less aggression towards their
relatives. Limited dispersal promotes interactions among relatives but also
increases competition among them. The evolution of cooperation in viscous
populations has been subject of mainly theoretical exploration. We investigated
the influence of relatedness on aggression in males of entomopathogenic nematode
Steinernema longicaudum that engage in lethal fighting. In a series of in vitro
experiments, we found that both competitor male group size and relatedness
influence male mortality rates. Higher relatedness led to progressively lower
rates of male mortality. In experimentally infected insects, wherein large
numbers of males and females interact, the proportion of dead and paralysed (=
terminally injured) males was higher when infection was established by infective
juveniles originating from a mixture of three lines than in those infected by a
single line. The results collectively show that Steinernema longicaudum males
recognize their kin and consequently male mortality rates are lower in groups
consisting of more related males. Furthermore, this monotonic negative
relationship between aggression and relatedness suggests that kin selection
benefits are still substantial even under extreme competition. Our experiments
also suggest that kin recognition in entomopathogenic nematodes has a genetic
basis rather than being strictly based on environmental cues. We discuss our
findings within the theoretical context of the evolution of
altruistic/cooperative behaviour in structured populations.
PMID- 26548352
TI - An observational comparison of the older and younger bus passenger experience in
a developing world city.
AB - This study was an unobtrusive observational analysis of 333 older and younger bus
passengers in Guadalajara, Mexico. A set of data were collected for each observed
passenger, as well as more general observations related to driver behaviour, bus
design and bus service characteristics. There were significant differences
between older and younger passengers in terms of boarding and alighting times,
use of handrails, seat location preferences, passenger stability and coping
strategies in order to maintain postural stability. The conditions of travel are
conducive to a poor passenger experience for the older passengers in particular.
Although the problems may be attributed to bus design and driver behaviour
typical of that in developing countries, they are also influenced by the wider
transport infrastructure, and a lack of a regulatory regime which places drivers
under time pressure and in direct competition with each other. Practitioner
Summary: Bus services must cater for all ages of passengers, including the
elderly. This unobtrusive observational study investigated the passenger
experience in a developing world city. Bus and wider service design were found to
compromise the journey experience, with the older users being particularly
negatively impacted. Design recommendations are provided.
PMID- 26548353
TI - Development of Fluorescent Protein Probes Specific for Parallel DNA and RNA G
Quadruplexes.
AB - We have developed fluorescent protein probes specific for parallel G-quadruplexes
by attaching cyan fluorescent protein to the G-quadruplex-binding motif of the
RNA helicase RHAU. Fluorescent probes containing RHAU peptide fragments of
different lengths were constructed, and their binding to G-quadruplexes was
characterized. The selective recognition and discrimination of G-quadruplex
topologies by the fluorescent protein probes was easily detected by the naked eye
or by conventional gel imaging.
PMID- 26548354
TI - Association of Energy Intake With the Lack of in-Person Review of Household
Dietary Records: Analysis of Japan National Health and Nutrition Surveys From
1997 to 2011.
AB - BACKGROUND: National surveys have demonstrated a long-term decrease in mean
energy intake in Japan, despite the absence of a decrease in the prevalence of
overweight and obesity. We aimed to examine whether total energy intake of survey
respondents is associated with completion of an in-person review of dietary
records and whether it affects the trend in mean energy intake. METHODS: We
pooled data from individuals aged 20-89 years from the National Nutrition Surveys
of 1997-2002 and the National Health and Nutrition Surveys of 2003-2011. We
conducted a linear mixed-effects regression to estimate the association between
total energy intake and the lack of an in-person review of semi-weighed household
dietary records with interviewers. As some respondents did not have their dietary
data confirmed, we used regression coefficients to correct their total energy
intake. RESULTS: Compared with respondents completing an in-person review, total
energy intake was significantly inversely associated with respondents not
completing a review across all sex and age groups (P < 0.001). After correction
of total energy intake for those not completing a review, mean energy intake in
each survey year significantly increased by 2.1%-3.9% in men and 1.3%-2.6% in
women (P < 0.001), but the decreasing trend in mean energy intake was sustained.
CONCLUSIONS: Total energy intake may be underestimated without an in-person
review of dietary records. Further efforts to facilitate completion of a review
may improve accuracy of these data. However, the increasing proportion of
respondents missing an in-person review had little impact on the decreasing mean
caloric intake.
PMID- 26548355
TI - Effects of the Cessation of Mass Screening for Neuroblastoma at 6 Months of Age:
A Population-Based Study in Osaka, Japan.
AB - BACKGROUND: In 2004, the Japanese government halted the 6-month mass screening
program for neuroblastoma. We investigated whether its cessation had led to an
increase not only in mortality due to this disease but also in the incidence of
advanced-stage disease among older children. METHODS: Study subjects were
neuroblastoma patients retrieved from the population-based Osaka Cancer Registry.
Trends of incidence and mortality from neuroblastoma were analyzed by calendar
year and birth cohort. Prognostic factors, including stage and v-myc avian
myelocytomatosis viral oncogene neuroblastoma derived homolog (MYCN) oncogene
status, were compared before and after the cessation of mass screening. RESULTS:
Age-standardized incidence rates in 2005-2009 (the cessation period of mass
screening; 11.1 per million) were similar to those in 1975-1979 (the pre
screening period; 8.6 per million). Age-standardized mortality rates tended to
decrease from 1975-1979 (4.0 per million) to 2005-2009 (2.7 per million) in
parallel with the improvement in survival. Analysis by birth cohort indicated
that the mortality rates in 2004-2005 (after cessation) for children 0-4 years of
age were lower than those in 1975-1979 (O:E ratio 0.25; 95% confidence interval,
0.03-0.90). For children 1-9 years of age, there was a not significant difference
in the distribution of stage, MYCN oncogene status, and DNA ploidy between 1991
2003 (the mass screening period) and 2004-2008 (after cessation). CONCLUSIONS:
The cessation of mass screening for neuroblastoma does not appear to have
increased mortality due to this disease or incidence of advanced-stage disease
among older children.
PMID- 26548358
TI - Amine neurotransmitters, inflammation and epithelial sodium transport.
AB - NEW FINDINGS: What is the topic of this review? The present work reviews the
roles of renal and intestinal dopamine and 5-HT in the maintenance of fluid and
electrolyte homeostasis. The role of inflammatory agents at the intestinal level
that affect fluid and electrolyte homeostasis is also addressed. What advances
does it highlight? General mechanisms of epithelial cell ion transport in the
gastrointestinal tract and kidney share considerable similarities, particularly
with regard to basolateral Na(+) ,K(+-) ATPase as a driving force for the
movement of numerous substrates across the cell membrane. The physiological
importance of the renal actions of monoamines (dopamine, noradrenaline and 5-HT)
mainly depends on the sources of the amines in the kidney and on their
availability to activate the amine-specific receptors. Dopamine and 5-HT are also
relatively abundant in the mucosal cell layer of the intestine, and recent
evidence suggests their physiological relevance in regulating electrolyte
transport. The gastrointestinal tract can be an important site for the loss of
water and electrolytes, in the presence of intestinal inflammation. General
mechanisms of epithelial cell ion transport in the gastrointestinal tract and
kidney share considerable similarities with regard to basolateral Na(+) ,K(+)
ATPase as a driving force for the movement of numerous substrates across the cell
membrane. The present work reviews the roles of renal and intestinal dopamine and
5-HT in the maintenance of fluid and electrolyte homeostasis. The role of
inflammatory agents at the intestinal level that affect fluid and electrolyte
homeostasis is also addressed.
PMID- 26548359
TI - Juvenile Incarceration and Health.
AB - Addressing the health status and needs of incarcerated youth represents an issue
at the nexus of juvenile justice reform and health care reform. Incarcerated
youth face disproportionately higher morbidity and higher mortality compared to
the general adolescent population. Dental health, reproductive health, and mental
health needs are particularly high, likely as a result of lower access to care,
engagement in high-risk behaviors, and underlying health disparities. Violence
exposure and injury also contribute to the health disparities seen in this
population. Further, juvenile incarceration itself is an important determinant of
health. Juvenile incarceration likely correlates with worse health and social
functioning across the life course. Correctional health care facilities allow
time for providers to address the unmet physical and mental health needs seen in
this population. Yet substantial challenges to care delivery in detention
facilities exist and quality of care in detention facilities varies widely.
Community-based pediatricians can serve a vital role in ensuring continuity of
care in the postdetention period and linking youth to services that can
potentially prevent juvenile offending. Pediatricians who succeed in
understanding and addressing the underlying social contexts of their patients'
lives can have tremendous impact in improving the life trajectories of these
vulnerable youth. Opportunities exist in clinical care, research, medical
education, policy, and advocacy for pediatricians to lead change and improve the
health status of youth involved in the juvenile justice system.
PMID- 26548357
TI - Recent advances in understanding xenotransplantation: implications for the
clinic.
AB - The results of organ and cell allotransplantation continue to improve, but the
field remains limited by a lack of deceased donor organs. Xenotransplantation,
for example, between pig and human, offers unlimited organs and cells for
clinical transplantation. The immune barriers include a strong innate immune
response in addition to the adaptive T-cell response. The innate response has
largely been overcome by the transplantation of organs from pigs with genetic
modifications that protect their tissues from this response. T-cell-mediated
rejection can be controlled by immunosuppressive agents that inhibit
costimulation. Coagulation dysfunction between the pig and primate remains
problematic but is being overcome by the transplantation of organs from pigs that
express human coagulation-regulatory proteins. The remaining barriers will be
resolved by the introduction of novel genetically-engineered pigs. Limited
clinical trials of pig islet and corneal transplantation are already underway.
PMID- 26548360
TI - Inability to acquire spatial information and deploy spatial search strategies in
mice with lesions in dorsomedial striatum.
AB - Dorsal striatum has been shown to contribute to spatial learning and memory, but
the role of striatal subregions in this important aspect of cognitive functioning
remains unclear. Moreover, the spatial-cognitive mechanisms that underlie the
involvement of these regions in spatial navigation have scarcely been studied. We
therefore compared spatial learning and memory performance in mice with lesions
in dorsomedial (DMS) and dorsolateral striatum (DLS) using the hidden-platform
version of the Morris water maze (MWM) task. Compared to sham-operated controls,
animals with DMS damage were impaired during MWM acquisition training. These mice
displayed delayed spatial learning, increased thigmotaxis, and increased search
distance to the platform, in the absence of major motor dysfunction, working
memory defects or changes in anxiety or exploration. They failed to show a
preference for the target quadrant during probe trials, which further indicates
that spatial reference memory was impaired in these animals. Search strategy
analysis moreover demonstrated that DMS-lesioned mice were unable to deploy
cognitively advanced spatial search strategies. Conversely, MWM performance was
barely affected in animals with lesions in DLS. In conclusion, our results
indicate that DMS and DLS display differential functional involvement in spatial
learning and memory. Our results show that DMS, but not DLS, is crucial for the
ability of mice to acquire spatial information and their subsequent deployment of
spatial search strategies. These data clearly identify DMS as a crucial brain
structure for spatial learning and memory, which could explain the occurrence of
neurocognitive impairments in brain disorders that affect the dorsal striatum.
PMID- 26548356
TI - The role of lymphocytes in the development and treatment of alopecia areata.
AB - Alopecia areata (AA) development is associated with both innate and adaptive
immune cell activation, migration to peri- and intra-follicular regions, and hair
follicle disruption. Both CD4(+) and CD8(+) lymphocytes are abundant in AA
lesions; however, CD8(+) cytotoxic T lymphocytes are more likely to enter inside
hair follicles, circumstantially suggesting that they have a significant role to
play in AA development. Several rodent models recapitulate important features of
the human autoimmune disease and demonstrate that CD8(+) cytotoxic T lymphocytes
are fundamentally required for AA induction and perpetuation. However, the
initiating events, the self-antigens involved, and the molecular signaling
pathways, all need further exploration. Studying CD8(+) cytotoxic T lymphocytes
and their fate decisions in AA development may reveal new and improved treatment
approaches.
PMID- 26548361
TI - The impact of different emotional states on the memory for what, where and when
features of specific events.
AB - Emotions can modulate the encoding and recollection of personal events. In the
present study, we investigated the effects of different emotional states
(pleasant, neutral or anxious) on episodic memory formation in a virtual reality
(VR) setting. Emotional states were induced by pleasant, neutral or anxiety
inducing movie clips prior to the presentation of specific events in a VR
scenario. Episodic memory performance of healthy participants in whom an anxious
emotional state had been induced was inferior to those of the neutral and
pleasant conditions. In the anxious condition, participants were particularly
impaired regarding their memory for the location of events. A correlational
analysis indicated that high levels of negative arousal were associated with poor
memory for the temporal and spatial context of events. In contrast, high levels
of happiness were associated with better memory for the spatial context of
events. Our data provide evidence that emotional arousal can modulate memory for
what happened, where and when.
PMID- 26548363
TI - [French National Academy of Medicine welcomes in Paris the first Mexican-French
Forum in Health].
PMID- 26548364
TI - ADHD and Marijuana-Use Expectancies in Young Adulthood.
AB - OBJECTIVE: This study examined mean level differences in marijuana expectancies
and the differential associations between expectancies and marijuana use for
individuals with and without a history of Attention-Deficit/Hyperactivity
Disorder (ADHD). BACKGROUND: Substance-use expectancies are a widely studied risk
factor for alcohol and other drug use. The relations between marijuana-use
expectancies and self-reported marijuana use have not been examined in young
adults with ADHD, a population shown to be at risk for marijuana use. METHOD:
Participants were 306 (190 ADHD and 116 non-ADHD) young adults (M age = 20.06, SD
= 2.03) from the Pittsburgh ADHD Longitudinal Study (PALS) who provided data
about marijuana use and marijuana-use expectancies. RESULTS: Individuals in the
ADHD group reported lower levels of social enhancement, tension reduction, and
cognitive and behavioral-impairment expectancies compared to individuals in the
non-ADHD group. Positive and negative marijuana-use expectancies were associated
with marijuana use frequency in the whole sample and statistically significant
ADHD group by expectancy interactions were found. Sexual-enhancement expectancies
were more strongly associated with marijuana use frequency among individuals with
ADHD histories while cognitive behavioral-impairment expectancies were more
strongly associated with marijuana use frequency among individuals without ADHD.
CONCLUSIONS: Marijuana-use expectancies may be acquired, and operate differently,
for individuals with and without ADHD histories. Although future research is
needed to test this speculation, these differences may be associated with ADHD
related difficulties in higher order cognitive processes that affect the encoding
and utilization of expectations regarding marijuana's effects.
PMID- 26548362
TI - Development and function of the midbrain dopamine system: what we know and what
we need to.
AB - The past two decades have seen an explosion in our understanding of the origin
and development of the midbrain dopamine system. Much of this work has been
focused on the aspects of dopamine neuron development related to the onset of
movement disorders such as Parkinson's disease, with the intent of hopefully
delaying, preventing or fixing symptoms. While midbrain dopamine degeneration is
a major focus for treatment and research, many other human disorders are impacted
by abnormal dopamine, including drug addiction, autism and schizophrenia.
Understanding dopamine neuron ontogeny and how dopamine connections and circuitry
develops may provide us with key insights into potentially important avenues of
research for other dopamine-related disorders. This review will provide a brief
overview of the major molecular and genetic players throughout the development of
midbrain dopamine neurons and what we know about the behavioral- and disease
related implications associated with perturbations to midbrain dopamine neuron
development. We intend to combine the knowledge of two broad fields of
neuroscience, both developmental and behavioral, with the intent on fostering
greater discussion between branches of neuroscience in the service of addressing
complex cognitive questions from a developmental perspective and identifying
important gaps in our knowledge for future study.
PMID- 26548365
TI - Aminobenzimidazoles and Structural Isomers as Templates for Dual-Acting
Butyrylcholinesterase Inhibitors and hCB2 R Ligands To Combat Neurodegenerative
Disorders.
AB - A pharmacophore model for butyrylcholinesterase (BChE) inhibitors was applied to
a human cannabinoid subtype 2 receptor (hCB2 R) agonist and verified it as a
first-generation lead for respective dual-acting compounds. The design,
synthesis, and pharmacological evaluation of various derivatives led to the
identification of aminobenzimidazoles as second-generation leads with micro- or
sub-micromolar activities at both targets and excellent selectivity over hCB1 and
AChE, respectively. Computational studies of the first- and second-generation
lead structures by applying molecular dynamics (MD) on the active hCB2 R model,
along with docking and MD on hBChE, has enabled an explanation of their binding
profiles at the protein levels and opened the way for further optimization. Dual
acting compounds with "balanced" affinities and excellent selectivities could be
obtained that represent leads for treatment of both cognitive and
pathophysiological impairment occurring in neurodegenerative disorders.
PMID- 26548366
TI - Effects of physical training on age-related balance and postural control.
AB - In this paper, we review the effects of physical activity on balance performance
in the elderly. The increase in the incidence of falls with age reflects the
disorders of balance-related to aging. We are particularly interested in age
related changes in the balance control system as reflected in different static
and dynamic balance tests. We report the results of studies demonstrating the
beneficial effects of physical activity on postural balance. By comparing groups
of practitioners of different physical activities, it appears that these effects
on postural control depend on the type of activity and the time of practice.
Thus, we have focused in the present review on "proprioceptive" and "strength"
activities. Training programs offering a combination of several activities have
demonstrated beneficial effects on the incidence of falls, and we present and
compare the effects of these two types of training activities. It emerges that
there are differential effects of programs of activities: while all activities
improve participants' confidence in their ability, the "proprioceptive"
activities rather improve performance in static tasks, while "strength"
activities tend to improve performance in dynamic tasks. These effects depend on
the targeted population and will have a greater impact on the frailest subjects.
The use of new technologies in the form of "exergames" may also be proposed in
home-based exercises.
PMID- 26548367
TI - Mycophenolic acid inhibits the phosphorylation of nuclear factor-kappaB and Akt
in renal tubular epithelial cells.
AB - Renal tubulointerstitial injury induced by albumin overload is a critical stage
during the progression of renal interstitial fibrosis and progression of chronic
renal diseases. Inosine-5'-monophosphate dehydrogenase inhibitor mycophenolate
mofetil (MMF), a pro-drug of mycophenolic acid (MPA), is known to attenuate the
progression of renal interstitial fibrosis; however, the underlying molecular
mechanisms of the anti-fibrotic effects of derivatives of MMF have not yet been
studied. The present study assessed the effects of the MPA on renal tubular
epithelial cells. Transforming growth factor beta 1 (TGF-beta1) has been
indicated to have a central role in the underlying molecular mechanisms of renal
fibrosis; furthermore, nuclear transcription factor-kappaB (NF-kappaB) is a
transcription factor associated with the production of inflammatory cytokines,
cell proliferation and apoptosis. In addition, the Akt signaling pathway has
important roles in cell proliferation, differentiation, metabolism and apoptosis.
The present study subjected the NRK52E rat kidney epithelial-derived cell line to
albumin overload, which resulted in an increase in TGF-beta1 production as well
as phosphorylation of Akt and the binding activity of NF-kappaB to the promoter
region of the TGF-beta1 gene, which was, however, reduced following pre
incubation of the cells with MPA. In addition, the effects of albumin were
partially blocked by Ly294002, a specific inhibitor of Akt. In conclusion, the
results of the present study suggested that MPA may exert its anti-fibrotic
effects by inhibiting the upregulation of TGF-beta1 and the activation of NF
kappaB following albumin overload, which may be partly dependent on the Akt
pathway.
PMID- 26548368
TI - DNA hypermethylation of extracellular matrix-related genes in human periodontal
fibroblasts induced by stimulation for a prolonged period with lipopolysaccharide
derived from Porphyromonas gingivalis.
AB - OBJECTIVES AND BACKGROUND: The involvement of DNA methylation in periodontal
disease is not clear. Lipopolysaccharide (LPS) derived from Porphyromonas
gingivalis is involved in the progression of periodontal disease. We recently
developed an in vitro model of LPS infection in human periodontal fibroblast
cells (HPdLFs) for a prolonged period. In this study, we examined genome-wide
analysis of DNA methylation in HPdLFs stimulated with LPS derived from P.
gingivalis for a prolonged period. We noted the hypermethylation of extracellular
matrix (ECM)-related genes and examined whether hypermethylation affected their
transcription levels. MATERIAL AND METHODS: HPdLFs were grown in Dulbecco's
modified Eagle's medium containing 10% fetal bovine serum. The culture was
repeated, alternating 3 d with LPS derived from P. gingivalis and 3 d without LPS
for 1 mo. Untreated samples were used as controls. DNA was analyzed using the
human CpG island microarray. Quantitative methylation-specific polymerase chain
reaction was carried out to confirm reproducibility of the microarray data. The
expression levels of mRNA of the selected ECM-related genes from the data were
analyzed by quantitative reverse transcription-polymerase chain reaction.
RESULTS: We found 25 ECM-related genes with hypermethylation at the CpG island of
the promoter region, which exhibited a fourfold greater hypermethylation than
controls. Among these genes, hypermethylation of nine ECM-related genes, FANK1,
COL4A1-A2, 12A1 and 15A1, LAMA5 and B1, MMP25, POMT1 and EMILIN3, induced a
significantly downregulated expression of their mRNA. CONCLUSION: These results
indicate that LPS derived from P. gingivalis may cause DNA hypermethylation of
some ECM-related genes followed by downregulated expression of their
transcriptional levels.
PMID- 26548369
TI - Synthesis of bi-phase dispersible core-shell FeAu@ZnO magneto-opto-fluorescent
nanoparticles.
AB - Bi-phase dispersible core-shell FeAu@ZnO magneto-opto-fluorescent nanoparticles
were synthesized by a modified nanoemulsion process using poly(ethylene glycol)
block-poly(propylene glycol)-block-poly(ethylene glycol) (PEO-PPO-PEO) as the
surfactant. The morphology and crystal structure of the nanoparticles were
studied by TEM/HRTEM and XRD. The nanoparticles manifest soft ferromagnetic
and/or near superparamagnetic behavior with a small coercivity of ~19 Oe at room
temperature. The corresponding magnetic hysteresis curves were elucidated by the
modified Langevin equation. The FTIR study confirms the PEO-PPO-PEO molecules on
the surface of the nanoparticles. The UV-vis and PL results reveal the well
behaved absorption bands including surface plasmon resonance and multiple visible
fingerprint photoluminescent emissions of the nanoparticles dispersed in both
hydrophilic and hydrophobic solvents. Moreover, the processes of solvent
dispersion-collection of the nanoparticles were demonstrated for application
readiness of such core-shell nanostructures.
PMID- 26548371
TI - Staphylococcus aureus bacteraemia associated with injected new psychoactive
substances.
AB - Injecting drug use is often associated with deep-seated infection. In Lothian in
Scotland there has been a recent increase in the use of injected new psychoactive
substances (NPS). Patients who have injected NPS have presented with
Staphylococcus aureus bacteraemia (SAB) with life-threatening complications. We
describe a unique case-series of 14 episodes of SAB in ten patients. Users of
injected NPS had a significantly higher incidence of endocarditis and cavitating
pulmonary lesions (P < 0.05) compared to those who inject only opiates. Cases of
SAB in people who inject NPS have contributed to a significant rise in the
overall incidence of SAB in people who inject drugs (P < 0.05) which has in turn
impacted on the ability of Lothian to meet national targets for reducing the
incidence of SAB.
PMID- 26548370
TI - Par-4 secretion: stoichiometry of 3-arylquinoline binding to vimentin.
AB - Advanced prostate tumors usually metastasize to the lung, bone, and other vital
tissues and are resistant to conventional therapy. Prostate apoptosis response-4
protein (Par-4) is a tumor suppressor that causes apoptosis in therapy-resistant
prostate cancer cells by binding specifically to a receptor, Glucose-regulated
protein-78 (GRP78), found only on the surface of cancer cells. 3-Arylquinolines
or "arylquins" induce normal cells to release Par-4 from the intermediate
filament protein, vimentin and promote Par-4 secretion that targets cancer cells
in a paracrine manner. A structure-activity study identified arylquins that
promote Par-4 secretion, and an evaluation of arylquin binding to the hERG
potassium ion channel using a [(3)H]-dofetilide binding assay permitted the
identification of structural features that separated this undesired activity from
the desired Par-4 secretory activity. A binding study that relied on the natural
fluorescence of arylquins and that used the purified rod domain of vimentin
(residues 99-411) suggested that the mechanism behind Par-4 release involved
arylquin binding to multiple sites in the rod domain.
PMID- 26548372
TI - Atomistic Free Energy Model for Nucleic Acids: Simulations of Single-Stranded DNA
and the Entropy Landscape of RNA Stem-Loop Structures.
AB - While single-stranded (ss) segments of DNAs and RNAs are ubiquitous in biology,
details about their structures have only recently begun to emerge. To study ssDNA
and RNAs, we have developed a new Monte Carlo (MC) simulation using a free energy
model for nucleic acids that has the atomisitic accuracy to capture fine
molecular details of the sugar-phosphate backbone. Formulated on the basis of a
first-principle calculation of the conformational entropy of the nucleic acid
chain, this free energy model correctly reproduced both the long and short length
scale structural properties of ssDNA and RNAs in a rigorous comparison against
recent data from fluorescence resonance energy transfer, small-angle X-ray
scattering, force spectroscopy and fluorescence correlation transport
measurements on sequences up to ~100 nucleotides long. With this new MC
algorithm, we conducted a comprehensive investigation of the entropy landscape of
small RNA stem-loop structures. From a simulated ensemble of ~10(6) equilibrium
conformations, the entropy for the initiation of different size RNA hairpin loops
was computed and compared against thermodynamic measurements. Starting from
seeded hairpin loops, constrained MC simulations were then used to estimate the
entropic costs associated with propagation of the stem. The numerical results
provide new direct molecular insights into thermodynaimc measurement from
macroscopic calorimetry and melting experiments.
PMID- 26548373
TI - Post-Translational Regulation of FLOWERING LOCUS T Protein in Arabidopsis.
PMID- 26548374
TI - Time-dependent simulation and analytical modelling of electronic Mach-Zehnder
interferometry with edge-states wave packets.
AB - We compute the exact single-particle time-resolved dynamics of electronic Mach
Zehnder interferometers based on Landau edge-states transport, and assess the
effect of the spatial localization of carriers on the interference pattern. The
exact carrier dynamics is obtained by solving numerically the time-dependent
Schrodinger equation with a suitable 2D potential profile reproducing the
interferometer design. An external magnetic field, driving the system to the
quantum Hall regime with filling factor one, is included. The injected carriers
are represented by a superposition of edge states, and their interference pattern
controlled via magnetic field and/or area variation-reproduces the one of (Ji et
al 2003 Nature 422 415). By tuning the system towards different regimes, we find
two additional features in the transmission spectra, both related to carrier
localization, namely a damping of the Aharonov-Bohm oscillations with increasing
difference in the arms length, and an increased mean transmission that we trace
to the energy-dependent transmittance of quantum point contacts. Finally, we
present an analytical model, also accounting for the finite spatial dispersion of
the carriers, able to reproduce the above effects.
PMID- 26548375
TI - A comparative approach to assess drivers of success in mammalian conservation
recovery programs.
AB - The outcomes of species recovery programs have been mixed; high-profile
population recoveries contrast with species-level extinctions. Each conservation
intervention has its own challenges, but to inform more effective management it
is imperative to assess whether correlates of wider recovery program success or
failure can be identified. To contribute to evidence-based improvement of future
conservation strategies, we conducted a global quantitative analysis of 48
mammalian recovery programs. We reviewed available scientific literature and
conducted semistructured interviews with conservation professionals involved in
different recovery programs to investigate ecological, management, and political
factors associated with population recoveries or declines. Identifying and
removing threats was significantly associated with increasing population trend
and decreasing conservation dependence, emphasizing that populations are likely
to continue to be compromised in the absence of effective threat mitigation and
supporting the need for threat monitoring and adaptive management in response to
new and potential threats. Lack of habitat and small population size were cited
as limiting factors in 56% and 42% of recovery programs, respectively, and both
were statistically associated with increased longer term dependence on
conservation intervention, demonstrating the importance of increasing population
numbers quickly and restoring and protecting habitat. Poor stakeholder
coordination and management were also regularly cited by respondents as key
weaknesses in recovery programs, indicating the importance of effective
leadership and shared goals and management plans. Project outcomes were not
influenced by biological or ecological variables such as body mass or habitat,
which suggests that these insights into correlates of conservation success and
failure are likely to be generalizable across mammals.
PMID- 26548376
TI - gamma-Fe2O3 Nanocrystalline Microspheres with Hybrid Behavior of Battery
Supercapacitor for Superior Lithium Storage.
AB - Maghemite (gamma-Fe2O3) nanocrystalline microspheres (MNMs) self-assembled with
52 nm nanocrystals bridged with FeOOH around grain boundaries were formed by
solvothermal reaction and thermal oxidation. The unique architecture endows the
MNMs with the lithium storage behavior of a hybrid battery-supercapacitor
electrode: initial charge capacity of 1060 mAh g(-1) at the 100 mA g(-1) rate,
stable cyclic capacity of 1077.9 mAh g(-1) at the same rate after 140 cycles, and
rate capability of 538.8 mAh g(-1) at 2400 mA g(-1). This outstanding performance
was attributed to the nanocrystal superiority, which shortens the Li(+) diffusion
paths. The mechanism of this hybrid anode material was investigated with
experimental measurements and structural analysis. The results indicate that at
the first discharge, the MNM nanocrystal microsphere, whose structure can buffer
the volume change that occurs during lithiation/delithiation, goes through four
stages: Li(+) insertion in cation vacancies, spinel-to-rocksalt transformation,
Li(+) intercalation of Li(1.75+x)Fe2O3 nanocrystals, and interfacial Li storage
around nanocrystal boundaries. Only the latter two stages were reversible at and
after the second charging/discharging cycle, exhibiting the hybrid behavior of a
battery-supercapacitor with superior lithium storage.
PMID- 26548377
TI - Sexual dimorphism of facial appearance in ageing human adults: A cross-sectional
study.
AB - In the forensic sciences, knowledge of facial ageing is very important in
searching for both dead and living individuals. Ageing estimations typically
model the biological profile, which can be compared to missing persons. The main
goals of this current study were to construct ageing trajectories for adult human
faces of both sexes and evaluate sexual dimorphism in relation to static
allometry. Our study was based on the analysis of three-dimensional facial
surface models of 194 individuals 20-80 years of age. The evaluation consisted of
a dense correspondence analysis of facial scans and multivariate statistics. It
was shown that both age and sex have a significant influence on facial form and
shape. Male features included a longer face, with more protruded foreheads,
eyebrow ridges and nose, including the region under the upper lip and mandible
region, but more retruded cheeks compared to females. Ageing in both sexes shared
common traits, such as more pronounced roundness of the face (rectangular in
males), decreased facial convexity, increased visibility of skin folds and
wrinkles connected with the loss of skin elasticity, and soft tissue stretching,
especially in the orbital area and lower face; however, male faces exhibited more
intense ageing changes. The above-mentioned sexual dimorphic traits tended to
diminish in the elderly age category, though overall sexual dimorphism was
heightened with age. The static allometric relationships between size and form or
shape were similar in both sexes, except that the larger faces of elderly males
displayed more intensive ageing changes.
PMID- 26548378
TI - Glutathione S-Transferase M1 and T1 Gene Polymorphisms and the Outcome of Chronic
Hepatitis C Virus Infection in Egyptian Patients.
AB - We analysed the distribution of GSTM1 and GSTT1 gene polymorphisms in Egyptian
patients with chronic hepatitis C, and investigated their relationship to the
clinical outcome of chronic hepatitis C virus (HCV) infection. This study
included 169 patients with chronic HCV infection and 145 healthy and matched
controls.GSTM1 and GSTT1 polymorphisms were genotyped by multiplex polymerase
chain reaction. Individual GSTM1 null and GSTT1 null genotypes were more frequent
in patients versus control subjects [OR, 4 (95% CI, 2.5-6.4); P ? 0.001] and [OR,
1.7 (95% CI, 1.1-2.6); P = 0.025], respectively. The patient group showed a
higher frequency of the combined GSTM1/GSTT1 double-null genotype than the
control group [OR, 1.8 (95% CI, 1.1-2.9); P = 0.016]. The distribution
frequencies of the combined GSTM1/GSTT1 double-null genotype were significantly
different [OR, 0.5 (95% CI, 0.25-0.99); P = 0.049] between F0-F3 and F4. There
were no significant differences between the two groups with regard to other
genotypes. The combined GSTM1/GSTT1 double-null genotype was significantly
increased in Child-Pugh C patients in comparison to Child-Pugh A+B (P = 0.02).
There was no significant difference between different classes with regard to
other genotypes. In conclusion, we identified an association between the combined
GSTM1/GSTT1 double-null genotype and advanced liver fibrosis and outcome of
chronic HCV infection in Egyptian patients.
PMID- 26548379
TI - The prevalence of type 2 diabetes among older people in Africa: a systematic
review.
AB - Little information is available on the prevalence of diabetes in people aged 55
years or older living on the African continent. We did a systematic review of the
prevalence of type 2 diabetes in studies reported from Jan 1, 2000, to June 30,
2015, to provide accurate data for monitoring future trends. We did a
comprehensive literature search using an African search filter and extracted and
synthesised data from full papers. Among 1473 identified citations, 41 studies
providing 49 separate data contributions involving 16 086 individuals met the
inclusion criteria. The overall prevalence of diabetes was 13.7% (95% CI 11.3
16.3) and was higher in studies based on the oral glucose tolerance test (23.9%,
17.7-30.7, 12 contributions with 3415 participants) than fasting blood glucose
criteria (10.9%, 8.9-13.0, 37 contributions with 12 671 participants; p<0.001).
Prevalence was also higher in non-STEPS than in STEPS studies (17.1%, 95% CI 13.6
20.9) vs 9.6%, 6.6-13.0, p=0.003) and in urban than in rural settings (19.7%,
15.0-24.9 vs 7.9%, 4.6-12.0, p=0.0002), but did not differ significantly across
age groups, sex, sample size, year of publication, region, or population
coverage. These data highlight the need to reduce diabetes risk factors and
implement adequate management strategies. In addition, they suggest that uniform
diagnostic methods should be used across African countries and elsewhere to
enable assessment of trends in diabetes prevalence and the success of diabetes
prevention strategies. A collaborative initiative is required between key
international and national diabetes and geriatric organisations to improve
diabetes care for the older population in Africa and worldwide.
PMID- 26548380
TI - Efficacy, Tolerability, and Safety of Cannabinoid Treatments in the Rheumatic
Diseases: A Systematic Review of Randomized Controlled Trials.
AB - OBJECTIVE: To assess the efficacy, tolerability, and safety of cannabinoids
(phyto- and syntheto-) in the management of rheumatic diseases. METHODS: Multiple
databases, including Medline, Embase, and CENTRAL, were searched. Randomized
controlled trials with outcomes of pain, sleep, quality of life, tolerability
(dropouts due to adverse events), and safety (serious adverse events), with
comparison of cannabinoids with any type of control, were included. Study
methodology quality was evaluated with the Cochrane risk of bias tool. RESULTS:
In 4 short-term studies comprising 203 patients (58 with rheumatoid arthritis, 71
with fibromyalgia, and 74 with osteoarthritis [OA]), cannabinoids had a
statistically significant effect on pain in 2, sleep in 2, and improved quality
of life in 1, with the OA study prematurely terminated due to futility. The risk
of bias was high for all 3 completed studies. Dizziness, cognitive problems, and
drowsiness, as well as nausea, were reported for almost half of the patients. No
serious adverse events were reported for cannabinoids during the study duration.
No studies of herbal cannabis were identified. CONCLUSION: Extremely small sample
sizes, short study duration, heterogeneity of rheumatic conditions and products,
and absence of studies of herbal cannabis allow for only limited conclusions for
the effects of cannabinoids in rheumatic conditions. Pain relief and effect on
sleep may have some potential therapeutic benefit, but with considerable mild to
moderate adverse events. There is currently insufficient evidence to recommend
cannabinoid treatments for management of rheumatic diseases pending further
study.
PMID- 26548381
TI - Supramolecular Assembly of Poly(propyleneimine) Dendrimers Driven By Simple
Monovalent Counterions.
AB - The self-assembly of semiglobular, positively charged poly(propyleneimine) (PPI)
dendrimers with small monovalent counterions (e.g., Cl(-)) in water/acetone
mixtures was investigated. We showed that PPI dendrimers can assemble into
hollow, spherical, single-layered blackberry-type structures mediated by the
presence of monovalent counterions. The effects on the assembly of changing the
solvent polarity and adjusting the pH were further investigated to confirm the
presence of electrostatic interactions and hydrogen bonding as the driving
forces. Results showed that PPI dendrimers form stable, hollow spheres in 5-20%
v/v acetone/water and that the size of the spheres decreases monotonically as the
solvent polarity and/or the charge on the dendrimers (i.e., lower solution pH)
increases. This is the first example to show that small monovalent counterions
can trigger attraction among PPI dendrimers (or broadly defined polyelectrolytes)
that is strong enough to bring them together to form large, stable supramolecular
assemblies, which indicates that these organic macroions have similar solution
behavior to more-well-defined inorganic molecular macroions.
PMID- 26548382
TI - [Nurses are essential to care for refugees].
PMID- 26548383
TI - [Patient's desire to live needs to be taken into account].
PMID- 26548384
TI - [Depression and its non-drug treatments].
AB - The history of depression has evolved over the centuries as have its treatments,
and we are now witnessing the emergence of non-drug treatments. The need for the
continuous improvement of knowledge and care practices in the area of mood
disorders is one of the key issues.
PMID- 26548385
TI - [Non-drug therapies, working on emotions].
AB - Cognitive behavioural therapies are indicated for people in mental pain and also
recommended in the treatment of a variety of psychological disorders. The aim is
to replace the inappropriate behaviour by more adapted behaviour. Positive
psychology is interested not so much in mental health disorders as in well-being
and happiness. A variety of therapeutic trends which the caregiver can use to
help and support patients in regaining their bearings.
PMID- 26548386
TI - [Treating depression through mindfulness-based cognitive therapy].
AB - Mindfulness is the art of being fully present in what we are doing, without
judgement and without expectation. A therapeutic education programme was created
in the 1990s in order to combat depression and generalised anxiety disorder. In
France, this programme will be used in psychiatry. Meditation and psychoeducation
will enable patients with depression to gradually establish a detachment with
regard to the psychological and emotional content.
PMID- 26548387
TI - [Energy and emotion in mental health through martial arts].
AB - A patient's arrival in a mental health unit corresponds to a profound malaise in
their life. Admission to hospital leads the patient to be cut off from their
environment but is also the opportunity for thinking and reconstruction. A
workshop based on martial arts enables patients to rediscover their body,
verbalise their suffering and regain self-confidence.
PMID- 26548388
TI - [Transcranial magnetic stimulation used in psychiatry].
AB - Repetitive transcranial magnetic stimulation is a non-invasive treatment
technique, using electromagnetism properties. It has been used for around twenty
years in neurology (treatment of neuropathic pain, certain abnormal movements,
Parkinson's disease), and in psychiatry (obsessive compulsive disorder,
hallucinations, mood disorders, etc.). The presence and support of a nurse during
the sessions is essential.
PMID- 26548389
TI - [Ethical questioning at the heart of clinical reflection].
AB - Ethical questioning within a team contributes to the personalisation of care.
This approach has had a strong presence in the career of Sebastien Kibler, a
nurse manager, and forms the basis for his day-to-day clinical thinking.
PMID- 26548390
TI - [My Hospi Friends, a social network for patients].
AB - Making the hospital stay as agreeable as possible by fighting against patients'
isolation and loneliness is not easy. In order to make the hospital more
hospitable, Foch Hospital in Suresnes has chosen to focus on patient well-being.
The initiative of a young entrepreneur has helped to support the hospital in this
approach.
PMID- 26548391
TI - [Guinea: the army nurses caring for health workers infected with Ebola].
AB - In the first half of 2015, army nurses from the French Army, worked with the
Conakry health worker treatment centre in Guinea. Their aim was to save the lives
of health workers risking their lives in the fight against the Ebola epidemic.
This article describes a mission which proved memorable both on a human and
professional level.
PMID- 26548392
TI - [Bariatric surgery and patient therapeutic education].
AB - Weight loss surgery or "bariatric surgery", used in cases of severe obesity, is a
complex procedure aiming to reduce food intake. An increasingly accessible
technique, it requires a long postoperative follow-up and a change in eating
habits. Patient therapeutic education encourages the patient to become a player
in their care.
PMID- 26548393
TI - [Non-invasive ventilation and acute cardiogenic pulmonary oedema].
AB - Non-invasive ventilation is an integral part of therapies used in patients
presenting acute cardiogenic pulmonary oedema. In cardiac intensive care, these
patients are treated by teams trained and practised in this technique. The nurses
play a central role in the support and monitoring of the patients.
PMID- 26548394
TI - [Blood glucose monitoring: teach the patient good practices].
PMID- 26548395
TI - Giving a Newborn a Bath in her Parents' Presence.
AB - Today, Sophie is working on the maternity ward. She is going to give Manon, David
and Laura's first born, a bath. Manon was born on the day before. She weighs
3.350kg and is 49cm long. She has already got a lot of fuzzy brown hair. Both
parents are looking forward to watching and learning how to care for their new
baby.
PMID- 26548396
TI - [Explore the doctor-patient relationship through a 'voice' workshop].
PMID- 26548397
TI - [The nurse and a wound management].
PMID- 26548398
TI - [The nurse and the diagnosis of fungal infections of the respiratory system].
PMID- 26548399
TI - Influence of an Inorganic Interlayer on Exciton Separation in Hybrid Solar Cells.
AB - It has been shown that in hybrid polymer-inorganic photovoltaic devices not all
the photogenerated excitons dissociate at the interface immediately, but can
instead exist temporarily as bound charge pairs (BCPs). Many of these BCPs do not
contribute to the photocurrent, as their long lifetime as a bound species
promotes various charge carrier recombination channels. Fast and efficient
dissociation of BCPs is therefore considered a key challenge in improving the
performance of polymer-inorganic cells. Here we investigate the influence of an
inorganic energy cascading Nb2O5 interlayer on the charge carrier recombination
channels in poly(3-hexylthiophene-2,5-diyl) (P3HT)-TiO2 and PbSe colloidal
quantum dot-TiO2 photovoltaic devices. We demonstrate that the additional Nb2O5
film leads to a suppression of BCP formation at the heterojunction of the P3HT
cells and also a reduction in the nongeminate recombination mechanisms in both
types of cells. Furthermore, we provide evidence that the reduction in
nongeminate recombination in the P3HT-TiO2 devices is due in part to the
passivation of deep midgap trap states in the TiO2, which prevents trap-assisted
Shockley-Read-Hall recombination. Consequently a significant increase in both the
open-circuit voltage and the short-circuit current was achieved, in particular
for P3HT-based solar cells, where the power conversion efficiency increased by
39%.
PMID- 26548400
TI - Predicting 30-day Hospital Readmission with Publicly Available Administrative
Database. A Conditional Logistic Regression Modeling Approach.
AB - INTRODUCTION: This article is part of the Focus Theme of Methods of Information
in Medicine on "Big Data and Analytics in Healthcare". BACKGROUND: Hospital
readmissions raise healthcare costs and cause significant distress to providers
and patients. It is, therefore, of great interest to healthcare organizations to
predict what patients are at risk to be readmitted to their hospitals. However,
current logistic regression based risk prediction models have limited prediction
power when applied to hospital administrative data. Meanwhile, although decision
trees and random forests have been applied, they tend to be too complex to
understand among the hospital practitioners. OBJECTIVES: Explore the use of
conditional logistic regression to increase the prediction accuracy. METHODS: We
analyzed an HCUP statewide inpatient discharge record dataset, which includes
patient demographics, clinical and care utilization data from California. We
extracted records of heart failure Medicare beneficiaries who had inpatient
experience during an 11-month period. We corrected the data imbalance issue with
under-sampling. In our study, we first applied standard logistic regression and
decision tree to obtain influential variables and derive practically meaning
decision rules. We then stratified the original data set accordingly and applied
logistic regression on each data stratum. We further explored the effect of
interacting variables in the logistic regression modeling. We conducted cross
validation to assess the overall prediction performance of conditional logistic
regression (CLR) and compared it with standard classification models. RESULTS:
The developed CLR models outperformed several standard classification models
(e.g., straightforward logistic regression, stepwise logistic regression, random
forest, support vector machine). For example, the best CLR model improved the
classification accuracy by nearly 20% over the straightforward logistic
regression model. Furthermore, the developed CLR models tend to achieve better
sensitivity of more than 10% over the standard classification models, which can
be translated to correct labeling of additional 400 - 500 readmissions for heart
failure patients in the state of California over a year. Lastly, several key
predictor identified from the HCUP data include the disposition location from
discharge, the number of chronic conditions, and the number of acute procedures.
CONCLUSIONS: It would be beneficial to apply simple decision rules obtained from
the decision tree in an ad-hoc manner to guide the cohort stratification. It
could be potentially beneficial to explore the effect of pairwise interactions
between influential predictors when building the logistic regression models for
different data strata. Judicious use of the ad-hoc CLR models developed offers
insights into future development of prediction models for hospital readmissions,
which can lead to better intuition in identifying high-risk patients and
developing effective post-discharge care strategies. Lastly, this paper is
expected to raise the awareness of collecting data on additional markers and
developing necessary database infrastructure for larger-scale exploratory studies
on readmission risk prediction.
PMID- 26548401
TI - IL-8, a novel messenger to cross-link inflammation and tumor EMT via autocrine
and paracrine pathways (Review).
AB - The epithelial-mesenchymal transition (EMT) is a process through which epithelial
cells trans-differentiate and acquire an aggressive mesenchymal phenotype. In
tumor cells, EMT is a vital step of tumor progression and metastasis. Amid the
increasing interest in tumor EMT, only a few studies focused on the soluble
mediators secreted by tumor cells passing through this phenotypic switch. In this
review, we focus on the essential role of interleukin-8 (IL-8) signaling for the
acquisition and maintenance of tumor EMT via direct and indirect mechanisms.
Besides the autocrine loop between IL-8 and tumor cells that have gone through
EMT, IL-8 could potentiate adjacent epithelial tumor cells into a mesenchymal
phenotype via a paracrine mode. Moreover, understanding the role of IL-8 in EMT
will provide insight into the pathogenesis of tumor progression and may
facilitate the development of an effective strategy for the prevention and
treatment of metastatic cancer.
PMID- 26548402
TI - Low bandgap semiconducting polymers for polymeric photovoltaics.
AB - In order to develop high performance polymer solar cells (PSCs), full
exploitation of the sun-irradiation from ultraviolet (UV) to near infrared (NIR)
is one of the key factors to ensure high photocurrents and thus high efficiency.
In this review, five of the effective design rules for approaching LBG
semiconducting polymers with high molar absorptivity, suitable energy levels,
high charge carrier mobility and high solubility in organic solvents are
overviewed. These design stratagems include fused heterocycles for facilitating
pi-electron flowing along the polymer backbone, groups/atoms bridging adjacent
rings for maintaining a high planarity, introduction of electron-withdrawing
units for lowering the bandgap (Eg), donor-acceptor (D-A) copolymerization for
narrowing Eg and 2-dimensional conjugation for broadened absorption and enhanced
hole mobility. It has been demonstrated that LBG semiconducting polymers based on
electron-donor units combined with strong electron-withdrawing units possess
excellent electronic and optic properties, emerging as excellent candidates for
efficient PSCs. While for ultrasensitive photodetectors (PDs), which have
intensive applications in both scientific and industrial sectors, sensing from
the UV to the NIR region is of critical importance. For polymer PDs, Eg as low as
0.8 eV has been obtained through a rational design stratagem, covering a broad
wavelength range from the UV to the NIR region (1450 nm). However, the response
time of the polymer PDs are severely limited by the hole mobility of LBG
semiconducting polymers, which is significantly lower than those of the inorganic
materials. Thus, further advancing the hole mobility of LBG semiconducting
polymers is of equal importance as broadening the spectral response for
approaching uncooled ultrasensitive broadband polymer PDs in the future study.
PMID- 26548403
TI - Cerebral asymmetry for language: Comparing production with comprehension.
AB - Although left-hemispheric damage can impair both the production and comprehension
of language, it has been claimed that comprehension is more bilaterally
represented than is production. A variant of this theme is based on the theory
that different aspects of language are processed by a dorsal stream, responsible
for mapping words to articulation, and a ventral stream for processing input for
meaning. Some have claimed that the dorsal stream is left-hemispheric, while the
ventral stream is bilaterally organized. We used fMRI to record activation while
left- and right-handed participants performed covert word-generation task and
judged whether word pairs were synonyms. Regions of interest were Broca's area as
part of the dorsal stream and the superior and middle temporal gyri as part of
the ventral stream. Laterality indices showed equal left-hemispheric
lateralization in Broca's area for word generation and both Broca's area and
temporal lobe for the synonym judgments. Handedness influenced laterality equally
in each area and task, with right-handers showing stronger left-hemispheric
dominance than left-handers. Although our findings provide no evidence that
asymmetry is more pronounced for production than for comprehension, correlations
between the tasks and regions of interest support the view that lateralization in
the temporal lobe depends on feedback influences from frontal regions.
PMID- 26548405
TI - Effect of Nutritional Intervention on Food Choices of French Students in Middle
School Cafeterias, Using an Interactive Educational Software Program (Nutri
Advice).
AB - OBJECTIVE: To evaluate the impact of interactive Nutri-Advice kiosks on
children's nutritional skills and their ability to apply it to food choices in a
middle school cafeteria menu (food choice competencies). DESIGN: Quasi
experimental design; pre/post-test. SETTING: Freestanding interactive computer
terminals (kiosks) were installed in three middle schools in Toulouse, France.
PARTICIPANTS: A total of 580 children were enrolled into the study (mean age, 13
+/- 1 years). INTERVENTION: Each child's physiological profile was stored in a
personal barcode card. During 1 school year, once a day, each child could access
the kiosk with this card, trying to find the most balanced meal according to his
or her profile and the food available on the cafeteria menu. MAIN OUTCOME
MEASURES: Children's food choice competency changes and body mass index z-score
were evaluated. ANALYSIS: Significance of change in food choice competencies
(postintervention vs baseline) was examined using paired t test. RESULTS: Across
the study, children chose significantly less cheese and pastry or desserts, and
significantly more starchy food and dairy, and tended to choose fruits and
vegetables more often. Body mass index z-score decreased significantly during the
period. CONCLUSIONS AND IMPLICATIONS: Personalized nutrition counseling through
an interactive device has the potential to improve the food choice competencies
of children.
PMID- 26548404
TI - Developmental exposure to a complex PAH mixture causes persistent behavioral
effects in naive Fundulus heteroclitus (killifish) but not in a population of PAH
adapted killifish.
AB - Acute exposures to some individual polycyclic aromatic hydrocarbons (PAHs) and
complex PAH mixtures are known to cause cardiac malformations and edema in the
developing fish embryo. However, the heart is not the only organ impacted by
developmental PAH exposure. The developing brain is also affected, resulting in
lasting behavioral dysfunction. While acute exposures to some PAHs are
teratogenically lethal in fish, little is known about the later life consequences
of early life, lower dose subteratogenic PAH exposures. We sought to determine
and characterize the long-term behavioral consequences of subteratogenic
developmental PAH mixture exposure in both naive killifish and PAH-adapted
killifish using sediment pore water derived from the Atlantic Wood Industries
Superfund Site. Killifish offspring were embryonically treated with two low-level
PAH mixture dilutions of Elizabeth River sediment extract (ERSE) (TPAH 5.04 MUg/L
and 50.4 MUg/L) at 24h post fertilization. Following exposure, killifish were
raised to larval, juvenile, and adult life stages and subjected to a series of
behavioral tests including: a locomotor activity test (4 days post-hatch), a
sensorimotor response tap/habituation test (3 months post hatch), and a novel
tank diving and exploration test (3months post hatch). Killifish were also
monitored for survival at 1, 2, and 5 months over 5-month rearing period.
Developmental PAH exposure caused short-term as well as persistent behavioral
impairments in naive killifish. In contrast, the PAH-adapted killifish did not
show behavioral alterations following PAH exposure. PAH mixture exposure caused
increased mortality in reference killifish over time; yet, the PAH-adapted
killifish, while demonstrating long-term rearing mortality, had no significant
changes in mortality associated with ERSE exposure. This study demonstrated that
early embryonic exposure to PAH-contaminated sediment pore water caused long-term
locomotor and behavioral alterations in killifish, and that locomotor alterations
could be observed in early larval stages. Additionally, our study highlights the
resistance to behavioral alterations caused by low-level PAH mixture exposure in
the adapted killifish population. Furthermore, this is the first longitudinal
behavioral study to use killifish, an environmentally important estuarine teleost
fish, and this testing framework can be used for future contaminant assessment.
PMID- 26548406
TI - A novel aptasensor for thrombin detection based on alkaline phosphatase decorated
ZnO/Pt nanoflowers as signal amplifiers.
AB - To remedy the problems caused by the introduction of an additional electron
mediator and realize signal amplification, a new strategy has been presented to
construct an electrochemical aptasensor for thrombin detection based on the
cascade electrocatalysis of alkaline phosphatase (ALP) and Pt nanoparticle (PtNP)
functionalized ZnO nanoflowers.
PMID- 26548407
TI - Intracellular host-guest assembly of gold nanoparticles triggered by glutathione.
AB - A simple method to achieve host-guest assembly of gold nanoparticles triggered by
intracellular glutathione was demonstrated. The increased size of nanoparticles
not only enhanced their retention time within cancer cells, but also induced
apoptosis. This strategy may open an avenue for the development of smart
nanocarriers for intracellular diagnosis and therapy.
PMID- 26548408
TI - Translational Research: Linking Research With Practice: Evidence to Improve the
Health of Children.
PMID- 26548409
TI - Irritable bowel syndrome is associated not only with organic but also psychogenic
erectile dysfunction.
AB - This study investigated the correlation between irritable bowel syndrome (IBS)
and organic erectile dysfunction (OED) and psychogenic erectile dysfunction
(PED), and analyzed the influence of various comorbidities. Data were obtained
from reimbursement claims of the National Health Insurance Program in Taiwan. We
selected male patients aged >20 years, who were diagnosed with IBS during the
2000-2011 period as the IBS cohort. The index date for patients with IBS was the
date of their first medical visit. We excluded patients with a diagnosis of OED
and PED at baseline and those without information on age and sex. The IBS to non
IBS cohorts were estimated using univariable and multivariable Cox proportional
hazards regression model. Adjusted hazard ratios were determined after adjusting
for age and comorbidities. The Kaplan-Meier method was used to plot the
cumulative incidence of OED and PED, and a log-rank test was used to compare the
cohorts. A total of 15,533 IBS patients and 62,124 controls without IBS were
enrolled in our study. Among the study participants, 48.2% were 49 years of age
or younger. Patients with IBS were more likely to develop erectile dysfunction
(ED) than those without IBS. Patients with IBS were 2.12 times more likely to
develop OED and 2.38 times more likely to develop PED than the controls. There is
an increased risk of both PED and OED in patients with IBS. Not only with organic
but also PED should be considered when patients with IBS complain of ED.
PMID- 26548410
TI - Platelet indices in vasculogenic erectile dysfunction.
PMID- 26548411
TI - Exploring the mechanism of how tvMyb2 recognizes and binds ap65-1 by molecular
dynamics simulations and free energy calculations.
AB - TvMyb2, one of the Myb-like transcriptional factors in Trichomonas vaginalis,
binds to two closely spaced promoter sites, MRE-1/MRE-2r and MRE-2f, on the ap65
1 gene. However, detailed dynamical structural characteristics of the tvMyb2-ap65
1 complex and a detailed study of the protein in the complex have not been done.
Focused on a specific tvMyb2-MRE-2-13 complex (PDB code: ) and a series of
mutants K51A, R84A and R87A, we applied molecular dynamics (MD) simulation and
molecular mechanics generalized Born surface area (MM-GBSA) free energy
calculations to examine the role of the tvMyb2 protein in recognition
interaction. The simulation results indicate that tvMyb2 becomes stable when it
binds the DNA duplex. A series of mutants, K51A, R84A and R87A, have been
followed, and the results of statistical analyses of the H-bond and hydrophobic
contacts show that some residues have significant influence on recognition and
binding to ap65-1 DNA. Our work gives important information to understand the
interactions of tvMyb2 with ap65-1.
PMID- 26548412
TI - Region-specific changes in presynaptic agmatine and glutamate levels in the aged
rat brain.
AB - During the normal aging process, the brain undergoes a range of biochemical and
structural alterations, which may contribute to deterioration of sensory and
cognitive functions. Age-related deficits are associated with altered efficacy of
synaptic neurotransmission. Emerging evidence indicates that levels of agmatine,
a putative neurotransmitter in the mammalian brain, are altered in a region
specific manner during the aging process. The gross tissue content of agmatine in
the prefrontal cortex (PFC) of aged rat brains is decreased whereas levels in the
temporal cortex (TE) are increased. However, it is not known whether these
changes in gross tissue levels are also mirrored by changes in agmatine levels at
synapses and thus could potentially contribute to altered synaptic function with
age. In the present study, agmatine levels in presynaptic terminals in the PFC
and TE regions (300 terminals/region) of young (3month; n=3) and aged (24month;
n=3) brains of male Sprague-Dawley rats were compared using quantitative post
embedding immunogold electron-microscopy. Presynaptic levels of agmatine were
significantly increased in the TE region (60%; p<0.001) of aged rats compared to
young rats, however no significant differences were detected in synaptic levels
in the PFC region. Double immunogold labeling indicated that agmatine and
glutamate were co-localized in the same synaptic terminals, and quantitative
analyses revealed significantly reduced glutamate levels in agmatine
immunopositive synaptic terminals in both regions in aged rats compared to young
animals. This study, for the first time, demonstrates differential effects of
aging on agmatine and glutamate in the presynaptic terminals of PFC and TE.
Future research is required to understand the functional significance of these
changes and the underlying mechanisms.
PMID- 26548413
TI - Metabotropic glutamate 5 receptor in the infralimbic cortex contributes to
descending pain facilitation in healthy and arthritic animals.
AB - The involvement of the prefrontal cortex in pain processing has been recently
addressed. We studied the role of the infralimbic cortex (IL) and group I
metabotropic glutamate receptors (mGluRs) in descending modulation of nociception
in control and monoarthritic (ARTH) conditions. Nociception was assessed using
heat-induced paw withdrawal while drugs were microinjected in the IL of rats.
Local anesthesia of the IL or the adjacent prelimbic cortex (PL) facilitated
nociception, indicating that IL and PL are tonically promoting spinal
antinociception. Phasic activation with glutamate (GLU) revealed opposing roles
of the PL and IL; GLU in the PL had a fast antinociceptive action, while in the
IL it had a slow onset pronociceptive action. IL administration of a local
anesthetic or GLU produced identical results in ARTH and control animals. An
mGluR5 agonist in the IL induced a pronociceptive effect in both groups, while
mGluR5 antagonists had no effect in controls but induced antinociception in ARTH
rats. Activation of the IL mGluR1 (through co-administration of mGluR1/5 agonist
and mGluR5 antagonist) did not alter nociception in controls but induced
antinociception in ARTH animals. IL administration of an mGluR1 antagonist failed
to alter nociception in either experimental group. Finally, mGluR5 but not mGluR1
antagonists blocked the pronociceptive action of GLU in both groups. The results
indicate that IL contributes to descending modulation of nociception. mGluR5 in
the IL enhance nociception in healthy control and monoarthritic animals, an
effect that is tonic in ARTH. Moreover, activation of IL mGluR1s attenuates
nociception following the development of monoarthritis.
PMID- 26548414
TI - Antihyperalgesic effect of tetrodotoxin in rat models of persistent muscle pain.
AB - Persistent muscle pain is a common and disabling symptom for which available
treatments have limited efficacy. Since tetrodotoxin (TTX) displays a marked
antinociceptive effect in models of persistent cutaneous pain, we tested its
local antinociceptive effect in rat models of muscle pain induced by
inflammation, ergonomic injury and chemotherapy-induced neuropathy. While local
injection of TTX (0.03-1 MUg) into the gastrocnemius muscle did not affect the
mechanical nociceptive threshold in naive rats, exposure to the inflammogen
carrageenan produced a marked muscle mechanical hyperalgesia, which was dose
dependently inhibited by TTX. This antihyperalgesic effect was still significant
at 24h. TTX also displayed a robust antinociceptive effect on eccentric exercise
induced mechanical hyperalgesia in the gastrocnemius muscle, a model of ergonomic
pain. Finally, TTX produced a small but significant inhibition of neuropathic
muscle pain induced by systemic administration of the cancer chemotherapeutic
agent oxaliplatin. These results indicate that TTX-sensitive sodium currents in
nociceptors play a central role in diverse states of skeletal muscle nociceptive
sensitization, supporting the suggestion that therapeutic interventions based on
TTX may prove useful in the treatment of muscle pain.
PMID- 26548415
TI - The effects of stress during early postnatal periods on behavior and hippocampal
neuroplasticity markers in adult male mice.
AB - Infancy is a critical period for brain development. Emerging evidence indicates
that stress experienced during that period can have long-term programming effects
on the brain and behavior. However, whether different time periods represent
different vulnerabilities to the programming of different neurobehavioral domains
is not yet known. Disrupted maternal care is known to interfere with
neurodevelopmental processes and may lead to the manifestation of behavioral
abnormalities in adulthood. Mouse dams confronted with insufficient
bedding/nesting material have been shown to provide fragmented maternal care to
their offspring. Here, we compared the impact of this model of early-life stress
(ELS) during different developmental periods comprising either postnatal days
(PNDs) 2-9 (ELS-early) or PND 10-17 (ELS-late) on behavior and hippocampal cell
adhesion molecules in male mice in adulthood. ELS-early treatment caused a
permanent reduction in bodyweight, whereas this reduction only occurred
transiently during juvenility in ELS-late mice. Anxiety was only affected in ELS
late mice, while cognition and sociability were equally impaired in both ELS
treated groups. We analyzed hippocampal gene expression of the gamma2 subunit of
the GABAa receptor (Gabrg2) and of genes encoding cell adhesion molecules. Gabrg2
expression was increased in the ventral hippocampus in ELS-late-treated animals
and was correlated with anxiety-like behavior in the open-field (OF) test. ELS
early-treated animals exhibited an increase in nectin-1 expression in the dorsal
hippocampus, and this increase was associated with the social deficits seen in
these animals. Our findings highlight the relevance of developmental age on
stress-induced long-term behavioral alterations. They also suggest potential
links between early stress-induced alterations in hippocampal Gabrg2 expression
and the developmental programming of anxiety and between changes in hippocampal
nectin-1 expression and stress-induced social impairments.
PMID- 26548416
TI - Metformin inhibits hepatocellular glucose, lipid and cholesterol biosynthetic
pathways by transcriptionally suppressing steroid receptor coactivator 2 (SRC-2).
AB - The ability of the anti-diabetic drug metformin to inhibit anabolic processes
including gluconeogenesis and lipogenesis is partly attributable to activation of
the AMP-activated protein kinase (AMPK) pathway. The p160 steroid receptor
coactivator 2 (SRC-2) is a key regulator of cellular metabolism and drives
expression of the gluconeogenic enzyme glucose-6-phosphatase (G6Pc). Here, we
uncovered a role for SRC-2 in the metabolic reprogramming imposed by metformin.
In FaO cells, metformin dose-dependently reduced mRNA expression of SRC-2.
Microarray analysis of metformin-treated cells revealed an overrepresentation of
downregulated genes involved in biosynthesis of lipids and cholesterol. Several
metformin-regulated genes including fatty acid synthase (FASN) were validated as
transcriptional targets of SRC-2 with promoters characterized by sterol
regulatory element (SRE) binding protein (SREBP) recognition sequences.
Transactivation assays of the FASN promoter confirmed that SRC-2 is a coactivator
of SREBP-1. By suppressing SRC-2 at the transcriptional level, metformin impeded
recruitment of SRC-2 and RNA polymerase II to the G6Pc promoter and to SREs of
mutual SRC-2/SREBP-1 target gene promoters. Hepatocellular fat accretion was
reduced by metformin or knock-down of both SRC-2 and SREBP-1. Accordingly we
propose that metformin inhibits glucose and lipid biosynthesis partly by
downregulating SRC-2 gene expression.
PMID- 26548418
TI - Significance of TGFBR3 allelic loss in the deregulation of TGFbeta signaling in
primary human endometrial carcinomas.
AB - Downregulation of betaglycan (beta-glycan) [transforming growth factor beta
receptor type III (TGFbetaR3)], which belongs to co-receptors of the TGFbeta
pathway, occurs in a broad spectrum of primary human malignancies. However, in
the case of endometrial cancer (EC), the mechanisms responsible for genetic
alterations are still unknown. Therefore, we investigated allelic imbalance at
the TGFBR3 locus (1p33-p32) in the context of beta-glycan mRNA and protein
expression, as a possible genetic event determining beta-glycan deregulation in
EC patients. Study of beta-glycan allelic imbalance in 48 primary human ECs was
performed with the use of three different microsatellite markers, spanned within
or in direct proximity to the TGFBR3 locus. Real-time PCR and western blotting
were used for beta-glycan mRNA and protein quantification methods, respectively.
Altogether, 25 of 39 (64%) informative cases and 25 of 48 (52%) of all specimens
showed allelic imbalance in at least one microsatellite marker, concomitantly
with decrease at both the beta-glycan transcript and protein levels.
Interestingly, 54% (15/28), 36% (8/22) and 35% (7/20) of informative ECs
displayed allelic loss in D1S188, D1S435 and D1S1588 microsatellite markers,
respectively. It is worth pointing out that 5 out of 39 (13%) informative cases
showed loss of heterozygosity (LOH) at two microsatellite markers. Microsatellite
instability (MSI) was found in two markers, but to a very strictly limited
extent. None of the clinicoprognostic features was found to be of significance.
Our results suggest that LOH in the TGFBR3 locus may be one of the mechanisms
responsible for loss of beta-glycan expression. No correlation of LOH at the
TGFBR3 locus with clinicopathological parameters suggests that allelic imbalance
may be an early genetic event during neoplastic transformation of human
endometrium.
PMID- 26548417
TI - Application of dried blood spots to determine vitamin D status in a large
nutritional study with unsupervised sampling: the Food4Me project.
AB - An efficient and robust method to measure vitamin D (25-hydroxy vitamin D3
(25(OH)D3) and 25-hydroxy vitamin D2 in dried blood spots (DBS) has been
developed and applied in the pan-European multi-centre, internet-based,
personalised nutrition intervention study Food4Me. The method includes
calibration with blood containing endogenous 25(OH)D3, spotted as DBS and
corrected for haematocrit content. The methodology was validated following
international standards. The performance characteristics did not reach those of
the current gold standard liquid chromatography-MS/MS in plasma for all
parameters, but were found to be very suitable for status-level determination
under field conditions. DBS sample quality was very high, and 3778 measurements
of 25(OH)D3 were obtained from 1465 participants. The study centre and the season
within the study centre were very good predictors of 25(OH)D3 levels (P<0.001 for
each case). Seasonal effects were modelled by fitting a sine function with a
minimum 25(OH)D3 level on 20 January and a maximum on 21 July. The seasonal
amplitude varied from centre to centre. The largest difference between winter and
summer levels was found in Germany and the smallest in Poland. The model was
cross-validated to determine the consistency of the predictions and the
performance of the DBS method. The Pearson's correlation between the measured
values and the predicted values was r 0.65, and the sd of their differences was
21.2 nmol/l. This includes the analytical variation and the biological variation
within subjects. Overall, DBS obtained by unsupervised sampling of the
participants at home was a viable methodology for obtaining vitamin D status
information in a large nutritional study.
PMID- 26548420
TI - Retraction: Protective effects of bazedoxifene paired with conjugated estrogens
on pancreatic beta-cell dysfunction.
AB - This article has been retracted by the Editorial Committee of The Pharmaceutical
Society of Japan because it contains scientific misconduct. Although the data
published in this article were generated in part by the first author, the authors
violated authorship and sponsorship protocol.
PMID- 26548419
TI - Metformin inhibits early stage diethylnitrosamine-induced hepatocarcinogenesis in
rats.
AB - Antitumor effects of metformin have recently emerged despite its original use for
type II diabetes. In the present study, the effects of metformin on the
development and recurrence of hepatocellular carcinoma (HCC) were investigated
using the diethylnitrosamine (DEN)-induced rat model of HCC. Tumor foci were
characterized by gross examination and by histopathological characteristics,
including proliferation, hepatic progenitor cell content and the expression of
hepatocarcinoma-specific molecular markers. Potential target molecules of
metformin were investigated to determine the molecular mechanism underlying the
inhibitory effects of metformin on chemically induced liver tumorigenesis. The
antitumor effects of metformin were increased by the reduction of surface nodules
and decreased the incidence of altered hepatocellular foci, hepatocellular
adenoma and carcinoma. Also, decreased expression levels of glutathione S
transferase placental form, proliferating cell nuclear antigen and cytokeratin 8
described the inhibitory effects of metformin on HCC. In the present study,
Wistar rats receiving treatment with DEN were administered metformin for 16
weeks. In addition, metformin suppressed liver tumorigenesis via an AMPK
dependent pathway. These results suggested that metformin has promising effects
on the early stage of HCC in rats. Therefore, metformin may be used for the
prevention of HCC recurrence following primary chemotherapy for HCC and/or for
high-risk patients, including chronic hepatitis and cirrhosis.
PMID- 26548421
TI - Sexual Excitation/Sexual Inhibition Inventory (SESII-W/M): Adaptation and
Validation Within a Portuguese Sample of Men and Women.
AB - The SESII-W/M is a self-report measure assessing factors that inhibit and enhance
sexual arousal in men and women. The goal of this study was to adapt and validate
it in a sample of Portuguese men and women. A total of 1,723 heterosexual men and
women participated through a web survey, with ages ranging from 18 to 72 years
old (M = 36.05, SD = 11.93). The levels of internal consistency were considered
satisfactory in the first four factors, but not in Setting and Dyadic Elements of
the Sexual Interaction. Confirmatory factor analysis partially supported the six
factor, 30-item model, as factor loadings and squared multiple correlations
pointed to problems with items mainly loading on those two factors. General fit
indices were lower than the ones estimated by Milhausen, Graham, Sanders, Yarber,
and Maitland (2010). Psychometric sensitivity and construct validity were
adequate and gender differences were consistent with the original study. The six
factor, 30-item model was retained, but changes to the factors Setting and Dyadic
Elements of the Sexual Interaction, and their corresponding items, were
recommended in order to strengthen the measure.
PMID- 26548422
TI - The importance of postprandial glycemic control: optimizing add-on therapy to
basal insulin.
AB - Diabetes, mainly type 2 diabetes mellitus (T2DM), is associated with a growing
clinical and economic burden in the United States, which is expected to increase
in association with an aging population. Sufficient glycemic control in patients
with T2DM, in order to reduce the risk of micro- and macrovascular complications
associated with diabetes, is mediated by lifestyle modifications and a regimen of
increasingly intensive antidiabetes drugs. Several treatments and strategies are
available for primary care physicians to select from when choosing the most
appropriate therapy for their individual patients with T2DM, but, ultimately, due
to the progressive nature of the disease, most of these patients will require
insulin therapy to maintain glycemic control. Regimens containing basal and
postprandial insulins are widely used, but there is still widespread reluctance
to initiate insulin treatment due to fear of weight gain and hypoglycemia.
Furthermore, as patients approach recommended glycated hemoglobin targets,
postprandial hyperglycemia becomes the main contributor to hyperglycemic
exposure, necessitating the timely initiation of prandial treatment. Finally,
insulin treatment can be limited by factors like the number of injections,
mealtime restrictions, complex titration algorithms and patient adherence. Recent
developments in antidiabetes drug research have brought more convenient basal and
postprandial regimens closer. Clinical evaluation of the efficacy and safety of
basal insulins plus add-on glucagon-like peptide-1 receptor agonists (GLP-1 RAs)
has yielded promising results. Primary care physicians are continually challenged
to optimize insulin treatment strategies to maximize patient outcomes. Emerging
strategies such as long-acting basal insulin analogs and short-acting GLP-1 RAs
are particularly appealing to address this challenge.
PMID- 26548423
TI - Sotagliflozin as a potential treatment for type 2 diabetes mellitus.
AB - INTRODUCTION: SGLT1 is the primary transporter responsible for the absorption of
glucose and galactose in the intestine, while SGLT2 and SGLT1 are both involved
in the renal reabsorption of glucose. SGLT2 inhibitors are a new class of oral
antidiabetic drugs, acting by increasing urinary glucose excretion (UGE). They
offer the advantages of a reduced risk of hypoglycaemia, a decrease in body
weight and blood pressure and an efficacy at all stages of type 2 diabetes
(T2DM). AREAS COVERED: Herein, the authors focus specifically on sotagliflozin
(LX4211), the first-in-class dual SGLT1/SGLT2 inhibitor. Original publications in
English were selected as the basis of this review. Clinical trials were
identified using the Clinicaltrial.gov database. EXPERT OPINION: By a potential
additional mechanism of action on intestinal glucose absorption linked to SGLT1
inhibition, sotagliflozin differentiates from SGLT2 inhibitors by reducing
postprandial glucose excursion and insulin secretion, as well as by increasing
GLP-1 secretion. Despite a weaker effect on UGE than selective SGLT2 inhibitors,
sotagliflozin is as effective as SGLT2 inhibitors on HbA1C reduction, with a
similar safety profile in short-term studies. While sotagliflozin was first
assessed in T2DM, it is now in phase 3 development as an adjuvant treatment in
patients with T1DM after positive results from a pilot study.
PMID- 26548424
TI - Predictive validation of modeled health technology assessment claims: lessons
from NICE.
AB - The use of cost-effectiveness modeling to prioritize healthcare spending has
become a key foundation of UK government policy. Although the preferred method of
evaluation-cost-utility analysis-is not without its critics, it represents a
standard approach that can arguably be used to assess relative value for money
across a range of disease types and interventions. A key limitation of economic
modeling, however, is that its conclusions hinge on the input assumptions, many
of which are derived from randomized controlled trials or meta-analyses that
cannot be reliably linked to real-world performance of treatments in a broader
clinical context. This means that spending decisions are frequently based on
artificial constructs that may project costs and benefits that are significantly
at odds with those that are achievable in reality. There is a clear agenda to
carry out some form of predictive validation for the model claims, in order to
assess not only whether the spending decisions made can be justified post hoc,
but also to ensure that budgetary expenditure continues to be allocated in the
most rational way. To date, however, no timely, effective system to carry out
this testing has been implemented, with the consequence that there is little
objective evidence as to whether the prioritization decisions made are actually
living up to expectations. This article reviews two unfulfilled initiatives that
have been carried out in the UK over the past 20 years, each of which had the
potential to address this objective, and considers why they failed to deliver the
expected outcomes.
PMID- 26548425
TI - A red orange extract modulates the vascular response to a recreational dive: a
pilot study on the effect of anthocyanins on the physiological consequences of
scuba diving.
AB - Nutritional antioxidants have been proposed as an expedient strategy to counter
the potentially deleterious effects of scuba diving on endothelial function, flow
mediated dilation (FMD) and heart function. Sixteen volunteers performing a
single standard dive (20 min at 33 m) according to US Navy diving procedures were
randomly assigned to two groups: one was administered with two doses of 200 mg of
an anthocyanins (AC)-rich extract from red oranges, 12 and 4 h before diving.
Anthocyanins supplementation significantly modulated the effects of diving on
haematocrit, body water distribution and FMD. AC administration significantly
reduces the potentially harmful endothelial effects of a recreational single
dive. The lack of any significant effect on the most common markers of plasma
antioxidant capacity suggests that the mechanism underlying this protective
activity is independent of the putative antioxidant effect of AC and possibly
involves cellular signalling modulation of the response to high oxygen.
PMID- 26548426
TI - Screening, Brief Intervention, and Referral to Treatment in a rural Ryan White
Part C HIV clinic.
AB - About 24% of people living with HIV nationally are identified as needing
treatment for alcohol or illicit drug use. Screening, Brief Intervention, and
Referral to Treatment (SBIRT) has evolved as a strategy to assess and intervene
with substance abuse behaviors in various clinical settings. However, less is
known about the processes and outcomes of using the SBIRT intervention in
outpatient HIV clinics. This paper presents a descriptive analysis of de
identified existing SBIRT results data from an outpatient HIV clinic located in
western Colorado. From 2008 to 2013, a total of 1616 SBIRT evaluations were done,
which included duplicate patients because some individual patients were screened
more than once in a given year. Over this time period, 37-49% of encounters per
year were notable for tobacco use, 8-21% for alcohol use, 6-16% for marijuana
use, 3-9% for amphetamine use, and 0-2% for illicit opioid use. Unique,
unduplicated patient data from 2013 revealed 40% of patients used tobacco, 16%
used alcohol, and 11% used methamphetamine. Analyses highlighted that the
majority of our patient population (58% in 2013) used and/or abused tobacco,
alcohol, and/or illicit substances. An alarming finding was the increase in
methamphetamine use over time with more than 50-fold prevalence of use in our
population compared to national rates.
PMID- 26548427
TI - Factors influencing the erosion rate and the drug release kinetics from
organogels designed as matrices for oral controlled release of a hydrophobic
drug.
AB - This article proposes solid-like systems from sunflower oil structured with a
fibrillar network built by the assembly of 12-hydroxystearic acid (12-HSA), a
gelator molecule for an oil phase. The resulting organogels were studied as oral
controlled release formulations for a lipophilic drug, Efavirenz (EFV), dissolved
in the oil. The effects of the gelator concentration on the thermal properties of
the organogels were studied by Differential Scanning Calorimetry (DSC) and showed
that drug incorporation did not change the sol-gel-sol transitions. The erosion
and drug release kinetics from organogels under conventional (filling gelatin
capsules) or multiparticulate (beads obtained by prilling) dosage forms were
measured in simulated gastric and intestinal fluids. EFV release profiles were
analyzed using model-dependent (curve-fitting) and independent approaches
(Dissolution Efficiency DE). Korsmeyer-Peppas was the best fitting release
kinetic model based on the goodness of fit, revealing a release mechanism from
organogels loaded with EFV different from the simple drug diffusion release
mechanism obtained from oily formulations. From organogels, EFV probably diffuses
through an outer gel layer that erodes releasing oil droplets containing
dissolved EFV into the aqueous medium.
PMID- 26548428
TI - The Minimum Clinically Important Difference in the Repeatable Battery for the
Assessment of Neuropsychological Status.
AB - OBJECTIVE: There is no established minimum clinically important difference (MCID)
for the Repeatable Battery for the Assessment of Neuropsychological Status
(RBANS) index and total scale scores. This study aimed to estimate the MCID for
the RBANS index scores and total scale score. METHOD: Participants included 1,856
ethnic Chinese, older adults. Distribution- and anchor-based methods were used to
estimate values for the MCID. Distribution-based estimates were calculated as the
standard error of measurement (SEM) and .5 standard deviations (SD). For anchor
based estimates, we compared RBANS scores between the clinical dementia rating
(CDR) scale no dementia and very mild dementia groups and between the clinical
assessment of dementia (CAD) cognitively normal and mild cognitive impairment
groups using regression models adjusting for demographic characteristics.
RESULTS: Estimates from the CDR anchor were 7.79, 8.63, 10.74, 9.74, 5.61, and
3.77 for the total scale score, language, immediate memory, delayed memory,
visuospatial/constructional, and the attention index, respectively. Estimates
from the distribution-based methods were similar to the estimates based on the
CDR, except for the language and attention indexes. Estimates from the CAD anchor
were larger. CONCLUSIONS: We estimated the MCID for the total scale score,
language, immediate memory, delayed memory, visuospatial/constructional, and
attention indexes of the RBANS as 8, 9, 10, 10, 6, and 4 points, respectively.
These estimates are best suited to discriminate between patient groups, for
example, in a clinical trial setting. Further research is needed using
longitudinal data to assess their applicability to assess within patient
differences.
PMID- 26548430
TI - Endoplasmic reticulum protein 29 is involved in endoplasmic reticulum stress in
islet beta cells.
AB - Endoplasmic reticulum stress (ERS) is correlated with insulin resistance and
islet-cell function. In the present study, the sub-cellular localization and role
of ER protein 29 (Erp29) were investigated in an in vitro ERS model of islet beta
cells. The INS-1 islet cell line was treated with various concentrations of
tunicamycin to establish the ERS model. Immunofluorescence microscopy
demonstrated that Erp29 and anti-ER marker protein calnexin were co-localized in
NIH3T3 cells, suggesting that Erp29 is localized to the ER. The ERS model induced
by tunicamycin showed significantly increased expression of binding
immunoglobulin protein (BIP)/glucose-regulated protein 78 (Grp78), which is a
marker for ERS, and the expression of Erp29 was also increased at the mRNA and
protein levels. Of note, ERS was blocked following small interfering RNA-mediated
silencing of Erp29 expression, as indicated by reduced BIP/Grp78 expression. As
an ER protein, Erp29 may have an important role in ERS in islet beta cells.
PMID- 26548429
TI - Anxiety in youth with autism spectrum disorders: implications for treatment.
AB - Anxiety disorders are one of the most common psychiatric comorbidities among
children and adolescents with autism spectrum disorders (ASD). There has been a
recent proliferation of research examining the prevalence, phenomenology,
assessment and treatment of anxiety disorders among youth with ASD. While there
is currently very limited support for the use of pharmacological agents to treat
anxiety among youth with ASD and comorbid anxiety, there has been overwhelming
support across numerous modestly sized controlled studies for the efficacy of
cognitive behavioral therapy. This review discusses advances in the treatment
literature for anxiety in youth with ASD, and discusses the current evidence base
for whether standard treatment needs to be adapted for this population.
PMID- 26548431
TI - Peduncular Hallucinosis as First Presentation of Juvenile Pilocytic Astrocytoma.
PMID- 26548432
TI - Editorial: Improving Pediatric Cardiac Care in India - Expanding Role of
Pediatricians.
PMID- 26548433
TI - Ultrastructural Pathology of Oligodendroglial Cells in Traumatic and
Hydrocephalic Human Brain Edema: A Review.
AB - Oligodendroglial cell changes in human traumatic brain injuries and hydrocephalus
have been reviewed and compared with experimental brain edema. Resting unreactive
oligodendrocytes, reactive oligodendrocytes, anoxic-ischemic oligodendrocytes,
hyperthrophic phagocytic oligodendrocytes, and apoptotic oligodendrocytes are
found. Anoxic-ischemic oligodendrocytes exhibit enlargement of endoplasmic
reticulum, Golgi complex, and enlargement and disassembly of nuclear envelope.
They appear in contact with degenerated myelinated axons. Hypertrophic phagocytic
oligodendrocytes engulf degenerated myelinated axons exerting myelinolytic
effects. A continuum oncotic and apoptotic cell death type leading to necrosis is
observed. The vasogenic and cytotoxic components of brain edema are discussed in
relation to oligodendroglial cell changes and reactivity.
PMID- 26548434
TI - Lipofuscin Granules in the Epileptic Human Temporal Neocortex with Age.
AB - Lipofuscin granules (LGs), the "age pigments", are autofluorescent cell products
from lysosomes that diverge in number and size among brain regions. Human
temporal cortex from 20- to 55-year-old epileptic subjects were studied with the
fat soluble dye Sudan Black, under confocal and electron microscopy.
Ultrastructural analysis showed that with age LGs increase in area, but not in
number. Proportionally to the LGs area, the electron lucid portion increases and
the electron dense reduces over time. The robust increase in lipid components is
possibly due to modifications in the neuronal metabolism with age in
physiological and pathological conditions.
PMID- 26548435
TI - Genistein Treatment Confers Protection against Gliopathy and Vasculopathy of the
Diabetic Retina in Rats.
AB - Retinopathy remains an important complication of diabetes. This work was carried
out to evaluate the protective effects of genistein from diabetic retinopathy in
rat. Fifteen adult male albino rats were divided into two groups; Group I:
control (n = 5) and Group II: streptozotocin induced diabetic group (n = 10),
which is equally divided into two subgroups; IIa (diabetic vehicle control) and
IIb (diabetic genistein-treated). Specimens were taken from the retina 12 weeks
post induction, processed and examined using light, immunohistochemical,
ultrastructural techniques. Blood samples were assayed for the levels of glucose.
In comparison with the diabetic non-treated group, the histological changes in
macro and microglial glial cells reactivity and retinal blood capillaries were
improved in genistein-treated groups. In addition, GFAP and iNOS expressions in
the retina and the blood glucose level were reduced. Genistein ameliorates the
histological changes of diabetic retinopathy reaching healing features, which
resemble that of a normal retina.
PMID- 26548436
TI - Three-Dimensional Micro-Computed Tomography Analyses of Induced Periapical
Lesions in Transgenic Mice.
AB - The aim of this study was to evaluate the three-dimensional (3D) parameters given
by the micro-computed tomography (uCT) analysis of experimentally induced
periapical lesions in wild type (WT) and knockout mice for the interleukin 22 (IL
22 KO). Periapical lesions were induced in the mandibular first molars of wild
type and IL-22 KO mice (n = 12 teeth/group). The animals were euthanized after
the experimental periods of 7, 21 and 42 days. The mandibles were removed and
exposed to uCT scanning. The analyses were performed by the CTAn software for the
tree-dimensional parameters: Tissue Volume (TV), Lesion Volume (LV), Tissue
Surface (TS), Lesion Surface (LS), Intersection Surface (IS), and Trabecular
Pattern factor (Tb.Pf). After that, the tissue was subjected to routine
histologic procedures and to immunohistochemistry analysis. Statistical analysis
was performed in the GraphPad software. A t-test was used to compare the
differences between the groups with significance level of 5%. The evaluation of
the 3D parameters showed statistical significant difference between the groups
only at the latest period of periapical lesion development (42 days), for the TV,
LV, TS, LS and IS parameters. The immunohistochemistry evaluation confirmed the
immunostaining for IL-22 only in the WT mice, surrounding the periapical lesion.
There were no differences regarding the trabecular alveolar bone (Tb.Pf) that
could influence the lesion development. In conclusion, the 3D parameters showed
that the absence of IL-22 leads to detectable differences at 42 days of lesion
progression, resulting in smaller periapical lesions.
PMID- 26548437
TI - Ultrastructural Changes of the Smooth Muscle in Esophageal Atresia.
AB - Esophageal atresia (EA) with or without tracheo-esophageal fistula (TEF) is a
relatively rare congenital anomaly. Despite the advances in the management
techniques and neonatal intensive care, esophageal dysmotility remains a very
common problem following EA/TEF repair. Our current study aimed to describe the
most significant ultrastructural changes of the smooth muscle cells (SMCs) trying
to highlight some of the underlying mechanisms of esophageal dysmotility
following EA/TEF repair. Twenty-three biopsies were obtained from the tip of the
lower esophageal pouch (LEP) of 23 patients during primary repair of EA/TEF.
Light microscopic examination was performed with hematoxylin and eosin (HE), and
Van Gieson's stains. Ultrastructural examination was done using transmission
electron microscopy (TEM). Histopathological examination showed distortion of
smooth muscle layer and deposition of an abundant amount of fibrous tissue in
between smooth muscles. Using TEM, SMCs exhibited loss of the cell-to-cell
adhesion, mitochondrial vacuolation, formation of myelin figures, and apoptotic
fragmentation. There were also plasmalemmal projections and formation of ghost
bodies. Interestingly, SMCs were found extending pseudopodia-like projections
around adjacent collagen fibers. Engulfed collagen fibers by SMCs underwent
degradation within autophagic vacuoles. Degeneration of SMCs and deposition of
abundant extracellular collagen fibers are prominent pathological changes in LEP
of EA/TEF. These changes might contribute to the pathogenesis of esophageal
dysmotility in patients who have survived EA/TEF.
PMID- 26548439
TI - Anisotropic electronic conduction in stacked two-dimensional titanium carbide.
AB - Stacked two-dimensional titanium carbide is an emerging conductive material for
electrochemical energy storage which requires an understanding of the intrinsic
electronic conduction. Here we report the electronic conduction properties of
stacked Ti3C2T2 (T = OH, O, F) with two distinct stacking sequences (Bernal and
simple hexagonal). On the basis of first-principles calculations and energy band
theory analysis, both stacking sequences give rise to metallic conduction with Ti
3d electrons contributing most to the conduction. The conduction is also
significantly anisotropic due to the fact that the effective masses of carriers
including electrons and holes are remarkably direction-dependent. Such an
anisotropic electronic conduction is evidenced by the I-V curves of an individual
Ti3C2T2 particulate, which demonstrates that the in-plane electrical conduction
is at least one order of magnitude higher than that vertical to the basal plane.
PMID- 26548440
TI - Severe outbreeding and inbreeding depression maintain mating system
differentiation in Epipactis (Orchidaceae).
AB - In hermaphroditic plants, theory for mating system evolution predicts that
populations will evolve to either complete autonomous selfing (AS) or complete
outcrossing, depending on the balance between automatic selection favouring self
fertilization and costs resulting from inbreeding depression (ID). Theory also
predicts that selection for selfing can occur rapidly and is driven by purging of
genetic load and the loss of ID. Therefore, selfing species are predicted to have
low levels of ID or even to suffer from outbreeding depression (OD), whereas
predominantly outcrossing species are expected to have high levels of ID. To test
these predictions, we related the capacity of AS to the magnitude of early-acting
inbreeding or OD in both allogamous and autogamous species of the orchid genus
Epipactis. For each species, the level of AS was assessed under controlled
greenhouse conditions, whereas hand-pollinations were performed to quantify early
costs of inbreeding or OD acting at the level of fruit and seed production. In
the autogamous species, the capacity of AS was high (> 0.72), whereas in the
allogamous species AS was virtually absent (< 0.10). Consistent with our
hypothesis, allogamous Epipactis species had significantly higher total ID
(average: 0.46) than autogamous species, which showed severe costs of OD
(average: -0.45). Overall, our findings indicate that strong early-acting ID
represents an important mechanism that contributes to allogamy in Epipactis,
whereas OD may maintain selfing in species that have evolved to complete selfing.
PMID- 26548441
TI - An in situ self-assembly template strategy for the preparation of hierarchical
pore metal-organic frameworks.
AB - Metal-organic frameworks (MOFs) have recently emerged as a new type of nanoporous
materials with tailorable structures and functions. Usually, MOFs have uniform
pores smaller than 2 nm in size, limiting their practical applications in some
cases. Although a few approaches have been adopted to prepare MOFs with larger
pores, it is still challenging to synthesize hierarchical-pore MOFs (H-MOFs) with
high structural controllability and good stability. Here we demonstrate a facile
and versatile method, an in situ self-assembly template strategy for fabricating
stable H-MOFs, in which multi-scale soluble and/or acid-sensitive metal-organic
assembly (MOA) fragments form during the reactions between metal ions and organic
ligands (to construct MOFs), and act as removable dynamic chemical templates.
This general strategy was successfully used to prepare various H-MOFs that show
rich porous properties and potential applications, such as in large molecule
adsorption. Notably, the mesopore sizes of the H-MOFs can be tuned by varying the
amount of templates.
PMID- 26548442
TI - Phase boundary of hot dense fluid hydrogen.
AB - We investigated the phase transformation of hot dense fluid hydrogen using static
high-pressure laser-heating experiments in a laser-heated diamond anvil cell. The
results show anomalies in the heating efficiency that are likely to be attributed
to the phase transition from a diatomic to monoatomic fluid hydrogen (plasma
phase transition) in the pressure range between 82 and 106 GPa. This study
imposes tighter constraints on the location of the hydrogen plasma phase
transition boundary and suggests higher critical point than that predicted by the
theoretical calculations.
PMID- 26548443
TI - Two Cases of LQT Syndrome with Malignant Syncope after Switch from Propranolol to
Bisoprolol.
AB - Propranolol in slow-release form has been the first-line treatment in long QT
(LQT) until it was withdrawn from the market. We describe two cases where a
switch to bisoprolol resulted in worsening of arrhythmia control: A man with
LQT2, asymptomatic on propranolol, experienced syncope after switching to
bisoprolol 5 mg daily. He switched back to propranolol and has remained
asymptomatic during subsequent 12 months. A man with classical Jervell Lange
Nielsen syndrome, previous gangliectomy, and ICD implantation, switched to
bisoprolol 5 mg daily. Four months later he experienced a tachycardia storm. He
switched back to propranolol and has remained free from arrhythmias during
subsequent 12 months.
PMID- 26548444
TI - Enhanced critical current density in the pressure-induced magnetic state of the
high-temperature superconductor FeSe.
AB - We investigate the relation of the critical current density (Jc) and the
remarkably increased superconducting transition temperature (Tc) for the FeSe
single crystals under pressures up to 2.43 GPa, where the Tc is increased by ~8
K/GPa. The critical current density corresponding to the free flux flow is
monotonically enhanced by pressure which is due to the increase in Tc, whereas
the depinning critical current density at which the vortex starts to move is more
influenced by the pressure-induced magnetic state compared to the increase of Tc.
Unlike other high-Tc superconductors, FeSe is not magnetic, but superconducting
at ambient pressure. Above a critical pressure where magnetic state is induced
and coexists with superconductivity, the depinning Jc abruptly increases even
though the increase of the zero-resistivity Tc is negligible, directly indicating
that the flux pinning property compared to the Tc enhancement is a more crucial
factor for an achievement of a large Jc. In addition, the sharp increase in Jc in
the coexisting superconducting phase of FeSe demonstrates that vortices can be
effectively trapped by the competing antiferromagnetic order, even though its
antagonistic nature against superconductivity is well documented. These results
provide new guidance toward technological applications of high-temperature
superconductors.
PMID- 26548445
TI - A Painful Nipple: A Rare Presentation for an Infiltrating Lobular Carcinoma.
PMID- 26548446
TI - The determining factor of a preferred orientation of GaN domains grown on m-plane
sapphire substrates.
AB - Epitaxial lateral overgrowth in tandem with the first-principles calculation was
employed to investigate the determining factor of a preferred orientation of GaN
on SiO2-patterned m-plane sapphire substrates. We found that the (1100)
orientation is favored over the (1103)-orientation in the region with a small
filling factor of SiO2, while the latter orientation becomes preferred in the
region with a large filling factor. This result suggests that the effective
concentration determines the preferred orientation of GaN: the (1100)- and (1103)
orientations preferred at their low and high concentrations, respectively. Our
computational study revealed that at a low coverage of Ga and N atoms, the local
atomic arrangement resembles that on the (1103) surface, although the (1100)
surface is more stable at their full coverage. Such a (1103)-like atomic
configuration crosses over to the local structure resembling that on the (1100)
surface as the coverage increases. Based on results, we determined that high
effective concentration of Ga and N sources expedites the growth of the (1103)
orientation while keeping from transition to the (1100)-orientation. At low
effective concentration, on the other hand, there is a sufficient time for the
added Ga and N sources to rearrange the initial (1103)-like orientation to form
the (1100)-orientation.
PMID- 26548447
TI - Tea polyphenols as an antivirulence compound Disrupt Quorum-Sensing Regulated
Pathogenicity of Pseudomonas aeruginosa.
AB - Green tea, a water extract of non-fermented leaves of Camellia sinensis L., is
one of the nonalcoholic beverages in China. It is becoming increasingly popular
worldwide, because of its refreshing, mild stimulant and medicinal properties.
Here we examined the quorum sensing inhibitory potentials of tea polyphenols (TP)
as antivirulence compounds both in vitro and in vivo. Biosensor assay data
suggested minimum inhibitory concentrations (MICs) of TP against selected
pathogens were 6.25 ~ 12.5 mg/mL. At sub-MIC, TP can specifically inhibit the
production of violacein in Chromobacterium violaceum 12472 with almost 98%
reduction at 3.125 mg/mL without affecting its growth rate. Moreover, TP
exhibited inhibitory effects on virulence phenotypes regulated by QS in
Pseudomonas aeruginosa. The total proteolytic activity, elastase, swarming
motility and biofilm formation were reduced in a concentration-dependent manner.
In vivo, TP treatment resulted in the reduction of P. aeruginosa pathogenicity in
Caenorhabditis elegans. When its concentration was 3.125 mg/mL, the survival rate
reached 63.3%. In the excision wound infection model, the wound contraction
percentage in treatment groups was relatively increased and the colony-forming
units (CFU) in the wound area were significantly decreased. These results
suggested that TP could be developed as a novel non-antibiotic QS inhibitor
without killing the bacteria but as an antivirulence compound to control
bacterial infection.
PMID- 26548448
TI - Regulation of nitrogen metabolism in the nitrate-ammonifying soil bacterium
Bacillus vireti and evidence for its ability to grow using N2 O as electron
acceptor.
AB - Bacillus vireti is a nitrate-ammonifying bacterium and a partial denitrifier,
reducing NO3 (-) , NO2 (-) , NO and N2 O with NarG, NrfA, CbaA and NosZ
respectively. Growth is optimized through successive use of the electron
acceptors O2 and NO3 (-) , followed by NO2 (-) , NO and N2 O. Fermentation takes
place simultaneously with anaerobic respiration. When grown in batch culture with
5 mM initial NO3 (-) , transcription of nrfA was high and most NO3 (-) was
reduced to NH4 (+) . With 20 mM initial NO3 (-) , nrfA transcription was lower
and more than 50% of the nitrate was recovered as NO, N2 O and N2 . Analysis of
gene transcription patterns and corresponding gas kinetics indicated that O2 and
NO2 (-) or NO are main controllers of nrfA, nirB, cbaA and nosZ transcription.
This was corroborated by analyses of putative binding regions for specific
transcriptional regulators. Furthermore, we demonstrate that N2 O reduction in B.
vireti supports growth. The high nosZ transcription but low N2 O production seen
at 5 mM NO3 (-) implies that this organism can use N2 O reductase to scavenge N2
O from other organisms in the soil, thus possibly acting as a net sink for N2 O.
PMID- 26548449
TI - Effect of a third-generation LED LCU on microhardness of tooth-colored
restorative materials.
AB - OBJECTIVE: To assess the effects of different modes of a third-generation light
curing unit (LCU) (VALO) on the microhardness of restorative materials. DESIGN: A
microhybrid composite resin (Filtek(TM) Z550), a giomer (Beautifil II), a
compomer (Dyract eXtra) and a RMGIC (Photac(TM) Fil) were used in the study.
Three different modes of VALO were tested and a second-generation LCU (Elipar
S10) was used as a control. The microhardness (VHN) was measured using a Vickers
Hardness tester. Data were analyzed using two-way anova and post hoc Tukey's test
(P < 0.05). RESULTS: The Filtek Z550 group had the highest VHN values followed by
Photac Fil, Beautifil II and the Dyract eXtra at both top and bottom surfaces,
however the difference between Filtek Z550 and Photac Fil was not statistically
significant for the bottom surfaces (P > 0.05). Of the different curing protocols
tested, the VALO LCU in Mode 3 resulted in the lowest VHN values at both top and
bottom surfaces (P < 0.05). CONCLUSION: Based on the results of this study, it
can be concluded that the high-power mode of the VALO LCU can be recommended for
clinical applications especially in pediatric patients, as it can shorten the
time required to adequately polymerize resin-based tooth-colored restorative
materials.
PMID- 26548450
TI - Synthetic Developments of Nontoxic Quantum Dots.
AB - Semiconductor nanocrystals, or quantum dots (QDs), are candidates for biological
sensing, photovoltaics, and catalysis due to their unique photophysical
properties. The most studied QDs are composed of heavy metals like cadmium and
lead. However, this engenders concerns over heavy metal toxicity. To address this
issue, numerous studies have explored the development of nontoxic (or more
accurately less toxic) quantum dots. In this Review, we select three major
classes of nontoxic quantum dots composed of carbon, silicon and Group I-III-VI
elements and discuss the myriad of synthetic strategies and surface modification
methods to synthesize quantum dots composed of these material systems.
PMID- 26548451
TI - Cytosolic phospholipase A2 alpha has a crucial role in the pathogenesis of DSS
induced colitis in mice.
AB - Colitis, an inflammation of the colon, is a well-characterized massive tissue
injury. Cytosolic phospholipase A2 alpha (cPLA2 alpha) upregulation plays an
important role in the development of several inflammatory diseases. The aim of
the present study was to define the role of cPLA2 alpha upregulation in the
development of colitis. We used a mouse model of dextran sulfate sodium induced
colitis. Immunoblotting analysis showed that cPLA2 alpha and NF-kappaB were
upregulated and activated in the colon from day 2 of colitis induction. This
molecular event preceded the development of the disease, as determined by Disease
Activity Index score, body weight, colon length, and the expression of colonic
inflammatory markers, including neutrophil infiltration detected by
myeloperoxidase and by NIMP-R14, ICAM-1, COX-2, iNOS upregulation and LTB4 and
TNF-alpha secretion. Prevention of cPLA2 alpha upregulation and activity in the
colon by i.v. administration of specific antisense oligonucleotides against cPLA2
alpha 1 day prior and every day of exposure to dextran sulfate sodium
significantly impeded the development of the disease and prevented NF-kappaB
activation, neutrophils infiltration into the colonic mucosa, and expression of
proinflammatory proteins in the colon. Our results demonstrate a critical role of
cPLA2 alpha upregulation in inflammation and development of murine colitis.
PMID- 26548452
TI - Anti-inflammatory and anti-osteoclastogenic effects of zinc finger protein A20
overexpression in human periodontal ligament cells.
AB - BACKGROUND AND OBJECTIVE: Although overexpression of the nuclear factor kappaB
inhibitory and ubiquitin-editing enzyme A20 is thought to be involved in the
pathogenesis of inflammatory diseases, its function in periodontal disease
remains unknown. The aims of the present study were to evaluate A20 expression in
patients with periodontitis and to study the effects of A20 overexpression, using
a recombinant adenovirus encoding A20 (Ad-A20), on the inflammatory response and
on osteoclastic differentiation in lipopolysaccharide (LPS)- and nicotine
stimulated human periodontal ligament cells (hPDLCs). MATERIAL AND METHODS: The
concentration of prostaglandin E2 was measured by radioimmunoassay. Reverse
transcription-polymerase chain reactions and western blot analyses were used to
measure mRNA and protein levels, respectively. Osteoclastic differentiation was
assessed in mouse bone marrow-derived macrophages using conditioned medium from
LPS- and nicotine-treated hPDLCs. RESULTS: A20 was upregulated in the gingival
tissues and neutrophils from patients with periodontitis and in LPS- and nicotine
exposed hPDLCs. Pretreatment with A20 overexpression by Ad-A20 markedly
attenuated LPS- and nicotine-induced production of prostaglandin E2 , as well as
expression of cyclooxygenase-2 and proinflammatory cytokines. Moreover, A20
overexpression inhibited the number and size of tartrate-resistant acid
phosphatase-stained osteoclasts, and downregulated osteoclast-specific gene
expression. LPS- and nicotine-induced p38 phosphorylation and nuclear factor
kappaB activation were blocked by Ad-A20. Ad-A20 inhibited the effects of
nicotine and LPS on the activation of pan-protein kinase C, Akt, GSK-3beta and
protein kinase Calpha. CONCLUSIONS: This study is the first to demonstrate that
A20 overexpression has anti-inflammatory effects and blocks osteoclastic
differentiation in a nicotine- and LPS-stimulated hPDLC model. Thus, A20
overexpression may be a potential therapeutic target in inflammatory bone loss
diseases, such as periodontal disease.
PMID- 26548453
TI - An Elicited-Production Study of Inflectional Verb Morphology in Child Finnish.
AB - Many generativist accounts (e.g., Wexler, 1998) argue for very early knowledge of
inflection on the basis of very low rates of person/number marking errors in
young children's speech. However, studies of Spanish (Aguado-Orea & Pine, 2015)
and Brazilian Portuguese (Rubino & Pine, 1998) have revealed that these low
overall error rates actually hide important differences across the verb paradigm.
The present study investigated children's production of person/number marked
verbs by eliciting present tense verb forms from 82 native Finnish-speaking
children aged 2;2-4;8 years. Four main findings were observed: (a) Rates of
person/number marking errors were higher in low-frequency person/number contexts,
even excluding children who showed no evidence of having learned the relevant
morpheme, (b) most errors involved the use of higher frequency forms in lower
frequency person/number contexts, (c) error rates were predicted not only by the
frequency of person/number contexts (e.g., 3sg > 2pl) but also by the frequency
of individual "ready-inflected" lexical target forms, and (d) for low-frequency
verbs, lower error rates were observed for verbs with high phonological
neighborhood density. It is concluded that any successful account of the
development of verb inflection will need to incorporate both (a) rote-storage and
retrieval of individual inflected forms and (b) phonological analogy across them.
PMID- 26548454
TI - A systematic survey of the integration of animal behavior into conservation.
AB - The role of behavioral ecology in improving wildlife conservation and management
has been the subject of much recent debate. We sought to answer 2 foundational
questions about the current use of behavioral knowledge in conservation: To what
extent is behavioral knowledge used in wildlife conservation and management, and
how does the use of animal behavior differ among conservation fields in both
frequency and types of use? We searched the literature for intersections between
key fields of animal behavior and conservation and created a systematic heat map
(i.e., graphical representation of data where values are represented as colors)
to visualize relative efforts. Some behaviors, such as dispersal and foraging,
were commonly considered (mean [SE] of 1147.38 [353.11] and 439.44 [108.85]
papers per cell, respectively). In contrast, other behaviors, such as learning,
social, and antipredatory behaviors were rarely considered (mean [SE] of 33.88
[7.62], 44.81 [10.65], and 22.69 [6.37] papers per cell, respectively). In many
cases, awareness of the importance of behavior did not translate into applicable
management tools. Our results challenge previous suggestions that there is little
association between the fields of behavioral ecology and conservation and reveals
tremendous variation in the use of different behaviors in conservation. We
recommend that researchers focus on examining underutilized intersections of
behavior and conservation themes for which preliminary work shows a potential for
improving conservation and management, translating behavioral theory into
applicable and testable predictions, and creating systematic reviews to summarize
the behavioral evidence within the behavior-conservation intersections for which
many studies exist.
PMID- 26548455
TI - Defect-Controlled Preparation of UiO-66 Metal-Organic Framework Thin Films with
Molecular Sieving Capability.
AB - Metal-organic framework (MOF) UiO-66 thin films are solvothermally grown on
conducting substrates. The as-synthesized MOF thin films are subsequently dried
by a supercritical process or treated with polydimethylsiloxane (PDMS). The
obtained UiO-66 thin films show excellent molecular sieving capability as
confirmed by the electrochemical studies for redox-active species with different
sizes.
PMID- 26548457
TI - Magnetic structures of R5Ni2In4 and R11Ni4In9 (R = Tb and Ho): strong hierarchy
in the temperature dependence of the magnetic ordering in the multiple rare-earth
sublattices.
AB - The magnetic properties and magnetic structures of the R 5Ni2In4 and the
microfibrous R 11Ni4In9 compounds with R = Tb and Ho have been examined using
magnetization, heat capacity, and neutron diffraction data. Rare earth atoms
occupy three and five symmetrically inequivalent rare earth sites in R 5Ni2In4
and R 11Ni4In9 compounds, respectively. As a result of the intra- and inter
magnetic sublattice interactions, the magnetic exchange interactions are
different for various rare earth sites; this leads to a cascade of magnetic
transitions with a strong hierarchy in the temperature dependence of the magnetic
orderings. A transition at T C = 125 K in Tb5Ni2In4 [kappa 1 = (0, 0, 0)]
leads to a ferro/ferrimagnetic order where the magnetic ordering in one of the
three R-sublattices leads to the ordering of another one; the third sublattice
stays non-magnetic. New magnetic Bragg peaks appearing below T N = 20 K can be
indexed with the incommensurate magnetic propagation vector kappa 2 = (0,
0.636, 1/2); at T N = 20 K a cycloidal spin order, which acts mostly upon the
third R-sublattice, occurs. Ho5Ni2In4 establishes first antiferromagnetism [kappa
= (0, 0, 0)] at T N = 31 K on two R-sublattices; then the system becomes
ferro/ferrimagnetic at T C = 25 K with the third sublattice ordering as well.
Tb11Ni4In9 has three magnetic transitions at T C = 135 K, T N1 = 35 K and at
T N2 = 20 K; they are respectively coupled to the appearance of different
propagation vectors [kappa 1 = (0, 0, 0), kappa 2 = (0, 0, 1/2), kappa 3 =
(0, 1, 1/2)], which themselves are operating differently on the five different R
sublattices. Two sublattices remain mostly ferromagnetic down to lowest
temperature while the three others are predominantly coupled
antiferromagnetically. In Ho11Ni4In9 a purely antiferromagnetic order, described
by four different magnetic propagation vectors [kappa 1 = (0, 0.62, 0), kappa 2
= (0, 1, 0), kappa 3 = (0, 0, 1/2), kappa 4 = (0, 1, 1/2)], succeedingly
includes all five different sublattices on cooling through transitions at T N1 =
22 K, T N2 = 12 K, T N3 = 8 K and T N4 = 7 K. The strength of the magnetic
interactions of the different sublattices can be linked to structural details for
both R 5Ni2In4 and R 11Ni4In9 compounds.
PMID- 26548456
TI - Intrinsic Motivation and Achievement in Mathematics in Elementary School: A
Longitudinal Investigation of Their Association.
AB - This study examined the associations between intrinsic motivation and achievement
in mathematics in a sample of 1,478 Canadian school-age children followed from
Grades 1 to 4 (ages 7-10). Children self-reported their intrinsic motivation
toward mathematics, whereas achievement was measured through direct assessment of
mathematics abilities. Cross-lagged models showed that achievement predicted
intrinsic motivation from Grades 1 to 2, and from Grades 2 to 4. However,
intrinsic motivation did not predict achievement at any time. This developmental
pattern of association was gender invariant. Contrary to the hypothesis that
motivation and achievement are reciprocally associated over time, our results
point to a directional association from prior achievement to subsequent intrinsic
motivation. Results are discussed in light of their theoretical and practical
implications.
PMID- 26548458
TI - Are Fluorescent Organic Nanoparticles Relevant Tools for Tracking Cancer Cells or
Macrophages?
AB - Strongly solvatochromic fluorophores are devised, containing alkyl chains and
enable to self-assemble as very bright fluorescent organic nanoparticles (FONs)
in water (Phif = 0.28). The alkyl chains impart each fluorophore with strongly
hydrophobic surroundings, causing distinct emission colors between FONs where the
fluorophores are associated, and their disassembled state. Such color change is
harnessed to assess the long-term fate of FONs in both cancer cells and
monocytes/macrophages. Disintegration of the orange-emitting FONs by
monocytes/macrophages is evidenced through the formation of micrometer green
yellowish emitting vesicles. By contrast, cancer cells retain longer the
integrity of organic nanoparticles. In both cases, no significant toxicity is
detected, making FONs as valuable bioimaging agents for cell tracking with weak
risks of deleterious accumulation and low degradation rate.
PMID- 26548459
TI - The origins of word learning: Brain responses of 3-month-olds indicate their
rapid association of objects and words.
AB - The present study explored the origins of word learning in early infancy. Using
event-related potentials (ERP) we monitored the brain activity of 3-month-old
infants when they were repeatedly exposed to several initially novel words paired
consistently with each the same initially novel objects or inconsistently with
different objects. Our results provide strong evidence that these young infants
extract statistic regularities in the distribution of the co-occurrences of
objects and words extremely quickly. The data suggest that this ability is based
on the rapid formation of associations between the neural representations of
objects and words, but that the new associations are not retained in long-term
memory until the next day. The type of brain response moreover indicates that,
unlike in older infants, in 3-month-olds a semantic processing stage is not
involved. Their ability to combine words with meaningful information is caused by
a primary learning mechanism that enables the formation of proto-words and acts
as a precursor for the acquisition of genuine words.
PMID- 26548460
TI - Effect of the Metal on Disulfide/Thiolate Interconversion: Manganese versus
Cobalt.
AB - It has recently been proposed that disulfide/thiolate interconversion supported
by transition-metal ions is involved in several relevant biological processes. In
this context, the present contribution represents a unique investigation of the
effect of the coordinated metal (M) on the M(n+)-disulfide/M((n+1)+)-thiolate
switch properties. Like its isostructural Co(II)-based parent compound, Co(II)2SS
(Angew. Chem. Int. Ed.- 2014, 53, 5318), the new dinuclear disulfide-bridged
Mn(II) complex Mn(II)2SS can undergo an M(II)-disulfide/M(III)-thiolate
interconversion, which leads to the first disulfide/thiolate switch based on Mn.
The coordination of iodide to the metal ion stabilizes the oxidized form, as the
disulfide is reduced to the thiolate. The reverse process, which involves the
reduction of M(III) to M(II) with the concomitant oxidation of the thiolates,
requires the release of iodide. The Mn(II)2SS complex slowly reacts with Bu4NI in
CH2Cl2 to afford the mononuclear Mn(III)-thiolate complex Mn(III)I. The process
is much slower (ca. 16 h) and much less efficient (ca. 30% yield) with respect to
the instantaneous and quantitative conversion of Co(II)2SS into Co(III)I under
similar conditions. This distinctive behavior can be rationalized by considering
the different electrochemical properties of the involved Co and Mn complexes and
the DFT-calculated driving force of the disulfide/thiolate conversion. For both
Mn and Co systems, M(II)-disulfide/M(III)-thiolate interconversion is reversible.
However, when the iodide is removed with Ag(+), the M(II)2SS complexes are
regenerated, albeit much slower for Mn than for Co systems.
PMID- 26548461
TI - All-trans retinoic acid inhibits proliferation, migration, invasion and induces
differentiation of hepa1-6 cells through reversing EMT in vitro.
AB - Hepatocellular carcinoma (HCC) has the characristics of tumor invasiveness,
frequent intrahepatic spread and extra hepatic metastases, which affects the
therapy efficiency and prognosis. Epithelial-mesenchymal transition (EMT) is now
recognized as a key process in tumor invasion, metastasis and the generation of
cancer initiating cells. All-trans retinoic acid (ATRA) is currently used as a
potential chemo-therapeutic or chemo-preventive agent because of its anti
proliferative, pro-apoptotic and antioxidant properties. This study investigated
the effects of ATRA at different concentrations on the proliferation, migration,
invasion, differentiation and functions of the mouse hepa1-6 hepatocarcinoma cell
line and explored whether ATRA regulates EMT in the antitumor process. Trypan
blue staining and colony formation assay were used to detect cell proliferation.
Wound-healing assay and Transwell Matrigel assay were performed to examine
migration. Invasion was assessed by using Transwell invasion assay. In the
present study, ATRA significantly inhibited the cell growth, colony formation,
migration, and invasion capability of hepa1-6 cells in a dose-dependent manner.
Furthermore, ATRA at low concentration (0.1 umol/l) could generate these
influences. After treated in the ATRA medium, the expression of mature hepatic
markers ALB (albumin), CK18 (cytokeratin 18), TAT (tyrosine aminotransferase),
ApoB (apolipoprotein B) decreased and that of hepatocarcinoma marker AFP (alpha
fetoprotein) increased. At day 7 after ATRA induction, hepa1-6 cells showed
comparable indocyanine green (ICG) uptake and glycogen storage function to the
blank control. The mRNA expression of mesenchymal markers N-cadherin, vimentin,
snail and twist decreased, while expression of epithelial marker E-cadherin
increased in hepa1-6 cells after treated with ATRA. Therefore, this study
demonstrates that ATRA remarkably suppressed the proliferation, migration,
invasion of hepa1-6 hepatocarcinoma cell line and effectively induced its
differentiation and liver functions in vitro through the reversal of EMT. HCC may
be more sensitive to ATRA than other cancers, suggesting the prospective
usefulness of ATRA in the treatment of HCC.
PMID- 26548462
TI - Triggering prepared actions by sudden sounds: reassessing the evidence for a
single mechanism.
AB - Loud acoustic stimuli can unintentionally elicit volitional acts when a person is
in a state of readiness to execute them (the StartReact effect). It has been
assumed that the same subcortical pathways and brain regions underlie all
instances of the StartReact effect. They are proposed to involve the startle
reflex pathways, and the eliciting mechanism is distinct from other ways in which
sound can affect the motor system. We present an integrative review which shows
that there is no evidence to support these assumptions. We argue that motor
command generation for learned, volitional orofacial, laryngeal and distal limb
movements is cortical and the StartReact effect for such movements involves
transcortical pathways. In contrast, command generation for saccades, locomotor
corrections and postural adjustments is subcortical and subcortical pathways are
implicated in the StartReact effect for these cases. We conclude that the
StartReact effect is not a special phenomenon mediated by startle reflex
pathways, but rather is a particular manifestation of the excitatory effects of
intense stimulation on the central nervous system.
PMID- 26548463
TI - Youden's Index and the Weight of Evidence Revisited.
AB - A correspondence between Youden's index for rating diagnostic tests and the log
likelihood ratio of a positive test outcome is illustrated by data calculated
from two previously-published studies of binary tests.
PMID- 26548465
TI - Transformation kinetics of vapor-deposited thin film organic glasses: the role of
stability and molecular packing anisotropy.
AB - While ordinary glasses transform into supercooled liquid via a homogeneous bulk
mechanism, thin film glasses of higher stability transform heterogeneously by a
front propagating from the surface and/or the interfaces. In this work, we use
quasi-adiabatic fast scanning nanocalorimetry to determine the heat capacity of
thin glassy layers of indomethacin vapor-deposited in a broad temperature range
of 110 K below the glass transition temperature. Their variation in fictive
temperature amounts to 40 K. We show that a propagating front is the initial
transformation mechanism in all cases. Using an ad hoc surface normalization
procedure we determine the corresponding growth front velocity for the whole
range of deposition temperatures. Although the transformation rate changes by a
factor of 10 between the most and less stable samples, the relation between the
mobility of the front and the thermodynamic stability of the glass is not
uniquely defined. Glasses grown above 280 K, which are at equilibrium with the
supercooled liquid, present a different dependence of the growth front velocity
on fictive temperature compared to glasses grown out of equilibrium at Tdep < 250
K. These glasses transform faster with increasing Tf. Our data clarify previous
reports and support the evidence that the fictive temperature alone is not an
absolute indicator of the properties of the glass, at least when its structure is
not completely isotropic. To interpret the data, we propose that the growth front
velocity depends on three terms: the mobility of the liquid at a given
temperature, the mobility of the glass and the arrangement of the molecules in
the glass.
PMID- 26548466
TI - Protein tetrazinylation via diazonium coupling for covalent and catalyst-free
bioconjugation.
AB - An efficient and bench-stable reagent was synthesized for direct and covalent
introduction of tetrazines onto target protein or virus surfaces, which can be
further modified based on tetrazine-ene ligation to achieve fluorescence
labelling or PEGylation under mild conditions.
PMID- 26548467
TI - [(18)F]-Group 13 fluoride derivatives as radiotracers for positron emission
tomography.
AB - The field of (18)F chemistry is rapidly expanding because of the use of this
radionuclide in radiotracers for positron emission tomography (PET). Until
recently, most [(18)F]-radiotracers were generated by the direct attachment of
(18)F to a carbon in the organic backbone of the radiotracer. The past decade has
witnessed the emergence of a new strategy based on the formation of an (18)F
group 13 element bond. This approach, which is rooted in the field of fluoride
anion complexation/coordination chemistry, has led to the development of a
remarkable family of boron, aluminium and gallium [(18)F]-fluoride anion
complexing agents which can be conjugated with peptides and small molecules to
generate disease specific PET radiotracers. This review is dedicated to the
chemistry of these group 13 [(18)F]-fluorides anion complexing agents and their
use in PET. Some of the key fluoride-binding motifs covered in this review
include the trifluoroborate unit bound to neutral or cationic electron deficient
backbones, the BF2 unit of BODIPY dyes, and AlF or GaF3 units coordinated to
multidentate Lewis basic ligands. In addition to describing how these moieties
can be converted into their [(18)F]-analogs, this review also dicusses their
incorporation into bioconjugates for application in PET.
PMID- 26548468
TI - [The dangers of drug career in young people].
AB - It is significant when a young person encounters drugs for the first time.
Research has shown that 70% of later addicts try the drugs during the five-year
period of adolescence. Youngsters tend to try new experinces; they are high risk
takers, seek novelty and are sensitive to pressure from the peer group. However,
the juvenile central nervous system reacts differently when taking drugs, than it
happens in an older age. Damage could occur on critical regions of the brain.
Addictive drugs may give rise to changes in multiple system of memory, that could
maintain the addiction for a long time. Drug use overrides and modifies the
natural reward system, induces further drug-seeking, independently from the
sensations of drug use.
PMID- 26548469
TI - [Pharmacological therapy of age-related macular degeneration based on
etiopathogenesis].
AB - It is of great therapeutic significance that disordered function of the vascular
endothelium which supply the affected ocular structures plays a major role in the
pathogenesis and development of age-related macular degeneration. Chronic
inflammation is closely linked to diseases associated with endothelial
dysfunction, and age-related macular degeneration is accompanied by a general
inflammatory response. According to current concept, age-related macular
degeneration is a local manifestation of systemic vascular disease. This
recognition could have therapeutic implications because restoration of
endothelial dysfunction can restabilize the condition of chronic vascular disease
including age-related macular degeneration as well. Restoration of endothelial
dysfunction by pharmaacological or non pharmacological interventions may prevent
the development or improve endothelial dysfunction, which result in prevention or
improvement of age related macular degeneration as well. Medicines including
inhibitors of the renin-angiotensin system (converting enzyme inhibitors,
angiotensin-receptor blockers and renin inhibitors), statins, acetylsalicylic
acid, trimetazidin, third generation beta-blockers, peroxisome proliferator
activated receptor gamma agonists, folate, vitamin D, melatonin, advanced
glycation end-product crosslink breaker alagebrium, endothelin-receptor
antagonist bosentan, coenzyme Q10; "causal" antioxidant vitamins, N-acetyl
cysteine, resveratrol, L-arginine, serotonin receptor agonists, tumor necrosis
factor-alpha blockers, specific inhibitor of the complement alternative pathway,
curcumin and doxycyclin all have beneficial effects on endothelial dysfunction.
Restoration of endothelial dysfunction can restabilize chronic vascular disease
including age-related macular degeneration as well. Considering that the human
vascular system is consubstantial, medicines listed above should be given to
patients (1) who have no macular degeneration but have risk factors for the
disease and are older than 50 years; (2) who have been diagnosed with unilateral
age-related macular degeneration in order to prevent damage of the contralateral
eye; (3) who have bilateral age-related macular degeneration in order to avert
deterioration and in the hope of a potential improvement. However, randomised
prospective clinical trials are still needed to elucidate the potential role of
these drug treatments in the prevention and treatment of age-related macular
degeneration.
PMID- 26548470
TI - [Long-term follow-up after tympanostomy tube insertion in children with serous
otitis media].
AB - INTRODUCTION: The authors report about the efficacy of inserted tympanostomy tube
in children with serous otitis media. AIM: The aim of the authors was to assess
the status of eardrum, the function of Eustachian tube and hearing level 10 years
after the use of tympanostomy tube. METHOD: Patients filled out a questionnaire
and microscopic examination of tympanic membrane, tympanometry, Eustachian tube
function examination, and audiometry tests were performed. RESULTS: In the period
of 2003-2004, ventilation tube insertion was performed in 711 patients in the ENT
Department of Pediatric Health Center of University of Szeged. In 349 patients
adenotomy and tympanostomy tube insertion, in 18 cases tonsillectomy and grommet
insertion and in 344 patients only typmanostomy tube insertion were performed.
Due to objective difficulties (address change, no phone number) 453 patients were
asked for control test and 312 persons accepted the invitation. Normal hearing
level was found in 84.6% of patients and normal tympanometry result occurred in
82%. Tympanic ventilation disorder, perforation of tympanic membrane,
sensorineural hearing loss and sensorineural hearing loss due to noise exposure
were diagnosed. CONCLUSIONS: Application of tympanostomy tube is effective in the
treatment of serous otitis media resulting from ventilation disorder. The authors
draw attention to the importance of tympanometry examination to prevent the
adhesive processes and cholesteatoma in chronic ventilation disorder of the
middle ear.
PMID- 26548471
TI - [Sunitinib and zoledronic acid induced osteonecrosis of the jaw].
AB - The tendency for bisphosphonate and non-bisphosphonate (eg.: antiresorptive or
anti-angiogenesis drugs) induced osteonecrosis is increasing. Treatment of these
patients is a challenge both for dentists and for oral and maxillofacial
surgeons. Cooperation with the drug prescribing general medicine colleagues to
prevent osteonecrosis is extremely important. Furthermore, prevention should
include dental focus elimination, oral hygienic instructions and education,
dental follow-up and, in case of manifest necrosis, referral to maxillofacial
departments. Authors outline the difficulties of conservative and surgical
treatment of a patient with sunitinib and zoledronic acid induced osteonecrosis.
The patient became symptomless and the operated area healed entirely six and
twelve months postoperatively. A long term success further follow-up is necessary
to verify long-term success.
PMID- 26548472
TI - [Case and studies].
AB - Case studies and case reports form an important and ever growing part of
scientific and scholarly literature. The paper deals with the share and citation
rate of these publication types on different fields of research. In general,
evidence seems to support the opinion that an excessive number of such
publications may negatively influence the impact factor of the journal. In the
literature of scientometrics, case studies (at least the presence of the term
"case study" in the titles of the papers) have a moderate share, but their
citation rate is practically equal to that of other publication types.
PMID- 26548473
TI - [A "peculiar" doctor - Karoly Brancsik (1842-1915), the chief physician of
Trecsen County].
PMID- 26548475
TI - Allium Discoloration: Color Compounds Formed during Pinking of Onion and Leek.
AB - Structures and formation pathways of compounds responsible for pink discoloration
of onion and leek were studied. A procedure was developed for the isolation and
purification of the color compounds from various model systems and their
identification by HPLC-DAD-MS/MS. In total, structures of 15 major color
compounds were tentatively determined. It was found that the pigment is a complex
mixture of highly conjugated species composed of two N-substituted 3,4
dimethylpyrrole-derived rings linked by either a methine or a propenylidine
bridge. These two-ring units are further modified by various C1- and C3-side
chains. Experiments with isotope-labeled thiosulfinates revealed that the methine
bridge and C1-side chains originate from the methyl group of methiin, whereas the
C3 units are derived from the propenyl group of isoalliin.
PMID- 26548476
TI - Substrate-controlled Rh(II)-catalyzed single-electron-transfer (SET): divergent
synthesis of fused indoles.
AB - Rh(II)-catalyzed diversified ring expansions controlled by single-electron
transfer (SET) have been disclosed in this communication, producing a series of
indole-fused azetidines and 1H-carbazoles or related derivatives in moderate to
good yields via Rh2(III,II) nitrene radical intermediates. The direction of ring
expansion branches according to different ring sizes of methylenecycloalkanes.
PMID- 26548477
TI - Microsecond-Scale MD Simulations of HIV-1 DIS Kissing-Loop Complexes Predict
Bulged-In Conformation of the Bulged Bases and Reveal Interesting Differences
between Available Variants of the AMBER RNA Force Fields.
AB - We report an extensive set of explicit solvent molecular dynamics (MD)
simulations (~25 MUs of accumulated simulation time) of the RNA kissing-loop
complex of the HIV-1 virus initiation dimerization site. Despite many structural
investigations by X-ray, NMR, and MD techniques, the position of the bulged
purines of the kissing complex has not been unambiguously resolved. The X-ray
structures consistently show bulged-out positions of the unpaired bases, while
several NMR studies show bulged-in conformations. The NMR studies are, however,
mutually inconsistent regarding the exact orientations of the bases. The earlier
simulation studies predicted the bulged-out conformation; however, this finding
could have been biased by the short simulation time scales. Our microsecond-long
simulations reveal that all unpaired bases of the kissing-loop complex stay
preferably in the interior of the kissing-loop complex. The MD results are
discussed in the context of the available experimental data and we suggest that
both conformations are biochemically relevant. We also show that MD provides a
quite satisfactory description of this RNA system, contrasting recent reports of
unsatisfactory performance of the RNA force fields for smaller systems such as
tetranucleotides and tetraloops. We explain this by the fact that the kissing
complex is primarily stabilized by an extensive network of Watson-Crick
interactions which are rather well described by the force fields. We tested
several different sets of water/ion parameters but they all lead to consistent
results. However, we demonstrate that a recently suggested modification of van
der Waals interactions of the Cornell et al. force field deteriorates the
description of the kissing complex by the loss of key stacking interactions
stabilizing the interhelical junction and excessive hydrogen-bonding
interactions.
PMID- 26548478
TI - Synthesis of NiO Nanofibers Composed of Hollow Nanospheres with Controlled Sizes
by the Nanoscale Kirkendall Diffusion Process and Their Electrochemical
Properties.
AB - NiO nanofibers composed of hollow NiO nanospheres with different sizes were
prepared by electrospinning method. The mean size of the hollow NiO nanospheres
was determined by the mean size of the Ni nanocrystals of the Ni-C composite
nanofibers formed as an intermediate product. Porous-structured NiO nanofibers
were also prepared as a comparison sample by direct oxidation of the electrospun
nanofibers. The discharge capacities of the nanofibers composed of hollow
nanospheres reduced at 300, 500, and 700 degrees C for the 250th cycle were 707,
655, and 261 mA h g(-1), respectively. However, the discharge capacity of the
porous-structured NiO nanofibers for the 250th cycle was low as 206 mA h g(-1).
The nanofibers composed of hollow nanospheres had good structural stability
during cycling.
PMID- 26548479
TI - Cooperative Chemisorption-Induced Physisorption of CO2 Molecules by Metal-Organic
Chains.
AB - Effective CO2 capture and reduction can be achieved through a molecular scale
understanding of interaction of CO2 molecules with chemically active sites and
the cooperative effects they induce in functional materials. Self-assembled
arrays of parallel chains composed of Au adatoms connected by 1,4-phenylene
diisocyanide (PDI) linkers decorating Au surfaces exhibit self-catalyzed CO2
capture leading to large scale surface restructuring at 77 K (ACS Nano 2014, 8,
8644-8652). We explore the cooperative interactions among CO2 molecules, Au-PDI
chains and Au substrates that are responsible for the self-catalyzed capture by
low temperature scanning tunneling microscopy (LT-STM), X-ray photoelectron
spectroscopy (XPS), infrared reflection absorption spectroscopy (IRAS),
temperature-programmed desorption (TPD), and dispersion corrected density
functional theory (DFT). Decorating Au surfaces with Au-PDI chains gives the
interfacial metal-organic polymer characteristics of both a homogeneous and
heterogeneous catalyst. Au-PDI chains activate the normally inert Au surfaces by
promoting CO2 chemisorption at the Au adatom sites even at <20 K. The CO2(delta-)
species coordinating Au adatoms in-turn seed physisorption of CO2 molecules in
highly ordered two-dimensional (2D) clusters, which grow with increasing dose to
a full monolayer and, surprisingly, can be imaged with molecular resolution on Au
crystal terraces. The dispersion interactions with the substrate force the
monolayer to assume a rhombic structure similar to a high-pressure CO2
crystalline solid rather than the cubic dry ice phase. The Au surface supported
Au-PDI chains provide a platform for investigating the physical and chemical
interactions involved in CO2 capture and reduction.
PMID- 26548480
TI - Research Techniques Made Simple: Drug Delivery Techniques, Part 1: Concepts in
Transepidermal Penetration and Absorption.
PMID- 26548481
TI - The FDA: Doctors, Investigators, and Translational Research.
PMID- 26548485
TI - Melanoma Incidence and Lethality Is Increased Following Solid Organ
Transplantation.
AB - Organ transplants save lives, but the risks of adverse health outcomes remain
high. Robbins et al. (2015) report the findings of a record-linkage study that
followed almost 140,000 organ transplant recipients (OTRs) for melanoma. They
found higher risk of incident melanoma among OTRs and markedly increased
lethality. Education and surveillance are key to mitigating these effects.
PMID- 26548486
TI - CYR61/CCN1: A Novel Mediator of Epidermal Hyperplasia and Inflammation in
Psoriasis?
AB - The complex pathogenesis of psoriasis is still not fully understood. The study by
Sun et al. (2015) suggests that CYR61 (now named CCN1), a secreted matricellular
protein, has a role in the pathogenesis of psoriasis, and thus targeting CCN1
represents a potential therapeutic strategy in its treatment.
PMID- 26548487
TI - Down-RANKing the Threat of HSV-1: RANKL Upregulates MHC-Class-I-Restricted Anti
Viral Immunity in Herpes Simplex Virus Infection.
AB - Herpes simplex virus (HSV-1) is a major cause of viral skin infection in humans.
Klenner and colleagues now show that the epidermal receptor activator of NFkappaB
ligand (RANKL) is critical for the induction of anti-viral CD8(+) effector T
cells (CTL) during cutaneous HSV-1 infection. Activation via RANKL prevents
Langerhans cell apoptosis, thus leading to enhanced antigen transport to regional
lymph nodes, increasing the CTL-priming capacity of lymph node dendritic cells.
PMID- 26548488
TI - Bad Hair Day: Testosterone and Wnts.
AB - Androgens have an important role in normal skin physiology, as well as in the
pathogenesis of many skin conditions, such as acne vulgaris, hirsutism, and
androgenic alopecia. Kretzchumar et al. (2015) investigate the relationship
between androgen receptor (AR) signaling and beta-catenin/Wnt signaling pathways
in murine hair follicles.
PMID- 26548489
TI - Filamin A Mediates Wound Closure by Promoting Elastic Deformation and Maintenance
of Tension in the Collagen Matrix.
AB - Fibroblasts have a central role in wound healing via matrix production,
remodeling, and contraction. Their role as mechanoresponsive cells during tissue
repair is evident, but the molecular mechanisms of this process remain uncertain.
Filamin A, an intracellular protein that stabilizes the actin cytoskeleton
regulates fibroblast-matrix interactions. Fibroblast defects in cytoskeletal
dynamics may underlie key aspects of chronic wound pathophysiology.
PMID- 26548490
TI - Cells to Surgery Quiz: November 2015.
PMID- 26548491
TI - November 2015 Snapshot Dx Quiz: Linking Science to Patient Care.
PMID- 26548492
TI - New oligonucleotide derivatives as unreactive substrate analogues and potential
inhibitors of human apurinic/apyrimidinic endonuclease APE1.
AB - Human apurinic/apyrimidinic endonuclease APE1 is one of the key enzymes of the
base excision DNA repair system. The main biological function of APE1 is the
hydrolysis of the phosphodiester bond on the 5'-side of an apurinic/apyrimidinic
site (AP-site) to give the 5'-phosphate and 3'-hydroxyl group. It has long been
known that AP-sites have mutagenic and cytotoxic effects and their accumulation
in DNA is a potential hazard to the cell lifecycle. The structural and
biochemical studies of APE1 are complicated by its high catalytic activity
towards the AP-site and its cyclic or acyclic analogues. This work has focussed
on the design, synthesis and analysis of oligonucleotide derivatives as
potentially unreactive APE1 substrates. We have shown that the replacement of
oxygen atoms in the phosphate group on the 5'-side from the AP-site analogue
tetrahydrofuran (F) considerably decreases the rate of enzymatic hydrolysis of
modified oligonucleotides. We have calculated that a N3'-P5' phosphoramidate
linkage is hydrolysed about 30 times slower than the native phosphodiester bond
while phosphorothioate or primary phosphoramidate linkages are cleaved more than
three orders of magnitude slower. The value of IC50 of the oligonucleotide duplex
containing a primary phosphoramidate linkage is 2.5 * 10(-7) M, which is in
accordance with the APE1 association constant of DNA duplexes containing AP
sites. Thus, it is demonstrated that oligonucleotide duplexes with chemical
modifications could be used as unreactive substrates and potential competitive
inhibitors of APE1.
PMID- 26548493
TI - Spoof surface plasmon polaritons in terahertz transmission through subwavelength
hole arrays analyzed by coupled oscillator model.
AB - Both the localized resonance and excitation of spoof surface plasmon polaritons
are observed in the terahertz transmission spectra of periodic subwavelength hole
arrays. Analyzing with the coupled oscillator model, we find that the terahertz
transmission is actually facilitated by three successive processes: the incident
terahertz field first initiates the localized oscillation around each hole, and
then the spoof surface plasmon polaritons are excited by the localized resonance,
and finally the two resonances couple and contribute to the transmission.
Tailoring the localized resonance by hole size, the coupling strength between
spoof surface plasmon polaritons and localized resonances is quantitatively
extracted. The hole size dependent transmittance and the coupling mechanism are
further confirmed by fitting the measured spectra to a modified multi-order Fano
model.
PMID- 26548494
TI - The behavioral profile of spice and synthetic cannabinoids in humans.
AB - The use of synthetic cannabinoids (spice) is increasing. The number of
descriptions of (new) clinical side effects is also increasing. We screened
relevant publications for articles about spice with a focus on the clinical
manifestations of the use of this drug. Spice creates diffuse psychiatric and
somatic effects that are only partially similar to those of natural cannabinoids.
Most of the observed effects are related to sympathomimetic-cardiac effects and
neuropsychiatric manifestations. Clinical treatment is primarily based on
intensive apparative and laboratory monitoring and supportive therapy. Because
the exact active ingredients of spice are often difficult to determine with
standard specific toxicology testing, the assessment and analysis of consumed
substances by specialized laboratories is recommended.
PMID- 26548495
TI - Repeated administration of almonds increases brain acetylcholine levels and
enhances memory function in healthy rats while attenuates memory deficits in
animal model of amnesia.
AB - Dietary nutrients may play a vital role in protecting the brain from age-related
memory dysfunction and neurodegenerative diseases. Tree nuts including almonds
have shown potential to combat age-associated brain dysfunction. These nuts are
an important source of essential nutrients, such as tocopherol, folate, mono- and
poly-unsaturated fatty acids, and polyphenols. These components have shown
promise as possible dietary supplements to prevent or delay the onset of age
associated cognitive dysfunction. This study investigated possible protective
potential of almond against scopolamine induced amnesia in rats. The present
study also investigated a role of acetylcholine in almond induced memory
enhancement. Rats in test group were orally administrated with almond suspension
(400 mg/kg/day) for four weeks. Both control and almond-treated rats were then
divided into saline and scopolamine injected groups. Rats in the scopolamine
group were injected with scopolamine (0.5 mg/kg) five minutes before the start of
each memory test. Memory was assessed by elevated plus maze (EPM), Morris water
maze (MWM) and novel object recognition (NOR) task. Cholinergic function was
determined in terms of hippocampal and frontal cortical acetylcholine content and
acetylcholinesterase activity. Results of the present study suggest that almond
administration for 28 days significantly improved memory retention. This memory
enhancing effect of almond was also observed in scopolamine induced amnesia
model. Present study also suggests a role of acetylcholine in the attenuation of
scopolamine induced amnesia by almond.
PMID- 26548496
TI - Deaths from necrotizing fasciitis in the United States, 2003-2013.
AB - Necrotizing fasciitis (NF) is a life-threatening infection requiring urgent
surgical and medical therapy. Our objective was to estimate the mortality burden
of NF in the United States, and to identify time trends in the incidence rate of
NF-related mortality. We obtained data from the National Center for Health
Statistics, which receives information from death certificates from all states,
including demographic information and cause of death. The U.S. Multiple Cause of
Death Files were searched from 2003 to 2013 for a listing of NF (ICD-10 code
M72.6) as either the underlying or contributing cause of death. We identified a
total of 9871 NF-related deaths in the United States between 2003 and 2013,
corresponding to a crude mortality rate of 4.8 deaths/1,000,000 person-years,
without a significant time trend. Compared to white individuals, the incidence
rate of NF-associated death was greater in black, Hispanic, and American Indian
individuals, and lower in Asian individuals. Streptococcal infection was most
commonly identified in cases where a pathogen was reported. Diabetes mellitus and
obesity were more commonly observed in NF-related deaths compared to deaths due
to other causes. Racial differences in the incidence of NF-related deaths merits
further investigation.
PMID- 26548497
TI - A novel homozygous insertion and review of published mutations in the NNT gene
causing familial glucocorticoid deficiency (FGD).
AB - Familial glucocorticoid deficiency (FGD) is an autosomal recessive disorder
characterized by low levels of cortisol despite high adrenocorticotropin (ACTH)
levels, due to the reduced ability of the adrenal cortex to produce cortisol in
response to stimulation by ACTH. FGD is a heterogeneous disorder for which causal
mutations have been identified in MC2R, MRAP, MCM4 and TXNRD2. Also mutations in
STAR and CYP11A1 can sometimes present with a phenotype resembling FGD. Recently,
it has been indicated that FGD can also be caused by mutations in NNT
(nicotinamide nucleotide transhydrogenase). We identified a 6.67 Mb homozygous
region harboring the NNT gene by SNP haplotyping in a 1-year old Dutch boy
presenting with FGD, but without mutations in MC2R and MRAP. Exome-sequencing
revealed a novel homozygous mutation (NM_012343.3: c.1259dupG) in NNT that was
predicted to be disease-causing. The mutation is located in exon 9 and creates a
frameshift leading to a premature stop-codon (p.His421Serfs*4) that is known to
result in FGD. Both parents were shown to be heterozygous carriers. We reviewed
the literature for all the reported NNT mutations and their clinical
presentation. The median age of disease onset in 23 reported patients, including
the present patient, was 12 months (range 3 days-39 months). There was no
difference in age of disease onset between truncating and non-truncating NNT
mutations. Based on recent literature, we advise to monitor patients with FGD due
to NNT mutations for possible combined mineralocorticoid insufficiency and extra
adrenal manifestations.
PMID- 26548498
TI - Spinal muscular atrophy type III: Molecular genetic characterization of Turkish
patients.
AB - Spinal Muscular Atrophy (SMA) is a neurodegenerative disease with autosomal
recessive inheritance. Homozygous loss of exon 7 of the Survival of motor neuron
1 (SMN1) gene is the main cause of SMA. Although progressive muscle weakness and
atrophy are common symptoms, disease severity varies from severe to mild. Type
III is one of the milder and less frequent forms of SMA. In this study, we report
molecular genetic characteristics of 24 Turkish type III SMA patients. Homozygous
loss of SMN1 exon 7 and 8 was analysed by polymerase chain reaction-restriction
fragment length polymorphism (PCR-RFLP) and multiplex ligation dependent probe
amplification (MLPA). SMN2, homologue of SMN1, and Neuronal apoptosis inhibitory
protein (NAIP) genes were also evaluated considering their influence on disease
severity. We determined that male patients who were born in consanguineous
families were predominant in our cohort and these patients mostly carry the
homozygous loss of SMN1 exon 7 and 8 and four copies of SMN2 gene without NAIP
deletions.
PMID- 26548499
TI - Understanding Oestrogen Receptor Function in Breast Cancer and its Interaction
with the Progesterone Receptor. New Preclinical Findings and their Clinical
Implications.
PMID- 26548501
TI - Chewing unflavored gum does not reduce cortisol levels during a cognitive task
but increases the response of the sympathetic nervous system.
AB - OBJECTIVES: Stress might be caused by various lifestyle factors and physical
challenges and can result in severe diseases. The body responds to stressful
events by release of hormones, like cortisol, as well as reaction of the
sympathetic nervous system. One strategy to counteract stress is chewing gum. The
present study aimed at investigating the influence of mastication on biomarkers
of stress during performance of a stress test. METHODS: A two-armed cross-over
study with 40 young male volunteers was performed. Hormone plasma concentrations
were determined after an initial resting phase (2:30p.m.), immediately before
(3:00p.m.) and two times after (3:20, 3:50p.m.) performance of a multitasking
test using magnetic beads and ELISA methods. In addition, visual analog scales
were used to rate subjective mood and the breathing and heart rates were
monitored throughout the entire study period using a sensor chest belt. RESULTS:
Performance of the stress test led to an increase in plasma cortisol
concentrations from 223+/-23.3 to 325+/-38.4ng/ml (p=0.023) and caused changes in
subjective mood ratings as well as breathing rates. Although chewing gum base had
no impact on the plasma hormone concentrations, it induced a stronger elevation
of average heart rates compared to not chewing (p=0.016). DISCUSSION: The effect
of chewing gum on a mild stress load was less pronounced than in previous
studies. Besides the detection of cortisol in saliva, not in plasma, in previous
studies, flavored gum was used. Aroma active compounds might have substantially
contributed to the beneficial effects of gum on biomarker of stress shown before.
PMID- 26548502
TI - Pore-level mechanics of foam generation and coalescence in the presence of oil.
AB - The stability of foam in porous media is extremely important for realizing the
advantages of foamed gas on gas mobility reduction. Foam texture (i.e., bubbles
per volume of gas) achieved is dictated by foam generation and coalescence
processes occurring at the pore-level. For foam injection to be widely applied
during gas injection projects, we need to understand these pore-scale events that
lead to foam stability/instability so that they are modeled accurately. Foam flow
has been studied for decades, but most efforts focused on studying foam
generation and coalescence in the absence of oil. Here, the extensive existing
literature is reviewed and analyzed to identify open questions. Then, we use
etched-silicon micromodels to observe foam generation and coalescence processes
at the pore-level. Special emphasis is placed on foam coalescence in the presence
of oil. For the first time, lamella pinch-off as described by Myers and Radke
[40] is observed in porous media and documented. Additionally, a new mechanism
coined "hindered generation" is found. Hindered generation refers to the role oil
plays in preventing the successful formation of a lamella following snap-off near
a pore throat.
PMID- 26548500
TI - The effects of nicotine self-administration and withdrawal on concurrently
available chow and sucrose intake in adult male rats.
AB - Carbohydrate intake, preference, and taste thresholds may be altered in current
and former cigarette smokers, which may mediate weight gain and risk for obesity
in individuals who quit smoking. Attempts to model these effects in rodents have
primarily used noncontingent nicotine administration. The purpose of this
research was to characterize changes in chow and sucrose intake in rats during a
23-h access model of i.v. nicotine self-administration (NSA), in which rats lever
pressed for chow, sucrose, and nicotine under concurrent fixed-ratio (FR) 1
schedules. Male rats were assigned to one of three groups that differed in food
and drug availability. The Nicotine C+S group had concurrent access to nicotine,
chow, and sucrose. The Saline C+S group had access to saline, chow, and sucrose.
The Nicotine C-Only group had access to nicotine and chow, but not sucrose.
Changes in food intake and weight gain were assessed during baseline, NSA, and
nicotine withdrawal (i.e., saline extinction). Weight gain was significantly
slowed during NSA and increased during withdrawal, but did not differ between the
nicotine groups. NSA produced a significant decrease in both chow and sucrose
intake. Gradual tolerance to nicotine's effects on sucrose, but not chow intake,
occurred. During withdrawal, chow and sucrose intake increased, with a larger
percent increase in sucrose intake compared to chow. The proportion of total food
intake from sucrose was greater at the end of withdrawal compared to baseline,
indicating a history of nicotine intake changed dietary preference. Combined,
these results indicate that sucrose intake is more resistant to nicotine's
appetite suppressant effects and withdrawal from nicotine produces a greater
increase in sweet food intake alongside general increases in chow intake. Changes
in overall food intake in current and ex-smokers may lead to increased risk for
obesity and other health problems, potentially limiting the benefit of quitting
smoking.
PMID- 26548503
TI - A systematic review and meta-analysis of nut consumption and incident risk of CVD
and all-cause mortality.
AB - Dietary patterns containing nuts are associated with a lower risk of CVD
mortality, and increased nut consumption has been shown to have beneficial
effects on CVD risk factors including serum lipid levels. Recent studies have
reported on the relationship between nut intake and CVD outcomes and mortality.
Our objective was to systematically review the literature and quantify
associations between nut consumption and CVD outcomes and all-cause mortality.
Five electronic databases (through July 2015), previous reviews and
bibliographies of qualifying articles were searched. In the twenty included
prospective cohort studies (n 467 389), nut consumption was significantly
associated with a lower risk of all-cause mortality (ten studies; risk ratio (RR)
0.81; 95 % CI 0.77, 0.85 for highest v. lowest quantile of intake, P het=0.04, I
2=43 %), CVD mortality (five studies; RR 0.73; 95 % CI 0.68, 0.78; P het=0.31, I
2=16 %), all CHD (three studies; RR 0.66; 95 % CI 0.48, 0.91; P het=0.0002, I
2=88 %) and CHD mortality (seven studies; RR 0.70; 95 % CI 0.64, 0.76; P
het=0.65, I 2=0 %), as well as a statistically non-significant reduction in the
risk of non-fatal CHD (three studies; RR 0.71; 95 % CI 0.49, 1.03; P het=0.03, I
2=72 %) and stroke mortality (three studies; RR 0.83; 95 % CI 0.69, 1.00; P
het=0.54, I 2=0 %). No evidence of association was found for total stroke (two
studies; RR 1.05; 95 % CI 0.69, 1.61; P het=0.04, I 2=77 %). Data on total CVD
and sudden cardiac death were available from one cohort study, and they were
significantly inversely associated with nut consumption. In conclusion, we found
that higher nut consumption is associated with a lower risk of all-cause
mortality, total CVD, CVD mortality, total CHD, CHD mortality and sudden cardiac
death.
PMID- 26548504
TI - Fiberoptic bronchoscopy findings in children with stridor in a tertiary hospital.
PMID- 26548505
TI - Rhinitis: A clinical marker of COPD-asthma overlap phenotype?
PMID- 26548506
TI - Cost Effectiveness of Outpatient Asthma Clinics.
AB - INTRODUCTION: Asthma clinics (AC) are hospital outpatient services specialising
in the management of asthma. In this study, we analysed the impact of these
clinics on asthma management and their cost effectiveness in comparison with
standard outpatient services. METHODS: A case cross-over study in which all new
patients seen in the AC of Lugo in 2012 were included. The case period was
defined as one year following the first visit to the AC; the control period was
defined as the preceding year. We calculated changes in clinical quality
indicators for asthma management, and estimated the incremental cost
effectiveness ratio (ICER) for each additional patient treated and for each
quality-adjusted life year (QALY) RESULTS: The number of patients (n=83, mean age
49 +/- 15.2 years; 60.2% women) managed in the AC increased from 41% to 86%. The
Asthma Control Test score increased from 18.7 +/- 4.6 to 22.6 +/- 2.3 (p<0.05)
and FEV1 increased from 81.4% +/- 17.5 to 84.4% +/- 16.6 (p<0.05). The number of
exacerbations, hospitalisations and visits to accident and emergency fell by 75%.
The number of patients given combination LABA+ICS therapy fell from 79.5% to 41%.
The use of other drug therapy increased: anticholinergics, from 3.6% to 16.9%;
ICS in monotherapy, from 3.6% to 45.8%; and omalizumab, from 0% to 6%. ICERs per
patient managed and per QALY gained were ?1,399 and ?6,876, respectively (social
perspective). CONCLUSIONS: Treatment in ACs is cost-effective and beneficial in
asthma management.
PMID- 26548507
TI - Risk factors for late recurrent candidaemia. A retrospective matched case-control
study.
AB - Incidence, risk factors and clinical significance of late recurrent (LR)
candidaemia (>1 month between episodes) remains unclear. The 1219 episodes of
candidaemia detected from January 1985 to December 2014 were reviewed. We
selected all cases with more than one episode separated by at least 30 days after
clinical resolution in the interim (cases) and compared each of them with two
controls (patients with single episodes of candidaemia). Clinical strains were
genotyped to differentiate relapses from re-infection. Eighteen patients (1.48%)
had 36 episodes of LR candidaemia (median 4 months). Independent risk factors for
recurrence in the multivariate analysis were: underlying gastrointestinal disease
(OR 67.16; 95% CI 5.23-861.71; p 0.001) and fungaemia due to Candida parapsilosis
(OR 9.10; 95% 1.33-62.00; p 0.02). All episodes of LR candidaemia diagnosed
during the first 3 months were due to an intravascular source of infection,
whereas in those occurring after 3 months the main source of the disease was the
abdomen, followed by endocarditis, and urinary tract. Molecular typing showed
that 42.9% of LR candidaemias were relapses and 57.1% were re-infections. Neither
time of recurrence nor clinical origin could predict type of recurrence. LR
candidaemia is a relatively rare event that is more frequent in patients who have
an initial episode of candidaemia due to C. parapsilosis or an underlying
gastrointestinal disease. Episodes of LR candidaemia that occur within the first
3 months should prompt an attempt to exclude an intravascular source of
infection, whereas those occurring later point to an intra-abdominal origin.
PMID- 26548508
TI - A comparison of telbivudine and entecavir in the treatment of hepatitis B e
antigen-positive patients: a prospective cohort study in China.
AB - There are few studies directly comparing the efficacy and safety of telbivudine
and entecavir. The present prospective cohort study aimed to evaluate the long
term efficacy and safety of these compounds in 196 hepatitis B e antigen (HBeAg)
positive patients with chronic hepatitis B for a median follow-up period of 172
weeks; 97 were treated with telbivudine and 99 were treated with entecavir.
Patients showing suboptimal responses could also take adefovir at 24-48 weeks and
all patients with viral breakthrough were started on adefovir. The 240-week
cumulative proportions of patients showing undetectable hepatitis B DNA levels
and serum alanine transaminase (ALT) normalization were similar in the two study
groups. Viral breakthrough developed in 14% of the telbivudine group and in 2% of
the entecavir group (p 0.002). Interestingly, the cumulative proportions of
patients treated with entecavir and telbivudine showing HBeAg seroconversion were
12% versus 21% at 48 weeks (p 0.041), 15% versus 38% at 96 weeks (p 0.001), 24%
versus 50% at 144 weeks (p 0.001), 33% versus 53% at 192 weeks (p 0.004) and 36%
versus 53% at 240 weeks (p 0.005), respectively. Patients treated with
telbivudine were therefore significantly more likely to show HBeAg seroconversion
than those receiving entecavir and similar results were observed in study sub
groups matched for age, serum ALT, and HBV DNA levels. A safety analysis
identified no differences between grade 3/4 creatine kinase elevations in the
study groups and only telbivudine was associated with improved kidney function.
PMID- 26548509
TI - Is real-time PCR-based diagnosis similar in performance to routine
parasitological examination for the identification of Giardia intestinalis,
Cryptosporidium parvum/Cryptosporidium hominis and Entamoeba histolytica from
stool samples? Evaluation of a new commercial multiplex PCR assay and literature
review.
AB - Microscopy is the reference standard for routine laboratory diagnosis in faecal
parasitology but there is growing interest in alternative methods to overcome the
limitations of microscopic examination, which is time-consuming and highly
dependent on an operator's skills and expertise. Compared with microscopy, DNA
detection by PCR is simple and can offer a better turnaround time. However, PCR
performances remain difficult to assess as most studies have been conducted on a
limited number of positive clinical samples and used in-house PCR methods. Our
aim was to evaluate a new multiplex PCR assay (G-DiaParaTrio; Diagenode
Diagnostics), targeting Giardia intestinalis, Cryptosporidium
parvum/Cryptosporidium hominis and Entamoeba histolytica. To minimize the
turnaround time, PCR was coupled with automated DNA extraction (QiaSymphony;
Qiagen). The PCR assay was evaluated using a reference panel of 185 samples
established by routine microscopic examination using a standardized protocol
including Ziehl-Neelsen staining and adhesin detection by ELISA (E. histolytica
II; TechLab). This panel, collected from 12 French parasitology laboratories,
included 135 positive samples for G. intestinalis (n = 38), C. parvum/C. hominis
(n = 26), E. histolytica (n = 5), 21 other gastrointestinal parasites, together
with 50 negative samples. In all, the G-DiaParaTrio multiplex PCR assay
identified 38 G. intestinalis, 25 C. parvum/C. hominis and five E. histolytica
leading to sensitivity/specificity of 92%/100%, 96%/100% and 100%/100% for G.
intestinalis, C. parvum/C. hominis and E. histolytica, respectively. This new
multiplex PCR assay offers fast and reliable results, similar to microscopy
driven diagnosis for the detection of these gastrointestinal protozoa, allowing
its implementation in routine clinical practice.
PMID- 26548510
TI - Natural selection of K13 mutants of Plasmodium falciparum in response to
artemisinin combination therapies in Thailand.
AB - Resistance of Plasmodium falciparum to artemisinin combination therapy (ACT) in
Southeast Asia can have a devastating impact on chemotherapy and control
measures. In this study, the evolution of artemisinin-resistant P. falciparum in
Thailand was assessed by exploring mutations in the K13 locus believed to confer
drug resistance phenotype. P. falciparum-infected blood samples were obtained
from patients in eight provinces of Thailand over two decades (1991-2014; n =
904). Analysis of the K13 gene was performed by either sequencing the complete
coding region (n = 259) or mutation-specific PCR-restriction fragment length
polymorphism method (n = 645). K13 mutations related to artesunate resistance
were detected in isolates from Trat province bordering Cambodia in 1991, about 4
years preceding widespread deployment of ACT in Thailand and increased in
frequency over time. Nonsynonymous nucleotide diversity exceeded synonymous
nucleotide diversity in the propeller region of the K13 gene, supporting the
hypothesis that this diversity was driven by natural selection. No single mutant
appeared to be favoured in every population, and propeller-region mutants were
rarely observed in linkage with each other in the same haplotype. On the other
hand, there was a highly significant association between the occurrence of a
propeller mutant and the insertion of two or three asparagines after residue 139
of K13. Whether this insertion plays a compensatory role for deleterious effects
of propeller mutants on the function of the K13 protein requires further
investigation. However, modification of duration of ACT from 2-day to 3-day
regimens in 2008 throughout the country does not halt the increase in frequency
of mutants conferring artemisinin resistance phenotype.
PMID- 26548511
TI - Evidence of genotypic diversity among Candida auris isolates by multilocus
sequence typing, matrix-assisted laser desorption ionization time-of-flight mass
spectrometry and amplified fragment length polymorphism.
AB - Candida auris is a multidrug-resistant nosocomial bloodstream pathogen that has
been reported from Asian countries and South Africa. Herein, we studied the
population structure and genetic relatedness among 104 global C. auris isolates
from India, South Africa and Brazil using multilocus sequence typing (MLST),
amplified fragment length polymorphism (AFLP) fingerprinting and matrix-assisted
laser desorption ionization time-of-flight mass spectrometry (MALDI-TOF MS).
RPB1, RPB2 and internal transcribed spacer (ITS) and D1/D2 regions of the
ribosomal DNA were sequenced for MLST. Further, genetic variation and proteomic
assessment was carried out using AFLP and MALDI-TOF MS, respectively. Both MLST
and AFLP typing clearly demarcated two major clusters comprising Indian and
Brazilian isolates. However, the South African isolates were randomly
distributed, suggesting different genotypes. MALDI-TOF MS spectral profiling also
revealed evidence of geographical clustering but did not correlate fully with the
genotyping methods. Notably, overall the population structure of C. auris showed
evidence of geographical clustering by all the three techniques analysed.
Antifungal susceptibility testing by the CLSI microbroth dilution method revealed
that fluconazole had limited activity against 87% of isolates (MIC90, 64 mg/L).
Also, MIC90 of AMB was 4 mg/L. Candida auris is emerging as an important yeast
pathogen globally and requires reproducible laboratory methods for identification
and typing. Evaluation of MALDI-TOF MS as a typing method for this yeast is
warranted.
PMID- 26548512
TI - Abnormal epigenetic regulation of the gene expression levels of Wnt2b and Wnt7b:
Implications for neural tube defects.
AB - The association between Wnt genes and neural tube defects (NTDs) is recognized,
however, it remains to be fully elucidated. Our previous study demonstrated that
epigenetic mechanisms are affected in human NTDs. Therefore, the present study
aimed to evaluate whether Wnt2b and Wnt7b are susceptible to abnormal epigenetic
modification in NTDs, using chromatin immunoprecipitation assays to evaluate
histone enrichments and the MassARRAY platform to detect the methylation levels
of target regions within Wnt genes. The results demonstrated that the
transcriptional activities of Wnt2b and Wnt7b were abnormally upregulated in
mouse fetuses with NTDs and, in the GC-rich promoters of these genes, histone 3
lysine 4 (H3K4) acetylation was enriched, whereas H3K27 trimethylation was
reduced. Furthermore, several CpG sites in the altered histone modification of
target regions were significantly hypomethylated. The present study also detected
abnormal epigenetic modifications of these Wnt genes in human NTDs. In
conclusion, the present study detected abnormal upregulation in the levels of
Wnt2b and Wnt7b, and hypothesized that the alterations may be due to the ectopic
opening of chromatin structure. These results improve understanding of the
dysregulation of epigenetic modification of Wnt genes in NTDs.
PMID- 26548513
TI - Reliability of radiographic measurements for acromioclavicular joint separations.
AB - INTRODUCTION: The treatment of acromioclavicular (AC) joint separations is
controversial, particularly for Rockwood type III injuries. Rockwood type IV
injuries, which correspond to horizontal instability, are very likely under
diagnosed. The objective of this study was to evaluate the inter- and intra
observer reproducibility of the Rockwood classification through an evaluation of
standard radiographs, as described in the original article. MATERIAL AND METHODS:
This was a prospective radiographic study using protocol-based data from the 2014
symposium of the French Society of Arthroscopy (SFA). Fifteen anonymized
radiological records were analysed by six independent examiners on two occasions,
1 week apart. The records consisted of a comparative A/P view of the two
acromioclavicular joints (Zanca view), an axillary lateral view and dynamic
lateral views (Tauber protocol) to uncover dynamic horizontal instability. A
detailed analysis protocol was implemented that included absolute and relative
measurements on each view; the relative measurements were used to account for
radiographic magnification. RESULTS: The inter- and intra-observer
reproducibility on the A/P radiographs was good to excellent. The reproducibility
was fair to good on the lateral views, but the measurements varied greatly from
one subject to another, and significant errors were found with certain records.
The reproducibility of the dynamic views proposed by Tauber was poor to fair.
DISCUSSION: Radiographic analysis of AC joint separations is reproducible in the
vertical plane, which makes it possible to diagnose Rockwood type II, III and V
injuries. On the other hand, static and dynamic analyses in the horizontal plane
do not have good reproducibility and do not contribute to make an accurate
diagnosis of Rockwood type IV injuries. LEVEL OF EVIDENCE: Level I, Diagnostic
study.
PMID- 26548514
TI - Fatal Takotsubo cardiomyopathy due to epinephrine in shoulder arthroscopy.
AB - The authors report a case of a shoulder arthroscopy in which epinephrine saline
irrigation was held responsible for acute hypertension followed by fatal
Takotsubo cardiomyopathy.
PMID- 26548515
TI - Technique to treat iliopsoas irritation after total hip replacement: Thickening
of articular hip capsule through an abridged direct anterior approach.
AB - Iliopsoas irritation due to acetabular cup component impingement following total
hip arthroplasty (THA) is usually treated by infiltration or by distal iliopsoas
tenotomy in case of recurrence; however, this can result in an active flexion
deficit of the thigh. To prevent this complication, we developed an original
technique that we performed between 2012 and 2014 in patients with recurrent
impingement following extraarticular corticosteroid injections. This included 5
patients (mean age: 64 [53-75] years old) in whom we performed an ambulatory
bursectomy by the Hueter approach and placed a polyglactin 910 (VicrylTM) mesh
plate on the entire anterior hip capsule. After a mean follow-up of 12months (9
29months), anterior pain had decreased in all patients with improvement and an
increase in the Oxford-12 (mean: 15 points [10-19]), Merle d'Aubigne (mean: 2.5
points [1-5]) and Harris (mean: 18 points [10-29]) scores. No flexion deficits
were observed. An infected postoperative hematoma had to be drained but was cured
at follow-up. This simple procedure provides satisfactory results and preserves
THA function. It does not jeopardize future procedures and is an alternative
option in case of unsuccessful conservative treatment.
PMID- 26548516
TI - Perception and satisfaction with the information received during the medical care
process in patients with prostate cancer.
AB - OBJECTIVES: To assess the perception and degree of satisfaction of Spanish
patients with prostate cancer (PC) concerning the information received during the
medical care process. MATERIALS AND METHODS: We analysed information on the
perception of the medical care process of 591 patients with PC who attended a
consultation. We also studied their degree of participation in decision making
and the association between perceived satisfaction and the demographic and
clinical variables, both of patients and specialists. RESULTS: Some 90.2% of the
patients stated that they had received, mainly from the urologist, an appropriate
amount of information about the disease. More than 80% of the patients were
satisfied with the information received at the time of diagnosis. Some 70.3% of
the patients stated that they better accepted the disease thanks to the
information provided, and 60.5% believed that they had a better ability to
resolve problems. Some 90.4% of the patients considered that the time provided by
the specialist was appropriate. Some 62.5% of the patients participated in making
decisions about their disease and treatment. Age (both of the patient and
specialist), the extent of the disease, the time dedicated by the specialist and
the type of centre were factors that had a significant association (P<.05) with
the satisfaction achieved. CONCLUSIONS: The perception and degree of satisfaction
that Spanish patients with PC have of the information received during the medical
care process is good and is paralleled by a high degree of active participation
in the therapeutic decision making process.
PMID- 26548518
TI - ?
PMID- 26548517
TI - [Fixed pigmented erythema: Epidemiology, physiopathology, clinical features,
differential diagnosis and therapeutic management].
PMID- 26548519
TI - [Intralesional corticosteroid injections for pre-sternal keloids].
PMID- 26548520
TI - [Lentigo maligna].
PMID- 26548521
TI - [Male median raphe].
PMID- 26548522
TI - Editorial.
PMID- 26548523
TI - Protein-protein interactions of the LIM-only protein FHL2 and functional
implication of the interactions relevant in cardiovascular disease.
AB - FHL2 belongs to the LIM-domain only proteins and contains four and a half LIM
domains, each of which are composed of two zinc finger structures. FHL2 exhibits
specific interaction with proteins exhibiting diverse functions, including
transmembrane receptors, transcription factors and transcription co-regulators,
enzymes, and structural proteins. The function of these proteins is regulated by
FHL2, which modulates intracellular signal transduction pathways involved in a
plethora of cellular tasks. The present review summarizes the current knowledge
on the protein interactome of FHL2 and provides an overview of the functional
implication of these interactions in apoptosis, migration, and regulation of
nuclear receptor function. FHL2 was originally identified in the heart and there
is extensive literature available on the role of FHL2 in the cardiovascular
system, which is also summarized in this review.
PMID- 26548524
TI - Ultrasonic propagation in finite-length granular chains.
AB - A narrowband ultrasound source has been used to generate solitary wave impulses
in finite-length chains of spheres. Once the input signal is of sufficient
amplitude, both harmonics and sub-harmonics of the input frequency can be
generated as non-linear normal modes of the system, allowing a train of impulses
to be established from a sinusoidal input. The characteristics of the response
have been studied as a function of the physical properties of the chain, the
input waveform and the level of static pre-compression. The results agree with
the predictions of a theoretical model, based on a set of discrete dynamic
equations for the spheres for finite-length chains. Impulses are only created for
very small pre-compression forces of the order of 0.01N, where strongly non
linear behaviour is expected.
PMID- 26548525
TI - Guided torsional wave generation of a linear in-plane shear piezoelectric array
in metallic pipes.
AB - Cylindrical guided waves based techniques are effective and promising tools for
damage detection in long pipes. The essential operations are generation and
reception of guided waves in the structures utilizing transducers. A novel in
plane shear (d36 type) PMNT wafer is proposed to generate and receive the guided
wave, especially the torsional waves, in metallic pipes. In contrast to the
traditional wafer, this wafer will directly introduce in-plane shear deformation
when electrical field is conveniently applied through its thickness direction. A
single square d36 PMNT wafer is bonded on the surface of the pipe positioned
collinearly with its axis, when actuated can predominantly generate torsional (T)
waves along the axial direction, circumferential shear horizontal (C-SH) waves
along circumferential direction, and other complex cylindrical Lamb-like wave
modes along other helical directions simultaneously. While a linear array of
finite square size d36 PMNT wafers was equally spaced circumferentially, when
actuated simultaneously can nearly uniform axisymmetric torsional waves generate
in pipes and non-symmetric wave modes can be suppressed greatly if the number of
the d36 PMNT wafer is sufficiently large. This paper first presents the working
mechanism of the linear d36 PMNT array from finite element analysis (FEA) by
examining the constructive and destructive displacement wavefield phenomena in
metallic pipes. Furthermore, since the amplitude of the received fundamental
torsional wave signal strongly depends on frequency, a series of experiments are
conducted to determine the frequency tuning curve for the torsional wave mode.
All results indicate the linear d36 PMNT array has potential for efficiently
generating uniform torsional wavefield of the fundamental torsional wave mode,
which is more effective in monitoring structural health in metallic pipes.
PMID- 26548526
TI - In-line mixing states monitoring of suspensions using ultrasonic reflection
technique.
AB - Based on the measurement of echo signal changes caused by different concentration
distributions in the mixing process, a simple ultrasonic reflection technique is
proposed for in-line monitoring of the mixing states of suspensions in an
agitated tank in this study. The relation between the echo signals and the
concentration of suspensions is studied, and the mixing process of suspensions is
tracked by in-line measurement of ultrasonic echo signals using two ultrasonic
sensors. Through the analysis of echo signals over time, the mixing states of
suspensions are obtained, and the homogeneity of suspensions is quantified. With
the proposed technique, the effects of impeller diameter and agitation speed on
the mixing process are studied, and the optimal agitation speed and the minimum
mixing time to achieve the maximum homogeneity are acquired under different
operating conditions and design parameters. The proposed technique is stable and
feasible and shows great potential for in-line monitoring of mixing states of
suspensions.
PMID- 26548527
TI - Guided waves based diagnostic imaging of circumferential cracks in small-diameter
pipe.
AB - To improve the safety and reliability of pipeline structures, much work has been
done using ultrasonic guided waves methods for pipe inspection. Though good for
evaluating the defects in the pipes, most of the methods lack the capability to
precisely identify the defects in the pipe features like welds or supports.
Therefore, a novel guided wave based cross-sectional diagnostic imaging algorithm
was developed to improve the ability of circumferential cracks identification in
the pipe features. To ensure the accuracy of the imaging, an angular profile
based frequency selection method is presented. As validation, the approach was
employed to identify the presence and location of a small circumferential crack
with 1.13% cross sectional area (CSA) in the welding zone of a 48 mm diameter
type 304 stainless steel pipe. Accurate identification results have demonstrated
the effectiveness of the developed approach.
PMID- 26548528
TI - Cessation of facial growth in subjects with short, average, and long facial types
- Implications for the timing of implant placement.
AB - Placement of a single-tooth implant should be performed when a patient's facial
growth has ceased. In this retrospective observational study, we evaluated if
there was a difference in the timing of cessation of craniofacial growth in
short, average, and long facial types. Based on the value of the angle between
cranial base and mandibular plane (SN/MP angle), three groups comprising 48
subjects with short facial type (SF; SN/MP <=28 degrees ), 77 with average facial
type (AF; SN/MP >=31.5 degrees and <=34.5 degrees ), and 44 with long facial
type (LF; SN/MP >=38 degrees ) were selected. Facial growth was assessed on
lateral cephalograms taken at 15.4 years of age, and 2, 5, and 10 years later.
Variables were considered to be stable when the difference between two successive
measurements was less than 1 mm or 1 degrees . We found no difference between
facial types in the timing of cessation of facial growth. Depending on the
variable, the mean age when variables became stable ranged from 18.0 years (Is
Pal in LF group) to 22.0 years (SN/MP in LF group). However, facial growth
continued at the last follow-up in approximately 20% subjects. This study
demonstrates that facial type is not associated with the timing of cessation of
facial growth.
PMID- 26548529
TI - Endoscopic transnasal approach and intraoperative navigation for the treatment of
isolated blowout fractures of the medial orbital wall.
AB - The aim of this study is to describe the reduction of medial orbital wall
fractures using a combination of two different techniques: the endoscopic
reduction and the navigation aided reconstruction. The endoscopic approach avoids
an external incision and allows the observation of the fracture site clearly.
Navigation-aided reconstruction is essential to achieve precise and predictable
results in orbital reconstruction. It consists in an ideal virtual reconstruction
of the target area created using a mirroring tool, and superimposing and
comparing the unaffected and the affected sides. This technique opens a broad
spectrum of possible surgical approaches, especially in situations in which
anatomical landmarks for precise positioning of bone fragments, or bone grafts,
are missing. This study is the first to combine these two techniques. The study
was carried out in seven patients who underwent endoscopic reduction of isolated
blowout fractures of the medial orbital wall and navigation-aided reconstruction
at the authors' institution. This pilot study clearly shows that a combination of
the endoscopic reduction and the navigation-aided reconstruction provides
functional results and great advantages in terms of anatomical preservation and
postoperative morbidity.
PMID- 26548530
TI - Dental MRI using a dedicated RF-coil at 3 Tesla.
AB - PURPOSE: To assess the benefit of a dedicated surface coil to visualize dental
structures in comparison to standard head/neck coil. METHODS: Measurements were
performed using the standard head/neck coil and a dedicated array coil for dental
MRI at 3 T. As MRI methods, we used a T1-weighted spin-echo sequence with and
without spectral fat saturation, a T2-weighted turbo-spin-echo sequence and a 3
dimensional T2-weighted SPACE sequence. Measurements were performed in a phantom
to examine sensitivity profiles. Then the signal gain in dental structures was
examined in volunteers and in a patient. RESULTS: As expected for a surface coil,
the signal gain of the dental coil was highest at the surface of the phantom and
decreased with increasing distance to the coil; it was >120% even at a depth of
30 mm, measured from the centre of the coil. The signal gain within the pulp of
the volunteers ranged between 236 and 413%. CONCLUSION: The dedicated array coil
offers a significantly higher signal within the region of interest for dental MR
imaging thus allowing for better depiction of pathologies within the periodontium
and for delineation and tracking of the branches of the maxillary and mandibular
nerves.
PMID- 26548531
TI - Identification of microRNAs and microRNA targets in Xenopus gastrulae: The role
of miR-26 in the regulation of Smad1.
AB - MicroRNAs (miRNAs) are known to play diverse roles in the regulation of
vertebrate development. To investigate miRNA-target mRNA relationships in
embryonic development, we have carried out small-RNA sequencing to identify
miRNAs expressed in the early gastrula of Xenopus laevis. We identify a total of
180 miRNAs, and we have identified the locations of the miRNA precursor sequences
in the X. laevis genome. Of these miRNAs, 141 represent miRs previously
identified in Xenopus tropicalis. Alignment to human miRNAs led to the
identification of 39 miRNAs that have not previously been described for Xenopus.
We have also used a biochemical approach to isolate mRNAs that are associated
with the RNA-Induced Silencing Complex (RISC) in early gastrulae and thus
candidate targets of miRNA-dependent regulation. Interrogation of this RISC
associated mRNA pool by RT-PCR indicates that a number of genes essential for
early patterning and specification may be under regulation by miRNAs. Smad1
transcripts are associated with the RISC; target prediction algorithms identify a
single miRNA-binding site for miR-26, which is common to the 3'UTRs of Smad1a and
Smad1b. Disruption of the interaction between miR-26 and the Smad1 3'UTR via a
Target Protector Morpholino Oligonucleotide (TPMO) leads to a 2-fold increase in
Smad1 protein accumulation, moderate increases in the expression of BMP4/Smad1
target genes, and a reduction in organizer gene expression, as well as a
partially ventralized phenotype in approximately 25% of embryos. Overexpression
of miR-26 resulted in moderately decreased expression of Smad1-dependent genes
and an expansion of the region expressing the Organizer gene not1. Our findings
indicate that interactions between miR-26 and the Smad1 3'UTR modulate Smad1
function in the establishment of axial patterning; they also establish a
foundation for the functional analysis of miRNAs and their regulatory
interactions during gastrulation.
PMID- 26548532
TI - Expression of LMP and EBNA genes in Epstein-Barr virus-associated lymphomas in Hu
PBL/SCID mice.
AB - Transplantation of peripheral blood lymphocytes (PBLs) from healthy humans with
latent Epstein-Barr virus (EBV) infection into severe combined immunodeficiency
(SCID) mice results in development of EBV-associated human B-cell lymphoma.
However, the expression of EBV genes in relation to lymphoma development has not
been reported. We investigated latent membrane protein (LMP) and EBV nuclear
antigen (EBNA) gene expression in PBLs from EBV-positive blood donors and induced
lymphoma cells from SCID mice to elucidate the functions and effects of the EBV
genome in the occurrence and development of lymphoma. PBLs were isolated from 9
healthy blood donors and transplanted into SCID mice. Gene expression levels of
LMP-1, LMP-2A, and LMP-2B and EBNA-1, EBNA-2, EBNA-3A, EBNA-3B, EBNA-3C and EBNA
LP were monitored by real-time quantitative-polymerase chain reaction (qRT-PCR)
in cells from nine EBV-induced lymphomas and in matched lymphocytes from healthy
subjects. LMP-1, EBNA-1 and EBNA-2 protein levels were detected by western
blotting. As a result, LMP-1, LMP-2A and LMP-2B mRNA levels were upregulated 256
, 38- and 331-fold, respectively, in the EBV-induced lymphoma cells compared with
the controls, while EBNA-1 and EBNA-3A mRNA levels were upregulated 1157- and
1154-fold, respectively. EBNA-2, EBNA-3B, EBNA-3C and EBNA-LP mRNAs were detected
in lymphoma cells, but not in lymphocytes from EBV-positive blood donors. LMP-1
and EBNA-2 proteins were not expressed in lymphocytes from EBV-positive blood
donors, according to western blotting. Weak EBNA-1 expression was observed in
lymphocytes from blood donors with latent EBV infection, while LMP-1, EBNA-1 and
EBNA-2 protein levels were significantly upregulated in EBV-induced lymphoma
cells, consistent with mRNA expression levels detected by qRT-PCR. In conclusion,
LMP-1, LMP-2A, LMP-2B, EBNA-1 and EBNA-3A were upregulated in EBV-induced
lymphoma cells, while EBNA-2, EBNA-3B, EBNA-3C and EBNA-LP were absent in
lymphocytes from humans with latent EBV infection, but were positively expressed
in EBV-induced lymphoma cells.
PMID- 26548535
TI - [Venous thromboembolic risk during repatriation for medical reasons].
AB - In France, approximately 3000 people are repatriated every year, either in a
civil situation by insurers. Repatriation also concerns French army soldiers. The
literature is scarce on the topic of venous thromboembolic risk and its
prevention during repatriation for medical reasons, a common situation. Most
studies have focused on the association between venous thrombosis and travel, a
relationship recognized more than 60 years ago but still subject to debate.
Examining the degree of venous thromboembolic risk during repatriation for
medical reasons must take into account several parameters, related to the
patient, to comorbid conditions and to repatriation modalities. Appropriate
prevention must be determined on an individual basis.
PMID- 26548534
TI - Cancer immunotherapy: Strategies for personalization and combinatorial
approaches.
AB - The results of recent clinical trials using novel immunotherapy strategies such
as immune checkpoint blockade and adoptive T-cell therapy approaches including
CAR T-cell therapy have clearly established immunotherapy as an important
modality for the treatment of cancer besides the traditional approaches of
surgery, radiotherapy, and chemotherapy or targeted therapy. However, to date
immunotherapy has been shown to induce durable clinical benefit in only a
fraction of the patients. The use of combination strategies is likely to increase
the number of patients that might benefit from immunotherapy. Indeed, over the
last decade, the characterization of multiple immune resistance mechanisms used
by the tumor to evade the immune system and the development of agents that target
those mechanisms has generated a lot of enthusiasm for cancer immunotherapy. But
a critical issue is to determine how best to combine such agents. This review
will focus on novel immunotherapy agents currently in development and discuss
strategies to develop and personalize combination cancer immunotherapy
strategies.
PMID- 26548536
TI - [Necrotic leg ulcer revealing vasculitis induced by vitamin K antagonists].
AB - Vitamin K antagonists are widely used in thromboembolic diseases. Hemorrhagic
complications related to drug overdose represent their main side effect. We
report a rare side effect, a severe and unexpected type of skin vasculitis -
necrotic leg ulcer - induced by vitamin K antagonist. CASE REPORT: A 63-year-old
female with a history of diabetes developed hyperalgesic necrotic ulcerations on
the lower limbs one month after starting an acenocoumarol-based treatment for
ischemic heart disease. Histological examination revealed lymphocytic vasculitis
with fibrinoid necrosis. Etiological explorations searching for vasculitis were
negative. In the absence of a precise etiology, drug-induced ulcer was suspected.
Low molecular weight heparin was prescribed to replace acenocoumarol. The lesions
slowly resolved with topical treatment. DISCUSSION: The chronological criteria
and the negativity of etiological explorations allowed the diagnosis of vitamin K
antagonist-induced necrotic skin ulcer. Clinicians should be aware of this rare
complication induced by oral anticoagulants because of its practical therapeutic
implications. This is the first case of necrotic leg ulcer induced by
acenocoumarol corresponding histologically to necrotising lymphocytic vasculitis.
PMID- 26548537
TI - Life-Threatening Cardiac Tamponade Secondary to Chylopericardium Following
Orthotopic Heart Transplantation-A Case Report.
AB - Chylopericardium is a rare complication in cardiac surgery, and an extremely rare
occurrence in patients following orthotopic heart transplantation (OHT), which,
however, can lead to cardiac tamponade. Here we present a case of a 59-year-old
man who underwent OHT and suffered from chylopericardium resulting in cardiac
tamponade late in the postoperative course, despite the initially uneventful
early postoperative period (decreasing blood drainage was observed directly after
the procedure, and the drains were safely removed). After the diagnosis of
chylopericardium was made, the conservative treatment was initiated, which turned
out to be insufficient, and eventually invasive approach for the recurrence of
tamponade secondary to chylopericardium was required. We discuss the available
therapeutic options for chylopericardium and demonstrate the successful invasive
therapeutic approach with use of the absorbable fibrin sealant patch.
PMID- 26548533
TI - T-cell receptor gene therapy--ready to go viral?
AB - T lymphocytes can be redirected to recognize a tumor target and harnessed to
combat cancer by genetic introduction of T-cell receptors of a defined
specificity. This approach has recently mediated encouraging clinical responses
in patients with cancers previously regarded as incurable. However, despite the
great promise, T-cell receptor gene therapy still faces a multitude of obstacles.
Identification of epitopes that enable effective targeting of all the cells in a
heterogeneous tumor while sparing normal tissues remains perhaps the most
demanding challenge. Experience from clinical trials has revealed the dangers
associated with T-cell receptor gene therapy and highlighted the need for
reliable preclinical methods to identify potentially hazardous recognition of
both intended and unintended epitopes in healthy tissues. Procedures for
manufacturing large and highly potent T-cell populations can be optimized to
enhance their antitumor efficacy. Here, we review the current knowledge gained
from preclinical models and clinical trials using adoptive transfer of T-cell
receptor-engineered T lymphocytes, discuss the major challenges involved and
highlight potential strategies to increase the safety and efficacy to make T-cell
receptor gene therapy a standard-of-care for large patient groups.
PMID- 26548539
TI - Why should ethics approval be required prior to publication of health promotion
research?
AB - ISSUE ADDRESSED: Most academic journals that publish studies involving human
participants require evidence that the research has been approved by a human
research ethics committee (HREC). Yet journals continue to receive submissions
from authors who have failed to obtain such approval. In this paper, we provide
an ethical justification of why journals should not, in general, publish articles
describing research that has no ethics approval, with particular attention to the
health promotion context. METHODS: Using theoretical bioethical reasoning and
drawing on a case study, we first rebut some potential criticisms of the need for
research ethics approval. We then outline four positive claims to justify a
presumption that research should, in most instances, be published only if it has
been undertaken with HREC approval. RESULTS: We present four justifications for
requiring ethics approval before publication: (1) HREC approval adds legitimacy
to the research; (2) the process of obtaining HREC approval can improve the
quality of an intervention being investigated; (3) obtaining HREC approval can
help mitigate harm; and (4) obtaining HREC approval demonstrates respect for
persons. CONCLUSION: This paper provides a systematic and comprehensive
assessment of why research ethics approval should generally be obtained before
publishing in the health promotion context. So what? Journals such as the Health
Promotion Journal of Australia have recently begun to require research ethics
approval for publishing research. Health promotion researchers will be interested
in learning the ethical justification for this change.
PMID- 26548538
TI - Arterial Vasoreactivity is Equally Affected by In Vivo Cross-Clamping with
Increasing Loads in Young and Middle-Aged Mice Aortas.
AB - PURPOSE: To compensate for the lack of haptic feedback by surgical robots,
limitation of exerted forces could be implemented. The limits should be based on
the observed relationship between tissue load and induced damage. This study
examines whether age-related changes influence this relationship. METHODS:
Descending thoracic aortas of male C57BL/6J mice of 10, 25 and 40 weeks were
clamped in vivo (no clamp, 0.5N or 2.0N) for 2 min. Functional integrity was
tested in vitro by studying endothelium-dependent and -independent
vasoreactivity. RESULTS: Endothelium-dependent relaxation deteriorated with
increased clamping force at all ages. Clamping did not influence endothelium
independent vasodilation. Age (10, 25 and 40 weeks) did not significantly impact
on the effect of clamping on endothelium-dependent and independent
vasoreactivity. CONCLUSIONS: Within the tested conditions, mechanical clamping
induces damage to the vascular endothelium, but not to the smooth muscle cells.
Age has no effect on the obtained results in mice from 10 to 40 weeks old.
PMID- 26548540
TI - Moving beyond evidence-based medicine: Incorporating patient values and
preferences.
PMID- 26548541
TI - Standards and guidelines for observational studies: quality is in the eye of the
beholder.
AB - OBJECTIVES: Patient care decisions demand high-quality research. To assist those
decisions, numerous observational studies are being performed. Are the standards
and guidelines to assess observational studies consistent and actionable? What
policy considerations should be considered to ensure decision makers can
determine if an observational study is of high-quality and valid to inform
treatment decisions? STUDY DESIGN AND SETTING: Based on a literature review and
input from six experts, we compared and contrasted nine standards/guidelines
using 23 methodological elements involved in observational studies (e.g., study
protocol, data analysis, and so forth). RESULTS: Fourteen elements (61%) were
addressed by at least seven standards/guidelines; 12 of these elements disagreed
in the approach. Nine elements (39%) were addressed by six or fewer
standards/guidelines. Ten elements (43%) were not actionable in at least one
standard/guideline that addressed the element. CONCLUSION: The lack of
observational study standard/guideline agreement may contribute to variation in
study conduct; disparities in what is considered credible research; and
ultimately, what evidence is adopted. A common set of agreed on
standards/guidelines for conducting observational studies will benefit funders,
researchers, journal editors, and decision makers.
PMID- 26548542
TI - A reduced factor structure for the PROQOL-HIV questionnaire provided reliable
indicators of health-related quality of life.
AB - OBJECTIVES: To identify a simplified factor structure for the PROQOL-human
immunodeficiency virus (HIV) questionnaire to improve the measurement of the
health-related quality of life (HRQL) of HIV-positive patients in clinical care
and research settings. STUDY DESIGN AND SETTING: HRQL data were collected using
the eight-dimension PROQOL-HIV questionnaire from 2,537 patients (VESPA2 study).
Exploratory factor analysis (EFA) and confirmatory factor analysis (CFA)
validated a simpler four-factor structure and assessed measurement invariance
(MI). Multigroup analysis assessed the effect of sex, age, and antiretroviral
therapy (ART) on the resulting factor scores. Correlations with symptom and Short
Form (SF)-12 self-reports assessed convergent validity. RESULTS: Item analysis,
EFA, and CFAs confirmed the validity [comparative fit index (CFI), 0.948; root
mean square error of approximation, 0.064] and reliability (alpha's >= 0.8) of
four dimensions: physical health and symptoms, health concerns and mental
distress, social and intimate relationships, and treatment-related impact. Strong
MI was demonstrated across sex and age (decrease in CFI <0.01). A multiple-cause
multiple-indicator model indicated that HRQL correlated as expected with sex,
age, and the ART status. Correlations of HRQL, symptom reports, and SF-12 scores
evidenced convergent validity criterion. CONCLUSION: The simplified factor
structure and scoring scheme for PROQOL-HIV will allow clinicians to monitor with
greater reliability the HRQL of patients in clinical care and research settings.
PMID- 26548543
TI - Caring for the Caregivers: Results of an Extended, Five-component Stress
reduction Intervention for Hospital Staff.
AB - The health-related consequences of stress in hospital workers and associated
costs of absenteeism and high turnover have increased the need for programs
targeting stress in this population. "Caring for the Caregivers," a multimodal
approach to stress-reduction designed to address the multidimensional nature of
stress in hospital staff, integrates five components: cognitive, somatic,
dynamic, emotive and hands-on, in a flexible eight-month format. Significant
improvements were demonstrated for 97 participants compared to 67 controls in pre
post scores for the Maslach Burnout Inventory, Job-Related Tension Index,
Perceived Stress Scale, Productivity Scale, General Health Questionnaire,
Positive and Negative Affect Schedule, and Visual Analogue Scales of 12 stress
associated symptoms. Together with significant reduction in upper respiratory
infections and family doctor visits, these results suggest that providing
hospital staff with multiple techniques addressing commonly encountered work
stressors impacts positively on health and well-being and significantly reduces
stress and burnout in this population.
PMID- 26548544
TI - New pharmacological treatment options for irritable bowel syndrome with
constipation.
AB - INTRODUCTION: Constipation predominant irritable bowel syndrome (IBS-C) is a
common disorder and accounts for a large number of ambulatory visits. Sensory
abnormalities, that is, presence of abdominal pain and discomfort, distinguish
IBS-C from chronic idiopathic constipation. AREA COVERED: This review focuses on
the pharmacology, efficacy, safety, and future of prucalopride, YKP-10811, DSP
6952, dexloxiglumide, linaclotide, plecanatide, tenapanor, and elobixibat. EXPERT
OPINION: It is now well established that treatment focusing only on bowel transit
provides incomplete relief to patients with IBS-C. Improved understanding of
pathophysiology of IBS-C has led to use of sensory end points like complete
spontaneous bowel movements and the FDA combined end point (abdominal pain and
complete spontaneous bowel movements) in clinical trials. A number of drugs are
in development and provide hope for this challenging group of patients. However,
because of recent failures secondary to ineffectiveness and/or adverse events, we
cautiously await how clinical data play out in larger studies and in clinical
practice.
PMID- 26548545
TI - Serum levels of brain-derived neurotrophic factor (BDNF) and neurotrophin-3 (NT
3) in depressed patients with schizophrenia.
AB - AIM: Brain-derived neurotrophic factor (BDNF) and neurotrophin-3 (NT-3) are
neurotrophins-proteins that induce the survival, development, and function of
neurons. Their role in the development of schizophrenia and mood disorders is
widely studied. This study was aimed to determine whether depression affects
levels of BDNF and NT-3 in patients with schizophrenia. METHODS: Data for 53
Caucasian adult hospitalized patients with chronic paranoid schizophrenia was
compared with 27 healthy subjects. Clinical symptoms were assessed using the
Positive and Negative Syndrome Scale (PANSS) and positive, negative and general
sub-scores, the Calgary Depression Scale for Schizophrenia (CDSS), the Hamilton
Depression Rating Scale (HDRS), and the Clinical Global Impressions scale (CGI).
Patients were defined as depressed (SHZ-DEP) with scores CDSS > 6 and HDRS > 7,
otherwise they were included into the non-depressed group (SHZ-nonDEP). RESULTS:
In total, 17 patients (32.1%) with schizophrenia met criteria for depression. SHZ
DEP patients had higher scores in HDRS, CDSS, PANSS total, PANSS negative, PANSS
general and CGI (p < 0.001 for all comparisons). There were no differences in
BDNF or NT-3 levels between patients with schizophrenia and controls. BDNF levels
were lower in SHZ-DEP compared to SHZ-nonDEP: 18.82 +/- 5.95 versus 22.10 +/-
5.31 ng/mL, p = 0.045. NT-3 levels were higher in SHZ-DEP compared to SHZ-nonDEP:
133.31 +/- 222.19 versus 56.04 +/- 201.28 pg/mL, p = 0.033. CONCLUSION: There
were no differences in neurotrophin levels between patients with schizophrenia
and controls. We found lower BDNF and higher NT-3 serum levels in depressed
patients with schizophrenia.
PMID- 26548546
TI - Using 'big data' to validate claims made in the pharmaceutical approval process.
AB - Big Data in the healthcare setting refers to the storage, assimilation, and
analysis of large quantities of information regarding patient care. These data
can be collected and stored in a wide variety of ways including electronic
medical records collected at the patient bedside, or through medical records that
are coded and passed to insurance companies for reimbursement. When these data
are processed it is possible to validate claims as a part of the regulatory
review process regarding the anticipated performance of medications and devices.
In order to analyze properly claims by manufacturers and others, there is a need
to express claims in terms that are testable in a timeframe that is useful and
meaningful to formulary committees. Claims for the comparative benefits and
costs, including budget impact, of products and devices need to be expressed in
measurable terms, ideally in the context of submission or validation protocols.
Claims should be either consistent with accessible Big Data or able to support
observational studies where Big Data identifies target populations. Protocols
should identify, in disaggregated terms, key variables that would lead to direct
or proxy validation. Once these variables are identified, Big Data can be used to
query massive quantities of data in the validation process. Research can be
passive or active in nature. Passive, where the data are collected
retrospectively; active where the researcher is prospectively looking for
indicators of co-morbid conditions, side-effects or adverse events, testing these
indicators to determine if claims are within desired ranges set forth by the
manufacturer. Additionally, Big Data can be used to assess the effectiveness of
therapy through health insurance records. This, for example, could indicate that
disease or co-morbid conditions cease to be treated. Understanding the basic
strengths and weaknesses of Big Data in the claim validation process provides a
glimpse of the value that this research can provide to industry. Big Data can
support a research agenda that focuses on the process of claims validation to
support formulary submissions as well as inputs to ongoing disease area and
therapeutic class reviews.
PMID- 26548547
TI - Molecular rationale delineating the role of lycopene as a potent HMG-CoA
reductase inhibitor: in vitro and in silico study.
AB - This study initially aimed to depict the molecular rationale evolving the role of
lycopene in inhibiting the enzymatic activity of beta-hydroxy-beta-methylglutaryl
CoA (HMG-CoA) reductase via in vitro and in silico analysis. Our results
illustrated that lycopene exhibited strong HMG-CoA reductase inhibitory activity
(IC50 value of 36 ng/ml) quite better than pravastatin (IC50 = 42 ng/ml) and
strong DPPH free radical scavenging activity (IC50 value = 4.57 +/- 0.23 MUg/ml)
as compared to ascorbic acid (IC50 value = 9.82 +/- 0.42 MUg/ml). Moreover, the
Ki value of lycopene (36 ng/ml) depicted via Dixon plot was well concurred with
an IC50 value of 36 +/- 1.8 ng/ml. Moreover, molecular informatics study showed
that lycopene exhibited binding energy of -5.62 kcal/mol indicating high affinity
for HMG-CoA reductase than HMG-CoA (DeltaG: -5.34 kcal/mol). Thus, in silico data
clearly demonstrate and support the in vitro results that lycopene competitively
inhibit HMG-CoA reductase activity by binding at the hydrophobic portion of HMG
CoA reductase.
PMID- 26548548
TI - The effect of flexible body armour on pulmonary function.
AB - The additional mass and fit of current military in-service body armour (ISBA) can
reduce pulmonary function in a way that is characteristic of a restrictive
respiratory impairment. This could ultimately impair exercise capacity and
military performance. This study compared pulmonary function (forced vital
capacity [FVC] and forced expiratory volume in 1 s [FEV1]) in UK ISBA (15.3 kg)
and three flexible body armours (BAs) (FA1: 10 kg; FA2: 7.8 kg; FA3: 10 kg) in
eight male soldiers. The design of the ballistic plates differed between the BAs
to improve the flexibility. FVC and FEV1 were reduced by 4-6%, without reduction
in FEV1/FVC for ISBA, FA2 and FA3, when compared to NoBA (p < 0.05). No
difference was observed between FA1 and NoBA. As expected, wearing BA caused a
mild restrictive ventilatory impairment; however, modifications to BA design can
reduce the degree of this impairment. Practitioner Summary: This study showed
that wearing body armour caused a mild restrictive ventilatory impairment.
However, the design of the armour can be modified to reduce the degree of this
impairment. This may lead to improvements in soldier performance during tasks
that require body armour.
PMID- 26548550
TI - Prognostic and predictive biomarkers in prostate cancer.
AB - Prostate cancer (PCa) is one of the leading causes of cancer death among males,
especially in more developed countries. Diagnosis is often achieved at an early
stage of the disease with prostate biopsy, following a screening test showing
elevated serum levels of prostate-specific antigen or a positive digital rectal
examination. Early detection of PCa has led to a substantial decline in the
number of metastatic patients. However, the prostate-specific antigen screening
test has proved to be a double-edged sword so far, as it also accounts for PCa
overdiagnosis. Due to the variability of PCa features, accurate prognosis of PCa
patients is very important for determining treatment options. Therefore, this
review focuses on the most promising prognostic and predictive biomarkers in PCa,
which are likely to play a pivotal role, alone or in panels, in the personalized
medicine era that has recently emerged.
PMID- 26548549
TI - Effect of savings-led economic empowerment on HIV preventive practices among
orphaned adolescents in rural Uganda: results from the Suubi-Maka randomized
experiment.
AB - Improving economic resources of impoverished youth may alter intentions to engage
in sexual risk behaviors by motivating positive future planning to avoid HIV risk
and by altering economic contexts contributing to HIV risk. Yet, few studies have
examined the effect of economic-strengthening on economic and sexual behaviors of
orphaned youth, despite high poverty and high HIV infection in this population.
Hierarchal longitudinal regressions were used to examine the effect of a savings
led economic empowerment intervention, the Suubi-Maka Project, on changes in
orphaned adolescents' cash savings and attitudes toward savings and HIV
preventive practices over time. We randomized 346 Ugandan adolescents, aged 10-17
years, to either the control group receiving usual orphan care plus mentoring (n
= 167) or the intervention group receiving usual orphan care plus mentoring,
financial education, and matched savings accounts (n = 179). Assessments were
conducted at baseline, 12, and 24 months. Results indicated that intervention
adolescents significantly increased their cash savings over time (b = $US12.32,
+/-1.12, p < .001) compared to adolescents in the control group. At 24 months
post-baseline, 92% of intervention adolescents had accumulated savings compared
to 43% in the control group (p < .001). The largest changes in savings goals were
the proportion of intervention adolescents valuing saving for money to buy a home
(DeltaT1-T0 = +14.9, p < .001), pursue vocational training (DeltaT1-T0 = +8.8, p
< .01), and start a business (T1-T0 = +6.7, p < .01). Intervention adolescents
also had a significant relative increase over time in HIV-preventive attitudinal
scores (b = +0.19, +/-0.09, p < .05), most commonly toward perceived risk of HIV
(95.8%, n = 159), sexual abstinence or postponement (91.6%, n = 152), and
consistent condom use (93.4%, n = 144). In addition, intervention adolescents had
2.017 significantly greater odds of a maximum HIV-prevention score (OR = 2.017,
95%CI: 1.43-2.84). To minimize HIV risk throughout the adolescent and young adult
periods, long-term strategies are needed to integrate youth economic development,
including savings and income generation, with age-appropriate combination
prevention interventions.
PMID- 26548551
TI - 2D and 3D-QSAR analysis of pyrazole-thiazolinone derivatives as EGFR kinase
inhibitors by CoMFA and CoMSIA.
AB - Two and Three-dimensional quantitative structure-activity relationship (2D, 3D
QSAR) study was performed for some pyrazole-thiazolinone derivatives as EGFR
kinase inhibitors using the CoMFA, CoMSIA and GA-MLR methods. The utilized data
set was split into training and test set based on hierarchical clustering
technique. From the five CoMSIA descriptors, electrostatic field presented the
highest correlation with the activity. The statistical parameters for the CoMFA
(r(2)=0.862, q(2)=0.644) and CoMSIA (r(2)=0.851, q(2)=0.740) were obtained for
the training set with the common substructure-based alignment. The obtained
parameters indicated the superiority of the CoMSIA model over the CoMFA model. A
test set consisted of seven compounds was used to evaluate the proposed models.
The results of contour maps which were presented by each method lead to some
insights for increasing the inhibition activity of compounds. The 2D-QSAR model
was built based on three descriptors selected by genetic algorithm and showed
high predictive ability (R(2) train= 0.843, Q(2) LOO=0.787). Molecular docking
study was also performed to understand the type interactions presented in binding
site of the receptor and ligand. The developed models in parallel with molecular
docking can be employed to design and derive novel compounds with the potent EGFR
inhibitory activity.
PMID- 26548552
TI - Interaction Studies of Withania Somnifera's Key Metabolite Withaferin A with
Different Receptors Assoociated with Cardiovascular Disease.
AB - Withania somnifera commonly known as Ashwagandha in India is used in many herbal
formulations to treat various cardiovascular diseases. The key metabolite of this
plant, Withaferin A was analyzed for its molecular mechanism through docking
studies on different targets of cardiovascular disease. Six receptor proteins
associated with cardiovascular disease were selected and interaction studies were
performed with Withaferin A using AutoDock Vina. CORINA was used to model the
small molecules and HBAT to compute the hydrogen bonding. Among the six targets,
beta1- adrenergic receptors, HMG-CoA and Angiotensinogen-converting enzyme showed
significant interaction with Withaferin A. Pharmacophore modeling was done using
PharmaGist to understand the pharmacophoric potential of Withaferin A. Clustering
of Withaferin A with different existing drug molecules for cardiovascular disease
was performed with ChemMine based on structural similarity and physicochemical
properties. The ability of natural active component, Withaferin A to interact
with different receptors associated with cardiovascular disease was elucidated
with various modeling techniques. These studies conclusively revealed Withaferin
A as a potent lead compound against multiple targets associated with
cardiovascular disease.
PMID- 26548553
TI - 'No one was there to care for us': Ashodaya Samithi's community-led care and
support for people living with HIV in Mysore, India.
AB - Under the umbrella of the Bill and Melinda Gates-funded HIV initiative in India,
the Mysore-based sex workers' (SWs) collective Ashodaya Samithi focused on
improving its members' living and working conditions through community-led
structural interventions, including community mobilisation, advocacy, peer-led
support, and health promotional activities. Based on four months of ethnographic
fieldwork, this article examines the care and support activities of one of its
sub-wings, Ashraya, which specifically focuses on people living with HIV and AIDS
(PLHIV). We first discuss the stigma-related perceptions and experiences of
participants in relation to health-care settings and work environment, families
and communities, and within varied HIV support networks. We then explore how
Ashraya's community-led interventions attempt to challenge the structural forces
feeding on and creating stigma. We argue that the current policy focus on the
involvement of SWs' collectives in sexually transmitted infection (STI)
prevention in India is rather limited and should be expanded along the continuum
of care and support offered to PLHIV. As suggested in this paper, SWs'
organisations may have greater potential to contribute to more than STI
prevention work, both within and outside their communities, than currently
recognised.
PMID- 26548554
TI - Choice of excipients for gelly-like pulp prepared ex tempore "on a spoon"-
"placebo" and with sartans.
AB - CONTEXT: To ensure safe oral administration, pediatric patients require an
appropriate dosage form to be swallowed without relevant difficulties. Ex tempore
hydrated powders, forming viscous pulp "on a spoon", have recently gained much
interest as pediatric formulations. The aim of this study was to evaluate the
viscosity-increasing substances and disintegrants, alone or in mixtures, as
excipients suitable for preparing such formulations, with candesartan and
valsartan chosen as model active substances. METHODS: The mixtures of excipients
were prepared in the form of powders, granules or lyophilizates, which were
evaluated in terms of their ability to form a homogenous mass after hydration
with a small amount of water. The best compositions were tested with candesartan
cilexetil and valsartan (2% and 10% w/w, respectively). Performed studies include
macroscopic, organoleptic and microscopic observations, as well as a textural
analysis, determination of gelation time and rheological measurements. RESULTS:
Mixtures of guar gum, lactose and one of the disintegrants (F-Melt M, Prosolv 50,
Prosolv Easy, Lycatab, Pharmaburst, Pearlitol) demonstrated the best properties.
With regard to drug-incorporating formulations, granules were evaluated as the
most satisfying form, while the functional properties of lyophilized formulations
were poor. CONCLUSION: Granules with candesartan cilexetil (2%) were found to be
the most promising for further development.
PMID- 26548555
TI - Youth Development as Subjectified Subjectivity - a Dialectical-Ecological Model
of Analysis.
AB - The aim of this article is to shed light on how environmental standards in the
life of youths influence the development of self. We propose the concept of
'subjectified subjectivity' to grasp these person-environment dialectics in a
general form. By elaborating on these conceptual understandings of youth life,
the article also seeks to understand young people from their own perspectives on
life and from their developing life-perspectives, rather than from general
categories. Based on one of the author's data from her study of young people in
their transition to (and through the first year of) high school, we carry out an
analysis of a 16-year old high school student and how her approach to beer, to
beer drinking as a part of Danish high school life-style, and to herself changes
over time. We suggest a dialectical-ecological model to analyze the dialectical
and synthetic movements over time of the girl and her environments.
PMID- 26548556
TI - Radio frequency measurements of tunnel couplings and singlet-triplet spin states
in Si:P quantum dots.
AB - Spin states of the electrons and nuclei of phosphorus donors in silicon are
strong candidates for quantum information processing applications given their
excellent coherence times. Designing a scalable donor-based quantum computer will
require both knowledge of the relationship between device geometry and electron
tunnel couplings, and a spin readout strategy that uses minimal physical space in
the device. Here we use radio frequency reflectometry to measure singlet-triplet
states of a few-donor Si:P double quantum dot and demonstrate that the exchange
energy can be tuned by at least two orders of magnitude, from 20 MUeV to 8 meV.
We measure dot-lead tunnel rates by analysis of the reflected signal and show
that they change from 100 MHz to 22 GHz as the number of electrons on a quantum
dot is increased from 1 to 4. These techniques present an approach for
characterizing, operating and engineering scalable qubit devices based on donors
in silicon.
PMID- 26548557
TI - The impacts of Wolbachia and the microbiome on mate choice in Drosophila
melanogaster.
AB - Symbionts and parasites can manipulate their hosts' reproduction to their own
benefit, profoundly influencing patterns of mate choice and evolution of the host
population. Wolbachia is one of the most widespread symbionts among arthropods,
and one that alters its hosts' reproduction in diverse and dramatic ways. While
we are beginning to appreciate how Wolbachia's extreme manipulations of host
reproduction can influence species diversification and reproductive isolation, we
understand little about how symbionts and Wolbachia, in particular, may affect
intrapopulation processes of mate choice. We hypothesized that the maternally
transmitted Wolbachia would increase the attractiveness of its female hosts to
further its own spread. We therefore tested the effects of Wolbachia removal and
microbiome disruption on female attractiveness and male mate choice among ten
isofemale lines of Drosophila melanogaster. We found variable effects of general
microbiome disruption on female attractiveness, with indications that bacteria
interact with hosts in a line-specific manner to affect female attractiveness.
However, we found no evidence that Wolbachia influence female attractiveness or
male mate choice among these lines. Although the endosymbiont Wolbachia can
greatly alter the reproduction of their hosts in many species, there is no
indication that they alter mate choice behaviours in D. melanogaster.
PMID- 26548558
TI - Investigation of the fatty acid transporter-encoding genes SLC27A3 and SLC27A4 in
autism.
AB - The solute carrier 27A (SLC27A) gene family encodes fatty acid transport proteins
(FATPs) and includes 6 members. During fetal and postnatal periods of
development, the growing brain requires a reliable supply of fatty acids. Because
autism spectrum disorders (ASD) are now recognized as disorders caused by
impaired early brain development, it is possible that functional abnormalities of
SLC27A genes may contribute to the pathogenesis of ASD. Here, we confirmed the
expression of SLC27A3 and SLC27A4 in human neural stem cells derived from human
induced pluripotent stem cells, which suggested their involvement in the
developmental stage of the central nervous system. Additionally, we resequenced
the SLC27A3 and SLC27A4 genes using 267 ASD patient and 1140 control samples and
detected 47 (44 novel and 29 nonsynonymous) and 30 (17 novel and 14
nonsynonymous) variants for the SLC27A3 and SLC27A4, respectively, revealing that
they are highly polymorphic with multiple rare variants. The SLC27A4 Ser209
allele was more frequently represented in ASD samples. Furthermore, we showed
that a SLC27A4 Ser209 mutant resulted in significantly higher fluorescently
labeled fatty acid uptake into bEnd3 cells, a mouse brain capillary-derived
endothelial cell line, compared with SLC27A4 Gly209, suggesting that the
functional change may contribute to ASD pathophysiology.
PMID- 26548560
TI - PP242 suppresses bladder cancer cell proliferation and migration through
deactivating the mammalian target of rapamycin complex 2/AKT1 signaling pathway.
AB - While most cancer types are resistant to mammalian target of rapamycin complex 1
(mTORC1) inhibitor rapamycin, recent studies have identified mTORC2 as an
important prospective therapeutic target for cancer. The present study assessed
the effects of mTORC2 inhibitor PP242 on the proliferation and migration of
bladder cancer cells by using Cell Counting Kit-8, 5-ethynyl-2'-deoxyuridine
incorporation, wound healing and Transwell assays. Furthermore, the
phosphorylation status of downstream signaling proteins of mTORC1 and mTORC2 was
assessed using western blot analysis. The results demonstrated that PP242
concentration-dependently inhibited the proliferation of bladder cancer cells.
Simultaneously, the migration ability of bladder cancer cells was suppressed by
PP242. In addition, PP242 markedly restrained the phosphorylation of AKT1 and
mTORC2, while the phosphorylation status of S6K1 and mTORC1 was not affected.
These results suggested that PP242 exerts potent inhibitory effects on bladder
cancer cells by modulating the activity of the mTORC2/AKT1 pathway.
PMID- 26548559
TI - Muscle tissue engineering and regeneration through epigenetic reprogramming and
scaffold manipulation.
AB - Efficiency of cell-based tissue engineering and regenerative medicine has been
limited by inadequate cellular responses to injury because of aging and poor
controllability of cellular interactions. Since cell progression is under a tight
epigenetic regulation, epigenetic modulators such as 5-azacytidine (5-Aza-CR)
have been utilized to facilitate reprogramming and development of somatic cells
in 2-dimensional (2-D) settings. Nonetheless, progression of a specific tissue
lineage toward the terminal phenotype is dependent not only on the genomic
potential, but also on the microenvironment cues that are beyond the capability
of 2-D approaches. In this study, we investigated the combined effects of
matrices of variable rigidities and the treatment with the epigenetic modulator 5
Aza-CR on reprogramming adipose-derived stromal cells (ADSCs) into myoblast-like
cells by utilizing tunable transglutaminase cross-linked gelatin (Col-Tgel) in
vitro and in vivo. Our experiments demonstrated that cellular plasticity and
trans-differentiation were significantly enhanced when ADSCs were treated with an
effective dose of 5-Aza-CR (1.25 to 12.5 ng) in the optimal myogenic matrix (15
+/- 5 kPa Col-Tgel). Our findings suggest that both physical signals and chemical
milieu are critical for the regulation of cellular responses.
PMID- 26548561
TI - Nipple Malposition following Nipple-Sparing Mastectomy. How Can We Prevent It?
PMID- 26548562
TI - Inappropriate Shock Due to T-Wave Oversensing by a Subcutaneous ICD after Alcohol
Septal Ablation for Hypertrophic Cardiomyopathy.
AB - A 53-year-old female patient with hypertrophic obstructive cardiomyopathy (HOCM)
was admitted for alcohol septal ablation (ASA). A subcutaneous internal
cardioverter defibrillator (S-ICD) was implanted for primary prevention. After
ASA, the patient developed a right bundle branch block, and the S-ICD delivered a
total of five inappropriate shocks due to T-wave oversensing (TWOS). TWOS is a
relatively frequent cause of inappropriate shocks in S-ICD patients. After
invasive treatment for HOCM, there is a risk of developing intraventricular
conduction delay and subsequent changes in QRS and T-wave morphology. This should
be taken into consideration when ICD indication is evaluated in HOCM patients.
PMID- 26548564
TI - Bats and Rodents Shape Mammalian Retroviral Phylogeny.
AB - Endogenous retroviruses (ERVs) represent past retroviral infections and
accordingly can provide an ideal framework to infer virus-host interaction over
their evolutionary history. In this study, we target high quality Pol sequences
from 7,994 Class I and 8,119 Class II ERVs from 69 mammalian genomes and
surprisingly find that retroviruses harbored by bats and rodents combined occupy
the major phylogenetic diversity of both classes. By analyzing transmission
patterns of 30 well-defined ERV clades, we corroborate the previously published
observation that rodents are more competent as originators of mammalian
retroviruses and reveal that bats are more capable of receiving retroviruses from
non-bat mammalian origins. The powerful retroviral hosting ability of bats is
further supported by a detailed analysis revealing that the novel bat
gammaretrovirus, Rhinolophus ferrumequinum retrovirus, likely originated from
tree shrews. Taken together, this study advances our understanding of host-shaped
mammalian retroviral evolution in general.
PMID- 26548563
TI - Essentials from the 2015 European AIDS Clinical Society (EACS) guidelines for the
treatment of adult HIV-positive persons.
AB - BACKGROUND: The European AIDS Clinical Society (EACS) guidelines are intended for
all clinicians involved in the care of HIV-positive persons, and are available in
print, online, and as a free App for download for iPhone and Android. GUIDELINE
HIGHLIGHTS: The 2015 version of the EACS guidelines contains major revisions in
all sections; antiretroviral treatment (ART), comorbidities, coinfections and
opportunistic diseases. Among the key revisions is the recommendation of ART for
all HIV-positive persons, irrespectively of CD4 count, based on the Strategic
Timing of AntiRetroviral Treatment (START) study results. The recommendations for
the preferred and the alternative ART options have also been revised, and a new
section on the use of pre-exposure prophylaxis (PrEP) has been added. A number of
new antiretroviral drugs/drug combinations have been added to the updated tables
on drug-drug interactions, adverse drug effects, dose adjustment for renal/liver
insufficiency and for ART administration in persons with swallowing difficulties.
The revisions of the coinfection section reflect the major advances in anti
hepatitis C virus (HCV) treatment with direct-acting antivirals with earlier
start of treatment in individuals at increased risk of liver disease progression,
and a phasing out of interferon-containing treatment regimens. The section on
opportunistic diseases has been restructured according to individual
pathogens/diseases and a new overview table has been added on CD4 count
thresholds for different primary prophylaxes. CONCLUSIONS: The diagnosis and
management of HIV infection and related coinfections, opportunistic diseases and
comorbidities continue to require a multidisciplinary effort for which the 2015
version of the EACS guidelines provides an easily accessable and updated
overview.
PMID- 26548565
TI - Pharmacokinetic and pharmacodynamic interactions of aspirin with warfarin in
beagle dogs.
AB - 1. Warfarin and aspirin are widely used in a wide spectrum of thromboembolic and
atherothrombotic diseases. Despite the potential efficacy of warfarin-aspirin
therapy, the safety and side effect of combined therapy remains unclear. 2. The
aim of this study was to investigate the pharmacokinetic and pharmacodynamic
interactions between warfarin and aspirin in beagles after single and multiple
doses. 3. Coadministration of aspirin had no significant effects on the area
under the plasma concentration time curve (AUC(0-t)) and maximum plasma
concentration (Cmax) of R- and S-warfarin after a single dose of warfarin, but
significantly increase the AUC(0-t) and Cmax and dramatically decrease the
clearance (CL) of R- and S-warfarin after multiple dose of warfarin. Accordingly,
there was a slight increase in the AUEC(0-t) and Emax of activated partial
thromboplastin time (aPTT), prothrombin time (PT) and international normalized
ratio (INR) after multiple dose of warfarin. 4. Coadministration of warfarin had
no markedly effects on the AUC(0-t) and Cmax of aspirin and its metabolite
salicylic acid after single or multiple dose of aspirin. Meanwhile, the AUEC(0-t)
and Emax of inhibition of platelet aggregation (IPA) were not significantly
affected by warfarin. 5. Our animal study indicated that coadministration of
aspirin with warfarin can cause significant pharmacokinetic and pharmacodynamic
drug-drug interactions in beagles. However, more studies are urgently needed to
assess related information of warfarin-aspirin drug interactions in healthy
volunteers or patients.
PMID- 26548566
TI - Tears of wine: new insights on an old phenomenon.
AB - Anyone who has enjoyed a glass of wine has undoubtedly noticed the regular
pattern of liquid beads that fall along the inside of the glass, or 'tears of
wine.' The phenomenon is the result of a flow against gravity along the liquid
film on the glass, which is induced by an interfacial tension gradient. It is
generally accepted that the interfacial tension gradient is due to a composition
gradient resulting from the evaporation of ethanol. We re-examine the tears of
wine phenomenon and investigate the importance of thermal effects, which
previously have been ignored. Using a novel experiment and simple model we find
that evaporative cooling contributes significantly to the flow responsible for
wine tears, and that this phenomenon occurs primarily because of the
thermodynamic behavior of ethanol-water mixtures. Also, the regular pattern of
tear formation is identified as a well-known hydrodynamic instability.
PMID- 26548567
TI - Spectroscopic characterization of charge carrier anisotropic motion in twisted
few-layer graphene.
AB - Graphene, a layer of carbon atoms in a honeycomb lattice, captures enormous
interest as probably the most promising component of future electronics thanks to
its mechanical robustness, flexibility, and unique charge carrier quasiparticles
propagating like massless high energy Dirac fermions. If several graphene layers
form a stack, the interaction between them is, on the one hand, weak, allowing
realization of various registries between the layers and, on the other hand,
strong enough for a wide range tuning of the electronic properties. Here we grow
few layer graphene with various number of layers and twist configurations and
address the electronic properties of individual atomic layers in single
microscopic domains using angle-resolved photoelectron spectromicroscopy. The
dependence of the interlayer coupling on the twist angle is analyzed and, in the
domains with tri-layers and more, if different rotations are present, the
electrons in weaker coupled adjacent layers are shown to have different
properties manifested by coexisting van Hove singularities, moire superlattices
with corresponding superlattice Dirac points, and charge carrier group velocity
renormalizations. Moreover, pronounced anisotropy in the charge carrier motion,
opening a possibility to transform strongly coupled graphene bilayers into quasi
one-dimensional conductors, is observed.
PMID- 26548568
TI - 1,8-Naphthyridine Derivatives: A Review of Multiple Biological Activities.
AB - The 1,8-naphthyridine group of compounds have gained special attention of
researchers on account of their demonstrating a variety of interesting biological
activities. A wide range of biological properties establishes them as potent
scaffolds in therapeutic and medicinal research. The broad spectrum of activities
primarily includes antimicrobial, antiviral, anticancer, anti-inflammatory, and
analgesic activities. 1,8-Naphthyridine derivatives have also exhibited potential
applications in neurological disorders such as Alzheimer's disease, multiple
sclerosis, and depression. In addition, these synthetic derivatives have been
found to possess activities such as anti-osteoporotic (alpha(v)beta(3)
antagonists), anti-allergic, antimalarial, gastric antisecretory, bronchodilator,
anticonvulsant, anti-hypertensive, platelet aggregation inhibition, anti-oxidant,
EGFR inhibition, protein kinase inhibition, ionotropic agent, beta-3 antagonist,
MDR modulator, adenosine receptor agonist, adrenoceptor antagonist, and pesticide
activities. In spite of the widespread application of the 1,8-naphythyridine
scaffolds, only a limited number of review articles are available till date. In
this review, we attempt to compile and discuss the key data available in the
literature for the multiple biological activities of 1,8-naphthyridine
derivatives, in a chronological manner. This review compilation (with 199
references) may be helpful in understanding the diverse biological properties of
1,8-naphthyridines and provide insights into their mechanism of action. This may
direct future research in the synthesis of new derivatives and exploring this
scaffold for other possible biological activities.
PMID- 26548569
TI - Effect of Molecular Coupling on Ultrafast Electron-Transfer and Charge
Recombination Dynamics in a Wide-Gap ZnS Nanoaggregate Sensitized by Triphenyl
Methane Dyes.
AB - Wide-band-gap ZnS nanocrystals (NCs) were synthesized, and after sensitizing the
NCs with series of triphenyl methane (TPM) dyes, ultrafast charge-transfer
dynamics was demonstrated. HRTEM images of ZnS NCs show the formation of
aggregate crystals with a flower-like structure. Exciton absorption and
lumimescence, due to quantum confinement of the ZnS NCs, appear at approximately
310 and 340 nm, respectively. Interestingly, all the TPM dyes (pyrogallol red,
bromopyrogallol red, and aurin tricarboxylic acid) form charge-transfer complexes
with the ZnS NCs, with the appearance of a red-shifted band. Electron injection
from the photoexcited TPM dyes into the conduction band of the ZnS NCs is shown
to be a thermodynamically viable process, as confirmed by steady-state and time
resolved emission studies. To unravel charge-transfer (both electron injection
and charge recombination) dynamics and the effect of molecular coupling,
femtosecond transient absorption studies were carried out in TPM-sensitized ZnS
NCs. The electron-injection dynamics is pulse-width-limited in all the ZnS/TPM
dye systems, however, the back electron transfer differs, depending on the
molecular coupling of the sensitizers (TPM dyes). The detailed mechanisms for the
above-mentioned processes are discussed.
PMID- 26548570
TI - Quantitative MS analysis of therapeutic mAbs and their glycosylation for
pharmacokinetics study.
AB - Therapeutic mAbs play an important role in the treatment of a wide range of
diseases. Due to their complexity, comprehensive evaluation of their
pharmacokinetics has yet to be fully achieved. It is crucial to develop
sensitive, accurate, reliable, and reproducible methods for quantitation of mAbs
in complex samples. In addition, it is also important to evaluate the PTMs which
can affect their safety and/or effectiveness. MS-based methods provide an
emerging approach for quantitation of proteins and their modification forms. In
this review, we give a brief overview of quantification analysis of mAbs in
complex biological samples and the characterization of N-glycosylation by
biological MS.
PMID- 26548571
TI - Epistemic Trust and Education: Effects of Informant Reliability on Student
Learning of Decimal Concepts.
AB - The epistemic trust literature emphasizes that children's evaluations of
informants' trustworthiness affects learning, but there is no evidence that
epistemic trust affects learning in academic domains. The current study
investigated how reliability affects decimal learning. Fourth and fifth graders
(N = 122; Mage = 10.1 years) compared examples from consistently accurate and
inaccurate informants (consistent) or informants who were each sometimes accurate
and inaccurate (inconsistent). Fourth graders had higher conceptual knowledge and
fewer misconceptions in the consistent condition than the inconsistent condition,
and vice versa for fifth graders due to differences in prior exposure to
decimals. Given the same examples, learning differed depending on informant
reliability. Thus, epistemic trust is a malleable factor that affects learning in
an academic domain.
PMID- 26548573
TI - The neurodynamics of emotion: delineating typical and atypical emotional
processes during adolescence.
AB - The study of development is, in and of itself, the study of change over time, but
emotions, particularly emotional reactivity and emotional regulation, also unfold
over time, albeit over briefer time-scales. Adolescence is a period of
development characterized by marked changes in emotional processes and rewiring
of the underlying neural circuitry, making this time of life formative. Yet this
period is also a time of increased risk for anxiety and mood disorders. Changes
in the temporal dynamics of emotional processes (e.g. magnitude, time-to-peak and
duration) occur during this developmental period and have been associated with
risk for mood and anxiety disorders. In this article, we describe how the
temporal dynamics of emotions change during adolescence and how they may increase
risk for these psychopathologies. We highlight studies that illustrate how
formalizing temporal neurodynamics of emotion may enhance links among levels of
analyses from neurobiological to real-world, moment-to-moment experiences.
PMID- 26548572
TI - The chemopreventive activity of butyrate-containing structured lipids in
experimental rat hepatocarcinogenesis.
AB - SCOPE: Emerging evidence indicates that the use of bioactive food components is a
promising strategy to prevent the development of liver cancer. The goal of this
study was to examine the chemopreventive effect of butyrate-containing structured
lipids (STLs) produced by an enzymatic interesterification of tributyrin and
flaxseed oil on rat hepatocarcinogenesis. METHODS AND RESULTS: Male Wistar rats
were subjected to a classic "resistant hepatocyte" model of liver carcinogenesis
and treated with STLs, tributyrin or flaxseed oil during the initial phases of
hepatocarcinogenesis. Treatment with STLs and tributyrin strongly inhibited the
development of preneoplastic liver lesions. The chemopreventive activity of
tributyrin was associated with the induction of apoptosis and reduction of the
expression of major activated hepatocarcinogenesis-related oncogenes. Treatment
with STLs caused substantially greater inhibitory effects than tributyrin on
oncogene expression. CONCLUSION: These results demonstrate that the tumor
suppressing activity of butyrate-containing STLs is associated with its ability
to prevent and inhibit activation of major hepatocarcinogenesis-related
oncogenes. Enrichment of histone H3K9me3 and H3K27me3 at the promoter of Myc and
Ccnd1 genes may be related to the inhibitory effect on oncogene expression in the
livers of STL-treated rats.
PMID- 26548574
TI - From soft to hard magnetic Fe-Co-B by spontaneous strain: a combined first
principles and thin film study.
AB - In order to convert the well-known Fe-Co-B alloy from a soft to a hard magnet, we
propose tetragonal strain by interstitial boron. Density functional theory
reveals that when B atoms occupy octahedral interstitial sites, the bcc Fe-Co
lattice is strained spontaneously. Such highly distorted Fe-Co is predicted to
reach a strong magnetocrystalline anisotropy which may compete with shape
anisotropy. To probe this theoretical suggestion experimentally, epitaxial films
are examined. A spontaneous strain up to 5% lattice distortion is obtained for B
content up to 4 at%, which leads to uniaxial anisotropy constants exceeding 0.5
MJ m(-3). However, a further addition of B results in a partial amorphisation,
which degrades both anisotropy and magnetisation.
PMID- 26548575
TI - A Combined NMR and Computational Approach to Determine the RGDechi-hCit-alphav
beta3 Integrin Recognition Mode in Isolated Cell Membranes.
AB - The critical role of integrins in tumor progression and metastasis has stimulated
intense efforts to identify pharmacological agents that can modulate integrin
function. In recent years, alphav beta3 and alphav beta5 integrin antagonists
were demonstrated to be effective in blocking tumor progression. RGDechi-hCit, a
chimeric peptide containing a cyclic RGD motif linked to an echistatin C-terminal
fragment, is able to recognize selectively alphav beta3 integrin both in vitro
and in vivo. High-resolution molecular details of the selective alphav beta3
recognition of the peptide are certainly required, nonetheless RGDechi-hCit
internalization limited the use of classical in cell NMR experiments. To overcome
such limitations, we used WM266 isolated cellular membranes to accomplish a
detailed NMR interaction study that, combined with a computational analysis,
provides significant structural insights into alphav beta3 molecular recognition
by RGDechi-hCit. Remarkably, on the basis of the identified molecular
determinants, we design a RGDechi-hCit mutant that is selective for alphav beta5
integrin.
PMID- 26548576
TI - Endothelium-dependent hyperpolarization: age, gender and blood pressure, do they
matter?
AB - Under physiological conditions, the endothelium generates vasodilator signals
[prostacyclin, nitric oxide NO and endothelium-dependent hyperpolarization
(EDH)], for the regulation of vascular tone. The relative importance of these two
signals depends on the diameter of the blood vessels: as the diameter of the
arteries decreases, the contribution of EDH to the regulation of vascular tone
increases. The mechanism involved in EDH varies with species and blood vessel
types; nevertheless, activation of endothelial intermediate- and small
conductance calcium-activated potassium channels (IKCa and SKCa , respectively)
is characteristic of the EDH pathway. IKCa - and SKCa -mediated EDH are reduced
with endothelial dysfunction, which develops with ageing and hypertension, and is
less pronounced in female than in age-matched male until after menopause.
Impaired EDH-mediated relaxation is related to a reduced involvement of SKCa , so
that the response becomes more dependent on IKCa . The latter depends on the
activation of adenosine monophosphate-activated protein kinase (AMPK) and silent
information regulator T1 (SIRT1), proteins associated with the process of
cellular senescence and vascular signalling in response to the female hormone. An
understanding of the role of AMPK and/or SIRT1 in EDH-like responses may help
identifying effective pharmacological strategies to prevent the development of
vascular complications of different aetiologies.
PMID- 26548577
TI - Discovering of Tumor-targeting Peptides using Bi-functional Microarray.
AB - A bi-functional microarray for in situ peptide screening is presented herein,
from which an affinity peptide towards EpCAM is screened out for tumor cell
capture.
PMID- 26548578
TI - Combination of Hedgehog inhibitors and standard anticancer agents synergistically
prevent osteosarcoma growth.
AB - High-dose chemotherapy and surgical intervention have improved long-term
prognosis for non-metastatic osteosarcoma to 50-80%. However, metastatic
osteosarcoma exhibits resistance to standard chemotherapy. We and others have
investigated the function of Hedgehog pathway in osteosarcoma. To apply our
previous findings in clinical settings, we examined the effects of Hedgehog
inhibitors including arsenic trioxide (ATO) and vismodegib combined with standard
anticancer agents. We performed WST-1 assays using ATO, cisplatin (CDDP),
ifosfamide (IFO), doxorubicin (DOX), and vismodegib. Combination-index (CI) was
used to examine synergism using CalcuSyn software. Xenograft models were used to
examine the synergism in vivo. WST-1 assays showed that 143B and Saos2 cell
proliferation was inhibited by ATO combined with CDDP, IFO, DOX, and vismodegib.
Combination of ATO and CDDP, IFO, DOX or vismodegib was synergistic when the two
compounds were used on proliferating 143B and Saos2 human osteosarcoma cells. An
osteosarcoma xenograft model showed that treatment with ATO and CDDP, IFO, or
vismodegib significantly prevented osteosarcoma growth in vivo compared with
vehicle treatment. Our findings indicate that combination of Hedgehog pathway
inhibitors and standard FDA-approved anticancer agents with established safety
for human use may be an attractive therapeutic method for treating osteosarcoma.
PMID- 26548580
TI - Analysis of pelvic rotation on the standard hip ventrodorsal extended
radiographic view.
AB - OBJECTIVES: To study the symmetry of the iliac horizontal diameter (IHD) maximum
obturator foramen width (OFW), ischiatic femoral overlap (IFO), pelvic horizontal
radius (PHR), femoral head diameter (FHD), and obturator foramen area (OFA)
parameters in the normal hip extended radiographic view and to evaluate the
correlation of pelvic rotation with the magnitude of asymmetry of these
parameters. METHODS: Nine canine cadavers from adult, large and giant breeds were
radiographed in standard hip extended views and with 2 degrees , 4 degrees and 6
degrees degrees of rotation. The variables IHD, OFW, IFO, PHR, FHD, and OFA were
analysed in radiographs. RESULTS: The IHD measurements exhibited repeatability,
bilateral symmetry and 95% of confidence interval of asymmetry in different
pelvic rotations without superposition (p <0.05); OFW and IFO exhibited
repeatability, bilateral symmetry and a small superposition in 95% of confidence
interval of asymmetry according different pelvic rotations; PHR, FHD and OFA
exhibited repeatability, bilateral symmetry and unacceptable superposition in 95%
of confidence interval of asymmetry depending on pelvic rotation. CLINICAL
SIGNIFICANCE: The IHD is the recommended variable and OFW is an acceptable
variable in order to evaluate slight pelvic rotation. The data may be used in
qualitative analyses of hip extended radiographic views. In the future,
complementary studies should be performed to evaluate the impact of degree of
pelvic rotation on the hip dysplasia score.
PMID- 26548581
TI - Magnetism in olivine-type LiCo(1-x)Fe(x)PO4 cathode materials: bridging theory
and experiment.
AB - In the current paper, we present a non-aqueous sol-gel synthesis of olivine type
LiCo1-xFexPO4 compounds (x = 0.00, 0.25, 0.50, 0.75, 1.00). The magnetic
properties of the olivines are measured experimentally and calculated using first
principles theory. Specifically, the electronic and magnetic properties are
studied in detail with standard density functional theory (DFT), as well as by
including spin-orbit coupling (SOC), which couples the spin to the crystal
structure. We find that the Co(2+) ions exhibit strong orbital moment in the pure
LiCoPO4 system, which is partially quenched upon substitution of Co(2+) by
Fe(2+). Interestingly, we also observe a non-negligible orbital moment on the
Fe(2+) ion. We underscore that the inclusion of SOC in the calculations is
essential to obtain qualitative agreement with the observed effective magnetic
moments. Additionally, Wannier functions were used to understand the
experimentally observed rising trend in the Neel temperature, which is directly
related to the magnetic exchange interaction paths in the materials. We suggest
that out of layer M-O-P-O-M magnetic interactions (J?) are present in the studied
materials. The current findings shed light on important differences observed in
the electrochemistry of the cathode material LiCoPO4 compared to the already
mature olivine material LiFePO4.
PMID- 26548582
TI - Readily prepared inclusion forming chiral calixsalens.
AB - Calixsalens, chiral triangular hexaimines are readily synthesized by [3 + 3]
cyclocondensation of trans-(R,R)-1,2-diaminocyclohexane with 2
hydroxyisophthalaldehyde derivatives. The usually rigid calixsalen ring is able
to invert its conformation as a consequence of steric repulsion between bulky
substituents at the C5 positions of the aromatic rings. The steric and electronic
nature of the substituents does not affect only the conformation of the
macrocycle. Small polar substituents enforce dimeric self-association to form an
apohost where each of the monomers simultaneously serves as the host and the
guest of its partner. Non-associating calixsalens form assemblies in which two
symmetry-related molecules are arranged in a head-to-head fashion to form a
capsule, or unimolecular cages that are able to entrap solvent molecules in their
intrinsic voids.
PMID- 26548583
TI - Activation of molecular oxygen by a molybdenum complex for catalytic oxidation.
AB - A sterically demanding molybdenum(VI) dioxo complex was found to catalytically
activate molecular oxygen and to transfer its oxygen atoms to phosphines.
Intermediate peroxo as well as reduced mono-oxo complexes were isolated and fully
characterized. Monomeric Mo(IV) monooxo species proved to be of an unusual nature
with the coordinated phosphine trans to the oxo group. The reduced molybdenum
centers can activate O2 to form a stable Mo(VI) oxo-peroxo complex unambiguously
characterized by single crystal X-ray diffraction analysis. NMR experiments
demonstrate that both oxygen atoms of the peroxo unit are transferred to an
accepting substrate, generating the Mo(IV) intermediate and restarting the
catalytic cycle.
PMID- 26548584
TI - Real-time imaging of cancer cell chemotaxis in paper-based scaffolds.
AB - Cellular migration is the movement of cells, cultured as a monolayer; cellular
invasion is similar to migration, but requires the cells to move through a three
dimensional material such as basement membrane extract or a synthetic hydrogel.
Migration assays, such as the transwell assay, are widely used to study cellular
movement because they are amenable to high-throughput screens with minimal
experimental setup. These assays offer limited information about cellular
responses to gradients in vivo because they oversimplify the threedimensional
(3D) environment of a tissue. There are a number of invasion assays that support
3D cultures, some of which provide experimental control over the spatial and
temporal gradients imparted on the culture. These assays, in their current form,
are difficult to setup and maintain, and often require specialized laboratory
equipment or engineering expertise. Here we describe a paper-based invasion assay
in which cellular movement can be monitored in real-time with fluorescence
microscopy. These assays are easily prepared and utilize materials commonly found
in any laboratory: a single sheet of paper. These sheets are wax patterned to
contain channels in which cells suspended in a hydrogel are seeded and cultured.
Cell-containing sheets of paper are placed in a custom-built holder that allows
gradients to form along the length of the channels. In this work, we compare the
invasion of cells cultured in the presence and absence of an oxygen gradient. Our
result support previous findings that oxygen is a chemoattractant, and
selectively directs cellular movement in a 3D culture environment.
PMID- 26548585
TI - Acylcarnitine Profiles in HIV-Exposed, Uninfected Neonates in the United States.
AB - We sought to determine the prevalence of abnormal acylcarnitine profiles (ACP) in
HIV-exposed uninfected (HEU) newborns and to explore the association of abnormal
ACP with clinical laboratory outcomes and antiretroviral drug exposures.
Clinically, ACP are used to assess for fatty acid oxidation (FAO) dysfunction and
normal FAO is necessary for optimal fetal/neonatal growth and development. We
analyzed serum ACP in 522 HEU neonates enrolled in the Surveillance Monitoring
for ART Toxicities (SMARTT) study of the Pediatric HIV/AIDS Cohort Study (PHACS)
and evaluated the associations of abnormal ACP with in utero exposure to
combination antiretroviral therapy (cART) in logistic regression models,
adjusting for maternal demographic, disease, and behavioral characteristics. We
evaluated the associations of abnormal ACP with laboratory parameters and
measures of neurodevelopment and growth. Of 522 neonates, 89 (17%) had abnormal
ACP. In adjusted analyses, in utero exposure to a protease inhibitor (PI) was
associated with higher odds of having an abnormal ACP [adjusted odds ratio (aOR)
= 2.35, 95% CI: 0.96, 5.76, p = 0.06] with marginal significance while exposure
to a nonnucleoside reverse transcriptase inhibitor (NNRTI) was associated with
lower odds (aOR = 0.23, 95% CI: 0.07, 0.80, p = 0.02). Mean ALT levels were
slightly higher in those with abnormal ACP, but no differences in lactate,
glucose, or CPK were observed. ACP status was not associated with
neurodevelopment at 1 year or growth at 2 and 3 years of age. Abnormal ACP in HEU
neonates are associated with exposure to PI-containing as opposed to NNRTI
containing antiretroviral (ARV) regimens but are not associated with serious
postnatal clinical problems. Further studies are needed to determine the long
term health implications of abnormal acylcarnitine metabolism at birth in HEU
children.
PMID- 26548586
TI - New Antiglycative Compounds from Cumin (Cuminum cyminum) Spice.
AB - Cumin (Cuminum cyminum L.), a widely consumed food spice, has been reported to
have antiglycative effects in vitro and in vivo, but there is a paucity of data
on its bioactive compounds. Herein, we report the isolation and structure
elucidation (by NMR, HRESIMS, and CD) of 21 (1-21) compounds from a methanol
extract of cumin seeds. The isolates included five new compounds: two
sesquiterpenoids, two pairs of monoterpeneoid epimers, and a chalcone, named
cuminoids A-E, respectively. The isolates were evaluated for antiglycative
effects using the bovine serum albumin-fructose intrinsic fluorescence assay. At
equivalent concentrations, several of the isolates, including cuminoids C-E, were
more potent inhibitors than the positive control, aminoguanidine, a synthetic
antiglycative agent (>50 vs 35%, respectively).
PMID- 26548587
TI - Enzyme encapsulation in zeolitic imidazolate frameworks: a comparison between
controlled co-precipitation and biomimetic mineralisation.
AB - Recent studies have demonstrated that metal-organic frameworks can be employed as
protective coatings for enzymes. Two efficient strategies have been reported for
the synthesis of such composite materials: biomimetic mineralisation and
controlled co-precipitation using polyvinylpyrrolidone. We assessed the relative
efficacy of each approach by comparing the thermal stability of encapsulated
urease. The resulting data shows that over a range of temperatures biomimetic
mineralisation offers superior protection than the co-precipitation method.
PMID- 26548588
TI - Two-Photon Lithography of 3D Nanocomposite Piezoelectric Scaffolds for Cell
Stimulation.
AB - In this letter, we report on the fabrication, the characterization, and the in
vitro testing of structures suitable for cell culturing, prepared through two
photon polymerization of a nanocomposite resist. More in details, commercially
available Ormocomp has been doped with piezoelectric barium titanate
nanoparticles, and bioinspired 3D structures resembling trabeculae of sponge bone
have been fabricated. After an extensive characterization, preliminary in vitro
testing demonstrated that both the topographical and the piezoelectric cues of
these scaffolds are able to enhance the differentiation process of human SaOS-2
cells.
PMID- 26548589
TI - Sol-Gel-Based Titania-Silica Thin Film Overlay for Long Period Fiber Grating
Based Biosensors.
AB - An evanescent wave optical fiber biosensor based on titania-silica-coated long
period grating (LPG) is presented. The chemical overlay, which increases the
refractive index (RI) sensitivity of the sensor, consists of a sol-gel-based
titania-silica thin film, deposited along the sensing portion of the fiber by
means of the dip-coating technique. Changing both the sol viscosity and the
withdrawal speed during the dip-coating made it possible to adjust the thickness
of the film overlay, which is a crucial parameter for the sensor performance.
After the functionalization of the fiber surface using a methacrylic
acid/methacrylate copolymer, an antibody/antigen (IgG/anti-IgG) assay was carried
out to assess the performance of sol-gel based titania-silica-coated LPGs as
biosensors. The analyte concentration was determined from the wavelength shift at
the end of the binding process and from the initial binding rate. This is the
first time that a sol-gel based titania-silica-coated LPG is proposed as an
effective and feasible label-free biosensor. The specificity of the sensor was
validated by performing the same model assay after spiking anti-IgG into human
serum. With this structured LPG, detection limits of the order of tens of
micrograms per liter (10(-11) M) are attained.
PMID- 26548590
TI - Association of Pre-Operative Albuminuria with Post-Operative Outcomes after
Coronary Artery Bypass Grafting.
AB - The effect on post-operative outcomes after coronary artery bypass graft (CABG)
surgery is not clear. Among 17,812 patients who underwent CABG during October
1,2006-September 28,2012 in any Department of US Veterans Affairs (VA) hospital,
we identified 5,968 with available preoperative urine albumin-creatinine ratio
(UACR) measurements. We examined the association of UACR<30, 30-299 and >=300
mg/g with 30/90/180/365-day and overall all-cause mortality, and hospitalization
length >10 days, and with acute kidney injury(AKI). Mean +/- SD baseline age and
eGFR were 66 +/- 8 years and 77 +/- 19 ml/min/1.73 m(2), respectively. 788
patients (13.2%) died during a median follow-up of 3.2 years, and 26.8% patients
developed AKI (23.1%-Stage 1; 2.9%-Stage 2; 0.8%-Stage 3) within 30 days of CABG.
The median lengths of stay were 8 days (IQR: 6-13 days), 10 days (IQR: 7-14 days)
and 12 days (IQR: 8-19 days) for groups with UACR < 30 mg/g, 30-299 mg/g and
>=300 mg/g, respectively. Higher UACR conferred 72 to 85% higher 90-, 180-, and
365-day mortality compared to UACR<30 mg/g (odds ratio and 95% confidence
interval for UACR>=300 vs. <30 mg/g: 1.72(1.01-2.95); 1.85(1.14-3.01); 1.74(1.15
2.61), respectively). Higher UACR was also associated with significantly longer
hospitalizations and higher incidence of all stages of AKI. Higher UACR is
associated with significantly higher odds of mortality, longer post-CABG
hospitalization, and higher AKI incidence.
PMID- 26548591
TI - An RNA Molecule Derived From Sendai Virus DI Particles Induces Antitumor Immunity
and Cancer Cell-selective Apoptosis.
AB - Inactivated Sendai virus (hemagglutinating virus of Japan; HVJ) envelope (HVJ-E)
induces anticancer immunity and cancer cell-selective apoptosis through the
recognition of viral RNA genome fragments by retinoic acid-inducible gene-I (RIG
I). Here, we discovered that the "copy-back" type of defective-interfering (DI)
particles that exist in the Cantell strain of HVJ induced the human PC3 prostate
cancer cell death more effectively than the Sendai/52 strain or Cantell strain,
which contain fewer DI particles. DI particle genomic RNA (~550 bases) activated
proapoptotic genes such as Noxa and/or TNF-related apoptosis-inducing ligand
(TRAIL) in human prostate cancer cells to induce cancer cell-selective apoptosis.
DI particle-derived RNA was synthesized by in vitro transcription (in vitro
transcribed (IVT)-B2). IVT-B2 RNA, which has a double-stranded region in its
secondary structure, promoted a stronger anticancer effect than IVT-HN RNA, which
does not have a double-stranded region in its secondary structure. The
intratumoral transfection of IVT-B2 significantly reduced the volume of a human
prostate tumor and induced tumor cell apoptosis in the xenograft mouse model.
Moreover, the involvement of natural killer (NK) cells in IVT-B2-RNA-induced
anticancer effects was also suggested. These findings provide a novel nucleic
acid medicine for the treatment of cancer.
PMID- 26548592
TI - Choline Kinase Beta-Related Muscular Dystrophy, Appearance of Muscle Involvement
on Magnetic Resonance Imaging.
AB - BACKGROUND: Clinical presentation with motor delay, proximal weakness, and
learning difficulties raise the possibility of a dystrophinopathy,
dystroglycanopathy, or myotonic dystrophy. This differential should also include
the more recently described choline kinase beta-related muscular dystrophy. This
condition is typically characterized by large and abnormally distributed
mitochondria on muscle biopsy, which can distinguish this condition from the
other muscle conditions in the differential. METHODS: We present a boy with
choline kinase beta mutations with relatively mild clinical manifestations,
including proximal weakness, learning difficulties and elevated creatine kinase.
Investigations included muscle magnetic resonance imaging (MRI) with T1 axial
sequences through thigh and calves, and needle muscle biopsy of the left vastus
lateralis muscle. RESULTS: MRI showed involvement mainly of the quadriceps
femoris, sartorius, and adductor magnus, with selective sparing of the gracilis,
hamstrings, and adductor longus and brevis. Muscle biopsy revealed chronic
dystrophic features. Oxidative stains demonstrated enlarged mitochondria
accentuated peripherally or present diffusely in a few fibres giving a coarsely
stippled appearance. A homozygous C.722A>G (p.Asn241Ser) mutation was detected in
exon 6 of the CHKB gene. CONCLUSION: This selective pattern of skeletal muscle
involvement might be helpful for identifying other patients with this condition,
even in the absence of diagnostic muscle pathology.
PMID- 26548593
TI - Intraventricular Cyst Causing Acute Obstructive Hydrocephalus: Neurocysticercosis
Managed With Neuroendoscopy.
PMID- 26548594
TI - Epidemiological analysis of pneumococcal serotype 19A in healthy children
following PCV7 vaccination.
AB - After the introduction of conjugate vaccines, a strong rearrangement of
pneumococcal serotypes was observed globally. Probably most concerning was the
emergence of serotype 19A, which has not only high invasive disease potential,
but also high antibiotic resistance. In the current study we focused on the
increased prevalence of serotype 19A after the PCV vaccination rate became widely
used in Hungary. A total of 2262 children aged 3-6 years were screened for
pneumococcus carriage using nasal swabs. Children were divided into two groups
according to the vaccination rates, low level (group 1) vs. high level (group 2).
While the carriage rate did not change over time (average 32.9%), the serotype
distribution differed greatly in the two groups. The prevalence of serotype 19A
increased >eightfold. Almost all 19A isolates had high-level macrolide resistance
and elevated penicillin minimum inhibitory concentrations. Genotyping methods
revealed that these new 19A isolates are different from the previously frequent
Hungary19A-6 PMEN clone. Both the carriage rate and the overall penicillin and
macrolide resistance remained stable over time, but while several serotypes were
represented in group 1, serotype 19A alone was clearly dominant in group 2.
PMID- 26548595
TI - Alkylphloroglucinol derivatives and triterpenoids with soluble epoxide hydrolase
inhibitory activity from Callistemon citrinus.
AB - Phytochemical analysis of the leaves and stems of Callistemon citrinus (Curtis)
Skeels led to the isolation of two new alkylphloroglucinols, gallomyrtucommulone
E and F (1 and 2), along with four other known alkylphloroglucinol derivatives,
gallomyrtucommulone A (3), endoperoxide G3 (4), myrtucommulone B (5),
callistenone B (6) and five known triterpenoids, including betulinic acid (7),
3beta-acetylmorolic acid (8), 3beta-hydroxy-urs-11-en-13(28)-olide (9),
diospyrolide (10) and ursolic acid (11). The structures of the natural compounds
were determined from the spectroscopic evidences including 1D-/2D-NMR and HR-MS
spectrometry. All the isolated compounds were assessed for the effects on the sEH
inhibitory activity. The acylphloroglucinols myrtucommulone B (5)/callistenone B
(6) (in mixture), and two triterpenoids, ursolic acid (11) and 3beta-hydroxy-urs
11-en-13(28)-olide (9) displayed strong inhibition of sEH activity, with IC50
values of 0.7, 11.2 and 24.8 MUM, respectively.
PMID- 26548596
TI - Editorial.
PMID- 26548597
TI - Polyunsaturated fat intake and mortality in non-statin users: Is there an
independent relationship?
PMID- 26548599
TI - Irregularity of energy intake at meals: prospective associations with the
metabolic syndrome in adults of the 1946 British birth cohort.
AB - Irregularity in eating patterns could be a potential cardiometabolic risk factor.
We aimed to study the associations of irregular intake of energy at meals in
relation to cardiometabolic risk factors 10 and 17 years later. Variability of
energy intake data - derived from 5-d estimated diet diaries of cohort members of
the National Survey for Health and Development collected at ages 36 (n 1416), 43
(n 1505) and 53 years (n 1381) - was used as a measure for irregularity.
Associations between meal irregularity scores with cardiometabolic risk factors
measured 10 and 17 years later were investigated using linear mixed models and
logistic regression models. The results showed that irregularity scores changed
significantly over the years (P<0.05). At age 36 years, subjects with a more
irregular intake of energy at lunch (OR 1.42; 95 % CI 1.05, 1.91) and between
meals (OR 1.35; 95 % CI 1.01, 1.82) had an increased risk for the metabolic
syndrome 17 years later; at lunch was also associated with an increased waist
circumference (OR 1.58; 95 % 1.27, 1.96) and TAG levels (OR 1.33; 95 % CI 1.02,
1.72). At age 43 years, subjects with a more irregular intake at breakfast had an
increased risk of the metabolic syndrome 10 years later (OR 1.53; 95 % CI 1.15,
2.04), as well as an increased BMI (OR 1.66; 95 % CI 1.31, 2.10), waist
circumference (OR 1.53; 95 % CI 1.23, 1.90) and diastolic blood pressure (OR
1.42; 95 % CI 1.13, 1.78). In conclusion, subjects with a more irregular intake
of energy, mostly at breakfast and lunch, appeared to have an increased
cardiometabolic risk 10 and 17 years later.
PMID- 26548598
TI - PFOS induces adipogenesis and glucose uptake in association with activation of
Nrf2 signaling pathway.
AB - PFOS is a chemical of nearly ubiquitous exposure in humans. Recent studies have
associated PFOS exposure to adipose tissue-related effects. The present study was
to determine whether PFOS alters the process of adipogenesis and regulates
insulin-stimulated glucose uptake in mouse and human preadipocytes. In murine
derived 3T3-L1 preadipocytes, PFOS enhanced hormone-induced differentiation to
adipocytes and adipogenic gene expression, increased insulin-stimulated glucose
uptake at concentrations ranging from 10 to 100MUM, and enhanced Glucose
transporter type 4 and Insulin receptor substrate-1 expression. Nuclear factor
(erythroid-derived 2)-like 2 (Nrf2), NAD(P)H dehydrogenase, quinone 1 and
Glutamate-cysteine ligase, catalytic subunit were significantly induced in 3T3-L1
cells treated with PFOS, along with a robust induction of Antioxidant Response
Element (ARE) reporter in mouse embryonic fibroblasts isolated from ARE-hPAP
transgenic mice by PFOS treatment. Chromatin immunoprecipitation assays further
illustrated that PFOS increased Nrf2 binding to ARE sites in mouse Nqo1 promoter,
suggesting that PFOS activated Nrf2 signaling in murine-derived preadipocytes.
Additionally, PFOS administration in mice (100MUg/kg/day) induced adipogenic gene
expression and activated Nrf2 signaling in epididymal white adipose tissue.
Moreover, the treatment on human visceral preadipocytes illustrated that PFOS (5
and 50MUM) promoted adipogenesis and increased cellular lipid accumulation. It
was observed that PFOS increased Nrf2 binding to ARE sites in association with
Nrf2 signaling activation, induction of Peroxisome proliferator-activated
receptor gamma and CCAAT/enhancer-binding protein alpha expression, and increased
adipogenesis. This study points to a potential role of PFOS in dysregulation of
adipose tissue expandability, and warrants further investigations on the adverse
effects of persistent pollutants on human health.
PMID- 26548600
TI - Paediatric brain tumours: A review of radiotherapy, state of the art and
challenges for the future regarding protontherapy and carbontherapy.
AB - BACKGROUND AND PURPOSE: Brain tumours are the most frequent solid tumours in
children and the most frequent radiotherapy indications in paediatrics, with
frequent late effects: cognitive, osseous, visual, auditory and hormonal. A
better protection of healthy tissues by improved beam ballistics, with particle
therapy, is expected to decrease significantly late effects without decreasing
local control and survival. This article reviews the scientific literature to
advocate indications of protontherapy and carbon ion therapy for childhood
central nervous system cancer, and estimate the expected therapeutic benefits.
MATERIALS AND METHODS: A systematic review was performed on paediatric brain
tumour treatments using Medline (from 1966 to March of 2014). To be included,
clinical trials had to meet the following criteria: age of patients 18 years or
younger, treated with radiation, and report of survival. Studies were also
selected according to the evidence level. A secondary search of cited references
found other studies about cognitive functions, quality of life, the comparison of
photon and proton dosimetry showing potential dose escalation and/or sparing of
organs at risk with protontherapy; and studies on dosimetric and technical issues
related to protontherapy. RESULTS: A total of 7051 primary references published
were retrieved, among which 40 clinical studies and 60 papers about quality of
life, dose distribution and dosimetry were analysed, as well as the ongoing
clinical trials. These papers have been summarized and reported in a specific
document made available to the participants of a final 1-day workshop. Tumours of
the meningeal envelop and bony cranial structures were excluded from the
analysis. Protontherapy allows outstanding ballistics to target the tumour area,
while substantially decreasing radiation dose to the normal tissues. There are
many indications of protontherapy for paediatric brain tumours in curative
intent, either for localized treatment of ependymomas, germ-cell tumours,
craniopharyngiomas, low-grade gliomas; or panventricular irradiation of pure non
secreting germinoma; or craniospinal irradiation of medulloblastomas and
metastatic pure germinomas. Carbon ion therapy is just emerging and may be
studied for highly aggressive and radioresistant tumours, as an initial treatment
for diffuse brainstem gliomas, and for relapse of high-grade gliomas. CONCLUSION:
Both protontherapy and carbon ion therapy are promising for paediatric brain
tumours. The benefit of decreasing late effects without altering survival has
been described for most paediatric brain tumours with protontherapy and is
currently assessed in ongoing clinical trials with up-to-date proton devices.
Unfortunately, in 2015, only a minority of paediatric patients in France can
receive protontherapy due to the lack of equipment.
PMID- 26548601
TI - [Management and clinical outcome in patients over 80 years with rectal cancer
treated between 2006 and 2008 in Southern France (PACA region)].
AB - PURPOSE: Rectal cancer is increasingly prevalent in elderly patients. Their
clinical history and outcome after treatment are poorly described. This
retrospective study was undertaken to provide more data and to compare
therapeutic strategies to the standard of care for younger patients. PATIENTS AND
METHODS: Patients concerned were aged 80 years or older, with a rectal cancer
diagnosed between 2006 and 2008 and treated in Provence-Alpes-Cote-d'Azur (PACA),
irrespective of stage and treatment of the disease. Overall survival and relapse
free-survival were correlated with patients' characteristics and treatment. The
adopted therapeutic strategy was then compared to the standard-of-care for
younger patients. RESULTS: With a median follow-up of 36 months, among the 160
patients included, the 3-year overall survival and relapse-free survival were
59.2% and 76.6%, respectively for the 117 patients who received a treatment with
curative intent. In the multivariate analysis, node status and surgery
independently influenced overall survival, while relapse-free survival was
influenced by age, N status, and gender. For T0-T2 tumours, patients were treated
similarly to younger patients with an overall survival of 83.6% and a relapse
free survival of 95.2%. For T3-T4 tumours, the 3-year relapse-free survival was
65%, even with a less aggressive strategy. CONCLUSION: Surgical resection after
evaluation using the Comprehensive Geriatric Assessment (CGA) test should be the
standard treatment for localized rectal cancer (T0-T2) in elderly patients, as it
is in younger patients. For locally advanced lesions (T3-T4), results obtained
after a conservative approach suggest that a non-surgical strategy can be used in
elderly patients.
PMID- 26548602
TI - [MALT lymphoma of the prostate: Place of radiotherapy. Case report and
discussion].
AB - We report the case of a patient presenting with MALT (mucosa-associated lymphoid
tissue) lymphoma of the prostate, who received an irradiation delivering 30.6 Gy
in 17 fractions after transurethral resection. With a follow-up of 6 years, he
remained alive and free of disease. In view of the literature and this case
report, definitive local irradiation should be administered in this rare disease.
PMID- 26548603
TI - Non-linear contribution of serum vitamin D to symptomatic diabetic neuropathy: A
case-control study.
AB - AIMS: Vitamin D deficiency has recently been speculated to be associated with
increased risk of diabetes neuropathy (DN). The aim of this study was to evaluate
the odds of symptomatic DN across serum vitamin D levels. METHODS: All patients
with DM were assessed using diabetic neuropathy symptoms and diabetic neuropathy
examination score. Overall, 150 cases with DN and 600 controls were included.
Serum 25-hydroxyvitamin D (25-OH-D) was measured to determine vitamin D status.
RESULTS: A non-linear association between 25-OH-D and suffering from symptomatic
DN was observed which was extracted after stratifying the ORs across different
serum 25-OH-D levels. When compared to individuals with 25-OH-D of 30-40 ng/mL,
patients with deficient (<20 ng/mL) vitamin D levels had higher odds of having
symptomatic DN (OR: 2.04, 95%CI: 0.99-4.02, P=0.054). Participants with vitamin D
values of greater than 40 ng/mL were also more likely to exhibit symptomatic DN
(fully adjusted OR: 4.29, 95%CI: 1.59-11.55). CONCLUSIONS: We hypothesize a non
linear contribution of serum vitamin D to symptomatic DN occurrence, which
emphasizes that administration of vitamin D should be monitored and evaluated
more carefully, especially in patients with diabetes.
PMID- 26548605
TI - Control of Klebsiella pneumoniae pulmonary infection and immunomodulation by oral
treatment with the commensal probiotic Bifidobacterium longum 5(1A).
AB - Klebsiella pneumoniae (Kp) a common cause of pneumonia leads to intense lung
injury and mortality that are correlated with infective exacerbations. Probiotics
are a class of microorganisms that have immunomodulatory effects to benefit
health. We investigated whether the probiotic Bifidobacterium longum 5(1A)
induces protection in mice against lung infection induced by Kp and the potential
involved mechanisms. Kp infection induced secretion of pro-inflammatory
cytokines, neutrophil recruitment, significant bacterial load in the lung and 50%
lethality. However, treatment with live B. longum 5(1A) induced faster resolution
of inflammation associated with an increased production of IL-10, decreased lung
damage with significantly reduction of bacterial burden that contributed to
rescue 100% of mice from death. We found that these effects could be attributed,
at least in part, to activation of the Toll-like receptor (TLR) adapter protein
Mal, since B. longum 5(1A) treatment in Mal-deficient infected mice did not show
the protection observed in wild type infected mice. Thus, we propose that live B.
longum 5(1A) activates TLR-signaling pathway that results in ROS production and
protects the host against pneumonia-induced death by finely tuning the
inflammatory response and contributing to faster return to lung homeostasis.
PMID- 26548604
TI - Metabolic Syndrome Among Marijuana Users in the United States: An Analysis of
National Health and Nutrition Examination Survey Data.
AB - BACKGROUND: Research on the health effects of marijuana use in light of its
increased medical use and the current obesity epidemic is needed. Our objective
was to explore the relationship between marijuana use and metabolic syndrome
across stages of adulthood. METHODS: An analysis of 20- to 59-year-olds (n =
8478) who completed the 2005-2010 National Health and Nutrition Examination
Surveys was conducted. Marijuana use was categorized as: never used, past use
(used previously but not within the last 30 days), and current use (>=1 day in
the last 30 days). Metabolic syndrome was defined as >=3 of the following:
elevated fasting glucose, high triglycerides, low high-density-lipoprotein
cholesterol, elevated systolic/diastolic blood pressure, and increased waist
circumference. An age-stratified analysis was conducted to examine the
relationship between marijuana use and metabolic syndrome among emerging adults
(20-30 years), adults (31-44 years), and middle-aged adults (45-59 years).
RESULTS: Fourteen percent (13.8%) of current marijuana users and 17.5% of past
marijuana users presented with metabolic syndrome, compared with 19.5% of never
users (P = .0003 and P = .03, respectively). Current marijuana users had lower
odds of presenting with metabolic syndrome than never users (adjusted odds ratio
[AOR] 0.69; 95% confidence interval [CI], 0.47-1.00; P = .05). Among emerging
adults, current marijuana users were 54% less likely than never users to present
with metabolic syndrome. Current (AOR 0.49; 95% CI, 0.25-0.97) and past (AOR
0.61; 95% CI, 0.40-0.91) middle-aged adult marijuana users were less likely to
have metabolic syndrome than never users. CONCLUSIONS: Current marijuana use is
associated with lower odds of metabolic syndrome across emerging and middle-aged
US adults. Future studies should examine the biological pathways of this
relationship.
PMID- 26548606
TI - Identification of innate immune antiretroviral factors during in vivo and in
vitro exposure to HIV-1.
AB - Defensins, RNases and cytokines are present at mucosal barriers, main ports of
HIV entry, and are potential mediators of the resistant phenotype exhibited by
HIV-1-exposed seronegative individuals (HESN) during sexual exposure. We aimed to
determine the role of soluble factors in natural resistance to HIV-1 infection.
Vaginal/endocervical/oral mucosal samples were taken from 60 HESN, 60
seropositive (SP) and 61 healthy controls (HC). Human neutrophil peptide 1 (hNP
1), human beta defensin (hBD) 2 and 3, RNases, MIP-1beta and RANTES mRNA
transcripts were quantified by qPCR and in vitro single-round, recombinant-based
viral infectivity assay was used to evaluate the anti-HIV-1 activity of hBDs and
RNases. HESN expressed significantly higher levels of hNP-1, hBDs mRNA in oral
mucosa compared to HC (P < 0.05). In genital mucosa, significantly higher mRNA
levels of MIP-1beta, RANTES and RNases were found in HESN compared to HC (P <
0.05). HBDs and RNases inhibit HIV-1 replication, particularly R5 at entry,
reverse transcription and nuclear import of the viral life cycle. hNP-1, hBDs,
MIP-1beta, RANTES and RNases, collectively could contribute to HIV-1 resistance
during sexual exposure. Moreover, the inhibition of HIV-1 infection in vitro by
hBDs and RNases suggests that they may be exploited as potential antiretrovirals.
PMID- 26548607
TI - UHRF1 promotes human osteosarcoma cell invasion by downregulating the expression
of E-cadherin in an Rb1-dependent manner.
AB - Ubiquitin-like with plant homeodomain (PHD) and RING-finger domain 1 (UHRF1)
maintains methylation patterns following DNA replication and is expressed at high
levels in various types of human cancer. UHRF1 has been identified as a novel
oncogene involved in the pathogenesis of hepatocellular carcinoma. Previous
studies have demonstrated that inhibition of the expression of UHRF1 suppresses
the proliferation of cancer cells. However, the role of UHRF1 in human
osteosarcoma has not been investigated. The present study examined the expression
levels of UHRF1 and retinoblastoma 1 (Rb1) in human osteosarcoma cell lines by
western blot analysis. Stable overexpression of UHRF1 or knockdown of Rb1 was
achieved by lentiviral transfection. Subsequently, a Cell Counting Kit-8 assay
and a cell invasion assay were performed to detect the biological functions of
UHRF1 in vitro. The results of the present study demonstrated that UHRF1 promoted
the proliferation of human osteosarcoma cells. The present study also reported
that UHRF1 was able to enhance the invasion of osteosarcoma cells in a
retinoblastoma 1 (Rb1)-dependent manner. UHRF1 promoted invasion in Rb1-positive
osteosarcoma cells, but not in Saos-2 cells with homozygous loss of Rb1.
Similarly, knockdown of Rb1 in Rb1-positive osteosarcoma cells enhanced levels of
invasion and eliminated the regulation of invasion by UHRF1. UHRF1 was found to
inhibit the mRNA and protein expression levels of Rb1. Furthermore, deletion of
Rb1 was found to suppress the expression of E-cadherin and promote epithelial-to
mesenchymal transition (EMT). In addition, the overexpression of UHRF1 inhibited
the expression of E-cadherin and promoted EMT via the suppression of Rb1. These
data demonstrated that UHRF1 promotes osteosarcoma cell invasion by
downregulating the expression of E-cadherin and increasing EMT in an Rb1
dependent manner.
PMID- 26548608
TI - Assessing the abundance, seasonal questing activity, and Borrelia and tick-borne
encephalitis virus (TBEV) prevalence of Ixodes ricinus ticks in a Lyme
borreliosis endemic area in Southwest Finland.
AB - Studies have revealed that Ixodes ricinus (Acari: Ixodidae) have become more
abundant and their geographical distribution extended northwards in some Nordic
countries during the past few decades. However, ecological data of tick
populations in Finland are sparse. In the current study, I. ricinus abundance,
seasonal questing activity, and their Borrelia spp. and tick-borne encephalitis
virus (TBEV) prevalence were evaluated in a Lyme borreliosis endemic area in
Southwest Finland, Seili Island, where a previous study mapping tick densities
was conducted 12 years earlier. A total of 1940 ticks were collected from five
different biotopes by cloth dragging during May-September 2012. The overall tick
density observed was 5.2 ticks/100m(2) for nymphs and adults. Seasonal questing
activity of ticks differed between biotopes and life stages: bimodal occurrences
were observed especially for nymphal and adult ticks in forested biotopes, while
larvae in pastures exhibited mostly unimodal occurrence. Prevalence of Borrelia
and TBEV in ticks was evaluated using conventional and real-time PCR. All samples
were negative for TBEV. Borrelia prevalence was 25.0% for adults (n=44) and the
minimum infection rate (MIR) 5.6% for pooled nymph samples (191 samples, 1-14
individuals per sample; 30/191 positive). No Borrelia were detected in pooled
larval samples (63 samples, 1-139 individuals per sample). Five species of
Borrelia were identified from the samples: B. afzelii, B. burgdorferi s.s., B.
garinii, B. valaisiana and B. miyamotoi. In Finland, B. valaisiana and B.
miyamotoi have previously been reported from the Aland Islands but not from the
mainland or inner archipelago. The results of the present study suggest an
increase in I. ricinus abundance on the island.
PMID- 26548609
TI - Siberian subtype tick-borne encephalitis virus in Ixodes ricinus in a newly
emerged focus, Finland.
AB - The first tick-borne encephalitis (TBE) cases in Kotka, Finland appeared in 2010.
Altogether ten human cases have been diagnosed by 2014. Four had long-lasting
sequelae. We collected 195 Ixodes ricinus ticks, nine rodents, and eleven shrews
from the archipelago of Kotka in 2011. Three Siberian subtype TBE virus (TBEV)
strains were isolated from the ticks and three mammals were positive for TBEV
antibodies. The archipelago of Kotka is a newly emerged TBE focus of Siberian
subtype TBEV circulating notably in I. ricinus. The patients had on average
longer hospitalization than reported for the European subtype infection.
PMID- 26548610
TI - Visualization of Compartmentalized Kinase Activity Dynamics Using Adaptable
BimKARs.
AB - The ability to monitor kinase activity dynamics in live cells greatly aids the
study of how signaling events are spatiotemporally regulated. Here, we report on
the adaptability of bimolecular kinase activity reporters (bimKARs) as molecular
tools to enhance the real-time visualization of kinase activity. We demonstrate
that the bimKAR design is truly versatile and can be used to monitor a variety of
kinases, including JNK, ERK, and AMPK. Furthermore, bimKARs can have
significantly enhanced dynamic ranges over their unimolecular counterparts,
allowing the elucidation of previously undetectable kinase activity dynamics.
Using these newly designed bimKARs, we investigate the regulation of AMPK by
protein kinase A (PKA) in the plasma membrane, and demonstrate that PKA can both
negatively and positively regulate AMPK activity in the same cell.
PMID- 26548611
TI - Small-Molecule Disruption of RAD52 Rings as a Mechanism for Precision Medicine in
BRCA-Deficient Cancers.
AB - Suppression of RAD52 causes synthetic lethality in BRCA-deficient cells. Yet
pharmacological inhibition of RAD52, which binds single-strand DNA (ssDNA) and
lacks enzymatic activity, has not been demonstrated. Here, we identify the small
molecule 6-hydroxy-DL-dopa (6-OH-dopa) as a major allosteric inhibitor of the
RAD52 ssDNA binding domain. For example, we find that multiple small molecules
bind to and completely transform RAD52 undecamer rings into dimers, which
abolishes the ssDNA binding channel observed in crystal structures. 6-OH-Dopa
also disrupts RAD52 heptamer and undecamer ring superstructures, and suppresses
RAD52 recruitment and recombination activity in cells with negligible effects on
other double-strand break repair pathways. Importantly, we show that 6-OH-dopa
selectively inhibits the proliferation of BRCA-deficient cancer cells, including
those obtained from leukemia patients. Taken together, these data demonstrate
small-molecule disruption of RAD52 rings as a promising mechanism for precision
medicine in BRCA-deficient cancers.
PMID- 26548612
TI - Bioorthogonal Labeling of Ghrelin Receptor to Facilitate Studies of Ligand
Dependent Conformational Dynamics.
AB - Ghrelin receptor (GhrR) is a promising drug target because of its central role in
energy homeostasis. GhrR, known for high constitutive activity, is thought to
display multi-state conformations during activation and signaling. We used
genetically encoded unnatural amino acids and bioorthogonal labeling reactions to
engineer multiple fluorescent donor-acceptor pairs to probe ligand-directed
structural changes in GhrR. We demonstrate how conformational dynamics of a G
protein-coupled receptor can be measured in reconstituted systems.
PMID- 26548614
TI - Combinatorial Screening Identifies Novel Promiscuous Matrix Metalloproteinase
Activities that Lead to Inhibition of the Therapeutic Target IL-13.
AB - The practical realization of disease modulation by catalytic degradation of a
therapeutic target protein suffers from the difficulty to identify candidate
proteases, or to engineer their specificity. We identified 23 measurable,
specific, and new protease activities using combinatorial screening of 27 human
proteases against 24 therapeutic protein targets. We investigate the cleavage of
monocyte chemoattractant protein 1, interleukin-6 (IL-6), and IL-13 by matrix
metalloproteinases (MMPs) and serine proteases, and demonstrate that cleavage of
IL-13 leads to potent inhibition of its biological activity in vitro. MMP-8
degraded human IL-13 most efficiently in vitro and ex vivo in human IL-13
transgenic mouse bronchoalveolar lavage. Hence, MMP-8 is a therapeutic protease
lead against IL-13 for inflammatory conditions whereby reported genetic and
genomics data suggest an involvement of MMP-8. This work describes the first
exploitation of human enzyme promiscuity for therapeutic applications, and
reveals both starting points for protease-based therapies and potential new
regulatory networks in inflammatory disease.
PMID- 26548613
TI - Host-Microbe Protein Interactions during Bacterial Infection.
AB - Interspecies protein-protein interactions are essential mediators of infection.
While bacterial proteins required for host cell invasion and infection can be
identified through bacterial mutant library screens, information about host
target proteins and interspecies complex structures has been more difficult to
acquire. Using an unbiased chemical crosslinking/mass spectrometry approach, we
identified interspecies protein-protein interactions in human lung epithelial
cells infected with Acinetobacter baumannii. These efforts resulted in
identification of 3,076 crosslinked peptide pairs and 46 interspecies protein
protein interactions. Most notably, the key A. baumannii virulence factor, OmpA,
was identified as crosslinked to host proteins involved in desmosomes,
specialized structures that mediate host cell-to-cell adhesion. Co
immunoprecipitation and transposon mutant experiments were used to verify these
interactions and demonstrate relevance for host cell invasion and acute murine
lung infection. These results shed new light on A. baumannii-host protein
interactions and their structural features, and the presented approach is
generally applicable to other systems.
PMID- 26548616
TI - The stage-value model: Implications for the changing standards of care.
AB - The standard of care is a legal and professional notion against which doctors and
other medical personnel are held liable. The standard of care changes as new
scientific findings and technological innovations within medicine, pharmacology,
nursing and public health are developed and adopted. This study consists of four
parts. Part 1 describes the problem and gives concrete examples of its
occurrence. The second part discusses the application of the Model of
Hierarchical Complexity on the field, giving examples of how standards of care
are understood at different behavioral developmental stage. It presents the
solution to the problem of standards of care at a Paradigmatic Stage 14. The
solution at this stage is a deliberative, communicative process based around why
certain norms should or should not apply in each specific case, by the use of
"meta-norms". Part 3 proposes a Cross-Paradigmatic Stage 15 view of how the
problem of changing standards of care can be solved. The proposed solution is to
found the legal procedure in each case on well-established behavioral laws. We
maintain that such a behavioristic, scientifically based justice would be much
more proficient at effecting restorative legal interventions that create desired
behaviors.
PMID- 26548615
TI - Impact of harmful use of alcohol on the sedation of critical patients on
mechanical ventilation: A multicentre prospective, observational study in 8
Spanish intensive care units.
AB - PURPOSE: To evaluate the impact of a history of harmful use of alcohol (HUA) on
sedoanalgesia practices and outcomes in patients on mechanical ventilation (MV).
METHODS: A prospective, observational multicentre study was made of all adults
consecutively admitted during 30 days to 8 Spanish ICUs. Patients on MV >24h were
followed-up on until discharge from the ICU or death. Data on HUA, smoking, the
use of illegal (IP) and medically prescribed psychotropics (MPP), sedoanalgesia
practices and their related complications (sedative failure [SF] and sedative
withdrawal [SW]), as well as outcome, were prospectively recorded. RESULTS: A
total of 23.4% (119/509) of the admitted patients received MV >24h; 68.9% were
males; age 57.0 (17.9) years; APACHE II score 18.8 (7.2); with a medical cause of
admission in 53.9%. Half of them consumed at least one psychotropic agent
(smoking 27.7%, HUA 25.2%; MPP 9.2%; and IP 7.6%). HUA patients more frequently
required PS (86.7% vs. 64%; p<0.02) and the use of >2 sedatives (56.7% vs. 28.1%;
p<0.02). HUA was associated to an eightfold (p<0.001) and fourfold (p<0.02)
increase in SF and SW, respectively. In turn, the duration of MV and the stay in
the ICU was increased by 151h (p<0.02) and 4.4 days (p<0.02), respectively, when
compared with the non-HUA group. No differences were found in terms of mortality.
CONCLUSIONS: HUA may be associated to a higher risk of SF and WS, and can prolong
MV and the duration of stay in the ICU in critical patients. Early identification
could allow the implementation of specific sedation strategies aimed at
preventing these complications.
PMID- 26548617
TI - [Psychiatric disorders and associated factors in patients with epilepsy in Fez,
Morocco].
AB - BACKGROUND: The prevalence of psychiatric disorders in epileptic patients remains
unclear. OBJECTIVE: This study was conducted in order to determine the prevalence
and nature of the psychiatric disorders and the associated factors in patients
with idiopathic epilepsy. METHODS: A cross-sectional study was conducted over a
period of eighteen months in the psychiatric unit of the University Hospital
Hassan II of Fez (Morocco). A questionnaire was completed by the included
patients, which specified: the socio-demographic data, personal and family
history, and the clinical features of epilepsy and its management. Psychiatric
disorders were identified by the Mini International Neuropsychiatric Interview
test (MINI). The severity of the depression and anxiety symptoms was investigated
using the Beck Depression Inventory (BDI) and the Hamilton Rating Scale. RESULTS:
Eighty-nine patients met the inclusion criteria. The average age of patients was
29.7+/-10.8years. Mood disorders were the leading psychiatric comorbidity: 32.6%
among which 25.8% of major depressive episodes, 15.7% of dysthymia and 2.2% of
hypomanic episodes. Anxiety disorders came second: 28.1% (among which 19.1% panic
disorder, 13.5% agoraphobia, 12.4% generalized anxiety disorder, 10.1% social
phobia and 4.5% post-traumatic stress disorder). Female gender, unemployment and
poor compliance to antiepileptic drugs are all risk factors for the occurrence of
psychiatric disorders in this population.
PMID- 26548618
TI - Daily dynamics in sleep and behavior of young African-American children: A
convoluted dyad?!
AB - Prior research has provided evidence that in children sleep and behavior are
related. We aimed to determine the association between naturalistic daily
variations in sleep and behavioral functioning. African American children, 5.4+/
1.7years old, living on the south side of Chicago participated in a repeated
measures study to assess this sleep-behavior link. Data was obtained from three
separate two-week periods of 24-hour actigraphy and the parental version of the
Behavioral Assessment System for Children. Canonical correlations analyses were
applied to investigate the relation between individual changes in sleep and
behavior. After 1-month, weekday average sleep duration primarily related to
internalizing behaviors, while within-child variability of sleep related to
behavioral changes which may involve internalizing and externalizing symptoms.
Week-weekend differences in sleep associated with maladaptive social skills. Over
a 6-week period, sleep onset latency and sleep offset latency related to
behavioral symptoms and maladaptive skills. Over a period of 3-months, sleep
associated with symptomatic behaviors while the adverse impact of within-child
variability of sleep attenuated. Alternatively, the week-weekend differences in
bedtime, wake-up time, wake after sleep onset and sleep onset latency in
particular related to internalizing and externalizing behavior problems. Findings
showed that poor sleep related to dysfunctional behaviors. While maladaptive at
the beginning, they may develop into symptomatic behaviors with potentially
internalizing characteristics. As time goes on, individual changes in sleep onset
and offset might be important clinical markers of a chronic 'social
dysregulation'. Continued sufficient and regular sleep may improve daytime and
nighttime behavioral regulation in early childhood.
PMID- 26548620
TI - Establishment of mesenteric venous thrombosis in a porcine model using a
transhepatic endovascular approach.
AB - PURPOSE: By using endovascular techniques, we set up an animal model of
mesenteric venous thrombosis to avoid surgical laparotomy. MATERIALS AND METHODS:
Ten pigs underwent percutaneous transhepatic puncture to create animal model of
acute superior mesenteric venous thrombosis. Experimental animals were injected
with thrombin via indwelling catheter, while sham-operated animals with receiving
physiological saline instead of thrombin. Animals were divided into three groups
according to the time of thrombosis: the control (n=3, sham group), group A (n=3,
24h follow up) and group B (n=4, 72 h follow up). Blood samples were collected
and tested at the baseline and end of the experiment from the systemic
circulation (jugular vein). A pathologist, blinded to the performed
interventions, graded the ischemic lesions. RESULTS: Nine pigs were successfully
conducted MVT model, while one died of liver rupture during the experiment. White
blood cell (WBC) count (group A: 18.77 +/- 1.29, group B: 28.93 +/- 3.13), D
dimer (group A: 8.30 +/- 1.93, group B: 17.30 +/- 2.48) and lactate dehydrogenase
(LDH) (group A: 452.13 +/- 53.14, group B: 753.97 +/- 65.29) showed a rapid step
up between the experimental animals and control animals (P<0.05), while
hemoglobin (HB) count (group A: 106.63 +/- 3.84, group B: 90.00 +/- 2.43) and
albumin (group A: 35.83 +/- 1.15, group B: 27.60 +/- 1.44) a steady decline
(P<0.05). Changes of these parameters correlated with duration of mesenteric
ischemia by statistical analysis (P<0.05). CONCLUSION: The endovascular approach
in this minimally invasive porcine model of mesenteric venous thrombosis was
feasible. Moreover, further animal studies are underway to evaluate the
effectiveness and reproducibility of endovascular technique for MVT model.
PMID- 26548621
TI - Is platelet transfusion the solution to reverse platelet inhibition in patients
on triple antiplatelet therapy?
PMID- 26548619
TI - Venous thromboembolism in patients with glioblastoma multiforme: Findings of the
RIETE registry.
AB - BACKGROUND: There is uncertainty about the optimal therapy of venous
thromboembolism (VTE) in patients with glioblastoma multiforme (GBM). METHODS: We
used the RIETE (Registro Informatizado Enfermedad TromboEmbolica) database to
compare the rate of VTE recurrences and major bleeding during the course of
anticoagulation in patients with GBM, other cancers and in patients without
cancer. RESULTS: As of September 2014, 53,546 patients have been recruited in
RIETE. Of these, 72 (0.13%) had GBM and 11,811 (22%) had other cancers. Most
patients in all 3 subgroups received initial therapy with low-molecular-weight
heparin (LMWH), but those with GBM received slightly lower doses than those with
other cancers or without cancer. Then, most patients with GBM continued on LMWH
for long-term therapy, at similar doses than those in the other subgroups. During
the course of anticoagulation (mean, 202 days), 3 patients with GBM presented VTE
recurrences (10.9 per 100 patient-years; 95% CI: 2.76-29.5) and 4 suffered major
bleeding (one intracranial) (14.5 bleeds per 100 patient-years; 95%CI: 4.60
34.9). Compared with patients with other cancers, those with GBM had a similar
rate of VTE recurrences and major bleeds, but had a higher rate of extracranial
hematoma (p<0.05). Compared with VTE patients without cancer, those with GBM had
a higher rate of PE recurrences (p<0.01) and major bleeding (p<0.001),
particularly extracranial hematoma (p<0.001). CONCLUSIONS: Patients with GBM and
VTE had a similar rate of VTE recurrences or major bleeds during the course of
anticoagulant therapy than those with other cancers.
PMID- 26548622
TI - Progesterone modulates the LPS-induced nitric oxide production by a progesterone
receptor independent mechanism.
AB - Genital tract infections caused by Gram-negative bacteria induce miscarriage and
are one of the most common complications of human pregnancy. LPS administration
to 7-day pregnant mice induces embryo resorption after 24h, with nitric oxide
playing a fundamental role in this process. We have previously shown that
progesterone exerts protective effects on the embryo by modulating the
inflammatory reaction triggered by LPS. Here we sought to investigate whether the
in vivo administration of progesterone modulated the LPS-induced nitric oxide
production from peripheral blood mononuclear cells from pregnant and non-pregnant
mice. We found that progesterone downregulated LPS-induced nitric oxide
production by a progesterone receptor-independent mechanism. Moreover, our
results suggest a possible participation of glucocorticoid receptors in at least
some of the anti-inflammatory effects of progesterone.
PMID- 26548623
TI - Cyclooxygenase-2 inhibitors in lung cancer treatment: Bench to bed.
AB - The most common and leading cause of cancer-related death in men is lung cancer.
Despite the recent advances in chemotherapy, advanced lung cancer still remains
incurable. For this, the understanding of molecular mechanisms involved in lung
carcinogenesis is necessary to provide potentially effective therapeutic targets
for the treatment of lung cancer, and thus the therapeutic limitations can be
overcome. Cyclooxygenase-2 (COX-2) is an important inflammation factor that is
reported to be up-regulated in different cancers. A number of COX-2 inhibitors
have been developed, but most of them are restricted due to the different risk
factors. Currently, the FDA has allowed celecoxib to remain on the market but
advised physicians to apply this drug with alternative therapies or to use at a
low dosage. Some other COX-2 inhibitors, such as, apricoxib and etoricoxib are
under critical investigation currently. Celecoxib is being tested in clinical
trials against lung cancer, as a single agent or in combination with other
agents. Recent studies have suggested celecoxib as a feasible and clinically
active regimen in the treatment of patients with lung cancer. However, more
clinical trials are necessary for the better understanding of the role of
selective COX-2 inhibitors in the prevention and treatment of lung cancer along
with their assessment of toxicity. In this review, we have discussed the
mechanism of actions of COX-2 in cancer progression and the therapeutic use of
COX-2 inhibitors in the treatment of lung cancer with subsequent clinical studies
and future management.
PMID- 26548625
TI - Pharmacological significance of the interplay between angiotensin receptors: MAS
receptors as putative final mediators of the effects elicited by angiotensin AT1
receptors antagonists.
AB - The interplay between angiotensin AT1 receptors and MAS receptors relies on
several inward regulatory mechanisms from renin-angiotensin system (RAS)
including the functional crosstalk between angiotensin II and angiotensin-(1-7),
the competitive AT1 antagonism exhibited by angiotensin-(1-7), the antagonist
feature assigned to AT1/MAS heterodimerization on AT1 signaling and the AT1
mediated downregulation of angiotensin-converting enzyme 2 (ACE2). Recently, such
interplay has acquired an important significance to RAS Pharmacology since a few
studies have supporting strong evidences that MAS receptors mediate the effects
elicited by AT1 antagonists. The present Perspective provides an overview of the
regulatory mechanisms involving AT1 and MAS receptors, their significance to RAS
Pharmacology and the future directions on the interplay between angiotensin
receptors.
PMID- 26548624
TI - Dasatinib inhibits TGFbeta-induced myofibroblast differentiation through Src-SRF
Pathway.
AB - Persistent myofibroblast differentiation is a hallmark of fibrotic diseases.
Myofibroblasts are characterized by de novo expression of alpha smooth muscle
actin (alphaSMA) and excess fibronectin assembly. Recent studies provide
conflicting reports on the effects of tyrosine kinase inhibitor dasatinib on
myofibroblast differentiation and fibrosis. Also, it is not fully understood
whether dasatinib modulates myofibroblast differentiation by targeting Src
kinase. Herein, we investigated the effect of dasatinib on cSrc and transforming
growth factor-beta (TGFbeta)-induced myofibroblast differentiation in vitro. Our
results indicated that selective Src kinase inhibition using PP2 mimicked the
effect of dasatinib in attenuating myofibroblast differentiation as evident by
blunted alphaSMA expression and modest, but significant inhibition of fibronectin
assembly in both NIH 3T3 and fibrotic human lung fibroblasts. Mechanistically,
our data showed that dasatinib modulates alphaSMA synthesis through Src kinase
mediated modulation of serum response factor expression. Collectively, our
results demonstrate that dasatinib modulates myofibroblast differentiation
through Src-SRF pathway. Thus, dasatinib could potentially be a therapeutic
option in fibrotic diseases.
PMID- 26548627
TI - A novel somatic MAPK1 mutation in primary ovarian mixed germ cell tumors.
AB - A recent exome-sequencing study revealed prevalent mitogen-activated protein
kinase 1 (MAPK1) p.E322K mutation in cervical carcinoma. It remains largely
unknown whether ovarian carcinomas also harbor MAPK1 mutations. As paralogous
gene mutations co-occur frequently in human malignancies, we analyzed here a
total of 263 ovarian carcinomas for the presence of MAPK1 and paralogous MAPK3
mutations by DNA sequencing. A previously unreported MAPK1 p.D321N somatic
mutation was identified in 2 out of 18 (11.1%) ovarian mixed germ cell tumors,
while no other MAPK1 or MAPK3 mutation was detected in our samples. Of note, OCC
115, the MAPK1-mutated sample with bilateral cancerous ovaries affected, harbored
MAPK1 mutation in the right ovary while retained the left ovary intact,
implicating that the genetic alterations underlying ovarian mixed germ cell tumor
may be different, even in patients with similar genetic backgrounds and tumor
microenvironments. The results of evolutionary conservation and protein structure
modeling analysis implicated that MAPK1 p.D321N mutation may be pathogenic.
Additionally, mutations in protein phosphatase 2 regulatory subunit alpha
(PPP2R1A), ring finger protein 43 (RNF43), DNA directed polymerase epsilon
(POLE1), ribonuclease type III (DICER1), CCCTC-binding factor (CTCF), ribosomal
protein L22 (RPL22), DNA methyltransferase 3alpha (DNMT3A),
transformation/transcription domain-associated protein (TRRAP), isocitrate
dehydrogenase (IDH)1 and IDH2 were not detected in ovarian mixed germ cell
tumors, implicating these genetic alterations may be not associated with MAPK1
mutation in the development of this malignancy. The present study identified a
previously unreported MAPK1 mutation in ovarian mixed germ cell tumors for the
first time, and this mutation may be actively involved in the tumorigenesis of
this disease.
PMID- 26548628
TI - In ICU state anxiety is not associated with posttraumatic stress symptoms over
six months after ICU discharge: A prospective study.
AB - BACKGROUND: Posttraumatic stress symptoms are common after intensive care
treatment. The influence of anxiety during critical illness on the development of
posttraumatic stress symptoms needs to be investigated. OBJECTIVE: To determine
the association between anxiety during critical illness (state and trait
components) and posttraumatic stress symptoms over six months after ICU
discharge. METHODS: Prospective study including 141 patients admitted >=24h to a
closed mixed adult ICU in a tertiary hospital. State anxiety was assessed with
the Faces Anxiety Scale during ICU stay. Trait anxiety was measured with the
State-Trait Anxiety Inventory Form Y-2. Posttraumatic stress symptoms were
measured at three and six months after ICU discharge using the Post-Traumatic
Stress Symptoms 10-Question Inventory. Clinical and demographical data were also
collected. Mixed effect regression models were used to determine if state and
trait anxiety were factors significantly associated with posttraumatic stress
symptoms over time. RESULTS: Moderate to severe levels of state anxiety in ICU
were reported by 81 (57%) participants. Levels of trait anxiety (median 36 IQR:
29-47) were similar to the Australian population. High levels of posttraumatic
stress symptoms occurred at three (n=19, 19%) and six months (n=15, 17%). Factors
independently associated with posttraumatic stress symptoms were trait anxiety
(2.2; 95% CI, 0.3-4.1; p=0.02), symptoms of anxiety after ICU discharge (0.6; 95%
CI, 0.2-1.1; p=0.005), younger age (-1.4; 95% CI, -2.6 to -0.2; p=0.02) and
evidence of mental health treatment prior to the ICU admission (5.2; 95% CI, 1.5
8.9; p=0.006). CONCLUSIONS: Posttraumatic stress symptoms occurred in a
significant proportion of ICU survivors and were significantly associated with
higher levels of trait anxiety, younger age, mental health treatment prior to the
ICU admission and more symptoms of anxiety after ICU discharge. Early assessment
and interventions directed to reduce state and trait anxiety in ICU survivors may
be of benefit.
PMID- 26548630
TI - Sex-related effects of sleep deprivation on depressive- and anxiety-like
behaviors in mice.
AB - Anxiety and depressive symptoms are generated after paradoxical sleep deprivation
(PSD). However, it is not clear whether PSD produces differential effects between
females and males. The aim of this study was to assess the effect of PSD on
anxiety- and depressive-like behaviors between sexes. Male and female BALB/c mice
were divided in three groups: the control group, the 48-h PSD group and the 96-h
PSD group. Immediately after PSD protocols, the forced swimming and open field
test were applied. Sucrose consumption test was used to evaluate the middle-term
effect of PSD. We found that corticosterone serum levels showed significant
differences in the 96-h PSD females as compared to 96-h PSD males. In the open
field test, the 48-h and 96-h PSD females spent more time at the periphery of the
field, and showed high locomotion as compared to males. In the elevated plus
maze, the 48-h PSD females spent more time in closed arms than males, which is
compatible with anxiety-like behavior. The forced swim test indicated that the 96
h PSD males spent more time swimming as compared to the 96-h PSD females.
Remarkably, the 96-h PSD males had lower sucrose intake than the 96-h PSD
females, which suggest that male mice have proclivity to develop a persistent
depressive-like behavior late after PSD. In conclusion, male mice showed a
significant trend to depressive-like behaviors late after sleep deprivation.
Conversely, female have a strong tendency to display anxiety- and depressive-like
behaviors immediately after sleep deprivation.
PMID- 26548631
TI - Transamniotic stem cell therapy (TRASCET) mitigates bowel damage in a model of
gastroschisis.
AB - PURPOSE: We sought to determine whether intraamniotic delivery of concentrated
amniotic-derived mesenchymal stem cells (afMSCs) could reduce damage to exposed
bowel in experimental gastroschisis. METHODS: Rat fetuses (n=117) with surgically
created gastroschisis were divided into three groups: untreated animals (n=62)
and two groups receiving volume-matched intraamniotic injections of either saline
(n=25) or 2 * 10(6) cells/mL of syngeneic, labeled afMSCs (n=30). Animals were
killed before term, along with normal controls (NL). Blinded observers performed
computerized measurements of total and segmental (serosa, muscularis, and mucosa)
intestinal wall thicknesses. Statistical comparisons were by ANOVA (P<0.05).
RESULTS: Among survivors with gastroschisis, there were statistically significant
decreases in total bowel wall, serosal, muscular, and mucosal thicknesses in the
afMSC group vs. the untreated group (P=0.001/0.035/0.001/0.005, respectively) and
vs. the saline group (P=0.003/0.05/<0.001/0.026, respectively). There were no
such significant differences between the untreated and saline groups. There were
no differences between the afMSC group and NL, except for a significantly thicker
muscular layer in the afMSC group (P=0.014). Labeled afMSCs were scarcely
identified, suggesting a paracrine effect. CONCLUSIONS: Amniotic mesenchymal stem
cells mitigate bowel damage in experimental gastroschisis after concentrated
intraamniotic injection. Transamniotic stem cell therapy (TRASCET) may become a
practical component of the treatment of gastroschisis.
PMID- 26548629
TI - Request of thyroid function tests from Primary Care in Spain.
AB - BACKGROUND AND OBJECTIVES: Laboratory tests are crucial for diagnosis and
monitoring of thyroid disorders. It is therefore necessary to study the pattern
and variability in requests of thyroid function tests. The study objectives were
to compare the inter-regional variability in the request of laboratory thyroid
tests by general practitioners (GPs) in Spain, and to investigate the potential
economic savings if the goals set for some suitability indicators were reached.
METHODS: Test requests per 1,000 inhabitants and test ratios (free thyroxine
(FT4)/thyrotropin (TSH), free triiodothyronine (FT3)/TSH, thyroglobulin antibody
(TgAb)/peroxidase antibody (TPOAb)) were compared between the different areas,
according to their setting, location, and management. The resulting savings if
each department achieved the goals for indicator (0.25 for FT4/TSH, 0.1 for
FT3/TSH) were estimated. RESULTS: Seventy-six laboratories covering a population
of 17,679,195 inhabitants participated in the study. TSH was requested
significantly less in urban-rural areas, and the requests for FT3/1,000
inhabitants, FT3/TSH, and TgAb/TPOAb were higher in departments with private
management. The savings generated if specifications for the ratios of related
tests were met would be 937,260.5 ?. CONCLUSIONS: The high variability reported
in requests for thyroid function and autoimmunity tests in Spain suggests the
need for implementing strategies to improve use of such tests.
PMID- 26548632
TI - Protease activated receptor-1 mediated dual kinase receptor transactivation
stimulates the expression of glycosaminoglycan synthesizing genes.
AB - G protein-coupled receptors (GPCR) are one of the most important targets for
therapeutics due to their abundance and diversity. The G protein-coupled receptor
for thrombin can transactivate protein tyrosine kinase receptors (PTKR) and we
have recently established that it can also transactivate serine/threonine kinase
receptors (S/TKR). A comprehensive knowledge of the signalling pathways that GPCR
transactivation elicits is necessary to fully understand the implications of both
GPCR activation and the impact of target drugs. Here, we demonstrate that
thrombin elicits dual transactivation-dependent signalling pathways to stimulate
mRNA expression of glycosaminoglycan synthesizing enzymes chondroitin 4-O
sulfotransferase 1 and chondroitin sulfate synthase 1. The PTKR mediated response
involves matrix metalloproteinases and the phosphorylation of the MAP kinase Erk.
The S/TKR mediated response differs markedly and involves the phosphorylation of
Smad2 carboxy terminal serine residues and does not involve matrix
metalloproteinases. This work shows that all of the thrombin mediated signalling
to glycosaminoglycan synthesizing enzyme gene expression occurs via
transactivation-dependent pathways and does not involve transactivation
independent signalling. These findings highlight the complexity of thrombin
mediated transactivation signalling and the broader implications of GPCR targeted
therapeutics.
PMID- 26548633
TI - Adenine nucleotide translocase 1 overexpression protects cardiomyocytes against
hypoxia via increased ERK1/2 and AKT activation.
AB - The influence of mitochondrial function on intracellular signalling is currently
under intense investigation. In this regard, we analysed the effect of adenine
nucleotide translocase 1 (ANT1), which facilitates the exchange of ADP and ATP
across the mitochondrial membrane, on cell-protective survival signalling under
hypoxia. ANT1 overexpression enhanced the survival rate in hypoxic
cardiomyocytes. The effect was related to stabilization of the mitochondrial
membrane potential, suppression of caspase 3 activity, and a reduction in DNA
fragmentation. Activation of the cell-protective signalling proteins
extracellular signal-regulated kinases 1 and 2 (ERK1/2) and protein kinase B
(AKT) was substantially higher in hypoxic ANT1-transgenic (ANT1-TG)
cardiomyocytes than in wild-type cardiomyocytes. Kinase activation was associated
with significantly higher expression of hypoxia-inducible factor 1alpha, which
induces glycolytic pathway to stabilize ATP production. Accordingly, ANT1-TG
cardiomyocytes exhibited earlier and stronger activation of lactate dehydrogenase
and a higher ATP content. Treatment with PD980559 and triciribine, inhibitors of
ERK1/2 and AKT activation, respectively, abolished cell protection in hypoxic
ANT1-TG cardiomyocytes. Inhibition of ANT by carboxyatractyloside prevented the
increase in ERK1/2 and AKT phosphorylation and eliminated the cell protective
program in hypoxic ANT1-TG cardiomyocytes. In conclusion, the cytoprotective
effect observed in hypoxic ANT1-overexpressing cardiomyocytes involves an
interdependence between ANT1, activation of ERK1/ERK2 and AKT, and induction of
the survival processes regulated by these kinases.
PMID- 26548634
TI - Rotator cuff rehabilitation: current theories and practice.
AB - A fully functioning, painless shoulder joint is essential to maintain a healthy,
normal quality of life. Disease of the rotator cuff tendons (RCTs) is a common
issue that affects the population, increasing with age, and can lead to
significant disability and social and health costs. RCT injuries can affect
younger, healthy patients and the elderly alike, and may be the result of trauma
or occur as a result of chronic degeneration. They can be acutely painful,
limited to certain activities or completely asymptomatic and incidental findings.
A wide variety of treatment options exists ranging from conservative local and
systemic pain modalities, to surgical fixation. Regardless of management
ultimately chosen, physiotherapy of the RCT, rotator cuff muscles and surrounding
shoulder girdle plays an essential role in proper treatment. Length of treatment,
types of therapy and timing may vary if therapy is definitive care or part of a
postoperative protocol. Allowing time for adequate RCT healing must always be
considered when implementing ROM and strengthening after surgery. With current
rehabilitation methods, patients with all spectrums of RCT pathology can improve
their function, pain and quality of life. This manuscript reviews current
theories and practice involving rehabilitation for RCT injuries.
PMID- 26548635
TI - Human resources for research: building bridges through the Diaspora.
AB - BACKGROUND: The collaboration of scientists between the developed and the
developing world is an opportunity to reverse the 'brain drain' and to enable
'brain circulation'. OBJECTIVE: Attracting alumni from the Diaspora to strengthen
the development of talented scientists will strengthen research in Africa.
DESIGN: In 2010, the Faculty of Health Sciences at the University of the
Witwatersrand, South Africa (SA), created an Alumni Diaspora Programme to boost
international research collaboration and networking between leading medical and
health sciences alumni who now live and work at academic institutions abroad with
academic colleagues 'back home'. Based in Johannesburg, a gateway city attracting
researchers from all over sub-Saharan Africa, this programme has the potential to
capitalise on some of the intellectual capacity that was lost, mostly during the
decades of apartheid, and to strengthen capacity, not just in SA, but across the
continent. RESULTS AND CONCLUSIONS: The goal of this review is to highlight how
this programme has stimulated collaborations and networking with international
alumni.
PMID- 26548636
TI - Genetic factors affecting drug disposition in Asian cancer patients.
AB - INTRODUCTION: In the era of genomic medicine, it is increasingly recognized that
ethnogeographic differences in drug pharmacology exist between Asian and other
populations. This is particularly pertinent to oncology, where drugs forming the
backbone of chemotherapy often have narrow therapeutic windows and are frequently
dosed close to maximally tolerable levels. AREAS COVERED: At the population
level, ancestry is important because historical-biogeographical confluences have
shaped population genetics and pharmacoethnicity in the Asian race through
allelic differentiation and interethnic differences in inheritance patterns of
linkage disequilibrium. At the individual level, cis- and trans-acting germline
polymorphisms and somatic mutations in genes encoding drug-metabolizing enzymes
and transporters act in a multifactorial manner to determine drug disposition
phenotype and clinical response in Asian cancer patients. A growing body of
evidence also finds that complex genetic interactions and regulation, including a
multiplicity of gene control mechanisms, are increasingly implicated in genotype
phenotype correlates than has hitherto been appreciated--potentially serving as
the mechanistic links between hits in non-coding regions of genome-wide
association studies and drug toxicity. Together, these genetic factors contribute
to the clinical heterogeneity of drug disposition in Asian cancer patients.
EXPERT OPINION: This topic has broad relevance for the optimization and
individualization of anticancer strategies in Asians.
PMID- 26548637
TI - Electromyography as a new means of navigation during endotracheal intubation.
AB - This study tested a method of using rapid analysis of electromyographic response
patterns to electrical stimulation to enable real-time navigation during
endotracheal intubation. An electromyographic response detection device was
constructed and integrated into a standard endotracheal tube. The rebound rates
of the response voltages were measured in the trachea and oesophagus after
stimulation in an acute study performed in three freshly euthanized male Suffolk
sheep. In a blind study, a physician attempted to identify the tissue type solely
from the electrical response signals. In the acute study, the observed rebound
rate was found to be significantly faster in tracheal tissue (2.21 * 10(-3) V s(
1)) than in oesophageal tissue (3.45 * 10(-2) V s(-1); p = 0.000 05). In the
blind study, the physician correctly determined the oesophagus response rate
seven out of eight times and the tracheal rate eight out of nine times. These
results suggest that electromyographic responses can be used to accurately
differentiate tracheal from oesophageal tissue during ETT insertion, thus
offering a valuable new means of enhancing patient safety.
PMID- 26548638
TI - Respiratory rate estimation during triage of children in hospitals.
AB - Accurate assessment of a child's health is critical for appropriate allocation of
medical resources and timely delivery of healthcare in Emergency Departments. The
accurate measurement of vital signs is a key step in the determination of the
severity of illness and respiratory rate is currently the most difficult vital
sign to measure accurately. Several previous studies have attempted to extract
respiratory rate from photoplethysmogram (PPG) recordings. However, the majority
have been conducted in controlled settings using PPG recordings from healthy
subjects. In many studies, manual selection of clean sections of PPG recordings
was undertaken before assessing the accuracy of the signal processing algorithms
developed. Such selection procedures are not appropriate in clinical settings. A
major limitation of AR modelling, previously applied to respiratory rate
estimation, is an appropriate selection of model order. This study developed a
novel algorithm that automatically estimates respiratory rate from a median
spectrum constructed applying multiple AR models to processed PPG segments
acquired with pulse oximetry using a finger probe. Good-quality sections were
identified using a dynamic template-matching technique to assess PPG signal
quality. The algorithm was validated on 205 children presenting to the Emergency
Department at the John Radcliffe Hospital, Oxford, UK, with reference respiratory
rates up to 50 breaths per minute estimated by paediatric nurses. At the time of
writing, the authors are not aware of any other study that has validated
respiratory rate estimation using data collected from over 200 children in
hospitals during routine triage.
PMID- 26548639
TI - Prediction of the endocrine disruption profile of pesticides.
AB - Numerous manmade chemicals released into the environment can interfere with
normal, hormonally regulated biological processes to adversely affect the
development and reproductive functions of living species. Various in vivo and in
vitro tests have been designed for detecting endocrine disruptors, but the number
of chemicals to test is so high that to save time and money, (quantitative)
structure-activity relationship ((Q)SAR) models are increasingly used as a
surrogate for these laboratory assays. However, most of them focus only on a
specific target (e.g. estrogenic or androgenic receptor) while, to be more
efficient, endocrine disruption modelling should preferentially consider profiles
of activities to better gauge this complex phenomenon. In this context, an
attempt was made to evaluate the endocrine disruption profile of 220 structurally
diverse pesticides using the Endocrine Disruptome simulation (EDS) tool, which
simultaneously predicts the probability of binding of chemicals on 12 nuclear
receptors. In a first step, the EDS web-based system was successfully applied to
16 pharmaceutical compounds known to target at least one of the studied
receptors. About 13% of the studied pesticides were estimated to be potential
disruptors of the endocrine system due to their high predicted affinity for at
least one receptor. In contrast, about 55% of them were unlikely to be endocrine
disruptors. The simulation results are discussed and some comments on the use of
the EDS tool are made.
PMID- 26548640
TI - The potential of whole genome NGS for infectious disease diagnosis.
AB - Non-targeted identification of microbes is now possible directly in biological
samples, based on whole-genome-NGS (WG-NGS) techniques that allow deep sequencing
of nucleic acids, data mining and sorting out of sequences of pathogens without
any a priori hypothesis. WG-NGS was first only used as a research tool due to its
cost, complexity and lack of standardization. Recent improvements in sample
preparation and bioinformatics pipelines and decrease in cost now allow
actionable diagnostics in patients. The potency and limits of WG-NGS and possible
future indications are discussed here. WG-NGS will likely soon become a standard
procedure in microbiological diagnosis.
PMID- 26548641
TI - Using carer biographical narratives to explore factors involved in proxy
reporting of quality of life in people with dementia.
AB - OBJECTIVES: Quality of life is an important focus of research on dementia, with
interest in direct reports of people with dementia and proxy reports of their
carers. By exploring the subjective perspectives of unpaid family carers and paid
care workers, this study aims to understand how carers construct meaning in
narratives about quality of life with dementia. METHOD: A case-centred approach
involved biographical narrative interviews with 10 carers to explore what was
important for people with dementia to have a good quality of life. Detailed
narrative analysis attended to the linguistic and structural features of accounts
to consider how dementia is conceptualised by carers in the framing of quality of
life. RESULTS: An individual's perception of how dementia impacts on awareness
and behaviour was central to their understanding of quality of life. Carers who
constructed dementia as a loss of skills and abilities were able to represent
quality of life in positive terms despite the challenges of dementia. Carers who
constructed dementia as eroding identity represented quality of life less
positively and centred on their own means of coping with a challenging care
situation. CONCLUSION: Findings highlight the importance of helping carers
develop positive constructions of quality of life that are associated with
understanding dementia as a loss of skills and abilities, rather than as a loss
of self. Engaging with subjectivity in carers' biographical narrative accounts is
important in the development of quality of life assessment to understand the
meanings and emotions that underlie proxy perspectives.
PMID- 26548642
TI - Increased Nonalternans Repolarization Variability Precedes Ventricular
Tachycardia Onset in Patients with Implantable Defibrillators.
AB - BACKROUND: T-wave alternans (TWA) is associated with ventricular tachycardia
(VT). Nonalternans repolarization variability (NARV) precedes VT in certain
experimental models, but its link to clinical arrhythmia is unproven. This study
was conducted to determine if NARV increases prior to VT in patients with
implantable cardioverter defibrillators (ICDs). METHODS: TWA and NARV were
calculated from shock-channel electrograms preceding onset of VT or non-VT events
in patients with an ICD. In each patient, presence of both a VT and a non-VT
event with the same QRS morphology before the event was required. Mixed linear
model was used for data analysis, using heart rate (HR) and the number of
analyzed beats as covariates. RESULTS: Five hundred and sixty-eight events from
64 patients (males/females 51/13, 67 +/- 13 years) were analyzed. HR preceding
non-VT events was higher than before VT events (RR interval 595 +/- 159 vs 706 +/
111 ms; P < 0.0001). Both TWA and NARV increased with increasing HR (P < 0.001).
TWA decreased with increasing number of analyzed beats. When controlled for
number of analyzed beats and HR, both TWA and NARV were higher before VT than
before non-VT events (P < 0.002 and P < 0.0005, respectively). CONCLUSIONS: NARV
is elevated prior to spontaneous VT onset. Both NARV and TWA increase with HR.
The decrease of TWA with increasing number of analyzed beats may indicate
contamination with NARV or noise when only a small number of beats is available
for analysis. NARV might be useful for VT prediction in the future.
PMID- 26548643
TI - Activating transcription factor 3--an endogenous inhibitor of myocardial ischemia
reperfusion injury (Review).
AB - Coronary heart diseases, particularly acute coronary syndrome, have increased in
morbidity and mortality in recent decades. Percutaneous coronary intervention,
coronary artery bypass grafting and thrombolytic agents are effective strategies
to rescue the infarcted myocardium. In addition to acute myocardial infarction,
the resulting myocardial ischemia-reperfusion injury (MIRI) leads to serious
secondary injury of the heart. Studies have demonstrated that activating
transcription factor (ATF)/cyclic adenosine monophosphate response element
binding family member ATF3 had a negative regulatory role in IRI, particularly in
the kidney, cerebrum and liver. The present review expounded the expression
characteristics of ATF3 and its protective effects against MIRI, providing a
theoretical basis for the overexpression of ATF3 in the myocardium as a promising
gene-therapeutic strategy for MIRI.
PMID- 26548644
TI - Complex mitonuclear interactions and metabolic costs of mating in male seed
beetles.
AB - The lack of evolutionary response to selection on mitochondrial genes through
males predicts the evolution of nuclear genetic influence on male-specific
mitochondrial function, for example by gene duplication and evolution of sex
specific expression of paralogs involved in metabolic pathways. Intergenomic
epistasis may therefore be a prevalent feature of the genetic architecture of
male-specific organismal function. Here, we assess the role of mitonuclear
genetic variation for male metabolic phenotypes [metabolic rate and respiratory
quotient (RQ)] associated with ejaculate renewal, in the seed beetle
Callosobruchus maculatus, by assaying lines with crossed combinations of distinct
mitochondrial haplotypes and nuclear lineages. We found a significant increase in
metabolic rate following mating relative to virgin males. Moreover, processes
associated with ejaculate renewal showed variation in metabolic rate that was
affected by mitonuclear interactions. Mitochondrial haplotype influenced mating
related changes in RQ, but this pattern varied over time. Mitonuclear genotype
and the energy spent during ejaculate production affected the weight of the
ejaculate, but the strength of this effect varied across mitochondrial haplotypes
showing that the genetic architecture of male-specific reproductive function is
complex. Our findings unveil hitherto underappreciated metabolic costs of mating
and ejaculate renewal, and provide the first empirical demonstration of
mitonuclear epistasis on male reproductive metabolic processes.
PMID- 26548645
TI - Interface control by homoepitaxial growth in pulsed laser deposited iron
chalcogenide thin films.
AB - Thin film growth of iron chalcogenides by pulsed laser deposition (PLD) is still
a delicate issue in terms of simultaneous control of stoichiometry, texture,
substrate/film interface properties, and superconducting properties. The high
volatility of the constituents sharply limits optimal deposition temperatures to
a narrow window and mainly challenges reproducibility for vacuum based methods.
In this work we demonstrate the beneficial introduction of a semiconducting
FeSe(1-x)Te(x) seed layer for subsequent homoepitaxial growth of superconducting
FeSe(1-x)Te(x) thin film on MgO substrates. MgO is one of the most favorable
substrates used in superconducting thin film applications, but the controlled
growth of iron chalcogenide thin films on MgO has not yet been optimized and is
the least understood. The large mismatch between the lattice constants of MgO and
FeSe(1-x)Te(x) of about 11% results in thin films with a mixed texture, that
prevents further accurate investigations of a correlation between structural and
electrical properties of FeSe(1-x)Te(x). Here we present an effective way to
significantly improve epitaxial growth of superconducting FeSe(1-x)Te(x) thin
films with reproducible high critical temperatures (>=17 K) at reduced deposition
temperatures (200 degrees C-320 degrees C) on MgO using PLD. This offers a
broad scope of various applications.
PMID- 26548646
TI - Neuroprotection and immunomodulation by xenografted human mesenchymal stem cells
following spinal cord ventral root avulsion.
AB - The present study investigates the effects of xenotransplantation of Adipose
Tissue Mesenchymal Stem Cells (AT-MSCs) in animals after ventral root avulsion.
AT-MSC has similar characteristics to bone marrow mesenchymal stem cells (BM
MSCs), such as immunomodulatory properties and expression of neurotrophic
factors. In this study, Lewis rats were submitted to surgery for unilateral
avulsion of the lumbar ventral roots and received 5 * 10(5) AT-MSCs via the
lateral funiculus. Two weeks after cell administration, the animals were
sacrificed and the moto neurons, T lymphocytes and cell defense nervous system
were analyzed. An increased neuronal survival and partial preservation of
synaptophysin-positive nerve terminals, related to GDNF and BDNF expression of AT
MSCs, and reduction of pro-inflammatory reaction were observed. In conclusion, AT
MSCs prevent second phase neuronal injury, since they suppressed lymphocyte,
astroglia and microglia effects, which finally contributed to rat motor-neuron
survival and synaptic stability of the lesioned motor-neuron. Moreover, the
survival of the injected AT- MSCs lasted for at least 14 days. These results
indicate that neuronal survival after lesion, followed by mesenchymal stem cell
(MSC) administration, might occur through cytokine release and immunomodulation,
thus suggesting that AT-MSCs are promising cells for the therapy of neuronal
lesions.
PMID- 26548648
TI - Corrigendum.
PMID- 26548647
TI - New Norcantharidin Analogs: Synthesis and Anticancer Activity.
AB - The reaction of direct condensation between S-ethyl-N-(7-oxabicyclo
[2.2.1]heptane-2,3-dicarbonyl)isothiosemicarbazide (1) and primary amines was
used for synthesizing new N-substituted amides of 3-(3-ethylthio-1,2,4-triazol-5
yl)-7-oxabicyclo-[2.2.1]heptane-2-carboxylic acid (2-12) as norcantharadin
analogs. Moreover, the anticancer activity of the obtained compounds was studied.
Among all compounds, the N-3-methylbutyl amide of 3-(3-ethylthio-1,2,4-triazol-5
yl)-7-oxabicyclo-[2.2.1]heptane-2-carboxylic acid (4) presented selective in
vitro toxic and antiproliferative effects against the human hepatoma cell line
Hep3B, without affecting normal human liver stellate cells (LX-2 cell line).
PMID- 26548649
TI - Generating multi-atom entangled W states via light-matter interface based fusion
mechanism.
AB - W state is a key resource in quantum communication. Fusion technology has been
proven to be a good candidate for preparing a large-size W state from two or more
small-size W states in linear optical system. It is of great importance to study
how to fuse W states via light-matter interface. Here we show that it is possible
to prepare large-size W-state networks using a fusion mechanism in cavity QED
system. The detuned interaction between three atoms and a vacuum cavity mode
constitute the main fusion mechanism, based on which two or three small-size
atomic W states can be fused into a larger-size W state. If no excitation is
detected from those three atoms, the remaining atoms are still in the product of
two or three new W states, which can be re-fused. The complicated Fredkin gate
used in the previous fusion schemes is avoided here. W states of size 2 can be
fused as well. The feasibility analysis shows that our fusion processes maybe
implementable with the current technology. Our results demonstrate how the light
matter interaction based fusion mechanism can be realized, and may become the
starting point for the fusion of multipartite entanglement in cavity QED system.
PMID- 26548650
TI - Direct evidence for a pressure-induced nodal superconducting gap in the
Ba0.65Rb0.35Fe2As2 superconductor.
AB - The superconducting gap structure in iron-based high-temperature superconductors
(Fe-HTSs) is non-universal. In contrast to other unconventional superconductors,
in the Fe-HTSs both d-wave and extended s-wave pairing symmetries are close in
energy. Probing the proximity between these very different superconducting states
and identifying experimental parameters that can tune them is of central
interest. Here we report high-pressure muon spin rotation experiments on the
temperature-dependent magnetic penetration depth in the optimally doped nodeless
s-wave Fe-HTS Ba0.65Rb0.35Fe2As2. Upon pressure, a strong decrease of the
penetration depth in the zero-temperature limit is observed, while the
superconducting transition temperature remains nearly constant. More importantly,
the low-temperature behaviour of the inverse-squared magnetic penetration depth,
which is a direct measure of the superfluid density, changes qualitatively from
an exponential saturation at zero pressure to a linear-in-temperature behaviour
at higher pressures, indicating that hydrostatic pressure promotes the appearance
of nodes in the superconducting gap.
PMID- 26548651
TI - Proteome effects of antipsychotic drugs: Learning from preclinical models.
AB - Proteome-wide expression analyses are performed in the brain of schizophrenia
patients to understand the biological basis of the disease and discover molecular
paths for new clinical interventions. A major issue with postmortem analysis is
the lack of tools to discern molecular modulation related to the disease from
dysregulation due to medications. We review available proteome-wide analysis of
antipsychotic treatment in rodents, highlighting shared dysregulated pathways
that may contribute to an extended view of molecular processes underlying their
pharmacological activity. Fourteen proteomic studies conducted with typical and
atypical antipsychotic treatments were examined; hypothesis-based approaches are
also briefly discussed. Treatment with antipsychotics mainly affects proteins
belonging to metabolic pathways involved in energy generation, both in glycolytic
and oxidative phosphorylation pathways, suggesting antipsychotics-induced
impairments in metabolism. Nevertheless, schizophrenic patients show impaired
glucose metabolism and mitochondrial dysfunctions independent of therapy. Other
antipsychotics-induced changes shared by different studies implicate cytoskeletal
and synaptic function proteins. The mechanism can be related to the
reorganization of dendritic spines resulting from neural plasticity events
induced by treatments affecting neurotransmitter circuitry. However, metabolic
and plasticity pathways activated by antipsychotics can also play an authentic
role in the etiopathological basis of schizophrenia.
PMID- 26548652
TI - Affecting Girls' Activity and Job Interests Through Play: The Moderating Roles of
Personal Gender Salience and Game Characteristics.
AB - Gender schema theory (GST) posits that children approach opportunities perceived
as gender appropriate, avoiding those deemed gender inappropriate, in turn
affecting gender-differentiated career trajectories. To test the hypothesis that
children's gender salience filters (GSF-tendency to attend to gender) moderate
these processes, 62 preschool girls (M = 4.5 years) were given GSF measures. Two
weeks later, they played a computer game about occupations that manipulated the
game-character's femininity (hyperfeminized Barbie vs. less feminized Playmobil
Jane). Following game play, girls' interests in feminine activities showed an
interaction of game condition and GSF: High-GSF girls showed intensified feminine
activity interests only with Barbie; low-GSF girls showed no change with either
character. Neither GSF nor game condition affected occupational interests.
Implications for GST, individual differences, and occupational interventions are
discussed.
PMID- 26548653
TI - A Cucurbit[7]uril Based Molecular Shuttle Encoded by Visible Room-Temperature
Phosphorescence.
AB - A visible room-temperature phosphorescence (RTP) signal, generated by
complexation of cururbit[7]uril (CB[7]) and bromo-substituted isoquinoline in
aqueous solution, is employed to address the shuttling of a pH-controlling
molecular shuttle fabricated by CB[7] and a phosphor 6-bromoisoquinoline
derivative IQC[5]. The CB[7] host shuttles along the axial guest under acidic
conditions, accompanied by a weak RTP emission signal, while deprotonation of the
guest IQC[5] makes the CB[7] wheel locate on the phosphor group, leading to
intense RTP emission. The switching RTP emission of the molecular shuttle, via pH
adjusting, can be visibly identified by the naked eye. This is the first CB-based
molecular shuttle with an RTP signal as the output address of its shuttling and
conformation.
PMID- 26548654
TI - The Links Between Stress and Depression: Psychoneuroendocrinological, Genetic,
and Environmental Interactions.
AB - The role of stress in the origin and development of depression may be conceived
as the result of multiple converging factors, including the chronic effect of
environmental stressors and the long-lasting effects of stressful experiences
during childhood, all of which may induce persistent hyperactivity of the
hypothalamic-pituitary-adrenal axis. These changes, including increased
availability of corticotropin-releasing factor and cortisol, are also associated
with hyperactivity of the amygdala, hypoactivity of the hippocampus, and
decreased serotonergic neurotransmission, which together result in increased
vulnerability to stress. The role of other monoaminergic neurotransmitters,
genetic polymorphisms, epigenetic mechanisms, inflammatory processes, and altered
cognitive processing has also been considered in the development of a
comprehensive model of the interactions between different factors of
vulnerability. Further understanding of the underlying mechanisms that link these
factors may contribute significantly to the development of more effective
treatments and preventive strategies in the interface between stress and mood
disorders.
PMID- 26548655
TI - Preliminary Investigation of Cerebral Blood Flow and Amyloid Burden in Veterans
With and Without Combat-Related Traumatic Brain Injury.
AB - This study aimed to examine global and regional cerebral blood flow and amyloid
burden in combat veterans with and without traumatic brain injury (TBI). Cerebral
blood flow (in milliliters per minute per 100 mL) was measured by quantitative
[(15)O]water, and amyloid burden was measured by [(11)C]PIB imaging. Mean global
cerebral blood flow was significantly lower in veterans with TBI compared with
non-TBI veterans. There were essentially no differences between groups for
globally normalized regional cerebral blood flow. Amyloid burden did not differ
between TBI and non-TBI veterans. Veterans who have suffered a TBI have
significantly lower cerebral blood flow than non-TBI controls but did not
manifest increased levels of amyloid, globally or regionally.
PMID- 26548656
TI - A Diffusion Tensor Imaging Study Using a Voxel-Based Analysis, Region-of-Interest
Method to Analyze White Matter Abnormalities in First-Episode, Treatment-Naive
Major Depressive Disorder.
AB - This study used fractional anisotropy (FA), a measure of diffusion tensor
imaging, to analyze white matter abnormalities in 15 first-episode treatment
naive patients with major depressive disorder (MDD) and 15 controls matched for
age. An automated voxel-based analysis and a region-of-interest (ROI) method with
3T magnetic resonance imaging were used. Compared with controls, FA values were
lower in the left superior longitudinal fasciculus, the left prefrontal cortex,
and the left parietal region in patients with MDD. In addition, the ROI method
revealed significantly lower FA values in the right hippocampus. Voxel-based
analysis, a faster technique, complements the ROI method, which highlights FA
values as potential biomarkers in early MDD.
PMID- 26548657
TI - Rayleigh-wave dispersion reveals crust-mantle decoupling beneath eastern Tibet.
AB - The Tibetan Plateau results from the collision of the Indian and Eurasian Plates
during the Cenozoic, which produced at least 2,000 km of convergence. Its
tectonics is dominated by an eastward extrusion of crustal material that has been
explained by models implying either a mechanical decoupling between the crust and
the lithosphere, or lithospheric deformation. Discriminating between these end
member models requires constraints on crustal and lithospheric mantle
deformations. Distribution of seismic anisotropy may be inferred from the mapping
of azimuthal anisotropy of surface waves. Here, we use data from the CNSN to map
Rayleigh-wave azimuthal anisotropy in the crust and lithospheric mantle beneath
eastern Tibet. Beneath Tibet, the anisotropic patterns at periods sampling the
crust support an eastward flow up to 100 degrees E in longitude, and a southward
bend between 100 degrees E and 104 degrees E. At longer periods, sampling the
lithospheric mantle, the anisotropic structures are consistent with the absolute
plate motion. By contrast, in the Sino-Korean and Yangtze cratons, the direction
of fast propagation remains unchanged throughout the period range sampling the
crust and lithospheric mantle. These observations suggest that the crust and
lithospheric mantle are mechanically decoupled beneath eastern Tibet, and coupled
beneath the Sino-Korean and Yangtze cratons.
PMID- 26548658
TI - Late Eocene white pines (Pinus subgenus Strobus) from southern China.
AB - Fossil records indicate that the genus Pinus L. split into two subgenera by the
Late Cretaceous, although subgenus Strobus (D. Don) Lemmon is less well
documented than subgenus Pinus L., especially in eastern Asia. In this paper,
Pinus maomingensis sp. nov. is established based on a compressed seed cone from
the upper Eocene of the Maoming Basin of southern China. This species is
attributed to genus Pinus, subgenus Strobus, section Quinquefoliae Duhamel,
subsection Strobus Loudon based on the combination of morphological characters
obtained from the cone scales, specifically from the terminal umbo, rhombic
apophysis, and cuticle structure. Associated fascicles of needle leaves with
deciduous sheaths and bulbous bases are recognized as Pinus sp. and also
represent Pinus subgenus Strobus. This new discovery from the Maoming Basin
constitutes the first megafossil record of subgenus Strobus from southern China
and implies that the members of this subgenus arrived in the southern region of
China by the late Eocene. The extant species of subgenus Strobus are mainly
distributed in northern temperate and tropical to subtropical mountainous
regions. We propose that the Maoming Basin was adjacent to a mountainous region
during the late Eocene.
PMID- 26548660
TI - Acid/Base and H2PO4(-) Controllable High-Contrast Optical Molecular Switches with
a Novel BODIPY Functionalized [2]Rotaxane.
AB - A novel multifunctional mechanically interlocked switchable [2]rotaxane R4
containing two molecular stations and rotaxane arms terminated with boron
dipyrromethene (BODIPY) fluorophores and its derivatives were synthesized for the
first time by CuAAC click reaction. The shuttling motion of macrocycle between
the dibenzylammonium and triazolium recognition sites and the distance dependent
photoinduced electron transfer process of R4 is demonstrated by utilizing
external chemical stimuli (acid/base). Interestingly, the reversible self
assembly process of R4 was recognized by the acid-base molecular switch strategy.
Notably, two symmetrical triazolium groups acted as molecular stations, H2PO4(-)
receptors, and H-bonded donors. Both [2]rotaxane R4 and thread R2 demonstrated
excellent optical responses and high selectivity toward H2PO4(-) ion. The
specific motion and guest-host interactions of mechanically interlocked machines
(MIMs) were also further explored by quantum mechanical calculations. The thread
R2 also demonstrated to enable the detection of H2PO4(-) in RAW 264.7 cells
successfully.
PMID- 26548659
TI - NMDA receptors promote neurogenesis in the neonatal rat subventricular zone
following hypoxic-ischemic injury.
AB - Evidence suggests the involvement of N-methyl-D- aspartate receptors (NMDAR) in
the regulation of neurogenesis. Functional properties of NMDAR are strongly
influenced by the type of NR2 subunits in the receptor complex. NR2A- and NR2B
containing receptors are expressed in neonatal forebrain regions, such as the
subventricular zone (SVZ). The aim of the present study was to examine the effect
of the protein expression of hypoxic-ischemic injury NMDAR subunits 2A and 2B in
the SVZ of neonatal rats. Expression of these and other proteins of interest was
performed using immunohistochemistry. The results showed that NR2A expression was
decreased at 6 h after hypoxic-ischemic injury. By contrast, a significant
increase in NR2B expression was observed at 24 h after hypoxic-ischemic injury,
induced by the clamping of the right common carotid artery. The functional effect
of NMDAR subunits on neurogenesis was also examined by quantifying Nestin and
doublecortin (DCX), the microtubule-associated protein expressed only in immature
neurons. In addition, the effects of selective non-competitive NMDAR antagonist
MK-801 (0.5 mg/kg), NR2B antagonist Ro25-6981 (5 mg/kg), and NR2A antagonist NVP
AAM077 (5 mg/kg) administered 30 min prior to the hypoxic-ischemic injury were
examined. The number of Nestin- and DCX-positive cells increased significantly 48
h after hypoxic-ischemic injury, which was reverted by the MK-801 and Ro25-6981
antagonists. Notably, NVP-AAM077 had no significant effect on the expression of
Nestin and DCX. In conclusion, the results of the present study demonstrate that
hypoxia-ischemia inhibited the expression of NR2A, but promoted the expression of
NR2B. Furthermore, NMDAR promoted neurogenesis in the SVZ of neonatal brains.
PMID- 26548661
TI - New Fluorescence Domain "Excited Multimer" Formed upon Photoexcitation of
Continuously Stacked Diaroylmethanatoboron Difluoride Molecules with Fused pi
Orbitals in Crystals.
AB - The crystal-packing structures of seven derivatives of diaroylmethanatoboron
difluoride (1 a-gBF2 ) are characterized by no overlap of the pi-conjugated main
units of two adjacent molecules (type I), overlap of the benzene ring pi-orbitals
of two adjacent molecules (type II), and overlap of the benzene and
dihydrodioxaborinine rings pi-orbitals of adjacent molecules (type III). The
crystal-packing structures govern the fluorescence (FL) properties in the
crystalline states. The FL domain that is present in type I crystals, in which
intermolecular orbital interactions are absent, leads to excited monomer-like FL
properties. In the case of the type II crystals, the presence of intermolecular
overlap of the benzene rings pi-orbitals generates new FL domains, referred to as
"excited multimers", which possess allowed S0 -S1 electronic transitions and, as
a result, similar FL lifetimes at longer wavelengths than the FL of the type I
crystals. Finally, intermolecular overlap of the benzene and dihydrodioxaborinine
ring pi-orbitals in the type III crystals leads to "excited multimer" domains
with forbidden S0 -S1 electronic transitions and longer FL lifetimes at similar
wavelengths as that in type I crystals.
PMID- 26548662
TI - Bayesian inference of protein ensembles from SAXS data.
AB - The inherent flexibility of intrinsically disordered proteins (IDPs) and multi
domain proteins with intrinsically disordered regions (IDRs) presents challenges
to structural analysis. These macromolecules need to be represented by an
ensemble of conformations, rather than a single structure. Small-angle X-ray
scattering (SAXS) experiments capture ensemble-averaged data for the set of
conformations. We present a Bayesian approach to ensemble inference from SAXS
data, called Bayesian ensemble SAXS (BE-SAXS). We address two issues with
existing methods: the use of a finite ensemble of structures to represent the
underlying distribution, and the selection of that ensemble as a subset of an
initial pool of structures. This is achieved through the formulation of a
Bayesian posterior of the conformational space. BE-SAXS modifies a structural
prior distribution in accordance with the experimental data. It uses multi-step
expectation maximization, with alternating rounds of Markov-chain Monte Carlo
simulation and empirical Bayes optimization. We demonstrate the method by
employing it to obtain a conformational ensemble of the antitoxin PaaA2 and
comparing the results to a published ensemble.
PMID- 26548664
TI - Revisiting the nanoformulation design approach for effective delivery of
topotecan in its stable form: an appraisal of its in vitro Behavior and tumor
amelioration potential.
AB - Topotecan (TPT) is indicated against a variety of solid tumors, but has
restricted clinical use owing to associated pharmaceutical caveats. This study is
focused at formulating a successful TPT PLGA nanosystem which ameliorates the
rapid conversion of active lactone form of drug to its inactive carboxylate form
and consequently improvises its efficacy. TPT PLGA nanoparticles were formulated
by a double emulsion-solvent evaporation technique with sequential optimization
to obtain desired particle size, PDI, zeta potential, and entrapment efficiency.
Stability of TPT was ensured by maintaining an acidic pH in the drug-containing
phase and the system was evaluated for in vitro-in vivo performance including
cytotoxic potency. The optimized nanosystem had a particle size of 187.33 +/-
7.50 nm, a PDI of 0.179 +/- 0.05, and an entrapment efficiency of 56 +/- 1.2%.
Low pH in the interior of nanoparticles stabilized the drug to remain in its
active lactone form and revealed a biphasic release pattern till 15 d.
Additionally, an in vitro cytotoxicity testing as well as in vivo antitumor
efficacy demonstrated a significant potential of higher proliferation inhibition
as compared with neat drug (TPT). Thus, the investigation summarized an
innovative simple tool for developing stable TPT NPs for effective delivery for
treating solid tumors.
PMID- 26548665
TI - The Affordable Care Act and Implications for Health Care Services for American
Indian and Alaska Native Individuals.
AB - American Indian and Alaska Native (AI/AN) populations report poor physical and
mental health outcomes while tribal health providers and the Indian Health
Service (IHS) operate in a climate of significant under funding. Understanding
how the Patient Protection and Affordable Care Act (ACA) affects Native American
tribes and the IHS is critical to addressing the improvement of the overall
access, quality, and cost of health care within AI/AN communities. This paper
summarizes the ACA provisions that directly and/or indirectly affect the service
delivery of health care provided by tribes and the IHS.
PMID- 26548666
TI - A Scoping Review of the Literature: Content, Focus, Conceptualization and
Application of the National Standards for Culturally and Linguistically
Appropriate Services in Health Care.
AB - With the aim of addressing inequalities and disparities in health care access and
outcomes, in 2001 the United States Department of Health and Human Services
Office of Minority Health (OMH) established National Standards for Culturally and
Linguistically Appropriate Services (CLAS). In 2010 the OMH solicited public,
private and government input which was incorporated into the Enhanced National
CLAS Standards. To date there have been no formal reviews of the published
literature on the CLAS Standards. The aim of this scoping review was to identify
the scientific and professional literature related to the CLAS standards and
describe the content, focus, conceptualization and application of these
publications, with the goal of providing insights and directions for further
research and application of the CLAS standards.
PMID- 26548667
TI - Substance Use and Related Harms among Aboriginal People in Canada: A
Comprehensive Review.
AB - BACKGROUND: There is evidence of increasing trends in substance use and related
harms among Aboriginal populations in Canada. This paper provides a review of
data on alcohol, tobacco, and illicit drug use and related harms. METHODS: A
comprehensive review of public data, journal publications, and grey literature
published between 2000 and 2014 were reviewed if data included: people who self
identify as Aboriginal and who live in Canada; drug use; and morbidity and
mortality related to drug use. Data were structured by major substance categories
and compared with non-Aboriginal sample data where possible. RESULTS: Over 100
documents were reviewed and revealed a disproportionate burden of substance use
and harms, particularly among Aboriginal youth. Significant gaps in data exist,
specifically, for urban populations. CONCLUSION: This review reinforces concerns
of many Aboriginal communities and organizations in Canada as well as highlights
where prevention, programming, and policy efforts might be most effective.
PMID- 26548668
TI - Racial/Ethnic Differences in Cost-Related Nonadherence and Medicare Part D: A
Longitudinal Comparison.
AB - Little is known about whether racial and ethnic disparities in cost-related
medication nonadherence (CRN) have changed since the implementation of Medicare
Part D. This longitudinal study examined the impact of Part D on CRN among racial
and ethnic minority Medicare beneficiaries, age 65 and older. Nationally
representative data were obtained from the Prescription Drug Study and Health and
Retirement Study. A differences-in-differences approach was used to compare CRN
among non-Hispanic Blacks, Hispanics, and non-Hispanic Whites. The results
indicate CRN was higher among the two minority groups than among non-Hispanic
Whites before and after Medicare Part D. Mixed-effects logistic regression
analyses show that CRN did not significantly change between pre- and post
Medicare Part D for any of these three groups. However, older non-Hispanic Blacks
and Hispanics had a larger reduction in CRN than non-Hispanic Whites. These
findings suggest that despite Medicare Part D, racial and ethnic disparities in
CRN persist.
PMID- 26548669
TI - Risk of Bankruptcy among Applicants to Disability Insurance.
AB - BACKGROUND: Poor health can lead to financial instability and, eventually,
bankruptcy. We examined how entrance into the Social Security Disability
Insurance (SSDI) program was associated with bankruptcy filings among those who
had a disability and applied to the SSDI program. METHODS: We merged dockets from
U.S. bankruptcy courts that cover 2000 through 2009 to administrative records on
all SSDI applicants from 2000 through 2003 (adults aged > 18, N = 1,500,607). We
estimated logistic regression models for bankruptcy declaration within one year
of the decision to allow applicants onto the SSDI program. RESULTS: Being allowed
onto the SSDI program was associated with a decreased risk of bankruptcy
(adjusted odds ratio = 0.754). The association was negative and statistically
significant for all age groups, including older applicants nearing eligibility
for Medicare. CONCLUSIONS: The findings contribute to a growing body of research
suggesting that increased aid to adults with a disability can reduce financial
instability.
PMID- 26548670
TI - Concordance between Individual vs. Area-Level Socioeconomic Measures in an Urban
Setting.
AB - Area-level socioeconomic status (SES) measures have been used as a proxy in child
health research when individual SES measures are lacking, yet little is known
about their validity in an urban setting. We assessed agreement between census
block-group and individual-level SES measures obtained from a caregiver telephone
survey in Jackson County, Missouri. Associations with prevalence of childhood
overweight (OW), low birth weight (LBW), and household smoking exposure were
examined using logistic regression models. Seven hundred eighty-one households
were surveyed: 49% male, 76% White, mean child age 9.4 years. We found
misclassification rates of 20-35% between individual vs. area-level measures of
education and income; Kappa indices ranged from 0.26-0.36 indicating poor
agreement. Both SES measures showed an inverse association with LBW and smoking
exposure. Area-level SES measures may reflect a construct inclusive of
neighborhood resources; routine substitution of these measures should be
interpreted with caution, despite similar correlations with health outcomes.
PMID- 26548671
TI - Invasive Cervical Cancer Incidence Disparities in New Jersey--a Spatial Analysis
in a High Incidence State.
AB - Although invasive cervical cancer incidence has declined, disparities persist. We
identified spatial clusters of census tracts with elevated invasive cervical
cancer incidence rates using New Jersey State Cancer Registry cases 20 years or
older diagnosed in 2005-2009. Each cluster's population was compared with the
rest of New Jersey's population on demographic and socioeconomic characteristics.
Odds ratios that assessed associations between statistically significant
characteristics (from a univariate comparison of cases in the clusters versus
cases in the rest of New Jersey) and being a case in a cluster versus being a
case in the rest of New Jersey were calculated from logistic regression models.
Significant incidence clusters were identified around Newark, Trenton, and
Camden. Being Black (all areas), Hispanic (Newark, Camden), unmarried (Newark),
and uninsured/Medicaid-insured (Trenton) were significantly associated with being
a case in these areas. These study results can be used to target invasive
cervical cancer prevention efforts more effectively.
PMID- 26548672
TI - The Healthy Weight Disparity Index: Why We Need It to Solve the Obesity Crisis.
AB - OBJECTIVE: This study assessed the usefulness of the Healthy Weight Disparity
Index (HWDI) to evaluate income disparities related to obesity. We compared state
based body mass index (BMI) rankings with HWDI rankings. METHODS: National data
from the 2010 Behavioral Risk Factor Surveillance System were used to estimate
mean BMI levels in each of the 50 states (plus Washington, D.C.) by income level.
Income-related disparities were described with the HWDI. Kappa statistics
analyzed the concordance between the two rankings. RESULTS: State-based BMI and
the HWDI rankings were not concordant. For example, Washington, D.C. was ninth
for lowest mean BMI yet ranked 49th on the HWDI. West Virginia ranked 42nd and
5th, and Mississippi ranked 51st on both the BMI and HWDI, respectively.
DISCUSSION: State-based BMI and HWDI rankings present divergent perspectives on
the obesity crisis. We recommend adding HWDI rankings to BMI rankings to reflect
fully patterns of obesity and subgroup differences.
PMID- 26548673
TI - Impact of Health Workers' Strike in August 2014 on Health Services in Mombasa
County Referral Hospital, Kenya.
AB - INTRODUCTION: Health workers are an essential part of the health system. Health
workers in Mombasa County went on strike for two weeks in August 2014 due to
nonpayment of salaries. This study analysed the impact of this strike. METHODS:
Monthly utilization of health services was accessed from the Kenya Health
Information website. This was for general outpatient, special clinics, maternal
and child health, inpatient services, maternity services, dental, and
radiological services. For each indicator, monthly mean was calculated for
January to July 2014. This was compared with the August monthly mean. RESULTS:
Outpatient attendance declined by 64.4%, special clinics attendance by 74.2%, and
deliveries by 53.5%. Inpatient admissions declined by 57.8 % and inpatient deaths
by 26.3%. CONCLUSION: The August 2014 strike adversely affected health services.
County governments should put in place mechanisms to avert future health workers'
strike. The national government should also disburse funds to the counties on
time.
PMID- 26548674
TI - Inequities in Receipt of Iron and Vitamin A Supplements, and Anthelmintic
Medications by Young Children in the Dominican Republic.
AB - Gaps in the receipt of micronutrients and anthelmintic medications by children in
low-and middle-income countries undermine efforts to reduce the burden of vitamin
A and iron deficiencies, and soil transmitted helminthiases. This study aimed to
identify the extent of equitable receipt of these interventions in the Dominican
Republic (DR) using indices of need and socioeconomic status with data derived
from the DR 2007 Demographic and Health Survey. Receipt of anthelmintic
medication, iron, and vitamin A were reported for 55.0% (last six months), 30.3%
(last seven days), and 24.0% (last six months) of young children, respectively.
No relationships were found between indices of need and receipt of any of the
interventions using bivariate and multivariate analysis. However, receipt of each
intervention was related to higher maternal education, and iron was additionally
related to greater family wealth. Systematic efforts to improve equitability of
receipt of these core child health interventions are needed.
PMID- 26548675
TI - Importance of Self-Efficacy and Knowledge to Physical Activity Behavior in Older
African Americans.
AB - BACKGROUND: Regular physical activity is an important lifestyle behavior for
preventing or reducing the burden of osteoporosis, and for promoting optimal bone
health. This report evaluates the effect of an osteoporosis education program on
knowledge, self-efficacy, and initiation and/maintenance of physical activity
(PA) in older African Americans. METHODS: African American adults 50 years and
older (n=130) were randomly assigned to either experimental (EG) or control (CG)
groups. Immediately following baseline assessment EG was offered six-weekly
education sessions, using the Expanded Heath Belief Model and the CG offered same
after the intervention. Main outcome measures were knowledge and self-efficacy
regarding osteoporosis and engagement in PA. RESULTS: One hundred and ten (59=EG,
51=CG) participants completed all assessments. Overall, significantly higher
(p<.01) mean self-efficacy and knowledge scores were observed in the EG than in
the CG. Physical activity scores were positively related to self-efficacy but not
knowledge scores. CONCLUSIONS: Self-efficacy is important in increasing PA in
older African Americans, and emphasis on culturally appropriate strategies may
improve PA and reduce the risk of osteoporotic fracture.
PMID- 26548676
TI - Reported Use of Electronic Health Records to Implement Evidence Based Approaches
to Colorectal Cancer Screening in Community Health Centers.
AB - BACKGROUND: Community health centers (CHCs) are critical sources of primary care
for medically underserved populations. Electronic health records (EHRs) are
important for implementation of evidence-based approaches for cancer control.
METHODS: Cross-sectional study of CHCs from the Cancer Prevention Control
Research Network's community health center Clinic Characteristics Survey.
Proportions of CHCs using EHR data to: 1) measure colorectal cancer screening, 2)
deliver reports, and 3) provide patient reminders for colorectal cancer
screening. RESULTS: Only 27% of CHCs perceive EHR system's colorectal cancer
screening data as very accurate. Over half (57%) of respondent CHCs with EHRs
reported it is easy or very easy to do colorectal cancer screening activities
using EHR systems. CONCLUSIONS: Poor EHR data quality and cumbersome EHR systems
may be significant barriers to implementation of evidence-based approaches to
colorectal cancer screening in CHCs.
PMID- 26548677
TI - "My House Is the Hospital": Housing and Health and Wellbeing among Persons Living
with HIV/AIDS in Northern Malawi.
AB - This paper reports findings of a qualitative study and draws on the political
ecology of health framework to examine the links between housing and health among
people living with HIV/AIDS (PLWAs) in Northern Malawi in a wider context in
which the epidemic has overburdened the country's hospitals, thereby transferring
the responsibility for care from government to families. The findings suggest
that poor housing conditions, rooted in colonial and postcolonial policy failure,
may undermine the amount, as well as the quality, of palliative care available to
PLWAs. It was also found that the high cost of renting, discrimination, and poor
landlord-tenant relationships imposed significant financial and emotional burden
on PLWAs, thereby undermining their ability to meet dietary needs, stay healthy,
and adhere to treatment. Furthermore, customary norms around property inheritance
hampered women's housing security and their ability to cope with the disease. The
paper concludes by making relevant policy recommendations.
PMID- 26548678
TI - "The Vagina is a Very Tricky Little Thing Down There": Cervical Health Literacy
among Incarcerated Women.
AB - The objective of this study was to understand factors associated with women's
ability to engage in cervical cancer prevention and follow-up care given ongoing
criminal justice involvement. We conducted four focus groups with 45 incarcerated
women to assess barriers to cervical health promotion, and used a grounded theory
method to analyze data. We administered the Short Test of Functional Health
Literacy in Adults to assess general health literacy as a standalone factor
related to cervical health promotion. Ninety-one percent of participants had
adequate health literacy scores. However, we found that the women had varying
levels of cervical health literacy, which we operationalized as knowledge,
beliefs, and self-efficacy related to cervical health promotion. Practitioners
should establish broader interventions to empower women with criminal justice
histories to take control of their own cervical health and focus on communicating
updated recommendations to improve cervical health understanding, beliefs, and
practices among high-risk women.
PMID- 26548679
TI - Multiple Intimate Partner Violence Experiences: Knowledge, Access, Utilization
and Barriers to Utilization of Resources by Women of the African Diaspora.
AB - OBJECTIVE: This study examined knowledge, access, utilization, and barriers to
use of resources among Black women exposed to multiple types of intimate partner
violence in Baltimore, Maryland and the U.S. Virgin Islands (USVI). METHODS: We
analyzed quantitative survey data collected by 163 women recruited from primary
care, prenatal or family planning clinics in Baltimore and the USVI. In addition
we analyzed qualitative data from in-depth interviews with 11 women. Quantitative
data were analyzed using descriptive statistics and qualitative data were
analyzed using thematic analysis. RESULTS: A substantial proportion of Black
women with multiple types of violence experiences lacked knowledge of, did not
have access to, and did not use resources. Barriers to resource use were
identified at the individual, relationship, and community levels. CONCLUSION:
There is need for programs to develop awareness, promote access and utilization
of resources, and eliminate barriers to resource use among abused Black women.
PMID- 26548680
TI - Agreement between the Perceived and Actual Fruit and Vegetable Nutrition
Environments among Low-Income Urban Women.
AB - The food environment is described by two measures: store-level (actual) and
individual-level (perceived). Understanding the relationship between actual and
perceived fruit and vegetable (F&V) nutrition environments is important as their
association may influence F&V purchases and consumption. The study objective was
to assess agreement between perceived and actual environment measures of
availability, quality, and affordability/price for fresh and canned/frozen F&V.
African American WIC recipients (n=84) self-reported perceptions corresponding to
chain food stores (n=13) which were then assessed by surveyors. Nearly 80% of
participants had positive perceptions of stores' F&V availability, quality, and
affordability. Store assessments indicated high F&V availability and quality and
lowest prices for canned varieties. Kappa statistics, sensitivity, and
specificity calculated agreement between perceived and actual measures. Results
indicated slight to fair agreements. Agreements were highest for quality measures
(kappa=0.25 (95% CI:0.08-0.42), p=.008). Research implications include promoting
nutrition education and resident interviewing to understand F&V expectations.
PMID- 26548681
TI - Factors Associated with Compliance of Blood Stool Test and Use of Colonoscopy in
Underserved Communities of North Miami-Dade County, Florida.
AB - INTRODUCTION: Only two-thirds of U.S. adults are compliant with screening for
colorectal cancer. This study identified factors of blood stool test (BST)
compliance and colonoscopy use among randomly selected households in Miami-Dade
County, Florida. METHODS: This study used cross-sectional data collected 10/2009
04/2010. Analyses were restricted to 1,118 single-family homes containing a
minimum of one member >= 50 years. RESULTS: Half of households were compliant
with BST (55%) or completed colonoscopy (55%). Factors associated with BST
compliance included retirement (adjusted odds ratio[AOR]=1.57**), being uninsured
(AOR=0.64**), diagnosed morbidities (AOR=1.68**), fruit/vegetable consumption
(AOR=1.60**), and using alternative medicines (AOR=1.36*). Factors associated
with colonoscopy included Hispanic ethnicity (AOR=0.56**), lower education
(AOR=0.66*), being single (AOR=0.65*), retirement (AOR=2.01***), being uninsured
(AOR=0.61**), diagnosed morbidities (AOR=2.13***), former smoking (AOR=1.94**),
and fruit/vegetable consumption (AOR=1.75***)(*p<.05;**p<.01;***p<.001).
CONCLUSION: This is the first study of factors of BST and colonoscopy in Miami
Dade County. These findings provide a basis for community-based interventions
aimed at increasing screening within this population.
PMID- 26548682
TI - Effects of Health Insurance on Tumor Stage, Treatment, and Survival in Large
Cohorts of Patients with Breast and Colorectal Cancer.
AB - To examine the impact of health insurance status on tumor stage at diagnosis,
treatment rendered, and overall survival, we identified 52,566 breast cancer
patients and 34,316 colorectal cancer patients aged 20 or older in 2007-2010 from
Texas Cancer Registry. Those aged younger than 65 years without health insurance
coverage had significantly higher risks of mortality than those with private
health insurance regardless of tumor stage, chemotherapy, or surgery for
colorectal cancer. However, in patients younger than 65 years with breast cancer,
the risk of mortality was not significantly higher for those who received
chemotherapy or cancer-directed surgery in patients without insurance coverage
compared with those with private health insurance. In Medicare beneficiaries aged
65 years or older, risk of mortality was not significantly different between
those with Medicare only and those with additional private health insurance,
except an increased mortality in patients without chemotherapy for breast and
colorectal cancer and in those without receiving surgery for colorectal cancer.
PMID- 26548683
TI - Improving Access to Vision Care for People Who are Homeless through Eyeglasses
Recycling.
AB - Visual impairment disproportionately affects the health and function of people
who are homeless. A common and correctable cause of visual impairment is
refractive error. However, eyeglasses remain an unmet need for the poor and
underinsured. This report describes eyeglasses recycling and vision screening to
reduce the burden of correctable visual impairment.
PMID- 26548684
TI - Implementing the Dementia Carers Support Initiative of the National Institute for
Health and Care Excellence in a sub-Saharan African Setting.
AB - Global estimates suggest that by 2040, over 71% of people living with dementia
worldwide will reside in low- and middle-income countries, especially in sub
Saharan Africa. In this region, informal caregivers, who are mostly close family
members, may number over nine times the number of dementia patients. This group
of carers often lacks the support they require for their exceptional effort. The
United Kingdom's National Institute for Health and Care Excellence (NICE)
provides access to tailored psychosocial interventions as part of a comprehensive
support for carers of patients with dementia. This paper appraises organizational
considerations in introducing this initiative into the resource-poor health care
delivery system typical of many sub-Saharan African settings. It concludes that
one initial step in that direction may be the introduction--through a
developmental change management framework led by all stakeholders--of a tailored
carers' information package into the routine care for dementia.
PMID- 26548685
TI - Barriers and Facilitators to Engaging Communities in Gender-Based Violence
Prevention following a Natural Disaster.
AB - Humanitarian workers in disaster settings report a dramatic increase in gender
based violence (GBV). This was true after the 2010 Haiti earthquake when women
and girls lost the relative security of their homes and families. Researchers
from the United States Virgin Islands and the United States mainland responded by
collaborating with Haitian colleagues to develop GBV-focused strategies. To
start, the research team performed a situational analysis to insure that the
project was culturally, ethically, and logistically appropriate. The aim of this
paper is to describe how the situational analysis framework helped the
researchers effectively approach this community. Using post-earthquake Haiti as
an exemplar, we identify key steps, barriers, and facilitators to undertaking a
situational analysis. Barriers included logistics, infrastructure, language and
community factors. Facilitators included established experts, organizations and
agencies. Researchers in such circumstances need to be respectful of community
members as experts and patient with local environmental and cultural conditions.
PMID- 26548686
TI - Research Assistants Caught in Limbo: Considering Their Role in Quantitative,
Longitudinal Research with Vulnerable Populations.
AB - Research assistants (RAs) play a variety of roles that are critical in making
research happen and in determining its quality and effectiveness. Yet their locus
of power in the production of knowledge stands in sharp contrast to their
relative powerlessness in the hierarchical research organization. This article
explores the experiences of RAs engaged in a randomized controlled longitudinal
field trial of a Housing First intervention for individuals experiencing
homelessness and mental illness in Toronto. They encountered several unexpected
effects of navigating the power ascribed to them by both study participants and
community service providers. This study underscores the importance of
acknowledging that RAs are the face of the research study in the field, and of
better understanding implications associated with that fact, especially when
marginalized populations and their providers are involved.
PMID- 26548687
TI - Attaining Financial Fluency among Physicians: Start with the Students.
AB - One in three chronically ill patients is unable to afford medications, food, or
both. Too often, physicians do not discuss costs of care, risking decreased
patient adherence. Physicians may be uncomfortable talking about prices and costs
with patients because they receive little training in how to do so. The authors
argue that one way of teaching providers financial fluency--defined here as
physician knowledge of and comfort with discussing economic barriers to care--is
to provide that training early in their careers. The concept of anchoring bias
supports this argument, as it suggests that humans often rely heavily on the
first piece of information obtained. An ideal training setting is the student-run
community clinic, where volunteer physicians see low-income, uninsured patients,
and medical students coordinate care. This early exposure trains students to
expect, rather than fear, a discussion about the cost of care. These experiences
should be expanded and formally evaluated.
PMID- 26548688
TI - Urban Health Project: A Sustainable and Successful Community Internship Program
for Medical Students.
AB - BACKGROUND: Urban Health Project (UHP) is a mission and vision-driven summer
internship at the University of Cincinnati College of Medicine that places first
year medical students at local community agencies that work with underserved
populations. At the completion of their internship, students write Final Intern
Reflections (FIRs). METHODS: Final Intern Reflections written from 1987 to 2012
were read and coded to both predetermined categories derived from the UHP mission
and vision statements and new categories created from the data themselves.
RESULTS: Comments relating to UHP's mission and vision were found in 47% and 36%
of FIRs, respectively. Positive experiences outweighed negative by a factor of
eight. Interns reported the following benefits: educational (53%), valuable
(25%), rewarding (25%), new (10%), unique (6%), and life-changing (5%).
CONCLUSIONS: Urban Health Project is successful in providing medical students
with enriching experiences with underserved populations that have the potential
to change their understanding of vulnerable populations.
PMID- 26548689
TI - Oral Health Status of Children Attending a Mobile Dental Clinic--A Comparative
Study.
AB - Periodicity of dental visits for children is based on age and disease
susceptibility. Frequently mobile dental clinics are unable to provide follow-up
care at recommended intervals. This study compared the oral health of children
attending the mobile clinic (MC) twice with matched children by age, gender,
race, and ZIP code attending for the first time. Dental charts (n=888) were
reviewed and scored for decayed and filled surfaces. Seventy-eight children (mean
age 9.6 years; 98.7% Hispanic) attended the clinic twice over a mean interval
between visits of 1.5 years. These children had statistically significant lower
rates of decay in deciduous and permanent tooth surfaces than matched children
visiting the clinic for the first time (p<.05; p<.001), and significantly less
decay in their deciduous and permanent teeth than at the first visit (p<.001;
p<.05). Mobile clinics can be effective in decreasing the decay in teeth, even
when the interval between visits is longer than current recommendations.
PMID- 26548690
TI - Improving Treatment Completion Rates for Latent Tuberculosis Infection: A Review
of Two Treatment Regimens at a Community Health Center.
AB - BACKGROUND: Prophylactic treatment of latent tuberculosis infection (LTBI) is
necessary for controlling TB in low-incidence settings. However, treatment is
often limited by poor completion rates. METHODS: At a community health center
serving low-income Hispanics, treatment completion among patients accepting 12
weekly doses of isoniazid (INH) plus rifapentine (RPT) administered as directly
observed therapy (DOT) was compared with that among patients accepting nine
months of daily self-administered INH during 2012 and 2013 (n=139). RESULTS:
Among patients who agreed to treatment, INH-RPT combination therapy was
associated with higher completion rates (OR 3.06; 95% CI, 1.23-7.62; p=.016) when
compared to INH only. Overall completion rates were 77.8% (35/45) for INH-RPT
combination therapy and 52.1% (49/94) for INH monotherapy. CONCLUSIONS: High
completion rates for LTBI treatment can be achieved at a community health center
using INH-RPT administered via DOT. Greater success treating with INH-RPT may be
attributed to DOT strategy and a shorter treatment regimen.
PMID- 26548691
TI - Results of a Health Education Message Intervention on HPV Knowledge and Receipt
of Follow-up Care among Latinas Infected with High-risk Human Papillomavirus.
AB - A clinic-based intervention study was conducted among high-risk human
papillomavirus (HPV)-infected Latinas aged 18-64 years between April 2006 and May
2008 on the Texas-Mexico border. Women were randomly assigned to receive a
printed material intervention (n=186) or usual care (n=187) and were followed at
three months, six months, and 12 months through telephone surveys and review of
medical records. The HPV knowledge of nearly all women had increased greatly, but
only two-thirds of women reported they had received follow-up care within one
year of diagnosis regardless of additional health education messaging. Our
findings suggest that, regardless of type of health education messaging, Latinas
living on the Texas-Mexico border are aware that follow-up care is recommended,
but they may not receive this care. Individual, familial and medical care
barriers to receipt of follow-up care may partially account for the higher rates
of cervical cancer mortality in this region.
PMID- 26548695
TI - Errata.
PMID- 26548692
TI - Using a Community-Engaged Approach to Develop a Bilingual Survey about
Psychosocial Stressors among Individuals of Mexican Origin.
AB - Hypertension is on the rise among Hispanics and is highest among those of Mexican
origin. Recent studies have found a positive association between air pollution
and blood pressure and hypertension. Moreover, a link between hypertension and
adverse socioeconomic conditions is well established. However, less is known
about psychosocial stressors, although their impact on coronary heart disease has
been shown. To address this gap in the literature, community perspectives of the
health consequences of environmental exposures and psychosocial stressors
experienced among the Mexican-origin population in Houston, Texas were obtained
through participation in focus groups, the establishment of a Neighborhood
Council of Advisors (NCA), and the testing of a pilot questionnaire. Taken
together, the findings from the community were used to develop a culturally
sensitive, bilingual questionnaire for an investigation of the combined effects
of environmental and psychosocial stressors on hypertension among individuals of
Mexican origin.
PMID- 26548697
TI - Decrease of nasal airway resistance and alleviations of symptoms after balloon
sinuplasty in patients with isolated chronic rhinosinusitis: a prospective,
randomised clinical study.
AB - OBJECTIVES: The aim of this study was to evaluate and compare the clinical
outcome of balloon sinuplasty and uncinectomy for patients suffering from
isolated chronic rhinosinusitis of the maxillary sinus. DESIGN: A prospective,
randomised, non-blinded, controlled trial was conducted. SETTING: The study was
carried out at the Department of Otolaryngology, Tampere University Hospital,
Finland. PARTICIPANTS: Adult patients with symptomatic isolated chronic or
recurrent rhinosinusitis without severe findings in the sinuses, as documented in
the sinus' Computer Tomography scan and clinical examination, were randomised
into two groups: uncinectomy and balloon sinuplasty. MAIN OUTCOME MEASURES: The
variables in our study are the Sinonasal Outcome Test-22 (SNOT 22), acoustic
rhinometry and rhinomanometry. These parameters were analysed preoperatively and
postoperatively (after 3 and 6 months). RESULTS: The preliminary results of our
study have been previously published. Both balloon sinuplasty and uncinectomy
significantly improved almost all the parameters of SNOT22 (P < 0.05), with no
significant difference being found between these two groups (P > 0.05). Based on
rhinomanometry results, airway resistance decreased after treatment. Regarding
adverse effects, balloon sinuplasty was significantly associated with a lesser
risk of synechia. CONCLUSIONS: Both balloon sinuplasty and uncinectomy improved
the quality of life and decreased upper airway resistance of patients with mild,
isolated chronic or recurrent rhinosinusitis. The smaller risk of postoperative
synechiae with balloon sinuplasty combined with its promising efficiency could
partially compensate for its high material cost.
PMID- 26548696
TI - Acute resistance exercise activates rapamycin-sensitive and -insensitive
mechanisms that control translational activity and capacity in skeletal muscle.
AB - KEY POINTS: Ribosome biogenesis is the primary determinant of translational
capacity, but its regulation in skeletal muscle following acute resistance
exercise is poorly understood. Resistance exercise increases muscle protein
synthesis acutely, and muscle mass with training, but the role of translational
capacity in these processes is unclear. Here, we show that acute resistance
exercise activated pathways controlling translational activity and capacity
through both rapamycin-sensitive and -insensitive mechanisms. Transcription
factor c-Myc and its downstream targets, which are known to regulate ribosome
biogenesis in other cell types, were upregulated after resistance exercise in a
rapamycin-independent manner and may play a role in determining translational
capacity in skeletal muscle. Local inhibition of myostatin was also not affected
by rapamycin and may contribute to the rapamycin-independent effects of
resistance exercise. ABSTRACT: This study aimed to determine (1) the effect of
acute resistance exercise on mechanisms of ribosome biogenesis, and (2) the
impact of mammalian target of rapamycin on ribosome biogenesis, and muscle
protein synthesis (MPS) and degradation. Female F344BN rats underwent unilateral
electrical stimulation of the sciatic nerve to mimic resistance exercise in the
tibialis anterior (TA) muscle. TA muscles were collected at intervals over the 36
h of exercise recovery (REx); separate groups of animals were administered
rapamycin pre-exercise (REx+Rapamycin). Resistance exercise led to a prolonged (6
36 h) elevation (30-50%) of MPS that was fully blocked by rapamycin at 6 h but
only partially at 18 h. REx also altered pathways that regulate protein
homeostasis and mRNA translation in a manner that was both rapamycin-sensitive
(proteasome activity; phosphorylation of S6K1 and rpS6) and rapamycin-insensitive
(phosphorylation of eEF2, ERK1/2 and UBF; gene expression of the myostatin target
Mighty as well as c-Myc and its targets involved in ribosome biogenesis). The
role of c-Myc was tested in vitro using the inhibitor 10058-F4, which, over time,
decreased basal RNA and MPS in a dose-dependent manner (correlation of RNA and
MPS, r(2) = 0.98), even though it had no effect on the acute stimulation of
protein synthesis. In conclusion, acute resistance exercise stimulated rapamycin
sensitive and -insensitive mechanisms that regulate translation activity and
capacity.
PMID- 26548698
TI - Magnetic resonance imaging assisted management in five cases of suspected
quittor.
AB - OBJECTIVES: Assessment of the usefulness of magnetic resonance imaging (MRI) in
treatment planning in suspected cases of quittor in the horse. METHODS: Five
horses with chronic discharging tracts at the level of the foot underwent MRI for
treatment planning. RESULTS: The MRI examination revealed variable involvement of
soft tissue and osseous structures of the foot in addition to abnormalities of
the ungular cartilages in all cases. In two cases, follow-up MRI examination was
performed. Four of five horses had a successful outcome, with three of these
undergoing only one surgical procedure and one being managed medically. CLINICAL
SIGNIFICANCE: We believe that the use of preoperative MRI facilitated accurate
determination of the structures involved in cases of quittor, guiding the
management, surgical approach and postoperative therapy.
PMID- 26548699
TI - Effect of subchronic administration of agomelatine on brain energy metabolism and
oxidative stress parameters in rats.
AB - AIMS: The aim of this study was to investigate the effect of subchronic
administration of agomelatine on energy metabolism, oxidative stress markers and
antioxidant defense in the brains of rats. METHODS: The animals received daily
intraperitoneal injections of agomelatine (10, 30 or 50 mg/kg) or saline for 14
days. The prefrontal cortex, cerebellum, hippocampus, striatum and posterior
cortex were analyzed. RESULTS: The findings showed that complex I was activated
in the prefrontal cortex, cerebellum and striatum and inhibited in the posterior
cortex at the 10-mg/kg dose, and inhibited in all brain areas analyzed at the 30
mg/kg and 50-mg/kg doses. Complex II was activated in the posterior cortex at the
50-mg/kg dose. Complex IV was inhibited in the striatum and posterior cortex at
the 10-mg/kg dose, inhibited in the striatum at the 30-mg/kg dose and activated
in the hippocampus at the 50-mg/kg dose. Creatine kinase activity was inhibited
in the striatum at the 10-mg/kg and 30-mg/kg doses. Lipid peroxidation and
protein carbonylation levels were not changed after the administration of
agomelatine. Superoxide dismutase activity was increased in the striatum at the
10-mg/kg dose, and catalase activity was inhibited in the cerebellum at the 10
mg/kg dose and increased in the posterior cortex at the 30-mg/kg dose.
CONCLUSIONS: Our results are consistent with other studies showing that some
antidepressants may influence brain energy metabolism and oxidative stress
parameters and expand knowledge about the effects of agomelatine in biochemical
parameters in the brains of rats.
PMID- 26548700
TI - Copper-catalyzed electrophilic amination using N-methoxyamines.
AB - Copper-catalyzed electrophilic amination of a triarylboroxin using an N
methoxyamine to give quick access to a variety of anilines was reported. The
reaction was especially useful for syntheses of functionalized anilines when
combined with our previously reported nucleophilic addition to N-methoxyamides.
PMID- 26548701
TI - Effects of a Proline Endopeptidase on the Detection and Quantitation of Gluten by
Antibody-Based Methods during the Fermentation of a Model Sorghum Beer.
AB - The effectiveness of a proline endopeptidase (PEP) in hydrolyzing gluten and its
putative immunopathogenic sequences was examined using antibody-based methods and
mass spectrometry (MS). Based on the results of the antibody-based methods,
fermentation of wheat gluten containing sorghum beer resulted in a reduction in
the detectable gluten concentration. The addition of PEP further reduced the
gluten concentration. Only one sandwich ELISA was able to detect the apparent low
levels of gluten present in the beers. A competitive ELISA using a pepsin-trypsin
hydrolysate calibrant was unreliable because the peptide profiles of the beers
were inconsistent with that of the hydrolysate calibrant. Analysis by MS
indicated that PEP enhanced the loss of a fragment of an immunopathogenic 33-mer
peptide in the beer. However, Western blot results indicated partial resistance
of the high molecular weight (HMW) glutenins to the action of PEP, questioning
the ability of PEP in digesting all immunopathogenic sequences present in gluten.
PMID- 26548702
TI - A nonfullerene acceptor for wide band gap polymer based organic solar cells.
AB - A new 1,8-naphthalimide based planar small molecular acceptor and two
benzothiadiazole based wide band gap (WBG) polymer donors P1 and P2 were
synthesized for nonfullerene organic photovoltaic cells (OPVs). Devices based on
fluorinated polymer P2 achieved a highly improved PCE of 3.71% with an open
circuit voltage (V(oc)) of 1.07 V, which is beyond the currently known levels for
nonfullerene OPVs with the V(oc) higher than 1 V.
PMID- 26548703
TI - Experimental infection of rock pigeons (Columba livia) with three West Nile virus
lineage 1 strains isolated in Italy between 2009 and 2012--CORRIGENDUM.
PMID- 26548704
TI - Atomic and vibrational origins of mechanical toughness in bioactive cement during
setting.
AB - Bioactive glass ionomer cements (GICs) have been in widespread use for ~40 years
in dentistry and medicine. However, these composites fall short of the toughness
needed for permanent implants. Significant impediment to improvement has been the
requisite use of conventional destructive mechanical testing, which is
necessarily retrospective. Here we show quantitatively, through the novel use of
calorimetry, terahertz (THz) spectroscopy and neutron scattering, how GIC's
developing fracture toughness during setting is related to interfacial THz
dynamics, changing atomic cohesion and fluctuating interfacial configurations.
Contrary to convention, we find setting is non-monotonic, characterized by abrupt
features not previously detected, including a glass-polymer coupling point, an
early setting point, where decreasing toughness unexpectedly recovers, followed
by stress-induced weakening of interfaces. Subsequently, toughness declines
asymptotically to long-term fracture test values. We expect the insight afforded
by these in situ non-destructive techniques will assist in raising understanding
of the setting mechanisms and associated dynamics of cementitious materials.
PMID- 26548707
TI - Macrophage Inflammatory Protein-1 Beta and Interferon Gamma Responses in Ugandans
with HIV-1 Acute/Early Infections.
AB - Control of HIV replication through CD4(+) and CD8(+) T cells might be possible,
but the functional and phenotypic characteristics of such cells are not defined.
Among cytokines produced by T cells, CCR5 ligands, including macrophage
inflammatory protein-1 beta (MIP-1beta), compete for the CCR5 coreceptor with
HIV, promoting CCR5 internalization and decreasing its availability for virus
binding. Interferon (IFN)-gamma also has some antiviral activity and has been
used as a read-out for T cell immunogenicity. We used cultured ELISpot assays to
compare the relative contribution of MIP-1beta and IFN-gamma to HIV-specific
responses. The magnitude of responses was 1.36 times higher for MIP-1beta
compared to IFN-gamma. The breadth of the MIP-1beta response (45.41%) was
significantly higher than IFN-gamma (36.88%), with considerable overlap between
the peptide pools that stimulated both MIP-1beta and IFN-gamma production.
Subtype A and D cross-reactive responses were observed both at stimulation and
test level, but MIP-1beta and IFN-gamma responses displayed different effect
patterns. We conclude that the MIP-1beta ELISpot would be a useful complement to
the evaluation of the immunogenicity of HIV vaccines and the activity of
adjuvants.
PMID- 26548708
TI - Organometallic rhodium(III) and iridium(III) cyclopentadienyl complexes with
curcumin and bisdemethoxycurcumin co-ligands.
AB - A series of half-sandwich cyclopentadienyl rhodium(III) and iridium(III)
complexes of the type [Cp*M(curc/bdcurc)Cl] and [Cp*M(curc/bdcurc)(PTA)][SO3CF3],
in which Cp* = pentamethylcyclopentadienyl, curcH = curcumin and bdcurcH =
bisdemethoxycurcumin as O^O-chelating ligands, and PTA = 1,3,5-triaza-7
phosphaadamantane, is described. The X-ray crystal structures of three of the
complexes, i.e. [Cp*Rh(curc)(PTA)][SO3CF3] (5), [Cp*Rh(bdcurc)(PTA)][SO3CF3] (6)
and [Cp*Ir(bdcurc)(PTA)][SO3CF3] (8), confirm the expected "piano-stool"
geometry. With the exception of 5, the complexes are stable under pseudo
physiological conditions and are moderately cytotoxic to human ovarian carcinoma
(A2780 and A2780cisR) cells and also to non-tumorigenic human embryonic kidney
(HEK293) cells, but lack the cancer cell selectivity observed for related arene
ruthenium(II) complexes.
PMID- 26548709
TI - One-pot synthesis of active copper-containing carbon dots with laccase-like
activities.
AB - Herein, an effective strategy for designing a new type of nanozyme, blue
fluorescent laccase mimics, is reported. Active copper-containing carbon dots (Cu
CDs) were synthesized through a simple, nontoxic and one-pot hydrothermal method,
which showed favorable photoluminescence properties and good photostability under
high-salt conditions or in a broad pH range (3.0-13.5). The Cu-CDs possessed
intrinsic laccase-like activities and could catalyze the oxidation of the laccase
substrate p-phenylenediamine (PPD) to produce a typical color change from
colorless to brown. Poly(methacrylic acid sodium salt) (PMAA) not only was used
as the carbon source and reducing agent, but also provided carboxyl groups to
assist flocculation between Cu-CDs and polyacrylamide, which facilitated the
removal of PPD. Importantly, the intrinsic fluorescence of the as-prepared Cu-CDs
could indicate the presence of hydroquinone, one of the substrates of laccases,
based on laccase mimics and fluorescence quenching.
PMID- 26548710
TI - A review of the mechanisms and evidence for typical and atypical twinning.
AB - The mechanisms responsible for twinning and disorders of twin gestations have
been the subject of considerable interest by physicians and scientists, and cases
of atypical twinning have called for a reexamination of the fundamental theories
invoked to explain twin gestations. This article presents a review of the
literature focusing on twinning and atypical twinning with an emphasis on the
phenomena of chimeric twins, phenotypically discordant monozygotic twins, mirror
image twins, polar body twins, complete hydatidiform mole with a coexistent twin,
vanishing twins, fetus papyraceus, fetus in fetu, superfetation, and
superfecundation. The traditional models attributing monozygotic twinning to a
fission event, and more recent models describing monozygotic twinning as a fusion
event, are critically reviewed. Ethical restrictions on scientific
experimentation with human embryos and the rarity of cases of atypical twinning
have limited opportunities to elucidate the exact mechanisms by which these
phenomena occur. Refinements in the modeling of early embryonic development in
twin pregnancies may have significant clinical implications. The article includes
a series of figures to illustrate the phenomena described.
PMID- 26548711
TI - Large-area functionalized CVD graphene for work function matched transparent
electrodes.
AB - The efficiency of flexible photovoltaic and organic light emitting devices is
heavily dependent on the availability of flexible and transparent conductors with
at least a similar workfunction to that of Indium Tin Oxide. Here we present the
first study of the work function of large area (up to 9 cm(2)) FeCl3 intercalated
graphene grown by chemical vapour deposition on Nickel, and demonstrate values as
large as 5.1 eV. Upon intercalation, a charge density per graphene layer of 5 ?
10(13) +/- 5 ? 10(12) cm(-2) is attained, making this material an attractive
platform for the study of plasmonic excitations in the infrared wavelength
spectrum of interest to the telecommunication industry. Finally, we demonstrate
the potential of this material for flexible electronics in a transparent circuit
on a polyethylene naphthalate substrate.
PMID- 26548712
TI - Quantum Dot and Polymer Composite Cross-Reactive Array for Chemical Vapor
Detection.
AB - A cross-reactive chemical sensing array was made from CdSe Quantum Dots (QDs) and
five different organic polymers by inkjet printing to create segmented
fluorescent composite regions on quartz substrates. The sensor array was
challenged with exposures from two sets of analytes, including one set of 14
different functionalized benzenes and one set of 14 compounds related to security
concerns, including the explosives trinitrotoluene (TNT) and ammonium nitrate.
The array was broadly responsive to analytes with different chemical
functionalities due to the multiple sensing mechanisms that altered the QDs'
fluorescence. The sensor array displayed excellent discrimination between members
within both sets. Classification accuracy of more than 93% was achieved,
including the complete discrimination of very similar dinitrobenzene isomers and
three halogenated, substituted benzene compounds. The simple fabrication, broad
responsivity, and high discrimination capacity of this type of cross-reactive
array are ideal qualities for the development of sensors with excellent
sensitivity to chemical and explosive threats while maintaining low false alarm
rates.
PMID- 26548713
TI - Understanding the structure and function of Bacillus thuringiensis toxins.
AB - As biological control agents take an expanding share of the pesticides market and
the production of insect-resistant crops increases, it is essential to understand
the structure and function of the active agents, the invertebrate-active toxins
that are the fundamental ingredients of these control systems. The potential for
these agents in industry, agriculture and medicine necessitates a thorough
investigation of their activity.
PMID- 26548714
TI - Cardiovascular outcomes and conventional risk factors in non-diabetic adult
patients with GH deficiency: A long-term retrospective cohort study.
AB - PURPOSE: To investigate the association between cardiovascular (CV) risk factors
and cumulative CV events in patients with growth hormone deficiency (GHD)
receiving GH replacement therapy (GHRT). METHODS: 53 non-diabetic adult GHD
patients, aged 45.4+/-14.3years, 31 females, with a median follow up of
140months, were divided into two groups based on the presence (group A) or
absence (group B) of systemic hypertension. Tertiles of age and LDL-cholesterol
were considered as further potential prognosticators. Cumulative CV event rates
were recorded and analyzed by Kaplan-Mayer method. Differences between patients
with and without events were also evaluated. RESULTS: Seventeen patients (32%)
entered the group A and 36 (68%) the group B. A composite of fatal and non-fatal
CV events occurred in 22.6% of patients, 47.1% in group A and 11% in group B
(p=0.01), CV deaths in 3 patients (5.7%; annual death rate 0.49%), 2 of whom were
in group A. At Kaplan-Mayer analysis, hypertension and age>55years were major
prognosticators. The odds ratio was 7.1 (95% CI: 1.74-29.12, p<0.003) and 6.2
(95% CI: 1.54-25.04, p<0.006), respectively. LDL-cholesterol showed borderline
statistical significance. Patients with CV events also had high prevalence of
left ventricular hypertrophy, left atrial enlargement and subclinical systolic
dysfunction. CONCLUSIONS: In this study, outcomes were mainly related to
hypertension and age (partially to LDL-cholesterol), confirming that management
of GHD patients must be inclusive of treatment of conventional risk factors,
being as important as GHRT. Optimal blood pressure control is crucial when a
target organ damage is present and in patients older than 55years.
PMID- 26548715
TI - The effect of smoking on carotid intima-media thickness progression rate and rate
of lumen diameter reduction.
AB - OBJECTIVE: The purpose of the study was to investigate the long-term associations
between smoking habits, environmental tobacco smoke exposure (ETS), carotid
intima-media thickness (IMT) progression rate, and rate of lumen diameter
reduction in the carotid artery during a 16-year follow-up. Another objective was
to investigate if an effect of smoking on progression rate could be explained by
increased low grade inflammation. METHODS: The study population included 2992
middle-aged men and women in the 1991-1994 (baseline) and the 2007-2012 (re
examination) investigation of the Malmo Diet and Cancer Study cardiovascular
cohort. Associations between smoking, progression of carotid IMT and lumen
diameter reduction due to plaque protrusion were assessed by linear regression.
RESULTS: IMT progression rates and rate of lumen diameter reduction increased
from never smokers with no ETS through former, moderate and heavy smokers, even
after adjustment for traditional risk factors (e.g., differences in yearly
progression rates (mm/year) of maximal IMT in the carotid bifurcation compared to
never smokers; former smokers 0.0074 (95% CI: 0.0018-0.0129), moderate smokers
0.0106 (95% CI: 0.0038-0.0175), and heavy smokers 0.0146 (95% CI: 0.0061
0.0230)). Former smokers showed distinct lowering of progression rates after more
than five years since smoking cessation. Smoking and former smoking was
associated with increased low grade inflammation, however, the effect of smoking
on atherosclerotic progression rate remained fairly unchanged after such
adjustment. CONCLUSION: The effect of smoking and former smoking on carotid IMT
progression rates and change in lumen reduction due to plaque protrusion could
not be explained by differences in traditional risk factors or low grade
inflammation.
PMID- 26548716
TI - Foraging decisions in wild versus domestic Mus musculus: What does life in the
lab select for?
AB - What does domestication select for in terms of foraging and anti-predator
behaviors? We applied principles of patch use and foraging theory to test
foraging strategies and fear responses of three strains of Mus musculus: wild
caught, control laboratory, and genetically modified strains. Foraging choices
were quantified using giving-up densities (GUDs) under three foraging scenarios:
(1) patches varying in microhabitat (covered versus open), and initial resource
density (low versus high); (2) daily variation in auditory cues (aerial predators
and control calls); (3) patches with varying seed aggregations. Overall, both
domestic strains harvested significantly more food than wild mice. Each strain
revealed a significant preference for foraging under cover compared to the open,
and predator calls had no detectable effects on foraging. Both domestic strains
biased their harvest toward high quality patches; wild mice did not. In terms of
exploiting favorable and avoiding unfavorable distributions of seeds within
patches, the lab strain performed best, the wild strain worst, and the mutant
strain in between. Our study provides support for hypothesis that domestic
animals have more energy-efficient foraging strategies than their wild
counterparts, but retain residual fear responses. Furthermore, patch-use studies
can reveal the aptitudes and priorities of both domestic and wild animals.
PMID- 26548717
TI - Attentional shifts in categorization learning: Perseveration but not learned
irrelevance.
AB - Once a categorization task has been mastered, if features that once were relevant
become irrelevant and features that once were irrelevant become relevant, a
decrement in performance-a shift cost-is typically observed. This shift cost may
reflect the involvement of two distinguishable factors: the inability to release
attention from a previously relevant feature (i.e., attentional perseveration)
and/or the inability to re-engage attention to a previously irrelevant feature
(i.e., learned irrelevance). Here, we examined the nature of this shift cost in
pigeons. We gave four groups of pigeons a categorization task in which we
monitored their choice accuracy; at the same time, we tracked the location of
their pecks to the relevant and irrelevant attributes of the stimuli to determine
to which attributes the birds were attending during the course of learning. After
identical training in Phase 1, the roles of the relevant/irrelevant features were
changed in Phase 2, so that one group could show only learned irrelevance, a
second group could show only attentional perseverance, a third group could show
both, and a fourth control group could show neither of these effects. Results
disclosed evidence of attentional perseverance, but no evidence of learned
irrelevance, either in accuracy or in relevant feature tracking. In addition, we
determined that pigeons' allocation of attention to the relevant features
followed rather than preceded an increase in choice accuracy. Overall, our
findings are best explained by theories which propose that attention is learned
and deployed to those features that prove to be reliable predictors of the
correct categorization response (e.g., George and Pearce, 2012; Kruschke, 2001;
Mackintosh, 1975).
PMID- 26548719
TI - Coenzyme Q0 regulates NFkappaB/AP-1 activation and enhances Nrf2 stabilization in
attenuation of LPS-induced inflammation and redox imbalance: Evidence from in
vitro and in vivo studies.
AB - Coenzyme Q (CoQ) analogs with variable number of isoprenoid units have been
demonstrated as anti-inflammatory and antioxidant/pro-oxidant molecules. In this
study we used CoQ0 (2,3-dimethoxy-5-methyl-1,4-benzoquinone, zero isoprenoid side
chains), a novel quinone derivative, and investigated its molecular actions
against LPS-induced inflammation and redox imbalance in murine RAW264.7
macrophages and mice. In LPS-stimulated macrophages, non-cytotoxic concentrations
of CoQ0 (2.5-10 MUM) inhibited iNOS/COX-2 protein expressions with subsequent
reductions of NO, PGE2, TNF-alpha and IL-1beta secretions. This inhibition was
reasoned by suppression of NFkappaB (p65) activation, and inhibition of AP-1 (c
Jun., c-Fos, ATF2) translocation. Our findings indicated that IKKalpha-mediated I
kappaB degradation and MAPK-signaling are involved in regulation of NFkappaB/AP-1
activation. Furthermore, CoQ0 triggered HO-1 and NQO-1 genes through increased
Nrf2 nuclear translocation and Nrf2/ARE-signaling. This phenomenon was confirmed
by diminished CoQ0 protective effects in Nrf2 knockdown cells, where LPS-induced
NO, PGE2, TNF-alpha and IL-1beta productions remained high. Molecular evidence
revealed that CoQ0 enhanced Nrf2 steady-state level at both transcriptional and
translational levels. CoQ0-induced Nrf2 activation appears to be regulated by ROS
JNK-signaling cascades, as evidenced by suppressed Nrf2 activation upon treatment
with pharmacological inhibitors of ROS (N-acetylcysteine) and JNK (SP600125).
Besides, oral administration of CoQ0 (5 mg/kg) suppressed LPS-induced (1 mg/kg)
induction of iNOS/COX-2 and TNF-alpha/IL-1beta through tight regulation of
NFkappaB/Nrf2 signaling in mice liver and spleen. Our findings conclude that
pharmacological actions of CoQ0 are mediated via inhibition of NFkappaB/AP-1
activation and induction of Nrf2/ARE-signaling. Owing to its potent anti
inflammatory and antioxidant properties, CoQ0 could be a promising candidate to
treat inflammatory disorders.
PMID- 26548718
TI - The hyaluronic acid inhibitor 4-methylumbelliferone is an NSMase2 activator-role
of Ceramide in MU anti-tumor activity.
AB - Increased synthesis of hyaluronic acid (HA) is often associated with increased
metastatic potential and invasivity of tumor cells. 4-Methylumbelliferone (MU) is
an inhibitor of HA synthesis, and has been studied as a potential anti-tumor drug
to inhibit the growth of primary tumors and distant metastasis of tumor cells.
Although several studies reported that the anticancer effects of MU are mediated
by inhibition of HA signaling, the mechanism still needs to be clarified. In a
previous study we demonstrated the regulation of HA synthesis by ceramide, and
now show how MU activated neutral sphingomyelinase2 (NSMase2) generates ceramides
and mediates MU induced inhibition of HA synthesis, cell migration and invasion,
and apoptosis of tumor cells. Using a HA enriched mouse oligodendroglioma cell
line G26-24 we found that MU elevated the activity of NSMase2 and increased
ceramide levels, which in turn increased phosphatase PP2A activity. Further, the
activated PP2A reduced phosphorylation of Akt, decreased activities of HA
synthase2 (HAS2) and calpains, and inhibited both the synthesis of HA, and the
migration and invasion of G26-24 tumor cells. In addition, MU mediated ceramide
stimulated activation of p53 and caspase-3, reduced SIRT1 expression and
decreased G26-24 viability. The mechanism of the MU anticancer therefore
initially involves NSMase2/ceramide/PP2A/AKT/HAS2/caspase-3/p53/SIRT1 and the
calpain signaling pathway, suggesting that ceramides play a key role in the
ability of a tumor to become aggressively metastatic and grow.
PMID- 26548720
TI - IL-33 and kidney disease (Review).
AB - Interleukin (IL)-33, is a novel member of the IL-1 superfamily, and act as a dual
function molecule as a nuclear factor and cytokine. The expression of IL-33 can
be detected in several tissues and cells in humans and in mice. In addition to
the conventional secretion approach for cytokines, full-length IL-33 can also be
released into the extracellular space following cell damage or mechanical injury.
IL-33 mediates its biological effects by interacting with the receptors,
suppression of tumorigenicity 2 (ST2) and IL-1 receptor accessory protein,
activating intracellular molecules in the nuclear factor-kappaB and mitogen
activated protein kinase signaling pathways, which drive the production of type 2
cytokines, including IL-4, IL-5 and IL-3, from polarized T helper 2 cells.
Increasing evidence indicates that IL-33 is important in chronic kidney disease,
and may be involved in the progression of renal fibrosis associated with systemic
lupus erythematosus and renal graft damage. In addition, IL-33 contributes to
acute kidney injury. In the present review, the biology of IL-33, and the
association of IL-33 with kidney diseases are discussed.
PMID- 26548721
TI - Genetic and morphological studies of Trichosirocalus species introduced to North
America, Australia and New Zealand for the biological control of thistles.
AB - Trichosirocalus horridus sensu lato has been used as a biological control agent
of several invasive thistles (Carduus spp., Cirsium spp. and Onopordum spp.)
since 1974. It has been recognized as a single species until 2002, when it was
split into three species based on morphological characters: T. horridus,
Trichosirocalus briesei and Trichosirocalus mortadelo, each purported to have
different host plants. Because of this taxonomic change, uncertainty exists as to
which species were released in various countries; furthermore, there appears to
be some exceptions to the purported host plants of some of these species. To
resolve these questions, we conducted an integrative taxonomic study of the T.
horridus species complex using molecular genetic and morphological analyses of
specimens from three continents. Both mitochondrial cytochrome c oxidase subunit
I and nuclear elongation factor 1alpha markers clearly indicate that there are
only two distinct species, T. horridus and T. briesei. Molecular evidence,
morphological analysis and host plant associations support the synonymy of T.
horridus (Panzer, 1801) and T. mortadelo Alonso-Zarazaga & Sanchez-Ruiz, 2002. We
determine that T. horridus has been established in Canada, USA, New Zealand and
Australia and that T. briesei is established in Australia. The former species was
collected from Carduus, Cirsium and Onopordum spp. in the field, whereas the
latter appears to be specific to Onopordum.
PMID- 26548722
TI - Abdominal surgical emergencies in patients with advanced cancer.
AB - Abdominal emergency in an advanced oncologic setting is defined as an acute life
threatening abdominal pathology in a patient with incurable cancer. These include
bowel obstruction, infections and, more rarely, hemorrhage. To benefit the
patient, surgery should both increase the survival and improve the quality of
life. These two goals are of equal importance and must be achieved together. This
is difficult because these patients are frail, often malnourished and have a poor
performance status. They also have a high risk of post-operative morbidity and
mortality, a major risk of symptom recurrence and a limited life expectancy. For
patients near the end-of-life, a therapeutic decision for surgical intervention
must respect ethical and legal standards. This review reports the surgical
outcomes and median survival of these patients, specifies rules that must be
known and respected, and presents non-operative interventional alternatives.
PMID- 26548723
TI - Genome sequence of a laccase producing fungus Trametes sp. AH28-2.
AB - Trametes sp. AH28-2 (CCTCC AF 2015027) is a white rot fungus isolated from
rotting wood in China. Primary study indicated that this strain can be induced by
kraft lignin to secrete high levels of extracellular laccase, and differentially
express laccase genes upon addition of different phenolic compounds. Here we
report the complete genome sequence of Trametes sp. AH28-2 and its genetic basis
for lignin degradation and phenolic xenobiotics metabolism.
PMID- 26548724
TI - Suppression of nucleosome-binding protein 1 by miR-326 impedes cell proliferation
and invasion in non-small cell lung cancer cells.
AB - Emerging studies have proposed microRNAs (miRNAs) as novel therapeutic tools for
cancer therapy. Nucleosome-binding protein 1 (NSBP1) has been suggested as an
oncogene in various types of human cancers. The present study aimed to identify a
novel miRNA that could directly target and negatively modulate NSBP1 expression.
We found that NSBP1 was highly expressed in non-small cell lung cancer (NSCLC)
cells, and knockdown of NSBP1 by NSBP1 small interfering RNA (siRNA)
significantly suppressed NSCLC cell proliferation and invasion. Bioinformatics
analysis revealed that miR-326 had a putative binding site within the 3'
untranslated region of NSBP1. Their substantial relationship was further verified
by dual-luciferase reporter assay, real-time quantitative polymerase chain
reaction and western blot analysis. Overexpression of miR-326 significantly
inhibited NSCLC cell proliferation and invasion, which mimicked the effect of
NSBP1 siRNA. Furthermore, suppression of NSBP1 by NSBP1 siRNA or miR-326
overexpression remarkably repressed the expression of cyclin B1 and matrix
metalloproteinase 9 (MMP9), which are associated with cancer cell proliferation
and invasion. Moreover, overexpression of NSBP1 obviously abolished the
inhibitory effect of miR-326 on cyclin B1 and MMP9 expression. In addition, an
inverse correlation between miR-326 and NSBP1 expression levels was found in
NSCLC clinical specimens. Our study demonstrated a direct target relationship
between NSBP1 and miR-326 through which miR-326 inhibited cell proliferation and
invasion of NSCLC cells. Thus, miR-326-NSBP1 is a promising candidate target for
developing novel anticancer therapeutics for NSCLC.
PMID- 26548725
TI - Active invadopodia of mesenchymally migrating cancer cells contain both beta and
gamma cytoplasmic actin isoforms.
AB - Invadopodia are actin-rich protrusions formed by mesenchymally migrating cancer
cells. They are mainly composed of actin, actin-associated proteins, integrins
and proteins of signaling machineries. These protrusions display focalized
proteolytic activity towards the extracellular matrix. It is well known that
polymerized (F-)actin is present in these structures, but the nature of the actin
isoform has not been studied before. We here show that both cytoplasmic actin
isoforms, beta- and gamma-actin, are present in the invadopodia of MDA-MB-231
breast cancer cells cultured on a 2D-surface, where they colocalize with the
invadopodial marker cortactin. Invadopodial structures formed by the cells in a
3D-collagen matrix also contain beta- and gamma-actin. We demonstrate this using
isoform-specific antibodies and expression of fluorescently-tagged actin
isoforms. Additionally, using simultaneous expression of differentially tagged
beta- and gamma-actin in cells, we show that the actin isoforms are present
together in a single invadopodium. Cells with an increased level of beta- or
gamma-actin, display a similar increase in the number and size of invadopodia in
comparison to control cells. Moreover, increasing the level of either actin
isoforms also increases invasion velocity.
PMID- 26548726
TI - Topical lipophilic epigallocatechin-3-gallate on herpes labialis: a phase II
clinical trial of AverTeaX formula.
AB - OBJECTIVE: Previous in vitro and in vivo studies indicated that catechins from
the tea plant (Camellia sinensis) have a therapeutic effect on herpes simplex
virus infections. The aim of this study was to clinically evaluate a topical
proprietary formulation containing lipophilic catechins (AverTeaX, Camellix, LLC,
Evans, GA, USA) on recurrent herpes labialis. STUDY DESIGN: A double-blind,
placebo-controlled, randomized trial with 40 participants, initially in two
groups. RESULTS: Compared with the vehicle (100% glycerin USP, CVS Pharmacies,
Inc., Woonsocket, RI, USA) group, AverTeaX applied topically six to eight times
daily resulted in a significant reduction in clinical episode duration (median
4.5 days vs. 9 days; P = .003) and shortened blistering and ulceration stages
within an episode from a median of 3 days to 1 day (P = .0003). Median quality-of
life scores, based on a multiquestion survey, showed significant differences
between the groups with respect to duration of itching, from a median of 4 days
to 1 day (P = .0021), and duration until symptom free, from a median of 8 days to
4 days (P = .0016). Significant differences were not found for median scores for
itching, pain, burning, swelling, bleeding, and stress. Adverse effects were not
reported. CONCLUSION: AverTeaX formulation containing lipophilic catechins
effectively inhibited herpes simplex labialis infection with clinical
significance.
PMID- 26548727
TI - Differences in sequential posttreatment salivary IL-6 levels between patients
with and patients without locoregional recurrences of oral squamous cell
carcinoma: Part III of a cohort study.
AB - OBJECTIVE: Sequential postoperative salivary interleukin-6 (IL-6) concentrations
were examined in patients with oral squamous cell carcinoma (OSCC) who had early
or late locoregional recurrences or those who did not. STUDY DESIGN: Twenty-seven
consecutive patients with OSCC were originally included in the study. All
patients underwent radical surgery. Four saliva samples were collected before
(periods I and II) and after (periods III and IV) surgery, and IL-6
concentrations were measured. RESULTS: Although postoperative (period III: at the
time of discharge) salivary IL-6 level was significantly higher in patients with
early locoregional recurrence (P = .02) than in those without, no such
relationships were observed for preoperative IL-6 concentrations (periods I and
II). Postoperative (period IV: 24 months after surgery) IL-6 level was
significantly higher in patients with late locoregional recurrence (P = .03) than
in those without, but no such relationships were observed for IL-6 concentrations
in periods I, II, and III. CONCLUSIONS: Sequential postoperative salivary IL-6
concentration may be a useful marker for diagnosis of early and late locoregional
recurrence in OSCC.
PMID- 26548728
TI - Three-dimensional evaluation of human jaw bone microarchitecture: correlation
between the microarchitectural parameters of cone beam computed tomography and
micro-computer tomography.
AB - OBJECTIVE: To evaluate the potential feasibility of cone beam computed tomography
(CBCT) in the assessment of trabecular bone microarchitecture. STUDY DESIGN:
Sixty-eight specimens from four pairs of human jaw were scanned using both micro
computed tomography (micro-CT) of 19.37-MUm voxel size and CBCT of 100-MUm voxel
size. The correlation of 3-dimensional parameters between CBCT and micro-CT was
evaluated. RESULTS: All parameters, except bone-specific surface and trabecular
thickness, showed linear correlations between the 2 imaging modalities (P < .05).
Among the parameters, bone volume, percent bone volume, trabecular separation,
and degree of anisotropy (DA) of CBCT images showed strong correlations with
those of micro-CT images. DA showed the strongest correlation (r = 0.693).
CONCLUSIONS: Most microarchitectural parameters from CBCT were correlated with
those from micro-CT. Some microarchitectural parameters, especially DA, could be
used as strong predictors of bone quality in the human jaw.
PMID- 26548729
TI - Cone beam computed tomography (CBCT) sialography--an adjunct to salivary gland
ultrasonography in the evaluation of recurrent salivary gland swelling.
AB - OBJECTIVE: Cone beam computed tomography (CBCT) sialography could help improve
the visualization of the ductal system of salivary glands. The aim of this
retrospective investigation was to monitor the use of CBCT sialography for the
diagnosis of pathologies within the intraglandular ductal system when
ultrasonography was inconclusive. STUDY DESIGN: Fourteen consecutive patients
suffering from recurrent swelling of a major salivary gland were evaluated. In 12
patients (8 female; 4 male; average age 46 years), a radiopaque contrast agent
could be injected into the ductal system, followed by a routine CBCT. Four
blinded examiners evaluated the acquired data sets retrospectively. RESULTS: CBCT
revealed seven stenosis, two salivary stones, one complete duct atresia, one
intraglandular duct ectasia, and one regular duct system. Three of the detected
pathologies were strictly intraglandular. CONCLUSIONS: CBCT sialography shows
promise as a supplementary noninvasive diagnostic tool for the visualization of
the intraglandular ductal system of the major human salivary glands. Controlled
studies to further validate this method should be undertaken.
PMID- 26548730
TI - Drs. Greene and Obrez's article inaccurate.
PMID- 26548731
TI - Response to letters to the editor.
PMID- 26548732
TI - Hydroxyurea-induced oral ulceration.
AB - Hydroxyurea is an antimetabolite that is widely used in the treatment of many
benign and malignant conditions. This drug is usually well tolerated but has a
number of side effects that vary in incidence. In cases of clinically significant
adverse events, hydroxyurea is usually discontinued either temporarily or
permanently, depending on treatment need versus harm caused by side effects.
Here, we report a case of oral ulceration associated with hydroxyurea treatment
in a patient who had chronic myelogenous leukemia. The patient rapidly developed
an oral ulcer 12 days after administration of the drug. Hydroxyurea was
discontinued, and the oral lesion appreciably decreased in size and severity.
Physicians and dentists should be aware of the association between hydroxyurea
and oral lesions.
PMID- 26548733
TI - Treatment strategies for stage IB cervical cancer: A cost-effectiveness analysis
from Korean, Canadian and U.S. perspectives.
AB - OBJECTIVES: To assess the cost-effectiveness of two commonly used strategies and
an alternative triage strategy for patients with Stage IB cervical cancer in the
U.S., Canada, and Korea. METHODS: A Markov state-transition model was constructed
to compare three strategies: (1) radical hysterectomy followed by tailored
adjuvant therapy (primary surgery), (2) primary chemoradiation, and (3) an MRI
based triage strategy, in which patients without risk factors in preoperative MRI
undergo primary surgery and those with risk factors undergo primary
chemoradiation. All relevant literature was identified to extract the probability
data. Cost data were calculated from the perspective of U.S., Canadian, and
Korean payers. Strategies were compared using an incremental cost-effectiveness
ratio (ICER). Cost-effectiveness ratios were analyzed separately using data from
each country. RESULTS: Base case analysis showed that the triage strategy was the
most cost-effective of the three strategies in all countries at usual willingness
to-pay threshold (Korea: $30,000 per quality-adjusted life year (QALY), Canada
and US: $100,000 per QALY). Monte Carlo simulation acceptability curves from
Korea indicated that at a willingness-to-pay threshold of $30,000/QALY, triage
strategy was the treatment of choice in 71% of simulations. Monte Carlo
simulation acceptability curves from US and Canada indicated that at a
willingness-to-pay threshold of $100,000/QALY, triage strategy was the treatment
of choice in more than half of simulations. CONCLUSIONS: An MRI-based triage
strategy was shown to be more cost-effective than primary surgery or primary
chemoradiation in the US, Canada, and Korea.
PMID- 26548734
TI - The low-FODMAP diet for irritable bowel syndrome: Lights and shadows.
AB - Irritable bowel syndrome (IBS) affects 10-15% of the western population. Drug
therapy for this entity has shown limited efficacy. The low Fermentable Oligo-,
Di-, Monosaccharides And Polyols (FODMAP) diet has recently emerged as an
effective intervention for reducing gastrointestinal symptoms in IBS. Currently,
several mechanistic studies have proven the rational basis of carbohydrate
restriction. In addition, high-quality evidence (prospective studies and
randomized controlled trials) from a variety of countries supports the high
effectiveness of a low-FODMAP diet for IBS symptoms (70%), especially abdominal
bloating, pain, and diarrhea. Importantly, this diet seems to be superior to a
gluten-free diet for patients with non-celiac gluten sensitivity. The most
controversial features of the low FODMAP diet are its short- and long-term
limitations (a high level of restriction, the need for monitoring by an expert
dietitian, potential nutritional deficiencies, significant gut microbiota
reduction, lack of predictors of response), as well as the potential lack of
advantage over alternative dietary, pharmacological and psychological
interventions for IBS. Although liberalization of carbohydrate intake is
recommended in the long-term, the reintroduction process remains to be clarified
as, theoretically, global carbohydrate restriction is deemed to be necessary to
avoid additive effects.
PMID- 26548735
TI - [Usefulness of systematic chromoendoscopy with a double dye staining technique
for the detection of dysplasia in patients with premalignant gastric lesions].
AB - INTRODUCTION: Premalignant gastric lesions have an increased risk to develop
gastric cancer. OBJECTIVE: To evaluate the usefulness of systematic endoscopy
that includes chromoendoscopy with a double dye staining technique for the
detection of dysplasia in patients with premalignant gastric lesions. PATIENTS
AND METHODS: This longitudinal, prospective study was performed in patients with
gastric atrophy, intestinal metaplasia or dysplasia who were referred for
endoscopy less than 6 months after the initial diagnosis. The second endoscopy
was performed in three phases: phase 1, exhaustive and systematic review of the
mucosa with photographic documentation and biopsies of suspicious areas; phase 2,
chromoendoscopy with a double dye staining technique using acetic acid 1.2% and
indigo carmine 0.5%; phase 3, topographic mapping and random biopsies. RESULTS: A
total of 50 patients were included. Nine (18%) had atrophic gastritis, 38 (76%)
had intestinal metaplasia, and 3 (6%) had low-grade dysplasia. Systematic
endoscopy with chromoendoscopy using a double dye staining technique detected
more patients with dysplasia (9 versus 3, p<.05), and a larger number of biopsies
with the diagnosis of dysplasia were obtained. This occurred for visible (6 vs.
0, p<.05) and non-visible lesions (6 vs. 3, p=NS). In one patient, initial low
grade dysplasia was not detected again in the systematic endoscopy, giving a
global endoscopic performance for the detection of lesions of 92%. CONCLUSIONS:
Patients with premalignant gastric lesions have synchronous lesions with greater
histological severity, which are detected when systematic endoscopy is conducted
with indigo carmine dye added to acetic acid.
PMID- 26548736
TI - [Right pleural effusion secondary to a pancreaticopleural fistula in a patient
with asymptomatic chronic pancreatitis].
PMID- 26548737
TI - A Randomized Pragmatic Clinical Trial of Chiropractic Care for Headaches With and
Without a Self-Acupressure Pillow.
AB - OBJECTIVE: The purpose of this study was to determine if the addition of a self
acupressure pillow (SAP) to typical chiropractic treatment results in
significantly greater improvement in tension-type and cervicogenic headache
sufferers. METHODS: A pragmatic randomized clinical trial was conducted in a
chiropractic college teaching clinic. Thirty-four subjects, including tension
type and cervicogenic headache sufferers, 21 to 60 years of age, male or female,
completed the study. Group A (n = 15) received typical chiropractic care only
(manual therapy and exercises), and group B (n = 19) received typical
chiropractic care with daily home use of the SAP. The intervention period was 4
weeks. The main outcome measure was headache frequency. Satisfaction and relief
scores were obtained from subjects in the SAP group. Analysis of variance was
used to analyze the intergroup comparisons. RESULTS: Owing to failure of
randomization to produce group equivalence on weekly headache frequency, analysis
of covariance was performed showing a trend (P = .07) favoring the chiropractic
only group; however, this was not statistically significant. Group A obtained a
46% reduction of weekly headache frequency (t = 3.1, P = .002; d = 1.22). The
number of subjects in group A achieving a reduction in headaches greater than 40%
was 71%, while for group B, this was 28%. The mean benefit score (0-3) in group B
of the use of the SAP was 1.2 (.86). The mean satisfaction rating of users of the
SAP was 10.4 (2.7) out of 15 (63%). CONCLUSION: This study suggests that
chiropractic care may reduce frequency of headaches in patients with chronic
tension-type and cervicogenic headache. The use of a self-acupressure pillow (Dr
Zaxx device) may help those with headache and headache pain relief as well as
producing moderately high satisfaction with use.
PMID- 26548738
TI - Simple exposure to alcohol cues causally increases negative implicit attitudes
toward lesbians and gay men.
AB - Previous research has shown that acute alcohol consumption is associated with
negative responses toward outgroup members such as sexual minorities. However,
simple alcohol cue exposure without actually consuming alcohol also influences
social behavior. Hence, it was reasoned that priming participants with words
related to alcohol (relative to neutral words) would promote prejudiced attitudes
toward sexual minorities. In fact, an experiment showed that alcohol cue exposure
causally led to more negative implicit attitudes toward lesbians and gay men. In
contrast, participants' explicit attitudes were relatively unaffected by the
priming manipulation. Moreover, participants' typical alcohol use was not related
to their attitudes toward lesbians and gay men. In sum, it appears that not only
acute alcohol consumption but also the simple exposure of alcohol cues may
promote negative views toward lesbians and gay men.
PMID- 26548739
TI - The publication "Cyclohexane-1,2-dicarboxylic acid diisononyl ester and
metabolite effects on rat epididymal stromal vascular fraction differentiation of
adipose tissue" by Enrico Campioli, Tam B. Duong, Francois Deschamps, Vassilios
Papadopoulos, Environmental Research 140 (2015), 145-156, merits some critical
comments.
AB - In essence, the authors report MINCH, a monoester and minor urinary metabolite
(Koch et al., 2013) of a plasticizer marketed by BASF under the brandname
Hexamoll((r)) DINCH((r)), promotes the differentiation of preadipocytes derived
from rat epididymal stromal vascular fraction (SVF) to adipocytes. The authors
have over-interpreted their in-vitro data and missed important publicly available
in-vivo data.
PMID- 26548740
TI - High doses of salicylate reduces glycinergic inhibition in the dorsal cochlear
nucleus of the rat.
AB - High doses of salicylate induce reversible tinnitus in experimental animals and
humans, and is a common tinnitus model. Salicylate probably acts centrally and
induces hyperactivity in specific auditory brainstem areas like the dorsal
cochlear nucleus (DCN). However, little is known about the effect of high doses
of salicylate in synapses and neurons of the DCN. Here we investigated the
effects of salicylate on the excitability and evoked and spontaneous
neurotransmission in the main neurons (fusiform, cartwheel and tuberculoventral)
and synapses of the DCN using whole cell recordings in slices containing the DCN.
For this, we incubate the slices for at least 1 h in solution with 1.4 mM
salicylate, and recorded action potentials and evoked and spontaneous synaptic
currents in fusiform, cartwheel (CW) and putative tuberculoventral (TBV) neurons.
We found that incubation with salicylate did not affect the firing of fusiform
and TBV neurons, but decreased the spontaneous firing of cartwheel neurons,
without affecting AP threshold or complex spikes. Evoked and spontaneous
glutamatergic neurotransmission on the fusiform and CW neurons cells was
unaffected by salicylate and evoked glycinergic neurotransmission on fusiform
neurons was also unchanged by salicylate. On the other hand spontaneous
glycinergic transmission on fusiform neurons was reduced in the presence of
salicylate. We conclude that high doses of salicylate produces a decreased
inhibitor drive on DCN fusiform neurons by reducing the spontaneous firing of
cartwheel neurons, but this effect is not able to increase the excitability of
fusiform neurons. So, the mechanisms of salicylate-induced tinnitus are probably
more complex than simple changes in the neuronal firing and basal synaptic
transmission in the DCN.
PMID- 26548741
TI - Brain Death in Pediatric Patients in Japan: Diagnosis and Unresolved Issues.
AB - Brain death (BD) is a physiological state defined as complete and irreversible
loss of brain function. Organ transplantation from a patient with BD is
controversial in Japan because there are two classifications of BD: legal BD in
which the organs can be donated and general BD in which the organs cannot be
donated. The significance of BD in the terminal phase remains in the realm of
scientific debate. As indicated by the increasing number of organ transplants
from brain-dead donors, certain clinical diagnosis for determining BD in adults
is becoming established. However, regardless of whether or not organ
transplantation is involved, there are many unresolved issues regarding BD in
children. Here, we will discuss the historical background of BD determination in
children, pediatric emergencies and BD, and unresolved issues related to
pediatric BD.
PMID- 26548742
TI - Nomadic genetic elements contribute to oncogenic translocations: Implications in
carcinogenesis.
AB - Chromosomal translocations as molecular signatures have been reported in various
malignancies but, the mechanism behind which is largely unknown. Swapping of
chromosomal fragments occurs by induction of double strand breaks (DSBs), most of
which were initially assumed de novo. However, decoding of human genome proved
that transposable elements (TE) might have profound influence on genome
integrity. TEs are highly conserved mobile genetic elements that generate DSBs,
subsequently resulting in large chromosomal rearrangements. Previously TE
insertions were thought to be harmless, but recently gains attention due to the
origin of spectrum of post-insertional genomic alterations and subsequent
transcriptional alterations leading to development of deleterious effects mainly
carcinogenesis. Though the existing knowledge on the cancer-associated TE
dynamics is very primitive, exploration of underlying mechanism promises better
therapeutic strategies for cancer. Thus, this review focuses on the prevalence of
TE in the genome, associated genomic instability upon transposition activation
and impact on tumorigenesis.
PMID- 26548744
TI - Design, Synthesis and Structure-Activity Relationship Studies of Novel 4 (1
adamantyl) Phenyl Analogues as HIF-1alpha Inhibitors.
AB - Hypoxia inducible factor-1 (HIF-1) is a key mediator during cancer cells to adapt
tumor hypoxic condition. In this study, a series of adamantane-based compounds
were synthesized and evaluated as potential inhibitors of HIF-1alpha. Examination
of their structure-activity relationship (SAR) identified the adamantane
containing indole derivative 20a as a potent inhibitor of HIF-1alpha in Hep3B
cell lines under tumor hypoxia (IC50 = 0.02 uM). The study herein may provide
valuable information for the development of novel therapeutics against cancer and
tumor angiogenesis.
PMID- 26548743
TI - The cytochrome P450 CYP6P4 is responsible for the high pyrethroid resistance in
knockdown resistance-free Anopheles arabiensis.
AB - Pyrethroid insecticides are the front line vector control tools used in bed nets
to reduce malaria transmission and its burden. However, resistance in major
vectors such as Anopheles arabiensis is posing a serious challenge to the success
of malaria control. Herein, we elucidated the molecular and biochemical basis of
pyrethroid resistance in a knockdown resistance-free Anopheles arabiensis
population from Chad, Central Africa. Using heterologous expression of P450s in
Escherichia coli coupled with metabolism assays we established that the over
expressed P450 CYP6P4, located in the major pyrethroid resistance (rp1)
quantitative trait locus (QTL), is responsible for resistance to Type I and Type
II pyrethroid insecticides, with the exception of deltamethrin, in correlation
with field resistance profile. However, CYP6P4 exhibited no metabolic activity
towards non-pyrethroid insecticides, including DDT, bendiocarb, propoxur and
malathion. Combining fluorescent probes inhibition assays with molecular docking
simulation, we established that CYP6P4 can bind deltamethrin but cannot
metabolise it. This is possibly due to steric hindrance because of the large vdW
radius of bromine atoms of the dihalovinyl group of deltamethrin which docks into
the heme catalytic centre. The establishment of CYP6P4 as a partial pyrethroid
resistance gene explained the observed field resistance to permethrin, and its
inability to metabolise deltamethrin probably explained the high mortality from
deltamethrin exposure in the field populations of this Sudano-Sahelian An.
arabiensis. These findings describe the heterogeneity in resistance towards
insecticides, even from the same class, highlighting the need to thoroughly
understand the molecular basis of resistance before implementing resistance
management/control tools.
PMID- 26548745
TI - Bone marrow involvement is rare in superficial gastric mucosa-associated lymphoid
tissue lymphoma.
AB - BACKGROUND: The initial staging work-up of gastric mucosa-associated lymphoid
tissue (MALT) lymphoma includes bone marrow examination. Since gastric MALT
lymphoma is mostly detected in early stages with the national cancer screening
programme in Korea, bone marrow is rarely involved. AIMS: To investigate the
incidence of bone marrow involvement in gastric MALT lymphomas and the role of
bone marrow examination for an initial staging work-up. METHODS: Patients
diagnosed with gastric MALT lymphoma at Seoul National University Hospital from
January 2005 to July 2014 were enrolled. Clinical databases of the patients were
retrospectively reviewed. RESULTS: Out of 105 patients, 91 (86.7%) were
classified as stage IE1. Among these patients, 78 patients with Helicobacter
pylori infection underwent eradication therapy, and complete remission was
achieved in 74 cases (94.9%). Twelve out of 13 patients (92.3%) without H. pylori
infection underwent radiotherapy or surgery and all achieved complete remission.
Bone marrow involvement was proven in only one patient (1.0%). CONCLUSION: Bone
marrow involvement was rare in patients with only superficial gastric MALT
lymphoma without extragastric invasion. Further studies are warranted to identify
the risk factors of bone marrow involvement in gastric MALT lymphoma.
PMID- 26548746
TI - Higher Rates of Retinopathy of Prematurity after Increasing Oxygen Saturation
Targets for Very Preterm Infants: Experience in a Single Center.
AB - Randomized trials of oxygen saturation target ranges for extremely preterm
infants showed increased survival but increased retinopathy of prematurity with
higher compared with lower target ranges. In our center, changing from a target
range of 88%-92% to 91%-95% has been associated with increased rates and severity
of retinopathy of prematurity.
PMID- 26548747
TI - Phenethyl isothiocyanate potentiates anti-tumour effect of doxorubicin through
Akt-dependent pathway.
AB - The present study aims to investigate the in vivo and in vitro anti-tumour
properties of phenethyl isothiocyanate (PEITC) alone and in combination with
doxorubicin (Dox). The anti-tumour activity was evaluated in vitro by MTT assay
using cultured human breast cancer cell line (MCF-7) and human hepatoma cell line
(HepG-2) cell lines. In vivo, Ehrlich solid tumour model was used. Tumour volume,
weight and antioxidant parameters were determined. Immunohistochemistry analysis
for active (cleaved) caspase-3 was also performed. We tested the effect of PEITC
treatment on pAkt/Akt ratio, NF-kappaB p65 DNA binding activity and caspase-9
enzyme activity in both MCF-7 and HepG-2 cell lines. Effect of PEITC treatment on
cell migration was assessed by wound healing assay. PEITC and/or Dox treatment
significantly inhibited solid tumour volume and tumour weight when compared with
control mice. PEITC treatment significantly reduced oxidative stress caused by
Dox treatment as indicated by significant increase in total antioxidant capacity
and decrease in malondialdehyde level. Microscopic examination of tumour tissues
showed a significant increase in active (cleaved) caspase-3 expression in PEITC
and/or Dox treated groups. PEITC showed a dose-dependent inhibition of MCF-7 and
HepG-2 cellular viability. PEITC inhibited Akt and NF-kappaB activation and
increased caspase-9 activity in a dose-dependent manner. PEITC treatment
effectively inhibited both MCF-7 and HepG-2 cell migration. We can conclude that
PEITC acts via multiple molecular targets to elicit anti-carcinogenic activity.
PEITC/Dox combination therapy might be a potential novel strategy, which may
benefit patients with breast and liver cancers.
PMID- 26548748
TI - Indeterminate Single Thyroid Nodule: Synergistic Impact of Mutational Markers and
Sonographic Features in Triaging Patients to Appropriate Surgery.
AB - BACKGROUND: Patients labeled as having indeterminate thyroid nodular disease
following fine-needle aspiration cytology are at risk of non-optimal initial
surgery: an overly radical total thyroidectomy, or an unnecessary two-stage
operation. The objective of this study was to assess the impact of combining
mutational markers and ultrasonographic (US) features preoperatively on
predicting the risk of malignancy in patients with indeterminate nodules, thereby
offering them a tailored initial surgical intervention. METHODS: The records of
258 patients who underwent conventional total thyroidectomy for single nodules
reported as suspicious for a follicular neoplasm (Bethesda category IV) in a four
year period were reviewed. Main issues addressed included: certain US findings
(individually and in combination), mutational markers (BRAF and NRAS), and
combinations of both. Correlation of these with malignancy was assessed, as was
their ability to predict malignancy. The usefulness of combining the absence of
suspicious sonographic features and the absence of mutational markers was also
evaluated. RESULTS: Among the 258 patients with an indeterminate diagnosis, only
90 lesions were found to be malignant. The sonographic features that correlated
significantly with malignancy were irregular margins, microcalcifications, and a
"taller than wide" shape. The presence of irregular margins was the feature with
the highest positive predictive value. Combinations of two or more features were
always associated with predictivity in excess of 90%, and at times at 100%. NRAS
mutation was the most common gene alteration. Both BRAF and NRAS mutations were
mutually exclusive and correlated significantly with malignancy. Their
predictivity of malignancy was high, particularly when combined with suspicious
sonographic features (100%). The major limitation of both suspicious sonographic
features and/or mutational markers was their low occurrence in malignancy. The
absence of both mutational markers and suspicious sonographic features proved
extremely useful in tailoring surgical strategy, as it could have ultimately
spared 143/258 patients (55%) an overly radical thyroidectomy. CONCLUSION: The
preoperative utility of mutational markers and sonographic features in
combination has a synergistic impact. It can predict the risk of malignancy with
high accuracy, properly triaging patients to appropriate surgery.
PMID- 26548749
TI - Evaluation of Toll-Like Receptors 2/3/4/9 Gene Polymorphisms in Cervical Cancer
Evolution.
AB - Accumulative epidemiological evidence suggests that polymorphisms of Toll-like
receptors signaling pathway elucidated the cellular and molecular mechanisms of
human diseases whose gaining a primordial importance. The aim of our study is to
identify the role of TLR 2 (-196 to -174 del), TLR 3 (1377 C>T), TLR 4
(Asp299Gly) and TLR 9 (G2848A) gene polymorphisms with the evolution of cervical
cancer in Tunisian women. Blood samples were collected from histopathologically
confirmed patients with cervical cancer and unrelated healthy female controls of
similar ethnicity. Genotyping of the analyzed polymorphisms were done using
Polymerase Chain Reaction and Restriction Fragment Length Polymorphism. For the
TLR 2, Ins/Ins genotype is a protector factor [p = 0.006; OR: 0.35(0.16-0.73)]
and the dominant genotype of TLR 3 increased the risk of CC in stage (III+IV);
C/C versus C/T [p = 0.033; OR: 2.03(1.00-4.13)] and C/C versus C/T+T/T [p =
0.036; OR: 1.93(1.00-3.74)]. For TLR 4, the dominant genotype Asp/Asp is
implicated in the occurrence of CC in stage (I+II) [p = 0.000; OR: 4.55(1.58
13.06)], [p = 0.001; OR: 3.49(1.44-8.45)] and in stage (III+IV) [p = 0.038; OR:
3.77(0.87-16.29)], [p = 0.007; OR: 5.21(1.65-16.46)] and the major allele Asp is
a risk factor for the development of tumor in stage (I+II). The TLR2 Ins/Del
genotype is associated with tumor evolution to stage (III+IV) [p = 0.003; OR:
3.00 (1.22-7.35)] and the genotypes Gly/Gly and Asp/Gly+Gly/Gly and Gly allele of
TLR 4 are implicated in tumor evolution to the advanced stages. Further, TLR 2,
TLR 3, TLR 4 and TLR 9 gene polymorphisms are implicated in the modulation of CC
risk due to tobacco usage and statue of menopause among cases. Our study suggests
a relationship between the incidence of the TLR2, TLR 3, TLR 4 and TLR9 mutations
and the clinical progression of CC according to the FIGO classification. However,
future studies with different demographic and clinical characteristics in
ethnically diverse populations may provide a more comprehensive involvement of
innate immunity in cervical cancer etiology in women worldwide.
PMID- 26548750
TI - Autoimmune regulator-overexpressing dendritic cells induce T helper 1 and T
helper 17 cells by upregulating cytokine expression.
AB - The autoimmune regulator (Aire) protein is a transcriptional activator that is
essential in central immune tolerance, as it regulates the ectopic expression of
many tissue-restricted antigens in medullary thymic epithelial cells. Aire
expression has also been described in hematopoietic cells, such as
monocytes/macrophages and dendritic cells (DCs), in the peripheral immune system.
However, the role of Aire expression in peripheral immune system cells, including
DCs, remains to be elucidated. In the present study, the effects of secreted
cytokines from Aire-overexpressing DCs on cluster of differentiation (CD)4+ T
cell subsets were investigated. The dendritic cell line, DC2.4, which
overexpresses Aire, was co-cultured with CD4+ T cells from splenocytes using
Transwell inserts. The results indicate that Aire-overexpressing cells induce T
helper (Th)1 subsets by increasing interleukin (IL)-12 expression, and induce
Th17 subsets by upregulating IL-6 and transforming growth factor (TGF)-beta
production. In addition, it was observed that increased levels of phosphorylated
extracellular signal-regulated kinases and p38 upregulated the expression of
cytokines in Aire-overexpressing cells. These data suggest that Aire may have a
role in inducing Th1 and Th17 differentiation by upregulating cytokine expression
in DCs.
PMID- 26548751
TI - The prevalence of injury in Kendo.
AB - OBJECTIVE: Kendo is a Japanese martial art analogous to fencing, which is
becoming increasingly popular worldwide. The large number of participants creates
a need to assess injury patterns to better train them. The purpose of this study
is to describe current injury rates in kendo and compare these rates to other
martial arts. METHODS: This retrospective study used an online questionnaire sent
to 500 active members of the All United States Kendo Federation and World Kendo
Federation. The questionnaire, based on the NCAA Injury Surveillance System,
contains questions regarding location and type of injuries sustained during
competition and practice, time lost to training, and competitor demographics.
Statistical analyses between competitor demographics and injury rates are
provided. Injury rates are expressed as injury rates/minute of competition or
practice and by athlete exposures. 95% CIs were calculated. RESULTS: Responses
from 307 of 500 kendo players were received (response rate = 61.4%). 41 (18%)
male and 13 (16%) female participants reported injury to only one body region,
while 16 (7%) men and one (1%) woman reported no injuries. 166 (74%) males and 70
(83%) females reported injuries to two or more body regions. The most common
sites of injury involved the foot/ankle (65.1%), wrist/hand (53.5%) and
elbow/forearm (48.8%). Most injuries occurred during practice (87.9% foot/ankle,
89.9% wrist/hand, elbow/forearm 92.2%). The most common injuries were contusions,
abrasions, and sprains/strains. Injury rates were 121/1000 A-E (0.025
injuries/min) in tournaments versus 20.5/1000 A-E (0.011 injuries/min) in
training. 26% of injuries resulted in time off of participation, with an average
recovery time of 15 days (range = 1 day-1 year). CONCLUSIONS: Although more total
injuries occurred in practice than in competition, there was a lower injury rate
in kendo than in taekwondo and western-style fencing. This study demonstrates
that kendo is a relatively safe sport compared to other martial arts sports.
PMID- 26548752
TI - Biomarkers of drug-induced acute kidney injury in the adult.
AB - INTRODUCTION: This article addresses general biomarkers of drug-induced acute
kidney injury (AKI) and their application in development and progression of AKI
in the adult. It also highlights some clinical benefits, but also uncertainties,
of biomarker use. AREAS COVERED: Drug-induced AKI is traditionally diagnosed by
monitoring serum creatinine (SCr), blood urea nitrogen and albuminuria. The
sensitivity of these measures is, however, limited to well-established AKI.
Application of selected biomarkers for early diagnosis of drug-induced AKI may
inform on progression of AKI and alert clinicians to adopt renoprotective
strategies at the earliest times. Novel biomarkers, accepted for early detection
of drug-induced AKI (kidney injury molecule-1, neutrophil gelatinase-associated
lipocalin and N-acetyl-beta-d-glucosaminidase), may be useful additions in panels
of biomarkers. Clinical biomarkers of cell cycle arrest, tissue inhibitor of
metalloproteinase-2 and insulin-like growth factor binding protein 7 show promise
but need further validation in clinical trials. EXPERT OPINION: Traditional
parameters, such as SCr, provide some guidance for functional decline in drug
induced AKI but early, more sensitive, affordable, clinically acceptable,
biomarkers of kidney dysfunction are needed. Basic biological understanding of
AKI will improve with high-throughput methodologies such as proteomics and
metabolomics, and this should lead to identification and usage of novel
biomarkers. Ultimately, a combination of biomarkers indicating kidney dysfunction
and damage is likely to be required.
PMID- 26548753
TI - Reporting health care decision models: a prospective reliability study of a
multidimensional evaluation framework.
AB - The aim of this study was to evaluate the inter-rater reliability of the Phillips
checklist, a proposed framework for the quality assessment of modeling studies.
Six raters evaluated nine modeling studies from three different medical
specialties. Intra-class correlation (ICC) and corresponding variance components
were estimated from these studies. Raters were asked to comment on their
experience with the framework. While overall the mean inter-rater reliability
showed no significant rater-effect (ICC = 0.69, p = 0.064), there was -
presumably as a result of a lower study variability - a significant rater effect
for clopidogrel only (p < 0.001). The framework allowed a more structured
methodological assessment but several items remained unclear. Regarding the
quality assessment of modeling studies with the proposed framework, the rater
variability is similar or even higher than variability because of studies or
residual effects. Several scoring items can and should be improved to ease
interpretation.
PMID- 26548754
TI - Suicide and aging: special issue of Aging & Mental Health.
PMID- 26548755
TI - Pacifier Use, Finger Sucking, and Infant Sleep.
AB - Few studies to date have investigated the relationship between pacifier use or
finger sucking and infant sleep. One hundred and four mothers of infants (ages 0
11 months) completed the Brief Infant Sleep Questionnaire (BISQ). Infants who
engaged in finger sucking had fewer night wakings and longer stretches of
nighttime sleep, although less daytime sleep. There were no significant
differences in sleep patterns between pacifier users and infants who did not
engage in nonnutritive sucking. Furthermore, no significant differences were
found across groups for sleep ecology, including parental involvement at bedtime
and following night wakings. Finally, infants were consistently able to retrieve
their pacifiers independently by 7 months of age, although this did not appear to
be associated with sleep outcomes. Results suggest that when parents are deciding
whether to give their infant a pacifier, sleep may not be a critical factor. In
contrast, parents of finger and thumb suckers should be reassured that this
nonnutritive sucking is beneficial to sleep, at least in the first year of life.
PMID- 26548757
TI - Is there a Place for Bevacizumab in Patients with Extensive-Stage Small Cell Lung
Cancer?
AB - It was estimated that small cell lung cancer (SCLC) accounts for about one Sixth
of all lung cancer cases. Patients with SCLC are usually diagnosed in advanced
stage of disease. Unfortunately at this stage, prognosis is very poor.
Bevacizumab is a monoclonal antibody against VEGF, which inhibits the
angiogenesis in malignant tumors. Although Bevacizumab has been approved for
firstline use in advanced non-SCLC, the first report has been available for its
use in SCLC. In this review, we summarized all available data on the use of Bev
in SCLC patients. Finally, future directions are discussed.
PMID- 26548756
TI - Cultural traditions across a migratory network shape the genetic structure of
southern right whales around Australia and New Zealand.
AB - Fidelity to migratory destinations is an important driver of connectivity in
marine and avian species. Here we assess the role of maternally directed learning
of migratory habitats, or migratory culture, on the population structure of the
endangered Australian and New Zealand southern right whale. Using DNA profiles,
comprising mitochondrial DNA (mtDNA) haplotypes (500 bp), microsatellite
genotypes (17 loci) and sex from 128 individually-identified whales, we find
significant differentiation among winter calving grounds based on both mtDNA
haplotype (FST = 0.048, PhiST = 0.109, p < 0.01) and microsatellite allele
frequencies (FST = 0.008, p < 0.01), consistent with long-term fidelity to
calving areas. However, most genetic comparisons of calving grounds and migratory
corridors were not significant, supporting the idea that whales from different
calving grounds mix in migratory corridors. Furthermore, we find a significant
relationship between delta(13)C stable isotope profiles of 66 Australian southern
right whales, a proxy for feeding ground location, and both mtDNA haplotypes and
kinship inferred from microsatellite-based estimators of relatedness. This
indicates migratory culture may influence genetic structure on feeding grounds.
This fidelity to migratory destinations is likely to influence population
recovery, as long-term estimates of historical abundance derived from estimates
of genetic diversity indicate the South Pacific calving grounds remain at <10% of
pre-whaling abundance.
PMID- 26548758
TI - Development of Linker-Conjugated Nanosize Lipid Vesicles: A Strategy for Cell
Selective Treatment in Breast Cancer.
AB - Among the various drug delivery devices, nanoliposome is an emerging formulation
in the treatment of cancer. Here we have developed tamoxifen citrate (TC) loaded
nanoliposome conjugated with phosphoethanolamine (PE) by thin film hydration
method. Various physicochemical and biopharmaceutical characterization studies
such as drug-excipients interaction, surface morphology, energy dispersive X-ray
analysis, zeta potential, in vitro drug release, cellular uptake, in vitro
cytotoxicity assay and in vivo pharmacokinetic profiles were conducted. TC-loaded
nanoliposome (TNL1) and PE-conjugated TC-loaded nanoliposome (TNL-PE) showed
3.23+/-0.26% and 3.07+/-0.05% drug loading values, respectively. Average
diameters (z-average) of the nanoliposomes were within 100 nm, with negative zeta
potentials and cumulative percentages of drug release were 75.77+/-12.21% and
61.04+/-10.53% at 30 h for TNL1 and TNL-PE respectively. Predominant uptake of
both the types of nanoliposomes was visualized in MCF-7 breast cancer cells. TNL1
and TNL-PE decreased the cell viability from 95.95+/-0.37 to 12.22+/-0.64% and
from 96.51+/-0.24 to 13.49+/-0.08% respectively. In vivo pharmacokinetic study
showed that AUC 0-infinity, AUMC0-infinity, MRT, and t1/2 value of TNL-PE
increased (22%, 100%, 2.66 fold and 60% respectively) as compared to the free
drug. Administration of TNL-PE decreased the renal clearance value (about 38%) as
compared to the free drug. TNL1 and TNL-PE released the drug in a sustained
manner. Further, TNL-PE may be used for active targeting for breast cancer cells
when it is tagged with specific antibodies to PE, a linker molecule.
PMID- 26548759
TI - Targeting ABCB1 and ABCC1 with their Specific Inhibitor CBT-1(r) can Overcome
Drug Resistance in Osteosarcoma.
AB - Clinical treatment response achievable with conventional chemotherapy in high
grade osteosarcoma (OS) is severely limited by the presence of intrinsic or
acquired drug resistance, which in previous studies has been mainly addressed for
overexpression of ABCB1 (MDR1/P-glycoprotein). This study was aimed to estimate
the impact on OS drug resistance of a group of ATP binding cassette (ABC)
transporters, which in other human tumors have been associated with
unresponsiveness to the drugs that represent the backbone of multidrug treatment
regimens for OS (doxorubicin, methotrexate, cisplatin). By using a group of 6
drug-sensitive and 20 drug-resistant human OS cell lines, the most relevant
transporter which proved to be associated with the degree of drug resistance in
OS cells, in addition to ABCB1, was ABCC1. We therefore evaluated the in vitro
activity of the orally administrable ABCB1/ABCC1 inhibitor CBT-1((r))
(Tetrandrine, NSC-77037). We found that in our OS cell lines this agent was able
to revert the ABCB1/ABCC1-mediated resistance against doxorubicin, as well as
against the drugs used in second-line OS treatments that are substrates of these
transporters (taxotere, etoposide, vinorelbine). Our findings indicated that
inhibiting ABCB1 and ABCC1 with CBT-1((r)), used in association with conventional
chemotherapeutic drugs, may become an interesting new therapeutic option for
unresponsive or relapsed OS patients.
PMID- 26548761
TI - Circulating phagocytes: the ancient and conserved interface between immune and
neuroendocrine function.
AB - Immune and neuroendocrine functions display significant overlap in highly
divergent and evolutionarily distant models such as molluscs, crustaceans,
insects and mammals. Fundamental players in this crosstalk are professional
phagocytes: macrophages in vertebrates and immunocytes in invertebrates. Although
they have different developmental origins, macrophages and immunocytes possess
comparable functions and differentiate under the control of evolutionarily
conserved transcription factors. Macrophages and immunocytes share their pools of
receptors, signalling molecules and pathways with neural cells and the neuro
endocrine system. In crustaceans, adult transdifferentiation of circulating
haemocytes into neural cells has been documented recently. In light of
developmental, molecular and functional evidence, we propose that the immune
neuroendocrine role of circulating phagocytes pre-dates the split of protostomian
and deuterostomian superphyla and has been conserved during the evolution of the
main groups of metazoans.
PMID- 26548760
TI - Biological and immunotoxicity evaluation of antimicrobial peptide-loaded coatings
using a layer-by-layer process on titanium.
AB - The prevention and control of peri-implantitis is a challenge in dental implant
surgery. Dental implants with sustained antimicrobial coating are an ideal way of
preventing peri-implantitis. This study reports development of a non-
immunotoxicity multilayered coating on a titanium surface that had sustained
antimicrobial activity and limited early biofilm formation. In this study, the
broad spectrum AMP, Tet213, was linked to collagen IV through sulfo-SMPB and has
been renamed as AMPCol. The multilayer AMPCol coatings were assembled on smooth
titanium surfaces using a LBL technique. Using XPS, AFM, contact angle analysis,
and QCM, layer-by-layer accumulation of coating thickness was measured and
increased surface wetting compared to controls was confirmed. Non-cytotoxicity to
HaCaT and low erythrocyte hemolysis by the AMPCol coatings was observed. In vivo
immunotoxicity assays showed IP administration of AMPCol did not effect serum
immunoglobulin levels. This coating with controlled release of AMP decreased the
growth of both a Gram-positive aerobe (Staphylococcus aureus) and a Gram-negative
anaerobe (Porphyromonas gingivalis) up to one month. Early S. aureus biofilm
formation was inhibited by the coating. The excellent long-term sustained
antimicrobial activity of this multilayer coating is a potential method for
preventing peri-implantitis through coated on the neck of implants before
surgery.
PMID- 26548762
TI - First Occurrence of a Furano-glycyrrhetinoate and Its Cytotoxicity.
AB - (18alpha)-Glycyrrhetinic acid (4) was prepared from (18beta)-glycyrrhetinic acid
(1), and the cytotoxicity of some derivatives was investigated by photometric SRB
assays employing several human tumor cell lines. In summary, (18beta)-1 is
slightly more cytotoxic than its (18alpha) epimer 4, but its cytotoxicity is
negligible. Higher cytotoxicity was observed for the esters 2 and 5 and for the 3
O-acetylated esters 3 and 6. Cytotoxicity was improved dramatically when the
hydroxyl group at position C-3 was replaced by an amino moiety. SeO2 oxidations
gave access to a novel furano-glycyrrhetinoate 15. Interestingly, its seleno
analog 16 is approximately five to six times less cytotoxic for the tumor cell
lines tested, and tumor/non-tumor selectivity is lost upon replacement of the
oxygen by a selenium substituent.
PMID- 26548764
TI - Neuropsychopharmacology: Reflections on 40 Volumes.
PMID- 26548763
TI - Identification of genetic factors that modify motor performance and body weight
using Collaborative Cross mice.
AB - Evidence has emerged that suggests a link between motor deficits, obesity and
many neurological disorders. However, the contributing genetic risk factors are
poorly understood. Here we used the Collaborative Cross (CC), a large panel of
newly inbred mice that captures 90% of the known variation among laboratory mice,
to identify the genetic loci controlling rotarod performance and its relationship
with body weight in a cohort of 365 mice across 16 CC strains. Body weight and
rotarod performance varied widely across CC strains and were significantly
negatively correlated. Genetic linkage analysis identified 14 loci that were
associated with body weight. However, 45 loci affected rotarod performance, seven
of which were also associated with body weight, suggesting a strong link at the
genetic level. Lastly, we show that genes identified in this study overlap
significantly with those related to neurological disorders and obesity found in
human GWA studies. In conclusion, our results provide a genetic framework for
studies of the connection between body weight, the central nervous system and
behavior.
PMID- 26548765
TI - Albert Sjoerdsma.
PMID- 26548766
TI - Proteomics of the red blood cell carbonylome during blood banking of erythrocyte
concentrates.
AB - PURPOSE: Transfusion of red blood cells (RBCs) is a daily medical procedure.
Erythrocyte concentrates (ECs) can be stored up to 56 days at 4 degrees C in
saline additive solution mainly composed of adenine and sugar. Such
nonphysiological conditions induce the occurrence of storage lesions, such as
alterations of metabolism, protein oxidation, and deterioration of rheological
properties. Their accumulation tends to decrease the main EC therapeutic
property, that is, the oxygenation capacity. Protein carbonylation is a marker of
oxidative stress and aging, and its occurrence during RBC storage was earlier
characterized as a time-dependent and cellular compartment dependent
modification. EXPERIMENTAL DESIGN: Three ECs from independent donations were
followed. The carbolynome was here characterized in soluble and membrane extracts
(n-dodecyl beta-D-maltoside-based extraction buffer) of RBCs stored for 6, 27,
and 41 days, through biotin hydrazide derivatization, biotin-avidin affinity
purification, SDS-PAGE separation, and LC-MS/MS analyses. RESULTS: A total of 142
and 20 proteins were identified as carbonylated in soluble and membrane extracts,
respectively. Particularly, a time-dependent evolution of 26.8% of the soluble
carbonylome was observed. CONCLUSIONS AND CLINICAL RELEVANCE: Affected cellular
mechanisms involve antioxidant defenses, metabolism pathways, and proteasomal
degradation. To better store RBCs those functions have to be preserved, which
opens new routes of investigation in transfusion medicine.
PMID- 26548767
TI - HIV psychiatry in the era of combined antiretroviral therapy: top five issues.
PMID- 26548768
TI - Cu-Based Nanocomposites as Multifunctional Catalysts.
AB - Herein, we report the synthesis of Cu/Cu2 O nanocomposites by a one-step
hydrothermal process at 180 degrees C, for which the resulting morphology is
dependent on the hydrothermal reaction time (24, 72, and 120 h). With a longer
reaction time of 120 h, a rod-shape morphology is obtained, whereas at 72 and 24
h assemblies of nanoparticles are obtained. The rod-shaped (120 h) particles of
the Cu/Cu2 O nanocomposites show a much higher efficiency (6.3 times) than the
agglomerates and 2.5 times more than the assemblies of nanoparticles for the
hydrogen-evolution reaction. During the oxygen-evolution reaction, the nanorods
produce a current that is 5.2 and 3.7 times higher than that produced by the
agglomerated and assembled nanoparticles, respectively. The electrocatalysts are
shown to be highly stable for over 50 cycles. As catalysts for organic synthesis,
a 100 % yield is achieved in the Sonogashira cross-coupling reaction with the
nanorods, which is higher than with the other nanocomposite particles. This
result demonstrates the significant enhancement of yield obtained with the
nanorods for cross-coupling reactions.
PMID- 26548770
TI - Analysis of microRNA and gene networks in human chronic myelogenous leukemia.
AB - Molecular biologists have identified a number of genes and microRNAs (miRs)
associated with chronic myelogenous leukemia (CML). However, their underlying
mechanisms in CML remain unclear. In the present study, three regulatory networks
of genes and miRs were constructed to elucidate the underlying mechanisms of CML.
The first network was the experimentally validated network of miRs and genes. The
second was the dysregulatory network of CML, consisting of dysregulated genes and
miRs, contributing to the pathogenesis of CML. The third was the CML-associated
network, consisting of CML-associated genes and miRs. In addition to dysregulated
genes and miRs, the associated network includes non-dysregulated genes and miRs
that contribute to prevention, diagnosis, metastasis and therapy of CML. Key
pathways were extracted and compared to distinguish the similarities and
differences between dysregulatory nodes among the three networks. V-myb avian
myeloblastosis viral oncogene homolog and miR-155 were observed to form a
feedback loop module in the dysregulatory network. Regulation of the
dysregulatory network may present as a strategy for gene therapy of CML. The
current study provides an improved understanding of the molecular mechanisms of,
and a potential treatment strategy for, CML.
PMID- 26548771
TI - A novel approach of high speed scratching on silicon wafers at nanoscale depths
of cut.
AB - In this study, a novel approach of high speed scratching is carried out on
silicon (Si) wafers at nanoscale depths of cut to investigate the fundamental
mechanisms in wafering of solar cells. The scratching is conducted on a Si wafer
of 150 mm diameter with an ultraprecision grinder at a speed of 8.4 to 15 m/s.
Single-point diamonds of a tip radius of 174, 324, and 786 nm, respectively, are
used in the study. The study finds that at the onset of chip formation, an
amorphous layer is formed at the topmost of the residual scratch, followed by the
pristine crystalline lattice beneath. This is different from the previous
findings in low speed scratching and high speed grinding, in which there is an
amorphous layer at the top and a damaged layer underneath. The final width and
depth of the residual scratch at the onset of chip formation measured vary from
288 to 316 nm, and from 49 to 62 nm, respectively. High pressure phases are
absent from the scratch at the onset of either chip or crack formation.
PMID- 26548772
TI - On the Triple Role of Fluoride Ions in Palladium-Catalyzed Stille Reactions.
AB - The mechanism of Stille reactions (cross-coupling of ArX with Ar'SnnBu3 )
performed in the presence of fluoride ions is established. A triple role for
fluoride ions is identified from kinetic data on the rate of the reactions of
trans-[ArPdBr(PPh3 )2 ] (Ar=Ph, p-(CN)C6 H4 ) with Ar'SnBu3 (Ar'=2-thiophenyl) in
the presence of fluoride ions. Fluoride ions promote the rate-determining
transmetallation by formation of trans-[ArPdF(PPh3 )2 ], which reacts with
Ar'SnBu3 (Ar'=Ph, 2-thiophenyl) at room temperature, in contrast to trans
[ArPdBr(PPh3 )2 ], which is unreactive. However, the concentration ratio [F(-)
]/[Ar'SnBu3 ] must not be too high, because of the formation of unreactive
anionic stannate [Ar'Sn(F)Bu3 ](-) . This rationalises the two kinetically
antagonistic roles exerted by the fluoride ions that are observed experimentally,
and is found to be in agreement with the kinetic law. In addition, fluoride ions
promote reductive elimination from trans-[ArPdAr'(PPh3 )2 ] generated in the
transmetallation step.
PMID- 26548773
TI - Functional ionic liquids for enhancement of Li-ion transfer: the effect of cation
structure on the charge-discharge performance of the Li4Ti5O12 electrode.
AB - As the development of high energy-density Li-ion batteries moves ahead, ensuring
safety of the batteries has become increasingly important. Among the unique
physicochemical properties of ionic liquids, thermal stability can be one of the
answers to the challenge. The use of ionic liquids, however, causes critical
issues concerning the kinetics of Li-ion transfer at the electrode-electrolyte
interface. In the present study, ionic liquids consisting of 1-((2
methoxyethoxy)methyl)-1-methylpiperidinium (PP1MEM) or 1-hexyl-1
methylpiperidinium (PP16) and bis(trifluoromethanesulfonyl)amide (TFSA) were
applied to an electrolyte for Li-ion batteries, and we investigated the effect of
cation structure on interfacial Li-ion transfer using Li4Ti5O12 as a model
electrode by means of Raman spectroscopy and electrochemical impedance
spectroscopy. It was found that the ether functional group in the PP1MEM cation
has the meaningful function; the cation structure reduces the electrostatic
interaction between the Li ion and TFSA anions in an ionic liquid electrolyte.
The solvation number of the TFSA anion per Li ion consequently became smaller
than that in PP16-TFSA, and the lower solvation number in PP1MEM-TFSA allowed the
facile Li-ion diffusion in the electrolyte bulk rather than the interfacial Li
ion transfer and significantly improved the rate performance. The results offer
the prospect of utilization of PP1MEM-TFSA as an electrolyte solvent. The
knowledge obtained from this study contributes to the development of next
generation Li-ion batteries having both high energy density and high safety.
PMID- 26548774
TI - Liposome chaperon in cell-free membrane protein synthesis: one-step preparation
of KcsA-integrated liposomes and electrophysiological analysis by the planar
bilayer method.
AB - Chaperoning functions of liposomes were investigated using cell-free membrane
protein synthesis. KcsA potassium channel-reconstituted liposomes were prepared
directly using cell-free protein synthesis. In the absence of liposomes, all
synthesized KcsA protein aggregated. In the presence of liposomes, however,
synthesized KcsA spontaneously integrated into the liposome membrane. The KscA
reconstituted liposomes were transferred to the planar bilayer across a small
hole in a thin plastic sheet and the channel function of KcsA was examined. The
original electrophysiological activities, such as voltage- and pH-dependence,
were observed. These results suggested that in cell-free membrane protein
synthesis, liposomes act as chaperones, preventing aggregation and assisting in
folding and tetrameric formation, thereby allowing full channel activity.
PMID- 26548775
TI - Bacterial cytoskeleton and implications for new antibiotic targets.
AB - Traditionally eukaryotes exclusive cytoskeleton has been found in bacteria and
other prokaryotes. FtsZ, MreB and CreS are bacterial counterpart of eukaryotic
tubulin, actin filaments and intermediate filaments, respectively. FtsZ can
assemble to a Z-ring at the cell division site, regulate bacterial cell division;
MreB can form helical structure, and involve in maintaining cell shape,
regulating chromosome segregation; CreS, found in Caulobacter crescentus (C.
crescentus), can form curve or helical filaments in intracellular membrane. CreS
is crucial for cell morphology maintenance. There are also some prokaryotic
unique cytoskeleton components playing crucial roles in cell division, chromosome
segregation and cell morphology. The cytoskeleton components of Mycobacterium
tuberculosis (M. tuberculosis), together with their dynamics during exposure to
antibiotics are summarized in this article to provide insights into the unique
organization of this formidable pathogen and druggable targets for new
antibiotics.
PMID- 26548776
TI - Using the inherent chemistry of the endothelin-1 peptide to develop a rapid assay
for pre-transplant donor lung assessment.
AB - Endothelin-1 is a potent vasoconstrictive peptide that plays an important role in
ex vivo lung perfusion. ET-1 expression levels are predictive of lung transplant
outcomes and represent a valuable monitoring tool for surgeons; however,
traditional techniques that measure [ET-1] are not suitable for the transplant
setting. Herein, we demonstrate a new assay that rapidly measures ET-1 peptide
levels in lung perfusate.
PMID- 26548777
TI - Validation of a Finite Element Humeroradial Joint Model of Contact Pressure Using
Fuji Pressure Sensitive Film.
AB - A finite element (FE) elbow model was developed to predict the contact stress and
contact area of the native humeroradial joint. The model was validated using Fuji
pressure sensitive film with cadaveric elbows for which axial loads of 50, 100,
and 200 N were applied through the radial head. Maximum contact stresses ranged
from 1.7 to 4.32 MPa by FE predictions and from 1.34 to 3.84 MPa by pressure
sensitive film measurement while contact areas extended from 39.33 to 77.86 mm2
and 29.73 to 83.34 mm2 by FE prediction and experimental measurement,
respectively. Measurements from cadaveric testing and FE predictions showed the
same patterns in both the maximum contact stress and contact area, as another
demonstration of agreement. While measured contact pressures and contact areas
validated the FE predictions, computed maximum stresses and contact area tended
to overestimate the maximum contact stress and contact area.
PMID- 26548778
TI - Impact of Variety and Agronomic Factors on Crude Protein and Total Lysine in
Chicory; N(epsilon)-Carboxymethyl-lysine-Forming Potential during Drying and
Roasting.
AB - During the heat treatment of coffee and its substitutes some compounds
potentially deleterious to health are synthesized by the Maillard reaction. Among
these, N(epsilon)-carboxymethyl-lysine (CML) was detected at high levels in
coffee substitutes. The objective of this study was to evaluate the impact of
changes in agricultural practice on the lysine content present in chicory roots
and try to limit CML formation during roasting. Of the 24 varieties analyzed,
small variations in lysine content were observed, 213 +/- 8 mg/100 g dry matter
(DM). The formation of lysine tested in five commercial varieties was affected by
the nitrogen treatment with mean levels of 176 +/- 2 mg/100 g DM when no
fertilizer was added and 217 +/- 7 mg/100 g DM with a nitrogen supply of 120
kg/ha. The lysine content of fresh roots was significantly correlated to the
concentration of CML formed in roasted roots (r = 0.51; p < 0.0001; n = 76).
PMID- 26548779
TI - Acid/base controllable complexation of a triptycene-derived macrotricyclic host
and protonated 4,4'-bipyridinium/pyridinium salts.
AB - A new acid/base controllable host-guest system based on a triptycene-derived
macrotricyclic host and protonated 4,4'-bipyridinium/pyridinium salts was
developed. Moreover, the competition complexation process between the host and
two different kinds of 4,4'-bipyridinium salts could also be chemically
controlled by acid and base.
PMID- 26548782
TI - Investigating Community Concerns Regarding HIV Prevention Organizations'
Expertise in Serving HIV-Vulnerable Populations.
AB - BACKGROUND: People living with human immunodeficiency virus (HIV)/AIDS (PLWH/A)
and others affected have expressed that cultural differences between patients and
providers can create barriers to care and prevention. These barriers are
exacerbated in the case of vulnerable populations who are often marginalized by
society. During the formative phase of a community-based participatory research
(CBPR) process, the researchers' community advisory committee (CAC) and HIV
stakeholders shared anecdotes of incongruencies between needs of HIV-vulnerable
populations and expertise of local providers. In response, researchers worked
with the CAC to develop survey questions intended for providers of HIV prevention
services in a region afflicted with some of the highest HIV prevalence rates in
the country. OBJECTIVE: The objective of this study was to identify and validate
HIV stakeholders' perceptions about challenges to provision of HIV services
through a sequential mixed methods study design. METHODS: Thirty HIV prevention
organizations (HPOs) were identified as local leaders in HIV prevention by
community stakeholders and through community event attendance. Each HPO was
invited to participate in an interviewer-assisted survey examining population
specific expertise and service for 15 HIV-vulnerable populations. Frequency
analysis was used to compare HPOs' expertise relative to who they serve (n = 26).
RESULTS: Although 13 of the HIV-vulnerable populations were served by more than
50% of the HPOs, only 2 of these 13 populations were served with high expertise
by more than one half of the HPOs in the sample. CONCLUSION: These data give
credence to the CAC's concern regarding misalliance between whom HPOs serve and
with whom they have high expertise, a factor potentially influencing HIV outcomes
among HIV-vulnerable populations.
PMID- 26548780
TI - TRPM4 non-selective cation channels influence action potentials in rabbit
Purkinje fibres.
AB - KEY POINTS: The transient receptor potential melastatin 4 (TRPM4) inhibitor 9
phenanthrol reduces action potential duration in rabbit Purkinje fibres but not
in ventricle. TRPM4-like single channel activity is observed in isolated rabbit
Purkinje cells but not in ventricular cells. The TRPM4-like current develops
during the notch and early repolarization phases of the action potential in
Purkinje cells. ABSTRACT: Transient receptor potential melastatin 4 (TRPM4)
Ca(2+)-activated non-selective cation channel activity has been recorded in
cardiomyocytes and sinus node cells from mammals. In addition, TRPM4 gene
mutations are associated with human diseases of cardiac conduction, suggesting
that TRPM4 plays a role in this aspect of cardiac function. Here we evaluate the
TRPM4 contribution to cardiac electrophysiology of Purkinje fibres. Ventricular
strips with Purkinje fibres were isolated from rabbit hearts. Intracellular
microelectrodes recorded Purkinje fibre activity and the TRPM4 inhibitor 9
phenanthrol was applied to unmask potential TRPM4 contributions to the action
potential. 9-Phenanthrol reduced action potential duration measured at the point
of 50 and 90% repolarization with an EC50 of 32.8 and 36.1*10(-6) mol l(-1),
respectively, but did not modulate ventricular action potentials. Inside-out
patch-clamp recordings were used to monitor TRPM4 activity in isolated Purkinje
cells. TRPM4-like single channel activity (conductance = 23.8 pS; equal
permeability for Na(+) and K(+); sensitivity to voltage, Ca(2+) and 9
phenanthrol) was observed in 43% of patches from Purkinje cells but not from
ventricular cells (0/16). Action potential clamp experiments performed in the
whole-cell configuration revealed a transient inward 9-phenanthrol-sensitive
current (peak density = -0.65 +/- 0.15 pA pF(-1); n = 5) during the plateau
phases of the Purkinje fibre action potential. These results show that TRPM4
influences action potential characteristics in rabbit Purkinje fibres and thus
could modulate cardiac conduction and be involved in triggering arrhythmias.
PMID- 26548783
TI - The Chilcapamba-McGill Partnership: Exploring Access to Maternal and Newborn Care
in Indigenous Communities of Ecuador.
AB - BACKGROUND: Based on a participatory research (PR) partnership between Family
Medicine at McGill University, Canada and the Andean community of Chilcapamba,
Ecuador, a medical student study focused on maternal and newborn health.
OBJECTIVES: To evaluate the access to maternal and newborn care and the
occurrence of intrafamilial violence in women with children 5 years of age or
less in three indigenous communities of Ecuador. METHODS: A semistructured survey
explored the perinatal and intrapartum care as well as intrafamilial violence.
RESULTS: All women (N = 30) received prenatal care, 29 received postnatal care
from a physician and 77% gave birth at the hospital. Eighty percent of women
experienced intrafamilial violence; 73% reported psychological and 53% physical
violence. CONCLUSIONS: There is good access to maternal and newborn health care,
although the reported level of violence is high. Results were shared with the
community and will be used in a local community health worker (CHW) training
program. Our project highlights the importance of PR to investigate sensitive
health challenges.
PMID- 26548784
TI - Vision Voice: A Multimedia Exploration of Diabetes and Vision Loss in East
Harlem.
AB - BACKGROUND: East Harlem, New York, is a community actively struggling with
diabetes and its complications, including vision-related conditions that can
affect many aspects of daily life. OBJECTIVES: Vision Voice was a qualitative
community-based participatory research (CBPR) study that intended to better
understand the needs and experiences of people living with diabetes, other
comorbid chronic illnesses, and vision loss in East Harlem. METHODS: Using
photovoice methodology, four participants took photographs, convened to review
their photographs, and determined overarching themes for the group's collective
body of work. LESSONS LEARNED: Identified themes included effect of decreased
vision function on personal independence/mobility and self-management of chronic
conditions and the importance of informing community members and health care
providers about these issues. The team next created a documentary film that
further develops the narratives of the photovoice participants. CONCLUSIONS: The
Vision Voice photovoice project was an effective tool to assess community needs,
educate and raise awareness.
PMID- 26548786
TI - A Community-Based Participatory Research Approach for Preventing Childhood
Obesity: The Communities and Schools Together Project.
AB - BACKGROUND: Childhood obesity is a systemic and complex, multilevel public health
problem. Research approaches are needed that effectively engage communities in
reversing environmental determinants of child obesity. OBJECTIVES: This article
discusses the Communities and Schools Together (CAST) Project and lessons learned
about the project's community-based participatory research (CBPR) model. METHODS:
A partnership of schools, community organizations, and researchers used multiple
methods to examine environmental health risks for childhood obesity and conduct
school-community health programs. Action work groups structured partner
involvement for designing and implementing study phases. LESSONS LEARNED: CBPR in
child obesity prevention involves engaging multiple communities with overlapping
yet divergent goals. Schools are naturally situated to participate in child
obesity projects, but engagement of key personnel is essential for functional
partnerships. Complex societal problems require CBPR approaches that can align
diverse communities and necessitate significant coordination by researchers. CBPR
can provide simultaneous health promotion across multiple communities in
childhood obesity prevention initiatives. Support for emergent partner activities
is an essential practice for maintaining community interest and involvement in
multiyear CBPR projects. CONCLUSION: Investigator-initiated CBPR partnerships can
effectively organize and facilitate large, health-promoting partnerships
involving multiple, diverse stakeholder communities. Lessons learned from CAST
illustrate the synergy that can propel projects that are holistically linked to
the agents of a community.
PMID- 26548787
TI - Lessons Learned: A Strategic Alliance to Improve Elementary Physical Education in
an Urban School District.
AB - BACKGROUND: Physical education (PE) can help to achieve important public health
goals, but is often under-prioritized and lacking in schools. OBJECTIVES: To
detail the actions, impact, and successes of a strategic alliance formed by three
collaborating organizations to improve PE in a large California school district.
METHODS: Semistructured interviews with alliance members, principals, and
teachers in 20 elementary schools, 3 years after the alliance formation. LESSONS
LEARNED: Interviewees reported district-level increases in priority and funding
for PE and attributed improvements to the alliance's collection and dissemination
of local data on the status of PE. Common goals, trust, and open communication
within the alliance were seen as critical to the alliance's success. However,
changes in district- or school-level accountability measures for PE were not
reported. CONCLUSIONS: This strategic alliance succeeded in promoting district
level priority and funding for PE. Ongoing alliance work will focus on increasing
accountability measures for PE, which may take longer to implement.
PMID- 26548788
TI - Pregnancy and Parenting Support for Incarcerated Women: Lessons Learned.
AB - BACKGROUND: There are more than 200,000 incarcerated women in U.S. prisons and
jails, and it is estimated that 6% to 10% are pregnant. Pregnant incarcerated
women experience complex risks that can compromise their health and the health of
their offspring. OBJECTIVES: Identify lessons learned from a community-university
pilot study of a prison-based pregnancy and parenting support program. METHODS: A
community-university-corrections partnership was formed to provide education and
support to pregnant incarcerated women through a prison-based pilot program.
Evaluation data assessed women's physical and mental health concerns and
satisfaction with the program. Between October 2011 and December 2012, 48 women
participated. LESSONS LEARNED: We learned that providing services for pregnant
incarcerated women requires an effective partnership with the Department of
Corrections, adaptations to traditional community-based participatory research
(CBPR) approaches, and resources that support both direct service and ongoing
evaluation. CONCLUSIONS: Effective services for pregnant incarcerated women can
be provided through a successful community- university-corrections partnership.
PMID- 26548789
TI - Using Knowledge Exchange to Build and Sustain Community Support to Reduce Cancer
Screening Inequities.
AB - BACKGROUND: "Knowledge exchange" (KE) refers to the interaction between knowledge
users and researchers toward a goal of mutual learning and collaborative problem
solving. METHODS: Using a case study approach, this article describes how
researchers leading a multiphase community engagement project, the Peel Cancer
Screening Study (PCSS), used KE to engage a community advisory group (CAG) of
knowledge users to build community support for interventions to reduce cancer
screening inequities for South Asians in Peel Region, Ontario, Canada. RESULTS:
As a result of KE activities (concept mapping, a CAG launch meeting, regular CAG
meetings, workgroup meetings, a community report), there is currently a resident
targeted, community-level program being implemented and a provider-targeted
intervention that is funded, with both ethnospecific and health service
organizations involved. The process of KE received positive evaluations from
advisory group members. CONCLUSIONS: The experiences of the PCSS illustrate the
benefits of KE for researchers and community members.
PMID- 26548790
TI - CBPR-Informed Recruitment and Retention Adaptations in a Randomized Study of Pap
Testing Among Pacific Islanders in Southern California.
AB - BACKGROUND: Pacific Islanders (PIs) experience high cervical cancer rates in the
United States. Stage of diagnosis is also later for PIs than non-Hispanic Whites.
The Pap test is severely underutilized among PIs: only 71% of Asian American and
Pacific Islander women age 25 years or older received a Pap test within the last
3 years (U.S. average, 82%). Community-based participatory research (CBPR) is
increasingly seen as an essential approach in designing and conducting culturally
relevant and appropriate studies that reduce cancer incidence and other health
disparities among minority and other medically underserved populations. PURPOSE:
The purpose of this article is to describe the lessons learned thus far regarding
the identification, recruitment, and retention of PI community organizations and
members into a CBPR-informed, randomized, community trial promoting Pap testing.
METHODS: This 5-year study used CBPR to develop and test the efficacy of a social
support intervention for Chamorro, Samoan, and Tongan women to increase Pap
testing in southern California. Eligible women were between the ages of 21 and
65, and married or in a long-term relationship with a man for at least 5 years.
Women and their husbands or significant others received a 2-hour, culturally
tailored workshop that include a group activity, information on Pap testing, a
video, and corresponding materials. Comparison participants received a brochure
about Pap testing. Three waves of data are collected from all participants:
pretest (before workshop or brochure), posttest 1 (immediately after workshop or
brochure), and posttest 2 (6 months follow-up). RESULTS: Of the 76 organizations
approached to participate in the study, 67 (88.2%) eventually agreed to
participate. Thus far, 473 women and 419 men completed the study pretest, post
test, education, and 6-month follow-up. Only 242 women and 204 men of the
eligible participants have completed the follow-up survey (63.5% of women and
60.5% of men retained after 6 months). LESSONS LEARNED: The main strategy to
overcome initial recruitment challenges was study staff persistence, because they
averaged five contacts with each church or clan leader before receiving
confirmation that an educational session can be scheduled. Personal connections
provided an introduction to the most appropriate church or clan leader. Other
efforts for retention include creation of an online version of the survey, re
attending church services, and creating special events organized around clan
activities. CONCLUSIONS: Although CBPR improves the cultural competence and
relevance of study activities for ethnically diverse populations, selected past
research shows that it does not ensure that such designs overcome all of the
unique challenges in ethnically diverse communities. PI-specific organizational
recruitment and individual retention is influenced by study issues and cultural
factors in each community.
PMID- 26548791
TI - Developing an Academic-Community Partnership to Promote Soccer-Based Physical
Activity Among Latino Youth.
AB - BACKGROUND: The Latino Health for All (LHFA) Coalition used a community-based
participatory approach to develop an action plan for addressing chronic disease
among Latinos in Kansas City. OBJECTIVES: This study examines the development and
implementation of community-based soccer sessions for youth (ages 6-15) by an
academic partner from the coalition and a community partner from a nonprofit
youth soccer organization. METHODS: The academic and community partners spoke
four times over 3 months to plan for these soccer sessions. These conversations
ranged from sharing goals to planning logistics. The coalition helped to promote
these opportunities through a variety of channels. RESULTS: Eight weekly soccer
sessions were implemented, attracting Latino youth who were overweight or obese.
These soccer sessions were perceived as enjoyable by youth and were appreciated
by their parents. CONCLUSIONS: Successful health promotion efforts require strong
relationships between academic and community partners that involve shared goals
and complementary skills/ expertise.
PMID- 26548792
TI - The Development of the Milwaukee Consortium for Hmong Health: Capacity Building
Through Direct Community Engagement.
AB - BACKGROUND: Hmong women experience increased incidence and mortality rates for
cervical cancer, yet their cancer risk is often masked by their inclusion within
the comparatively low-risk Asian American and Pacific Islander (AAPI) category.
Key to this disparity is late stage at diagnosis, a consequence of low rates of
screening. OBJECTIVES: This article describes the establishment and community
engagement efforts of the Milwaukee Consortium for Hmong Health, established in
2008 to build capacity to investigate and address barriers to screening and
cancer care. METHODS: The Consortium facilitated a series of three community
dialogues to explore with community members effective ways to overcome barriers
to accessing screening and cancer care. RESULTS: The community dialogues produced
a series of six recommendations for action, detailed herein, supported and
prioritized by the community. CONCLUSIONS: We posit that the integral involvement
of the Hmong community from the outset promoted buy-in of ensuing Consortium
education and outreach efforts, and helped to ensure fit with community
perspectives, needs, and priorities.
PMID- 26548793
TI - Data Collection and Management in Community Engaged Research: Lessons Learned
From Two Community-Based Participatory Research Partnerships.
AB - BACKGROUND: Data collection and data management (DCDM) within community-engaged
research (CEnR) requires special considerations that differ from those of
traditional research. To date, little guidance exists to preemptively help
community-academic partnerships anticipate and resolve DCDM issues that may
arise. OBJECTIVE: We sought to provide recommendations for DCDM based on two CEnR
research projects. METHODS: We used a case study design to describe the DCDM
process and lessons learned that were generated through formal and informal
discussions between community and academic partners. LESSONS LEARNED: We
identified 4 key lessons: 1) CEnR requires a flexible, iterative approach to
DCDM, 2) there are trade-offs to having a flexible DCDM approach, 3)
responsibilities for DCDM should consider the skill sets and priorities of all
partners, and 4) nuances of DC within CEnR has important implications for human
subjects and ethics training. CONCLUSIONS: Based on our lessons learned, we
provide recommendations for how to approach DCDM within CEnR.
PMID- 26548795
TI - The Exclusionary Circle Game: A Tool to Promote Critical Dialogue About HIV
Stigma and Social Justice.
AB - BACKGROUND: The Exclusionary Circle Game was a learning tool developed for an
intervention study to address stigma associated with human immunodeficiency virus
(HIV) infection and social exclusion. OBJECTIVES: The objectives of The
Exclusionary Circle Game were to enhance collective resonance and empathy,
promote critical reflection and dialogue, and motivate collective action to
address social exclusion. METHODS: The game began with all participants being
inside a circle. Each participant was randomly given one color-coded card. Each
card color represented a character with a specific lived experience associated
with racism, patriarchy, homophobia, transphobia, HIV stigma, and so on.
Participants holding a marginalized status card were asked to leave the circle in
sequence and go to designated spaces. Eventually, only one half of the
participants were left in the circle. Participants then debriefed about their
experiences within the entire group. CONCLUSIONS: The game has been used, beyond
the intervention study, at research conferences with positive feedback. In this
article, we detail the processes, strengths, and possibility of using this game
for empowerment education.
PMID- 26548794
TI - Development of a Faith-Based Stress Management Intervention in a Rural African
American Community.
AB - BACKGROUND: Faith-based mental health interventions developed and implemented
using a community-based participatory research (CBPR) approach hold promise for
reaching rural African Americans and addressing health disparities. OBJECTIVES:
To describe the development, challenges, and lessons learned from the Trinity
Life Management, a faith-based stress management intervention in a rural African
American faith community. METHODS: The researchers used a CBPR approach by
partnering with the African American faith community to develop a stress
management intervention. Development strategies include working with key
informants, focus groups, and a community advisory board (CAB). RESULTS: The
community identified the key concepts that should be included in a stress
management intervention. CONCLUSIONS: The faith-based "Trinity Life Management"
stress management intervention was developed collaboratively by a CAB and an
academic research team. The intervention includes stress management techniques
that incorporate Biblical principles and information about the stress-distress
depression continuum.
PMID- 26548796
TI - Environmental Justice Radar: A Tool for Community-Based Mapping to Increase
Environmental Awareness and Participatory Decision Making.
AB - BACKGROUND: As part of the Charleston Area Pollution Prevention Partnership
(CAPs), studies have been performed to address environmental health issues using
various techniques including Geographic Information Systems (GIS) mapping. Most
of the mapping has been conducted by academic team members; however, there is a
need for more community-based mapping to ensure the sustainability and
effectiveness of community-driven efforts to eliminate environmental hazards and
health disparities. The emergence of public participatory GIS (PPGIS) has been
shown as a way to democratize science, build community capacity, and empower
local citizens to address environmental health issues. PURPOSE: This article
describes the development of the Environmental Justice (EJ) Radar, a PPGIS tool
that provides stakeholders in South Carolina with a way to raise environmental
awareness and improve citizen participation in local environmental decision
making. We describe the functionality of EJ Radar and discuss feedback received
from stakeholders to improve the utility of the PPGIS tool.
PMID- 26548797
TI - Generating National Dental PBRN Research Ideas Through the ToP Consensus Method
Workshop.
AB - PURPOSE: The purpose of this article is to illustrate the research idea
generation process employed by the Southwest Region of the National Dental
Practice-Based Research Network (PBRN; www.NationalDentalPBRN.org) based on the
Technology of Participation (ToP) Consensus Method Workshop. This method
encourages a high level of participation in the decision-making process through
individual, small group, and large group discussions. This approach to idea
generation has predominately been used by nonprofit organizations and community
groups both nationally and internationally, but offers great potential to study
concept development for PBRNs. METHOD: Five independent workshops were designed
over a 12-month period. Workshops were held at three academic institutions, one
National Dental PBRN meeting, and one as part of a continuing education program.
The sessions were directed at general dentists, dental hygienists, and dental
researchers to identify research ideas appropriate for examination through the
PBRN mechanism. RESULT: Five groups ranging in size from 11 to 53 (197
participants total) participated in the consensus workshops and generated 205
research ideas. Ideas across the five sessions were collated into novel and
common categories of interest, and identified key participants interested in
developing research concepts. Participant reaction to the sessions was positive
based on evaluation comments and personal interactions. IMPLICATIONS:
Practitioners effectively generated research ideas based on their current needs
and daily clinical experience. The experiences presented in this article suggest
continued use of the ToP consensus workshop methods within the PBRNs may help
bridge the gap between research and practice.
PMID- 26548798
TI - Enhancing Community-Based Participatory Research Partnerships Through
Appreciative Inquiry.
AB - BACKGROUND: Challenges in community-based participatory research (CBPR)
partnerships often pertain to trust and power, dilemmas posed by funding sources,
and equitable community participation. Although challenges in CBPR can be
welcomed because they present opportunities for growth and development of
partnerships, tools are needed to facilitate issue identification and resolution.
Moreover, such tools need to align with CBPR principles involving equal feedback
among partners to improve the partnership and its outcomes. OBJECTIVE: To
describe how appreciative inquiry (AI) was used as an evaluation tool to
contribute to the strengthening of empowerment of ongoing and future community
university relationships in CBPR collaborations. METHODS: AI was applied at the
end of a community-university partnership to promote breast and cervical cancer
screening among Tongan women in Southern California. Through individual
interviews and group discussion, tensions were identified and discussed in light
of partnership and community strengths. RESULTS: Through AI, program staff
emphasized community and university strengths of shared key values related to the
program and aspects of program management that enabled them to contribute to
successful program outcomes. They also discussed the following challenges: 1)
approach of partners, 2) role definition, and 3) and time span of program
development and implementation. Based on these discussions, recommendations were
made to overcome current challenges and improve ongoing and future CBPR
collaborations. CONCLUSIONS: The AI process helped the partners recommit to
collaborate with each other, renewed their excitement about working together, and
assisted with reclarification of their roles to inform future collaborations.
PMID- 26548799
TI - Imaging manifestations of acquired elastopathy resembling pseudoxanthoma
elasticum in patients with beta thalassaemia major and sickle cell disease.
AB - Development of an acquired systemic elastopathy resembling pseudoxanthoma
elasticum in patients with chronic haemoglobinopathies such as beta thalassaemia
major and sickle cell disease is well documented. There is paucity of any
comprehensive literature on the radiological manifestations of this entity. This
pictorial review aims to describe and illustrate the multi system and multi
modality imaging findings of this condition.
PMID- 26548800
TI - A systematic review of the influence of skin pigmentation on changes in the
concentrations of vitamin D and 25-hydroxyvitamin D in plasma/serum following
experimental UV irradiation.
AB - Defining whether skin pigmentation influences vitamin D photosynthesis is
important for delivering accurate public health messages. Current evidence is
contradictory. We undertook a systematic review of the published literature to
examine the association between skin pigmentation and change in blood
concentrations of vitamin D and 25-hydroxyvitamin D following experimental UV
irradiation. Twelve studies fulfilled the inclusion criteria: human study in vivo
with non-diseased participants; controlled artificial UV radiation; vitamin D or
25-hydroxyvitamin D measured in serum or plasma; full text in English. In seven
studies, vitamin D photosynthesis was reduced in dark-skinned compared with
fairer-skinned individuals. In the remaining five studies, only one of which was
published after 1990, there was no difference in vitamin D photosynthesis
according to skin type. The disparities in these results may be due to small
sample sizes and variations in study methodology, including the source, dose and
frequency of UV irradiation, phototype classification, and analysis of vitamin D
and 25-hydroxyvitamin D. Of these, the spectrum emitted by the UV lamps may be
significant. No study considered potential modifying factors, such as relevant
genetic polymorphisms. On balance, we conclude that pigmented skin has less
effective photoproduction of vitamin D and 25-hydroxyvitamin D. The quantity of
sun exposure needed for dark-skinned, compared with light-skinned, people to
achieve vitamin D sufficiency remains uncertain.
PMID- 26548801
TI - Local 3D matrix microenvironment regulates cell migration through spatiotemporal
dynamics of contractility-dependent adhesions.
AB - The physical properties of two-dimensional (2D) extracellular matrices (ECMs)
modulate cell adhesion dynamics and motility, but little is known about the roles
of local microenvironmental differences in three-dimensional (3D) ECMs. Here we
generate 3D collagen gels of varying matrix microarchitectures to characterize
their regulation of 3D adhesion dynamics and cell migration. ECMs containing
bundled fibrils demonstrate enhanced local adhesion-scale stiffness and increased
adhesion stability through balanced ECM/adhesion coupling, whereas highly pliable
reticular matrices promote adhesion retraction. 3D adhesion dynamics are locally
regulated by ECM rigidity together with integrin/ECM association and myosin II
contractility. Unlike 2D migration, abrogating contractility stalls 3D migration
regardless of ECM pore size. We find force is not required for clustering of
activated integrins on 3D native collagen fibrils. We propose that efficient 3D
migration requires local balancing of contractility with ECM stiffness to
stabilize adhesions, which facilitates the detachment of activated integrins from
ECM fibrils.
PMID- 26548802
TI - Epidemiology of Traumatic Injuries in the Northeast Region of Haiti: A Cross
sectional Study.
AB - INTRODUCTION: More than 90% of traumatic morbidity and mortality occurs in low-
and middle-income countries (LMIC). Haiti is the poorest country in the Western
Hemisphere and lacks contemporary statistics on the epidemiology of traumatic
injuries. This study aimed to characterize the burden of traumatic injuries among
emergency department patients in the Northeast region of Haiti. METHODS: Data
were collected from the emergency departments of all public hospitals in the
Northeast region of Haiti, which included the Fort Liberte, Ouanaminthe, and Trou
du Nord sites. All patients presenting for emergent care of traumatic injuries
were included. Data were obtained via review of emergency department registries
and patient records from October 1, 2013 through November 30, 2013. Data on
demographics, mechanisms of trauma, and anatomical regions of injury were
gathered using a standardized tool and analyzed using descriptive statistics.
Temporal analysis of injury frequency was explored using regression modeling.
RESULTS: Data from 383 patient encounters were accrued. Ouanaminthe Hospital
treated the majority of emergent injuries (59.3%), followed by Fort Liberte
(30.3%) and Trou du Nord (10.4%). The median age in years was 23 with 23.1% of
patients being less than 15 years of age. Road traffic accidents (RTAs) and
interpersonal violence accounted for 65.8% and 30.1% of all traumatic mechanisms,
respectively. Extremity trauma was the most frequently observed anatomical region
of injury (38.9%), followed by head and neck (30.3%) and facial (19.1%) injuries.
Trauma due to RTA resulted in a single injury (83.8%) to either an extremity or
the head and neck regions most frequently. A minority of patients had medical
record documentation (37.9%). Blood pressure, respiratory rate, and mental status
were documented in 19.3%, 4.1%, and 0.0% of records, respectively. There were 6.3
injuries/day during the data collection period with no correlation between the
frequency of emergent trauma cases and day of the week (R(^2)=0.01). CONCLUSIONS:
Traumatic injuries are a common emergent presentation in the Northeast region of
Haiti with characteristics similar to other LMIC. Documentation and associated
data to adequately characterize the burden of disease in this region are lacking.
Road traffic accidents are the predominate mechanism of injury, suggesting that
interventions addressing prevention and treatment of this common occurrence may
provide public health benefits in this setting.
PMID- 26548803
TI - Current state of knowledge on Takotsubo syndrome: a Position Statement from the
Taskforce on Takotsubo Syndrome of the Heart Failure Association of the European
Society of Cardiology.
AB - Takotsubo syndrome is an acute reversible heart failure syndrome that is
increasingly recognized in modern cardiology practice. This Position Statement
from the European Society of Cardiology Heart Failure Association provides a
comprehensive review of the various clinical and pathophysiological facets of
Takotsubo syndrome, including nomenclature, definition, and diagnosis, primary
and secondary clinical subtypes, anatomical variants, triggers, epidemiology,
pathophysiology, clinical presentation, complications, prognosis, clinical
investigations, and treatment approaches. Novel structured approaches to
diagnosis, risk stratification, and management are presented, with new algorithms
to aid decision-making by practising clinicians. These also cover more complex
areas (e.g. uncertain diagnosis and delayed presentation) and the management of
complex cases with ongoing symptoms after recovery, recurrent episodes, or
spontaneous presentation. The unmet needs and future directions for research in
this syndrome are also discussed.
PMID- 26548804
TI - Analysing the effect of crystal size and structure in highly efficient CH3NH3PbI3
perovskite solar cells by spatially resolved photo- and electroluminescence
imaging.
AB - CH3NH3PbI3 perovskite solar cells with a mesoporous TiO2 layer and spiro-MeOTAD
as a hole transport layer (HTL) with three different CH3NH3I concentrations
(0.032 M, 0.044 M and 0.063 M) were investigated. Strong variations in crystal
size and morphology resulting in diversified cell efficiencies (9.2%, 16.9% and
12.3%, respectively) were observed. The physical origin of this behaviour was
analysed by detailed characterization combining current-voltage curves with photo
and electroluminescence (PL and EL) imaging as well as light beam induced
current measurements (LBIC). It was found that the most efficient cell shows the
highest luminescence and the least efficient cell is most strongly limited by non
radiative recombination. Crystal size, morphology and distribution in the capping
layer and in the porous scaffold strongly affect the non-radiative recombination.
Moreover, the very non-uniform crystal structure with multiple facets, as
evidenced by SEM images of the 0.032 M device, suggests the creation of a large
number of grain boundaries and crystal dislocations. These defects give rise to
increased trap-assisted non-radiative recombination as is confirmed by high
resolution MU-PL images. The different imaging techniques used in this study
prove to be well-suited to spatially investigate and thus correlate the crystal
morphology of the perovskite layer with the electrical and radiative properties
of the solar cells and thus with their performance.
PMID- 26548805
TI - Addition of phenylacetylene to a magnesium complex of monoiminoacenaphtheneone
(dpp-mian).
AB - In the presence of formic acid, acenaphthenequinone (AQ) reacts with one molar
equivalent of 2,6-diisopropylaniline in toluene to give monoiminoacenaphtheneone
(3, dpp-mian) in good yield. Reduction of compound 3 with an excess of magnesium
in thf results in green crystalline amido-alcoholate [(dpp-mian)Mg(thf)2]2 (4).
Crystallization of complex 4 from toluene affords a blue tetramer [(dpp
mian)Mg(thf)]4 (5). Reactions of compounds 4 and 5 with phenylacetylene proceed
with C-C bond formation between the alkyne and the dpp-mian ligand to give the
monomeric alkynyl-magnesium derivative [(dpp-mian)(PhC=CH2)Mg(C=CPh)2(thf)]2 (7).
Hydrolysis of complex 5 gives metal-free dpp-mian(PhC=CH2)H (8). Reaction of 7
with acetylacetone yields [{dpp-mian(PhC=CH2)}Mg(acac)]2 (9). Compounds 3-5 and 7
9 have been characterized by IR and NMR spectroscopy; molecular structures of 3,
5, 7, 8 and 9 have been determined by single crystal X-ray analysis.
PMID- 26548807
TI - Memory and Combinatorial Logic Based on DNA Inversions: Dynamics and Evolutionary
Stability.
AB - Genetic memory can be implemented using enzymes that catalyze DNA inversions,
where each orientation corresponds to a "bit". Here, we use two DNA invertases
(FimE and HbiF) that reorient DNA irreversibly between two states with opposite
directionality. First, we construct memory that is set by FimE and reset by HbiF.
Next, we build a NOT gate where the input promoter drives FimE and in the absence
of signal the reverse state is maintained by the constitutive expression of HbiF.
The gate requires ~3 h to turn on and off. The evolutionary stabilities of these
circuits are measured by passaging cells while cycling function. The memory
switch is stable over 400 h (17 days, 14 state changes); however, the gate breaks
after 54 h (>2 days) due to continuous invertase expression. Genome sequencing
reveals that the circuit remains intact, but the host strain evolves to reduce
invertase expression. This work highlights the need to evaluate the evolutionary
robustness and failure modes of circuit designs, especially as more complex
multigate circuits are implemented.
PMID- 26548806
TI - Microsphere integrated microfluidic disk: synergy of two techniques for rapid and
ultrasensitive dengue detection.
AB - The application of microfluidic devices in diagnostic systems is well-established
in contemporary research. Large specific surface area of microspheres, on the
other hand, has secured an important position for their use in bioanalytical
assays. Herein, we report a combination of microspheres and microfluidic disk in
a unique hybrid platform for highly sensitive and selective detection of dengue
virus. Surface engineered polymethacrylate microspheres with carefully designed
functional groups facilitate biorecognition in a multitude manner. In order to
maximize the utility of the microspheres' specific surface area in biomolecular
interaction, the microfluidic disk was equipped with a micromixing system. The
mixing mechanism (microballoon mixing) enhances the number of molecular
encounters between spheres and target analyte by accessing the entire sample
volume more effectively, which subsequently results in signal amplification.
Significant reduction of incubation time along with considerable lower detection
limits were the prime motivations for the integration of microspheres inside the
microfluidic disk. Lengthy incubations of routine analytical assays were reduced
from 2 hours to 5 minutes while developed system successfully detected a few
units of dengue virus. Obtained results make this hybrid microsphere-microfluidic
approach to dengue detection a promising avenue for early detection of this fatal
illness.
PMID- 26548808
TI - Rates of Reoperation and Abnormal Binocularity Following Strabismus Surgery in
Children.
AB - PURPOSE: To determine predictors of reoperation and abnormal binocularity
outcomes (including amblyopia and diplopia) following pediatric strabismus
surgery. DESIGN: Retrospective cross-sectional study. METHODS: setting: Review of
a national insurance database. STUDY POPULATION: Children under age 18 years
having strabismus procedures between 2007 and 2013. INTERVENTIONS: Adjustable- or
fixed-suture strabismus surgery, or botulinum toxin injection. OUTCOME MEASURES:
Reoperation or diagnosis of abnormal binocularity in the first postoperative
year. RESULTS: Of 11 115 children having strabismus procedures, 851 (7.7%)
underwent reoperation. The reoperation rate was 7.4% for fixed-suture surgeries,
9.6% for adjustable-suture surgeries (P = .18), and 44.9% for botulinum
injections (P < .001). Age under 2 years was associated with higher reoperation
and abnormal binocularity rates (P < .001). For horizontal strabismus, the
postoperative abnormal binocularity rate was 12.8% for fixed-suture surgery and
26.5% for botulinum injection (P = .005). Reoperation rates tended to be higher
with adjustable sutures (odds ratio [OR] 1.69, 95% confidence interval [CI] 0.94
3.03, P = .08) or botulinum toxin injection (OR 10.36, 95% CI 5.75-18.66, P <
.001) and lower with 3- or 4-muscle surgery (P = .001). Esotropia, hyperopia, and
botulinum injection were independently associated with higher rates of
postoperative abnormal binocularity (P <= .005). For vertical surgeries,
predictors of reoperation were adjustable-suture use (OR 2.51, P = .10) and
superior oblique surgery (OR 2.36, P < .001). CONCLUSIONS: Adjustable sutures
were not associated with a lower reoperation rate in children. Younger age,
esotropia, hyperopia, and botulinum injection were associated with postoperative
abnormal binocularity. Superior oblique surgery and botulinum injection were
associated with higher rates of reoperation.
PMID- 26548809
TI - Three-Dimensional Enhanced Imaging of Vitreoretinal Interface in Diabetic
Retinopathy Using Swept-Source Optical Coherence Tomography.
AB - PURPOSE: To analyze the vitreoretinal interface in diabetic eyes using 3
dimensional wide-field volumes acquired using high-speed, long-wavelength swept
source optical coherence tomography (SSOCT). DESIGN: Prospective cross-sectional
study. METHODS: Fifty-six diabetic patients (88 eyes) and 11 healthy nondiabetic
controls (22 eyes) were recruited. Up to 8 SSOCT volumes were acquired for each
eye. A registration algorithm removed motion artifacts and merged multiple SSOCT
volumes to improve signal. Vitreous visualization was enhanced using vitreous
windowing method. RESULTS: Of 88 diabetic eyes, 20 eyes had no retinopathy, 21
eyes had nonproliferative diabetic retinopathy (NPDR) without macular edema, 20
eyes had proliferative diabetic retinopathy (PDR) without macular edema, and 27
eyes had diabetic macular edema (DME) with either NPDR or PDR. Thick posterior
hyaloid relative to healthy nondiabetic controls was observed in 0 of 20 (0%)
diabetic eyes without retinopathy, 4 of 21 (19%) eyes with NPDR, 11 of 20 (55%)
eyes with PDR, and 11 of 27 (41%) eyes with DME (P = .0001). Vitreoschisis was
observed in 6 of 22 (27%) healthy nondiabetic eyes, 9 of 20 (45%) diabetic eyes
without retinopathy, 10 of 21 (48%) eyes with NPDR, 13 of 20 (65%) eyes with PDR,
and 17 of 27 (63%) eyes with DME (P = .007). While no healthy nondiabetic
controls and diabetic eyes without retinopathy had adhesions/pegs between
detached posterior hyaloid and retina, 1 of 21 (4%), 11 of 20 (55%), and 11 of 27
(41%) eyes with NPDR, PDR, and DME, respectively, demonstrated this feature (P =
.0001). CONCLUSION: SSOCT with motion-correction and vitreous windowing provides
wide-field 3-dimensional information of vitreoretinal interface in diabetic eyes.
This may be useful in assessing progression of retinopathy, planning diabetic
vitreous surgery, and predicting treatment outcomes.
PMID- 26548810
TI - Efficacy of a Single Dose of Basic Fibroblast Growth Factor: Clinical Observation
for 1 Year.
AB - OBJECTIVE: Basic fibroblast growth factor promotes wound healing by accelerating
healthy granulation and epithelialization. However, the duration of the effects
of a single intracordal injection of basic fibroblast growth factor has not been
established, and administration intervals and timing have yet to be standardized.
Here, we administered a single injection to patients with insufficient glottic
closure and conducted follow-up examinations with high-speed digital imaging to
determine the duration of the treatment response. STUDY DESIGN: Case series.
METHODS: For treatment, 20 ug/mL recombinant human basic fibroblast growth factor
was injected into two vocal cords. The following examinations were performed
before the procedure and at 3-month intervals for 12 months starting at 1 month
postinjection: Grade, Roughness, Breathiness, Asthenia, and Strain (GRBAS) scale
assessment, maximum phonation time, acoustic analysis, high-speed digital
imaging, glottal wave analysis, and kymographic analysis. RESULTS: Postinjection,
the GRBAS scale score decreased, and the maximum phonation time was prolonged. In
addition, the mean minimum glottal area and mean minimum glottal distance
decreased. These changes were significant at 12 months postinjection compared
with preinjection. However, there were no significant changes in the vibrations
of the vocal cord margins. CONCLUSIONS: The intracordal injection of basic
fibroblast growth factor improved insufficient glottic closure without reducing
the vibrations of the vocal cord margins. This effect remained evident at 12
months postinjection. A single injection can be expected to yield a sufficient
and persistent long-term effect.
PMID- 26548811
TI - On binocular vision: The geometric horopter and Cyclopean eye.
AB - We study geometric properties of horopters defined by the criterion of equality
of angle. Our primary goal is to derive the precise geometry for anatomically
correct horopters. When eyes fixate on points along a curve in the horizontal
visual plane for which the vergence remains constant, this curve is the larger
arc of a circle connecting the eyes' rotation centers. This isovergence circle is
known as the Vieth-Muller circle. We show that, along the isovergence circular
arc, there is an infinite family of horizontal horopters formed by circular arcs
connecting the nodal points. These horopters intersect at the point of symmetric
convergence. We prove that the family of 3D geometric horopters consists of two
perpendicular components. The first component consists of the horizontal
horopters parametrized by vergence, the point of the isovergence circle, and the
choice of the nodal point location. The second component is formed by straight
lines parametrized by vergence. Each of these straight lines is perpendicular to
the visual plane and passes through the point of symmetric convergence. Finally,
we evaluate the difference between the geometric horopter and the Vieth-Muller
circle for typical near fixation distances and discuss its possible significance
for depth discrimination and other related functions of vision that make use of
disparity processing.
PMID- 26548812
TI - The repellent and persistent toxic effects of essential oils against the poultry
red mite, Dermanyssus gallinae.
AB - The economic impact of the poultry red mite, Dermanyssus gallinae, the lack of
new acaricides, the occurrence of resistance and tighter legislation have all led
to the need to find new ways to control this pest. One promising alternative
method of control focuses on employing repellent and/or toxic effects of selected
plant essential oils against D. gallinae. Ten essential oils (basil, thyme,
coriander, eucalyptus, lavender, lemon, fir tree, oregano, mint, and juniper)
were tested for the persistence of toxic and repellent effects. In filter-paper
toxicity bioassays against D. gallinae, the best results were observed for
lavender (more than 97% mortality after 48 and 72 h) and thyme (84% at 72 h) at a
dose of 0.12 mg/cm(2). In addition, two oils showed significant persistent toxic
effects 15 and 30 days post application to filter papers. Thyme was the most
effective (100% mortality at 72 h), followed by lavender (nearly 80% mortality
after 72 h). Out of the ten oils tested for their repellent effect, thyme was the
strongest, with nearly 80% of the tested area avoided by mites; oregano caused a
60% avoidance and lavender exhibited an effect close to 40%. All other oils
exhibited a repellent effect of less than 30%. None of the experiments showed a
repellent effect for HM (commercial alimentary oil) or negative controls. We
found that the thyme and lavender essential oils exhibited promising results when
tested in vitro for toxic and repellent effects against D. gallinae; thus, we
suggest that future experiments focus on in vivo tests using these oils in farm
units.
PMID- 26548813
TI - Serum and glucocorticoid kinase 1 promoted the growth and migration of non-small
cell lung cancer cells.
AB - Serum and glucocorticoid kinase 1 (SGK1) has been reported to be up-regulated in
non-small cell lung cancer (NSCLC). However, its functions in NSCLC remained
unclear. Here, SGK1 was found to be up-regulated in NSCLC samples. Over
expression of SGK1 promoted the growth and migration of NSCLC cells, while down
regulation of SGK1 inhibited the growth, migration and metastasis of NSCLC cells.
SGK1 promoted the phosphorylation of GSK3 beta and the accumulation of beta
catenin, up-regulation of the target genes downstream of beta-catenin/TCF
signaling, and activating the transcriptional activity of beta-catenin/TCF
complex. Collectively, SGK1 might promote the progression of NSCLC through
activating beta-catenin/TCF signaling.
PMID- 26548815
TI - Molecular cloning, expression analysis and subcellular localization of a
Transparent Testa 12 ortholog in brown cotton (Gossypium hirsutum L.).
AB - Transparent Testa 12 (TT12) is a kind of transmembrane transporter of
proanthocyanidins (PAs), which belongs to a membrane-localized multidrug and
toxin efflux (MATE) family, but the molecular basis of PAs transport is still
poorly understood. Here, we cloned a full-length TT12 cDNA from the fiber of
brown cotton (Gossypium hirsutum), named GhTT12 (GenBank accession No. KF240564),
which comprised 1733 bp with an open reading frame (ORF) of 1503 bp and encoded a
putative protein containing 500 amino acid residues with a typical MATE conserved
domain. The GhTT12 gene had 96.8% similarity to AA genome in Gossypium arboretum.
Quantitative RT-PCR analysis denoted that the relative expression of GhTT12 in
brown cotton was 1-5 folds higher than that in white cotton. The mRNA level was
the highest at 5 days post anthesis (DPA) and reduced gradually during the fiber
development. Expressing GhTT12-fused green fluorescent protein (GFP) in Nicotiana
tabacum showed that GhTT12-GFP was localized in the vacuole membrane. The content
of PAs increased firstly and decreased afterwards, and reached the maximum at 15
DPA in brown cotton. But for white cotton, the content of PAs remained at a low
level during the fiber development. We speculate that GhTT12 may participate in
the transportation of PAs from the cytoplasmic matrix to the vacuole. Taken
together, our data revealed that GhTT12 was functional as a PAs transmembrane
transporter.
PMID- 26548814
TI - Jagged1 (JAG1): Structure, expression, and disease associations.
AB - Jagged1 (JAG1) is one of the 5 cell surface ligands that functions primarily in
the highly conserved Notch signaling pathway. Notch signaling plays a critical
role in cellular fate determination and is active throughout development and
across many organ systems. The classic JAG1-NOTCH interaction leads to a cascade
of proteolytic cleavages resulting in the NOTCH intracellular domain being
transported into the nucleus where it functions to activate downstream
transcription of target genes. JAG1 mutations have been associated with several
disorders including the multi-system dominant disorder Alagille syndrome, and
some cases of tetralogy of Fallot (although these may represent variable
expressivity of Alagille syndrome). In addition, variations in JAG1 have been
found to be associated with multiple types of cancer including breast cancer and
adrenocortical carcinoma. Alagille syndrome, which primarily affects the liver,
heart, skeleton, eye, face, kidney and vasculature is caused by loss of function
mutations in JAG1, demonstrating that haploinsufficiency for JAG1 is disease
causing, at least in these tissues. Expression and conditional gene knockout
studies of JAG1 (Jag1) have correlated with tissue-specific disease phenotypes
and have provided insight into both disease pathogenesis and human development.
PMID- 26548816
TI - Angioarchitecture and Posttreatment Magnetic Resonance Imaging Characteristics of
Brain Arteriovenous Malformations and Long-Term Seizure Control After
Radiosurgery.
AB - OBJECTIVE: To corroborate which pretreatment angioarchitectural characteristics
and posttreatment magnetic resonance imaging (MRI) features were associated with
better seizure and antiepileptic drug outcomes in patients with brain
arteriovenous malformations (AVMs) treated by Gamma Knife radiosurgery. METHODS:
During the period 2007-2010, 220 patients with intracranial AVMs undergoing
radiosurgery at our hospital were evaluated. Imaging features on digital
subtraction angiography and follow-up MRI, medical records, and direct patient
interview were retrospectively assessed. Seizure outcome was assessed using the
Engel classification and the status of antiepileptic drug use. RESULTS: At the
last follow-up, 21 of 31 patients (68%) who met the recruitment criteria had AVM
obliteration on digital subtraction angiography or MRI. Seizure-free status
(Engel class 1) was achieved in 20 patients (65%), and 13 of 20 (65%) seizure
free patients were medication-free. The presence of total obliteration at last
imaging follow-up (P = 0.013), absent retrograde cortical veins on digital
subtraction angiography before GKRS (P = 0.013), nidus <3.7 cm (P = 0.006), and
lower modified radiosurgery-based AVM score (P = 0.026) were significant
predictors of seizure-free outcome. The strongest independent predictor of
seizure-free status was absence of retrograde veins (odds ratio = 9.9). No
angioarchitectural feature, postradiosurgery imaging finding on MRI, or
radiosurgical treatment parameter was a significant predictor of seizure control
or cessation of medication in seizure-free patients. CONCLUSIONS: This study
suggests that radiosurgery provides favorable outcomes in patients with AVM
related epilepsy. Patients with intracranial AVMs can benefit from seizure
control after GKRS before undergoing AVM obliteration. Absence of retrograde
veins is associated with better seizure-free outcomes, regardless of the
parenchymal changes after radiosurgery.
PMID- 26548817
TI - Predictive Factors for Response of Intracranial Dural Arteriovenous Fistulas to
Transarterial Onyx Embolization: Angiographic Subgroup Analysis of Treatment
Outcomes.
AB - OBJECTIVE: Endovascular treatment using Onyx has been increasingly used to treat
intracranial dural arteriovenous fistulas (DAVFs). This study evaluated
predictive factors for favorable treatment outcome in patients with intracranial
noncavernous DAVFs treated by transarterial Onyx embolization. METHODS: Between
August 2008 and August 2014, 55 patients who underwent transarterial Onyx
embolization for noncavernous DAVFs were retrospectively reviewed. Patients'
demographic, clinical, and procedural data were analyzed to find statistically
significant predictive factors for favorable treatment outcomes after Onyx
embolization. Fistulas were classified angiographically according to the
relationship between fistulas and dural venous sinuses and the presence of
leptomeningeal venous reflux. RESULTS: Sixty-eight Onyx embolizations were
performed in 55 patients. Immediate angiographic cure was achieved in 28
patients, and 14 of 27 patients with residual shunts showed progressive occlusion
at follow-up imaging studies. Therefore, the overall favorable treatment outcome
was 76.4% (42/55). The remaining 13 patients (23.6%) showed persistent residual
shunts, and 3 (5.5%) of them showed aggravation of residual lesion on follow-up
studies. Of 25 patients with non-sinus fistulas, 23 patients (92%) showed
favorable treatment outcomes, and 19 of 30 patients (63.3%) with sinus fistulas
showed favorable outcomes. Among the evaluated variables, non-sinus DAVFs was a
statistically significant predictive factor for favorable response to
transarterial Onyx embolization (P < 0.05). CONCLUSIONS: Transarterial Onyx
embolization is a highly effective treatment method for non-sinus DAVFs. Careful
consideration of angiographic features and multimodal embolization strategies are
required for treatment of sinus DAVFs.
PMID- 26548818
TI - Mortality in Elderly Patients Operated for an Acute Subdural Hematoma: A Surgical
Case Series.
AB - BACKGROUND: Surgery for elderly patients with acute subdural hematomas (ASDH) is
controversial, because postoperative mortality rates are reported to be high and
long-term outcomes unknown. Thus, we aimed to describe midterm and long-term
mortality rates of elderly patients operated for an ASDH. METHODS: We reviewed
all consecutive >=75-year-old patients operated on for an ASDH between 2009 and
2012. We recorded data on preadmission functional status (independent or
dependent) and use of antithrombotic medication. Patients were followed up a
median of 4.2 years (range, 2.5-6.4 years). RESULTS: Forty-four patients were
included. The majority of the patients (70%) were independent and taking
antithrombotic medication (77%). Independent patients had a 1-year mortality of
42%, compared to 69% for dependent patients; 56% of patients taking
antithrombotics and 30% of those without antithrombotics died within the first
postoperative year. All patients with an admission Glasgow coma scale score of 3
8 died within the first postoperative year, if they used antithrombotics or were
dependent before the injury. Of all 1-year survivors, 77% were alive at the end
of follow-up. CONCLUSION: In this first surgical case series of 75-year-old or
older patients with ASDH, the overall mortality rate appears to be relatively
low, especially for preoperatively conscious and independent patients without
antithrombotic medication. Patients alive at 1-year after surgery had a life
expectancy comparable to their age-matched peers. The prognosis seems to be
detrimental for preoperatively unconscious patients who were functionally
dependent or used antithrombotic medication before the injury.
PMID- 26548819
TI - Cavernous Malformation in the Trigeminal Distribution: A Case Report of
Aggressive Presentation and Management.
AB - BACKGROUND: Cavernous malformation (CM) is a vascular malformation found in the
encephalic parenchyma, spinal cord, nerve roots, and extraneural tissue. CM in
the trigeminal distribution is exquisitely uncommon and its biological behavior
not completely understood. The clinical picture might be diverse, depending on
the affected sector of the trigeminal architecture, and literature debating its
pathobiology is scarce. CASE DESCRIPTION: We describe a case of 56-year-old woman
who presented with left trigeminal neuralgia and a rapidly growing cavernous
malformation of the entire distribution of the fifth nerve. The clinical picture
evolved to a progressive gait ataxia and follow-up neuroimaging showed a large
intracranial mass leading to a brainstem compression. After microsurgical
resection, the mass proved to be a typical CM of the trigeminal root. CONCLUSION:
We present an uncommonly aggressive progression of a CM of the trigeminal root,
Gasserian ganglion, and cavernous sinus evolving to severe brainstem compression.
The documentation of this unique case as well as its management is presented is
discussed.
PMID- 26548820
TI - A Singular Case of Neurosyphilis Manifesting Through a Meningovascular Chronic
Inflammatory Process in Association with the Occurrence of Two Aneurysms
Involving the Distal A2 Segment of Both Anterior Cerebral Arteries: A Case Report
and Review of the Literature.
AB - BACKGROUND: Although syphilis has become a rare disease in the Western world
since the Second World War, it is believed to have infected 12 million people in
1999, with greater than 90% of cases occurring in the developing world. Moreover,
since the year 2000, the rates of syphilis have been increasing in the United
States, the United Kingdom, Australia, and Europe. Because of the mimic nature of
the disease and the overall low rate of occurrence of its manifestations in
advanced stages, a proper diagnosis may prove difficult. CASE REPORT: We report
the case of a 41-year-old African man affected by neurosyphilis that manifested
itself through a meningovascular chronic inflammatory process, with the peculiar
feature of a bilateral aneurysm of probable mycotic origin involving the distal
tract of A2 segment of both anterior cerebral arteries. CONCLUSIONS: Because of
the mostly nonspecific nature of clinical manifestations of syphilis
(particularly advanced syphilis) and its consequent tendency to masquerade as
many other diseases, even a skilled physician may find its diagnosis quite
challenging; thus, thorough clinical and radiologic investigations should be
supported by serologic testing for syphilis in all cases of cognitive impairment.
Mycotic intracranial aneurysms in association with neurosyphilis rarely are
reported; however, they require early diagnosis and meticulous, individualized
treatment. Because syphilis appears to be on the raise, further studies on the
topic are warranted.
PMID- 26548821
TI - Surviving the Largest Atypical Parasagittal Meningioma in a 2-Year-Old Child: A
Case Report and a Brief Review of the Literature.
AB - BACKGROUND: Meningiomas arising in pediatric populations are rare neoplasms with
distinct biological and clinical features. A rare case of a 2-year-old boy with
extremely large intracranial parasagittal meningioma is presented. To our
knowledge, this case is the largest parasagittal meningioma to be reported to
date in the pediatric age group. CASE DESCRIPTION: The tumor size at its largest
diameter was 14.2 cm. Two-stage craniotomy was performed within 3 weeks to resect
the tumor totally by Simpson grade II while preserving the superior sagittal
sinus. Three months after the second resection, the patient had a complication of
subdural hematoma, which was managed by external drainage and urokinase.
CONCLUSIONS: The patient's postoperative recovery was unremarkable, and the
previously compressed brain rebounded. There was no evidence of recurrence after
two years of follow-up. Every effort should be exerted to achieve radical
resection of the tumor, which can result in a satisfactory prognosis and a low
recurrence rate.
PMID- 26548822
TI - The Geriatric Scoring System (GSS) for Risk Stratification in Meningioma Patients
as a Predictor of Outcome in Patients Treated with Radiosurgery.
AB - INTRODUCTION: Meningiomas are the most common primary benign brain tumor.
Radiosurgery (primary or adjuvant) allows excellent local control. The Geriatric
Scoring System (GSS) for preoperative risk stratification and outcome prediction
of patients with meningiomas has been reported previously. The GSS incorporates 8
tumor and patient parameters on admission. A GSS score greater than 16 was
reported previously to be associated with a more favorable outcome. We assessed
the validity of the GSS score and its influence on outcome in patients treated
with Gamma-Knife radiosurgery (GKRS). PATIENTS AND METHODS: Patients treated with
single-session GKRS for World Health Organization grade I meningioma during 1989
2013 at the University of Virginia were reviewed. The cohort comprised 323
patients, 50.2% (n = 162) male. Median age was 56 years (29-84 years), and median
follow-up was 53.6 months (6-235 months). Median tumor volume was 4.5 cm(3) (0.2
23). Median margin and maximal doses were 15 Gy (8-36) and 32.3 Gy (20-65),
respectively. RESULTS: Tumor volume control was achieved in 87% (n = 281), and
post-GKRS clinical neurologic improvement was reported in 66.3% (n = 214). The
median change in KPS was +10 (range -30 to +40). The most common complication was
intermittent headaches (34.1%, n = 110) and cranial nerve deficits (14.2%, n =
46). The GSS (calculated and grouped as GSS > 16 and GSS <= 16) was found to
correlate with different post-GKRS functional status (P < 0.0001) and tumor
control (P = 0.028). CONCLUSION: The GSS, used for risk stratification and
outcome prediction in patients with meningiomas, seems valid for patients
undergoing single-session GRKS. A GSS score greater than 16 is associated with a
better long-term functional status and tumor control.
PMID- 26548823
TI - Surgical Strategy for Complex Anterior Cerebral Artery Aneurysms: Retrospective
Case Series and Literature Review.
AB - OBJECTIVE: Giant, or complex, aneurysms of the anterior cerebral artery (ACA) are
rare, but their surgical treatment is important. The authors describe their
experiences with bypasses for complex ACA aneurysms and discuss the new
classification of ACA bypasses, the concept of using bypasses for insurance
during the approach to the aneurysm, and simplifying the surgical algorithms for
these complex ACA aneurysms. METHODS: Over a 19-year period, 7 cases of complex
ACA aneurysm were treated with bypasses and reviewed retrospectively. The
bypasses were classified into 4 groups according to donor blood flow: internal
carotid artery-ACA, external carotid artery-ACA, communicating bypass, and
reconstruction bypass of the ipsilateral postcommunicating ACA. RESULTS: The
cases included 1 precommunicating aneurysm, 3 communicating aneurysms, 2
postcommunicating aneurysms, and 1 double aneurysm (communicating and
postcommunicating). The types of bypass included 1 internal carotid artery-ACA, 6
communicating bypasses, 3 external carotid artery-ACAs, and 2 reconstruction
bypass of the postcommunicating ACA. Postoperative modified Rankin Scale scores
were 0 (6 cases) and 3 (1 case of a communicating aneurysm with complicated
memory disturbance because of infarction). One case revealed asymptomatic
infarction. CONCLUSIONS: Surgical treatment of complex ACA aneurysms requires
knowledge of a variety of bypass techniques. Although the type of bypass should
be selected according to patient-specific anatomy and the neurosurgeon's
preference, the new classification of bypass-specified ACA aneurysms may alter
the way surgeons think about ACA bypasses, and in combination with the concept of
the protective bypass, can be used to establish a comprehensive algorithm for
each type of complex ACA aneurysm.
PMID- 26548824
TI - Bony Regeneration of the Sella after Transsphenoidal Pituitary Surgery.
AB - OBJECTIVE: The purpose of this study is to demonstrate the possible bony regrowth
of the sella after transsphenoidal surgery without any intraoperative sellar
reconstruction. METHODS: Radiologic findings of the sella were reviewed in
patients with pituitary tumors treated by transsphenoidal surgery. In 17 patients
who had postoperative cranial computed tomography scans, bony regeneration of the
sellar floor was evaluated by comparing immediate and late postoperative scans.
The bony opening reduction was measured in transverse and sagittal planes.
RESULTS: The median bony opening diameter in the transverse plane was 8.8 mm
(interquartile range [IQR] 5.7-11.4) on the first scan and 4.2 mm (IQR 0.8-6.8)
on the second scan. In the sagittal plane, it was 4.8 mm (IQR 1.8-6.8) on the
first scan and 2.9 mm (IQR 1.6-3.9) on the second scan. These changes occurred in
a median time of 36 months (IQR 22-42). There was a statistically significant
decrease of the bony opening diameters in both the transverse and sagittal planes
(P < 0.0001 and P = 0.0004, respectively). Bone regeneration was observed in 16
of the 17 patients (approximately 94%). CONCLUSION: There is a natural bony
regeneration of the sella after transsphenoidal pituitary surgery.
PMID- 26548825
TI - Safe Resection of Gliomas of the Dominant Angular Gyrus Availing of Preoperative
FMRI and Intraoperative DTI: Preliminary Series and Surgical Technique.
AB - OBJECTIVE: Language dysfunction, visual deficit, numeracy impairment, and
Gerstmann syndrome often occur in the cortical area; furthermore, the subcortical
white matter is the inviolable limit of "functional neurosurgery." Preoperative
functional magnetic resonance imaging (fMRI) and tractography are capable of
providing the data required for safe "surgical planning" at both the cortical and
subcortical levels. METHODS: We report our experience regarding high-grade
gliomas affecting the dominant angular gyrus (AG), supramarginal gyrus (SMG),
intraparietal sulcus (IPS), and their respective subcortical areas using
intraoperative MRI and diffusion tensor imaging (DTI). Retrospectively, we
reviewed a consecutive series of 27 patients operated in a BrainSuite for high
grade intraparenchymal tumors of the left posterior temporoparietal junction. We
included tumors involving the dominant AG, SMG, and/or IPS and the subcortical
course of arcuate fasciculus (AF) and all the patients who underwent preoperative
fMRI and DTI to localize the AF and the eloquent cortical areas. Just after
craniotomy, new volumetric MRI and DTI verified and corrected possible brain
shift. After the gross total resection was carried out, and before approaching
the residual mass close to the white matter tract, an intraoperative MRI was
again performed. RESULTS: We operated on 27 patients, 15 males and 12 females,
whose diagnosis was always high-grade glioma. During the preoperative neurologic
examination, 6 patients were asymptomatic; 3 presented a Gerstmann syndrome; 16
showed dysphasic disturbances, 6 of which were associated with visual field
deficits; and 2 showed weakness of the right limb. CONCLUSIONS: Our results
suggest that this approach is completely safe and effective as an alternative to
awake surgery.
PMID- 26548826
TI - Penetration of an Optic Nerve by a Sellar/Suprasellar Arachnoid Cyst.
AB - BACKGROUND: Sellar/suprasellar cystic lesions can cause compression of the optic
pathways and result in a decline in vision. There have been reports of optic
pathways being fenestrated by intracranial aneurysms. This is the first report of
a sellar/suprasellar arachnoid cyst causing fenestration of an optic nerve. CASE
DESCRIPTION: Our patient presented with an 8-month history of worsening vision.
Imaging revealed a sellar/suprasellar cystic lesion with compression of the optic
pathways. He was treated surgically via an endoscopic transsphenoidal approach.
The left optic nerve was found to have multiple round defects during surgery.
Pathology was consistent with an arachnoid cyst. The patient has had progressive
improvement in vision up to 6 months after surgery. CONCLUSIONS:
Sellar/suprasellar arachnoid cysts likely form when a communication exists
between the suprasellar arachnoid space and the sella turcica. We believe that
our patient's cyst grew slowly enough to allow normal functioning of the optic
nerve as it was being penetrated. Though visual symptoms may be gradual and not
present until after the optic nerve has been penetrated, these symptoms and signs
may still improve with removal of the cyst. Prognosis for visual improvement is
difficult to predict with cases of compressive sellar/suprasellar cystic masses.
Improvement in the vision examination after surgical resection is possible even
when the optic nerve has been penetrated.
PMID- 26548827
TI - Intraoperative Evaluation of Reverse Bypass Using a Naturally Formed "Bonnet"
Superficial Temporal Artery: Technical Note.
AB - BACKGROUND: In reverse bypass that used a naturally formed "bonnet" superficial
temporal artery, intraoperative volume flow measurement quantifies flow
augmentation after revascularization, confirms flow preservation, and identifies
inadvertent vessel compromise. CASE DESCRIPTION: A 75-year-old man presented with
transient ischemic attacks attributed to right internal carotid artery stenosis.
He underwent successful reverse bypass via a naturally formed "bonnet"
superficial temporal artery middle cerebral artery bypass. As the result of
proper intraoperative volume flow evaluation, a successful reverse bypass was
achieved. CONCLUSIONS: Modification of the intraoperative stroke risk and
prediction of the long-term patency after reverse bypass can be achieved by
meticulous intraoperative blood flow evaluation.
PMID- 26548828
TI - Cost-Effectiveness Analysis of Microscopic and Endoscopic Transsphenoidal Surgery
Versus Medical Therapy in the Management of Microprolactinoma in the United
States.
AB - BACKGROUND: Although prolactinomas are treated effectively with dopamine
agonists, some have proposed curative surgical resection for select cases of
microprolactinomas to avoid life-long medical therapy. We performed a cost
effectiveness analysis comparing transsphenoidal surgery (either microsurgical or
endoscopic) and medical therapy (either bromocriptine or cabergoline) with
decision analysis modeling. METHODS: A 2-armed decision tree was created with
TreeAge Pro Suite 2012 to compare upfront transsphenoidal surgery versus medical
therapy. The economic perspective was that of the health care third-party payer.
On the basis of a literature review, we assigned plausible distributions for
costs and utilities to each potential outcome, taking into account medical and
surgical costs and complications. Base-case analysis, sensitivity analysis, and
Monte Carlo simulations were performed to determine the cost-effectiveness of
each strategy at 5-year and 10-year time horizons. RESULTS: In the base-case
scenario, microscopic transsphenoidal surgery was the most cost-effective option
at 5 years from the time of diagnosis; however, by the 10-year time horizon,
endoscopic transsphenoidal surgery became the most cost-effective option. At both
time horizons, medical therapy (both bromocriptine and cabergoline) were found to
be more costly and less effective than transsphenoidal surgery (i.e., the medical
arm was dominated by the surgical arm in this model). Two-way sensitivity
analysis demonstrated that endoscopic resection would be the most cost-effective
strategy if the cure rate from endoscopic surgery was greater than 90% and the
complication rate was less than 1%. Monte Carlo simulation was performed for
endoscopic surgery versus microscopic surgery at both time horizons. This
analysis produced an incremental cost-effectiveness ratio of $80,235 per quality
adjusted life years at 5 years and $40,737 per quality-adjusted life years at 10
years, implying that with increasing time intervals, endoscopic transsphenoidal
surgery is the more cost-effective treatment strategy. CONCLUSIONS: On the basis
of the results of our model, transsphenoidal surgical resection of
microprolactinomas, either microsurgical or endoscopic, appears to be more cost
effective than life-long medical therapy in young patients with life expectancy
greater than 10 years. We caution that surgical resection for microprolactinomas
be performed only in select cases by experienced pituitary surgeons at high
volume centers with high biochemical cure rates and low complication rates.
PMID- 26548829
TI - Glioblastoma with Rhabdoid Features: Report of Two Young Adult Cases and Review
of the Literature.
AB - BACKGROUND: There are few previous reports of glioblastoma in young adults, in
particular, of the very rare recently proposed rhabdoid or epithelioid types.
CASE DESCRIPTION: We report 2 cases of glioblastoma with rhabdoid features
involving a 27-year-old woman and a 41-year-old man. In case 1, the patient
presented with generalized seizures, and the initial magnetic resonance imaging
showed a very small region of contrast in the left parahippocampal area. After 1
year, the mass suddenly increased in size. Treatment with multiple therapies was
administered, but 5 months later, the patient died from multiple systemic
metastases. In case 2, the patient presented with a chief complaint of headache
for a few weeks. Computed tomography and magnetic resonance imaging showed a left
parietal mass with calcification and meningeal dissemination. After undergoing
surgical removal, his condition rapidly deteriorated until brain death occurred.
CONCLUSIONS: Glioblastoma with rhabdoid features may represent a specific pattern
of clinical progression that emerges from histologic glioblastoma types.
PMID- 26548830
TI - Management of Bilateral Hemifacial Spasm with Microvascular Decompression.
AB - BACKGROUND: Bilateral hemifacial spasm (HFS) is very rare. The literature
contains only 32 clinical reports. Although microvascular decompression (MVD) is
widely accepted as effective therapy for HFS, the etiology and surgical treatment
of bilateral HFS are seldom addressed. We report our experience with MVD for
patients with bilateral HFS. METHODS: This retrospective report included 10
patients with bilateral HFS. All patients underwent MVD 1 or 2 times and were
followed for 5-92 months. The clinical data were retrospectively analyzed. The
etiology and treatment strategies were discussed. RESULTS: Spasm stopped
completely on the operative side in all 10 patients. Symptoms on the other side
also resolved in 3 patients, improved in 1 patient, and did not improve at all in
6 patients. Of the 6 patients with no improvement, 5 underwent another MVD on the
contralateral side within 1 year and experienced relief of symptoms, and 1
patient refused the surgery. The neurovascular conflict was found in all the
operations. During the follow-up period, no complications of hearing loss or
facial palsy and no recurrence were observed. CONCLUSIONS: Vascular compression
was the cause of bilateral HFS in our patients, and MVD relieved the symptoms.
Thus, we recommend MVD for patients with bilateral HFS. A crowded
cerebellopontine angle space and easy attrition of the neurovascular interfaces
may play important roles in the occurrence of bilateral HFS. For some patients, 1
MVD can resolve bilateral symptoms.
PMID- 26548831
TI - New Radiologic Parameters Predict Clinical Outcome after Decompressive
Craniectomy.
AB - OBJECTIVE: Decompressive craniectomy (DC) is an established part of the
management of patients with increased intracranial pressure due to malignant
middle cerebral artery (MCA) infarction or traumatic brain injury (TBI). The aim
of this study was to determine prognostic radiologic parameters regarding the
functional outcome of patients with increased intracranial pressure (ICP)
undergoing DC. Special focus was put on the potential differences between
malignant MCA infarction and TBI. METHODS: A total of 113 patients were analyzed
for their clinical course where preoperative and postoperative radiologic
features in computed tomography (CT) scans were correlated to the clinical
outcome assessed by the Glasgow Outcome Scale. The difference between presurgical
and postsurgical midline shift (DeltaMLS) and the ratio between the diameter of
the affected and contralateral hemisphere (HDratio) in presurgical and
postsurgical CT scans were calculated. RESULTS: DeltaMLS (MCA infarction group)
and postsurgical HDratio (TBI group) were found to be highly correlating with the
clinical outcome on Spearman-correlation testing and underwent further analysis
using a binary logistic regression model to evaluate their prognostic value on
the outcome, which showed the predictive power of DeltaMLS in malignant MCA
infarction patients (odds ratio [OR] 0.715; confidence interval [CI] 0.551
0.865). Postsurgical HDratio correlated significantly (OR 0.620; CI 0.384-0.901)
with the outcome in the TBI group. CONCLUSION: DeltaMLS is an objectifiable
parameter, predicting outcome in malignant MCA infarction. In contrast, DeltaMLS
was of no predictive value in TBI patients. Here postsurgical HDratio serves as a
strong predictor of clinical outcome. We recommend applying postsurgical HDratio
to TBI patients in order to estimate their clinical outcome and adjust treatment.
PMID- 26548832
TI - Assessing Patient Reported Outcomes Measures via Phone Interviews Versus Patient
Self-Survey in the Clinic: Are We Measuring the Same Thing?
AB - BACKGROUND: Longitudinally following patients requires a full-time employee (FTE)
dependent data inflow infrastructure. There are efforts to capture patient
reported outcomes (PROs) by the use of non-FTE-dependent methodologies. In this
study, we set out to assess the reliability of PRO data captured via FTE
dependent compared with non-FTE-dependent methodologies. METHODS: A total of 119
adult patients (65 men) who underwent 1-and 2-level lumbar fusions at Duke
University Medical Center were enrolled in this prospective study. Enrollment
criteria included available demographic, clinical, and PRO data. All patients
completed 2 sets of questionnaires--the first a phone interviews and the second a
self-survey. There was at least a 2-week period between the phone interviews and
self-survey. Questionnaires included the Oswestry Disability Index (ODI), the
visual analog scale for back pain (VAS-BP), and the visual analog scale for leg
pain (VAS-LP). Repeated-measures analysis of variance was used to compare the
reliability of baseline PRO data captured. RESULTS: A total of 39.49% of patients
were smokers, 21.00% had diabetes, and 11.76% had coronary artery disease; 26.89%
reported history of anxiety disorder, and 28.57% reported history of depression.
A total of 97.47% of patients had a high-school diploma or General Education
Development, and 49.57% attained a 4-year college degree or postgraduate degree.
We observed a high correlation between baseline PRO data captured between FTE
dependent versus non-FTE dependent methodologies (ODI: r = -0.89, VAS-BP: r =
0.74, VAS-LP: r = 0.70). There was no difference in PROs of baseline pain and
functional disability between FTE-dependent and non-FTE-dependent methodologies:
baseline ODI (FTE-dependent: 47.73 +/- 16.77 [mean +/- SD] vs. non-FTE-dependent:
45.81 +/- 12.11, P = 0.39), VAS-LP (FTE-dependent: 6.13 +/- 2.78 vs. non-FTE
dependent: 6.46 +/- 2.79, P = 0.36) and VAS-BP (FTE-dependent: 6.33 +/- 2.90 vs.
non-FTE-dependent: 6.53 +/- 2.48, P = 0.57). CONCLUSION: Our study suggests that
there is great reliability between PRO data captured between FTE-dependent and
non-FTE-dependent methodologies.
PMID- 26548833
TI - Ictal EEG Source Imaging for Presurgical Evaluation of Refractory Focal Epilepsy.
AB - BACKGROUND: Electroencephalography source imaging (ESI) is a promising tool for
localizing the cortical sources of both ictal and interictal epileptic
activities. Many studies have shown the clinical usefulness of interictal ESI,
but very few have investigated the utility of ictal ESI. The aim of this article
is to examine the clinical usefulness of ictal ESI for epileptic focus
localization in patients with refractory focal epilepsy, especially extratemporal
lobe epilepsy. METHODS: Both ictal and interictal ESI were performed by the use
of patient-specific realistic forward models and 3 different linear distributed
inverse models. Lateralization as well as concordance between ESI-estimated
focuses and single-photon emission computed tomography (SPECT) focuses were
assessed. RESULTS: All the ESI focuses (both ictal and interictal) were found
lateralized to the same hemisphere as ictal SPECT focuses. Lateralization results
also were in agreement with the lesion sides as visualized on magnetic resonance
imaging. Ictal ESI results, obtained from the best-performing inverse model, were
fully concordant with the same cortical lobe as SPECT focuses, whereas the
corresponding concordance rate is 87.50% in case of interictal ESI. CONCLUSIONS:
Our findings show that ictal ESI gives fully lateralized and highly concordant
results with ictal SPECT and may provide a cost-effective substitute for ictal
SPECT.
PMID- 26548834
TI - Quantitative Evaluation of Local Control and Wound Healing Following Surgery and
Stereotactic Spine Radiosurgery for Spine Tumors.
AB - OBJECTIVE: The present study evaluated the optimal measuring criteria to assess
spinal tumor response to surgery followed by stereotactic spine radiosurgery
(SRS) and reports the local control and wound complication rates following
combined multimodality treatment. METHODS AND MATERIALS: Prospectively collected
patient information was retrospectively reviewed to identify patients treated
with spine surgery followed by SRS. Tumor sizes and volumetric assessment were
formally measured. Local control status was defined according to World Health
Organization (WHO, bidimensional), RECIST (unidimensional), or volumetric size
change. Statistical comparative assessments of tumor measurements were performed.
RESULTS: Twenty-two patients were eligible for evaluation after having undergone
surgery followed by single-fraction SRS within a 2-month period. Seventeen had
follow-up magnetic resonance imaging (MRI) with a mean patient follow-up of 12.59
months (range 3-36 months). None developed wound complication after radiation
therapy (95% lower confidence bound 13%). Two patients had clinical recurrence
while 15 of 17 achieved local control (88.3%). A test of marginal homogeneity for
RECIST versus WHO was not statistically significant, P = 1.0 suggesting similar
response classifications with both systems. Spearman correlations among 1)
volumetric assessment, 2) bidimensional size, and 3) unidimensional size were
significant for all groups (P < 0.05). CONCLUSION: High local control rates can
be achieved with surgery followed by SRS. Further, adjuvant SRS following spine
tumor surgery delivers less radiation to the wound than conventional radiation
and thus potentially reduces wound complications. Unidimensional, bidimensional,
and volumetric tumor assessments demonstrate similar results. Hence the use of
the simpler RECIST criteria is suitable and appropriate for evaluating the
response to treatment after spine radiosurgery.
PMID- 26548835
TI - Incidence of Idiopathic Normal-Pressure Hydrocephalus in Northern Spain.
AB - BACKGROUND: Although the incidence of idiopathic normal-pressure hydrocephalus
(iNPH) can be 1.20 cases/1000 inhabitants/year in individuals >= 70 years old, in
most series, the incidence of shunt-responsive iNPH appears to be <1/100,000
inhabitants/year. We report the results of a prospective 10-year longitudinal
study of the incidence of iNPH in a northern Spanish population. METHODS: In a
stable population of 590,000 inhabitants served by a single neurosurgical
department, we periodically asked all primary care practitioners, neurologists,
and geriatricians to refer for iNPH screening any patient with ventricular
dilation who was complaining of motor disturbances, cognitive impairment, or
sphincter dysfunction. RESULTS: From January 2003 to December 2012, 293 patients
were referred with suspected normal-pressure hydrocephalus. In 187 patients, iNPH
was diagnosed; 89 of these patients were classified as probable iNPH, and 98 were
classified as possible iNPH. Cerebrospinal fluid diversion was performed in 152
patients, and 119 showed a good outcome. The incidence of iNPH was significantly
greater in male patients and patients >60 years old and increased exponentially
with age. After age 60, the standardized age- and sex-adjusted incidence rates
for iNPH, shunt surgery for iNPH, and shunt-responsive iNPH were 13.36
cases/100,000 inhabitants/year, 10.85 cases/100,000 inhabitants/year, and 8.55
cases/100,000 inhabitants/year. No differences were detected in the response rate
between probable and possible iNPH (80.52% vs. 76.00%; P = 0.497). CONCLUSIONS:
Even with a protocol for patient referral in place, reported iNPH incidence was
lower than predicted, reflecting a persistent problem of underdiagnosis in our
population.
PMID- 26548836
TI - Posterior 2-Level Vertebral Column Resection for the Treatment of Progressive
Rotational Dislocation in Kyphoscoliotic Deformities.
AB - OBJECTIVE: Progressive rotational dislocation of the spine is rare and surgical
treatment is challenging. Few reports have described surgical decompression,
fusion, and partial correction by traditional 2-stage anterior decompression and
the posterior fixation technique. The goal of this retrospective study was to
report a series of 6 patients with this deformity and the outcome after treatment
by posterior-only 2-level vertebral column resection (PVCR). METHODS: Between
2011 and 2014, 6 patients were treated for kyphoscoliotic deformities with
progressive rotational dislocation. In these 6 patients (2 males and 4 females),
the diagnosis included 4 cases of congenital kyphosis and 2 cases of
neurofibromatosis; the distribution of spine level was from T4 to T11; the
kyphosis angle of the patients was 115 degrees (range, 107-125 degrees ); the
scoliosis angle was 97 degrees (range, 80 degrees -117 degrees ); follow-up
ranged from 13 to 51 months (mean, 27 months). Four patients developed
progressive onset of neurologic deficit. All patients underwent surgery by 2
level PVCR for decompression and correction of kyphoscoliosis. RESULTS:
Postoperatively, the patients all had different kyphosis correction rates, from
49% to 72% (mean, 63%) and scoliosis correction rates, from 57% to 78% (mean,
65%). All patients achieved successful spinal fusion with less than 3 degrees of
loss of correction at the latest follow-up evaluation. The 4 patients with
incomplete neurologic deficits improved 1 or 2 American Spinal Injury Association
scales at follow-up of at least 6 months. CONCLUSIONS: Two-level PVCR is a safe
and efficacious surgical option for the treatment of rotational dislocation in
kyphoscoliosis and associated neurologic deficit.
PMID- 26548837
TI - Intraventricular Meningioma: Technical Nuances in Surgical Management.
AB - OBJECTIVE: Intraventricular meningiomas (IVMs) are rare tumors compared with
intracranial meningiomas. Optimal surgical management of IVMs is controversial.
The objective of this article was to review the outcomes and complications of
meningiomas treated with various surgical approaches. METHODS: We performed a
retrospective review of 18 patients with IVMs who received different treatment
strategies during the period 2000-2014. Of 18 patients, 17 underwent
microsurgical resection, and 1 patient received Gamma Knife radiosurgery. The
literature was reviewed to compare the present cohort with previously published
series. RESULTS: In our series, 12 (70%) patients underwent parieto-occipital
craniotomy and a superior parietal gyrus approach, which was similar to
procedures used in various series (74.4%) in the existing literature.
Preoperatively, patients commonly presented with headache (65%) and neurologic
deficits (70%). After surgical management, there was a significant reduction in
symptoms, including headache (preoperative 65% vs. postoperative 6%, P = 0.0001),
and neurologic deficits (preoperative 70% vs. postoperative 5.5%).
Preoperatively, 2 patients experienced visual impairment, which was completely
resolved after surgery. The Karnofsky performance scale was significantly
improved after resection compared with before treatment (89 vs. 76, P = 0.003).
In 4 patients with World Health Organization grade II tumor, redo surgery was
required because of recurrence of tumor. CONCLUSIONS: Based on a literature
review and our experience, surgical approaches for patients with IVM vary
according to size, location, and laterality of the meningioma. In addition, the
growth pattern of the tumor (transependymal extension), vascular supply of the
tumor, and brain function (particularly visual function) can affect surgical
treatment and should be identified preoperatively.
PMID- 26548838
TI - IgG4 subclass glutamic acid decarboxylase antibodies (GADA) are associated with a
reduced risk of developing type 1 diabetes as well as increased C-peptide levels
in GADA positive gestational diabetes.
AB - Some women with gestational diabetes (GDM) present with autoantibodies associated
with type 1 diabetes. These are usually directed against glutamic acid
decarboxylase (GADA) and suggested to predict development of type 1 diabetes. The
primary aim of this study was to investigate if GADA IgG subclasses at onset of
GDM could assist in predicting postpartum development. Of 1225 women diagnosed
with first-time GDM only 51 were GADA-positive. Total GADA was determined using
ELISA. GADA subclasses were determined with radioimmunoassay. Approximately 25%
of GADA-positive women developed type 1 diabetes postpartum. Titers of total GADA
were higher in women that developed type 1 diabetes (142.1 vs 74.2u/mL; p=0.04)
and they also had lower titers of GADA IgG4 (index=0.01 vs 0.04; p=0.03). In
conclusion we found that that women with high titers of total GADA but low titers
of GADA IgG4 were more prone to develop type 1 diabetes postpartum.
PMID- 26548840
TI - Ultrasound influence on the solubility of solid dispersions prepared for a poorly
soluble drug.
AB - Solid dispersions have been successfully used to enhance the solubility of
several poorly water soluble drugs. Solid dispersions are produced by melting
hydrophilic carriers and mixing in the poorly water soluble drug. Supersaturation
is obtained by quickly cooling the mixture until it solidifies, thereby
entrapping the drug. The effects of using ultrasound to homogenize the molten
carrier and drug mixture were studied. In particular, the increase in drug
solubility for the resulting solid dispersions was analyzed. Piroxicam, which has
very low water solubility, was used as a model drug. A full factorial design was
used to analyze how sonication parameters affected the solubility and in vitro
release of the drug. The results show that the use of ultrasound can
significantly increase the solubility and dissolution rate of the piroxicam solid
dispersion. Pure piroxicam presented a solubility of 13.3 MUg/mL. A maximum
fourfold increase in solubility, reaching 53.8 MUg/mL, was observed for a solid
dispersion sonicated at 19 kHz for 10 min and 475 W. The in vitro dissolution
rate test showed the sonicated solid dispersion reached a maximum rate of
18%/min, a sixfold increase over the piroxicam rate of 2.9%/min. Further solid
state characterization by thermal, X-ray diffraction and Fourier transform
infrared analyses also showed that the sonication process, in the described
conditions, did not adversely alter the drug or significantly change its
polymorphic form. Ultrasound is therefore an interesting technique to homogenize
drug/carrier mixtures with the objective of increasing the solubility of drugs
with poor water solubility.
PMID- 26548839
TI - Using an in vitro xenoantibody-mediated complement-dependent cytotoxicity model
to evaluate the complement inhibitory activity of the peptidic C3 inhibitor Cp40.
AB - Simple and reliable methods for evaluating the inhibitory effects of drug
candidates on complement activation are essential for preclinical development.
Here, using an immortalized porcine aortic endothelial cell line (iPEC) as
target, we evaluated the feasibility and effectiveness of an in vitro
xenoantibody-mediated complement-dependent cytotoxicity (CDC) model for
evaluating the complement inhibitory activity of Cp40, a potent analog of the
peptidic C3 inhibitor compstatin. The binding of human xenoantibodies to iPECs
led to serum dilution-dependent cell death. Pretreatment of the human serum with
Cp40 almost completely inhibited the deposition of C3 fragments and C5b-9 on the
cells, resulting in a dose-dependent inhibition of CDC against the iPECs. Using
the same method to compare the effects of Cp40 on complement activation in
humans, rhesus and cynomolgus monkeys, we found that the inhibitory patterns were
similar overall. Thus, the in vitro xenoantibody-mediated CDC assay may have
considerable potential for future clinical use.
PMID- 26548841
TI - Sonochemical assisted synthesis of SrFe12O19 nanoparticles.
AB - We present the synthesis of M-type strontium hexaferrite by sonochemistry and
annealing. The effects of the sonication time and thermal energy on the crystal
structure and magnetic properties of the obtained powders are presented.
Strontium hexagonal ferrite (SrFe12O19) was successfully prepared by the
ultrasonic cavitation (sonochemistry) of a complexed polyol solution of metallic
acetates and diethylene glycol. The obtained materials were subsequently annealed
at temperatures from 300 to 900 degrees C. X-ray diffraction analysis shows that
the sonochemical process yields an amorphous phase containing Fe(3+), Fe(2+) and
Sr(2+) ions. This amorphous phase transforms into an intermediate phase of
maghemite (gamma-Fe2O3) at 300 degrees C. At 500 degrees C, the intermediate
species is converted to hematite (alpha-Fe2O3) by a topotactic transition. The
final product of strontium hexaferrite (SrFe12O19) is generated at 800 degrees
C. The obtained strontium hexaferrite shows a magnetization of 62.3 emu/g, which
is consistent with pure hexaferrite obtained by other methods, and a coercivity
of 6.25 kOe, which is higher than expected for this hexaferrite. The powder
morphology is composed of aggregates of rounded particles with an average
particle size of 60 nm.
PMID- 26548842
TI - Genetic differentiation and diversity of Callosobruchus chinensis collections
from China.
AB - Callosobruchus chinensis (Linnaeus) is one of the most destructive pests of
leguminous seeds. Genetic differentiation and diversity analysis of 345 C.
chinensis individuals from 23 geographic populations using 20 polymorphic simple
sequence repeats revealed a total of 149 alleles with an average of 7.45 alleles
per locus. The average Shannon's information index was 1.015. The gene flow and
genetic differentiation rate values at the 20 loci ranged from 0.201 to 1.841 and
11.0-47.2%, with averages of 0.849 and 24.4%, respectively. In the 23 geographic
populations, the effective number of alleles and observed heterozygosity ranged
from 1.441 to 2.218 and 0.191-0.410, respectively. Shannon's information index
ranged from 0.357 to 0.949, with the highest value in Hohhot and the lowest in
Rudong. In all comparisons, the fixation index (F ST ) values ranged from 0.049
to 0.441 with a total F ST value of 0.254 among the 23 C. chinensis populations,
indicating a moderate level of genetic differentiation and gene flow among these
populations. Analysis of molecular variance revealed that the genetic variation
within populations accounted for 76.7% of the total genetic variation. The
genetic similarity values between populations varied from 0.617 to 0.969, whereas
genetic distances varied from 0.032 to 0.483. Using unweighted pair-group method
using arithmetical averages cluster analysis, the 23 geographic collections were
classified into four distinct genetic groups but most of them were clustered into
a single group. The pattern of the three concentrated groups from polymerase
chain reactions analysis showed a somewhat different result with cluster.
PMID- 26548844
TI - Novel cellular and post-genomic technologies in the treatment of glioblastoma
multiforme (Review).
AB - Glioblastoma multiforme (GBM) is one of the most aggressive brain tumors. The
majority of modern treatment methods for GBM are not sufficiently effective with
a median survival varying from 9 to 14 months. One of the main reasons for the
therapeutic resistance of GBM is attributed to cancer stem cells. Pharmaceuticals
that can effectively eliminate cancer stem cells do not exist. Experimentally, we
have shown that cancer stem cells can be specifically affected to arrest
adhesion, proliferation and migration, and other key functions. The main target
of this therapy involves membrane intracellular signaling pathways of cancer stem
cells that are not subject to neoplastic transformation. An effect on such a
complex target requires the development of innovative biotechnological
approaches. The research analysis of modern approaches towards creating
biomedical drugs for treating cancer stem cells of glioblastoma multiforme is
based on advances in the latest cellular and post-genomic technologies. The
combination of targeted therapy with regulation of the key functions of cancer
stem cells using cell systems with a remodeled proteome is suggested.
PMID- 26548845
TI - Sequential changes in autophagy in diabetic cardiac fibrosis.
AB - Autophagy is considered to be associated with cardiac fibrosis. However, whether
autophagy accelerates or ameliorates fibrosis remains to be elucidated. In the
present study, 36 rats were divided into two groups: Control rats and diabetic
rats. The diabetic rats were established by feeding the animals a high fat diet
combined with streptozotocin. From the two groups, six rats were sacrificed after
1, 6 and 7 months. Cardiac systolic functions were measured. The collagen volume
fraction was calculated using Masson's trichome staining and the mRNA expression
levels of type-I and type-III collagen were measured using reverse transcription
quantitative polymerase chain reaction (RT-qPCR) to assess the levels of cardiac
fibrosis. The protein contents of microtubule-associated protein 1 light chain 3
(LC3) and sequestosome 1 (P62) were evaluated using western blotting, and the
mRNA expression of Beclin 1 was measured using RT-qPCR, in order to assess
autophagy. The results revealed that, in the diabetic rats, cardiac fibrosis
developed and cardiac systolic function was reduced. In the hearts of the
diabetic rats, the mRNA expression levels of collagen type I and III, and Beclin1
were upregulated; the ratio of the protein level of LC3-II/LC3-I was increased
and the content of P62 was decreased. All the changes were aggravated as time
increased. The changes in autophagy were correlated with those of cardiac
fibrosis, suggesting that autophagy may have a synergistic role in diabetic
cardiac fibrosis.
PMID- 26548843
TI - Early growth characteristics and the risk of reduced lung function and asthma: A
meta-analysis of 25,000 children.
AB - BACKGROUND: Children born preterm or with a small size for gestational age are at
increased risk for childhood asthma. OBJECTIVE: We sought to assess the
hypothesis that these associations are explained by reduced airway patency.
METHODS: We used individual participant data of 24,938 children from 24 birth
cohorts to examine and meta-analyze the associations of gestational age, size for
gestational age, and infant weight gain with childhood lung function and asthma
(age range, 3.9-19.1 years). Second, we explored whether these lung function
outcomes mediated the associations of early growth characteristics with childhood
asthma. RESULTS: Children born with a younger gestational age had a lower FEV1,
FEV1/forced vital capacity (FVC) ratio, and forced expiratory volume after
exhaling 75% of vital capacity (FEF75), whereas those born with a smaller size
for gestational age at birth had a lower FEV1 but higher FEV1/FVC ratio (P <
.05). Greater infant weight gain was associated with higher FEV1 but lower
FEV1/FVC ratio and FEF75 in childhood (P < .05). All associations were present
across the full range and independent of other early-life growth characteristics.
Preterm birth, low birth weight, and greater infant weight gain were associated
with an increased risk of childhood asthma (pooled odds ratio, 1.34 [95% CI, 1.15
1.57], 1.32 [95% CI, 1.07-1.62], and 1.27 [95% CI, 1.21-1.34], respectively).
Mediation analyses suggested that FEV1, FEV1/FVC ratio, and FEF75 might explain
7% (95% CI, 2% to 10%) to 45% (95% CI, 15% to 81%) of the associations between
early growth characteristics and asthma. CONCLUSIONS: Younger gestational age,
smaller size for gestational age, and greater infant weight gain were across the
full ranges associated with childhood lung function. These associations explain
the risk of childhood asthma to a substantial extent.
PMID- 26548846
TI - Giant seaperch iridovirus (GSIV) induces mitochondria-mediated cell death that is
suppressed by bongkrekic acid and cycloheximide in a fish cell line.
AB - Giant seaperch iridovirus (GSIV) induces cell death by an unknown mechanism. We
postulated that this mechanism involves mitochondria-mediated cell death. Cell
viability assays revealed a steady increase in dead grouper fin cells (GF-1)
after GSIV infection, from 11% at 2 days post-infection (dpi) to 67% at 5 dpi.
Annexin V/PI staining revealed GSIV infection induced apoptosis in a steadily
increasing fraction of cells, from 4% at 1 dpi to 29% at 5 dpi. Furthermore, post
apoptotic necrosis was apparent at 4 and 5 dpi in the late replication stage. In
the early replication stage, JC-1 dye revealed mitochondrial membrane potential
(DeltaPsim) loss in 42% of infected cells at 1 dpi, increasing to 98% at 3 dpi.
Phosphatidylserine (PS) exposure and loss of DeltaPsim from apoptosis/necrosis
was attenuated by treatment with the adenine nucleotide translocase inhibitor
bongkrekic acid (BKA) and the protein synthesis inhibitor cyclohexamide (CHX).
These data suggest GSIV induces GF-1 apoptotic/necrotic cell death through
pathways that require newly synthesized protein and involve the mitochondrial
function.
PMID- 26548847
TI - [Onychomadesis: An unusual sign of alarm in the elderly].
PMID- 26548848
TI - [Traumatic spinal cord injury in people over 65 in Asturias].
AB - AIMS: to assess incidence, causes and socio-demographicaspects of traumatic
spinal cord injury among patients over 65 in Asturias (Spain). PATIENTS AND
METHODS: A census was performed between 1951 and 2013 of patients in Asturias,
over 65 years-old coded as "traumatic spinal cord injury with or without
vertebral fracture". Socio-demographic, hospital and clinical variables were
recorded. RESULTS: In total 180 patients were registered, most of them males
(60%), with a mean age of 73 years (maximum 91). The estimated incidence in 2010
was found to be 24.9, in 2011, 28.9 and in 2012, 32.9 cases/million/year. The
distribution in the type of injury was homogeneous and location in the cervical
spine (40%) was found to be more common. There was bone injury in 71.4%, with
multilevel injury in more than half of the cases. The main cause was accidental
fall (52.1%), mainly at own height (68.6%), and most of them located in the
cervical spine (38.5%), followed by traffic accidents with 57.6% located in the
cervical spine. CONCLUSIONS: A change was observed in the epidemiological profile
of the patients over 65 years old with spinal cord injury. There were more cases
associated with accidental fall. It is necessary to create specific preventive
and therapeutic strategies for this group.
PMID- 26548850
TI - Abdominal compression during endoscopy (the Bolster technique) demonstrates
hidden Schatzki rings (with videos).
AB - BACKGROUND AND AIMS: Schatzki rings are found in the distal esophagus, are
associated with hiatal hernias, and present with intermittent dysphagia to solid
foods. They can be identified by radiology (GI series or barium swallow studies)
or endoscopy. Rings are not always visualized during endoscopy in patients in
whom they are suspected clinically. The Bolster technique involves application of
epigastric abdominal pressure, which offers the potential to reveal a Schatzki
ring that is otherwise obscured within a reduced hiatal hernia. The aim of this
study was to determine whether the Bolster technique improves endoscopic
detection of Schatzki rings. METHODS: We reviewed 30 symptomatic patients with a
history of a Schatzki ring in a tertiary care center. The Bolster technique was
applied to patients in whom the ring was not visible during standard endoscopy.
The main outcome measurement was identification of the Schatzki ring after the
Bolster technique. RESULTS: A Schatzki ring was visible during standard endoscopy
in 26 of the 30 patients. In the remaining 4, the ring was visible only after the
application of the Bolster technique. CONCLUSION: The Bolster technique is a
simple maneuver that can increase detection rates of Schatzki rings during
endoscopy.
PMID- 26548849
TI - Transpapillary drainage has no added benefit on treatment outcomes in patients
undergoing EUS-guided transmural drainage of pancreatic pseudocysts: a large
multicenter study.
AB - BACKGROUND AND AIMS: The need for transpapillary drainage (TPD) in patients
undergoing transmural drainage (TMD) of pancreatic fluid collections (PFCs)
remains unclear. The aims of this study were to compare treatment outcomes
between patients with pancreatic pseudocysts undergoing TMD versus combined (TMD
and TPD) drainage (CD) and to identify predictors of symptomatic and radiologic
resolution. METHODS: This is a retrospective review of 375 consecutive patients
with PFCs who underwent EUS-guided TMD from 2008 to 2014 at 15 academic centers
in the United States. Main outcome measures included TMD and CD technical
success, treatment outcomes (symptomatic and radiologic resolution) at follow-up,
and predictors of treatment outcomes on logistic regression. RESULTS: A total of
375 patients underwent EUS-guided TMD of PFCs, of which 174 were pseudocysts. TMD
alone was performed in 95 (55%) and CD in 79 (45%) pseudocysts. Technical success
was as follows: TMD, 92 (97%) versus CD, 35 (44%) (P = .0001). There was no
difference in adverse events between the TMD (15%) and CD (14%) cohorts (P =
.23). Median long-term (LT) follow-up after transmural stent removal was 324 days
(interquartile range, 72-493 days) for TMD and 201 days (interquartile range, 150
493 days) (P = .37). There was no difference in LT symptomatic resolution (TMD,
69% vs CD, 62%; P = .61) or LT radiologic resolution (TMD, 71% vs CD, 67%; P =
.79). TPD attempt was negatively associated with LT radiologic resolution of
pseudocyst (odds ratio, 0.11; 95% confidence interval, 0.02-0.8; P = .03).
CONCLUSIONS: TPD has no benefit on treatment outcomes in patients undergoing EUS
guided TMD of pancreatic pseudocysts and negatively affects LT resolution of
PFCs.
PMID- 26548851
TI - Adaptive simulation training using cumulative sum: a randomized prospective
trial.
AB - BACKGROUND: Cumulative sum (Cusum) is a novel tool that can facilitate adaptive,
individualized training curricula. The purpose of this study was to use Cusum to
streamline simulation-based training. METHODS: Preclinical medical students were
randomized to Cusum or control arms and practiced suturing, intubation, and
central venous catheterization in simulation. Control participants practiced
between 8 and 9 hours each. Cusum participants practiced until Cusum proficient
in all tasks. Group comparisons of blinded post-test evaluations were performed
using Wilcoxon rank sum. RESULTS: Forty-eight participants completed the study.
Average post-test composite score was 92.1% for Cusum and 93.5% for control (P =
.71). Cusum participants practiced 19% fewer hours than control group
participants (7.12 vs 8.75 hours, P < .001). Cusum detected proficiency relapses
during practice among 7 (29%) participants for suturing and 10 (40%) for
intubation. CONCLUSIONS: In this comparison between adaptive and volume-based
curricula in surgical training, Cusum promoted more efficient time utilization
while maintaining excellent results.
PMID- 26548852
TI - TRAF6-mediated degradation of DOK3 is required for production of IL-6 and
TNFalpha in TLR9 signaling.
AB - Our previous study showed that the downstream of kinase 3 (DOK3) is degraded
during macrophage stimulation with CpG. However, the underlying mechanism and
role in Toll-like receptor 9 (TLR9) signaling remains elusive. In this study, we
demonstrate that CpG treatment leads to ubiquitin-mediated degradation of DOK3
via interaction with an E3 ligase TNFR-associated factor 6 (TRAF6). We also
identified the 27th amino acid (lysine) of DOK3 is responsible for Ly48
polyubiquitination of DOK3. Furthermore, reintroduction of DOK3 (K27R) into DOK3
deficient macrophages abolishes DOK3 degradation induced by CpG and suppresses
the production of IL-6 and TNFalpha. More importantly, our study uncovers a novel
role of an E3 ligase TRAF6, namely, TRAF6 is also able to catalyse Lys 48
polyubiquitylation of target protein except for Lys 63 polyubiquitylation.
PMID- 26548853
TI - Screening Mammography: Update and Review of Publications Since Our Report in the
New England Journal of Medicine on the Magnitude of the Problem in the United
States.
PMID- 26548854
TI - ECG-gated Versus Non-ECG-gated High-pitch Dual-source CT for Whole Body CT
Angiography (CTA).
AB - RATIONALE AND OBJECTIVES: To investigate motion artifacts, image quality, and
practical differences in electrocardiographic (ECG)-gated versus non-ECG-gated
high-pitch dual-source computed tomography angiography (CTA) of the whole aorta.
MATERIALS AND METHODS: Two groups, each including 40 patients, underwent either
ECG-gated or non-ECG-gated high-pitch dual-source CTA of the whole aorta. The
aortic annulus, aortic valve, coronary ostia, and the presence of motion
artifacts of the thoracic aorta as well as vascular contrast down to the femoral
arteries were independently assessed by two readers. Additional objective
parameters including image noise and signal-to-noise ratio were analyzed.
RESULTS: Subjective and objective scoring revealed no presence of motional
artifacts regardless of whether the ECG-gated or the non-ECG-gated protocol was
used (P > 0.1). Image acquisition parameters (examination length, examination
duration, radiation dose) were comparable between the two groups without
significant differences. The aortic annulus, aortic valve, and coronary ostia
were reliably evaluable in all patients. Vascular contrast was rated excellent in
both groups. CONCLUSIONS: High-pitch dual-source CTA of the whole aorta is a
robust and dose-efficient examination strategy for the evaluation of aortic
pathologies whether or not ECG gating is used.
PMID- 26548855
TI - Measurements of Hepatic Metastasis on MR Imaging:: Assessment of Interobserver
and Intersequence Variability.
AB - RATIONALE AND OBJECTIVES: The purpose of the study was to investigate
interobserver and intersequence variability in the measurement of hepatic
metastasis on magnetic resonance imaging (MRI). MATERIALS AND METHODS: This
retrospective study was conducted with an institutional review board-approved
waiver of informed consent and was in compliance with the Health Insurance
Portability and Accountability Act. We searched medical records at our
institution for patients with histologically proven metastases to the liver who
had undergone MRI from January 2008 to June 2010. We identified 20 patients with
30 measurable liver lesions. The liver lesions were measured on five different
MRI sequences. A presenter radiologist selected and localized all metastatic
lesions considered to be measurable according to the Response Evaluation Criteria
in Solid Tumors, and these lesions were measured (Eisenhauer et al., 2009) by
three radiologists independently. We calculated lesion-wise intraclass
correlation coefficients (ICCs) to estimate interobserver and intersequence
agreement in lesion diameter measurement. A Bland-Altman plot was used to
estimate the limits of agreement between radiologists and MRI sequences. RESULTS:
There were 30 metastases, and almost all of which had regular and well-defined
margins. Interobserver ICCs were greater than 0.95 for different MRI sequences
except for the measurements in apparent diffusion coefficient images.
Intersequence ICCs were greater than 0.92. Bland-Altman plots between physicians
confirmed that reader measurements were closely tied together, with small
differences in means. CONCLUSIONS: MRI can reproducibly measure hepatic
metastatic lesions without significant variability among interpreting
radiologists or among MRI sequences, and is thus a reliable method for assessing
the size of hepatic metastasis.
PMID- 26548856
TI - Cumulative effects of repetitive intermittent hypercapnic hypoxia on orexin in
the developing piglet hypothalamus.
AB - Orexin neuropeptides (OxA and OxB) and their receptors (OX1R and OX2R) are
involved in maintenance of sleep and wakefulness, and are regulated by various
environmental stimuli. We studied piglets, in the early neonatal period, exposed
to 48-min of intermittent hypercapnic hypoxia (IHH; 7% O2/8% CO2) alternating
with air. Three groups of 13-14 day-old piglets with IHH exposure of 1-day (1D
IHH) (n=7), 2-days (2D-IHH) (n=7) and 4-days (4D-IHH) (n=8) were compared to
controls (exposed only to air, n=8). Immunoreactivity of OxA and OxB was studied
in the piglet hypothalamic regions of the dorsomedial hypothalamus (DMH),
perifornical area (PeF) and lateral hypothalamic area (LH). Results showed that
after 1D- and 2D-IHH, total OxA and OxB expression decreased by 20% (p <= 0.005)
and 40% (p<0.001), respectively. After 4D-IHH, the decrease in OxA and OxB was
50% (p<0.001). These findings indicate that a chronic IHH exposure induces
greater changes in orexin neuropeptide expression than an acute 1-day exposure in
the hypothalamus. This may be causally related to the dysregulation of sleep.
PMID- 26548857
TI - High-Density Lipoprotein-Targeted Therapy and Apolipoprotein A-I Mimetic
Peptides.
AB - Numerous randomized clinical trials have established statins as the major
standard therapy for atherosclerotic diseases because these molecules decrease
the plasma level of low-density lipoprotein (LDL) cholesterol and moderately
increase that of plasma high-density lipoprotein (HDL) cholesterol. The reverse
cholesterol transport pathway, mediated by HDL particles, has a relevant
antiatherogenic potential. An important approach to HDL-targeted therapy is
optimization of the HDL-cholesterol level and enhanced removal of plasma
cholesterol, together with the prevention and mitigation of inflammation related
to atherosclerosis. Small-molecule inhibitors of cholesteryl ester transfer
protein (CETP) increase the HDL-cholesterol level in subjects with normal or low
HDL-cholesterol. However, CETP inhibitors do not seem to reduce the risk of
atherosclerotic diseases. HDL therapies using reconstituted HDL, including
apolipoprotein (Apo) A-I Milano, ApoA-I mimetics, or full-length ApoA-I, are
dramatically effective in animal models. Of those, the ApoA-I-mimetic peptide
called FAMP effectively removes cholesterol via the ABCA1 transporter and acts as
an antiatherosclerotic agent by enhancing the biological functions of HDL without
elevating the HDL-cholesterol level. Our review of the literature leads us to
conclude that HDL-targeted therapies have significant atheroprotective potential
and thus may effectively treat patients with cardiovascular diseases.
PMID- 26548859
TI - The clinical profile of women with stable ischaemic heart disease in Spain. More
effort is needed in secondary prevention. SIRENA study.
AB - OBJECTIVES: Cardiovascular diseases are the leading cause of death for women,
especially ischaemic heart disease, which is still considered a man's disease. In
Spain, there are various registries on ischaemic heart disease, although none are
exclusively for women. The objectives of the SIRENA study were to describe the
clinical profile of women with ischaemic heart disease treated in cardiology
consultations, to estimate its prevalence of cardiovascular risk factors and
understand its clinical management. PATIENTS AND METHODS: A multicentre
observational study was conducted with a sample of 631 women with stable
ischaemic heart disease, consecutively included during cardiology consultations.
Forty-one researchers from all over Spain participated in the study. RESULTS: The
mean age was 68.5 years. The clinical presentation was in the form of acute
coronary syndrome in up to 67.2% of the patients. The prevalence of
cardiovascular risk factors was high (77.7% of the patients had hypertension,
40.7% had diabetes and 68% had dyslipidaemia), with 30.7% having uncontrolled
hypertension, 78.4% having LDL-cholesterol levels higher than 70mg/dL and 49.2%
having HbA1c levels greater than 7%. The considerable majority of the patients
underwent optimal medical treatment with antiplatelet agents, beta-blockers,
renin-angiotensin-aldosterone system blockers and hypolipidaemic agents. Coronary
angiography was performed for 88.3% of the patients, and 63.4% underwent
percutaneous coronary intervention. CONCLUSIONS: Women with stable ischaemic
heart disease in Spain initially present some form of acute coronary syndrome and
a high prevalence of inadequately controlled cardiovascular risk factors, despite
undergoing optimal medical therapy. A high percentage of these women undergo
coronary revascularisation. Increased efforts are required for secondary
prevention in women with stable ischaemic heart disease.
PMID- 26548860
TI - The Structural Alteration and Aggregation of Bovine Lens Gamma-Crystallin by
Homocysteinylation; The Pathomechanism Underlying Cataract Development During
Hyperhomocysteinimia.
AB - A significant association between increased level of blood homocysteine
(hyperhomocysteinimia) and various eye pathological disorders including cataract
has been reported. This metabolic byproduct is converted into a highly reactive
cyclic thioester compound, homocysteine thiolactone (HCTL), which can potentially
react with free amino groups in protein. In the current study, as bovine lens
gamma-Crystallin (gamma-Cry) was incubated with HCTL, various spectroscopic
techniques, gel mobility shift assay, and microscopic analysis were applied to
characterize structural variation and aggregation of this protein. According to
the fluorescence results, HCTL-induced structural alteration was accompanied with
the significant enhancement in surface hydrophobicity of gamma-Cry. Also, this
cyclic thioester was indicated to alter gamma-Cry secondary structures and to
induce aggregation of this protein. The results of gel mobility shift assay
suggest the involvement of disulfide bond cross-linking in formation of the
protein aggregates. In conjunction with Thioflavin T and Congo red assays, the
microscopic analysis also suggests that HCTL can induce formation of ordered
aggregate entities in bovine lens gamma-Cry. The relationship between gamma-Cry
insolubilization/aggregation and growth of cataract disorders has been already
reported. Therefore, the induction of structural alteration and aggregation of
gamma-Cry by HCTL can elucidate the pathomechanism underlying cataract disorders
particularly in hyperhomocysteinimia.
PMID- 26548861
TI - Human Peroxiredoxins 1 and 2 and Their Interacting Protein Partners; Through
Structure Toward Functions of Biological Complexes.
AB - Since their discovery in the mid-nineties, peroxiredoxins have drawn much
attention and the number of papers publications on different Prxs has been
multiplied. The rise in interest in this topic is probably due, at least in part,
to the large and further increasing functions attributed to the members of this
family of ubiquitous proteins, including many redox and non-redox physiological
functions. This review presents a Since their discovery in the mid-nineties,
peroxiredoxins have drawn much attention and the number of publications on
different Prxs has been multiplied. The rise in interest in this topic is
probably due, at least in part, to the large and further increasing functions
attributed to the members of this family of ubiquitous proteins, including many
redox and non-redox physiological functions. This review presents a literature
survey of the protein partners of the human Peroxiredoxin-1 and Peroxiredoxin- 2
of the Peroxiredoxin 1 subfamily, the most abundant class. Three sequence motifs,
or combinations thereof, were found in the protein partners, namely, CXXC, PXXP,
and LXXLL. These findings are discussed in light of i) protein partner
localization, function and biological pathways and ii) the peroxiredoxins regions
important for partner interaction, as revealed by the Peroxiredoxin-1
Sulfiredoxin-1 complex structure. The outcome of these analyses is expected to
unravel some common molecular bases underlying peroxiredoxins propensity to bind
a partner, as well as to propose a functional role for this interaction that
could help to widen the biological role of this important class of enzymes.
PMID- 26548862
TI - In Vitro Reconstitution of the Toll/Interleukin-1 Receptor (TIR) Domain Complex
Between TLR5/6 and Myd88.
AB - Toll-like receptors (TLRs) are evolutionarily conserved receptors with trimodular
structure to respond to endogenous ligands and exogenous ligands from microbial
pathogens. The highly conserved cytoplasmic C-terminal Toll/interleukin-1
receptor (TIR) domain of TLRs plays a crucial role in inflammatory reactions. In
myeloid differentiation primary-response protein 88 (MyD88)- dependent signaling
pathway, the interaction of TLRsTIR with cytosolic adaptor protein, MyD88TIR
recruits IL-1R-associated kinases (IRAK) for subsequent activation of
transcription factors nuclear factor kB (NF-kB) and activation protein 1 (AP-1)
and other effector molecules. In the present investigation, TLR5TIR, TLR6TIR and
MyD88TIR genes were subcloned and overexpressed in bacterium Escherichia coli
strain BL- 21 (DE3). The purification and biochemical characterization of TLR5TIR
and TLR6TIR&, and MyD88TIR proteins were also performed. The protein-protein
interactions between TIR domains of TLR5 and TLR6 with MyD88, respectively, were
evaluated in vitro at physiological pH and salt concentration. The in vitro
reconstitution results showed that under physiological pH and salt concentration,
MyD88TIR interacted with TLR5TIR, and did not interact with TLR6TIR protein. Both
TIR domain-containing TLR5 and TLR6 proteins were prone to aggregation in a
temperature-dependent manner at room temperature. At normal physiological pH and
salt concentration, with the addition of binding partner MyD88TIR to TLR5/6TIR,
time-dependent aggregation was not observed in both TLRsTIR at both room
temperature and 4 oC for 2 d, influencing the solubility of TLR5/6TIR. Moreover,
TLR5TIR alone exhibited increase in solubility of the protein with increase in
the salt concentration of the buffered solution from 0.025 M to 1.25 M at room
temperature.
PMID- 26548863
TI - Comparative Protein Profiling of Intraphagosomal Expressed Proteins of
Mycobacterium bovis BCG.
AB - BCG, the only available vaccine against tuberculosis affords a variable
protection which wanes with time. In this study we have analyzed and compared the
proteins which are expressed differentially during broth-culture and
intraphagosomal growth of M.bovis BCG. Eight proteins which showed increased
expression during the intraphagosomal growth were identified by MALDI-TOF/MS.
These were - a precursor of alanine and proline-rich secreted protein apa,
isoforms of malate dehydrogenase, large subunit alpha (Alpha-ETF) of electron
transfer flavoprotein, immunogenic protein MPB64 precursor, UPF0036 protein, and
two proteins with unknown function. Based on these findings we speculate that
higher expression of these proteins has a probable role in intracellular
survival, adaptation and/or immunoprotective effect of BCG. Further, these
proteins might also be used as gene expression markers for endosome trafficking
events of BCG.
PMID- 26548864
TI - Recombinant Human Semenogelin-1 (Sg1) and Sg1 (1-159) form Detergent Stable
Amyloid like Aggregates in vitro.
AB - Senile seminal vesicle amyloidosis (SSVA) is associated with deposition of
semenogelin-1 (Sg1) protein aggregates in seminal vesicles that may manifest as
hematospermia. Sg1 is the predominant protein that entraps spermatozoa which are
freed upon fragmentation of Sg1 by the protease prostate specific antigen (PSA),
post semen release. Certain small peptide fragments of Sg1 have been reported to
form amyloid aggregates in vitro that can enhance HIV infectivity to cell
cultures. However, the amyloid deposits in the seminal vesicles are expected to
be that of the full length Sg1, as PSA is encountered downstream. So far, amyloid
forming ability of full length Sg1 has not been established in vitro. Here, we
examined the amyloidogenicity of full length Sg1 and a large fragment Sg1 (1
159), using recombinant proteins and tested if Zinc has any effect on their
aggregation. Levels of Zinc, which is essential for health of male reproductive
system, gradually decline with age. We succeeded in forming amyloid-like
aggregates of Sg1 full length and Sg1 (1-159) fragment showing detergent
stability and found that presence of Zn2+ substantially inhibits their amyloid
aggregation in vitro. Possibly, high Zn2+ found in seminal plasma of young
individuals may have preventive role against aggregation of Sg1 in seminal
vesicles.
PMID- 26548865
TI - Activation of Mitochondrial Uncoupling Protein 4 and ATP-Sensitive Potassium
Channel Cumulatively Decreases Superoxide Production in Insect Mitochondria.
AB - It has been evidenced that mitochondrial uncoupling protein 4 (UCP4) and ATP
regulated potassium channel (mKATP channel) of insect Gromphadorhina coqereliana
mitochondria decrease superoxide anion production. We elucidated whether the two
energy-dissipating systems work together on a modulation of superoxide level in
cockroach mitochondria. Our data show that the simultaneous activation of UCP4 by
palmitic acid and mKATP channel by pinacidil revealed a cumulative effect on
weakening mitochondrial superoxide formation. The inhibition of UCP4 by GTP
(and/or ATP) and mKATP channel by ATP elevated superoxide production. These
results suggest a functional cooperation of both energy-dissipating systems in
protection against oxidative stress in insects.
PMID- 26548866
TI - Critical role of miR-155/FoxO1/ROS axis in the regulation of non-small cell lung
carcinomas.
AB - Lung cancer is the leading cause of cancer-related deaths in the world, and non
small cell lung carcinomas (NSCLC) account for 85 % of lung cancer cases. Despite
enormous achievement in the treatment of NSCLC, the molecular mechanisms
underlying the pathogenesis are largely unknown. The current study was designed
to evaluate the role of miR-155 in NSCLC cell proliferation and to explore the
possible molecular mechanisms. We found that miR-155 expression was increased in
NSCLC tissues and cell lines. The increase of miR-155 significantly increased
A549 cell proliferation, decreased S phase cell population and increased G2/M
phase cell population. Decrease of miR-155 expression markedly inhibited cell
proliferation, increased S phase cell population, and decreased G2/M phase cell
population. Increase of miR-155 significantly decreased forkhead box protein O1
(FoxO1) 3'UTR luciferase activity and expression and decrease of miR-155 notably
increased FoxO1 expression. Overexpression of FoxO1 significantly inhibited miR
155-exerted increase of cell proliferation and G2/M cell population.
Downregulation of FoxO1 by siRNAs significantly promoted cell proliferation,
decreased S phase cell numbers, and increased G2/M cell population.
Downregulation of FoxO1 markedly increased ROS level, as reflected by increased
DHE staining. Moreover, when N-acetylcysteine was present, increase of cell
proliferation induced by downregulation of FoxO1, and upregulation of miR-155 was
significantly inhibited. In conclusion, we found that miR-155 promoted NSCLC cell
proliferation through inhibition of FoxO1 and the subsequent increase of ROS
generation. Our findings highlight miR-155/FoxO1/ROS axis as a novel therapeutic
target for the inhibition of NSCLC growth.
PMID- 26548867
TI - The Role of Aging and Disability Resource Centers in Serving Adults Aging with
Intellectual Disabilities and Their Families: Findings from Seven States.
AB - For the first time, adults with intellectual and developmental disabilities
(I/DD) are living to experience old age. The purpose of this project was to
assess the activities of aging and disability resource centers (ADRCs) as they
seek to serve older adults with intellectual disabilities and their family
caregivers. Data come from 21 in-depth qualitative interviews with ADRC staff in
seven states. Results of this qualitative analysis indicate that ADRCs are not
focusing explicitly on adults aging with I/DD and their family caregivers, but
meeting the needs of this population is a future goal of ADRCs. Challenges
related to accessing and providing information and referral services for adults
aging with I/DD were described and highlight existing unmet needs of this
population. Supporting adults who simultaneously require aging and disability
services requires true coordination of aging and disability service systems.
PMID- 26548868
TI - Magnetic resonance enterography is feasible and reliable in multicenter clinical
trials in patients with Crohn's disease, and may help select subjects with active
inflammation.
AB - BACKGROUND: Reliable tools for patient selection are critical for clinical drug
trials. AIM: To evaluate a consensus-based, standardised magnetic resonance
enterography (MRE) protocol for selecting patients for inclusion in Crohn's
disease (CD) multicenter clinical trials. METHODS: This study recruited 20
patients [Crohn's Disease Activity Index (CDAI) scores: <150 (n = 8); 150-220 (n
= 4); 220-450 (n = 8)], to undergo ileocolonoscopy and two MREs (with and without
colonic contrast) within a 14-day period. Procedures were scored centrally using,
Magnetic Resonance Index of Activity (MaRIA), and both Crohn's Disease Endoscopic
Index of Severity (CDEIS) and Simplified Endoscopic Score (SES-CD). RESULTS: 37
MREs were acquired. Both MREs were evaluable in 16 patients for calculation of
test-retest and inter-reader reliability scores. The MaRIA scores for the
terminal ileum had excellent test-retest and inter-reader reliability, with
correlations >0.9. The proximal ileum showed strong within-reader agreement (0.90
0.96), and fair between-reader agreement (0.59-0.72). MRE procedures were
tolerable. MaRIA scores correlated with CDEIS and SES-CD (0.63 and 0.71), but not
with CDAI (0.34). MRE identified 3 patients with intra-abdominal complications,
who would otherwise have been included in clinical trials. Furthermore, both MRE
and ileocolonoscopy identified active bowel wall inflammation in 2 patients with
CDAI <150, and none in 1 patient with CDAI > 220. Data quality was good/excellent
in 85% of scans, and fair or better in 96%. CONCLUSIONS: Magnetic resonance
enterography of high-quality and reproducibility was feasible in a global multi-
centre setting, with evidence for improved selectivity over CDAI and
ileocolonoscopy in identifying appropriate CD patients for inclusion in
therapeutic intervention trials.
PMID- 26548869
TI - Physicomechanical characterization of polyetheretherketone and current esthetic
dental CAD/CAM polymers after aging in different storage media.
AB - STATEMENT OF PROBLEM: Polyetheretherketone (PEEK) can be used to support fixed
dental prostheses. However, information about physicomechanical characterization
is still scarce. PURPOSE: The purpose of this in vitro study was to assess
effects of different aging regimens/durations on roughness, solubility, water
absorption, Martens hardness (HM), and indentation modulus/EIT on different
computer-aided design and computer-aided manufacturing (CAD/CAM) polymers.
MATERIAL AND METHODS: Forty standardized specimens of the following materials
were fabricated: PEEK: Dentokeep (DK); hybrid material: VITA Enamic (EN);
composite resins: LAVA Ultimate (LU) and an experimental CAD/CAM nanohybrid
composite resin (EX); poly(methyl methacrylate) (PMMA)-based: VITA CAD-Temp (CT);
Telio CAD (TC), artBloc Temp (AT), and ZENOTEC ProFix (ZP). A nanofilled-polymer
for interim restorations, Protemp 4 (CG), served as the control group. Specimens
were stored in sodium chloride, artificial saliva, physiological saliva, and
distilled water at 37 degrees C for 1, 7, 14, 28, 90, and 180 days. Roughness,
water absorption, HM, and EIT were investigated after each storage period;
solubility was determined after 180 days only. Data were analyzed using weighted
3/2/1-way ANOVA and the post hoc Scheffe tests (alpha=.05). RESULTS: Storage
media had no effect on surface roughness and water absorption. Physiological
saliva revealed the highest significant impact on solubility followed by
artificial saliva, sodium chloride, and distilled water. Water absorption
increased significantly with storage duration. PEEK showed the lowest solubility
and water absorption values. The highest solubility was observed for the
conventional polymer CG, and the highest water absorption was found for the
composite LU. PMMA-based TC, ZP, CG, and AT showed the lowest HM and indentation
modulus, followed by CT, and PEEK. The highest values were observed for the
hybrid material EN, followed by LU and EX. CONCLUSIONS: The hardness parameters
of PEEK were comparable with those of PMMA-based materials.
PMID- 26548870
TI - Influence of stress corrosion on the mechanical properties of laser-welded
titanium.
AB - STATEMENT OF PROBLEM: Whether laser-welded (LW) titanium can resist the stress
corrosion produced by the combination of fluoride ions and stress in the oral
environment is unknown. PURPOSE: The purpose of this in vitro study was to
investigate the influence of stress corrosion on the mechanical properties of LW
titanium. MATERIAL AND METHODS: Twenty-seven titanium bars (25*2 mm) with a
circular cross-section were cut in half and laser-welded, while another 27
nonwelded (NW) bars were used as the control. Thirty bars were submitted to a
flexural load of 480 N at 1 Hz and immersed in artificial saliva at pH 6 (S1) or
in 1000 ppm fluoride-containing saliva at pH 6.0 (S2) or 2.0 (S3) at room
temperature for up to 4000 cycles. After the stress corrosion simulation, the
tensile strength and Vickers microhardness were determined (n=5). Twelve LW and
NW bars were submitted to the corrosion immersion test media for 51 days (n=2) to
determine polarization curves (n=2) in an artificial saliva media. The corroded
surface was examined with scanning electron microscopy (SEM). RESULTS: The
combination of fluoride and low pH significantly decreased the tensile strength
of LW (P<.05). Stress corrosion did not affect the hardness of LW or NW (P>.05).
NW bars immersed in S3 exhibited progressive surface dissolution, while LW bars
spontaneously fractured at the welded area after 25 days of immersion in the same
medium. SEM images demonstrated pitting corrosion without the presence of cracks
in both groups immersed in S3. CONCLUSIONS: Stress corrosion caused by acidic
fluoride-containing saliva and flexural load cycling decreased the tensile
strength and hardness of LW titanium bars.
PMID- 26548871
TI - Effect of remaining tooth structure on the fracture resistance of endodontically
treated maxillary premolars: An in vitro study.
AB - STATEMENT OF PROBLEM: Assessing the quantity and distribution of residual coronal
dentin is important in deciding the restorability of endodontically treated
teeth. PURPOSE: The purpose of this in vitro study was to investigate the
relationship between the cross-sectional area and location of remaining coronal
tooth structure and the fracture resistance of restored teeth after endodontic
treatment. MATERIAL AND METHODS: Fifty-five extracted maxillary premolars
received endodontic treatment and crown preparations. The teeth were assigned to
11 groups of 5 teeth according to the number and the location of missing axial
walls. Impressions of the prepared teeth were made to fabricate dies. Each die
was sectioned 1 mm above the finish line, and the dentin surface area was
measured. All teeth were restored with composite resin cores and cast metal
crowns. The specimens were thermocycled between 5 degrees C and 55 degrees C for
500 cycles before loading until failure with a universal testing machine. The
results were analyzed with 1-way ANOVA and post hoc comparisons. RESULTS:
Specimens with all axial walls intact around the access cavity had the highest
mean fracture strength (1380.5 +/-393.9 N). Groups with the palatal and 1 or 2
proximal walls missing showed the lowest mean failure loads (398.4 N +/-149.5 N
and 344.7 N +/-91.2 N). The correlation between the surface area and the fracture
resistance was 0.72 CONCLUSIONS: For restored endodontically treated maxillary
premolars, a positive linear relationship was found between the remaining coronal
dentin surface area and fracture strength. Residual dentin location influences
fracture resistance.
PMID- 26548872
TI - Is there a potential for durable adhesion to zirconia restorations? A systematic
review.
AB - STATEMENT OF PROBLEM: With a number of zirconia ceramic materials currently
available for clinical use, an overview of the scientific literature on the
adhesion methods and their potential influence is indicated. PURPOSE: The purpose
of this systematic review was to classify and analyze the existing methods and
materials proposed to improve adhesion to zirconia surfaces. MATERIAL AND
METHODS: The current literature of in vitro studies examining the bond strength
on zirconia ceramics, including clinical studies from 1998 until 2014, was
analyzed. A search of the English language literature was undertaken using
MEDLINE and PubMed, and a hand search was made for any relevant research paper
from the library of a dental school. Papers evaluating only alumina restoration
bond or ceramic-zirconia bond were excluded. RESULTS: A total of 134 publications
were identified for analysis. Different adhesive techniques with different
testing methods were reviewed. Results were difficult to compare in that the
parameters varied in each research protocol. CONCLUSIONS: Airborne-particle
abrasion and tribochemical silica coating are reference pretreatment methods.
Adhesive monomers are necessary for chemical bonding. Surface contamination and
aging have negative effects on adhesion to zirconia. Many factors influence each
combination of zirconia material, such as surface treatment, adhesive medium, and
aging conditions. Laboratory studies should be confirmed by clinical trials.
PMID- 26548873
TI - Retention of bonded titanium copings fixed to implant abutments.
AB - STATEMENT OF PROBLEM: Conical abutments can be laser welded to the abutment base
to compensate for differing implant axes. As laser welding requires expensive
equipment, alternative methods for bonding the conical abutment part with the
abutment base should be considered. PURPOSE: The purpose of this in vitro study
was to evaluate the retention of Ti-6Al-7Nb copings bonded adhesively to Ti-6Al
7Nb abutments and to compare it with the stability achieved by laser welding.
MATERIAL AND METHODS: A total of 104 two-part Ti-6Al-7Nb specimens were prepared
and divided into 13 groups with 8 specimens each. In this 2-part study, 3 luting
resins (Panavia F 2.0 [PF]; Multilink Automix [MA]; Superbond C&B [SB]) were used
with or without metal priming (PR). The laser welding group (LW) served as the
control. After storage for 1 or 150 days (150 days with thermal cycling [TC]),
push-out retention and welded joint stability were tested. The data were analyzed
with ANOVA and the Tukey HSD multiple comparison tests. RESULTS: The choice of
resin, thermal cycling, and metal priming had a significant effect on resin push
out retention. LW provided the strongest retention, followed by PF, MA, and SB.
For PF and SB, TC decreased retention. PR did not lead to higher retention but
provided better bonding stability when TC was applied. CONCLUSIONS: The retention
values suggest that considering the maximum mastication forces, resin bonding is
an appropriate substitute for the laser welding method.
PMID- 26548874
TI - Gingival pigmentation by Ni-Cr-based metal ceramic crowns: A clinical report.
AB - This clinical report describes treatment of a patient with gingival pigmentation
after the restoration of the right maxillary canine and first premolar with Ni-Cr
based metal ceramic crowns and investigation of mechanisms of gingival
discoloration. Histopathological observation and energy dispersive x-ray
spectroscopy analysis of the retrieved pigmented gingiva revealed brown deposits
both in the epithelial cells and along the basement membranes but no trace of Ni
or Cr elements in the pigmented particles, indicating that the gingival
pigmentation adjacent to the Ni-Cr-based metal ceramic prosthesis may not be
caused by direct deposition of the released ions.
PMID- 26548875
TI - Color change of soft silicone relining materials after storage in artificial
saliva.
AB - STATEMENT OF PROBLEM: The interaction between artificial saliva and color change
of silicone soft liners has not been clarified. PURPOSE: The purpose of this in
vitro study was to investigate the effect of artificial saliva storage on the
color stability of soft silicone liners. MATERIAL AND METHODS: Four silicone
based liners (Elite Soft Relining, GC Reline Soft, Megabase, and Mucopren Soft)
(n=10) were tested after 7, 30, and 90 days of storage in artificial saliva at 37
degrees C in darkness. The color of each specimen was measured with a
spectrophotometer using the CIELab color scale. Statistical analysis was
performed with the nonparametric ANOVA for dependent variables and nonparametric
ANOVA for independent variables (alpha=.05). RESULTS: The storage in artificial
saliva significantly affected the color integrity of 3 of the 4 tested materials.
For GC Reline Soft material, the time of storage had no significant effect on
color. CONCLUSIONS: Significant differences were found in the color changes of
silicone-based denture liners after storage in artificial saliva. With regard to
color stability, GC Reline Soft may be recommended for use in dental practices as
a silicone soft relining material for long-term applications.
PMID- 26548876
TI - Comparison of two color-difference formulas using the Bland-Altman approach based
on natural tooth color space.
AB - STATEMENT OF PROBLEM: Several formulas to measure color differences have been
proposed to improve the correlation between color measurements and visual
perception. Despite the progress obtained, equating between formulas is complex,
rendering the exchange of information in scientific papers difficult. PURPOSE:
The purpose of this study was to determine the relationship between the results
provided by classic CIELab (DeltaEab*) and the CIEDE2000 (DeltaE00) formulas in
the natural tooth color space using the Bland and Altman limits of agreement, to
use this relationship to establish the equivalences between the tooth color
thresholds of acceptability and perceptibility of both formulas, and to evaluate
whether the relationship between DeltaEab* and DeltaE00 is modified depending on
the axis on which the changes occur. MATERIAL AND METHODS: The L*, a*, and b*
means coordinates in the 26 shade tabs of the Toothguide 3D Master were used, and
color differences were calculated in 325 pairs of shade tabs using the CIELab
(DeltaE*ab) and CIEDE2000 (DeltaE00) color difference formulas. The results
obtained with these formulas were compared, and the limits of agreement after a
logarithmic transformation of the data were obtained. RESULTS: The linear
relationship between both formulas was DeltaE00 =0.66DeltaE*ab. The results
obtained with the CIELab formula were between 1.15 (95% confidence interval 1.11
to 1.18) and 2.09 (95% confidence interval 2.03 to 2.15) times higher than those
obtained with the CIEDE2000 formula. CONCLUSIONS: In the natural tooth color
space, the scale factor between CIEDE2000 and CIELab values changes from 0.46 to
0.90, such that providing an accurate scale factor between both values is
difficult. Furthermore, the DeltaE00/DeltaE*ab ratio increases with the increase
in DeltaL* and the decrease in Deltab*. The pairs for which the ratio is highest
are those in which the difference in color is mainly due to changes in lightness,
whereas the pairs for which the ratio is the smallest are those in which the
difference in color is mainly due to changes on the blue-yellow axis.
PMID- 26548877
TI - Positioning handle and occlusal locks for the Teeth-in-a-Day protocol.
AB - Positioning handles and occlusal locks have evolved as improvements to the Teeth
in-a-Day protocol. These improvements have enabled a more accurate alignment of
the removable dental prosthesis during the conversion prosthesis technique. These
improvements can be easily incorporated through computer-aided design and
computer-aided manufacturing digital denture fabrication process.
PMID- 26548878
TI - Effect of implant number and distribution on load transfer in implant-supported
partial fixed dental prostheses for the anterior maxilla: A photoelastic stress
analysis study.
AB - STATEMENT OF PROBLEM: The 4-, 3- or even 2-implant-supported partial fixed dental
prosthesis (PFDP) designs have been used to rehabilitate the anterior edentulous
maxilla. PURPOSE: The purpose of this in vitro study was to compare the stress
distribution in the supporting tissues surrounding implants placed in the
anterior maxilla with 5 PFDP designs. MATERIAL AND METHODS: A photoelastic model
of the human maxilla with an anterior edentulous region was made with
photoelastic resin (PL-2; Vishay Micro-Measurements), and 6 straight implants
(OsseoSpeed; Astra Tech AB) were placed in the 6 anterior tooth positions. The 5
design concepts based on implant location were as follows: model 6I: 6 implants;
model 2C2CI: 4 implants (2 canines and 2 central incisors); model 2C2LI: 4
implants (2 canines and 2 lateral incisors); model 2C1CI: 3 implants (2 canines
and 1 central incisor); and model 2C: 2 canines. A load of 127.4 N was applied on
the cingulum of 3 teeth at a 30-degree angle to the long axis of the implant.
Stresses that developed in the supporting structure were recorded
photographically. RESULTS: The 6-implant-supported PFDP exhibited the most even
and lowest distribution of stresses in all loading conditions. When the canine
was loaded, the 2- or 3-implant-supported PFDP showed higher stresses around the
implant at the canine position than did the 4- or 6-implant-supported PFDP. When
the central incisor or lateral incisor was loaded, the two 4-implant-supported
PFDPs exhibited similar levels of stresses around the implants and showed lower
stresses than did the 2- or 3-implant-supported PFDP. CONCLUSIONS: Implant number
and distribution influenced stress distribution around the implants in the
anterior maxilla. With a decrease in implant number, the stresses around the
implants increased.
PMID- 26548879
TI - Crossover clinical trial of different methods of removing a denture adhesive and
the influence on the oral microbiota.
AB - STATEMENT OF PROBLEM: The difficulty of removing denture adhesive is a common
problem reported by users of these products. PURPOSE: The purpose of this
clinical study was to investigate the effectiveness of different cleaning
protocols for removing a denture adhesive (DA) and the influence on the oral
microbiota. MATERIAL AND METHODS: Twenty participants wearing well-fitting
complete dentures were instructed to use a denture adhesive 3 times a day during
a 4-week trial, divided into 4 stages: (A) control-3 daily denture brushings
using water at ambient temperature, (B)-3 daily denture brushings using water at
ambient temperature plus coconut soap, (C)-3 daily denture brushings using water
at ambient temperature plus dentifrice; (D)-3 daily denture brushings using water
at ambient temperature combined with immersion in sodium perborate solution for 5
minutes before nocturnal sleep. After each 1-week stage, saliva specimens were
collected. A dye was used to display and quantify the remaining DA on the
internal surface of the maxillary dentures as a percentage. For microbiological
analysis, the saliva was diluted and plated onto Petri dishes containing a
nonselective culture medium and Candida spp culture media. After the incubation
period, Candida species were identified and the number of colony forming units
(CFU/mL) was calculated. RESULTS: A significant difference was found among the 4
cleaning methods for the quantification of remaining DA (Friedman, P=.036).
Brushing the dentures with coconut soap, dentifrice, or water combined with
immersion in sodium perborate solution was more effective in removing DA than
brushing with only water. The cleaning methods did not influence the
quantification of microorganisms in general or Candida albicans and other Candida
species in particular. CONCLUSIONS: Brushing the dentures with coconut soap,
dentifrice, or water combined with immersion in sodium perborate solution was
more effective for removing cream-type denture adhesive than brushing with only
water.
PMID- 26548880
TI - Influence of interimplant distance on the crestal bone height around dental
implants: A systematic review and meta-analysis.
AB - STATEMENT OF PROBLEM: Indexed publications lack a systematic review and meta
analysis of the influence of interimplant distance on the interproximal crestal
bone height (ICBH) around implants. PURPOSE: The purpose of this systematic
review and meta-analysis was to assess the influence of interimplant distance on
ICBH around implants. MATERIAL AND METHODS: The question addressed was, "Does
interimplant distance influence the ICBH around implants?" Indexed databases from
1978 up to April 2015 were searched by using the keywords "crestal bone level",
"bone loss", "bone height", and "interimplant distance." Reference lists of
potentially relevant original and review articles were searched manually to
identify any unidentified studies. Articles available online in electronic form
ahead of print were eligible for inclusion. Letters to the Editor, case reports,
case series, commentaries, studies involving bone augmentation procedures, and
studies published in languages other than English were excluded. A meta-analysis
of mean differences in ICBH among study groups was also performed. RESULTS: In
total, 5 animal studies with relatively short follow-up periods (2-12 months)
were included. Results from 4 studies showed no significant differences in ICBH
around implants placed 1 to 3 mm apart. However, 1 of the 5 studies found that
vertical bone loss was significantly lower in implants that had an interimplant
distance of 5 mm than those placed at a distance of 1 mm. Platform switched and
rough surface implants were used in all studies. CONCLUSIONS: The influence of
interimplant distance on ICBH around dental implants remains unclear.
PMID- 26548881
TI - Direct method of registering periimplant soft tissue forms for implant-supported
fixed dental prostheses.
AB - This article describes a direct technique for communicating implant abutment and
pontic intaglio surface forms with the dental laboratory. The technique uses a
matrix and a screw-retained custom interim restoration. The matrix is used to
transfer the interim restoration and periimplant tissue forms from the patient's
mouth to an implant position-verified cast. After being connected to this cast, a
silicone soft tissue replicating material is injected into the matrix. The result
is a definitive cast with accurate implant position and soft tissue forms.
PMID- 26548882
TI - Management of restricted mouth opening caused by radiation: A clinical report.
AB - Trismus, a commonly observed sequela in patients who have undergone radiation
therapy to treat malignancies of the head and neck, causes a loss of function
that reduces patients' overall quality of life. Radiation can cause intense
fibrosis in the masticatory muscles, and this fibrosis may lead to trismus. This
clinical report describes the management of a patient with radiation-induced
trismus who was treated with a custom-made mouth-opening device. The device had
maxillary and mandibular sections, was made of a thermoactive acrylic resin
material, and was connected with 2 vertical screws in the right and left canine
regions. The screws were adjusted daily to increase the oral opening, such that
each turn of the screws increased the opening by 0.25 mm. With the help of this
device, at the end of a 4-month follow-up period, the patient's maximal
interdental distance had increased from 7.16 mm to 19.50 mm. The intraoral
opening device described in this study is useful for achieving a vertical opening
sufficient to perform dental treatment.
PMID- 26548883
TI - Effect of an experimental silica-nylon reinforcement on the fracture load and
flexural strength of bisacrylic interim partial fixed dental prostheses.
AB - STATEMENT OF THE PROBLEM: Materials used in the fabrication of interim
restorations usually have mechanical properties inferior to those used in
definitive prostheses. Various techniques may be used to reinforce these
materials. PURPOSE: The purpose of this in vitro study was to evaluate the
fracture strength of interim partial fixed dental prostheses (FDPs) with and
without an experimental silica-nylon reinforcement placed in different
orientations (horizontal or vertical) before and after thermocycling and to
evaluate the flexural strength of the bisacrylic resin used for fabricating these
prostheses. MATERIAL AND METHODS: For fracture strength testing, 72 four-unit
interim partial FDPs were fabricated from bisacrylic resin and divided into 3
groups: no reinforcement, horizontal reinforcement, and vertical reinforcement.
Half of the specimens from each group were thermocycled before testing (1000
cycles between 5 degrees C and 55 degrees C) (n=12). An increasing load was
applied to the center of the prosthesis until fracture. The flexural strength of
bisacrylic resin reinforced with the experimental mesh was measured by using a 3
point bending test with 25*10.5*3.3 mm bars of resin, with or without
thermocycling. The results were evaluated with analysis of variance and Kaplan
Meier survival analysis (alpha=.05). RESULTS: The results showed that
incorporating the experimental silica-nylon reinforcement in a horizontal
orientation provided the highest values of fracture strength for the 4-unit
partial FDPs. Reinforcement also enhanced the flexural strength values of
bisacrylic resin bars. CONCLUSION: Silica-nylon reinforcement is an effective
method of increasing the strength of interim restorations.
PMID- 26548884
TI - Effect of different initial finishes and Parylene coating thickness on the
surface properties of coated PMMA.
AB - STATEMENT OF THE PROBLEM: The colonization of microorganisms on acrylic resin
dentures may result in denture-induced stomatitis. No efficient coating has yet
been proposed to address this issue. PURPOSE: The purpose of this in vitro study
was to assess the effect of various initial surface finishes and different
Parylene coating thicknesses on the surface roughness (Ra) and surface free
energy (SFE) of Parylene coated polymethyl methacrylate (PMMA). MATERIAL AND
METHODS: One hundred and sixty PMMA specimens were produced and divided into 8
groups as follows: group A: uncoated, 1000 grit finish; group Ap1: 10 MUm
Parylene coated, 1000 grit finish; group B: uncoated, 1200 grit finish; group Bp:
10 MUm Parylene coated, 1200 grit finish; group C: uncoated, 2400 grit finish;
group Cp: 10 MUm Parylene coated, 2400 grit finish; group Ap2: 20 MUm Parylene
coated, 1000 grit finish; group Ap3: 30 MUm Parylene coated, 1000 grit finish.
The Ra of all specimens was measured with a noncontact profilometer. To calculate
the SFE, the Owens-Wendt approach was applied after measuring the contact angles
with a goniometer. The topography of the specimens was observed by scanning
electron microscope. RESULTS: Groups Ap1 and Bp presented significantly lower Ra
values compared with their respective uncoated groups A and B (P<.001). No
statistical difference was found between the Ra values of groups C and Cp,
between A and Ap3, and between Ap2 and Ap3. The SFE values of the coated groups
were significantly higher than the SFE values of the uncoated groups with the
same initial finish (P<.001). CONCLUSIONS: Coating with a 10-MUm layer of
Parylene C resulted in lower Ra values for the rougher groups and increased SFE
values. Increasing the coating thickness resulted in an increase of the Ra.
PMID- 26548885
TI - Effect of different dental ceramic systems on the wear of human enamel: An in
vitro study.
AB - STATEMENT OF PROBLEM: The wear of tooth structure opposing different advanced
dental ceramic systems requires investigation. PURPOSE: The purpose of this in
vitro study was to compare the wear of advanced ceramic systems against human
enamel antagonists. MATERIAL AND METHODS: Four ceramic systems (IPS e.max Press,
IPS e.max CAD, Noritake Super Porcelain EX-3, and LAVA Plus Zirconia) and 1
control group containing human enamel specimens were used in this study (n = 12).
All specimens were fabricated as disks 11 mm in diameter and 3 mm thick. The
mesiopalatal cusps of the maxillary third molars were prepared to serve as the
enamel styluses. All specimens were embedded individually in 25 mm(3)
autopolymerizing acrylic resin blocks. Wear was measured with a cyclic loading
machine and a newly designed wear simulator. All enamel styluses (cusps) were
scanned using the Activity 880 digital scanner (SmartOptics). Data from the base
line and follow-up scans were collected and compared with Qualify 2012 3
dimensional (3D) and 2D digital inspection software (Geomagic), which aligned the
models and detected the geometric changes and the wear caused by the antagonist
specimen. One-way ANOVA was used to analyze the collected data. RESULTS: After
125,000 bidirectional loading cycles, the mean loss of opposing enamel volume for
the enamel disks in the control group was 37.08 MUm(3), the lowest mean value for
IPS e.max Press system was 39.75 MUm(3); 40.58 MUm(3) for IPS e.max CAD; 45.08
MUm(3) for Noritake Super Porcelain EX-3 system; and 48.66 MUm(3) for the Lava
Plus Zirconia system. No statically significant differences were found among the
groups in opposing enamel volume loss (P=.225) or opposing enamel height loss
(P=.149). In terms of opposing enamel height loss, Lava Plus Zirconia system
showed the lowest mean value of 27.5 MUm. The mean value for the IPS e.max CAD
system was 27.91 MUm; 29.08 MUm for the control enamel; 33.25 MUm for the IPS
e.max Press system; and 34.75 MUm for the Noritake Super Porcelain EX-3 system.
CONCLUSIONS: Within the limitations of this in vitro study, no differences were
found in the linear and volumetric reduction of enamel cusps abraded against
enamel disks and all other ceramic specimens. All ceramic systems exhibited high
durability and were wear-friendly to opposing enamel.
PMID- 26548886
TI - Dentin translucency and color evaluation in human incisors, canines, and molars.
AB - STATEMENT OF PROBLEM: For restorations with excellent esthetics, an understanding
of the optical properties of human dentin is needed. Little information is
available on the translucency and color parameters of dentin and its relationship
to tooth type and position. PURPOSE: The purpose of this in vitro study was to
investigate the translucency and CIELab color coordinates of human dentin in both
anterior (incisors and canines) and posterior teeth (molars) by using
spectrophotometric and spectroradiometric assessment methods. MATERIAL AND
METHODS: Uniformly thick specimens (2 mm) of midcoronal human dentin were taken
from 33 central and lateral incisors, 7 canines, and 33 molars (all maxillary
teeth). The CIELab color coordinates were measured with a clinical
spectrophotometer (Easyshade Compact) and a noncontact spectroradiometer
(SpectraScan PR-704). The translucency parameter (TP) was calculated. Bland
Altman plots and Wilcoxon signed rank tests for paired samples were used to
assess the agreement of the 2 measurement techniques. The differences between
anterior and posterior dentin specimens regarding color coordinates and the
translucency parameter were analyzed using Mann-Whitney-Wilcoxon rank sum tests.
RESULTS: Statistically significant differences between spectrophotometric and
spectroradiometric measurements of the TP and CIELab color coordinates were found
in both groups of dentin specimens (P<.05). TP values of molar dentin specimens
were significantly higher than those of the anterior ones, regardless of the
assessment method (P<.001). Dentin specimens of the anterior teeth exhibited
higher L* values but lower a* and b* values on both black and white backgrounds
compared with molar dentin specimens. CONCLUSIONS: The dentin of anterior teeth
was found to be lighter but less translucent and less chromatic than in molars,
regardless of the assessment method used.
PMID- 26548887
TI - Effect of accelerated aging on the fracture toughness of zirconias.
AB - STATEMENT OF PROBLEM: Low temperature degradation (LTD) of yttria-stabilized
tetragonal zirconia polycrystals (Y-TZP) is of concern. PURPOSE: The purpose of
this in vitro study was to assess the effect of accelerated aging on the Vickers
hardness and fracture toughness of a newly developed Y-TZP and 2 primary Y-TZPs.
MATERIAL AND METHODS: Two primary 3 mol% Y-TZP, Lava (LA), Everest Zirconium Soft
(EV), and a new 3 mol% Y-TZP, ZirTough (NZ) were assessed. Specimens (n=30 each
brand) of 10 * 10 * 3 mm were hydrothermally treated for accelerated aging to
examine LTD. Five conditions were used (n = 5 per condition) as follows: control
group (no aging); 5 hours at 134 degrees C/0.2 MPa (5h-134 degrees C); 100 hours
at 134 degrees C/0.2 MPa (100 h-134 degrees C); 5 hours at 180 degrees C/1.0 MPa
(5 h-180 degrees C); and 20 hours at 180 degrees C/1.0 MPa (20 h-180 degrees C).
Fracture toughness was measured by using the indentation fracture (IF) method
under a loading of 294 N and calculated from the obtained measurements. To
observe differences in particle composition and fracture patterns, mirror
polished test specimens (n=5 each brand) were re-sintered at 1200 degrees C for 1
hour as a thermal etching process, and a Vickers indenter was pressed into the
test specimens according to the IF method. Test piece surfaces and cracks were
observed with scanning electron microscopy (SEM). One-way ANOVA and the post- hoc
(Scheffe test were used to examine) interlevel significant differences
(alpha=.05). RESULTS: The Vickers hardness and fracture toughness were as
follows: 1319 HV and 7.36 MPa . m(1/2) for LA, and 1371 HV and 6.76 MPa . m(1/2)
for EV in no aging; 1334 HV and 7.02 MPa . m(1/2) for LA, and 1346 HV and 6.07
MPa . m(1/2) for EV in 5h-134 degrees C. No significant differences were found
between no aging and 5h-134 degrees C for LA and EV for Vickers hardness and
fracture toughness. Measurements could not be made for LA and EV for 100 h-134
degrees C, 5h-180 degrees C, or 20 h-180 degrees C because of fractures in the
surface layer. For NZ, Vickers hardness and fracture toughness were as follows:
1261 HV and 15.60 MPa . m(1/2) in no aging; 1217 HV and 14.98 MPa . m(1/2) in 5h
134 degrees C; 1231 HV and 15.13 MPa . m(1/2) in 100 h-134 degrees C; 1252 HV and
15.51 MPa . m(1/2) in 5h-180 degrees C; 1224 HV and 15.01 MPa . m(1/2) in 20 h
180 degrees C. No significant differences were shown in the Vickers hardness and
fracture toughness. SEM observations after the thermal etching processing of NZ
showed zirconia particles and scattered alumina particles. CONCLUSION:
Measurements with LA and EV could only be made for no aging and 5h-134 degrees C,
and no significant differences were found in Vickers hardness and fracture
toughness. Measurements were made with NZ under all conditions and no significant
differences were found in Vickers hardness and fracture toughness.
PMID- 26548888
TI - Selecting maxillary anterior tooth width by measuring certain facial dimensions
in the Kurdish population.
AB - STATEMENT OF PROBLEM: One of the most difficult aspects of complete denture
fabrication is selecting appropriately sized maxillary anterior teeth that will
harmonize with the face. There are no generally accepted or naturally observed
principles to guide dentists in this selection. PURPOSE: The purpose of this
study was to determine whether a relationship exists between various facial
measurements and the different single or combined mesiodistal widths of maxillary
anterior teeth in a Kurdish population. MATERIAL AND METHODS: A total of 65
Kurdish dental students participated in this study. Two standardized digital
photographs of the face (relaxed and smiling capture) were recorded. The
interpupillary distance (IPD), inner canthal distance (ICD), interalar distance
(IAD), and width of the 2 central incisors were determined by Image J software.
The mesiodistal width and the combined straight-line width of the centrals,
laterals, and canines were measured directly from the casts of the participants
with digital calipers. A simple linear regression and the Pearson correlation
coefficient were used to investigate the relationship between the particular
facial measurement and the widths of the anterior teeth (alpha=.05). RESULTS:
Significant correlations existed between the IPD and different tooth
measurements; the highest was with the mean width of the canines (r=0.55). The
proposed proportion between the IPD and the central incisor width was 6.93. The
golden proportion of the ICD to the width of the central incisors and of the IAD
to the straight-line width of the 6 anterior teeth could be used as a dependent
parameter in Kurdish men. CONCLUSIONS: The IPD can be used to predict the width
of anterior teeth in both sexes. In men, the width of the central incisors may be
estimated from the ICD and the straight-line width of the 6 anterior teeth from
the IAD.
PMID- 26548889
TI - Correlation of pressure and displacement during gingival displacement: An in
vitro study.
AB - STATEMENT OF PROBLEM: Although numerous gingival displacement materials are
available, information is limited regarding the pressures that can atraumatically
produce sufficient gingival displacement for a successful impression. PURPOSE:
The purpose of this in vitro study was to measure pressure and the resulting
movement of artificial gingiva during simulated gingival displacement. MATERIAL
AND METHODS: An idealized tooth model was made from acrylic resin and polyvinyl
siloxane to simulate the free gingiva, sulcus, and attachment. The pressure and
displacement achieved by 3 materials (Expasyl, Expasyl New, and KnitTrax Cord)
were measured. A stereoscopic digital measuring microscope was used to quantify
the space generated by the displacement material. A pressure gauge was used to
measure the corresponding pressures. RESULTS: The injection of Expasyl resulted
in a displacement distance of 1.31 mm, Expasyl New 1.07 mm, and KnitTrax Cord
0.85 mm, which are within acceptable clinical parameters. The correlation between
pressure and gap showed that Expasyl and Expasyl New behaved similarly, while
KnitTrax Cord was different. Expasyl, Expasyl New, and KnitTrax Cord all had
maximum pressures that would be considered atraumatic to the epithelial
attachment. CONCLUSIONS: An increase in pressure resulted in an increase in
displacement for the 2 paste materials. However, contrary to expectation,
displacement decreased as pressure increased for the cord material.
PMID- 26548890
TI - In vivo precision of conventional and digital methods of obtaining complete-arch
dental impressions.
AB - STATEMENT OF PROBLEM: Digital impression systems have undergone significant
development in recent years, but few studies have investigated the accuracy of
the technique in vivo, particularly compared with conventional impression
techniques. PURPOSE: The purpose of this in vivo study was to investigate the
precision of conventional and digital methods for complete-arch impressions.
MATERIAL AND METHODS: Complete-arch impressions were obtained using 5
conventional (polyether, POE; vinylsiloxanether, VSE; direct scannable
vinylsiloxanether, VSES; digitized scannable vinylsiloxanether, VSES-D; and
irreversible hydrocolloid, ALG) and 7 digital (CEREC Bluecam, CER; CEREC Omnicam,
OC; Cadent iTero, ITE; Lava COS, LAV; Lava True Definition Scanner, T-Def; 3Shape
Trios, TRI; and 3Shape Trios Color, TRC) techniques. Impressions were made 3
times each in 5 participants (N=15). The impressions were then compared within
and between the test groups. The cast surfaces were measured point-to-point using
the signed nearest neighbor method. Precision was calculated from the (90%-10%)/2
percentile value. RESULTS: The precision ranged from 12.3 MUm (VSE) to 167.2 MUm
(ALG), with the highest precision in the VSE and VSES groups. The deviation
pattern varied distinctly according to the impression method. Conventional
impressions showed the highest accuracy across the complete dental arch in all
groups, except for the ALG group. CONCLUSIONS: Conventional and digital
impression methods differ significantly in the complete-arch accuracy. Digital
impression systems had higher local deviations within the complete arch cast;
however, they achieve equal and higher precision than some conventional
impression materials.
PMID- 26548891
TI - Association between serum vitamin D level and history of falls in elderly
Japanese patients with rheumatoid arthritis.
PMID- 26548892
TI - The Effects of Alcohol Dependence on the Quality of Life and Sex Life of Women.
AB - BACKGROUND: Alcohol dependence is a multi-dimensional and chronic disorder which
affects the physical, psychological, social, sexual health and thus the quality
of life of the individual. OBJECTIVES: This research was designed in order to
determine the effect of alcohol dependence on the quality of life and sexual life
of women. METHODS: The research was a cross-sectional and comparative relation
study, consisting of a case study group constituted of women who consulted Prof.
Dr. Mazhar Osman Mental Health and Disorders Training and Research Hospital's
Alcohol and Substance Research, Treatment, Education Center between July 2009 and
July 2010 and who were diagnosed as alcohol addicted and a healthy group,
constituted of women who consulted the Gynecology Clinic of the same hospital
(alcohol dependent group = 71, healthy group = 183). The data were collected
using 'World Health Organization Quality of Life Scale Brief Form' (WHOQOL-BREF
TR), Female Sexual Function Index (FSFI), Beck Depression Inventory (BDI). Data
were analyzed by percentage, mean, chi-square, student's t-test, and multivariate
analysis by use of SPSS 13.0 program. RESULTS: It was determined that the alcohol
dependent women presented lower WHOQOL-BREF-TR sub-dimensions and lower FSFI
total scores and sub-dimensions but higher scores in BDI scale in comparison to
the healthy group. CONCLUSIONS: The alcohol dependence negatively affects the
quality of life and sexual life in women.
PMID- 26548893
TI - Intersection of genetics and epigenetics in monozygotic twin genomes.
AB - As a final function of various epigenetic mechanisms, chromatin regulation is a
transcription control process that especially demonstrates active interaction
with genetic elements. Thus, chromatin structure has become a principal focus in
recent genomics researches that strive to characterize regulatory functions of
DNA variants related to diseases or other traits. Although researchers have been
focusing on DNA methylation when studying monozygotic (MZ) twins, a great model
in epigenetics research, interactions between genetics and epigenetics in
chromatin level are expected to be an imperative research trend in the future. In
this review, we discuss how the genome, epigenome, and transcriptome of MZ twins
can be studied in an integrative manner from this perspective.
PMID- 26548894
TI - Association Between Stress-Related Sleep Reactivity and Metacognitive Beliefs
About Sleep in Insomnia Disorder: Preliminary Results.
AB - To evaluate the relation between stress-related sleep reactivity and
metacognitive beliefs about sleep in subjects with insomnia disorder (93) and in
a group of healthy controls (30) a set of variables, including Ford Insomnia
Response to Stress Test (FIRST) and Metacognition Questionnaire-Insomnia (MCQ-I),
have been used. Internal consistency of the Italian version of FIRST was studied.
Univariate correlation, regression analysis, and principal component analysis
were also performed. The Italian version of FIRST showed good internal
consistency and discriminant validity. Sleep reactivity was higher in women (p <
.05) and correlates positively in both genders with metacognitive beliefs about
sleep (p < .01) in insomnia. In insomnia, metacognitive beliefs may play a key
role in modulating sleep reactivity. Therapeutic strategies acting selectively on
metacognition to reduce stress-related sleep reactivity in insomnia may be
useful.
PMID- 26548895
TI - No cross-sectional evidence for an increased relation of cognitive and sensory
abilities in old age.
AB - OBJECTIVES: A key question in gerontological research concerns whether good
functioning can be maintained in some cognitive abilities in old age, even if
deficits occur in other cognitive or sensory abilities. Our goals were to
investigate relations of cognitive and sensory abilities in old age, whether
these relations differed in size across old age, and whether this was affected by
general cognitive ability (processing speed), educational level, and/or general
health status. METHODS: Two thousand eight hundred and twelve older adults (aged
65-101, M = 77.9 years) from the Vivre-Leben-Vivere survey served as cross
sectional sample for the present study. We administered psychometric tests on
processing speed (the speed of cognitive processing), cognitive flexibility (the
ability to alternate between cognitive operations), and verbal abilities
(vocabulary). In addition, we interviewed individuals on their hearing, eyesight,
educational level, and general health status. We regressed sizes of relations
between abilities (calculated within each 1-year age tranche) on mean age within
the corresponding age tranche, with the number of participants within the
corresponding age tranche as case weights. RESULTS: We observed a decrease in
relations between processing speed and cognitive flexibility in old age that was
particularly pronounced in individuals with high educational level (r = -.41). In
contrast, we did not find differences in relations between other cognitive and
sensory abilities across old age, which held for different levels of general
cognitive ability, education, and general health status. CONCLUSION: Present data
do not support the view of a generally increased relation of cognitive and
sensory abilities in old age.
PMID- 26548896
TI - Trichosanthin reduces the viability of SU-DHL-2 cells via the activation of the
extrinsic and intrinsic apoptotic pathways.
AB - Previous studies have indicated that trichosanthin (TCS) exerts antitumor
activity by inducing apoptosis in numerous tumor cell lines. However, the effects
of TCS on lymphoma remain to be elucidated. The current study demonstrated that
TCS inhibited the proliferation of thirteen lymphoma cell lines in a dose
dependent manner, with SU-DHL-2 cells exhibiting the greatest sensitivity to TCS.
Treatment of SU-DHL-2 cells with TCS led to cell cycle arrest at the S to G2/M
phase transition. Furthermore, flow cytometric analysis, Hoechst 33258 staining
and western blotting indicated that TCS induced the apoptosis of SU-DHL-2 cells
in a time- and concentration-dependent manner. In addition, the activation of
caspase-3 and -7 and poly (ADP-ribose) polymerase were observed. Pharmacological
pan-caspase inhibition was observed to reduce TCS-induced apoptosis. Inhibition
of caspase-8 or -9 alone was observed to partially reverse the effect of TCS on
apoptosis. In conclusion, the current study indicates that TCS may induce
apoptosis in SU-DHL-2 cells via the extrinsic and intrinsic pathways.
PMID- 26548897
TI - Novel systemic therapies for the treatment of psoriasis.
AB - INTRODUCTION: The immunopathogenesis of psoriasis has led to the discovery and
development of several promising treatment options for psoriasis, including those
that target the IL-17 and IL-23 pathways as well as small molecules that act on
intracellular signaling pathways including the Janus kinase inhibitor and
phosphodiesterase-4 inhibitor. Studies have demonstrated efficacy although long
term risks are not fully known. This review looks at novel systemic therapies for
psoriasis that have emerged recently. AREAS COVERED: Systemic treatments for
psoriasis that are in the late phase of development were reviewed, with the main
focus on the efficacy and adverse effects of individual treatments. EXPERT
OPINION: The future of psoriasis treatment is likely to be based on clinical,
genetic and immune biomarkers that will individualize treatment and may
potentially optimize disease outcome.
PMID- 26548898
TI - Topological, non-topological and instanton droplets driven by spin-transfer
torque in materials with perpendicular magnetic anisotropy and Dzyaloshinskii
Moriya Interaction.
AB - The interfacial Dzyaloshinskii-Moriya Interaction can modify the topology of
droplets excited by a localized spin-polarized current. Here, we show that, in
addition to the stationary droplet excitations with skyrmion number either one
(topological) or zero (non-topological), there exists, for a fixed current, an
excited mode with a non-stationary time behavior. We call this mode "instanton
droplet", which is characterized by time domain transitions of the skyrmion
number. These transitions are coupled to an emission of incoherent spin-waves
that can be observed in the frequency domain as a source of noise. Our results
are interesting from a fundamental point of view to study spin-wave emissions due
to a topological transition in current-driven systems, and could open the route
for experiments based on magnetoresistance effect for the design of a further
generation of nanoscale microwave oscillators.
PMID- 26548899
TI - The association of socio-economic factors with physical fitness and activity
behaviours, spinal posture and retinal vessel parameters in first graders in
urban Switzerland.
AB - Socio-economic status during childhood has been shown to be a strong predictor of
adult health outcome. Therefore, we examined associations of parental educational
level, household income and migrant background with physical fitness, spinal
flexibility, spinal posture as well as retinal vessel diameters in children of an
urban Swiss region. A total of 358 first graders of the Swiss canton Basel-Stadt
(age: 7.3, SD: 0.4) were examined. Physical fitness (20 m shuttle run test, 20 m
sprint, jumping sidewards and balancing backwards), spinal flexibility and spinal
posture (MediMouse(r), Idiag, Fehraltdorf, Switzerland) and retinal
microcirculation (Static Retinal Vessel Analyzer, Imedos Systems UG, Jena,
Germany) were assessed. Parental education, household income, migrant background
and activity behaviour were evaluated with a questionnaire. Parental education
was associated with child aerobic fitness (P = 0.03) and screen time (P < 0.001).
Household income was associated with jumping sidewards (P = 0.009), balancing
backwards (P = 0.03) and sports club participation (P = 0.02). Migrant background
was associated with BMI (P = 0.001), body fat (P = 0.03), aerobic fitness (P =
0.007), time spent playing outdoors (P < 0.001) and screen time (P < 0.001). For
spinal flexibility and retinal vessel diameter, no associations were found (0.06
< P < 0.8). Low parental education, low household income and a migrant background
are associated with poor physical fitness, higher BMI and body fat percentage and
low-activity behaviour.
PMID- 26548900
TI - Frequency-noise measurements of optical frequency combs by multiple fringe-side
discriminator.
AB - The frequency noise of an optical frequency comb is routinely measured through
the hetherodyne beat of one comb tooth against a stable continuous-wave laser.
After frequency-to-voltage conversion, the beatnote is sent to a spectrum
analyzer to retrive the power spectral density of the frequency noise. Because
narrow-linewidth continuous-wave lasers are available only at certain
wavelengths, heterodyning the comb tooth can be challenging. We present a new
technique for direct characterization of the frequency noise of an optical
frequency comb, requiring no supplementary reference lasers and easily applicable
in all spectral regions from the terahertz to the ultraviolet. The technique is
based on the combination of a low finesse Fabry-Perot resonator and the so-called
"fringe-side locking" method, usually adopted to characterize the spectral purity
of single-frequency lasers, here generalized to optical frequency combs. The
effectiveness of this technique is demonstrated with an Er-fiber comb source
across the wavelength range from 1 to 2 MUm.
PMID- 26548901
TI - Scalable Preparation of Ternary Hierarchical Silicon Oxide-Nickel-Graphite
Composites for Lithium-Ion Batteries.
AB - Silicon monoxide is a promising anode candidate because of its high theoretical
capacity and good cycle performance. To solve the problems associated with this
material, including large volume changes during charge-discharge processes, we
report a ternary hierarchical silicon oxide-nickel-graphite composite prepared by
a facile two-step ball-milling method. The composite consists of nano-Si
dispersed silicon oxides embedded in nano-Ni/graphite matrices (Si@SiOx
/Ni/graphite). In the composite, crystalline nano-Si particles are generated by
the mechanochemical reduction of SiO by ball milling with Ni. These nano-Si
dispersed oxides have abundant electrochemical activity and can provide high Li
ion storage capacity. Furthermore, the milled nano-Ni/graphite matrices stick
well to active materials and interconnect to form a crosslinked framework, which
functions as an electrical highway and a mechanical backbone so that all silicon
oxide particles become electrochemically active. Owing to these advanced
structural and electrochemical characteristics, the composite enhances the
utilization efficiency of SiO, accommodates its large volume expansion upon
cycling, and has good ionic and electronic conductivity. The composite electrodes
thus exhibit substantial improvements in electrochemical performance. This
ternary hierarchical Si@SiOx /Ni/graphite composite is a promising candidate
anode material for high-energy lithium-ion batteries. Additionally, the
mechanochemical ball-milling method is low cost and easy to reproduce, indicating
potential for the commercial production of the composite materials.
PMID- 26548902
TI - EDITORIAL: Advances in Pharmacological Treatment of Cancer in Digestive Organs.
PMID- 26548903
TI - Current Systemic Treatment and Emerging Therapeutic Strategies in Pancreatic
Adenocarcinoma.
AB - The purpose of this article is to provide a critical review of the current
systemic treatment and the emerging targeted therapeutic strategies in pancreatic
adenocarcinoma. Cytotoxic chemotherapeutic drugs have been used for palliative
treatment of pancreatic adenocarcinoma, as well as for neoadjuvant therapy to
facilitate surgical resection, and as adjuvant therapy to prevent tumor
recurrence. The recent findings of early metastasis of cancer cells in pancreatic
adenocarcinoma provide support for systemic therapy even in the case of small and
localized tumors. However, the clinical benefits of systemic chemotherapy are
generally limited and it is typically associated with a multitude of toxicities.
Cancer-specific therapies with improved efficacy and safety are urgently needed.
Tremendous advances have been made in understanding the biology and genetic
regulation of normal and neoplastic development of the pancreas. These have led
to identification of molecular targets in pancreatic cancer cells, the tumor
microenvironment, and the cancer stem cells. Tumor-specific modalities are
emergent by exploitation of the aberrant signaling pathways and molecular
alterations in pancreatic cancer with the goals of improving treatment response.
Integrative approaches that combine various targeting strategies with molecular
bioinformatics will hopefully lead to the development of personalized therapies
that may produce a positive impact on the quality of life and survival for
patients with this deadly disease.
PMID- 26548904
TI - Current and Emerging Systemic Therapy in Gastro-Esophageal Cancer "The Old and
New Therapy for Metastatic Disease, The Role of Adjuvant and Neoadjuvant Therapy
for Localized Disease".
AB - Cancers of esophagus and stomach are common malignant diseases worldwide, and
they are associated with serious morbidity and high mortality rates. When
diagnosed at an early stage, gastro-esophageal cancers are potentially curable.
Neo-adjuvant or adjuvant therapies using both chemotherapy and radiation therapy
have been shown to reduce the risk of local recurrence and distant metastasis.
For advanced or metastatic tumors, systemic chemotherapy offers symptomatic
palliation and moderate benefits in survival. With recent advances in anti-cancer
therapeutics, progress has been made to improve treatment response and life
expectancy in patients with advanced gastro-esophageal cancers. Furthermore, the
clinical use of molecularly targeted agents in combination with cytotoxic
chemotherapeutics is being evaluated in a number of ongoing clinical trials. In
this article, we review currently used standard systemic therapies including
recently evolving targeted therapies for metastatic gastro-esophageal cancers, as
well as the proven role and the regimens that are used as neoadjuvant and
adjuvant treatment in localized gastro-esophageal cancers.
PMID- 26548905
TI - Novel and Emerging Targeted Therapies of Colorectal Cancer.
AB - The survival rate of patients with colorectal cancer (CRC) is steadily increasing
over the past decade. However, CRC continue to be one of the leading causes of
cancer-related fatality in the United States. Current targeted strategies offer
limited clinical benefits and the overall survival rate for CRC remains low.
Improved understanding of the molecular changes associated with CRC that control
growth factor signaling and evasion of cell death allow for the development of
improved targeted therapy. This review aims to discuss some of the emerging
therapies aimed to target CRC.
PMID- 26548906
TI - Advances in the Pharmacologic Treatment of Hepatocellular Carcinoma.
AB - Medical therapy for hepatocellular carcinoma (HCC) is an area of active
investigation because fewer than 25% of patients are candidates for curative
resection or transplantation. Single agent doxorubicin, the former standard of
care, generated a 10% tumor response but resulted in substantial toxicity. The
resulting recommendation of the NCCN has been to administer cytotoxic
chemotherapy only under clinical protocol. More recently, newer drugs with more
specific targets have forced re-consideration of palliative chemotherapy in
clinical practice. Bevacizumab is a promising therapy but data is limited to
Phase 2 trials without impressive results. Sorafenib is the prototype multi
kinase inhibitor, which has demonstrated some but limited survival benefit in
advanced HCC. This has subsequently become the standard of care. Epidermal growth
factor receptor, the target of rapamycin (mTOR) pathway, transforming growth
factor-beta, and cyclin-dependent kinases have been recent targets of ongoing
study for potential therapeutics. Overall, current therapeutics have been so
promising that adjuvant therapy after curative treatment in under investigation
to reduce recurrence.
PMID- 26548907
TI - Advances in Systemic Therapy for Gastroenteropancreatic Neuroendocrine
Malignancies.
AB - Neuroendocrine tumors (NETs) are relatively uncommon. They typically arise in the
gastrointestinal tract and lungs, and their incidence seems to be rising. Most
patients have advanced disease at the time of diagnosis and many more will
relapse after surgery. There is thus a great need for improvements in therapy for
advanced neuroendocrine tumors. This article reviews the current therapy for both
pancreatic NETs and non-pancreatic gastrointestinal NETs, and discusses recent
advances in NET management with an emphasis on targeted therapy.
PMID- 26548908
TI - Imatinib Dosing in Gastrointestinal Stromal Tumors (GISTs): When, How Much, and
How Long?
AB - Imatinib therapy has revolutionized the treatment of patients with
gastrointestinal stromal tumors (GISTs). Compared with older therapy, imatinib
significantly improves outcomes in patients with metastatic disease and those
with locally advanced tumors, raising progression-free and overall survival.
Recent studies have evaluated variables such as timing of treatment, total
dosing, and duration of therapy. Different genotypes are associated with a poorer
response to imatinib therapy, whereas others may benefit from a higher starting
dose. This review discusses recent data regarding optimal use of imatinib for
treatment of GIST in both the adjuvant and metastatic settings, and addresses
topics such as the impact of genotype on initial dose, dose escalation, optimal
duration of treatment, and neoadjuvant therapy. Key ongoing clinical trials of
imatinib in GIST are also discussed.
PMID- 26548910
TI - The role of oxytocin in relationships between dogs and humans and potential
applications for the treatment of separation anxiety in dogs.
AB - The hormone oxytocin plays an important role in attachment formation and bonding
between humans and domestic dogs. Recent research has led to increased interest
in potential applications for intranasal oxytocin to aid with the treatment of
psychological disorders in humans. While a few studies have explored the effects
of intranasally administered oxytocin on social cognition and social bonding in
dogs, alternative applications have not yet been explored for the treatment of
behavioural problems in this species. One potentially important application for
intranasal oxytocin in dogs could be the treatment of separation anxiety, a
common attachment disorder in dogs. Here we provide an overview of what is known
about the role of oxytocin in the human-dog bond and canine separation anxiety,
and discuss considerations for future research looking to integrate oxytocin into
behavioural treatment based on recent findings from both the human and dog
literature.
PMID- 26548911
TI - It's diversity all the way down.
AB - This month's Genome Watch highlights how single-cell transcriptomic analysis of
infected macrophages has provided insight into the diversity in host-pathogen
interactions.
PMID- 26548909
TI - Mitochondria-related miR-141-3p contributes to mitochondrial dysfunction in HFD
induced obesity by inhibiting PTEN.
AB - Mitochondria-related microRNAs (miRNAs) have recently emerged as key regulators
of cell metabolism and can modulate mitochondrial fusion and division. In order
to investigate the roles of mitochondria-related miRNAs played in obesity, we
conducted comprehensive molecular analysis in vitro and in vivo. Based on high
fat-diet (HFD) induced obese mice, we found that hepatic mitochondrial function
was markedly altered. Subsequently, we evaluated the expression levels of
selected mitochondria-related miRNAs and found that miR-141-3p was up-regulated
strikingly in HFD mice. To further verify the role of miR-141-3p in obesity, we
carried out gain-and-loss-of-function study in human HepG2 cells. We found that
miR-141-3p could modulate ATP production and induce oxidative stress. Through
luciferase report gene assay, we identified that phosphatase and tensin homolog
(PTEN) was a target of miR-141-3p. Inhibiting PTEN could alter the mitochondrial
function, too. Our study suggested that mitochondria-related miR-141-3p induced
mitochondrial dysfunction by inhibiting PTEN.
PMID- 26548912
TI - Parasite biology: A perfectly timed escape.
PMID- 26548913
TI - A century of the phage: past, present and future.
AB - Viruses that infect bacteria (bacteriophages; also known as phages) were
discovered 100 years ago. Since then, phage research has transformed fundamental
and translational biosciences. For example, phages were crucial in establishing
the central dogma of molecular biology - information is sequentially passed from
DNA to RNA to proteins - and they have been shown to have major roles in
ecosystems, and help drive bacterial evolution and virulence. Furthermore, phage
research has provided many techniques and reagents that underpin modern biology -
from sequencing and genome engineering to the recent discovery and exploitation
of CRISPR-Cas phage resistance systems. In this Timeline, we discuss a century of
phage research and its impact on basic and applied biology.
PMID- 26548916
TI - Biofilms: Electrifying long-range signalling.
PMID- 26548915
TI - Modulation of p53 during bacterial infections.
AB - In recent years, numerous bacterial pathogens have been shown to inactivate the
major tumour suppressor p53 during infection. This inactivation impedes the
protective response of the host cell to the genotoxicity that often results from
bacterial infection. Moreover, a new aspect of the antibacterial activity of p53
that has recently come to light - downregulation of host cell metabolism to
interfere with intracellular bacterial replication - has further highlighted the
crucial role of p53 in host-pathogen interactions, as host cell metabolism is
relevant for all intracellular bacteria, as well as other pathogens that
replicate inside host cells and use host metabolites. In this Progress article,
we summarize recent work that has advanced our knowledge of the interaction
between pathogenic bacteria and p53, and we discuss the known and expected
outcomes of this interaction for pathogenesis.
PMID- 26548917
TI - Structural biology: How CRISPR captures spacer invaders.
PMID- 26548914
TI - Twenty years of bacterial genome sequencing.
AB - Twenty years ago, the publication of the first bacterial genome sequence, from
Haemophilus influenzae, shook the world of bacteriology. In this Timeline, we
review the first two decades of bacterial genome sequencing, which have been
marked by three revolutions: whole-genome shotgun sequencing, high-throughput
sequencing and single-molecule long-read sequencing. We summarize the social
history of sequencing and its impact on our understanding of the biology,
diversity and evolution of bacteria, while also highlighting spin-offs and
translational impact in the clinic. We look forward to a 'sequencing
singularity', where sequencing becomes the method of choice for as-yet
unthinkable applications in bacteriology and beyond.
PMID- 26548918
TI - Homogeneous and heterogeneous degradation of caffeic acid using photocatalysis
driven by UVA and solar light.
AB - Waste water from the wine industry is characterized by a high concentration of
dissolved organic matter and the presence of natural phenolic compounds with low
biodegradability. High concentrations of phenolic compounds may cause
environmental pollution and risks to human health. In this article caffeic acid
(CA) was used as a model compound of wine effluent because it is refractory to
the conventional wastewater treatments. The oxidation of caffeic acid in water
solution (0.01 g L(-1)) by heterogeneous photocatalysis and photo-Fenton reaction
was studied using UVA. The optimal conditions for each treatment were performed
by multivariate experimental design. The optimal conditions for heterogeneous
photocatalysis were pH 5.3 and 0.9 g L(-1) TiO2. In the case of photo-Fenton
treatment, optimized variable were 82.4 MUmol L(-1) of Fe(2+) and 558.6 MUmol L(
1) of H2O2. The degradation profiles of CA were monitored by UV-Vis, HPLC, TOC
and COD. To reach 90% of CA removal, 40 and 2 min of reaction, respectively, were
required by heterogeneous and photo-Fenton processes, respectively. For
comparison purposes, the reactions were also performed under solar light. The use
of solar light does not change the efficiency of the photo-Fenton reaction, yet
the performance of the heterogeneous process was significantly improved, reaching
90% of degradation in 15 min.
PMID- 26548919
TI - Genetic causes of MCPH in consanguineous Pakistani families.
PMID- 26548920
TI - Allergic contact dermatitis induced by the anionic surfactant, sodium N-methyl-N
(1-oxododecyl)-beta-alaninate, contained in a daily-use shampoo.
PMID- 26548922
TI - Is competition needed for ecological character displacement? Does displacement
decrease competition?
AB - Interspecific competition for resources is generally considered to be the
selective force driving ecological character displacement, and displacement is
assumed to reduce competition. Skeptics of the prevalence of character
displacement often cite lack of evidence of competition. The present article uses
a simple model to examine whether competition is needed for character
displacement and whether displacement reduces competition. It treats systems with
competing resources, and considers cases when only one consumer evolves. It
quantifies competition using several different measures. The analysis shows that
selection for divergence of consumers occurs regardless of the level of between
resource competition or whether the indirect interaction between the consumers is
competition (-,-), mutualism (+,+), or contramensalism (+,-). Also, divergent
evolution always decreases the equilibrium population size of the evolving
consumer. Whether divergence of one consumer reduces or increases the impact of a
subsequent perturbation of the other consumer depends on the parameters and the
method chosen for measuring competition. Divergence in mutualistic interactions
may reduce beneficial effects of subsequent increases in the other consumer's
population. The evolutionary response is driven by an increase in the relative
abundance of the resource the consumer catches more rapidly. Such an increase can
occur under several types of interaction.
PMID- 26548921
TI - Distinct encounter complexes of PAI-1 with plasminogen activators and vitronectin
revealed by changes in the conformation and dynamics of the reactive center loop.
AB - Plasminogen activator inhibitor-1 (PAI-1) is a biologically important serine
protease inhibitor (serpin) that, when overexpressed, is associated with a high
risk for cardiovascular disease and cancer metastasis. Several of its ligands,
including vitronectin, tissue-type and urokinase-type plasminogen activator (tPA,
uPA), affect the fate of PAI-1. Here, we measured changes in the solvent
accessibility and dynamics of an important unresolved functional region, the
reactive center loop (RCL), upon binding of these ligands. Binding of the
catalytically inactive S195A variant of tPA to the RCL causes an increase in
fluorescence, indicating greater solvent protection, at its C-terminus, while
mobility along the loop remains relatively unchanged. In contrast, a fluorescence
increase and large decrease in mobility at the N-terminal RCL is observed upon
binding of S195A-uPA to PAI-1. At a site distant from the RCL, binding of
vitronectin results in a modest decrease in fluorescence at its proximal end
without restricting overall loop dynamics. These results provide the new evidence
for ligand effects on RCL conformation and dynamics and differences in the
Michaelis complex with plasminogen activators that can be used for the
development of more specific inhibitors to PAI-1. This study is also the first to
use electron paramagnetic resonance (EPR) spectroscopy to investigate PAI-1
dynamics. SIGNIFICANCE: Balanced blood homeostasis and controlled cell migration
requires coordination between serine proteases, serpins, and cofactors. These
ligands form noncovalent complexes, which influence the outcome of protease
inhibition and associated physiological processes. This study reveals differences
in binding via changes in solvent accessibility and dynamics within these
complexes that can be exploited to develop more specific drugs in the treatment
of diseases associated with unbalanced serpin activity.
PMID- 26548923
TI - Long non-coding RNA-GAS5 acts as a tumor suppressor in bladder transitional cell
carcinoma via regulation of chemokine (C-C motif) ligand 1 expression.
AB - Long non-coding RNAs (lncRNAs) have important roles in diverse biological
processes, including transcriptional regulation, cell growth and tumorigenesis.
The present study aimed to investigate whether lncRNA-growth arrest-specific
(GAS)5 regulated bladder cancer progression via regulation of chemokine (C-C)
ligand (CCL)1 expression. The viability of BLX bladder cancer cells was detected
using a Cell Counting kit-8 assay, and cell apoptosis was assessed by annexin V
propidium iodide double-staining. The expression levels of specific genes and
proteins were analyzed by reverse transcription-quantitative polymerase chain
reaction and western blotting, respectively. In addition, cells were transfected
with small interfering (si)RNAs or recombinant GAS5 in order to silence or
overexpress GAS5, respectively. The results of the present study demonstrated
that knockdown of GAS5 expression promoted bladder cancer cell proliferation,
whereas overexpression of GAS5 suppressed cell proliferation. Furthermore,
knockdown of GAS5 resulted in an increased percentage of cells in S and G2 phase,
and a decreased percentage of cells in G1 phase. In addition, the present study
performed a hierarchical cluster analysis of differentially expressed lncRNAs in
bladder cancer cells and detected that CCL1 overexpression resulted in an
upregulation of GAS5, which may improve the ability of cells to regulate a stress
response in vitro. Furthermore, knockdown of GAS5 expression increased the mRNA
and protein expression of CCL1 in bladder cancer cells. Gain-of-function and loss
of-function studies demonstrated that GAS5 was able to inhibit bladder cancer
cell proliferation, at least in part, by suppressing the expression of CCL1. The
results of the present study demonstrated that GAS5 was able to suppress bladder
cancer cell proliferation, at least partially, by suppressing the expression of
CCL1. The results of the present study may provide a basis for developing novel
effective treatment strategies against bladder cancer.
PMID- 26548924
TI - An oncolytic parasite to treat polycythemia vera.
PMID- 26548925
TI - SENP1 desensitizes hypoxic ovarian cancer cells to cisplatin by up-regulating HIF
1alpha.
AB - Hypoxia-inducible factor 1 alpha (HIF-1alpha) is closely related to
chemoresistance of ovarian cancers. Although it is reported that HIF-1alpha can
be regulated by Sentrin/SUMO-specific protease 1 (SENP1), the effects of SENP1 on
HIF-1alpha is still controversial. In this study, we identified that SENP1
positively regulated the expression of HIF-1alpha by deSUMOylation and weakened
the sensitivity of hypoxic ovarian cancer cells to cisplatin. These results
indicate that SENP1 is a positive regulator of HIF-1alpha and plays a negative
role in ovarian cancer chemotherapy.
PMID- 26548926
TI - Molecular Engineering of Pyrido[3,4-b]pyrazine-Based Donor-Acceptor-pi-Acceptor
Organic Sensitizers: Effect of Auxiliary Acceptor in Cobalt- and Iodine-Based
Electrolytes.
AB - Due to the ease of tuning its redox potential, the cobalt-based redox couple has
been extensively applied for highly efficient dye-sensitized solar cells (DSSCs)
with extraordinarily high photovoltages. However, a cobalt electrolyte needs
particular structural changes in the organic dye components to obtain such high
photovoltages. To achieve high device performance, specific requirements in the
molecular tailoring of organic sensitizers still need to be met. Besides the need
for large electron donors, studies of the auxiliary acceptor segment of donor
acceptor-pi-acceptor (D-A-pi-A) organic sensitizers are still rare in molecular
optimization in the context of cobalt electrolytes. In this work, two novel
organic D-A-pi-A-type sensitizers (IQ13 and IQ17) have been developed and
exploited in cobalt- and iodine-based redox electrolyte DSSCs, specifically to
provide insight into the effect of pi-bridge modification in different
electrolytes. The investigation has been focused on the additional electron
withdrawing acceptor capability with grafted long alkoxy chains. Optoelectronic
transient measurements have indicated that IQ17 containing a pyrido[3,4
b]pyrazine moiety bearing long alkoxyphenyl chains is more suitable for
application in cobalt-based DSSCs.
PMID- 26548927
TI - Response to Comment on New Mathematical Model for Interpreting pH-Stat Digestion
Profiles: Impact of Lipid Droplet Characteristics on in Vitro Digestibility.
PMID- 26548928
TI - Elucidation of the resting state of a rhodium NNN-pincer hydrogenation catalyst
that features a remarkably upfield hydride (1)H NMR chemical shift.
AB - Rhodium(I) alkene complexes of an NNN-pincer ligand catalyze the hydrogenation of
alkenes, including ethylene. The terminal or resting state of the catalyst, which
exhibits an unprecedentedly upfield Rh-hydride (1)H NMR chemical shift, has been
isolated and a synthetic cycle for regenerating the catalytically active species
has been established.
PMID- 26548929
TI - Why solid oxide cells can be reversibly operated in solid oxide electrolysis cell
and fuel cell modes?
AB - High temperature solid oxide cells (SOCs) are attractive for storage and
regeneration of renewable energy by operating reversibly in solid oxide
electrolysis cell (SOEC) and solid oxide fuel cell (SOFC) modes. However, the
stability of SOCs, particularly the deterioration of the performance of oxygen
electrodes in the SOEC operation mode, is the most critical issue in the
development of high performance and durable SOCs. In this study, we investigate
in detail the electrochemical activity and stability of La0.8Sr0.2MnO3 (LSM)
oxygen electrodes in cyclic SOEC and SOFC modes. The results show that the
deterioration of LSM oxygen electrodes caused by anodic polarization can be
partially or completely recovered by subsequent cathodic polarization. Using in
situ assembled LSM electrodes without pre-sintering, we demonstrate that the
deteriorated LSM/YSZ interface can be repaired and regenerated by operating the
cells under cathodic polarization conditions. This study for the first time
establishes the foundation for the development of truly reversible and stable
SOCs for hydrogen fuel production and electricity generation in cyclic SOEC and
SOFC operation modes.
PMID- 26548930
TI - Mitochondria-targeted drug delivery system for cancer treatment.
AB - Mitochondria are one type of the major organelles in the cell, participating in a
variety of important physiological and biochemical processes, such as
tricarboxylic acid cycle, fatty acid metabolism and oxidative phosphorylation.
Meanwhile, it also happens to be the key regulator of apoptosis by triggering the
complex cell-death processes through a variety of mechanisms. Since it plays a
pivotal role in cell-death, a mitochondria-targeted treatment strategy could be
promising for cancer therapy. In this comprehensive review, we focused on the
mechanisms of mitochondrial targeting and a variety of strategies to realize the
purpose of mitochondrial targeting, including that based on the use of lipophilic
cations, and mitochondrial targeting signal peptides (MTS) as well as cell
penetrating peptides (CPPs). Then on this basis we present some several developed
strategies for multifunctional mitochondria-targeted agents so as to achieve the
good anti-cancer therapeutic effects.
PMID- 26548931
TI - Roles of Hippo signaling in lung cancer.
AB - Lung cancer is the leading cause of cancer-related mortality in the world, with
more than 1 million deaths/year. Over the past years, lung cancer treatment has
been based on cytotoxic agents and an improvement in the outcome and quality of
life for patients has been observed. However, it has become clear that additional
therapeutic strategies are urgently required to provide an improved survival
benefit for patients. A major intracellular signaling pathway, the Hippo
signaling pathways have been extensively studied in neoplasia, including lung
cancer. Furthermore, the study of constitutively activated receptor and their
downstream signaling mediators has become a promising new field of investigation
for lung cancer treatment. Nevertheless for lung cancer, this approach has not
been successful yet. Here, we will review the molecular basis of Hippo signaling
in lung cancer and further discuss the therapeutic potential of multi-targeted
strategies involving Yes-associated protein inhibitors.
PMID- 26548932
TI - A meta-analysis of erlotinib versus docetaxel for advanced nonsmall-cell lung
cancer with poor prognosis.
AB - BACKGROUND: The extent of the benefit of erlotinib in the treatment of advanced
nonsmall-cell lung cancer (NSCLC) is still controversial when compared with
docetaxel. This meta-analysis was performed to compare the efficacy of erlotinib
with docetaxel for different patients with advanced NSCLC. MATERIALS AND METHODS:
We searched Cochrane Library, PubMed, CNKI, and identified 23 randomized
controlled clinical trials from 2008 to 2015. According to our further full-text
screening, 6 clinical trials were included in the final meta-analysis. RESULTS:
Six papers were included in this study. The progression-free survival (PFS),
overall survival (OS), objective response rate (ORR), and toxicity were included
in our outcomes. The pooled hazard ratio (HR) of PFS was 1.57 (95% confidential
index [CI] = 1.47-1.69). The pooled HR of OS was 1.66 (95% CI = 1.43-1.92). The
pooled risk ratio of ORR was 0.56 (95% CI = 0.35-0.91). The toxicity analysis
showed odds ratio = 1.79 (95% CI = 1.20-2.69). CONCLUSIONS: In terms of PFS, OS,
and toxicity the effect of erlotinib in the treatment of advanced NSCLC patients
is superior to docetaxel.
PMID- 26548933
TI - Rabbit nucleus pulposus cells facilitate differentiation of adipose-derived stem
cells into nucleus pulposus-like cells.
AB - OBJECTIVE: To investigate the feasibility of inducing adipose-derived stem cells
(ADSCs) to nucleus pulposus cells (NPCs). MATERIALS AND METHODS: ADSCs were
isolated from rabbit while NPCs were isolated from an allogeneic rabbit. NPCs
were co-cultured with the 3rd generation ADSCs in co-cultured system. Only NPCs
were cultured in single culturing group. Through the collagen type II collagen
immunohistochemistry, we observed NPCs and then identify NPC. Proteoglycan
messenger RNA (mRNA) and collagen type II mRNA level were measured by real-time
polymerase chain reaction. RESULTS: In two group cells, collagen type II collagen
were detected by immunohistochemistry. The amount of proteoglycan mRNA and
collagen type II mRNA was both significantly higher in co-cultured group than in
single cultured group. CONCLUSIONS: In some condition, ADSCs have the potency to
differentiate toward nucleus pulposus-like cells. ADSCs are better seed cells for
tissue engineering of artificial nucleus pulposus.
PMID- 26548934
TI - Clinical interrogation and application of super-selective intracranial artery
infusion chemotherapy for lung cancer patients with brain metastases.
AB - OBJECTIVE: The purpose of this study was to evaluate the clinical efficacy of
super-selective intracranial artery infusion chemotherapy and to determine
correlated prognostic parameters for advanced lung cancer patients with brain
metastases. PATIENTS AND METHODS: Fifty-four lung cancer patients with brain
metastasis who had no previous treatment were enrolled for the study. These
patients received super-selective intracranial artery infusion chemotherapy, as
well as arterial infusion chemotherapy for primary and metastatic lesions. The
procedure was performed once every 4 weeks. Patients were monitored to evaluate
short-term clinical outcomes 4 weeks after the first 2 treatments, and follow-up
visits performed every 4 weeks after the first 4 treatments until the appearance
of disease progression or intolerable toxicity. RESULTS: All 54 cases were
treated at least 4 times. The overall response rate was 55.56% (30/54), and the
disease control rate was 85.19% (46/54). The median overall survival was 7
months, with a 95% confidence interval (CI) of 5.87-8.13 months, and the median
progression-free survival was 4 months, with a 95% CI of 3.20-4.80 months. The 6
month survival rate and 1-year survival rate were 81.48% (44/54) and 18.52%
(10/54), respectively. CONCLUSION: Super-selective intracranial artery infusion
chemotherapy provides a clinically efficacious avenue of treatment for lung
cancer patients with brain metastases. Pathological classification, Karnofsky
performance status, and extracranial metastases may serve as reliable prognostic
parameters in determining the clinical outcomes for lung cancer patients with
brain metastases.
PMID- 26548935
TI - Methylene tetrahydrofolate reductasel reductase C677T polymorphisms and
esophageal cancer susceptibility: A meta-analysis based on Chinese Han
population.
AB - OBJECTIVE: The aim of this meta-analysis was to assess the methylene
tetrahydrofolate reductase (MTHFR) gene C677T polymorphisms and esophageal cancer
susceptibility in Chinese Han population. MATERIALS AND METHODS: The databases of
PubMed, MEDLINE, Wanfang, and CNIK was electronic searched to find the case
control or cohort study about the relationship between MTHFR gene C677T
polymorphisms and esophageal cancer susceptibility in Chinese Han population. The
odds ratio (OR) was used to assess the relationship between CC, CT, and TT
genotype and esophageal cancer risk. The data were pooled using Stata 11.0
software. RESULTS: Eight articles included 1752 esophageal cancer and 2363
controls were found and included in this meta-analysis. The pooled OR was 1.86
with its 95% confidence interval of 1.21-2.86 and 1.62 with its 95% confidence
interval of 1.15-2.27 for TT versus CC and CT versus CC model which indicated
that people with TT OR CT genotype significant increase the risk of developing
esophageal cancer. CONCLUSION: Esophageal cancer risk was significantly increased
in people with TT/CT genotype of MTHFR gene.
PMID- 26548936
TI - A meta-analysis of lentinan injection combined with chemotherapy in the treatment
of nonsmall cell lung cancer.
AB - OBJECTIVE: To systematic review and analysis the clinical efficacy and toxicity
of lentinan injection combined with chemotherapy in the treatment of nonsmall
cell lung cancer (NSCLC). MATERIALS AND METHODS: The databases of PubMed and CNKI
were electronic searched with the free text word of lung cancer/NSCLC and
lentinan. The prospective clinical study reporting the clinical efficacy and
safety of lentinan injection combined with chemotherapy in the treatment of NSCLC
were reviewed and included in this meta-analysis. The combined treatment efficacy
and toxicity of lentinan injection combined with chemotherapy were pooled by
Stata 11.0 software. RESULTS: Twelve clinical studies of lentinan injection
combined with chemotherapy in the treatment of NSCLC with 458 controls and 492
NSCLCs patients were finally included in this meta-analysis. The pooled results
indicated that the objective response rate was significant improved in the
lentinan injection combined chemotherapy group compared with chemotherapy group
only (relative risk [RR] = 1.31, 95% confidence interval [CI]: 1.14-1.52). The
chemotherapy-related toxicity of III/IV gastrointestinal reaction (RR = 0.54, 95%
CI: 0.43-0.68) and III/IV granulocytopenia (RR = 0.65, 95% CI: 0.51-0.70) were
significant decreased in the combined group. CONCLUSION: Lentinan injection
combined chemotherapy significant increase the objective response rate and
decreased the chemotherapy-related toxicity.
PMID- 26548937
TI - Toxicity and adverse effects of everolimus in the treatment of advanced nonsmall
cell lung cancer pretreated with chemotherapy--Chinese experiences.
AB - BACKGROUND: There is not more treatment selection for advanced nonsmall-cell lung
cancer (NSCLC) patients who had disease progression after two previous
treatments. Everolimus is an oral inhibitor of the mammalian target of rapamycin
pathway, which is aberrantly activated in NSCLC. PATIENTS AND METHODS: Stage IV
NSCLC patients, with one or multiple prior chemotherapy regimens, received
everolimus 5-10 mg/day with or without chemotherapy until progression or
unacceptable toxicity. The primary objective were toxicity of everolimus and
overall disease control rate (DCR). RESULTS: 22 patients were enrolled. Common >=
grade 3 events were stomatitis, dyspnea, vomiting, thrombocytopenia. Overall
disease control rate was 54.5% among 22 patients, 1 had a partial response, and
11 had disease stabilization. Common >= Grade 3 events were stomatitis, dyspnea,
vomiting, and thrombocytopenia. CONCLUSION: Everolimus was well tolerated,
showing the modest clinical activity in heavily pretreated advanced NSCLC.
PMID- 26548938
TI - Synchronous primary pulmonary lymphoma presenting with pulmonary adenocarcinoma:
A case report and literature review.
AB - The incidence of synchronous lung tumors is rare, as reported in various clinical
series, ranging from 0.2% to 8%. Most reported cases of synchronous tumors were
shown to have the same histologic types of lung cancer. Among possible
combinations, squamous cell carcinoma was by far the most common. Primary
pulmonary lymphoma (PPL) is very rare in clinics accounting for only 0.5-1% of
primary lung tumors. There is no report about synchronous primary pulmonary
adenocarcinoma presenting with lung lymphoma. It can be easily misdiagnosed or
missed. Although the treatment of PPL and synchronous pulmonary tumors has
controversial, surgery with/without postoperative adjuvant radio-chemotherapy are
used for most patients in present. We describe a case of synchronous primary lung
tumors presenting with lymphoma and adenocarcinoma, in which expression of the
cell surface antigens were evaluated immunohistochemically. By taking into
consideration of the reported experiences, the author discusses the clinical
features, prognostic criteria and therapeutic management of synchronous lung
cancer and PPL.
PMID- 26548939
TI - A new perspective on the IIIC staging in Chinese patients with primary breast
cancer: Single-center experiences.
AB - PURPOSE: The purpose of this retrospective study was to investigate whether Stage
IIIC (TanyN3M0) breast cancer can be classified further into subgroups with
different prognosis. MATERIALS AND METHODS: One hundred and thirty-two patients
with Stage IIIC breast cancer at Tianjin Medical University Cancer Institute and
Hospital were analyzed. The disease-free survival (DFS) and overall survival (OS)
were calculated by Kaplan-Meier method for lymph node ratio (LNR) and the number
of positive lymph node (PLN). The receiver operating characteristic curve
analysis was performed to determine the optimal cut-off value of the LNR and PLN.
The univariate and multivariate analysis were applied to identify the prognostic
factors. RESULTS: The results showed that the optimal cut-off value of LNR value
was 0.65, and the optimal cut-off value of PLN was 15. The Kaplan-Meier survival
analysis showed the higher value of LNR or PLN was correlated with shortened DFS
(P = 0.002, P = 0.008, respectively) and OS (P < 0.001, P = 0.001, respectively).
In multivariate survival analysis, the value of LNR and PLN were still remained
as independent prognostic factors for DFS (P = 0.014, P = 0.013, respectively)
and OS (P = 0.004, P = 0.002, respectively). CONCLUSION: These results suggest
that the value of LNR or PLN could be used as a new significant prognostic
biomarker for Stage IIIC breast cancer patients. Stage IIIC breast cancer
patients with lower value of LNR or PLN may be down staged.
PMID- 26548940
TI - Predictors and rate of adjuvant radiation therapy following radical
prostatectomy: A report from the Prostate Cancer Registry.
AB - INTRODUCTION: Long-term data from three randomized trials have demonstrated that
adjuvant radiation therapy (ART) reduces the rate of biochemical failure in high
risk men following radical prostatectomy (RP). One of these trials has shown a
survival advantage. We investigated the rate of ART in Victoria and the
predictors for this treatment. METHODS: We analysed data from eligible patients
who were notified to the Victorian Prostate Cancer Registry (PCR) by 37 Victorian
hospitals between 1 August 2008 and 31 October 2011. We defined ART as radiation
therapy (RT) delivered within 6 months of RP. Predictors of ART receipt were
modelled using adjusted and unadjusted logistic regression. RESULTS: There were
4626 eligible cases from which 2018 underwent RP with recorded date of surgery.
Of these eligible prostatectomy cases, a total of 89 received ART. A subgroup of
833 men had an adverse pathologic feature, of whom 78 received ART. In a
multivariate model, pathologic tumour stage pT3a (odds ratio (OR) 2.64; 95%
confidence interval (CI) 1.4-5.00; P = 0.003), pT3b (OR 4.58; 95% CI 2.12-9.89; P
= 0.000), a positive surgical margin (OR 8.91; 95% CI 4.61-17.2; P = 0.000) and
pathologic Gleason grade >7 (OR 7.18; 95% CI 1.54-33.6; P = 0.012) predicted
receipt of ART. CONCLUSION: Adverse pathologic features and high pathologic
Gleason score predict for receiving ART in Victorian men after RP, but overall,
ART is not commonly prescribed. This finding is consistent with other published
series and may reflect clinician scepticism regarding the benefit of ART over
salvage RT and concern about toxicity and the risk of over treatment.
PMID- 26548941
TI - Planar-integrated single-crystalline perovskite photodetectors.
AB - Hybrid perovskites are promising semiconductors for optoelectronic applications.
However, they suffer from morphological disorder that limits their optoelectronic
properties and, ultimately, device performance. Recently, perovskite single
crystals have been shown to overcome this problem and exhibit impressive
improvements: low trap density, low intrinsic carrier concentration, high
mobility, and long diffusion length that outperform perovskite-based thin films.
These characteristics make the material ideal for realizing photodetection that
is simultaneously fast and sensitive; unfortunately, these macroscopic single
crystals cannot be grown on a planar substrate, curtailing their potential for
optoelectronic integration. Here we produce large-area planar-integrated films
made up of large perovskite single crystals. These crystalline films exhibit
mobility and diffusion length comparable with those of single crystals. Using
this technique, we produced a high-performance light detector showing high gain
(above 10(4) electrons per photon) and high gain-bandwidth product (above 10(8)
Hz) relative to other perovskite-based optical sensors.
PMID- 26548942
TI - Ultrafast static and diffusion-controlled electron transfer at Ag29
nanocluster/molecular acceptor interfaces.
AB - Efficient absorption of visible light and a long-lived excited state lifetime of
silver nanoclusters (Ag29 NCs) are integral properties for these new clusters to
serve as light-harvesting materials. Upon optical excitation, electron injection
at Ag29 NC/methyl viologen (MV(2+)) interfaces is very efficient and ultrafast.
Interestingly, our femto- and nanosecond time-resolved results demonstrate
clearly that both dynamic and static electron transfer mechanisms are involved in
photoluminescence quenching of Ag29 NCs.
PMID- 26548943
TI - Multimodal emotional state recognition using sequence-dependent deep hierarchical
features.
AB - Emotional state recognition has become an important topic for human-robot
interaction in the past years. By determining emotion expressions, robots can
identify important variables of human behavior and use these to communicate in a
more human-like fashion and thereby extend the interaction possibilities. Human
emotions are multimodal and spontaneous, which makes them hard to be recognized
by robots. Each modality has its own restrictions and constraints which, together
with the non-structured behavior of spontaneous expressions, create several
difficulties for the approaches present in the literature, which are based on
several explicit feature extraction techniques and manual modality fusion. Our
model uses a hierarchical feature representation to deal with spontaneous
emotions, and learns how to integrate multiple modalities for non-verbal emotion
recognition, making it suitable to be used in an HRI scenario. Our experiments
show that a significant improvement of recognition accuracy is achieved when we
use hierarchical features and multimodal information, and our model improves the
accuracy of state-of-the-art approaches from 82.5% reported in the literature to
91.3% for a benchmark dataset on spontaneous emotion expressions.
PMID- 26548944
TI - Goal-oriented robot navigation learning using a multi-scale space representation.
AB - There has been extensive research in recent years on the multi-scale nature of
hippocampal place cells and entorhinal grid cells encoding which led to many
speculations on their role in spatial cognition. In this paper we focus on the
multi-scale nature of place cells and how they contribute to faster learning
during goal-oriented navigation when compared to a spatial cognition system
composed of single scale place cells. The task consists of a circular arena with
a fixed goal location, in which a robot is trained to find the shortest path to
the goal after a number of learning trials. Synaptic connections are modified
using a reinforcement learning paradigm adapted to the place cells multi-scale
architecture. The model is evaluated in both simulation and physical robots. We
find that larger scale and combined multi-scale representations favor goal
oriented navigation task learning.
PMID- 26548945
TI - Off-line simulation inspires insight: A neurodynamics approach to efficient robot
task learning.
AB - There is currently an increasing demand for robots able to acquire the sequential
organization of tasks from social learning interactions with ordinary people.
Interactive learning-by-demonstration and communication is a promising research
topic in current robotics research. However, the efficient acquisition of
generalized task representations that allow the robot to adapt to different users
and contexts is a major challenge. In this paper, we present a dynamic neural
field (DNF) model that is inspired by the hypothesis that the nervous system uses
the off-line re-activation of initial memory traces to incrementally incorporate
new information into structured knowledge. To achieve this, the model combines
fast activation-based learning to robustly represent sequential information from
single task demonstrations with slower, weight-based learning during internal
simulations to establish longer-term associations between neural populations
representing individual subtasks. The efficiency of the learning process is
tested in an assembly paradigm in which the humanoid robot ARoS learns to
construct a toy vehicle from its parts. User demonstrations with different serial
orders together with the correction of initial prediction errors allow the robot
to acquire generalized task knowledge about possible serial orders and the longer
term dependencies between subgoals in very few social learning interactions. This
success is shown in a joint action scenario in which ARoS uses the newly acquired
assembly plan to construct the toy together with a human partner.
PMID- 26548946
TI - Olfaction and topography, but not magnetic cues, control navigation in a pelagic
seabird: displacements with shearwaters in the Mediterranean Sea.
AB - Pelagic seabirds wander the open oceans then return accurately to their habitual
nest-sites. We investigated the effects of sensory manipulation on oceanic
navigation in Scopoli's shearwaters (Calonectris diomedea) breeding at Pianosa
island (Italy), by displacing them 400 km from their colony and tracking them. A
recent experiment on Atlantic shearwaters (Cory's shearwater, Calonectris
borealis) breeding in the Azores indicated a crucial role of olfaction over the
open ocean, but left open the question of whether birds might navigate by
topographical landmark cues when available. Our experiment was conducted in the
Mediterranean sea, where the availability of topographical cues may provide an
alternative navigational mechanism for homing. Magnetically disturbed shearwaters
and control birds oriented homeward even when the coast was not visible and
rapidly homed. Anosmic shearwaters oriented in a direction significantly
different from the home direction when in open sea. After having approached a
coastline their flight path changed from convoluted to homeward oriented, so that
most of them eventually reached home. Beside confirming that magnetic cues appear
unimportant for oceanic navigation by seabirds, our results support the crucial
role of olfactory cues for birds' navigation and reveal that anosmic shearwaters
are able to home eventually by following coastal features.
PMID- 26548947
TI - Constrained growth flips the direction of optimal phenological responses among
annual plants.
AB - Phenological changes among plants due to climate change are well documented, but
often hard to interpret. In order to assess the adaptive value of observed
changes, we study how annual plants with and without growth constraints should
optimize their flowering time when productivity and season length changes. We
consider growth constraints that depend on the plant's vegetative mass: self
shading, costs for nonphotosynthetic structural tissue and sibling competition.
We derive the optimal flowering time from a dynamic energy allocation model using
optimal control theory. We prove that an immediate switch (bang-bang control)
from vegetative to reproductive growth is optimal with constrained growth and
constant mortality. Increasing mean productivity, while keeping season length
constant and growth unconstrained, delayed the optimal flowering time. When
growth was constrained and productivity was relatively high, the optimal
flowering time advanced instead. When the growth season was extended equally at
both ends, the optimal flowering time was advanced under constrained growth and
delayed under unconstrained growth. Our results suggests that growth constraints
are key factors to consider when interpreting phenological flowering responses.
It can help to explain phenological patterns along productivity gradients, and
links empirical observations made on calendar scales with life-history theory.
PMID- 26548948
TI - Automated Three-Dimensional Reconstruction of the Left Ventricle From Multiple
Axis Echocardiography.
AB - Two-dimensional echocardiography (echo) is the method of choice for noninvasive
evaluation of the left ventricle (LV) function owing to its low cost, fast
acquisition time, and high temporal resolution. However, it only provides the LV
boundaries in discrete 2D planes, and the 3D LV geometry needs to be
reconstructed from those planes to quantify LV wall motion, acceleration, and
strain, or to carry out flow simulations. An automated method is developed for
the reconstruction of the 3D LV endocardial surface using echo from a few
standard cross sections, in contrast with the previous work that has used a
series of 2D scans in a linear or rotational manner for 3D reconstruction. The
concept is based on a generalized approach so that the number or type (long-axis
(LA) or short-axis (SA)) of sectional data is not constrained. The location of
the cross sections is optimized to minimize the difference between the
reconstructed and measured cross sections, and the reconstructed LV surface is
meshed in a standard format. Temporal smoothing is implemented to smooth the
motion of the LV and the flow rate. This software tool can be used with existing
clinical 2D echo systems to reconstruct the 3D LV geometry and motion to quantify
the regional akinesis/dyskinesis, 3D strain, acceleration, and velocities, or to
be used in ventricular flow simulations.
PMID- 26548949
TI - PRL-3 promotes gastric cancer migration and invasion through a NF-kappaB-HIF
1alpha-miR-210 axis.
AB - Phosphatase of regenerating liver-3 (PRL-3) has been implicated in controlling
cancer cell invasiveness. Deregulated expression of PRL-3 is involved in cancer
progression and predicts poor overall survival. Recent studies have revealed
critical roles for microRNAs in various cellular processes, including tumorigenic
development. In this study, we aimed to explore the linkage between PRL-3 and
microRNAs in gastric cancer. We found that PRL-3 transcript levels were
positively correlated with miR-210 levels in gastric cancer tissues. In gastric
cancer cells, PRL-3 upregulated miR-210 expression in a HIF-1alpha-dependent
fashion under normoxia and hypoxia. In addition, PRL-3 activated NF-kappaB
signaling and promoted HIF-1alpha expression through modulating phosphorylation
of p65. NF-kappaB signaling, HIF-1alpha, and miR-210 partially contributed to PRL
3-induced migration and invasion. Furthermore, the levels of PRL-3, HIF-1alpha,
and miR-210 transcripts inversely affected the overall survival of gastric cancer
patients. Our work identified the existence of a PRL-3-NF-kappaB-HIF-1alpha-miR
210 axis, thus providing new insight into the role of PRL-3 in promoting gastric
cancer invasiveness. KEY MESSAGE: PRL-3 regulates microRNA in gastric cancer. PRL
3 elevates hsa-miR-210 by upregulating HIF-1alpha. PRL-3 activates a NF-kappaB
HIF-1alpha-miR-210 axis by enhancing the phosphorylation of p65. PRL-3 promotes
cell migration and invasion via the NF-kappaB-HIF-1alpha-miR-210 axis. High
levels of PRL-3 and miR-210 are related with poor OS in gastric cancer.
PMID- 26548950
TI - Urologic Demise of Astronomer Tycho Brahe: A Cosmic Case of Urinary Retention.
PMID- 26548952
TI - [Control of major cardiovascular risk factors of ischemic heart disease in
secondary prevention in Aragon: COCINA study].
AB - Ischemic heart disease remains a leading cause of death in Spain. According to
the American Heart Association/American College of Cardiology (AHA/ACC) and
European national societies, secondary prevention for these patients consists of
control of major cardiovascular risk factors (CVRF) and suitable lifestyle
habits. OBJECTIVE: To determine the degree of control of CVRF in the Aragonese
population in secondary prevention. DESIGN: Cross-sectional study of a sample of
705 patients of Aragon who had suffered a cardiac event, selected
opportunistically in consultations of family physicians participating in the 3
provinces of Aragon. The study was conducted in the second half of 2012.
INTERVENTION: To measure the degree of control of different FRVC and lifestyle
habits in this population. VARIABLES MEASURED: Anthropometric, different
cardiovascular risk factors, treatment and lifestyle. RESULTS: 58% of men and 52%
of women met criteria for monitoring of measured variables. The best result was
obtained with smoking cessation and the worst with BMI. Hypertension,
Dyslipidemia and Diabetes Mellitus achieve poor control results. CONCLUSION: The
results show that the degree of control of CVRF is still low, especially in
variables such as dyslipidemia and Diabetes Mellitus. Only 16.5% of control
patients met criteria given the pharmacologically-modifiable cardiovascular risk
factors.
PMID- 26548953
TI - Molecular Mechanism of V(D)J Recombination from Synaptic RAG1-RAG2 Complex
Structures.
AB - Diverse repertoires of antigen-receptor genes that result from combinatorial
splicing of coding segments by V(D)J recombination are hallmarks of vertebrate
immunity. The (RAG1-RAG2)2 recombinase (RAG) recognizes recombination signal
sequences (RSSs) containing a heptamer, a spacer of 12 or 23 base pairs, and a
nonamer (12-RSS or 23-RSS) and introduces precise breaks at RSS-coding segment
junctions. RAG forms synaptic complexes only with one 12-RSS and one 23-RSS, a
dogma known as the 12/23 rule that governs the recombination fidelity. We report
cryo-electron microscopy structures of synaptic RAG complexes at up to 3.4 A
resolution, which reveal a closed conformation with base flipping and base
specific recognition of RSSs. Distortion at RSS-coding segment junctions and base
flipping in coding segments uncover the two-metal-ion catalytic mechanism.
Induced asymmetry involving tilting of the nonamer-binding domain dimer of RAG1
upon binding of HMGB1-bent 12-RSS or 23-RSS underlies the molecular mechanism for
the 12/23 rule.
PMID- 26548954
TI - MAJIN Links Telomeric DNA to the Nuclear Membrane by Exchanging Telomere Cap.
AB - In meiosis, telomeres attach to the inner nuclear membrane (INM) and drive the
chromosome movement required for homolog pairing and recombination. Here, we
address the question of how telomeres are structurally adapted for the meiotic
task. We identify a multi-subunit meiotic telomere-complex, TERB1/2-MAJIN, which
takes over telomeric DNA from the shelterin complex in mouse germ cells. TERB1/2
MAJIN initially assembles on the INM sequestered by its putative transmembrane
subunit MAJIN. In early meiosis, telomere attachment is achieved by the formation
of a chimeric complex of TERB1/2-MAJIN and shelterin. The chimeric complex
matures during prophase into DNA-bound TERB1/2-MAJIN by releasing shelterin,
forming a direct link between telomeric DNA and the INM. These hierarchical
processes, termed "telomere cap exchange," are regulated by CDK-dependent
phosphorylation and the DNA-binding activity of MAJIN. Further, we uncover a
positive feedback between telomere attachment and chromosome movement, revealing
a comprehensive regulatory network underlying meiosis-specific telomere function
in mammals.
PMID- 26548955
TI - Examination of the Chicago Multiscale Depression Inventory and Initial Validation
of a Positive Scale.
AB - The Chicago Multiscale Depression Inventory (CMDI) was developed to improve
accuracy in measuring depression symptoms in individuals with non-psychiatric
medical illness. Earlier psychometric evaluation of the CMDI has emphasized
properties of items that measure negative affect and experience. In this study,
we provide an initial evaluation of an outcome scale of positive items that are
also included within the CMDI but have previously been excluded from calculation
of the total score. Psychometric data for the CMDI negative and positive item
subscales were determined in healthy adults and patients with multiple sclerosis.
Analysis included measurements of factor structure, reliability, and validity in
comparison with other established measures of depression and affect. Study
findings indicate that in healthy and patient samples, the CMDI Positive scale
has very good reliability and validity. The Positive scale score also appears to
predict depression symptoms beyond the negative item scale scores. The CMDI
Positive scale could be a valuable clinical and research tool. Inclusion of the
Positive scale in the CMDI total score appears to improve the measure by further
capturing symptoms of affect and experience that are important to diagnosis of
depression and are not covered by the negative scales alone. (JINS, 2016, 22, 76
82).
PMID- 26548957
TI - Evaluation of an in vitro muscle contraction model in mouse primary cultured
myotubes.
AB - To construct an in vitro contraction model with the primary cultured myotubes, we
isolated satellite cells from the mouse extensor digitorum longus. Differentiated
myotubes possessed a greater number of sarcomere assemblies and higher expression
levels of myosin heavy chain, cytochrome c oxidase IV, and myoglobin than in
C2C12 myotubes. In agreement with these results regarding the sarcomere
assemblies and protein expressions, the primary myotubes showed higher
contractile activity stimulated by the electric pulses than that in the C2C12
myotubes. These data suggest that mouse primary myotubes will be a valuable
research tool as an in vitro muscle contraction model.
PMID- 26548956
TI - Identification of Vibrio cholerae serotypes in high-risk marine products with non
gel sieving capillary electrophoresis.
AB - Vibrio cholerae, a natural inhabitant of the marine environment, poses a threat
to human health, and its new epidemic variants have been reported. A method of
multiplex polymerase chain reaction-capillary electrophoresis-laser-induced
fluorescence (PCR-CE-LIF) detection has been developed to detect and identify V.
cholerae in marine products sensitively, rapidly, and reliably. Four sets of
primers were selected to amplify genus-specific VCC gene, O139 serogroup-specific
O139 gene, O1 serogroup-specific O1 gene, and ctxA gene associated with the CT
toxin of enterotoxigenic V. cholerae. The PCR products were detected using CE-LIF
with SYBR Gold serving as the DNA fluorescent dye. The parameters of PCR and the
separation conditions of CE-LIF were optimized. Under the optimal conditions, V.
cholerae was detected and four serotypes were identified simultaneously within 8
min. The alignment analysis showed that the PCR products had good agreement with
the published sequences from GenBank, indicating that the primers selected in
this study had high specificity and the PCR results were reliable. The proposed
method could detect 5 to 20 cfu/ml V. cholerae. The intraday precisions of
migration time and peak area of DNA marker and PCR products were in the ranges of
1.60-2.56% and 1.60-6.29%, respectively. The specificity results showed that only
five standard bacteria used in this study showed the specific peaks when the
target bacteria were mixed with seven other common intestinal pathogenic bacteria
at the same concentration. The assay was applied to 71 high-risk marine products,
and different serotypes of V. cholerae could be identified sensitively and
reliably.
PMID- 26548959
TI - A novel approach for oxidation analysis of therapeutic proteins.
AB - Measuring and monitoring of protein oxidation modifications is important for
biopharmaceutical process development and stability assessment during long-term
storage. Currently available methods for biomolecules oxidation analysis use time
consuming peptide mapping analysis. Therefore, it is desirable to develop high
throughput methods for advanced process control of protein oxidation. Here, we
present a novel approach by which oxidative protein modifications are monitored
by an indirect potentiometric method. The method is based on adding an electron
mediator, which enhances electron transfer (ET) between all redox species and the
electrode surface. Specifically, the procedure involves measuring the sharp
change in the open circuit potential (OCP) for the mediator system (redox couple)
as a result of its interaction with the oxidized protein species in the solution.
Application of Pt and Ag/AgCl microelectrodes allowed for a high-sensitivity
protein oxidation analysis. We found that the Ru(NH3)6(2+/3+) redox couple is
suitable for measuring the total oxidation of a wide range of therapeutic
proteins between 1.1 and 13.6%. Accuracy determined by comparing with the known
percentage oxidation of the reference standard showed that percentage oxidation
determined for each sample was within +/- 20% of the expected percentage
oxidation determined by mass spectrometry.
PMID- 26548958
TI - An enzyme-linked immunosorbent assay-based system for determining the
physiological level of poly(ADP-ribose) in cultured cells.
AB - PolyADP-ribosylation is mediated by poly(ADP-ribose) (PAR) polymerases (PARPs)
and may be involved in various cellular events, including chromosomal stability,
DNA repair, transcription, cell death, and differentiation. The physiological
level of PAR is difficult to determine in intact cells because of the rapid
synthesis of PAR by PARPs and the breakdown of PAR by PAR-degrading enzymes,
including poly(ADP-ribose) glycohydrolase (PARG) and ADP-ribosylhydrolase 3.
Artifactual synthesis and/or degradation of PAR likely occurs during lysis of
cells in culture. We developed a sensitive enzyme-linked immunosorbent assay
(ELISA) to measure the physiological levels of PAR in cultured cells. We
immediately inactivated enzymes that catalyze the synthesis and degradation of
PAR. We validated that trichloroacetic acid is suitable for inactivating PARPs,
PARG, and other enzymes involved in metabolizing PAR in cultured cells during
cell lysis. The PAR level in cells harvested with the standard
radioimmunoprecipitation assay buffer was increased by 450-fold compared with
trichloroacetic acid for lysis, presumably because of activation of PARPs by DNA
damage that occurred during cell lysis. This ELISA can be used to analyze the
biological functions of polyADP-ribosylation under various physiological
conditions in cultured cells.
PMID- 26548961
TI - Where does Grapholita molesta (Busck) (Lepidoptera: Tortricidae) overwinter in
adjacent peach, pear and apple orchards?
AB - The Oriental fruit moth, Grapholita molesta (Lepidoptera: Tortricidae), is a
major pest of tree fruits worldwide, and the diapausing larvae overwinter in
cryptic habitats. Investigations of overwintering G. molesta were conducted in
adjacent peach, pear and apple orchards in Northern China over three consecutive
winters to determine the overwintering site and habitat preferences of the moth.
Counts of overwintering larvae in the different orchards demonstrated that the
late-maturing peach orchard ('Shenzhou honey peach') was the most preferred
overwintering habitat with more than 90% of the collected larvae. Larvae were
more abundant in host trees, and they very rarely overwintered in the soil. The
overwintering site preferences on the host trees were significantly different;
over 50% larvae were located in the tree trunks, and followed by main branches.
Most of the G. molesta overwintered on the sunny side of the host trees at or
below 60 cm from the ground; a few were cocooned on the shaded sides of the trees
or greater than 60 cm from the ground. G. molesta began overwintering between
August and October, mid- to late September was the peak period for entering
winter diapause during 2011-2013 (77.78, 67.59 and 71.15%, respectively). Our
findings improve understanding of the orchard habitat and overwintering site
preferences of G. molesta and would be useful in the development of efficient
forecasting and pest-management strategies for orchards during the winter and
early spring.
PMID- 26548960
TI - Estimating mRNA lengths from Plasmodium falciparum genes by Virtual Northern RNA
seq analysis.
AB - Accurate gene models are essential for understanding parasite biology. However,
transcript structure information is lacking for most parasite genes. Here, we
describe "Virtual Northern" analysis of the malaria parasite Plasmodium
falciparum to address this issue. RNA-seq libraries were made from size
fractionated RNA. Transcript sizes for 3052 genes were inferred from the read
counts in each library. The data show that for almost half of the transcripts,
the combined untranslated regions are more than twice the length of the open
reading frame. Furthermore, we identified novel polycistronic, or gene
overlapping, transcripts that suggest revisions to current gene models are
needed.
PMID- 26548962
TI - Downregulation of matrix metalloproteinase-19 induced by respiratory syncytial
viral infection affects the interaction between epithelial cells and fibroblasts.
AB - The present study was designed to examine the expression and function of matrix
metalloproteinase-19 (MMP-19), which is downregulated following respiratory
syncytial virus (RSV) infection. The diverse expression levels of MMP were
examined using a designed cDNA expression array. The expression and secretion of
MMP-19 was examined using reverse transcription-quantitative polymerase chain
reaction (RT-qPCR) analysis and ELISA, respectively. The proliferation of
epithelial cells and lung fibroblasts were examined using flow cytometry. The
epithelial-mesenchymal transition (EMT) was also examined by performing western
blot and RT-qPCR analyses. The results of the cDNA assay showed that infection
with RSV resulted in the abnormal expression of certain metalloproteinases. Among
these, the expression of MMP-19 decreased 3 and 7 days following infection. By
using flow cytometric, western blot and RT-qPCR analyses, the present study
demonstrated that the downregulation of MMP-19 inhibited the proliferation of
epithelial cells, promoted the EMT and induced the proliferation of lung
fibroblasts. Taken together, the findings of the present study suggested that the
downregulation of MMP-19 following RSV infection may be associated with the
development of airway hyper-responsiveness.
PMID- 26548963
TI - Recurrence of Pain After Usual Nonoperative Care for Symptomatic Lumbar Disk
Herniation: Analysis of Data From the Spine Patient Outcomes Research Trial.
AB - OBJECTIVE: To determine risks and predictors of recurrent leg and low back pain
(LBP) after unstructured, usual nonoperative care for subacute/chronic
symptomatic lumbar disk herniation (LDH). DESIGN: Secondary analysis of data from
a concurrent randomized trial and observational cohort study. SETTING: Thirteen
outpatient spine practices. PARTICIPANTS: A total of 199 participants with
resolution of leg pain and 142 participants with resolution of LBP from among 478
participants receiving usual nonoperative care for symptomatic LDH. ASSESSMENT OF
RISK FACTORS: Potential predictors of recurrence included time to initial symptom
resolution, sociodemographics, clinical characteristics, work-related factors,
imaging-detected herniation characteristics, and baseline pain bothersomeness.
MAIN OUTCOME MEASUREMENTS: Leg pain and LBP bothersomeness were assessed by the
use of a 0-6 numerical scale at up to 4 years of follow-up. For individuals with
initial resolution of leg pain, we defined recurrent leg pain as having leg pain,
receiving lumbar epidural steroid injections, or undergoing lumbar surgery
subsequent to initial leg pain resolution. We calculated cumulative risks of
recurrence by using Kaplan-Meier survival plots and examined predictors of
recurrence using Cox proportional hazards models. We used similar definitions for
LBP recurrence. RESULTS: One- and 3-year cumulative recurrence risks were 23% and
51% for leg pain, and 28% and 70% for LBP, respectively. Early leg pain
resolution did not predict future leg pain recurrence. Complete leg pain
resolution (adjusted hazard ratio [aHR] 0.47, 95% confidence interval [CI] 0.31
0.72) and posterolateral herniation location (aHR 0.61; 95% CI 0.39-0.97)
predicted a lower risk of leg pain recurrence, and joint problems (aHR 1.89; 95%
CI 1.16-3.05) and smoking (aHR 1.81; 95% CI 1.07-3.05) predicted a greater risk
of leg pain recurrence. For participants with complete initial resolution of
pain, recurrence risks at 1 and 3 years were 16% and 41% for leg pain and 24% and
59% for LBP, respectively. CONCLUSIONS: Recurrence of pain is common after
unstructured, usual nonsurgical care for LDH. These risk estimates depend on the
specific definitions applied, and the predictors identified require replication
in future studies.
PMID- 26548964
TI - Update on Brain Tumors: New Developments in Neuro-oncologic Diagnosis and
Treatment, and Impact on Rehabilitation Strategies.
AB - Brain tumors can be a source of functional impairment to patients due to
neurologic sequelae associated with the tumor itself as well as treatment side
effects. As a result, many of these patients may require rehabilitation services.
Surgery, chemotherapy, and radiation therapy have been longstanding, primary
treatment modalities in the management of brain tumors, though these treatments
continue to evolve given new developments in research and technology. A better
understanding of the diagnostic workup and current treatment standards helps the
physiatrist and rehabilitation team identify rehabilitation services needed,
recognize potential side-effects from anticipated or concurrent treatments, and
coordinate care with referral sources. The purpose of this article is to review
these new advances in diagnosis and treatment of patients with brain tumors, as
well as discuss the rehabilitation implications for this population, including
factors such as rehabilitation approach, timing of concomitant treatment, cost
management, and coordination of care.
PMID- 26548965
TI - Ultrasound-Guided Scraping for Chronic Patellar Tendinopathy: A Case
Presentation.
AB - Chronic patellar tendinopathy is a common complaint among athletes who
repetitively stress the extensor mechanism of the knee. Multiple treatment
options have been described, but evidence is lacking, specifically when eccentric
loading has failed. Debate continues regarding the patho-etiology of chronic
patellar tendon pain. There has been recent interest regarding the neurogenic
influences involved in chronic tendinopathy, and interventions targeting
neovessels and accompanying neonerves have shown promise. This is the first
description of an ultrasound-guided technique in which the neovessels and
accompanying neonerves in patellar tendinopathy were targeted using a needle
scraping technique of the posterior surface of the patellar tendon.
PMID- 26548966
TI - Thoracic Radiculopathy Resulting From Retrograde Neuronal Degeneration.
AB - We report a case of thoracic radiculopathy caused by retrograde degeneration from
an intercostal nerve mass. A 74-year-old woman presented with thoracic radicular
pain in the T4 dermatome. Needle electromyography revealed abnormal spontaneous
activity in the left paraspinal muscle. Magnetic resonance imaging of the
thoracic spine showed no signs of a herniated thoracic disk or root compression
but revealed a mass along the intercostal space. The pathologic findings included
perineural infiltration. A mass located along the intercostal space approximately
1.8 cm from the dorsal root ganglion may cause thoracic radiculopathy via
retrograde degeneration.
PMID- 26548968
TI - Delayed Sciatic Nerve Injury Resulting From Myositis Ossificans Traumatica.
AB - A motorcyclist sustained multiple-system trauma, including a left buttock
hematoma requiring decompression and evacuation. Presentation for severe hip pain
and lower extremity weakness was delayed. Imaging revealed myositis ossificans
traumatica compressing the sciatic nerve in the buttock. The patient underwent
sciatic nerve decompression with resection of heterotopic calcification,
resulting in improvement in pain and left lower extremity function. This case
illustrates the contrast in differential diagnosis of peripheral nerve injury
immediately posttrauma and that occurring in a slow, delayed fashion posttrauma.
Myositis ossificans may be an underrecognized complication of trauma but should
be considered in cases of delayed peripheral nerve injury after trauma.
PMID- 26548967
TI - Dynamic Ultrasonography of the Deep External Rotator Musculature of the Hip: A
Descriptive Study.
AB - BACKGROUND: No detailed reports exist describing the methodology of ultrasound
image acquisition of the deep external rotator muscles of the hip. Because
gluteal pain and sciatica are common, ultrasound may be a useful dynamic imaging
adjunct in the evaluation of these patients. OBJECTIVE: To describe dynamic
ultrasonography of the deep external rotator muscles of the hip for diagnostic
purposes. DESIGN: Descriptive. SETTING: University radiology department.
PARTICIPANTS: Participants (n = 25; 14 male) without gluteal pain or sciatica
were enrolled (mean age 27.6 +/- 4.7 years; mean body mass index 26.0 +/- 4.1
kg/m(2)). METHODS: Ultrasonographic cine clips oriented to the long axis of each
deep external rotator muscle were captured. In addition, cine clips of the
piriformis tendon and obturator internus tendon were obtained. Cine clips were
analyzed approximately 1 week after completion of image acquisition independently
by 2 blinded raters. MAIN OUTCOME MEASURES: A 5-point Likert scale to evaluate
the diagnostic utility of the ultrasound image. RESULTS: The modal Likert scores
for rater 1 were as follows: piriformis muscle = 4; piriformis tendon = 4;
superior gemellus muscle = 3; obturator internus muscle = 4; obturator internus
tendon = 4; inferior gemellus muscle = 4; quadratus femoris muscle = 4. The modal
scores for rater 2 were: piriformis muscle = 4; piriformis tendon = 3; superior
gemellus muscle = 4; obturator internus muscle = 3; obturator internus tendon =
4; inferior gemellus muscle = 3; quadratus femoris muscle = 4. CONCLUSION:
Dynamic ultrasonography may be useful to image the hip deep external rotator
musculature for diagnostic purposes and therefore aid in the evaluation of
gluteal pain and sciatica. Future work should investigate the reliability and
validity of ultrasonography in the evaluation of pathology of these muscles.
PMID- 26548969
TI - The Swedish Aging With Spinal Cord Injury Study (SASCIS): Methodology and Initial
Results.
AB - BACKGROUND: Advances in acute treatment, physiatric care, and rehabilitation have
improved survival greatly after spinal cord injury (SCI) and increased longevity.
This has led to a need for an in-depth understanding of factors associated with
healthy aging in people with long-term SCI. OBJECTIVE: To present the methodology
and initial results of the Swedish Aging with Spinal Cord Injury Study, a
longitudinal cohort survey targeting older adults with long-term SCI. DESIGN:
Cross-sectional cohort study. SETTING: Home and community settings. PARTICIPANTS:
People aged 50 years or older with SCI for at least 10 years. METHODS: Data were
collected through interviews and assessments during home visits and from the
participants' medical records. MAIN OUTCOME MEASUREMENTS: Study-specific protocol
with custom-designed questions, and validated generic and SCI-specific assessment
tools focusing on functioning, disability, health, well-being, lifestyle, and
personal and environmental factors. RESULTS: Of 795 potential participants, 184
matched the inclusion criteria and the final sample comprised 123 participants
(67% response rate; 36 women and 87 men, mean age 63 +/- 9 years, mean time since
injury 24 +/- 12 years). Traumatic SCI accounted for 62%, and 31% had sustained a
complete SCI. A majority was married or had a partner, and used some form of
assistance and/or mobility device. Thirty-five percent were working full-time or
part-time. Based on the American Spinal Injury Association Impairment Scale
(AIS), 3 groups of SCI severity were formed. There were no significant
differences in chronological age, marital status, or vocational situation between
the tetraplegia AIS A-C group (n = 22), the paraplegia AIS A-C group (n = 41),
and the all AIS D group (n = 60). Participants in the all AIS D group were
significantly older at injury, had a shorter time since injury, and were less
likely to use mobility devices compared with the other 2 groups. CONCLUSION:
These baseline data of the Swedish Aging with Spinal Cord Injury Study provide a
description of older adults with long-term SCI. Forthcoming studies and
subsequent follow-ups will generate new insights into factors that promote
healthy aging, minimize disability and enhance participation, quality of life,
and life satisfaction in people aging with long-term SCI.
PMID- 26548970
TI - Ginsenoside Rb1 Attenuates Acute Inflammatory Nociception by Inhibition of
Neuronal ERK Phosphorylation by Regulation of the Nrf2 and NF-kappaB Pathways.
AB - Ginsenoside-Rb1 (Rb1) has anti-inflammatory effects. However, the potential
antinociceptive value of Rb1 for the treatment of acute inflammatory nociception
is still unknown. In this study, we examined whether Rb1 has any antinociceptive
effects on acute inflammatory nociception in Sprague Dawley rats given
intrathecal (i.t.) introduction of Rb1 (2, 10, and 50 MUg) 20 minutes before
injection of formalin (5%, 50 MUL) into the plantar surface of the hind paws.
I.t. introduction of Rb1 significantly decreased nociceptive behavior during
phase II (16-60 minutes), but not phase I (0-10 minutes), after formalin
stimulation, corresponding to the reduced activation of c-Fos in the L4 to L5
spinal dorsal horn after formalin stimulation. Rb1 also reduced the
phosphorylation of extracellular signal-regulated kinase in the neurons, but not
the microglia and astrocytes. Microscopic examination of the microglia and
astrocytes revealed no morphological changes due to formalin stimulation and i.t.
introduction of Rb1. Interestingly, Rb1 activated the nuclear factor erythroid 2
related factor 2 pathway and inhibited nuclear factor kappa B pathways.
PERSPECTIVE: Our findings indicate that i.t. introduction of Rb1 might
effectively inhibit formalin-induced acute inflammatory nociception by inhibition
of neuronal extracellular signal-regulated kinase phosphorylation, which is
thought to regulate the nuclear factor erythroid 2-related factor 2 nuclear
factor kappa B pathways in the spinal dorsal horn, which suggests therapeutic
potential for suppression of acute inflammatory pain.
PMID- 26548972
TI - Reorganized Trunk Muscle Activity During Multidirectional Floor Perturbations
After Experimental Low Back Pain: A Comparison of Bilateral Versus Unilateral
Pain.
AB - Low back pain changes trunk muscle activity after external perturbations but the
relationship between pain intensities and distributions and their effect on trunk
muscle activity remains unclear. The effects of unilateral and bilateral
experimental low back pain on trunk muscle activity were compared during
unpredictable multidirectional surface perturbations in 19 healthy participants.
Pain intensity and distribution were assessed using a visual analogue scale (VAS)
and pain drawings. Root mean square (RMS) of the electromyographic (EMG) signals
from 6 trunk muscles bilaterally after each perturbation was extracted and
averaged across perturbations. The difference (DeltaRMS-EMG) and absolute
difference (absolute DeltaRMS-EMG) RMS from baseline conditions were extracted
for each muscle during pain conditions and averaged bilaterally for back and
abdominal muscle groups. Bilateral compared with unilateral pain induced higher
VAS scores (P < .005) and larger pain areas (P < .001). Significant correlation
was present between VAS scores and muscle activity during unilateral (P < .001)
and bilateral pain (P < .001). Compared with control injections DeltaRMS-EMG
increased in the back (P < .03) and abdominal (P < .05) muscles during bilateral
and decreased in the back (P < .01) and abdominal (P < .01) muscles during
unilateral pain. Bilateral pain caused greater absolute DeltaRMS-EMG changes in
the back (P < .01) and abdominal (P < .01) muscle groups than unilateral pain.
PERSPECTIVE: This study provided novel observations of differential trunk muscle
activity in response to perturbations dependent on pain intensity and/or pain
distribution. Because of complex and variable changes the relevance of clinical
examination of muscle activity during postural tasks is challenged.
PMID- 26548971
TI - The Influence of Chronic Pain on Postoperative Pain and Function After Hip
Surgery: A Prospective Observational Cohort Study.
AB - Pre-existing or chronic pain is an established risk factor for severe
postoperative pain. In this prospective observational cohort study, we
investigated whether a history of chronic pain, beyond the presence of hip
related pain, affected other postoperative factors including early mobilization,
function, and psychological distress after hip surgery. Patients who underwent
total hip replacement surgery were observed from the preoperative day until the
seventh postoperative day. Before surgery, they were characterized by their pain
history, pain intensity, function, and psychological characteristics.
Postoperatively, pain intensity was evaluated on day 1, 3, 5, and 7 and the
analgesic consumption was recorded for each of these days. Measures of function
(functional questionnaire, ability to mobilize and to climb stairs, and range of
hip motion) and psychological distress were re-evaluated on day 7. A history of
chronic pain was associated with slower postoperative mobilization, poorer
physical function, and greater psychological distress in addition to increased
postoperative pain intensity. The comorbidity of a chronic pain disorder resulted
in greater pain intensity after surgery, and also impeded postoperative
rehabilitation. Identification of patients with a chronic pain disorder is
necessary preoperatively so that appropriate pain management and rehabilitation
can be planned to facilitate recovery. PERSPECTIVE: Chronic pain, beyond the
presence of hip-related pain, is associated with slower postoperative
mobilization, poorer physical function, and greater psychological distress after
total hip replacement surgery. Identification of patients with chronic pain and
establishment of multiprofessional perioperative management might improve
postoperative rehabilitation of patients with chronic pain.
PMID- 26548974
TI - Reactivity of functionalized indoles with rare-earth metal amides. Synthesis,
characterization and catalytic activity of rare-earth metal complexes
incorporating indolyl ligands.
AB - The reactivity of several functionalized indoles 2-(RNHCH2)C8H5NH (R = C6H5 (1),
(t)Bu (2), 2,6-(i)Pr2C6H3 (3)) with rare-earth metal amides is described.
Reactions of 1 or 2 with [(Me3Si)2N]3RE(MU-Cl)Li(THF)3 (RE = Eu, Yb) respectively
produced the europium complexes [2-(C6H5N[double bond, length as m
dash]CH)C8H5N]2Eu[N(SiMe3)2] (4) and [2-((t)BuN[double bond, length as m
dash]CH)C8H5N]Eu[N(SiMe3)2]2 (5), and the ytterbium complex [2-((t)BuN[double
bond, length as m-dash]CH)C8H5N]2Yb[N(SiMe3)2] (6), containing bidentate anionic
indolyl ligands via dehydrogenation of the amine to the imine. In contrast,
reactions of the more sterically bulky indole 3 with [(Me3Si)2N]3RE(MU
Cl)Li(THF)3 afforded complexes [2-(2,6-(i)Pr2C6H3NCH2)C8H5N]RE[N(SiMe3)2](THF)2
(RE = Yb (7), Y (8), Er (9), Dy (10)) with the deprotonated indolyl ligand. While
reactions of 3 with yttrium and ytterbium amides in refluxing toluene
respectively gave the complexes [2-(2,6-(i)Pr2C6H3N[double bond, length as m
dash]CH)C8H5N]3Y (11) and [2-(2,6-(i)Pr2C6H3N[double bond, length as m
dash]CH)C8H5N]2Yb(II)(THF)2 (12), along with transformation of the amino group to
the imino group, and also with a reduction of Yb(3+) to Yb(2+) in the formation
of 12. Reactions of 3 with samarium and neodymium amides provided novel dinuclear
complexes {[MU-eta(5):eta(1):eta(1)-2-(2,6-(i)Pr2C6H3NCH2)C8H5N]RE[N(SiMe3)2]}2
(RE = Sm (13), Nd (14)) having indolyl ligands in MU-eta(5):eta(1):eta(1)
hapticities. The pathway for the transformation of the amino group to the imino
group is proposed on the basis of the experimental results. The new complexes
displayed excellent activity in the intramolecular hydroamination of
aminoalkenes.
PMID- 26548973
TI - Mimicking the phosphorylation of Rsp5 in PKA site T761 affects its function and
cellular localization.
AB - Rsp5 ubiquitin ligase belongs to the Nedd4 family of proteins, which affect a
wide variety of processes in the cell. Here we document that Rsp5 shows several
phosphorylated variants of different mobility and the migration of the
phosphorylated forms of Rsp5 was faster for the tpk1Delta tpk3Delta mutant devoid
of two alternative catalytic subunits of protein kinase A (PKA), indicating that
PKA possibly phosphorylates Rsp5 in vivo. We demonstrated by immunoprecipitation
and Western blot analysis of GFP-HA-Rsp5 protein using the anti-phospho PKA
substrate antibody that Rsp5 is phosphorylated in PKA sites. Rsp5 contains the
sequence 758-RRFTIE-763 with consensus RRXS/T in the catalytic HECT domain and
four other sites with consensus RXXS/T, which might be phosphorylated by PKA. The
strain bearing the T761D substitution in Rsp5 which mimics phosphorylation grew
more slowly at 28 degrees C and did not grow at 37 degrees C, and showed defects
in pre-tRNA processing and protein sorting. The rsp5-T761D strain also
demonstrated a reduced ability to form colonies, an increase in the level of
reactive oxygen species (ROS) and hypersensitivity to ROS-generating agents.
These results indicate that PKA may downregulate many functions of Rsp5, possibly
affecting its activity. Rsp5 is found in the cytoplasm, nucleus, multivesicular
body and cortical patches. The rsp5-T761D mutation led to a strongly increased
cortical localization while rsp5-T761A caused mutant Rsp5 to locate more
efficiently in internal spots. Rsp5-T761A protein was phosphorylated less
efficiently in PKA sites under specific growth conditions. Our data suggests that
Rsp5 may be phosphorylated by PKA at position T761 and that this regulation is
important for its localization and function.
PMID- 26548975
TI - Intra-tumor distribution of PEGylated liposome upon repeated injection: No
possession by prior dose.
AB - Liposomes have proven to be a viable means for the delivery of chemotherapeutic
agents to solid tumors. However, significant variability has been detected in
their intra-tumor accumulation and distribution, resulting in compromised
therapeutic outcomes. We recently examined the intra-tumor accumulation and
distribution of weekly sequentially administered oxaliplatin (l-OHP)-containing
PEGylated liposomes. In that study, the first and second doses of l-OHP
containing PEGylated liposomes were distributed diversely and broadly within
tumor tissues, resulting in a potent anti-tumor efficacy. However, little is
known about the mechanism underlying such a diverse and broad liposome
distribution. Therefore, in the present study, we investigated the influence of
dosage interval on the intra-tumor accumulation and distribution of "empty"
PEGylated liposomes. Intra-tumor distribution of sequentially administered
"empty" PEGylated liposomes was altered in a dosing interval-dependent manner. In
addition, the intra-tumor distribution pattern was closely related to the
chronological alteration of tumor blood flow as well as vascular permeability in
the growing tumor tissue. These results suggest that the sequential
administrations of PEGylated liposomes in well-spaced intervals might allow the
distribution to different areas and enhance the total bulk accumulation within
tumor tissue, resulting in better therapeutic efficacy of the encapsulated
payload. This study may provide useful information for a better design of
therapeutic regimens involving multiple administrations of nanocarrier drug
delivery systems.
PMID- 26548976
TI - Sustained, low-dose intraperitoneal cisplatin improves treatment outcome in
ovarian cancer mouse models.
AB - Intraperitoneal (IP) chemotherapy for ovarian cancer treatment prolongs overall
survival by 16 months compared to intravenous chemotherapy but is not widely
practiced due to catheter-related complications and complexity of administration.
An implantable, nonresorbable IP microdevice was used to release chemotherapeutic
agent at a constant rate of approximately 1.3 MUg/h in vitro and 1.0 MUg/h in
vivo. Studies conducted in two orthotopic murine models bearing human xenografts
(SKOV3 and UCI101) demonstrate that continuous dosing reduces tumor burden to the
same extent as weekly IP bolus drug injections. Treatment-induced toxicity was
quantified via body weight loss and complete blood count. The microdevice
resulted in significantly less toxicity than IP bolus injections, despite
administration of higher cumulative doses (total area under the concentration
time curve of 3049 ng day/mL with the microdevice vs. 2118 ng-day/mL with IP
bolus injections). This preclinical study supports the concept that reduced
toxicity with similar efficacy outcomes can be achieved by continuous dosing in
ovarian cancer patients currently treated with IP therapy.
PMID- 26548977
TI - [The health of adults undergoing an eviction process].
AB - OBJECTIVE: To analyze perceived health status and other health-related indicators
in the adult population in Granada (Spain) undergoing an eviction process from
their homes, whether rented or owned, in comparison with health indicators in the
general adult population in Andalusia. METHODS: A cross-sectional survey was
administered by trained staff. The survey included instruments from the
Andalusian Health Survey 2011 for measuring variables related to physical and
mental health, as well as health-related habits. We compared the results with
those obtained from the Andalusian general population through the Andalusian
Health Survey. A bivariate analysis using the chi2 test and a multivariate
logistic regression analysis were conducted. RESULTS: We obtained a total sample
of 205 people in the process of eviction. A total of 59.5% (n=122) were women,
and 40.5% (n=83) were men. Participants were more likely to have poor health
(odds ratio [OR]: 12.63, 95% confidence interval [95%CI]: 8.74-18.27), have
cardiovascular diseases (OR: 3.08; 95%CI: 1.54- 6.16), or to smoke (OR: 1.68; 95%
CI: 1.21-2.33) compared with the Andalusian general population. Most of the
health indicators analyzed showed a worse outcome for women undergoing an
eviction process. CONCLUSIONS: Our results suggest that, in the current context
of economic crisis, people undergoing a process of eviction in Granada and its
metropolitan area show poorer health than the Andalusian general population.
Further research is needed on health and evictions from different methodological
approaches, for a better understanding of the topic.
PMID- 26548979
TI - Narcissistic vulnerability is a common cause for depression in patients with
Gilles de la Tourette syndrome.
AB - The aim of this study was to assess for the first time different dimensions of
narcissistic self-regulation in a large cohort of adult patients with Gilles de
la Tourette syndrome (GTS) (n=50). From preliminary studies it is suggested that
narcissistic personality trait and disorder, respectively, are relatively
uncommon and occur in only 6-10% of GTS patients. In this study we used the
Narcissism Inventory (NI), a 163-items questionnaire that measures four different
dimensions of narcissism. The main result was that the prevalence of narcissism
strongly depends on the subtype of narcissism: while the vulnerable narcissism
("threatened self" and "hypochondriac self") was common, the "classic
narcissistic self" (grandiose narcissism) was rare. From our data an association
between comorbid depression and increased values of the "threatened self" and
comorbid OCD with increased values of the "hypochondriac self" is suggested.
Narcissism correlated positively with the personality domain neuroticism and had
a significantly negative impact on patients' quality of life. Therefore it can be
speculated that vulnerable narcissism is - among several others - one cause for
depression in patients with GTS. These findings may open new psychotherapeutic
perspectives in the treatment of depression in patients with GTS.
PMID- 26548978
TI - The behavior of compression and degradation for municipal solid waste and
combined settlement calculation method.
AB - The total compression of municipal solid waste (MSW) consists of primary,
secondary, and decomposition compressions. It is usually difficult to distinguish
between the three parts of compressions. In this study, the odeometer test was
used to distinguish between the primary and secondary compressions to determine
the primary and secondary compression coefficient. In addition, the ending time
of the primary compressions were proposed based on municipal solid waste
compression tests in a degradation-inhibited condition by adding vinegar. The
amount of the secondary compression occurring in the primary compression stage
has a relatively high percentage to either the total compression or the total
secondary compression. The relationship between the degradation ratio and time
was obtained from the tests independently. Furthermore, a combined compression
calculation method of municipal solid waste for all three parts of compressions
including considering organics degradation is proposed based on a one-dimensional
compression method. The relationship between the methane generation potential L0
of LandGEM model and degradation compression index was also discussed in the
paper. A special column compression apparatus system, which can be used to
simulate the whole compression process of municipal solid waste in China, was
designed. According to the results obtained from 197-day column compression test,
the new combined calculation method for municipal solid waste compression was
analyzed. The degradation compression is the main part of the compression of MSW
in the medium test period.
PMID- 26548980
TI - Associations between self-esteem, anxiety and depression and metacognitive
awareness or metacognitive knowledge.
AB - This study explored in a non-clinical sample the associations between self
esteem, anxiety and depression symptoms and metacognitive awareness or
metacognitive knowledge. Higher metacognitive awareness scores measured during
the neuropsychological tasks were positively associated with higher depression
scores in the social cognition test. Metacognitive knowledge score measured
independently of ongoing neuropsychological tasks was positively associated with
lower self-esteem, higher anxiety (state or trait) and depression scores.
PMID- 26548981
TI - Meta-analysis of short- and mid-term efficacy of ketamine in unipolar and bipolar
depression.
AB - Among treatments currently assessed in major depression, ketamine, has been
proposed of great interest, especially because of its very rapid action. However,
the time-course of the antidepressive action of ketamine remained unclear. In the
present meta-analysis, we provided a clear and objective view regarding the
putative antidepressive effect of ketamine and its time-course. We searched the
MEDLINE and PsycINFO databases through December 2013, without limits on year of
publication, using the key words ketamine and synonyms for mood disorder or
episode. Six randomized, double-blind and placebo-controlled trials of ketamine
in major depression (n=103 patients) were thus identified. Authors were contacted
and they all provided original data necessary for this meta-analysis.
Standardized mean differences (SMD) were calculated between the depression scores
in ketamine and placebo groups at days 1, 2, 3-4, 7 and 14. Ketamine showed an
overall antidepressive efficacy from day 1 to day 7. However, the maintenance of
its efficacy over time failed to reach significance in bipolar depression after
day 3-4. Significant SMDs were not explained by demographic or clinical
characteristics of included samples. The present meta-analysis provides a high
level of evidence that ketamine has a rapid antidepressive action during one
week, especially in unipolar disorder.
PMID- 26548982
TI - Circulating anti-brain autoantibodies in schizophrenia and mood disorders.
AB - In recent years, an inflammatory autoimmune process, autoantibodies mediated, has
been porposed as having a role in the development of different psychiatric
disorders. The aim of this study was to assay organ-specific and non organ
specific circulating autoantibodies in schizophrenia, mood disorders and healthy
controls; among organ-specific autoantibodies we focused on different
fluorescence patterns of anti-brain autoantibodies against rat and monkey's
sections of hippocampus, hypothalamus and cerebellum. Serum samples from 50
acutelly ill patients (30 schizophrenia and 20 mood disorders) and from 20
healthy controls were collected. Autoantibodies were assayed by indirect
immunofluorescence, enzyme linked immunosorbent assay and chemiluminescence
immunoassay. We found a significant difference for circulating autoantibodies to
hypothalamus, hippocampus and cerebellum and for anti-nuclear autoantibodies in
both schizophrenia and mood disorders when compared to the control group.
Referring to the two groups of patients only, circulating antibodies anti
hypothalamus were found significant higher in mood disorders rather than in
schizophrenia, with specific regard to nuclear and cytoplasmic staining of the
neurons. These data suggest an aspecific diffuse brain involvement of anti-brain
autoantibodies in acute phases of schizophrenia and mood disorders. The greater
involvement of the hypothalamus in mood disorders highlights the close
relationship between autoimmunity, hypothalamic-pituitary-adrenal axis and
affective disorders.
PMID- 26548983
TI - Predictive value of neutrophil-lymphocyte ratio in non-muscle-invasive bladder
cancer.
PMID- 26548984
TI - [Cardiac rehabilitation after myocardial infarction].
AB - Although the proofs of the benefits of cardiac rehabilitation accumulate, many
patients are not sent to rehabilitation units, especially younger and very
elderly patients. As the length of stay in acute care units decreases,
rehabilitation offers more time to fully assess the patients' conditions and
needs. Meta-analyses of randomised trials suggest that mortality can be improved
by as much as 20-30%. In addition, rehabilitation helps managing risk factors,
including hyperlipidemia, diabetes, smoking and sedentary behaviours. Physical
training also helps improving exercise capacity. Because of all of these effects,
cardiac rehabilitation for post-myocardial infarction patients has been given a
class IA recommendation in current guidelines.
PMID- 26548985
TI - The potential of anti-malarial compounds derived from African medicinal plants,
part III: an in silico evaluation of drug metabolism and pharmacokinetics
profiling.
AB - BACKGROUND: Malaria is an endemic disease affecting many countries in Tropical
regions. In the search for compound hits for the design and/or development of new
drugs against the disease, many research teams have resorted to African medicinal
plants in order to identify lead compounds. Three-dimensional molecular models
were generated for anti-malarial compounds of African origin (from 'weakly'
active to 'highly' active), which were identified from literature sources.
Selected computed molecular descriptors related to absorption, distribution,
metabolism, excretion and toxicity (ADMET) of the phytochemicals have been
analysed and compared with those of known drugs in order to access the 'drug
likeness' of these compounds. RESULTS: In the present study, more than 500 anti
malarial compounds identified from 131 distinct medicinal plant species belonging
to 44 plant families from the African flora have been considered. On the basis of
Lipinski's 'Rule of Five', about 70% of the compounds were predicted to be orally
bioavailable, while on the basis of Jorgensen's 'Rule of Three', a corresponding
>80% were compliant. An overall drug-likeness parameter indicated that
approximately 55% of the compounds could be potential leads for the development
of drugs. CONCLUSIONS: From the above analyses, it could be estimated that >50%
of the compounds exhibiting anti-plasmodial/anti-malarial activities, derived
from the African flora, could be starting points for drug discovery against
malaria. The 3D models of the compounds have been included as an accompanying
file and could be employed in virtual screening.
PMID- 26548986
TI - Cytotoxic compounds from Laurencia pacifica.
AB - BACKGROUND: The current investigation sought to explore the nature of the
secondary metabolites in the algae, Laurencia pacifica. RESULTS: This report
details the first isolation of the sesquiterpenes isoaplysin (1), isolaurenisol
(2), debromoisolaurinterol (3), debromoaplysinol (4), laur-11-en-10-ol (5),
10alpha-hydroxyldebromoepiaplysin (6), and the previously unknown 10-bromo
3,7,11,11-tetramethylspiro[5.5]undeca-1,7-dien-3-ol (7) from the algae, Laurencia
pacifica. Isoaplysin (1) and debromoaplysinol (4) showed promising levels of
growth inhibition against a panel cancer-derived cell lines of colon (HT29),
glioblastoma (U87, SJ-G2), breast (MCF-7), ovarian (A2780), lung (H460), skin
(A431), prostate (Du145), neuroblastoma (BE2-C), pancreas (MIA), murine
glioblastoma (SMA) origin with average GI50 values of 23 and 14 MUM. CONCLUSIONS:
Isoaplysin (1) and debromoaplysinol (4) were up to fourfold more potent in cancer
derived cell populations than in non-tumor-derived normal cells (MCF10A). These
analogues are promising candidates for anticancer drug development. Graphical
Abstract ?.
PMID- 26548987
TI - An expeditious green route toward 2-aryl-4-phenyl-1H-imidazoles.
AB - BACKGROUND: Azaheterocycles are an important class of compounds because of their
highly potent medicinal activities, and the imidazole subcategory is of special
interest in regard to drug discovery research. FINDINGS: An expeditious synthetic
protocol of 2-aryl-4-phenyl-1H-imidazoles has been accomplished by reacting
phenylglyoxal monohydrate, ammonium acetate, and aldehyde under sonication.
Following this green approach a series of 2-aryl-4-phenyl-1H-imidazoles has been
synthesized using diversely substituted aldehydes. CONCLUSIONS: A rapid and
simple synthetic procedure to synthesize diversely substituted 2-aryl-4-phenyl-1H
imidazoles has been reported. Other salient features of this protocol include
milder conditions, atom-economy, easy extraction, and minimum wastes. The present
procedure may find application in the synthesis of biologically active molecules.
Graphical Abstract An expeditious synthetic protocol of 2-aryl-4-phenyl-1H
imidazoles has been accomplished by reacting phenylglyoxal monohydrate, ammonium
acetate, and diversely substituted aldehydes under sonication.
PMID- 26548988
TI - Synthesis and antileishmanial evaluation of some 2,3-disubstituted-4(3H)
quinazolinone derivatives.
AB - BACKGROUND: Leishmaniasis is a neglected tropical parasitic diseases affecting
millions of people around the globe. Quinazolines are a group of compounds with
diverse pharmacological activities. Owing to their promising antileishmanial
activities, some 3-aryl-2-(substitutedstyryl)-4(3H)-quinazolinones were
synthesized in good yields (65.2% to 86.4%). RESULTS: The target compounds were
synthesized by using cyclization, condensation, and hydrolysis reactions. The
structures of the synthesized compounds were determined using elemental
microanalysis, infrared (IR), and proton nuclear magnetic resonance ((1)H NMR).
The in vitro antileishmanial activities of the synthesized compounds were
evaluated using Leishmania donovani strain. All the synthesized compounds
displayed appreciable antileishmanial activities (IC50 values, 0.0128 to 3.1085
MUg/ml) as compared to the standard drug miltefosine (IC50 = 3.1911 MUg/ml). (E)
2-(4-chlorostyryl)-3-p-tolyl-4(3H)-quinazolinone (7) is the compound with the
most promising antileishmanial activities (IC50 = 0.0128 MUg/ml) which is
approximately 4 and 250 times more active than the standard drugs amphotericin B
deoxycholate (IC50 = 0.0460 MUg/ml) and miltefosine (IC50 = 3.1911 MUg/ml),
respectively. CONCLUSIONS: The results obtained from this investigation indicate
that the synthesized and biologically evaluated quinazoline compounds showed
promising antileishmanial activities and are good scaffolds for the synthesis of
different antileishmanial agents.
PMID- 26548989
TI - Chemical composition of ethanol extract of Macrotyloma uniflorum (Lam.) Verdc.
using GC-MS spectroscopy.
AB - BACKGROUND: Macrotyloma uniflorum Linn (Fabaceae) is a herbaceous plant with
annual branches. It is used in kidney stones, inflamed joints, fever,
musculoskeletal disorders, sinus wounds and localized abdominal tumors. It is
reported as an antioxidant and nutraceutical (forage and food). GC-MS analysis of
ethanol extract has led to identification of twenty-eight compounds from M.
uniflorum by comparison of their retention indices and mass spectra fragmentation
patterns with those stored on the GC-MS computer library. RESULTS: The main
constituents identified were mome inositol, ethyl alpha-d-glucopyranoside, n-
hexadecanoic acid, linoleic acid (9, 12-octadecadienoic acid), its esters and
ethyl derivatives, Vitamin E, stigmasterol and 3-beta-stigmast-5-en-3-ol.
CONCLUSIONS: The extracts are rich in linoleic acid and its esters, mome inositol
and ethyl alpha-d-glucopyranoside; therefore, this plant can be medicinally
beneficial as an antioxidant, in diabetes and its related disorders.
PMID- 26548990
TI - Synthesis and biological evaluation of benzimidazole-linked 1,2,3-triazole
congeners as agents.
AB - BACKGROUND: Benzimidazoles and triazoles are useful structures for research and
development of new pharmaceutical molecules and have received much attention in
the last decade because of their highly potent medicinal activities. FINDINGS: A
simple and efficient synthesis of triazole was carried out by treatment of 2-(4
azidophenyl)-1H-benzo[d]imidazole (6) with different types of terminal alkynes in
t-BuOH/H2O, sodium ascorbate, and Zn(OTf)2, screened for cytotoxicity assay and
achieved good results. A series of new benzimidazole-linked 1,2,3-triazole (8a-i)
congeners were synthesized through cyclization of terminal alkynes and azide.
These synthesized congeners 8a-i were evaluated for their cytotoxicity against
five human cancer cell lines. These benzimidazole-linked 1,2,3-triazole
derivatives have shown promising activity with IC50 values ranging from 0.1 to 43
MUM. Among them, the compounds (8a, 8b, 8c, and 8e) showed comparable
cytotoxicity with adriamycin control drug. CONCLUSIONS: In conclusion, we have
developed a simple, convenient, and an efficient convergent approach for the
synthesis of benzimidazole-linked 1,2,3-triazole congeners as agents. Graphical
Abstract Synthesis of 1,2,3-triazole derivatives.
PMID- 26548991
TI - Method development and validation of potent pyrimidine derivative by UV-VIS
spectrophotometer.
AB - BACKGROUND: A rapid and sensitive ultraviolet-visible (UV-VIS) spectroscopic
method was developed for the estimation of pyrimidine derivative 6-Bromo-3-(6
(2,6-dichlorophenyl)-2-(morpolinomethylamino) pyrimidine4-yl) -2H-chromen-2-one
(BT10M) in bulk form. RESULTS: Pyrimidine derivative was monitored at 275 nm with
UV detection, and there is no interference of diluents at 275 nm. The method was
found to be linear in the range of 50 to 150 MUg/ml. The accuracy and precision
were determined and validated statistically. The method was validated as a
guideline. CONCLUSIONS: The results showed that the proposed method is suitable
for the accurate, precise, and rapid determination of pyrimidine derivative.
Graphical Abstract Method development and validation of potent pyrimidine
derivative by UV spectroscopy.
PMID- 26548992
TI - Synthesis of 2-cyclopropyl-3-(5-aryl-1H-pyrazol-3-yl)-1,8-naphthyridine.
AB - BACKGROUND: 1,8-Naphthyridine derivatives have attracted considerable attention
because the 1,8-naphthyridine skeleton is present in many compounds that have
been isolated from natural substances, with various biological activities.
FINDINGS: N,N-dimethoxy-N-methyl-1,8-naphthyridine-3-carboxamide (1) on reaction
with Grignard reagent forms 2-methoxy-1,8-naphthyridine-3-carbaldehyde (2).
Compound 2 on reaction with different aromatic aldehydes provided 1-(2
cyclopropyl-1,8-naphthyridin-3-yl)-3-arylprop-2-en-1-ones (3a-e) and these
compounds on cyclisation with hydrazine hydrate 99% yielded 2-cyclopropyl-3-(5
aryl-1H-pyrazol-3-yl)-1,8-naphthyridines (4-a-e). Synthesis of the target
compounds involved the formation of 4a-e. It was accomplished using Grignard
reaction, condensation reaction, and cyclisation reactions. All the synthesized
compounds were readily soluble in DMSO. Spectral data of the synthesized
compounds were in full agreement with the proposed structures. CONCLUSIONS: In
conclusion, we have developed a simple and an efficient Synthesis of 2
cyclopropyl-3-(5-aryl-1H-pyrazol-3-yl)-1,8-naphthyridine.
PMID- 26548993
TI - An efficient heterogeneous catalyst (CuO@ARF) for on-water C-S coupling reaction:
an application to the synthesis of phenothiazine structural scaffold.
AB - BACKGROUND: Aryl sulfides have significant importance from biological and
pharmaceutical aspects. Transition metal-catalyzed carbon-sulfur cross-coupling
reaction represents an important tool for the synthesis of sulfides. Among
various transition metals, copper salts or oxides have found vast applicability.
RESULTS: A simple procedure for the preparation of poly-ionic amberlite resins
embedded with copper oxide nanoparticles (CuO NPs) (denoted as CuO@ARF) has been
developed, characterized, and employed for the first time as a heterogeneous
ligand-free catalyst for 'on-water' C-S cross-coupling reaction. The NPs of CuO
with an average size (approximately 2.6 nm), as determined from high resolution
transmission electron microscopy (HRTEM) images, are found to be a potentially
active, chemoselective, and recyclable catalyst for the preparation of
symmetrical and unsymmetrical aryl sulfides. Recycling of the catalyst was
performed successfully for five consecutive runs, and apparently no leaching was
observed in a hot filtration test. Excellent chemoselectivity between iodo- and
bromo-arene has been exploited in step-wise C-S and C-N couplings to synthesize
bioactive heterocyclic scaffold phenothiazine. CONCLUSIONS: An efficient method
is established for the C-S cross-coupling reaction using heterogeneous catalyst
CuO@ARF under ligand-free on-water condition. The catalyst is highly
chemoselective among different aryl halides, which has been demonstrated in the
synthesis heterocyclic scaffold phenothiazine. Furthermore, it is recyclable for
five consecutive runs examined. Graphical abstract On-water C-S coupling using
new heterogeneous nano-catalyst (CuO@ARF).
PMID- 26548994
TI - Biophysical Characterization of the Nucleoside Diphosphate Kinase of Leishmania
major and Effect of the P95S Mutation.
AB - Nucleoside diphosphate kinases (NDK; EC 2.7.4.6) are enzymes required for
maintaining intracellular levels of nucleosides triphosphates (NTP) through
transfer the gamma-phosphoryl group from a NTP to a NDP. The enzyme is associated
with several biological functions including prevention of host ATP-mediated
cytolysis during pathogenic infections. Here we present the biophysical
characterization of NDK from Leishmania major and the effect of a mutation on the
protein structure in solution. The structural stability was analyzed since this
secreted protein may act in different microenvironments at various stages of the
parasite life cycle. LmNDK and P95S mutant were subjected to denaturation with pH
and guanidine. Structural transitions were monitored by circular dichroism and
intrinsic fluorescence tryptophan emission. Our results showed that the LmNDK is
more structurally stable than other described NDKs and that the catalytically
active P95S mutant in the Kpn loop presented a decrease in protein stability,
indicating the importance of this proline for maintenance of the LmNDK structure.
PMID- 26548995
TI - The Hexapeptide Repeated Segment LIAGY is a Hot Spot of Aggregation of the
Pseudomonas syringae Ice Nucleation Protein.
AB - Ice nucleation proteins (INPs) form oligomeric structures by self-assembly and
aggregation. We looked for the presence of potential aggregating sequences inside
the INP from Pseudomonas syringae by a computational approach with the AGGRESCAN,
FOMDAMYLOID and TANGO softwares. A total of 38 hot spots of aggregation were
predicted in the INP sequence: 7 localized in the Nterminal domain, 2 in the C
terminal region, 28 in the highly repetitive central (HRC) region and 1 shared
between the HRC and the Carboxyl-terminus regions of the protein. All the hot
spots of aggregation identified in the HRC domain overlapped a 8-residue low
fidelity repeat including a LIAGYrelated sequence. We confirmed the predictions
by an experimental approach using synthetic peptides corresponding to different
parts of the INP central sequence, absorbance spectroscopy and fluorescence
spectroscopy in the presence of Congo red (CR) or Thioflavin T (ThT),
respectively. Peptide 620-SFIIAGYG-627 predicted to aggregate by the three
softwares induced an increase in fluorescence of ThT. Peptide 729-GFKSILTAGY-738
predicted to aggregate by AGGRESCAN and FOLDAMYLOID induced a shift in the
maximum of absorbance of CR. Peptide 1124-SVLTAGA-1130 predicted to aggregate
only by TANGO did not interfere with CR absorbance or ThT fluorescence. In
conclusion, the use of three aggregation prediction algorithms and two
biochemical assays showed that the hexapeptide repeated segment LIAGY, previously
shown to form a hairpin loop may be involved in the aggregation of the P.
syringae INP.
PMID- 26548997
TI - From bench to bedside: Can the improvements in left ventricular assist device
design mitigate adverse events and increase survival?
AB - OBJECTIVE: In vitro tests demonstrated that the new cone-bearing configuration of
the Jarvik 2000 (Jarvik Heart Inc, New York, NY) left ventricular assist device
exhibits better hydraulic efficiency than the previous pin-bearing design. We
investigated the long-term outcomes of patients who received the Jarvik 2000 left
ventricular assist device, depending on bearing design. METHODS: A retrospective
review of prospectively collected data from 18 centers included in the Italian
Registry was performed. From May 2008 to September 2013, 99 patients with end
stage heart failure were enrolled. Patients were divided into 2 groups according
to their Jarvik 2000 suspending mechanism: Group pin included patients with pin
bearings (May 2008 to June 2010), and group cone included patients with newer
cone bearings (July 2010 to September 2013). The 2 groups did not differ
significantly in terms of baseline characteristics. RESULTS: A total of 30 of 39
patients (group pin) and 46 of 60 patients (group cone) were discharged. During
follow-up, 6 patients underwent transplantation, and in 1 patient the left
ventricular assist device was explanted. The cumulative incidence competing risk
of the entire cohort for noncardiovascular-related death was 28% (20%-40%); the
cumulative incidence competing risk for cardiovascular-related death was 56% (42%
73%): 71% in group pin versus 26% in group cone (P = .034). The multivariate
analyses confirmed that the pin-bearing design was a risk factor for
cardiovascular death, along with Interagency Registry for Mechanically Assisted
Circulatory Support class. Right ventricular failures and ischemic and
hemorrhagic strokes were significantly higher in group pin. CONCLUSIONS: Patients
with the new pump configuration showed a better freedom from cardiovascular death
and lower incidence of fatal stroke and right ventricular failure. Further
studies are needed to prove the favorable impact of pump-enhanced fluid dynamics
on long-term results.
PMID- 26548999
TI - How to build effective mentor-mentee relationships: Role of the mentee.
PMID- 26548998
TI - Mechanical stress is associated with right ventricular response to pulmonary
valve replacement in patients with repaired tetralogy of Fallot.
AB - OBJECTIVE: Patients with repaired tetralogy of Fallot account for a substantial
proportion of cases with late-onset right ventricular failure. The current
surgical approach, which includes pulmonary valve replacement/insertion, has
yielded mixed results. Therefore, it may be clinically useful to identify
parameters that can be used to predict right ventricular function response to
pulmonary valve replacement. METHODS: Cardiac magnetic resonance data before and
6 months after pulmonary valve replacement were obtained from 16 patients with
repaired tetralogy of Fallot (8 male, 8 female; median age, 42.75 years). Right
ventricular ejection fraction change from pre- to postpulmonary valve replacement
was used as the outcome. The patients were divided into group 1 (n = 8, better
outcome) and group 2 (n = 8, worst outcome). Cardiac magnetic resonance-based
patient-specific computational right ventricular/left ventricular models were
constructed, and right ventricular mechanical stress and strain, wall thickness,
curvature, and volumes were obtained for analysis. RESULTS: Our results indicated
that right ventricular wall stress was the best single predictor for
postpulmonary valve replacement outcome with an area under the receiver operating
characteristic curve of 0.819. Mean values of stress, strain, wall thickness, and
longitudinal curvature differed significantly between the 2 groups with right
ventricular wall stress showing the largest difference. Mean right ventricular
stress in group 2 was 103% higher than in group 1. CONCLUSIONS: Computational
modeling and right ventricular stress may be used as tools to identify right
ventricular function response to pulmonary valve replacement. Large-scale
clinical studies are needed to validate these preliminary findings.
PMID- 26549000
TI - The prognostic role of non-critical lactate levels for in-hospital survival time
among ED patients with sepsis.
AB - OBJECTIVE: This study describes emergency department (ED) sepsis patients with
non-critical serum venous lactate (LAC) levels (LAC <4.0 mmol/L) who suffered in
hospital mortality and examines LAC in relation to survival times. METHODS: An ED
based retrospective cohort study accrued September 2010 to August 2014. Inclusion
criteria were ED admission, LAC sampling, >2 systemic inflammatory response
syndrome criteria with an infectious source (sepsis), and in-hospital mortality.
Kaplan-Meier curves were used for survival estimates. An a priori sub-group
analysis for patients with repeat LAC within 6 hours of initial sampling was
undertaken. The primary outcome was time to in-hospital death evaluated using
rank-sum tests and regression models. RESULTS: One hundred ninety-seven patients
met inclusion criteria. Pulmonary infections were the most common (44%) and
median LAC was 1.9 mmol/L (1.5, 2.5). Thirteen patients (7%) died within 24 hours
and 79% by <=28 days. Median survival was 11 days (95% CI, 8.0-13). Sixty-two
patients had repeat LAC sampling with 14 (23%) and 48 (77%) having decreasing
increasing levels, respectively. No significant differences were observed in
treatment requirements between the LAC subgroups. Among patients with decreasing
LAC, median survival was 24 days (95% CI, 5-32). For patients with increasing LAC
median survival was significantly shorter (7 days; 95% CI, 4-11, P = .04).
Patients with increasing LAC had a non-significant trend toward reduced survival
(HR = 1.6 95% CI, 0.90-3.0, P = .10). CONCLUSIONS: In septic ED patients
experiencing in-hospital death, non-critical serum venous lactate may be utilized
as a risk-stratifying tool for early mortality, while increasing LAC levels may
identify those in danger of more rapid deterioration.
PMID- 26549001
TI - Consumption of Alcohol Surrogates Among Alcohol-Dependent Women.
AB - This is the first in-depth study of alcohol and surrogate drinking patterns,
types, reasons, and correlates among alcohol-dependent women in Belarus. The
structured interviews were performed in 2013 with 103 alcohol-dependent women
admitted to a narcological clinic in Grodno, Belarus. The results suggest that at
least 30.3% of alcohol-dependent women regularly consume samogon (moonshine) and
10.8% of women use surrogates, the most popular among which are medications with
a high percentage of ethanol and industrial spirits. The belief that samogon
exceeds licensed vodka in quality is the main motive for its consumption. The
results from the present study confirm that noncommercial alcohol use is common
among alcohol-dependent women although its use may be underreported. These
findings emphasize that the implementation of a comprehensive alcohol policy must
take fully into account the consumption of alcohol from illicit sources.
PMID- 26549002
TI - Pension Reform in China.
AB - This article analyzes China's pension arrangement and notes that China has
recently established a universal non-contributory pension plan covering urban non
employed workers and all rural residents, combined with the pension plan covering
urban employees already in place. Further, in the latest reform, China has
discontinued the special pension plan for civil servants and integrated this
privileged welfare class into the urban old-age pension insurance program. With
these steps, China has achieved a degree of universalism and integration of its
pension arrangement unprecedented in the non-Western world. Despite this radical
pension transformation strategy, we argue that the current Chinese pension
arrangement represents a case of "incomplete" universalism. First, its benefit
level is low. Moreover, the benefit level varies from region to region. Finally,
universalism in rural China has been undermined due to the existence of the
"policy bundle." Additionally, we argue that the 2015 pension reform has created
a situation in which the stratification of Chinese pension arrangements has been
"flattened," even though it remains stratified to some extent.
PMID- 26549004
TI - Elevated Cardiac Enzymes in Hypertrophic Cardiomyopathy Patients With Heart
Failure - A 20-Year Prospective Follow-up Study.
AB - BACKGROUND: To better understand the evolution of typical hypertrophic
cardiomyopathy (HCM) to heart failure (HF), we investigated the relationship
between serum biochemical abnormalities and changes in left ventricular (LV)
remodeling. METHODS AND RESULTS: Seventy-seven HCM patients were followed for 20
years. Creatine kinase (CK), CK-MB, lactate dehydrogenase (LDH), LDH-1, troponin
T and myosin light chain-1 (MLC-1) were measured. Abnormal CK-MB elevation was
observed in 64% of HCM patients. LDH-1 was not significantly different compared
with the control subjects. Troponin T elevation was observed in 3 HCM patients
and MLC-1 elevation was not observed. According to median CK-MB, HCM patients
were divided into 2 groups: group H (CK-MB >=2.5%, n=33) and group L (CK-MB
<2.5%, n=44). During the follow-up period in group H, LV end-diastolic dimension
increased (P<0.0001), fractional shortening decreased (P<0.0004), and left atrial
dimension increased (P<0.0001). The markers reflecting LV hypertrophy were
significantly decreased. In group L, LV end-diastolic dimension increased
(P<0.02) and left atrial dimension increased (P<0.0001). HF was observed in 18
patients in group H and in 4 in group L. There were 14 HF deaths in group H and 2
in group L, and 3 sudden cardiac deaths in group H. CONCLUSIONS: Persistent
elevation of cardiac enzymes in HCM patients indicates ongoing myocardial injury,
ultimately resulting in death by HF.
PMID- 26549006
TI - [Haemorrhagic disease of the newborn after home delivery].
PMID- 26549005
TI - [Post-vaccinal herpes zoster: Value of the Tzank cytodiagnostic test].
PMID- 26549007
TI - Cryo-planing of frozen-hydrated samples using cryo triple ion gun milling
(CryoTIGMTM).
AB - Cryo-SEM is a high throughput technique for imaging biological ultrastructure in
its most pristine state, i.e. without chemical fixation, embedding, or drying.
Freeze fracture is routinely used to prepare internal surfaces for cryo-SEM
imaging. However, the propagation of the fracture plane is highly dependent on
sample properties, and the resulting surface frequently shows substantial
topography, which can complicate image analysis and interpretation. We have
developed a broad ion beam milling technique, called cryogenic triple ion gun
milling (CryoTIGMTM ['kri-e-,tim]), for cryo-planing frozen-hydrated biological
specimens. Comparing sample preparation by CryoTIGMTM and freeze fracture in
three model systems, Baker's yeast, mouse liver tissue, and whole sea urchin
embryos, we find that CryoTIGMTM yields very large (~700,000 MUm(2)) and smooth
sections that present ultrastructural details at similar or better quality than
freeze-fractured samples. A particular strength of CryoTIGMTM is the ability to
section samples with hard-soft contrast such as brittle calcite (CaCO3) spicules
in the sea urchin embryo.
PMID- 26549008
TI - Eveningness and Its Associated Impairments in Remitted Bipolar Disorder.
AB - Sleep-wake and circadian rhythm disturbances are common in remitted bipolar
disorder. These disturbances include difficulty initiating and maintaining sleep,
daytime sleepiness, sleep irregularity, and a circadian tendency toward
eveningness. To date, few studies have examined the impact of eveningness on
impairments in remitted bipolar disorder. Ninety-eight adults diagnosed with
bipolar disorder I, II, or not otherwise specified were evaluated. Hierarchical
linear regression analyses showed that eveningness was associated with greater
sleep-wake disturbances, more unhealthy dietary habits, worse quality of life,
more impaired interpersonal relationships, and more dysfunctional sleep-related
cognitions and behaviors, controlling for age, gender, and years of education.
Targeted intervention on dysfunctional sleep-related cognitions and behaviors may
reverse eveningness and improve functioning in bipolar disorder.
PMID- 26549009
TI - Rapid method of luxS and pfs gene inactivation in enterotoxigenic Escherichia
coli and the effect on biofilm formation.
AB - Rapid and efficient inactivation of a target gene in Escherichia coli chromosomes
is required to investigate metabolic engineering. In the present study, a
multiple gene inactivation approach was demonstrated in four strains of
enterotoxigenic E. coli (ETEC), which are the predominant pathogenic bacteria
causing piglet diarrhea, mediated by lambda Red and Xer recombination. The
chromosomal genes, luxS and pfs were inactivated using the multiple gene
inactivation approach in the wild-type strains of E. coli, K88, K99, 987P and
F41. This indicated that dif sites may be reused to inactivate multiple
chromosomal genes when no antibiotic-resistant selectable markers remain.
Following inactivation of luxS and pfs, the ability of ETEC to produce the quorum
sensing signal, and induce auto-inducer 2 activity and biofilm formation were
significantly reduced. Furthermore, the multiple gene inactivation approach also
exhibits a high recombination efficiency and follows a simple process.
PMID- 26549010
TI - Daclatasvir for the treatment of chronic hepatitis C.
AB - INTRODUCTION: Following more than 20 years of Interferon (IFN)-based treatment
for hepatitis C virus (HCV), the understanding of viral life cycle led to the
development of new antiviral drugs directly targeting HCV replication steps.
Daclatasvir (DCV) is a potent inhibitor of non-structural NS5A HCV protein with
pangenotypic activity and low-moderate barrier to resistance suitable for IFN
free combination with other direct acting antivirals (DAAs). AREAS COVERED: The
present review summarizes DCV key pharmacokinetic features and results from Phase
II and III trials, discussing also NS5A resistance. Main literature articles have
been identified through Pubmed and Medline search; moreover, abstracts from
recent international meetings on liver disease have been scrutinized. EXPERT
OPINION: DCV in combination with other DAAs has provided IFN-free regimens with
increased efficacy and tolerability. However, suboptimal barrier to resistance
and the rapid development of new second-generation NS5A inhibitors will probably
make DCV a relatively short-lived drug.
PMID- 26549011
TI - Relaxation damping in oscillating contacts.
AB - If a contact of two purely elastic bodies with no sliding (infinite coefficient
of friction) is subjected to superimposed oscillations in the normal and
tangential directions, then a specific damping appears, that is not dependent on
friction or dissipation in the material. We call this effect "relaxation
damping". The rate of energy dissipation due to relaxation damping is calculated
in a closed analytic form for arbitrary axially-symmetric contacts. In the case
of equal frequency of normal and tangential oscillations, the dissipated energy
per cycle is proportional to the square of the amplitude of tangential
oscillation and to the absolute value of the amplitude of normal oscillation, and
is dependent on the phase shift between both oscillations. In the case of low
frequency tangential oscillations with superimposed high frequency normal
oscillations, the dissipation is proportional to the ratio of the frequencies.
Generalization of the results for macroscopically planar, randomly rough surfaces
as well as for the case of finite friction is discussed.
PMID- 26549012
TI - Experimental Spinal Stenosis in Cats: New Insight in Mechanisms of Hydrocephalus
Development.
AB - In our new experimental model of cervical stenosis without inflammation we have
tested hypothesis that cranio-spinal communication impairment could lead to
hydrocephalus development. Spinal and cranial cerebrospinal fluid (CSF) space
separation was obtained with positioning of plastic semiring in epidural space at
C2 level in cats. Brain ventricles planimetry, and CSF pressure recording in
lateral ventricle (LV) and lumbar subarachnoid space (LSS) were performed in
acute and subchronic experiments. In all experiments opening CSF pressures were
normal. However, in acute experiments, an infusion of artificial CSF into the LV
led to increase of CSF pressure and significant gradient pressure development
between LV and LSS due to limited pressure transmission. After 3 or 6 weeks
spinal cord atrophy was observed at the site of cervical stenosis, and pressure
transmission from LV to LSS was improved as a consequence of spinal tissue
atrophy. Planimetry of both the coronal brain slices and the ventricles' surface
showed that control ventricular surface was 0.6 +/- 0.1% (n = 5), and 1.6 +/-
0.2% (n = 4) in animals with subchronic cervical stenosis (P < 0.002). These
results support the mentioned hypothesis claiming that CSF volume cranio-spinal
displacement impairment could start pathophysiological processes leading to
development of hydrocephalus.
PMID- 26549013
TI - The diverse oncogenic and tumor suppressor roles of salt-inducible kinase (SIK)
in cancer.
AB - INTRODUCTION: The salt-inducible kinases originally cloned in adrenal glands of
high salt diet-fed rats, generally named as SIKs, are highly evolutionarily
conserved serine/threonine protein kinases belonging to a family of AMP-activated
protein kinase (AMPK). Overexpression of SIK2 and SIK3 is discovered in many
tumors. Whereas, SIK1 expression was significantly lower in tumors than in normal
tissues. AREAS COVERED: The main aim of our review is to introduce the signaling
pathways as well as its mechanisms underlying their activity regulation, and
especially the roles they play in cancer, which may shed light on the prospects
of the cancer prevention and therapeutic targeting of SIKs in the future. EXPERT
OPINION: It is conceivable that SIKs, mainly stimulated by ACTH, LKB1, TGF-beta,
and autophosphorylation, play crucial roles in regulating multiple signal
pathways in cancer cells and controlling a series of cellular processes including
cell proliferation and cell apoptosis. More recent studies about SIKs are
emerging, and their overexpression is found in a few specific types of cancers.
However, correlations between SIKs and carcinogenesis remain to be fully
elucidated.
PMID- 26549014
TI - Enteric lactoferrin attenuates the development of high-fat and high-cholesterol
diet-induced hypercholesterolemia and atherosclerosis in Microminipigs.
AB - Previously, we found that enteric lactoferrin (eLF) could reduce the visceral fat
accumulation known to associate strongly with metabolic syndrome symptoms and
consequently with an increased risk of atherosclerosis. In this study, the
atherosclerosis-preventive potential of LF was assessed in a high-fat and high
cholesterol diet (HFCD)-induced hypercholesterolemia and atherosclerosis model
using MicrominipigTM. Eight-week orally administered eLF remarkably reduced the
HFCD-induced serum total and low-density lipoprotein cholesterol levels but not
high-density lipoprotein cholesterol levels. A histological analysis of 15
arteries revealed that eLF systemically inhibited the development of
atherosclerotic lesions. Pathway analysis using identified genes that
characterized eLF administration in liver revealed significant changes in the
steroid biosynthesis pathway (ssc00100) and all affected genes in this pathway
were upregulated, suggesting that cholesterol synthesis inhibited by HFCD was
recovered by eLF. In summary, eLF could potentially prevent the
hypercholesterolemia and atherosclerosis through protecting homeostasis from HFCD
induced dysfunction of cholesterol metabolism.
PMID- 26549015
TI - Deciphering Supramolecular Structures with Protein-Protein Interaction Network
Modeling.
AB - Many biological molecules are assembled into supramolecules that are essential to
perform complicated functions in the cell. However, experimental information
about the structures of supramolecules is not sufficient at this point. We
developed a method of predicting and modeling the structures of supramolecules in
a biological network by combining structural data of the Protein Data Bank (PDB)
and interaction data in IntAct databases. Templates for binary complexes in
IntAct were extracted from PDB. Modeling was attempted by assembling binary
complexes with superposed shared subunits. A total of 3,197 models were
constructed, and 1,306 (41% of the total) contained at least one subunit absent
from experimental structures. The models also suggested 970 (25% of the total)
experimentally undetected subunit interfaces, and 41 human disease-related amino
acid variants were mapped onto these model-suggested interfaces. The models
demonstrated that protein-protein interaction network modeling is useful to fill
the information gap between biological networks and structures.
PMID- 26549016
TI - Catalytic Upgrading of 5-Hydroxymethylfurfural to Drop-in Biofuels by Solid Base
and Bifunctional Metal-Acid Catalysts.
AB - Design and synthesis of effective heterogeneous catalysts for the conversion of
biomass intermediates into long chain hydrocarbon precursors and their subsequent
deoxygenation to hydrocarbons is a viable strategy for upgrading lignocellulose
into distillate range drop-in biofuels. Herein, we report a two-step process for
upgrading 5-hydroxymethylfurfural (HMF) to C9 and C11 fuels with high yield and
selectivity. The first step involves aldol condensation of HMF and acetone with a
water tolerant solid base catalyst, zirconium carbonate (Zr(CO3 )x ), which gave
92 % C9 -aldol product with high selectivity at nearly 100 % HMF conversion. The
as-synthesised Zr(CO3 )x was analysed by several analytical methods for
elucidating its structural properties. Recyclability studies of Zr(CO3 )x
revealed a negligible loss of its activity after five consecutive cycles over 120
h of operation. Isolated aldol product from the first step was hydrodeoxygenated
with a bifunctional Pd/Zeolite-beta catalyst in ethanol, which showed
quantitative conversion of the aldol product to n-nonane and 1-ethoxynonane with
40 and 56 % selectivity, respectively. 1-Ethoxynonane, a low oxygenate diesel
range fuel, which we report for the first time in this paper, is believed to form
through etherification of the hydroxymethyl group of the aldol product with
ethanol followed by opening of the furan ring and hydrodeoxygenation of the ether
intermediate.
PMID- 26549017
TI - Adults' Detection of Deception in Children: Effect of Coaching and Age for
Children's True and Fabricated Reports of Injuries.
AB - A total of 1,074 undergraduates judged the truthfulness of children's interviews
(from verbatim transcripts) about experiencing injuries serious enough to require
hospital emergency room treatment. Ninety-six children (three age groups: 5-7, 8
10, and 11-14 years, 50% girls) were interviewed. At each age, 16 children told
truthful accounts of actual injury experiences and 16 fabricated their reports,
with half of each group coached by parents for the previous 4 days. Lies by 5- to
7-year-olds, whether coached or not, were detected at above-chance levels. In
contrast, 8- to 10-year-olds' accounts that were coached, whether true or not,
were more likely to be believed. For 11- to 14-year-olds, adults were less likely
to accurately judge lies if they were coached. The believability of children aged
8 or above who were coached to lie is particularly disturbing in light of the
finding that participants were more confident in the accuracy of their veracity
decisions when judging coached reports.
PMID- 26549018
TI - Multimodal super-resolution optical microscopy visualizes the close connection
between membrane and the cytoskeleton in liver sinusoidal endothelial cell
fenestrations.
AB - Liver sinusoidal endothelial cells (LSECs) act as a filter between blood and the
hepatocytes. LSECs are highly fenestrated cells; they contain transcellular pores
with diameters between 50 to 200 nm. The small sizes of the fenestrae have so far
prohibited any functional analysis with standard and advanced light microscopy
techniques. Only the advent of super-resolution optical fluorescence microscopy
now permits the recording of such small cellular structures. Here, we demonstrate
the complementary use of two different super-resolution optical microscopy
modalities, 3D structured illumination microscopy (3D-SIM) and single molecule
localization microscopy in a common optical platform to obtain new insights into
the association between the cytoskeleton and the plasma membrane that supports
the formation of fenestrations. We applied 3D-SIM to multi-color stained LSECs to
acquire highly resolved overviews of large sample areas. We then further
increased the spatial resolution for imaging fenestrations by single molecule
localization microscopy applied to select small locations of interest in the same
sample on the same microscope setup. We optimized the use of fluorescent membrane
stains for these imaging conditions. The combination of these techniques offers a
unique opportunity to significantly improve studies of subcellular
ultrastructures such as LSEC fenestrations.
PMID- 26549019
TI - Solution-focused group therapy for common mental health problems: Outcome
assessment in routine clinical practice.
AB - OBJECTIVES: This study aimed to assess the effectiveness of solution-focused
group therapy (SFGT) for psychological distress and mild to moderate depression
in a routine clinical practice setting. DESIGN AND METHODS: A naturalistic
pre/post-study involving 132 mental health service users was carried out. Clients
received seven structured sessions of SFGT, and pre-therapy self-report scores
(Spanish brief version of Beck Depression Inventory and Hospital Anxiety and
Depression Scale) were compared with post-therapy scores. Additional data on
effectiveness of intervention (discharges, clients returning for help and dropout
rate) were analysed at 6, 12, and 24 months after initiating intervention and
compared with a control sample (132 mental health service users, frequency
matched by local health board, clinical diagnosis, and gender to the group
intervention) receiving usual care (UC: Psychotropic medication and/or individual
psychotherapy). RESULTS: Mean post-SFGT levels were significantly lower than mean
pre-SFGT levels on each self-report measure in the SFGT sample. In addition, SFGT
showed a significantly higher percentage of discharges and fewer clients
returning for help than with UC. CONCLUSIONS: SFGT included in routine clinical
practice may enhance the effectiveness of treatment in clients who suffer from
depression and/or psychological distress. PRACTITIONER POINTS: Therapists could
use SFGT as a brief and effective tool to deal with the most prevalent mental
health problems at mental healthcare centres (MHCs) in Spain, without changing
day-to-day clinical routines. SFGT might be effectively incorporated in routine
clinical practice for helping people with psychological distress and depressive
episodes of mild and moderate severity. This study should encourage systemic
therapists and strategically oriented clinicians to continue delivering and
offering outcome measures of solution-focused therapy.
PMID- 26549020
TI - Do community hospice programmes reduce hospitalisation rate in patients with
advanced chronic obstructive pulmonary disease?
AB - BACKGROUND: Since Hinton first published his observations on the distress of
patients dying on a medical ward in 1963, there has been increasing awareness of
the palliative care needs in patients who have non malignant diseases. Patients
with advanced chronic obstructive pulmonary disease (COPD) are known to have
comparable symptom burden to lung cancer patients and are more likely receive
invasive treatment at the end of life than patients with end stage lung cancer.
They are also less likely to receive hospice services, and the benefit of such
programmes in this key group of patients remain largely unknown, in particular
what effect hospice programmes have on hospitalisation. AIMS: (i) To examine any
effect of community hospice programmes on hospitalisation in patients with
advanced COPD. (ii) To identify any association between utilisation of specific
hospice services with hospitalisation. (iii) To describe key peri-mortem
outcomes. METHODS: This was a retrospective study of consecutive patients with
COPD admitted into community hospice programmes in the greater Wellington region,
New Zealand between 1 October 2007 and 31 October 2013. RESULTS: A mean decrease
of 2.375 (median decrease of 2; 95% confidence interval 1, 3) hospital admissions
over a 12-month period was found after admission into hospice programme (P <
0.0005). CONCLUSION: Community hospice programmes may be associated with
reduction in hospitalisation in patients with advanced COPD.
PMID- 26549003
TI - Excess risk of urinary tract cancers in patients receiving thiopurines for
inflammatory bowel disease: a prospective observational cohort study.
AB - BACKGROUND: The risk of urinary tract cancers, including kidney and bladder
cancers, was increased in transplant recipients receiving thiopurines. AIM: To
assess the risk of urinary tract cancers in patients with inflammatory bowel
disease (IBD) receiving thiopurines in the CESAME observational cohort. METHODS:
Between May 2004 and June 2005, 19 486 patients with IBD, 30.1% of whom were
receiving thiopurines, were enrolled. Median follow-up was 35 months (IQR: 29
40). RESULTS: Ten and six patients developed respectively kidney and bladder
cancer. The incidence rates of urinary tract cancer were 0.48/1000 patient-years
in patients receiving thiopurines (95% CI: 0.21-0.95), 0.10/1000 patient-years in
patients who discontinued thiopurines (95% CI: 0.00-0.56) and 0.30/1000 patient
years in patients never treated with thiopurines (95% CI: 0.12-0.62) at entry.
The standardised incidence ratio of urinary tract cancer was 3.40 (95% CI: 1.47
6.71, P = 0.006) in patients receiving thiopurines, 0.64 (95% CI: 0.01-3.56, P =
0.92) in patients previously exposed to thiopurines and 1.17 (95% CI: 0.47-12.42,
P = 0.78) in patients never treated with thiopurines. The multivariate-adjusted
hazard ratio (HR) of urinary tract cancer between patients receiving thiopurines
and those not receiving thiopurines was 2.82 (95% CI: 1.04-7.68, P = 0.04). Other
significant risk factors were male gender (HR: 3.98, 95% CI: 1.12-14.10, P =
0.03) and increasing age (HR after 65 years (ref <50): 13.26, 95% CI: 3.52-50.03,
P = 0.0001). CONCLUSION: Patients with IBD receiving thiopurines have an
increased risk of urinary tract cancers. Clinically relevant excess risk is
observed in older men.
PMID- 26549021
TI - Depletion of three combined THOC5 mRNA export protein target genes
synergistically induces human hepatocellular carcinoma cell death.
AB - Hepatocellular carcinoma (HCC) is a frequent form of cancer with a poor prognosis
and with limited possibilities of medical intervention. It has been shown that
over 100 putative driver genes are associated with multiple recurrently altered
pathways in HCC, suggesting that multiple pathways will need to be inhibited for
any therapeutic method. mRNA processing is regulated by a complex RNA-protein
network that is essential for the maintenance of homeostasis. THOC5, a member of
mRNA export complex, has a role in less than 1% of mRNA processing, and is
required for cell growth and differentiation, but not for cell survival in normal
fibroblasts, hepatocytes and macrophages. In this report, we show that 50%
depletion of THOC5 in human HCC cell lines Huh7 and HepG2 induced apoptosis.
Transcriptome analysis using THOC5-depleted cells revealed that 396 genes, such
as transmembrane BAX inhibitor motif containing 4 (TMBIM4), transmembrane emp24
like trafficking protein 10 (Tmed10) and D-tyrosyl-tRNA deacylase 2 (Dtd2) genes
were downregulated in both cell lines. The depletion of one of these THOC5 target
genes in Huh7 or HepG2 did not significantly induce cell death, suggesting that
these may be fine tuners for HCC cell survival. However, the depletion of a
combination of these genes synergistically increased the number of TUNEL
(terminal deoxynucleotidyl transferase dUTP nick end labeling)-positive HCC. It
must be noted that the depletion of these genes did not induce cell death in the
hepatocyte cell line, THLE-2 cells. THOC5 expression was enhanced in 78% of
cytological differentiation grading G2 and G3 tumor in primary HCC. Furthermore,
the expression of a putative glycoprotein, Tmed10, is correlated to THOC5
expression level in primary HCCs, suggesting that this protein may be a novel
biomarker for HCC. These data imply that the suppression of the multiple THOC5
target genes may represent a novel strategy for HCC therapy.
PMID- 26549022
TI - Chronophin is a glial tumor modifier involved in the regulation of glioblastoma
growth and invasiveness.
AB - Glioblastoma is the most aggressive primary brain tumor in adults. Although the
rapid recurrence of glioblastomas after treatment is a major clinical challenge,
the relationships between tumor growth and intracerebral spread remain poorly
understood. We have identified the cofilin phosphatase chronophin (gene name:
pyridoxal phosphatase, PDXP) as a glial tumor modifier. Monoallelic PDXP loss was
frequent in four independent human astrocytic tumor cohorts and increased with
tumor grade. We found that aberrant PDXP promoter methylation can be a mechanism
leading to further chronophin downregulation in glioblastomas, which correlated
with shorter glioblastoma patient survival. Moreover, we observed an inverse
association between chronophin protein expression and cofilin phosphorylation
levels in glioma tissue samples. Chronophin-deficient glioblastoma cells showed
elevated cofilin phosphorylation, an increase in polymerized actin, a higher
directionality of cell migration, and elevated in vitro invasiveness. Tumor
growth of chronophin-depleted glioblastoma cells xenografted into the
immunodeficient mouse brain was strongly impaired. Our study suggests a mechanism
whereby the genetic and epigenetic alterations of PDXP resulting in altered
chronophin expression may regulate the interplay between glioma cell
proliferation and invasion.
PMID- 26549023
TI - The scaffold protein KSR1, a novel therapeutic target for the treatment of Merlin
deficient tumors.
AB - Merlin has broad tumor-suppressor functions as its mutations have been identified
in multiple benign tumors and malignant cancers. In all schwannomas, the majority
of meningiomas and 1/3 of ependymomas Merlin loss is causative. In
neurofibromatosis type 2, a dominantly inherited tumor disease because of the
loss of Merlin, patients suffer from multiple nervous system tumors and die on
average around age 40. Chemotherapy is not effective and tumor localization and
multiplicity make surgery and radiosurgery challenging and morbidity is often
considerable. Thus, a new therapeutic approach is needed for these tumors. Using
a primary human in vitro model for Merlin-deficient tumors, we report that the
Ras/Raf/mitogen-activated protein, extracellular signal-regulated kinase kinase
(MEK)/extracellular signal-regulated kinase (ERK) scaffold, kinase suppressor of
Ras 1 (KSR1), has a vital role in promoting schwannomas development. We show that
KSR1 overexpression is involved in many pathological phenotypes caused by Merlin
loss, namely multipolar morphology, enhanced cell-matrix adhesion, focal adhesion
and, most importantly, increased proliferation and survival. Our data demonstrate
that KSR1 has a wider role than MEK1/2 in the development of schwannomas because
adhesion is more dependent on KSR1 than MEK1/2. Immunoprecipitation analysis
reveals that KSR1 is a novel binding partner of Merlin, which suppresses KSR1's
function by inhibiting the binding between KSR1 and c-Raf. Our proteomic analysis
also demonstrates that KSR1 interacts with several Merlin downstream effectors,
including E3 ubiquitin ligase CRL4(DCAF1). Further functional studies suggests
that KSR1 and DCAF1 may co-operate to regulate schwannomas formation. Taken
together, these findings suggest that KSR1 serves as a potential therapeutic
target for Merlin-deficient tumors.
PMID- 26549024
TI - RAD18, WRNIP1 and ATMIN promote ATM signalling in response to replication stress.
AB - The DNA replication machinery invariably encounters obstacles that slow
replication fork progression, and threaten to prevent complete replication and
faithful segregation of sister chromatids. The resulting replication stress
activates ATR, the major kinase involved in resolving impaired DNA replication.
In addition, replication stress also activates the related kinase ATM, which is
required to prevent mitotic segregation errors. However, the molecular mechanism
of ATM activation by replication stress is not defined. Here, we show that
monoubiquitinated Proliferating Cell Nuclear Antigen (PCNA), a marker of stalled
replication forks, interacts with the ATM cofactor ATMIN via WRN-interacting
protein 1 (WRNIP1). ATMIN, WRNIP1 and RAD18, the E3 ligase responsible for PCNA
monoubiquitination, are specifically required for ATM signalling and 53BP1 focus
formation induced by replication stress, not ionising radiation. Thus, WRNIP1
connects PCNA monoubiquitination with ATMIN/ATM to activate ATM signalling in
response to replication stress and contribute to the maintenance of genomic
stability.
PMID- 26549025
TI - Long noncoding RNA BC032469, a novel competing endogenous RNA, upregulates hTERT
expression by sponging miR-1207-5p and promotes proliferation in gastric cancer.
AB - Long noncoding RNAs (lncRNAs) are emerging as new players in gene regulation and
are associated with the development of cancers. To investigate the important role
and mechanism of lncRNAs in the progression of gastric cancer, we screened
lncRNAs in gastric cancer tissues and corresponding adjacent tissues, and
assessed the effects on gastric cancer. Here, we report that BC032469, a novel
lncRNA, expressed highly in gastric cancer tissues, and the upregulation was
clinically associated with larger tumor size, poor differentiation and shorter
survival of gastric cancer patients. Downregulation of BC032469 resulted in a
significant inhibition of proliferation in vitro and in vivo. Mechanistically,
BC032469 could directly bind to miR-1207-5p and effectively functioned as a
sponge for miR-1207-5p to modulate the derepression of hTERT. Thus, BC032469 may
function as a ceRNA to impair miR-1207-5p-dependent hTERT downregulation,
suggesting that it may be clinically valuable as a poor prognostic biomarker of
gastric cancer.
PMID- 26549026
TI - E2f3 in tumor macrophages promotes lung metastasis.
AB - The Rb-E2F axis is an important pathway involved in cell-cycle control that is
deregulated in a number of cancers. E2f transcription factors have distinct roles
in the control of cell proliferation, cell survival and differentiation in a
variety of tissues. We have previously shown that E2fs are important downstream
targets of a CSF-1 signaling cascade involved in myeloid development. In cancer,
tumor-associated macrophages (TAMs) are recruited to the tumor stroma in response
to cytokines secreted by tumor cells, and are believed to facilitate tumor cell
invasion and metastasis. Using the MMTV-Polyoma Middle T antigen (PyMT) mouse
model of human ductal carcinoma, we show that the specific ablation of E2f3 in
TAMs, but not in tumor epithelial cells, attenuates lung metastasis without
affecting primary tumor growth. Histological analysis and gene expression
profiling suggest that E2f3 does not impact the proliferation or survival of
TAMs, but rather controls a novel gene expression signature associated with
cytoskeleton rearrangements, cell migration and adhesion. This E2f3 TAM gene
expression signature was sufficient to predict cancer recurrence and overall
survival of estrogen receptor (ER)-positive breast cancer patients.
Interestingly, we find that E2f3b but not E2f3a levels are elevated in TAMs from
PyMT mammary glands relative to controls, suggesting a differential role for
these isoforms in metastasis. In summary, these findings identify E2f3 as a key
transcription factor in TAMs, which influences the tumor microenvironment and
tumor cell metastasis.
PMID- 26549027
TI - Cytoplasmic PML promotes TGF-beta-associated epithelial-mesenchymal transition
and invasion in prostate cancer.
AB - Epithelial-mesenchymal transition (EMT) is a key event that is involved in the
invasion and dissemination of cancer cells. Although typically considered as
having tumour-suppressive properties, transforming growth factor (TGF)-beta
signalling is altered during cancer and has been associated with the invasion of
cancer cells and metastasis. In this study, we report a previously unknown role
for the cytoplasmic promyelocytic leukaemia (cPML) tumour suppressor in TGF-beta
signalling-induced regulation of prostate cancer-associated EMT and invasion. We
demonstrate that cPML promotes a mesenchymal phenotype and increases the
invasiveness of prostate cancer cells. This event is associated with activation
of TGF-beta canonical signalling pathway through the induction of Sma and Mad
related family 2 and 3 (SMAD2 and SMAD3) phosphorylation. Furthermore, the
cytoplasmic localization of promyelocytic leukaemia (PML) is mediated by its
nuclear export in a chromosomal maintenance 1 (CRM1)-dependent manner. This was
clinically tested in prostate cancer tissue and shown that cytoplasmic PML and
CRM1 co-expression correlates with reduced disease-specific survival. In summary,
we provide evidence of dysfunctional TGF-beta signalling occurring at an early
stage in prostate cancer. We show that this disease pathway is mediated by cPML
and CRM1 and results in a more aggressive cancer cell phenotype. We propose that
the targeting of this pathway could be therapeutically exploited for clinical
benefit.
PMID- 26549028
TI - Long noncoding RNA MIR31HG exhibits oncogenic property in pancreatic ductal
adenocarcinoma and is negatively regulated by miR-193b.
AB - Long noncoding RNAs (lncRNAs) play important regulatory roles in a variety of
diseases, including many tumors. However, the functional roles of these
transcripts and mechanisms responsible for their deregulation in pancreatic
ductal adenocarcinoma (PDAC) are not thoroughly understood. In this study, we
discovered that lncRNA MIR31HG is markedly upregulated in PDAC. Knockdown of
MIR31HG significantly suppressed PDAC cell growth, induced apoptosis and G1/S
arrest, and inhibited invasion, whereas enhanced expression of MIR31HG had the
opposite effects. Online database analysis tools showed that miR-193b could
target MIR31HG and we found an inverse correlation between MIR31HG and miR-193b
in PDAC specimens. Inhibition of miR-193b expression significantly upregulated
the MIR31HG level, while overexpression of miR-193b suppressed MIR31HG's
expression and function, suggesting that MIR31HG is negatively regulated by miR
193b. Moreover, using luciferase reporter and RIP assays, we provide evidence
that miR-193b directly targeted MIR31HG by binding to two microRNA binding sites
in the MIR31HG sequence. On the other hand, MIR31HG may act as an endogenous
'sponge' by competing for miR-193b binding to regulate the miRNA targets.
Collectively, these results demonstrate that MIR31HG functions as an oncogenic
lncRNA that promotes tumor progression, and miR-193b targets not only protein
coding genes but also the lncRNA, MIR31HG.
PMID- 26549029
TI - CTCF cooperates with noncoding RNA MYCNOS to promote neuroblastoma progression
through facilitating MYCN expression.
AB - Previous studies have indicated the important roles of MYCN in tumorigenesis and
progression of neuroblastoma (NB), the most common extracranial solid tumor
derived from neural crest in childhood. However, the regulatory mechanisms of
MYCN expression in NB still remain largely unknown. In this study, through mining
public microarray databases and analyzing the cis-regulatory elements and
chromatin immunoprecipitation data sets, we identified CCCTC-binding factor
(CTCF) as a crucial transcription factor facilitating the MYCN expression in NB.
RNA immunoprecipitation, RNA electrophoretic mobility shift assay, RNA pull down
and in vitro binding assay indicated the physical interaction between CTCF and
MYCN opposite strand (MYCNOS), a natural noncoding RNA surrounding the MYNC
promoter. Gain- and loss-of-function studies revealed that MYCNOS facilitated the
recruitment of CTCF to its binding sites within the MYCN promoter to induce
chromatin remodeling, resulting in enhanced MYCN levels and altered downstream
gene expression, in cultured NB cell lines. CTCF cooperated with MYCNOS to
suppress the differentiation and promote the growth, invasion and metastasis of
NB cells in vitro and in vivo. In clinical NB tissues and cell lines, CTCF and
MYCNOS were upregulated and positively correlated with MYCN expression. CTCF was
an independent prognostic factor for unfavorable outcome of NB, and patients with
high MYCNOS expression had lower survival probability. Taken together, these
results demonstrate that CTCF cooperates with noncoding RNA MYCNOS to exhibit
oncogenic activity that affects the aggressiveness and progression of NB through
transcriptional upregulation of MYCN.
PMID- 26549030
TI - Hepatitis C virus core protein interacts with Snail and histone deacetylases to
promote the metastasis of hepatocellular carcinoma.
AB - Downregulation of E-cadherin by the transcriptional repressor Snail is associated
with acquisition of metastatic potential. Although hepatitis C virus (HCV) core
protein has been implicated in hepatocarcinogenesis, it is unclear whether Snail
is involved in HCV core-induced dysregulation of E-cadherin. Herein, we
investigated the mechanism by which HCV core induces E-cadherin repression and
the role of Snail in HCV core-mediated invasiveness and metastasis. We found that
HCV infection, especially HCV core expression, effectively induced the epithelial
mesenchymal transition (EMT) in hepatoma cells by repressing E-cadherin. HCV core
interacted with Snail and enhanced its binding to the E-box in the promoter
region of E-cadherin, leading to decreased E-cadherin promoter activity. We found
that HCV core, Snail, and the histone deacetylases HDAC1/HDAC2 formed a co
repressor complex at the E-cadherin promoter. Moreover, HCV core was shown to
stabilize Snail through activation of the PI3K/Akt/GSK3beta pathway. Silencing
Snail expression restored E-cadherin expression and inhibited HCV core-promoted
tumor growth and distant lung metastasis in vivo. Collectively, these results
demonstrated that HCV core induced EMT by interacting with the transcriptional
repressor complex Snail/HDACs at the E-cadherin promoter, which led to E-cadherin
repression and increased invasiveness of hepatoma cells. These findings increase
understanding of factors regulating metastasis in hepatoma and may ultimately
lead to the development of novel treatment strategies for HCV-associated
hepatocellular carcinoma.
PMID- 26549031
TI - Transferrin facilitates the formation of DNA double-strand breaks via transferrin
receptor 1: the possible involvement of transferrin in carcinogenesis of high
grade serous ovarian cancer.
AB - Fallopian tubal epithelium is a candidate for the origin of high-grade serous
ovarian cancer. Transferrin-containing follicular fluid and/or retrograde
menstrual blood are possible risk factors for carcinogenesis. Accumulation of DNA
double-strand breaks (DNA-DSBs) in the fallopian tubal epithelium is considered
to play an important role in the development of cancer. However, the mechanisms
by which DNA-DSBs accumulate have not yet been fully elucidated. The hydroxyl
radical, which is produced in a Fenton reaction catalyzed by an iron ion, serves
as a potent DNA-DSB-inducing molecule, raising the potential of an iron ion
transporter of transferrin in the formation of DNA-DSBs. We studied the potential
involvement of transferrin in DNA damage and the development of ovarian cancer.
Treatment with transferrin facilitated the formation of histone 2AX
phosphorylated at Serine 139 (gammaH2AX), which is known as a DNA-DSB marker, in
human fallopian tube secretory epithelial cells and A2780 ovarian cancer cells.
Knockdown of transferrin receptor 1 (TfR1), but not transferrin receptor 2,
suppressed the transferrin uptake and consequent formation of gammaH2AX. As
hydroxyl radicals in reactive oxygen species (ROS) are involved in DNA-DSBs, the
formation of ROS was determined. Treatment with TfR1-specific small interference
RNAs significantly diminished transferrin-induced formation of ROS. Moreover,
TfR1-dependent uptake of transferrin was revealed to augment the formation of DNA
DSBs in the presence of hydrogen peroxide, which served as a substrate for the
Fenton reaction. An ex vivo study with murine fallopian tubes further
demonstrated that transferrin treatment introduced DNA-DSBs in the fallopian
tubal epithelium. Collectively, these data suggested that the transferrin-TfR1
axis accounts for the induction of DNA-DSBs that potentially lead to DNA
damage/genome instability. These findings also suggested that exposure to
transferrin initiates and promotes the development of ovarian cancer by aiding
the accumulation of DNA-DSBs in the fallopian tubal epithelium.
PMID- 26549032
TI - RasGRP1 overexpression in T-ALL increases basal nucleotide exchange on Ras
rendering the Ras/PI3K/Akt pathway responsive to protumorigenic cytokines.
AB - Ras GTPases are activated by RasGEFs and inactivated by RasGAPs, which stimulate
the hydrolysis of RasGTP to inactive RasGDP. GTPase-impairing somatic mutations
in RAS genes, such as KRAS(G12D), are among the most common oncogenic events in
metastatic cancer. A different type of cancer Ras signal, driven by
overexpression of the RasGEF RasGRP1 (Ras guanine nucleotide-releasing protein
1), was recently implicated in pediatric T-cell acute lymphoblastic leukemia (T
ALL) patients and murine models, in which RasGRP1 T-ALLs expand in response to
treatment with interleukins (ILs) 2, 7 and 9. Here, we demonstrate that IL-2/7/9
stimulation activates Erk and Akt pathways downstream of Ras in RasGRP1 T-ALL but
not in normal thymocytes. In normal lymphocytes, RasGRP1 is recruited to the
membrane by diacylglycerol (DAG) in a phospholipase C-gamma (PLCgamma)-dependent
manner. Surprisingly, we find that leukemic RasGRP1-triggered Ras-Akt signals do
not depend on acute activation of PLCgamma to generate DAG but rely on baseline
DAG levels instead. In agreement, using three distinct assays that measure
different aspects of the RasGTP/GDP cycle, we established that overexpression of
RasGRP1 in T-ALLs results in a constitutively high GTP-loading rate of Ras, which
is constantly counterbalanced by hydrolysis of RasGTP. KRAS(G12D) T-ALLs do not
show constitutive GTP loading of Ras. Thus, we reveal an entirely novel type of
leukemogenic Ras signals that is based on a RasGRP1-driven increased in flux
through the RasGTP/GDP cycle, which is mechanistically very different from
KRAS(G12D) signals. Our studies highlight the dynamic balance between RasGEF and
RasGAP in these T-ALLs and put forth a new model in which IL-2/7/9 decrease
RasGAP activity.
PMID- 26549033
TI - DNA methylation reactivates GAD1 expression in cancer by preventing CTCF-mediated
polycomb repressive complex 2 recruitment.
AB - Levels of gamma-aminobutyric acid (GABA) and glutamic acid decarboxylase 1
(GAD1), the enzyme that synthesizes GABA, are significantly increased in
neoplastic tissues. However, the mechanism underlying this increase remains
elusive. Instead of silencing gene transcription, we showed that the GAD1
promoter was hypermethylated in both colon and liver cancer cells, leading to the
production of high levels of GAD1. GAD1 is a target gene that is silenced by
H3K27me3. The key locus responsible for GAD1 reactivation was mapped to a DNA
methylation-sensitive CTCF-binding site (CTCF-BS3) within the third intron of
GAD1. Chromosome configuration capture (3C) analysis indicated that an
intrachromosomal loop was formed by CTCF self-dimerisation in normal cells (CTCF
binds to both unmethylated CTCF-BS3 and CTCF-BS2). The CTCF dimer then interacted
with suppressor of zeste 12 homologue (SUZ12), which is a domain of Polycomb
repressive complex 2 (PRC2), promoting the methylation of H3K27 and the silencing
of GAD1 expression. This silencing was shown to be inhibited by DNA methylation
in cancer cells. These findings strongly suggest that GAD1 is reactivated by DNA
methylation, which provided a model for DNA methylation and the active
orchestration of oncogenic gene expression by CTCF in cancer cells.
PMID- 26549035
TI - Angiotensin receptor antagonist treatment, melanoma incidence and ethnicity.
PMID- 26549034
TI - Co-active receptor tyrosine kinases mitigate the effect of FGFR inhibitors in
FGFR1-amplified lung cancers with low FGFR1 protein expression.
AB - Targeted therapies are effective in subsets of lung cancers with EGFR mutations
and anaplastic lymphoma kinase (ALK) translocations. Large-scale genomics have
recently expanded the lung cancer landscape with FGFR1 amplification found in 10
20% of squamous cell carcinomas (SCCs). However, the response rates have been low
for biomarker-directed fibroblast growth factor receptor (FGFR) inhibitor therapy
in SCC, which contrasts to the relatively high rates of response seen in EGFR
mutant and ALK-translocated lung cancers treated with epidermal growth factor
receptor (EGFR) inhibitors and ALK inhibitors, respectively. In order to better
understand the low response rates of FGFR1-amplified lung cancers to FGFR
inhibitors, relationships between gene copy number, mRNA expression and protein
expression of FGFR1 were assessed in cell lines, tumor specimens and data from
The Cancer Genome Atlas. The importance of these factors for the sensitivity to
FGFR inhibitors was determined by analyzing drug screen data and conducting in
vitro and in vivo experiments. We report that there was a discrepancy between
FGFR1 amplification level and FGFR1 protein expression in a number of these cell
lines, and the cancers with unexpectedly low FGFR1 expression were uniformly
resistant to the different FGFR inhibitors. Further interrogation of the receptor
tyrosine kinase activity in these discordant cell lines revealed co-activation of
HER2 and platelet-derived growth factor receptor-alpha (PDGFRalpha) caused by
gene amplification or ligand overexpression maintained phosphoinositide 3-kinase
(PI3K) and MEK/ERK signaling even in the presence of FGFR inhibitor. Accordingly,
co-inhibition of FGFR1 and HER2 or PDGFRalpha led to enhanced drug responses. In
contrast, FGFR1-amplified high FGFR1 protein-expressing lung cancers are
sensitive to FGFR inhibitor monotherapy by downregulating ERK signaling. Addition
of a PI3K inhibitor to these high FGFR1 protein-expressing cancers further
sensitized them to FGFR inhibitor. These data reveal that biomarker-directed
trials for FGFR1-amplified SCC require assessment of FGFR1 protein expression and
uncover novel therapeutic strategies for FGFR1-amplified SCC with low FGFR1
protein expression.
PMID- 26549036
TI - Multivariate optimization of process parameters in the synthesis of calcined Ca
Al (NO3) LDH for defluoridation using 3(3) factorial, central composite and Box
Behnken design.
AB - Response surface methodology was applied for the first time in the optimization
of the preparation of layered double hydroxide (LDH) for defluoridation. The
influence of three vital process parameters (viz. pH, molar ratio and calcination
temperature) in the synthesis of the adsorbent 'Calcined Ca-Al (NO3) LDH' was
thoroughly examined to maximize its fluoride scavenging potential. The process
parameters were optimized using the 3(3) factorial, face centered central
composite and Box-Behnken designs and a comparative assessment of the methods was
conducted. The maximum fluoride removal efficiency was achieved at a calcination
temperature of approximately 500oC; however, the efficiency decreased with
increasing pH and molar ratio. The outcome of the comparative assessment clearly
delineates the case specific nature of the models. A better predictability over
the entire experimental domain was obtained with the 3(3) factorial method,
whereas the Box-Behnken design was found to be the most efficient model with
lesser number of experimental runs. The desirability function technique was
performed for optimizing the response, wherein face centered central composite
design exhibited a maximum desirability. The calcined Ca-Al (NO3) LDH,
synthesized under the optimum conditions, demonstrated the removal efficiencies
of 95% and 99% for the doses of 3 g L(-1) and 5 g L(-1), respectively.
PMID- 26549037
TI - Evidence for an essential role of intradimer interaction in catalytic function of
carnosine dipeptidase II using electrospray-ionization mass spectrometry.
AB - Carnosine dipeptidase II (CN2/CNDP2) is an M20 family metallopeptidase that
hydrolyses various dipeptides including beta-alanyl-L-histidine (carnosine).
Crystallographic analysis showed that CN2 monomer is composed of one catalytic
and one dimerization domains, and likely to form homodimer. In this crystal, H228
residue of the dimerization domain interacts with the substrate analogue bestatin
on the active site of the dimer counterpart, indicating that H228 is involved in
enzymatic reaction. In the present study, the role of intradimer interaction of
CN2 in its catalytic activity was investigated using electrospray-ionization time
of-flight mass spectrometry (ESI-TOF MS). First, a dimer interface mutant I319K
was prepared and shown to be present as a folded monomer in solution as examined
by using ESI-TOF MS. Since the mutant was inactive, it was suggested that dimer
formation is essential to its enzymatic activity. Next, we prepared H228A and
D132A mutant proteins with different N-terminal extended sequences, which enabled
us to monitor dimer exchange reaction by ESI-TOF MS. The D132A mutant is a metal
ligand mutant and also inactive. But the activity was partially recovered time
dependently when H228A and D132A mutant proteins were incubated together. In
parallel, H228A/D132A heterodimer was formed as detected by ESI-TOF MS,
indicating that interaction of a catalytic center with H228 residue of the other
subunit is essential to the enzymatic reaction. These results provide evidence
showing that intradimer interaction of H228 with the reaction center of the dimer
counterpart is essential to the enzymatic activity of CN2.
PMID- 26549038
TI - Hyaluronan/Hyaladherins - a Promising Axis for Targeted Drug Delivery in Cancer.
AB - BACKGROUND: Hyaluronan (HA), a glycosaminoglycan, is a key extracellular matrix
(ECM) component, and has been established to contribute to fibrotic, angiogenic,
inflammatory as well as processes supporting cancer development. The changes in
HA deposition in different tumors have been widely studied. Indeed, a multitude
of reports demonstrate that HA expression is increased in different neoplasmatic
tissues including lung, colon, prostate and breast cancer. The aims of this paper
are to critically and in depth discuss aspects of HA metabolism in cancer and
recent developments of its utilization in cancer therapy. METHODS: Up to date
research and online content are reviewed. RESULTS: The cellular roles of HA are
perpetrated through molecular interactions with HA-binding proteins, called
hyaladherins, including CD44 receptor as well as receptor for hyaluronan-mediated
motility (RHAMM). HA binding can be followed by receptor-mediated endocytosis.
Importantly, hyaladherins show an altered expression in tumor tissues. Indeed,
post-translational alterations in CD44 structure have been suggested to regulate
the equilibrium between the "inactive" low affinity state and the "active" high
affinity state of the HA binding capacity. In this concept HA fragments can be
utilized as specific targeting ligands for efficient and safe drug delivery in
cancer. CONCLUSION: HA-drug bioconjugates and nanoparticles have emerged as a
promising platform for drug delivery during cancer treatment as demonstrated in
various pre-clinical studies. Recent developments from clinical trials indicate
that the utilization of specific HA-drug bioconjugates might be approved for the
medical practice in the nearest future.
PMID- 26549039
TI - Co-Delivery of Atorvastatin Nanocrystals in PLGA based in situ Gel for Anti
Hyperlipidemic Efficacy.
AB - The objective was to develop chitosan atorvastatin (ATR) nanocrystals loaded into
Poly (lactic-co-glycolic) acid (PLGA) injectable in situ gel (ISG) system that
can minimize initial drug burst and enhance hypolipidemic effect. ATR
nanocrystals were successfully characterized for size, morphology, crystallinity
and drug-excipients interaction. The effects of varied polymer concentration and
gelling solvents were evaluated for initial burst release and in vivo efficacy.
Short term stability study was also conducted for the promising formulation.
Nanocrystals of size 254 nm were prepared using low molecular weight chitosan and
were of smooth surface with multiple scaffolds like structures. X-ray powder
diffraction revealed the crystalline structure of the prepared nanocrystals while
no drug-excipients interactions were observed. Addition of nanocrystals did not
significantly alter gelation property of the ISG system that showed acceptable
syringeability. The promising ISG formulation was achieved with 45% PLGA in N
methyl pyrrolidone: benzyl benzoate (1:3). In-vitro dissolution study illustrated
lower initial ATR burst and prolonged drug release from nanocrystal based ISG
when compared to plain ATR ISG. The pharmacokinetic and hypolipidemic biochemical
parameters were comparable in the two formulations. The promising formulation
exhibited minimum drug degradation at 4 °C and so could be considered as an
ideal ISG delivery system.
PMID- 26549040
TI - Reversal of Oxidative Stress in Neural Cells by an Injectable
Curcumin/Thermosensitive Hydrogel.
AB - Curcumin as an antioxidative agent which has been widely used medicinally in
India and China. However, rapid metabolism coupled with the instability of
curcumin under physiological conditions has greatly limited its applications in
vivo. In the present study, a thermosensitive hydrogel with high payload of
curcumin was developed by using a co-precipitation method, and its reversion of
oxidative stress in Neuro-2a cells was investigated. With an increase in drug
loading capacity, the solgel transition temperature of the thermosensitive
hydrogel decreased accordingly. The stability of curcumin in phosphate-buffered
saline (PBS; pH=7.4) was greatly improved by encapsulation in the thermosensitive
hydrogel, as indicated by an in vitro degradation test. An in vitro release study
showed that the encapsulated curcumin was rapidly released from the hydrogel
within 6 h. A curcumin/F-127 aqueous solution under the threshold concentration
of 4MUg/mL was non-toxic against Neuro-2a cells after 24-h incubation. A MitoSOX
assay indicated that the developed curcumin formulation could attenuate the
oxidative damage induced by H2O2 as compared to that of the H2O2 group. All these
results suggested that the developed curcumin/thermosensitive hydrogel might have
great potential application in the reversion of oxidative stress after traumatic
brain injury.
PMID- 26549041
TI - The Theory of Value-Based Payment Incentives and Their Application to Health
Care.
AB - OBJECTIVES: To present the implications of agency theory in microeconomics,
augmented by behavioral economics, for different methods of value-based payment
in health care; and to derive a set of future research questions and policy
recommendations based on that conceptual analysis. DATA SOURCES: Original
literature of agency theory, and secondarily behavioral economics, combined with
applied research and empirical evidence on the application of those principles to
value-based payment. STUDY DESIGN: Conceptual analysis and targeted review of
theoretical research and empirical literature relevant to value-based payment in
health care. PRINCIPAL FINDINGS: Agency theory and secondarily behavioral
economics have powerful implications for design of value-based payment in health
care. To achieve improved value-better patient experience, clinical quality,
health outcomes, and lower costs of care-high-powered incentives should directly
target improved care processes, enhanced patient experience, and create
achievable benchmarks for improved outcomes. Differing forms of value-based
payment (e.g., shared savings and risk, reference pricing, capitation, and
bundled payment), coupled with adjunct incentives for quality and efficiency, can
be tailored to different market conditions and organizational settings.
CONCLUSIONS: Payment contracts that are "incentive compatible"-which directly
encourage better care and reduced cost, mitigate gaming, and selectively induce
clinically efficient providers to participate-will focus differentially on
evidence-based care processes, will right-size and structure incentives to avoid
crowd-out of providers' intrinsic motivation, and will align patient incentives
with value. Future research should address the details of putting these and
related principles into practice; further, by deploying these insights in payment
design, policy makers will improve health care value for patients and purchasers.
PMID- 26549042
TI - Chromosomal radiosensitivity of human immunodeficiency virus positive/negative
cervical cancer patients in South Africa.
AB - Cervical cancer is the second most common cancer amongst South African women and
is the leading cause of cancer-associated mortality in this region. Several
international studies on radiation-induced DNA damage in lymphocytes of cervical
cancer patients have remained inconclusive. Despite the high incidence of
cervical cancer in South Africa, and the extensive use of radiotherapy to treat
it, the chromosomal radiosensitivity of South African cervical cancer patients
has not been studied to date. Since a high number of these patients are human
immunodeficiency virus (HIV)-positive, the effect of HIV infection on chromosomal
radiosensitivity was also investigated. Blood samples from 35 cervical cancer
patients (20 HIV-negative and 15 HIV-positive) and 20 healthy controls were
exposed to X-rays at doses of 6 MV of 2 and 4 Gy in vitro. Chromosomal
radiosensitivity was assessed using the micronucleus (MN) assay. MN scores were
obtained using the Metafer 4 platform, an automated microscopic system. Three
scoring methods of the MNScore module of Metafer were applied and compared.
Cervical cancer patients had higher MN values than healthy controls, with HIV
positive patients having the highest MN values. Differences between groups were
significant when using a scoring method that corrects for false positive and
false negative MN. The present study suggested increased chromosomal
radiosensitivity in HIV-positive South African cervical cancer patients.
PMID- 26549043
TI - Recurring flood distribution patterns related to short-term Holocene climatic
variability.
AB - Millennial- and multi-centennial scale climate variability during the Holocene
has been well documented, but its impact on the distribution and timing of
extreme river floods has yet to be established. Here we present a meta-analysis
of more than 2000 radiometrically dated flood units to reconstruct centennial
scale Holocene flood episodes in Europe and North Africa. Our data analysis shows
a general increase in flood frequency after 5000 cal. yr BP consistent with a
weakening in zonal circulation over the second half of the Holocene, and with an
increase in winter insolation. Multi-centennial length phases of flooding in UK
and central Europe correspond with periods of minimum solar irradiance, with a
clear trend of increasing flood frequency over the last 1000 years. Western
Mediterranean regions show synchrony of flood episodes associated with negative
phases of the North Atlantic Oscillation that are out-of-phase with those evident
within the eastern Mediterranean. This long-term flood record reveals complex but
geographically highly interconnected climate-flood relationships, and provides a
new framework to understand likely future spatial changes of flood frequency.
PMID- 26549044
TI - Clicking Hydrazine and Aldehyde: The Way to Labeling of Viruses with Quantum
Dots.
AB - Real-time tracking of fluorophore-tagged viruses in living cells can help uncover
virus infection mechanisms. Certainly, the indispensable prerequisite for virus
tracking is to label viruses with some bright and photostable beacons such as
quantum dots (QDs) via an appropriate labeling strategy. Herein, we devise a
convenient hydrazine-aldehyde based strategy to label viruses with QDs through
the conjugation of 4-formylbenzoate (4FB) modified QDs to 6-hydrazinonicotinate
acetone hydrazone (HyNic) modified viruses under mild conditions. On the basis of
this strategy, viruses can be successfully labeled with QDs with high
selectivity, stable conjugation, good reproducibility, high labeling efficiency
of 92-93% and maximum retention of both fluorescence properties of QDs and
infectivity of viruses, which is very meaningful to tracking and statistical
analysis of virus infection processes. By further comparing with the most widely
used labeling strategy based on the Biotin-SA system, this new strategy has
advantages of both high labeling efficiency and good retention of virus
infectivity, thus offering a promising alternative for virus-labeling. Moreover,
due to the ubiquitous presence of exposed amino groups on the surface of various
viruses, this selective, efficient, reproducible and biofriendly strategy should
have good universality for labeling both enveloped and nonenveloped viruses.
PMID- 26549045
TI - Rhodium-Catalyzed Intramolecular C-H Bond Activation with Triazoles: Preparation
of Stereodefined Pyrrolidines and Other Related Cyclic Compounds.
AB - On treatment of triazoles having an N-sulfonyl-protected benzylamine moiety with
[Rh2 (C7 H15 CO2 )4 ], intramolecular C-H bond insertion takes place at the
benzylic position to give cis-N-sulfonyl-2-aryl-3
[(sulfonylimino)methyl]pyrrolidines in good yields and with highly
stereoselectivities. Analogously, the similar treatment of triazoles having an
ether or even an alkyl moiety affords 2-alkyl- or 2-aryl-3
[(sulfonylimino)methyl]tetrahydrofurans or a 2-alkyl-3
[(sulfonylimino)methyl]cyclopentane in good yields.
PMID- 26549046
TI - Factors affecting self-regulated learning in medical students: a qualitative
study.
AB - INTRODUCTION: Clinical courses are required of all medical students and means
that they must develop the key skill of self-regulation during learning. The
ability to self-regulate learning strategies is affected by different factors.
This study determined the views of medical students on the factors affecting self
regulated learning (SRL). METHOD: This study uses a qualitative approach and the
content analysis method. Nineteen medical students in their fourth, fifth, and
sixth years of study at Isfahan University of Medical Science participated in
semi-structured, in-depth interviews. The students were selected using purposive
sampling based on their overall grade point average (GPA). RESULTS: Five main
themes were found to affect SRL. These themes included family with the two
subthemes of family supervisory and supportive roles; peers with the two
subthemes of facilitating and inhibiting roles; instructors with the two
subthemes of personal and educational instructor's characteristics; educational
environment with the two subthemes of facilitator and inhibitor roles; and
student with the two subthemes of facilitating and inhibiting personal factors.
CONCLUSION: The outcomes of student understanding of the factors affecting self
regulation indicate that facilitating factors should be used on an individual
basis to reduce the effect of inhibiting factors to improve self-regulation in
students.
PMID- 26549047
TI - Recruitment of rural healthcare professionals for live continuing education.
AB - INTRODUCTION: The availability of rural healthcare is a growing concern in the
United States as fewer healthcare providers choose to work in rural areas.
Accessing quality continuing education (CE) for rural healthcare practitioners
(HCPs) remains a challenge and may pose a barrier to quality care. METHODS: To
maximize attendance at a live, in-person, free CE program focusing on geriatric
medication and issues specifically targeted to HCPs in rural areas, two methods
were implemented sequentially. The first method used formal advertising
implemented by a professional marketing service to promote CE events. The second
method enlisted local healthcare organizations and physician groups to promote
the CE event to their employees. Cost per attendee was calculated for comparison.
RESULTS: Professional marketing services recruited 31 HCPs (March 2011) and
resulted in a per-participant recruitment cost of US$428.62. Local healthcare
organizations and physician groups' marketing recruited 48 HCPs (July-August
2011) and resulted in a per-participant recruitment cost of US$55.19. DISCUSSION:
Providing free CE coordinated through local healthcare organizations and
physician groups was the most cost-effective method of recruiting rural HCPs for
CE. Formal advertising added cost without increasing the number of participants
per event. Although this is the first study of the cost-effectiveness of
recruitment methods targeting HCPs in rural areas, results are consistent with
research on cost-effectiveness of outreach to rural lay community members.
PMID- 26549048
TI - Hyperproduction of beta-Glucanase Exg1 Promotes the Bioconversion of Mogrosides
in Saccharomyces cerevisiae Mutants Defective in Mannoprotein Deposition.
AB - Bacteria and fungi can secrete extracellular enzymes to convert macromolecules
into smaller units. Hyperproduction of extracellular enzymes is often associated
with alterations in cell wall structure in fungi. Recently, we identified that
Saccharomyces cerevisiae kre6Delta mutants can efficiently convert mogroside V
into mogroside III E, which has antidiabetic properties. However, the underlying
efficient bioconversion mechanism is unclear. In the present study, the mogroside
(MG) bioconversion properties of several cell wall structure defective mutants
were analyzed. We also compared the cell walls of these mutants by transmission
electron microscopy, a zymolyase sensitivity test, and a mannoprotein release
assay. We found zymolyase-sensitive mutants (including kre1Delta, las21Delta,
gas1Delta, and kre6Delta), with defects in mannoprotein deposition, exhibit
efficient MG conversion and excessive leakage of Exg1; such defects were not
observed in wild-type cells, or mutants with abnormal levels of glucans in the
cell wall. Thus, yeast mutants defective in mannoprotein deposition may be
employed to convert glycosylated bioactive compounds.
PMID- 26549050
TI - Competitive electron transfer in a novel, broad-band capturing, subphthalocyanine
AzaBODIPY-C60 supramolecular triad.
AB - A V-configured subphthalocyanine-azaBODIPY-C60 supramolecular triad has been
newly synthesized, and sequential energy and electron transfer leading to the
formation of charge separated states, useful properties relevant for solar energy
harvesting and building optoelectronic devices, is reported.
PMID- 26549049
TI - GBA-associated parkinsonism and dementia: beyond alpha-synucleinopathies?
AB - BACKGROUND AND PURPOSE: To date the role of GBA mutations beyond alpha
synucleinopathies in the parkinsonism-dementia spectrum is still unclear. The aim
of the study was to screen for GBA mutations in progressive supranuclear palsy
(PSP), corticobasal syndrome (CBS), primary progressive aphasia (PPA) and the
behavioural variant of frontotemporal dementia (bvFTD). METHODS: In all, 303
patients with a clinical diagnosis of PSP (n = 157), CBS (n = 39), PPA (n = 35)
and bvFTD (n = 72) and 587 neurologically healthy controls were screened for the
most common GBA mutations. RESULTS: GBA mutations were detected in one healthy
control and four patients with a clinical diagnosis of PSP (n = 1), probable CBS
(n = 2) and PPA (n = 1, with concomitant C9orf72 expansion). Overall the
prevalence of GBA mutations was low in non-alpha-synucleinopathies but
significantly higher in the CBS subgroup compared to controls. CONCLUSION:
Although numbers are small, our findings indicate that the clinical phenotype of
GBA-associated neurodegenerative disease is more heterogeneous than previously
assumed, including phenotypes not usually associated with underlying alpha
synucleinopathies. This may be of relevance, once causal therapeutic strategies
for GBA-associated neurodegenerative disease are developed.
PMID- 26549051
TI - Rapid bladder cancer cell detection from clinical urine samples using an ultra
thin silicone membrane.
AB - Early detection of initial onset, as well as recurrence, of cancer is paramount
for improved patient prognosis and human health. Cancer screening is enhanced by
rapid differentiation of cancerous from non-cancerous cells which employs the
inherent differences in biophysical properties. Our preliminary testing
demonstrates that cell-line derived bladder cancer cells deform our <30 nm
silicone membrane within an hour and induce visually distinct wrinkle patterns
while cell-line derived non-cancerous cells fail to induce these wrinkle
patterns. Herein, we report a platform for the rapid detection of cancerous cells
from human clinical urine samples. We performed a blinded study with cells
extracted from the urine of human patients suspected to have bladder cancer
alongside healthy controls. Wrinkle patterns were induced specifically by the
five cancer patient samples within 12 hours and not by the healthy controls.
These results were independently validated by the standard diagnostic techniques
cystoscopy and cytology. Thus, our ultra-thin membrane approach for cancer
diagnosis appears as accurate as standard diagnostic methods while vastly more
rapid, less invasive, and requiring limited expertise.
PMID- 26549052
TI - Prediction of spin-orbital coupling effects on the electronic structure of two
dimensional van der Waals heterostructures.
AB - We report a first-principles study on the electronic structure of van der Waals
(vdW) heterostructures consisting of two dimensional (2D) materials. Herewith, we
focus on the effects of spin-orbital coupling (SOC) and vdW forces. It is found
that all 2D vdW heterostructures can preserve the electronic structure of the
isolated 2D materials in the heterostructures. The 2D vdW h-BN/G and h-BN/BP
heterostructures show the n-type Schottky barriers. The MoS2/G heterostructures
show the p-type doping and a strong spin splitting due to SOC, which are the
important features that provide a promising future for the application in
electronics, optoelectronics and spin-filter devices.
PMID- 26549054
TI - Hierarchically Structured Nanomaterials for Electrochemical Energy Conversion.
AB - Hierarchical nanomaterials are highly suitable as electrocatalysts and
electrocatalyst supports in electrochemical energy conversion devices. The
intrinsic kinetics of an electrocatalyst are associated with the nanostructure of
the active phase and the support, while the overall properties are also affected
by the mesostructure. Therefore, both structures need to be controlled. A
comparative state-of-the-art review of catalysts and supports is provided along
with detailed synthesis methods. To further improve the design of these
hierarchical nanomaterials, in-depth research on the effect of materials
architecture on reaction and transport kinetics is necessary. Inspiration can be
derived from nature, which is full of very effective hierarchical structures.
Developing fundamental understanding of how desired properties of biological
systems are related to their hierarchical architecture can guide the development
of novel catalytic nanomaterials and nature-inspired electrochemical devices.
PMID- 26549053
TI - Current and advancing treatments for metastatic colorectal cancer.
AB - INTRODUCTION: Colorectal cancer (CRC) is one of the leading causes of cancer
deaths worldwide. Despite the introduction of several new drugs targeting the
vascular endothelial growth factor or epidermal growth factor receptor (EGFR)
signaling pathways, survival and disease control in metastatic CRC remains poor.
AREAS COVERED: Chemotherapy based on fluoropyrimidines and irinotecan or
oxaliplatin has been the cornerstone of CRC standard of care for several decades.
Optimal regimens are selected according to toxicity profiles and patient
characteristics. The addition of targeted drugs inhibiting angiogenesis, notably
bevacizumab, aflibercept and ramucirumab, has improved chemotherapy outcomes in
metastatic CRC. Anti-EGFR agents, cetuximab and panitumumab, in combination with
chemotherapy have also improved survival in patients with wild-type RAS tumors.
In the refractory setting, there are emerging drugs such as regorafenib or TAS
102 that also have demonstrated impact on outcomes. EXPERT OPINION: Drugs
targeting signaling pathways involved in tumorigenesis improve patient outcomes
over chemotherapy alone. Determining the most suitable combination and sequence
should be carefully selected, with studies yet to provide a definitive solution
to this unknown. Molecular mechanisms of colorectal cancer are at the forefront
of research. Knowledge in this domain will help overcome resistance to therapies
and introduce new drugs in the personalized CRC therapeutic scenario.
PMID- 26549055
TI - Informatics View on the Challenges of Identifying Missing Proteins from Shotgun
Proteomics.
AB - Protein experiment evidence at protein level from mass spectrometry and antibody
experiments are essential to characterize the human proteome. neXtProt (2014-09
release) reported 20 055 human proteins, including 16 491 proteins identified at
protein level and 3564 proteins unidentified. Excluding 616 proteins at uncertain
level, 2948 proteins were regarded as missing proteins. Missing proteins were
unidentified partially due to MS limitations and intrinsic properties of
proteins, for example, only appearing in specific diseases or tissues. Despite
such reasons, it is desirable to explore issues affecting validation of missing
proteins from an "ideal" shotgun analysis of human proteome. We thus performed in
silico digestions on the human proteins to generate all in silico fully digested
peptides. With these presumed peptides, we investigated the identification of
proteins without any unique peptide, the effect of sequence variants on protein
identification, difficulties in identifying olfactory receptors, and highly
similar proteins. Among all proteins with evidence at transcript level, G protein
coupled receptors and olfactory receptors, based on InterPro classification, were
the largest families of proteins and exhibited more frequent variants. To
identify missing proteins, the above analyses suggested including sequence
variants in protein FASTA for database searching. Furthermore, evidence of unique
peptides identified from MS experiments would be crucial for experimentally
validating missing proteins.
PMID- 26549056
TI - Echocardiographic Correlates of Abnormal Liver Tests in Patients with
Exacerbation of Chronic Heart Failure.
AB - BACKGROUND: Elevated total bilirubin (TB) and transaminases are frequently
reported in patients with heart failure and are related to their worse prognosis.
On the basis of hemodynamic data from previous studies, the investigators
hypothesized that elevated bilirubin and transaminases are associated with
different patterns of cardiac remodeling and dysfunction in patients with heart
failure (i.e., elevated bilirubin with predominantly right-heart dysfunction and
elevated transaminases with predominantly left-heart dysfunction). Therefore, the
aim of this study was to evaluate prospectively echocardiographic correlates of
elevated TB and transaminases on admission in patients with exacerbation of
chronic heart failure. METHODS: The following echocardiographic parameters were
prospectively analyzed in 150 patients (mean age, 75 years; 59% men): right
ventricular end-diastolic diameter, right atrial area, tricuspid regurgitation,
right ventricular systolic pressure, tricuspid annular plane systolic excursion,
tricuspid lateral annulus systolic velocity, estimated right atrial pressure,
portal vein pulsatility index (PVPI), left ventricular end-diastolic diameter
(LVEDD), left ventricular ejection fraction, and cardiac index. RESULTS: Elevated
TB was found in 61 patients (41%) and elevated transaminases in 46 patients
(31%). In univariate logistic regression analysis, right ventricular end
diastolic diameter, right atrial area, tricuspid regurgitation, estimated right
atrial pressure, tricuspid annular plane systolic excursion, tricuspid lateral
annulus systolic velocity, PVPI, left ventricular ejection fraction, and cardiac
index were significant predictors of elevated TB (P < .05 for all). LVEDD indexed
to body surface area, right ventricular end-diastolic diameter, and systolic
blood pressure on admission were significant predictors of elevated transaminases
(P < .05 for all). In a multivariate regression model, only PVPI remained a
significant predictor of elevated TB and LVEDD indexed to body surface area of
elevated transaminases. Sensitivity, specificity, and positive and negative
predictive values of PVPI > 0.5 in the prediction of elevated TB were 81%, 87%,
82%, and 87%, respectively. CONCLUSION: Several echocardiographic indices of
right-heart dysfunction and low cardiac index are related to elevated TB, with an
increased PVPI having the best predictive value. A weak statistically significant
association was found between elevated transaminase levels and left ventricular
end-diastolic diameter indexed to body surface area.
PMID- 26549057
TI - Audit of demand for after-hours CT scanning services in RANZCR-accredited
training departments.
AB - INTRODUCTION: The aims of this study were to measure: (i) the growth in after
hours emergency department--referred CT (ED-CT) performed in accredited training
departments between 2011 and 2013; (ii) the growth in ED CT relative to growth in
ED presentations at the same hospitals; and (iii) trainee workload resulting from
after-hours ED CT. METHODS: Ethics approval was obtained for all participating
sites. Accredited training facilities in Australia and New Zealand with three or
more trainees and serving one or more EDs were invited to participate (N = 32).
Four nights were surveyed between August and December 2013. For data collection,
the number of ED patients having one or more CT scans; ED CT scan total images;
non-contrast head CTs; and ED patients (total and categories 1 and 2) attending
the ED in the preceding 24 h and first half of calendar year were collected for
2013 and corresponding days in 2012 and 2011. Trainee staffing levels were
measured. RESULTS: Eleven of 32 sites provided data for all four nights and 14 of
32 for one or more nights. A 15.7% increase in number of ED CTs between 1700 and
2200 h and 16.8% increase between 2201 and 0730 h occurred in the 2 years between
2011 and 2013 compared with a 6.9% increase in overall ED and 26% increase in
categories 1 and 2 presentations over the same period. The number of CT images,
however, increased 23%. CONCLUSION: Growth in demand by EDs for after-hours CT
services has implications for service provision and trainee workloads in Royal
Australian and New Zealand College of Radiologists-accredited training
departments.
PMID- 26549058
TI - Strong interactions with polyethylenimine-coated human serum albumin
nanoparticles (PEI-HSA NPs) alter alpha-synuclein conformation and aggregation
kinetics.
AB - The interaction between nanoparticles (NPs) and the small intrinsically
disordered protein alpha-synuclein (alphaSN), whose aggregation is central in the
development of Parkinson's disease, is of great relevance in biomedical
applications of NPs as drug carriers. Here we showed using a combination of
different techniques that alphaSN interacts strongly with positively charged
polyethylenimine-coated human serum albumin (PEI-HSA) NPs, leading to a
significant alteration in the alphaSN secondary structure. In contrast, the weak
interactions of alphaSN with HSA NPs allowed alphaSN to remain unfolded. These
different levels of interactions had different effects on alphaSN aggregation.
While the weakly interacting HSA NPs did not alter the aggregation kinetic
parameters of alphaSN, the rate of primary nucleation increased in the presence
of PEI-HSA NPs. The aggregation rate changed in a PEI-HSA NP-concentration
dependent and size independent manner and led to fibrils which were covered with
small aggregates. Furthermore, PEI-HSA NPs reduced the level of membrane
perturbing oligomers and reduced oligomer toxicity in cell assays, highlighting a
potential role for NPs in reducing alphaSN pathogenicity in vivo. Collectively,
our results highlight the fact that a simple modification of NPs can strongly
modulate interactions with target proteins, which may have important and positive
implications in NP safety.
PMID- 26549059
TI - From Editor's desk.
PMID- 26549060
TI - Expression of apoptosis regulating proteins p53 and bcl-2 in psoriasis.
AB - BACKGROUND: Dysfunctional apoptosis has an important role in the development of
several skin diseases. Psoriatic keratinocytes possess an enhanced ability to
resist apoptosis, which might be one of the key pathogenetic mechanisms in
psoriasis. P53 and bcl-2 are two proteins which control apoptosis. Several
studies have evaluated the expression of these two proteins in the psoriatic
skin, but the results are controversial. METHODS: Fifty-eight cases of psoriatic
skin biopsies were studied, and the grade of p53 and bcl-2 immunostaining was
correlated with the histopathological indices of severity. RESULTS: Bcl-2
expression in the epidermis strongly correlated with the expression in the basal
cells and lymphocytes (P--0.001 and 0.035). There was no correlation with
epidermal hyperplasia or with p53 expression in the three compartments. Bcl-2
expression in the basal layer correlated with the p53 expression in the epidermis
(P--0.027), basal layer (P--0.015) and the lymphocytes (P--0.034). There was a
strong correlation among the p53 expression in all the compartments. There was
also a weak correlation of the p53 expression in the epidermis with the epidermal
hyperplasia (P--0.042). CONCLUSIONS: Bcl-2 does not appear to play an important
role in the apoptotic process in psoriasis. In contrast, it is likely that p53
has a far more important role to play. Mutation analysis of the p53 protein is
necessary to evaluate if the protein has mutated or if it is of the wild type.
PMID- 26549061
TI - The differentiation of amebic colitis from inflammatory bowel disease on
endoscopic mucosal biopsies.
AB - BACKGROUND: Intestinal amebiasis is one of the important differential diagnoses
of Inflammatory Bowel Disorders in areas where it is highly prevalent. AIM:
Studies comparing the clinical, endoscopic and histological features of these
disorders have never been done, so we undertook this study. MATERIALS AND
METHODS: A retrospective study comparing mucosal biopsies of 14 consecutive cases
of intestinal amebiasis with 14 cases of Ulcerative colitis and 12 cases of
Crohn's disease. A total of 65 biopsies from patients with amebiasis, 56 biopsies
from patients with Crohn's disease and 65 biopsies of patients with Ulcerative
colitis were reviewed. RESULTS AND CONCLUSIONS: Discrete small ulcers less than 2
cm in diameter in the cecum or rectosigmoid, with intervening normal mucosa, were
the most common finding on endoscopy in patients with amebiasis. On histology,
necrotic material admixed with mucin, proteinaceous exudate and blood clot lining
ulcers, significant surface epithelial changes such as shortening and tufting
adjacent to sites of ulceration, mild chronic inflammation extending into the
deep mucosa and mild architectural alteration were features of amebiasis.
Trophozoite forms of ameba were seen in the necrotic material lining sites of
ulceration or lying separately, as well as over intact mucosa. Necrotic material
lining ulcers was less common in IBD, but chronic inflammation, crypt abscess
formation and architectural alteration were more severe.
PMID- 26549062
TI - 1p/14q co-deletion: A determinant of recurrence in histologically benign
meningiomas.
AB - BACKGROUND: Meningiomas are the most common benign central nervous system tumors.
However, a sizeable fraction recurs, irrespective of histological grade. No
molecular marker is available for prediction of recurrence in these tumors.
MATERIALS AND METHODS: We analyzed recurrent meningiomas with paired parent and
recurrent tumors by fluorescence in situ hybridization for 1p36 and 14q32
deletion, AKT and SMO mutations by sequencing, and immunohistochemistry for GAB1,
progesterone receptor (PR), p53, and MIB-1. RESULTS: 18 recurrent meningiomas (11
grade I, 3 grade II, 4 grade III) with their parent tumors (14 grade I, 2 grade
II and 2 grade III) were identified. Overall, 61% of parent and 78% of recurrent
meningiomas showed 1p/14q co-deletion. Notably, grade I parent tumors showed
1p/14q co-deletion in 64% cases while 82% of grade I recurrent tumors were co
deleted. AKT mutation was seen in two cases, in both parent and recurrent tumors.
SMO mutations were absent. GAB1 was immunopositive in 80% parent and 56.3%
recurrent tumors. MIB-1 labeling index (LI), PR and p53 expression did not appear
to have any significant contribution in possible prediction of recurrence.
CONCLUSION: Identification of 1p/14q co-deletion in a significant proportion of
histologically benign (grade I) meningiomas that recurred suggests its utility as
a marker for prediction of recurrence. It appears to be a better predictive
marker than MIB1-LI, PR and p53 expression. Recognition of AKT mutation in a
subset of meningiomas may help identify patients that may benefit from PI3K/AKT
pathway inhibitors, particularly among those at risk for development of
recurrence, as determined by presence of 1p/14q co-deletion.
PMID- 26549063
TI - Histopathological yield in different types of bronchoscopic biopsies in proven
cases of pulmonary tuberculosis.
AB - BACKGROUND: Diagnosis of pulmonary tuberculosis (TB) is difficult and often
requires a lung biopsy. The goal of this retrospective study was to determine the
histopathological parameters useful for diagnosis of pulmonary TB in different
types of bronchoscopic biopsies (transbronchial lung biopsy [TBLB],
transbronchial needle aspiration [TBNA], and bronchial biopsy [BB]). MATERIALS
AND METHODS: The records of patients diagnosed to have pulmonary TB, over a
period of 1-year were evaluated. Patients with positive acid-fast bacilli (AFB)
culture and with three bronchoscopic biopsies including TBLB, TBNA, and BB were
included in the study. Selected (14) histological parameters were evaluated
retrospectively in a total of 27 biopsies from 9 patients with TB after
hematoxylin-eosin and Ziehl-Neelsen staining. RESULTS: Diagnostic yield in TBLBs
and TBNA was similar for granulomas detection (66.6% each). Granulomas in TBNA
were larger, caseating and confluent as compared to small interstitial granulomas
seen in TBLB. AFB was demonstrated in only one patient in TBNA. Lymphocytic cell
cuffing was seen around most TBLB granulomas. One patient also showed
microfilaria in blood vessel in TBLB. BBs in all patients showed the presence of
goblet cell metaplasia and increased peribronchial plasma cell infiltrate with or
without eosinophils may be indicative of chronic injury. The yield of granulomas
was low in BBs seen in only 2 patients (22.2%). CONCLUSION: Diagnostic yield of
TBNA and TBLB for granulomas was similar; however, caseation was seen more
frequently in TBNA than on TBLB. Of other histological parameters, bronchial
metaplastic changes and peribronchial plasma cells infiltrate were constant
findings in all tubercular biopsies indicative of chronic injury.
PMID- 26549064
TI - Fluorescent microscopy and Ziehl-Neelsen staining of bronchoalveolar lavage,
bronchial washings, bronchoscopic brushing and post bronchoscopic sputum along
with cytological examination in cases of suspected tuberculosis.
AB - OBJECTIVES: Ever since the discovery of Mycobacterium tuberculosis in 1882, many
diagnostic methods have been developed. However "The gold standard" for the
diagnosis of tuberculosis (TB) is still the demonstration of acid fast Bacilli
(AFB) by microscopic examination of smear or bacteriological confirmation by
culture method. MATERIALS AND METHODS: In suspected 75 patients with active
pulmonary TB, the materials obtained bronchoscopically, were bronchoalveolar
lavage (BAL), bronchial brushings, bronchial washings and post bronchoscopic
sputum. Four smears were made from each of the specimen. Fluorescent Staining,
Ziehl-Neelsen (ZN), Pap and May Grunwald-Giemsa (MGG) stains were carried out for
cytological examination. RESULTS: Fluorescent stain yielded maximum AFB
positivity in all the methods, that is 36 (48%) in post fibre-optic bronchoscopy
(FOB) sputum and 19 (25.33%) by fluorescence microscopy in both bronchial
brushings and bronchial washings. Maximum yield of AFB with ZN staining 12 (16%)
was equal to the post FOB sputum and bronchial brushings samples. It was followed
by 6 cases (8%) in BAL and 4 (5.3%) in bronchial washings. The cytological
examination was suggestive of TB in only 8 (10.66%) cases in bronchial washings
and 6 (8%) cases in post FOB collection. It was equal in BAL and Bronchial
brushings each that is 5 (6.67%). CONCLUSION: Bronchoscopy is a useful diagnostic
tool and fluorescent microscopy is more sensitive than ZN and cytology. On X-ray
examination, other diseases like malignancy or fungus can also mimick TB. So
apart from ZN staining or fluorescence microscopy, Pap and MGG stain will be
worthwhile to identify other microorganisms.
PMID- 26549065
TI - Cytologic diagnosis of gastric submucosal lesions by endoscopic ultrasound-guided
fine-needle aspiration: A single center experience in Saudi Arabia.
AB - BACKGROUND AND AIMS: Endoscopic ultrasound-guided fine-needle aspiration (EUS
FNA) sampling has become standard practice for the diagnosis of submucosal
gastrointestinal (GI) lesions. The aim of this study was to determine the utility
of EUS-guided FNA cytology in the diagnosis of deeply seated gastric mass
lesions. MATERIALS AND METHODS: Thirteen patients with deeply seated gastric mass
lesions were diagnosed by EUS-FNA. Adequate cytology material was present in all
cases. Cell blocks were available in 10 cases. Surgical resections were performed
in 8 cases. Immunohistochemical (IHC) studies were done on cell blocks in 9 cases
and on 6 resected specimens. Seven cases has proved to be GI stromal tumors
(GIST), in four of them, cell blocks were available, and resection for GIST was
performed in 5 cases. IHC stains that were performed in cytology, as well as
resection specimens, revealed similar results in each patient. CONCLUSION: EUS
FNA cytology, when combined with a histologic assessment of cell blocks provides
accurate and efficient tissue diagnosis of a wide variety of deeply seated
gastric mass lesions.
PMID- 26549066
TI - Evaluation of immunohistochemical subtypes in diffuse large B-cell lymphoma and
its impact on survival.
AB - BACKGROUND AND AIM: Diffuse large B-cell lymphoma (DLBCL) is the most common type
of non-Hodgkin lymphoma in Indian population. The disease could be divided into
the prognostically important subtypes, germinal center B-cell (GCB)-like and
activated B-cell-like, using gene expression profiling (GEP). The molecular
subtype as defined by GEP could also be predicted by using immunohistochemistry
(IHC) based algorithms using three biomarkers CD10, BCL-6, and multiple myeloma
oncogene-1 (MUM1). It has been confirmed that patients belonging to the GCB
subtype have a better outcome and survival than those belonging to the second
subtype. The present study was conducted to study the prevalence of these two
subgroups and their correlation with survival of the patients. MATERIALS AND
METHODS: A total of 83 patients of DLBCL were included in the study. Hematoxylin-
and eosin-stained sections were prepared from the paraffin-embedded tissue
blocks. The staining for all the three antibodies was considered positive when
more than 30% cells were stained with the respective antibody. RESULTS: The
results showed that 44 patients (53%) had GCB immunophenotype and 39 patients
(47%) had non-GCB phenotype. However, no statistically significant difference in
overall and disease-free survival was noted between the subgroups. CONCLUSION:
This study demonstrated that frequency of GCB subtype of DLBCL is significantly
higher than the non-GCB subtype, and the non-GCB immunophenotype has better
relapse-free survival 78% (standard error = 0.10) at the end of 3 years, while
GCB has 56% (standard error = 0.23). Further studies should be performed with
larger number of patients to show difference in clinical outcome between GCB and
non-GCB subgroups.
PMID- 26549067
TI - Volume, conductivity, and scatter parameters as diagnostic aid to bacterial
sepsis: A tertiary care experience.
AB - INTRODUCTION AND MATERIALS AND METHODS: Early diagnosis of sepsis is extremely
important to reduce high mortality and morbidity. In this study, clinical
usefulness of the volume, conductivity and scatter parameters (mean channels of
cell volume, conductivity, and light scatter) in neutrophils was analyzed for
predicting acute bacterial infection, which are obtained by the Coulter LH 750
Hematology Analyzer (Beckman Coulter, Fullerton, CA, USA) during automated
differential counts. RESULTS: Peripheral blood samples from 162 patients with
positive blood cultures for bacteria and 40 healthy controls were studied. We
observed a significant increase in the mean channel of neutrophil volume (MNV)
from septic patients compared with control subjects (156 +/- 13.5 vs. 143 +/-
4.8; P < 001). DISCUSSION AND CONCLUSION: An elevation of the MNV was associated
with a higher white blood cell count and percentage of neutrophils and was
present even in patients who did not have leukocytosis or neutrophilia. With a
cut-off of 149 for the MNV, a specificity of 91.4% and sensitivity of 88.7% were
achieved. As a quantitative, objective, and more sensitive parameter, we propose
that the MNV has a potential to be an additional indicator for acute bacterial
infection.
PMID- 26549068
TI - Association of virulence genes with mecA gene in Staphylococcus aureus isolates
from Tertiary Hospitals in Nigeria.
AB - INTRODUCTION: Staphylococcus aureus is the etiological agent for a wide range of
human infections, and its pathogenicity largely depends on various virulence
factors associated with adherence, evasion of the immune system and damage of the
host. This study determined the prevalence of methicillin-resistant S. aureus
(MRSA) and some selected virulence genes in clinical isolates of S. aureus from
South-Western Nigeria. MATERIALS AND METHODS: The antibiotic susceptibility of
156 S. aureus isolates to various antibiotics was determined. Moreover,
polymerase chain reaction detection of the mecA gene was performed including
SCCmec typing, and the isolates were screened for selected genes (alpha hemolysin
[hla], intracellular adhesion A [icaA], Panton-Valentine leukocidin [PVL],
fibronectin binding protein A [fnbA], bone sialoprotein binding protein [bbp],
exfoliative toxin A [eta], exfoliative toxin B [etb], and collagen binding
adhesion [cna]) associated with virulence. RESULTS: The prevalence of mecA gene
was 42.3% (66 out of 156 S. aureus), and SCCmec typing showed that 24 (36.4%)
carried the SCCmec II element, 4 (6.1%) with type III, 10 (15.2%) with SCCmec IV,
and 28 (42.4%) harbored type V. The proportion of S. aureus with the following
genes was ascertained: Hla (55.1%), icaA (42.3%), PVL (34.6%), fnbA (8.3%), bbp
(4.5%), and eta (3.8%). All the isolates were etb and cna negative. The
prevalence of the PVL gene in methicillin susceptible Staphylococcus aureus
(MSSA) was 53.3% compared with 9.1% of MRSA. An association between virulence
genes (eta and icaA) and mecA positive S. aureus; and significant difference in
the distribution of virulence genes in in-patients and out-patients were found.
The MRSA strains in South-Western Nigeria were dominated by SCCmec II and SCCmec
V. CONCLUSION: The study concluded that there is a high prevalence of MRSA in
Nigeria with association of eta and icaA genes with mecA gene in S. aureus
isolates.
PMID- 26549069
TI - Study of clinical spectrum and risk factors of neonatal candidemia.
AB - CONTEXT: Candida colonization in neonates results in significant morbidity and
mortality. The prevalence and clinical significance of neonatal candidemia are
poorly understood. AIMS: This study aimed to study clinical spectrum and risk
factors of neonatal candidemia. SETTINGS AND METHODS: 108 cases of septicemia
were studied. Blood samples were collected into Glucose broth and Bile broth,
which are inoculated on Blood agar and MacConkey's agar and incubated at 37
degrees C for 7 days. Candida species were isolated were confirmed by standard
techniques. STATISTICAL ANALYSIS USED: The data was collected and analyzed using
by using SPSS IBM Company, Chicago, Version 16.0. RESULTS: 62 newborn patients
who had a positive Candida blood culture. 47 (79.03%) were low birth weight and
37 (59.67%) were male. 19 (30.65%) were died. Candida species was a contributory
factor to mortality in 14 (73.68%) patients. Among Candida isolates, Candida
albicans was the commonest (65%) followed by Candida parapsilosis (15%) and
Candida glabrata (10%). The risk factors like intrapartum use of antibiotics,
vaginal delivery, low birth weight are identified in culture positive neonates.
CONCLUSIONS: Candida species are assuming an increasing role in nosocomial
infections in neonates and is associated with an increased risk of mortality.
PMID- 26549070
TI - Comparison of biofilm formation in clinical isolates of Candida species in a
tertiary care center, North India.
AB - BACKGROUND AND OBJECTIVES: Biofilms are colonies of microbial cells encased in a
self-produced organic polymeric matrix. The biofilm production is more important
for nonalbicans Candida (NAC); as C. albicans possess many other mechanisms to
establish infections. Correct identification of Candida species has gained
importance due to persistent rise in infections caused by NAC. We sought to
isolate, identify Candida species in clinical isolates and study biofilm
formation. MATERIALS AND METHODS: Modified microtiter plate method was performed
to study biofilm formation by isolates in Sabouraud's dextrose broth. It was then
quantitatively assessed using a spectrophotometer. Biofilm formation was graded
as negative, +1, +2, +3 and + 4 on the basis of percentage absorbance. RESULTS:
Biofilm formation was observed in 16 of 40 (40.0%) isolates of C. albicans as
compared to 39 of 78 (50.0%) of isolates of NAC. Strong (+4) biofilm production
was seen in maximum biofilm producers in C. tropicalis (12 of 27) followed by C.
albicans (8 of 16). Total biofilm producers were significantly more among high
vaginal swab isolates 63.2% (12 of 19) and urine isolates 59.2% (29 of 49), when
compared to blood isolates 34.2% (13 of 38) as well as other isolates 27.5% (11
of 40). INTERPRETATION AND CONCLUSIONS: NAC species are qualitatively and
quantitatively superior biofilm producers than C. albicans. Biofilm production is
the most important virulence factor of NAC species and compared to other lesions,
it is more significantly associated with luminal infections.
PMID- 26549071
TI - Immunofluorescence profile of discoid lupus erythematosus.
AB - The direct immunofluorescence (DIF) of skin in conjunction with histopathology
gives the best diagnostic yield. It is invaluable in confirming the diagnosis of
small vessel vasculitides and bullous lesions of the skin and can be used as an
additional tool to pinpoint the diagnosis of systemic and localized autoimmune
diseases involving the skin. This study was undertaken to analyze the strength of
DIF vis-a -vis histopathology in the diagnosis of discoid lupus erythematosus
(DLE) and at the same time to elaborate the specific immunofluorescence findings
in the lesions of DLE. The clinical profile and cutaneous lesions of 75 patients
with DLE are described. DIF was positive in 68% and histopathology in 60% of
cases. The most common immunoreactant was IgG at the dermoepidermal junction,
followed by IgM and IgA. A conclusive diagnosis of DLE could be achieved
satisfactorily in 64 cases (85%) by a combination of the two techniques.
PMID- 26549072
TI - Extensive intestinal metaplasia of renal pelvis: Report of a case and literature
review.
AB - Transformation of the urothelium to the intestinal type of epithelium is rare in
the pelvis with very few cases reported in the literature. The present study
reports extensive intestinal metaplasia of the pelvi-calyceal system without
residual urothelium in a 35-years-old woman with a 2 years history of renal
calculi. Right - sided Nephrectomy was undertaken. Immunohistochemistry of the
metaplastic epithelium revealed positive expression of CK20, low Ki-67 index and
negative expression of p53. In this patient long standing metaplastic changes did
not progress to adenocarcinoma which indicates that extensive intestinal
metaplasia is not always associated with malignancy.
PMID- 26549073
TI - Functional parathyroid cystic adenoma: A rare cause of hypercalcemic crisis with
primary hyperparathyroidism.
AB - We discuss a case of primary hyperparathyroidism caused by a giant cystic
parathyroid adenoma presenting with neck swelling and hypercalcemic crisis. Fine
needle aspiration cytology of presumed thyroid swelling from one of the two sites
aspirated yielded clear fluid but was not attributed to parathyroid pathology.
Elevated serum calcium and intact parathormone (iPTH) levels suggested
preoperative parathyroid pathology. Ultrasound neck and sestamibi scan for
parathyroid localization were not conclusive. Due to resistant hypercalcemia, the
patient underwent emergency bilateral neck exploration and excision of the
identified left superior parathyroid cyst along with total thyroidectomy.
Monitoring of intra-operative iPTH helped complete removal of hyperfunctioning
parathyroid tissue. Histopathological examination confirmed the parathyroid cyst.
Cystic parathyroid adenoma should be considered in the differential diagnosis of
cystic neck lesions.
PMID- 26549074
TI - Mismatch repair protein deficient endometrioid adenocarcinomas, metastasizing to
adrenal gland and lymph nodes: Unusual cases with diagnostic implications.
AB - Recently, certain endometrial carcinomas have been found to be associated with
mismatch repair (MMR) protein defects/deficiency. A 39-year-old female presented
with cough, decreased appetite and significant weight loss since 2 months.
Earlier, she had undergone total abdominal hysterectomy with bilateral salpingo
oophorectomy (TAH-BSO) for endometrioid adenocarcinoma. Imaging disclosed an 8 cm
sized adrenal mass that was surgically excised. Histopathology of the adrenal
tumor, endocervical tumor, and endometrial biopsy revealed Federation of
Gynecology and Obstetrics (FIGO) Grade II to III endometrioid adenocarcinoma. By
immunohistochemistry, tumor cells were positive for cytokeratin 7, epithelial
membrane antigen, PAX8, MLH1 and PMS2 while negative for estrogen receptor (ER),
progesterone receptor (PR), MSH2 and MSH6. She underwent adjuvant radiotherapy
and chemotherapy. A 34-year-old lady presented with vaginal bleeding since 9
months. She underwent TAH-BSO, reported as FIGO Grade III endometrioid
adenocarcinoma. By immunohistochemistry, tumor cells were negative for ER, PR,
MLH1, and PMS2 while positive for MSH2 and MSH6. She underwent adjuvant
radiotherapy and chemotherapy. However, she developed multiple nodal and
pericardial metastases and succumbed to the disease within a year post-diagnosis.
Certain high-grade endometrioid adenocarcinomas occurring in younger women are
MMR protein deficient and display an aggressive clinical course. Adrenal
metastasis in endometrial carcinomas is rare.
PMID- 26549075
TI - Extracavitary primary effusion lymphoma: A case report from India.
AB - We present a case of extracavitary primary effusion lymphoma presenting, as
jejunal polyps in a 38-year-old man. This is the first report of this entity from
India. Although rare in our country, the diagnosis should be suspected in cases
of CD20 negative large cell lymphoma with plasmablastic or immunoblastic
differentiation in seropositive patients. Immunostaining for latency-associated
nuclear antigen-1 and in situ hybridization for Epstein-Barr virus-associated RNA
will confirm the diagnosis.
PMID- 26549076
TI - A 36-year-old man with vomiting, pain abdomen, significant weight loss,
hyponatremia, and hypoglycemia.
AB - Diagnosis of Strongyloides stercoralis hyperinfection can be a challenge. The key
to a timely diagnosis is to have a high index of suspicion. We present a rare
case of a 36-year-old human immunodeficiency virus negative male patient, who was
on multidrug therapy for lepromatous leprosy and was treated for type 2 lepra
reactions with steroids in the past. The patient presented with vomiting and pain
abdomen, persistent hyponatremia, and terminal hypoglycemia. He had features of
malnutrition and had a rapid downhill course following admission. A diagnosis of
S. stercoralis hyperinfection with sepsis and multiorgan failure, adrenal
hemorrhage, and syndrome of inappropriate antidiuretic hormone secretion was
established on a postmortem examination.
PMID- 26549077
TI - Epithelial inclusion cyst of the cecum: A rare entity.
AB - Epithelial inclusion cyst of the cecum (EICC) or epidermoid cyst of the cecum is
extremely rare. Only seven cases of EICC have been reported in the English
literature until now. A case of EICC is being reported in a 25-year-old female
who presented with a pelvic mass associated with lower abdominal pain radiating
to back. Abdominal contrast-enhanced computed tomography scan showed a well
defined mass juxtaposed to cecum. During operation, a subserosal cystic mass was
found adherent to the anterior wall of the cecum and confirmed to be an
epithelial inclusion cyst histopathologically. It is being reported due to its
extreme rarity.
PMID- 26549078
TI - Anaplastic lymphoma kinase-positive pulmonary inflammatory myofibroblastic tumor
with sarcomatous morphology and distant metastases: An unusual histomorphology
and behavior.
AB - Inflammatory myofibroblastic tumor (IMT), an intermediate-grade neoplasm of
myofibroblastic/fibroblastic differentiation, occurs commonly in children and
young adults. It is characterized by anaplastic lymphoma kinase (ALK) gene
rearrangement and overexpression of ALK-protein. However, aggressive behavior is
more commonly associated with ALK-negativity rather than ALK-positivity.
Pulmonary involvement is most common visceral location and carries minimal
potential for distant metastasis. We present a case of 49-year-old female with
pulmonary IMT of spindle cell sarcomatous histomorphology. Frequent mitoses and
necrosis with characteristic cytoplasmic immunoreactivity for ALK-1 protein and
ALK-gene rearrangement on fluorescence in-situ hybridization were noted. This
case is unusual for occurrence in higher age-group of fifth decade, sarcomatous
histomorphology at presentation (rather than transformation) and metastases to
distant sites despite ALK-protein overexpression and gene rearrangement.
PMID- 26549079
TI - Spindle-cell ameloblastic carcinoma of the maxilla with adenoid cystic carcinoma
like areas: A new variant?
AB - Ameloblastic carcinoma is a rare aggressive malignancy of odontogenic epithelial
origin. The disease has been reported to afflict people over a wide range of age
group without any sex predilection. It is characterized by rapid growth and
destruction of overlying bones along with involvement of adjacent soft tissues.
The overall prognosis of this cancer is poor despite radical surgery and
radiotherapy. Owing to the rarity of this lesion, complete data on the biological
behavior and response to different treatment modalities are still lacking. The
authors hereby report a case of this uncommon tumor arising from left maxilla in
a 60-year-old Indian male. Histopathological examination of the tumor showed foci
of spindling intermixed with large areas of tumor cells arranged in cribriform
pattern and having luminal eosinophilic material which was reminiscent of adenoid
cystic carcinoma. A final diagnosis was achieved after immunohistochemical study.
These unusual findings prompted this case report.
PMID- 26549080
TI - Adenoid cystic carcinoma of the trachea.
AB - Primary salivary gland-type tumors of the lung and airways being unusual, they
pose a diagnostic challenge on small biopsies and are usually consigned as non
small cell lung carcinomas. Since the clinical behavior of these tumors is
different from the conventional lung tumors, it is important to accurately
diagnose them. Among this category of tumors, adenoid cystic carcinoma (ACC) of
the lungs and airways is exceedingly rare. Few large studies and maximum case
reports have been reported in literature on ACC of the lungs and trachea so far.
We herein report a rare case of primary ACC of the trachea diagnosed on
bronchoscopic biopsy.
PMID- 26549081
TI - Malignancy of fallopian tube.
PMID- 26549082
TI - Primary alveolar rhabdomyosarcoma of fallopian tube masquerading as a unilateral
adnexal mass: A case report and literature review.
AB - Rhabdomyosarcoma (RMS) is a high-grade sarcoma that predominantly affects
children, and rarely, the adult population. RMS demonstrates three major
histologic variants: Embryonal, alveolar, and pleomorphic. A limited number of
documented pure RMS cases of the gynecologic organs in adult women are found in
the literature. Of these reports, the fallopian tube (FT) is reported as the
primary site in only three cases, those included one of embryonal and two of the
pleomorphic histologic variants. Herein, we report the first case of alveolar RMS
arising in the FT of an adult woman and presenting as a unilateral adnexal mass.
PMID- 26549083
TI - Serous papillary cystadenofibroma of the fallopian tube: A case report and short
review of literature.
AB - Serous papillary cystadenofibromas (SPCAFs) of the fallopian tube are very rare
benign tumors of the female genital tract. They are usually asymptomatic and are
found incidentally. Until now, only 18 cases of this tumor have been reported in
the world literature. We report a case of SPCAF of the left fallopian tube in a
30-year-old female who presented with a large abdominal mass and pain. On
computed tomography, a diagnosis of ovarian neoplasm was given. However, during
surgery the tumor was found to arise from the fallopian tube and was treated with
tubal cystectomy with sparing of the ovary. We present this unique case on
account of its rarity, unusual presentation, and huge size along with a short
review of literature.
PMID- 26549084
TI - Significance of fibrotic bands in utero--Amniotic band sequence with limb body
wall complex: A rare case of fetal autopsy.
AB - Amniotic band sequence (ABS) includes a wide spectrum of abnormalities resulting
from entrapment of various fetal parts from a disrupted amnion, ranging from a
mere constriction ring affecting a finger to a fatal form called limb body wall
complex (LBWC). Reported cases of ABS with LBWC are very few. The spectrum of
anomalies depends on which part gets entrapped and at what point of gestation.
Hence, the clinical presentation can be extremely variable. Early detection of
such cases using sonology is really challenging due to the small size of the
fibrotic bands. Here, we present a case of amniotic band syndrome with LBWC in a
fetus at 24 weeks of gestation, which was referred for an autopsy. The fetus also
showed scoliosis, gastroschisis, lumbosacral meningocele, congenital talipes
equinovarus, and cleft palate, thus having features of placenta cranial and
placenta abdominal phenotype which is very rare.
PMID- 26549085
TI - Primary intracardiac malignant peripheral nerve sheath tumor: A rare case report.
AB - Myxomas are the most common benign cardiac tumors constituting approximately 75%
of all the cardiac tumors. Rest 25% are malignant and sarcomas being the
commonest. Among the sarcomas primary cardiac malignant peripheral nerve sheath
tumors are extremely rare. They usually arise in relation to the branches of
vagus or phrenic nerves, 5-42% being associated with neurofibromatosis type 1.
Clinical signs and symptoms depend on the location and extent of involvement.
Complete resection is the treatment of choice but local recurrence is common.
PMID- 26549086
TI - Nevus sebaceus with basal cell carcinoma, poroma, and verruca vulgaris.
AB - Nevus sebaceus (NS) is a congenital, benign, hamartomatous lesion and it is
possible to see several benign or malignant tumors accompanying it. One of these
is the poroma, which is very rare, and has only been reported twice before, in
the English literature. In this paper, we presented two new cases of NS. One of
them was a 40-year-old male who presented with a congenital skin lesion on his
temporoparietal region. This lesion was composed of four different lesions,
including NS, poroma, basal cell carcinoma (BCC), and verruca vulgaris. The
second patient was a 41-year-old male presenting with a yellow-brown patch on the
scalp. This lesion was comprised of NS and BCC. In addition to these
presentations, we discussed the differential diagnosis between BCC and
trichoblastoma, both of which are likely to be seen with NS. For this purpose, we
recommended an immunohistological panel, which may be useful for differentiating
these two morphologically similar lesions.
PMID- 26549087
TI - Concomitant follicular lymphoma and histiocytic sarcoma: A rare progression,
trans-differentiation or co-occurrence?
AB - Trans-differentiation of follicular lymphoma (FL) into a histiocytic sarcoma (HS)
is a rare event and usually occurs as a sequential event. We report a case where
in the same node with two distinct areas one of low-grade FL and another with HS
was observed. This patient was a 58 years old with generalized lymphadenopathy
and Ann Arbor Stage III disease. The cervical node biopsy on histological
examination revealed two distinct areas, firstly a FL with nodular architecture
and the other a smaller focus of sheets of pleomorphic histiocytic cells
diffusely arranged at the edge of the section contiguous with FL with few cells
in transiting phase. On immunohistochemistry the FL was positive for CD20, CD10,
PU.1, PAX5 and Bcl2, while the large histiocytic cells were positive for CD163,
CD68, LCA, and PU.1, weakly for PAX5 and negative for CD20, CD10, CD30, CD3,
CD1a, Bcl2, S100, and Alk-1. The therapeutic implications of this diagnosis and
postulated theories on trans-differentiation are discussed.
PMID- 26549088
TI - Pineal parenchymal tumor of intermediate differentiation.
AB - The 2007 World Health Organization classification of tumors of the central
nervous system identified "pineal parenchymal tumor of intermediate
differentiation" (PPTID) as a new pineal parenchymal neoplasm, located between
pineocytoma and pineoblastoma as grade II or III. Because of the small number of
reported cases, the classification of PPT is still a matter of controversy. We
report a case of PPTID. A 25-year-old female patient was admitted to hospital
with complaints of a headache, nausea, vomiting since 1-year. Computed
tomography/magnetic resonance imaging of the brain showed well-defined, mildly
enhancing lesion in the region of the pineal gland with areas of calcification.
The tumor was excised. After 3 years, she presented with metastasis in thoracic
and lumbosacral spinal region. This is a rare event.
PMID- 26549089
TI - Mixed adenoneuroendocrine carcinoma of the gallbladder, histopathological
features.
AB - An unusual case of mixed adenoneuroendocrine carcinoma is described which posed a
diagnostic challenge in view of neuroendocrine component mimicking signet ring
cells of adenocarcinoma. Diagnostic criteria for these mixed tumors, their
histogenesis and treatment modalities are highlighted.
PMID- 26549090
TI - Primary Burkitt's lymphoma of the breast without Epstein-Barr virus infection: A
case report and literature review.
AB - Burkitt lymphoma (BL) is a highly aggressive neoplasm, which arising from the
germinal center or post germinal center B-cell. Primary breast lymphomas are
extremely rare, and the most common histologic type is diffuse large B-cell
lymphoma. Primary BL of the breast is much less common than the other types of
lymphoma. Here, we report an extremely rare case of a 37-year-old Chinese female
with localized bilateral breast, who was referred to our institution for
bilateral breast swelling. The left breast tissue ultrasonography showed the
short axis measuring 20.3 mm * 18.8 mm and the long axis measuring 22.1 mm * 20.8
mm soft tissue mass. The right breast tissue ultrasonography showed the short
axis measuring 30.2 mm * 26.9 mm and the long axis measuring 33.5 mm * 2.18 mm.
Coarse needle biopsy of breast masses demonstrated a non-Hodgkin's B-cell
lymphoma. The patient underwent a bilateral mastectomy. Histological examination
of the tumor showed a characteristic "starry sky" pattern, the medium-sized tumor
cells were a monotonous pattern of growth, and there were many abnormal mitotic
figures. The neoplastic cells strongly expressed CD20, CD79-MU, MUM-1, PAX-5,
CD43 and Bcl-6, Ki-67 were nearly 100% positive, but negative for CD10, Bcl-2 and
TdT. By fluorescence in situ hybridization an IGH-MYC gene fusion was detected in
the tumor tissue which indicating the presence of a typical BL translocation
t(8;14)(q24;q32). The final histopathological diagnosis was primary BL of the
breast.
PMID- 26549091
TI - Lymphoepithelioma-like hepatocellular carcinoma without Epstein-Barr virus
infection: A case report and a review of the literature.
AB - Lymphoepithelioma-like carcinoma (LELC) of the liver is uncommon, only 20 cases
have been reported in the English-language literature so far, and the majority
has been identified as cholangiocarcinomas, only four cases were hepatocellular
LELC. Here we described a rare case of lymphoepithelioma-like hepatocellular
carcinoma (HCC). A 42-year-old Chinese female who was incidentally found to have
a liver-occupying lesion during a routine medical examination. Ultrasonography
revealed a 47 mm * 33 mm * 36 mm hypoechoic mass in the left lobe. Computed
tomography and magnetic resonance imaging displayed a nodular lesions in the left
liver lobe. The patient underwent a left-side hepatectomy. Histopathological
examination of the resected specimen revealed an undifferentiated carcinoma with
a dense lymphocytic infiltrate, predominantly composed of CD3(+) T cells,
morphologically similar to nasopharyngeal carcinoma. Immunohistochemically, the
tumor cells were positive for CK, EMA, Glypican-3 and hepatocyte, but negative
for alpha-fetoprotein, CK19, CK7 and CK20. Epstein-Barr virus (EBV) in situ
hybridization was negative. The final histopathological diagnosis was
lymphoepithelioma-like HCC without EBV infection.
PMID- 26549092
TI - Glomangiopericytoma of nasal cavity.
AB - Glomangiopericytoma/sinonasal type hemangiopericytoma is a rare sinonasal
neoplasm arising from the pericytes surrounding capillaries and accounts for less
than 0.5% of all sinonasal tumors. This tumor differs from conventional soft
tissue hemangiopericytoma in location, biologic behaviour and histologic
features. Glomangiopericytoma is a borderline low malignancy tumor with a good
prognosis after complete surgical resection. We report a case of 60-year-old
woman who presented with progressive nasal obstruction and frequent nasal
bleeding and was diagnosed as glomangiopericytoma on histopathological and
immunohistochemistry findings. Histological characteristics, differential
diagnosis and prognosis of this tumor are discussed in this article. This case
has been reported because of its rarity and an array of differential diagnosis.
PMID- 26549093
TI - Endometrioid like yolk sac tumor of the testis with small teratomatous foci: A
case report and review of the literature.
AB - I have reported a case of endometrioid like yolk sac tumor of the testis in a 20
year-old boy. Endometrioid like yolk sac tumor is a rare tumor. A few cases have
been reported in ovary. In case of male, a case of pure glandular endometrioid
like yolk sac tumor is reported in a 43 years male in right undescended testis
and another case of abdominal metastasis showing endometrioid pattern from mixed
testicular germ cell tumor comprising of teratoma and embryonal carcinoma. My
patient was a 20-year-old male presented with painless enlargement of right
testis. Grossly the tumor was glistening creamish white with a multicystic
appearance. Histopathological examination showed the tumor to be composed of
glandular elements resembling early secretory endometrium, foci of keratinized
thin squamous epithelium and a single focus of benign cartilage. The glandular
elements show immunohistochemical positivity for AFP, cytokeratin 7 (CK7) and
epithelial membrane antigen (EMA).
PMID- 26549094
TI - An extraneural primary anaplastic ependymoma at the subcutaneous inguinal region:
Report of a rare case.
AB - Ependymomas commonly arise in the central nervous system. Extraneural
presentation is quite rare. Herein, we describe a primary extraneural ependymoma
in a young female. The mass was located in the right inguinal area. The cut
surface of the 7.5 mm * 6.5 mm * 4.5 mm sized tumor was brownish-yellow in color.
Histologically, it was hypercellular exhibiting pseudorosette or rosette
formations and some papillary structures. Mitosis was counted as high as 10 per
10 high power fields. Neither necrosis nor vascular endothelial proliferation
within the tumor was observed. Tumor cells showed strong glial fibrillary acidic
protein immunoreactivity. On epithelial membrane antigen, intracytoplasmic dot
like immunostaining was observed. This is the first report presenting a primary
extraneural anaplastic ependymoma arising in the inguinal subcutaneous region.
PMID- 26549095
TI - Leishmaniasis in a patient with HIV co-infection: Diagnosis on fine needle
aspiration cytology.
AB - Leishmaniasis is a vector borne parasitic disease caused by obligate
intracellular protozoa Leishmania and is transmitted by the bite of sand fly. The
disease typically presents in visceral, cutaneous and mucocutaneous forms and is
endemic in some states of India. Cases with atypical presentation are seen when
patient has co- infection with HIV. We report a case of Leishmaniasis occurring
in a HIV seropositive expectant mother diagnosed initially on fine needle
aspiration cytology. The patient was resident of non endemic area and had
presented with isolated cervical lymphadenopathy and fever without spleenomegaly.
Characteristic morphological features of Leishmania seen in the fine needle
aspiration smears from the neck nodes were identified and definitive diagnosis of
Leishmaniasis could be given. Cytological features were not suggestive of any
other disease. Timely diagnosis of the disease facilitated proper management in
our patient.
PMID- 26549096
TI - Cases of bowel schistosomiasis presenting as carcinoma colon.
PMID- 26549097
TI - Quiz page.
PMID- 26549098
TI - Discordant and metachronous Non-Hodgkin's lymphomas: Enteropathy-associated T
cell lymphoma, Type II with aberrant expression of CD4 presenting several years
after a mucosa-associated lymphoid tissue type B-cell lymphoma.
PMID- 26549099
TI - High grade angiosarcoma of nasal cavity and paranasal sinuses: A rare case with
immuno-histopathological study.
PMID- 26549100
TI - Interference of hemoglobin D Punjab on measurements of glycated hemoglobin.
PMID- 26549103
TI - Nanotribological and wetting performance of hierarchical patterns.
AB - Surface modification is a promising method to solve the tribological problems in
microsystems. To modify the surface, we fabricated hierarchical patterns with
different pitches of nano-scale features and different surface chemistries. Micro
and nano-patterns with similar geometrical configurations were also fabricated
for comparison. The nano-tribological behavior of the patterns was investigated
using an atomic force microscope at different relative humidity levels (5% to
80%) and applied normal loads (40 nN to 120 nN) under a constant sliding
velocity. The results showed significant enhancement in the de-wetting and
tribological performance of the hierarchical patterns compared with those of flat
and micro- and nano-patterned surfaces. The PTFE-coated hierarchical patterns
showed similar dynamic contact angles (advancing and receding) to those of the
real lotus leaf. The influence of relative humidity on adhesion and friction
behavior was found to be significant for all the tested surfaces. The
tribological performance was improved as the pitch of the nano-scale geometry of
the hierarchical pattern increased, even though the wetting property was not
influenced significantly. A model was proposed based on the role of
intermolecular force to explain the effect of the pitch of the hierarchical
patterns on the adhesion and friction behavior. According to the model based on
the molecular force, the contact between a ball and the patterned surface was a
multi-asperity contact, contrary to the single-asperity contact predicted by the
Johnson-Kendall-Roberts (JKR) and Maugis-Dugdale (MD) models. The strong
intermolecular forces, which are activated in the confined spaces between the
adjacent nano-pillars and the ball, contributed to the contact area and hence the
adhesion and friction forces.
PMID- 26549102
TI - X-ray structure and activities of an essential Mononegavirales L-protein domain.
AB - The L protein of mononegaviruses harbours all catalytic activities for genome
replication and transcription. It contains six conserved domains (CR-I to -VI;
Fig. 1a). CR-III has been linked to polymerase and polyadenylation activity, CR-V
to mRNA capping and CR-VI to cap methylation. However, how these activities are
choreographed is poorly understood. Here we present the 2.2-A X-ray structure and
activities of CR-VI+, a portion of human Metapneumovirus L consisting of CR-VI
and the poorly conserved region at its C terminus, the +domain. The CR-VI domain
has a methyltransferase fold, which besides the typical S-adenosylmethionine
binding site ((SAM)P) also contains a novel pocket ((NS)P) that can accommodate a
nucleoside. CR-VI lacks an obvious cap-binding site, and the (SAM)P-adjoining
site holding the nucleotides undergoing methylation ((SUB)P) is unusually narrow
because of the overhanging +domain. CR-VI+ sequentially methylates caps at their
2'O and N7 positions, and also displays nucleotide triphosphatase activity.
PMID- 26549104
TI - Click Chemistry Applied to the Synthesis of Salmonella Typhimurium O-Antigen
Glycoconjugate Vaccine on Solid Phase with Sugar Recycling.
AB - A solid-phase conjugation method was developed and applied to the synthesis of an
O-antigen based glycoconjugate vaccine against Salmonella Typhimurium, with
CRM197 as the carrier protein. Copper-free click chemistry was used as the
conjugation chemistry, after derivatizing the sugar and the protein components
with alkyne and azido linkers, respectively. This chemistry has the advantage of
not deactivating functional groups during the conjugation step, thereby allowing
the recycling of unreacted components. The activated carrier protein was adsorbed
to an anion exchange matrix and quantitatively conjugated to the O-antigen. The
resulting conjugate was eluted from the resin free of unconjugated sugar which
was previously removed by simple washing steps. Unreacted O-antigen was recycled
by addition to a new batch of resin-CRM197 resulting in further quantitative
protein conjugation. This process has advantages in relation to reduction of
costs for production of conjugate vaccines, allowing unreacted sugar recovery and
simplifying the purification of the glycoconjugate.
PMID- 26549106
TI - Phosphorylation of eIF2alpha Is a Translational Control Mechanism Regulating
Muscle Stem Cell Quiescence and Self-Renewal.
AB - Regeneration of adult tissues depends on somatic stem cells that remain quiescent
yet are primed to enter a differentiation program. The molecular pathways that
prevent activation of these cells are not well understood. Using mouse skeletal
muscle stem cells as a model, we show that a general repression of translation,
mediated by the phosphorylation of translation initiation factor eIF2alpha at
serine 51 (P-eIF2alpha), is required to maintain the quiescent state. Skeletal
muscle stem cells unable to phosphorylate eIF2alpha exit quiescence, activate the
myogenic program, and differentiate, but do not self-renew. P-eIF2alpha ensures
in part the robust translational silencing of accumulating mRNAs that is needed
to prevent the activation of muscle stem cells. Additionally, P-eIF2alpha
dependent translation of mRNAs regulated by upstream open reading frames (uORFs)
contributes to the molecular signature of stemness. Pharmacological inhibition of
eIF2alpha dephosphorylation enhances skeletal muscle stem cell self-renewal and
regenerative capacity.
PMID- 26549105
TI - Tissue Strain Reorganizes Collagen With a Switchlike Response That Regulates
Neuronal Extracellular Signal-Regulated Kinase Phosphorylation In Vitro:
Implications for Ligamentous Injury and Mechanotransduction.
AB - Excessive loading of ligaments can activate the neural afferents that innervate
the collagenous tissue, leading to a host of pathologies including pain. An
integrated experimental and modeling approach was used to define the responses of
neurons and the surrounding collagen fibers to the ligamentous matrix loading and
to begin to understand how macroscopic deformation is translated to neuronal
loading and signaling. A neuron-collagen construct (NCC) developed to mimic
innervation of collagenous tissue underwent tension to strains simulating
nonpainful (8%) or painful ligament loading (16%). Both neuronal phosphorylation
of extracellular signal-regulated kinase (ERK), which is related to
neuroplasticity (R2 >= 0.041; p <= 0.0171) and neuronal aspect ratio (AR) (R2 >=
0.250; p < 0.0001), were significantly correlated with tissue-level strains. As
NCC strains increased during a slowly applied loading (1%/s), a "switchlike"
fiber realignment response was detected with collagen reorganization occurring
only above a transition point of 11.3% strain. A finite-element based discrete
fiber network (DFN) model predicted that at bulk strains above the transition
point, heterogeneous fiber strains were both tensile and compressive and
increased, with strains in some fibers along the loading direction exceeding the
applied bulk strain. The transition point identified for changes in collagen
fiber realignment was consistent with the measured strain threshold (11.7% with a
95% confidence interval of 10.2-13.4%) for elevating ERK phosphorylation after
loading. As with collagen fiber realignment, the greatest degree of neuronal
reorientation toward the loading direction was observed at the NCC distraction
corresponding to painful loading. Because activation of neuronal ERK occurred
only at strains that produced evident collagen fiber realignment, findings
suggest that tissue strain-induced changes in the micromechanical environment,
especially altered local collagen fiber kinematics, may be associated with
mechanotransduction signaling in neurons.
PMID- 26549107
TI - Functional Connectivity under Optogenetic Control Allows Modeling of Human
Neuromuscular Disease.
AB - Capturing the full potential of human pluripotent stem cell (PSC)-derived neurons
in disease modeling and regenerative medicine requires analysis in complex
functional systems. Here we establish optogenetic control in human PSC-derived
spinal motorneurons and show that co-culture of these cells with human myoblast
derived skeletal muscle builds a functional all-human neuromuscular junction that
can be triggered to twitch upon light stimulation. To model neuromuscular disease
we incubated these co-cultures with IgG from myasthenia gravis patients and
active complement. Myasthenia gravis is an autoimmune disorder that selectively
targets neuromuscular junctions. We saw a reversible reduction in the amplitude
of muscle contractions, representing a surrogate marker for the characteristic
loss of muscle strength seen in this disease. The ability to recapitulate key
aspects of disease pathology and its symptomatic treatment suggests that this
neuromuscular junction assay has significant potential for modeling of
neuromuscular disease and regeneration.
PMID- 26549108
TI - Fighting cancer together: Development and implementation of shared medical
appointments to standardize and improve chemotherapy education.
AB - OBJECTIVE: Shared medical appointments offer a novel approach to improve
efficiency and quality of care consistent with the goals of the Institute of
Medicine. Our objective was to develop and implement a shared medical appointment
for gynecologic cancer patients initiating chemotherapy. METHODS: We first
assessed the level of interest in shared medical appointments among our patients
and providers through qualitative interviews. Both patients and providers
identified pre-chemotherapy as an optimal area to pilot shared medical
appointments. We subsequently created a multidisciplinary team comprised of
physicians, advanced practice providers, nurses, pharmacists, administrators,
health education specialists and members of the Quality Improvement Department to
establish a Shared Medical Appointment and Readiness Teaching (SMART) program for
all gynecologic oncology patients initiating chemotherapy with platinum- and/or
taxane-based regimens. We developed a standardized chemotherapy education
presentation and provided patients with a tool kit that consisted of chemotherapy
drug education, a guide to managing side effects, advance directives, and center
contact information. RESULTS: From May 9, 2014 to June 26, 2015, 144 patients
participated in 51 SMART visits. The majority of patients had ovarian cancer and
were treated with carboplatin/paclitaxel. Surveyed patients reported being highly
satisfied with the group visit and would recommend shared medical appointments to
other patients. CONCLUSIONS: This model of care provides patient education within
a framework of social support that empowers patients. Shared medical appointments
for oncology patients initiating chemotherapy are both feasible and well
accepted.
PMID- 26549109
TI - Smoking may modify the association between neoadjuvant chemotherapy and survival
from ovarian cancer.
AB - OBJECTIVE: Tobacco smoking by cancer patients is associated with increased
mortality. Less is known of the impact of smoking on recurrence risk and
interaction with chemotherapy treatment. We examined these associations in
ovarian cancer. METHODS: Patients were identified from the Alberta Cancer
Registry between 1978 and 2010 and were oversampled for less-common histologic
ovarian tumor types. Medical records were abstracted for 678 eligible patients on
lifestyle, medical and cancer treatment, and review of pathology slides was
performed for 605 patients. We estimated hazard ratios (HR) and 95% confidence
intervals (CI) using Cox proportional hazard models adjusted for age at
diagnosis, race, stage and residual disease. RESULTS: Among patients receiving
adjuvant chemotherapy (N=432), current smoking was significantly associated with
shorter duration of overall (OS; HR, 8.56; 95% CI, 1.50-48.7) and progression
free (PFS; HR, 5.74; 95% CI, 1.05-31.4) survival from mucinous ovarian cancer
only. There was no significant association between neoadjuvant chemotherapy and
survival. However, among patients receiving neoadjuvant chemotherapy (N=44),
current smokers had shorter PFS (HR, 4.32; 95% CI, 1.36-13.8; N=32 progressed/9
censored events) compared to never smokers, but the HRs were not statistically
different across smoking categories (P interaction=0.87). CONCLUSIONS: Adverse
associations were observed between smoking status and OS or PFS among patients
with mucinous ovarian cancer receiving adjuvant chemotherapy. No significant
effect was found from neoadjuvant chemotherapy on PFS overall; however, smoking
may modify this association. Although needing replication, these findings suggest
that patients may benefit from smoking cessation interventions prior to treatment
with chemotherapy.
PMID- 26549111
TI - Developing Students as Future Researchers Using QSEN Competencies as a Framework.
AB - PURPOSE: This article discusses the development of students as future researchers
within the context of carrying out an R-15-funded research project, and
demonstrates the application of selected competencies from the Quality and Safety
Education for Nursing project as a project framework. Operationally, the project
had two parallel tracks; the first track was the research project itself, and the
second track was the development of researchers through carrying out the research
project. OBJECTIVES OF THE PROJECT: The objectives of the research project were
to (a) translate project documents into Spanish, (b) test the acceptability of
the intervention in a Hispanic population along the Unites States-Mexico border,
and (c) assess the feasibility of conducting a trial of the intervention in the
same population. METHOD: Development of future researchers was guided by selected
pre-licensure Quality and Safety Education for Nursing competencies, which
created a transparent link among research, education, and practice. CONCLUSION:
This framework is extremely useful for educators and research mentors who have
the opportunity to mentor and develop students as researchers. Monsivais
Robinson.
PMID- 26549110
TI - TIMP-1 mediates TGF-beta-dependent crosstalk between hepatic stellate and cancer
cells via FAK signaling.
AB - Transforming growth factor-beta (TGF-beta) signaling plays a key role in
progression and metastasis of HCC. This study was undertaken to gain the proof of
concept of a small-molecule inhibitor of TGF-beta type I receptor kinase, EW-7197
as a potent anti-cancer therapy for HCC. We identified tissue inhibitors of
metalloproteinases-1 (TIMP-1) as one of the secreted proteins of hepatic stellate
cells (HSCs) and a key mediator of TGF-beta-mediated crosstalk between HSCs and
HCC cells. TGF-beta signaling led to increased expression of TIMP-1, which
activates focal adhesion kinase (FAK) signaling via its interaction with CD63.
Inhibition of TGF-beta signaling using EW-7197 significantly attenuated the
progression and intrahepatic metastasis of HCC in an SK-HEP1-Luc orthotopic
xenograft mouse model. In addition, EW-7197 inhibited TGF-beta-stimulated TIMP-1
secretion by HSCs as well as the TIMP-1-induced proliferation, motility, and
survival of HCC cells. Further, EW-7197 interrupted TGF-beta-mediated epithelial
to-mesenchymal transition and Akt signaling, leading to significant reductions in
the motility and anchorage-independent growth of HCC cells. In conclusion, we
found that TIMP-1 mediates TGF-beta-regulated crosstalk between HSCs and HCC
cells via FAK signaling. In addition, EW-7197 demonstrates potent in vivo anti
cancer therapeutic activity and may be a potential new anti-cancer drug of choice
to treat patients with liver cancer.
PMID- 26549112
TI - Erratum to: Mass spectrometric analysis of products of metabolic glycan
engineering with azido-modification of sialic acids.
PMID- 26549113
TI - Erratum to: Intact cell mass spectrometry as a rapid and specific tool for the
differentiation of toxic effects in cell-based ecotoxicological test systems.
PMID- 26549114
TI - Ethyl glucuronide concentrations in hair: a controlled alcohol-dosing study in
healthy volunteers.
AB - Ethyl glucuronide (EtG) is a minor phase II metabolite of alcohol that
accumulates in hair. It has been established as a sensitive marker to assess the
retrospective consumption of alcohol over recent months using a cut-off of >=7
pg/mg hair to assess repeated alcohol consumption. The primary aim was to assess
whether amounts of alcohol consumed correlated with EtG concentrations in hair.
Additionally, we investigated whether the current applied cut-off value of 7
pg/mg hair was adequate to assess the regular consumption of low-to-moderate
amounts of alcohol. A prospective controlled alcohol-dosing study in 30 healthy
individuals matched on age and gender. Individuals were instructed to drink no
alcohol (N = 10), 100 g alcohol per week (N = 10) or 150 g alcohol per week (N =
10) for 12 consecutive weeks, before and after which hair was collected.
Throughout the study, compliance to daily alcohol consumption was assessed by
analyzing urine EtG three times weekly. Participants in the non-drinking group
had median EtG concentrations of 0.5 pg/mg hair (interquartile range (IQR) 1.7
pg/mg; range < 0.21-4.5 pg/mg). Participants consuming 100 and 150 g alcohol per
week showed median EtG concentrations of 5.6 pg/mg hair (IQR 4.7 pg/mg; range 2.0
9.8 pg/mg) and 11.3 pg/mg hair (IQR 5.0 pg/mg; range 7.7-38.9 pg/mg),
respectively. Hair EtG concentrations between the three study groups differed
significantly from one another (p < 0.001). Hair EtG concentrations can be used
to differentiate between repeated (low-to-moderate) amounts of alcohol consumed
over a long time period. For the assessment of repeated alcohol use, we propose
that the current cut-off of 7 pg/mg could be re-evaluated.
PMID- 26549115
TI - An in-depth evaluation of accuracy and precision in Hg isotopic analysis via
pneumatic nebulization and cold vapor generation multi-collector ICP-mass
spectrometry.
AB - Mercury (Hg) isotopic analysis via multi-collector inductively coupled plasma
(ICP)-mass spectrometry (MC-ICP-MS) can provide relevant biogeochemical
information by revealing sources, pathways, and sinks of this highly toxic metal.
In this work, the capabilities and limitations of two different sample
introduction systems, based on pneumatic nebulization (PN) and cold vapor
generation (CVG), respectively, were evaluated in the context of Hg isotopic
analysis via MC-ICP-MS. The effect of (i) instrument settings and acquisition
parameters, (ii) concentration of analyte element (Hg), and internal standard
(Tl)-used for mass discrimination correction purposes-and (iii) different mass
bias correction approaches on the accuracy and precision of Hg isotope ratio
results was evaluated. The extent and stability of mass bias were assessed in a
long-term study (18 months, n = 250), demonstrating a precision <=0.006% relative
standard deviation (RSD). CVG-MC-ICP-MS showed an approximately 20-fold
enhancement in Hg signal intensity compared with PN-MC-ICP-MS. For CVG-MC-ICP-MS,
the mass bias induced by instrumental mass discrimination was accurately
corrected for by using either external correction in a sample-standard bracketing
approach (SSB) or double correction, consisting of the use of Tl as internal
standard in a revised version of the Russell law (Baxter approach), followed by
SSB. Concomitant matrix elements did not affect CVG-ICP-MS results. Neither with
PN, nor with CVG, any evidence for mass-independent discrimination effects in the
instrument was observed within the experimental precision obtained. CVG-MC-ICP-MS
was finally used for Hg isotopic analysis of reference materials (RMs) of
relevant environmental origin. The isotopic composition of Hg in RMs of marine
biological origin testified of mass-independent fractionation that affected the
odd-numbered Hg isotopes. While older RMs were used for validation purposes,
novel Hg isotopic data are provided for the latest generations of some biological
RMs.
PMID- 26549116
TI - Affinity interactions of human immunoglobulin G with short peptides: role of
ligand spacer on binding, kinetics, and mass transfer.
AB - The interaction affinity between human IgG and a short peptide ligand (hexameric
HWRGWV) was investigated by following the shifts in frequency and energy
dissipation in a quartz crystal microbalance (QCM). HWRGWV was immobilized by
means of poly(ethylene glycol) tethered on QCM sensors coated with silicon oxide,
which enhanced the accessibility of the peptide to hIgG and also passivated the
surface. Ellipsometry and ToF-SIMS were employed for surface characterization.
The peptide ligand density was optimized to 0.88 chains nm(-2), which enabled the
interaction of each hIgG molecule with at least one ligand. The maximum binding
capacity was found to be 4.6 mg m(-2), corresponding to a monolayer of hIgG,
similar to the values for chromatographic resins. Dissociation constants were
lower than those obtained from resins, possibly due to overestimation of bound
mass by QCM. Equilibrium thermodynamic and kinetic parameters were determined,
shedding light on interfacial effects important for detection and bioseparation.
Graphical Abstract The interaction affinity between human IgG and a short peptide
ligand was investigated by using quartz crystal microgravimetry, ellipsometry and
ToF-SIMS. Equilibrium thermodynamic and kinetics parameters were determined,
shedding light on interfacial effects important for detection and bioseparation.
PMID- 26549117
TI - Fluorescence-suppressed time-resolved Raman spectroscopy of pharmaceuticals using
complementary metal-oxide semiconductor (CMOS) single-photon avalanche diode
(SPAD) detector.
AB - In this work, we utilize a short-wavelength, 532-nm picosecond pulsed laser
coupled with a time-gated complementary metal-oxide semiconductor (CMOS) single
photon avalanche diode (SPAD) detector to acquire Raman spectra of several drugs
of interest. With this approach, we are able to reveal previously unseen Raman
features and suppress the fluorescence background of these drugs. Compared to
traditional Raman setups, the present time-resolved technique has two major
improvements. First, it is possible to overcome the strong fluorescence
background that usually interferes with the much weaker Raman spectra. Second,
using the high photon energy excitation light source, we are able to generate a
stronger Raman signal compared to traditional instruments. In addition,
observations in the time domain can be performed, thus enabling new capabilities
in the field of Raman and fluorescence spectroscopy. With this system, we
demonstrate for the first time the possibility of recording fluorescence
suppressed Raman spectra of solid, amorphous and crystalline, and non
photoluminescent and photoluminescent drugs such as caffeine, ranitidine
hydrochloride, and indomethacin (amorphous and crystalline forms). The raw data
acquired by utilizing only the picosecond pulsed laser and a CMOS SPAD detector
could be used for identifying the compounds directly without any data processing.
Moreover, to validate the accuracy of this time-resolved technique, we present
density functional theory (DFT) calculations for a widely used gastric acid
inhibitor, ranitidine hydrochloride. The obtained time-resolved Raman peaks were
identified based on the calculations and existing literature. Raman spectra using
non-time-resolved setups with continuous-wave 785- and 532-nm excitation lasers
were used as reference data. Overall, this demonstration of time-resolved Raman
and fluorescence measurements with a CMOS SPAD detector shows promise in diverse
areas, including fundamental chemical research, the pharmaceutical setting,
process analytical technology (PAT), and the life sciences.
PMID- 26549119
TI - A critical look at persistent problems in the diagnosis, staging and treatment of
temporal bone carcinoma.
AB - Temporal bone squamous cell carcinoma (TBSCC) is an uncommon malignancy with a
distinctly poor prognosis in advanced cases. There is still much controversy
surrounding the rational diagnostic/therapeutic approach to TBSCC. Diagnostic
differences are due mainly to: the small number of cases reported (even in the
largest available series); the inappropriate histological heterogeneity of
several case series; the lack of an internationally-accepted staging system for
TBSCC; the frequent absence of adequate radiological imaging to enable a
malignancy's local, regional and distant extension to be studied in detail; and a
non-standardized approach to final histological assessment of the surgical
margins. As for the therapeutic approaches, several issues are still debated,
including the choice between en bloc and piecemeal primary surgery for the
tumor's removal, and the role of elective neck dissection. Although radiotherapy
seems to be an effective adjuvant therapy in advanced cases, its role in low
stage tumors or as a primary treatment has yet to be established. The value of
chemotherapy is also still unclear. The treatment strategy for TBSCC is often
based on the combined experience of a given surgeon and institution, bearing the
results reportedly achieved by other oncology centers in mind. To date, the
optimal management of TBSCC is still elusive. We aimed to critically review the
ongoing crucial issues concerning the management of TBSCC, analyzing how it is
diagnosed, staged and treated, the management of recurrences, rational follow-up
schedules, and prognostic factors for this disease.
PMID- 26549118
TI - Increased Delay Discounting on a Novel Real-Time Task among Girls, but not Boys,
with ADHD.
AB - The aim of this study was to examine delay discounting in girls and boys with
ADHD-Combined type (ADHD-C) relative to typically developing (TD) children on two
tasks that differ in the extent to which the rewards and delays were experienced
by participants. Children ages 8-12 years with ADHD-C (n=65; 19 girls) and TD
controls (n=55; 15 girls) completed two delay discounting tasks involving a
series of choices between smaller, immediate and larger, delayed rewards. The
classic delay discounting task involved choices about money at delays of 1-90
days and only some of the outcomes were actually experienced by the participants.
The novel real-time discounting task involved choices about an immediately
consumable reward (playing a preferred game) at delays of 25-100 s, all of which
were actually experienced by participants. Participants also provided subjective
ratings of how much they liked playing the game and waiting to play. Girls with
ADHD-C displayed greater delay discounting compared to boys with ADHD-C and TD
girls and boys on the real-time discounting task. Diagnostic group differences
were not evident on the classic discounting task. In addition, children with ADHD
C reported wanting to play the game more and liking waiting to play the game less
than TD children. This novel demonstration of greater delay discounting among
girls with ADHD-C on a discounting task in which the rewards are immediately
consumable and the delays are experienced in real-time informs our understanding
of sex differences and motivational processes in children with ADHD. (JINS, 2016,
22, 12-23).
PMID- 26549120
TI - Potential of lactic acid bacteria at regulating Escherichia coli infection and
inflammation of bovine endometrium.
AB - About 40% of dairy cattle develop uterine disease during postpartum period,
causing infertility. Some studies indicate that uterine infection, predominantly
by Escherichia coli in the first week postpartum, is associated with metritis, an
uterus inflammation in which the cow fails to completely clear bacterial
contaminants. The aim of this study was to evaluate the potential of four lactic
acid bacteria (LAB) (Lactobacillus rhamnosus, Pediococcus acidilactici,
Lactobacillus reuteri, and Lactobacillus sakei) to modulate the E coli infection
and inflammation in endometrial cells. Primary endometrial epithelial cells were
isolated from fresh endometrium of a healthy cow and cultured in vitro to
evaluate the effects of LAB at three different doses. Cell extracts were obtained
to analyze the expression of proinflammatory cytokines and to quantify E coli
infection on MacConkey agar plates. L sakei and L reuteri showed a positive
effect preventing E coli infection (87% and 78%, respectively, P < 0.001);
however, they were also associated to a dose-variable effect on tissular
inflammation that could further exacerbate the proinflammatory status. Infection
of E coli was clearly reduced (P < 0.001) up to an 83% with P acidilactici,
whereas, the expression of proinflammatory cytokines IL-8 and IL-1beta dropped
significantly (P < 0.001) up to 85.11 and 5.24 folds, respectively, in the
presence of L rhamnosus. In conclusion, these results demonstrate a clear
potential of some LAB in the modulation of endometrial infection and inflammation
in cattle.
PMID- 26549121
TI - Effect of freezing rate for cryopreservation of Persian sturgeon (Acipenser
persicus) spermatozoa.
AB - This study examined the effect of freezing rate (-10 degrees C, -15 degrees C,
20 degrees C, -30 degrees C, and -40 degrees C/min) on motility parameters,
rates of fertilization and hatching, ATP content, and indices of oxidative stress
including thiobarbituric acid reactive substances and carbonyl derivatives of
proteins in Persian sturgeon (Acipenser persicus) sperm. After sampling, sperm
was diluted in an extender composed of 23.4-mM sucrose, 0.25-mM KCl, and 30-mM
Tris-HCl, pH 8.0, containing 10% methanol and subsequently frozen in a
programmable freezer. For postthaw sperm that were frozen at a rate of -40
degrees C/min, sperm motile duration (134 +/- 27.01 seconds), sperm motile
percent (60 +/- 4.1%), fertilizability (72 +/- 8.36% for fertilization rate and
65 +/- 7.58% for hatching rate), and ATP content (4.8 +/- 0.57 nmol/10(8) sperm)
were significantly higher than for sperm frozen at any of the four slower rates
(P < 0.05). Moreover, sperm cryopreserved using the fastest freezing rate had
significantly lower levels of thiobarbituric acid reactive substances (0.5 +/-
0.05 nmol/10(8) sperm) and carbonyl derivatives of proteins (41.3 +/- 4.9
nmol/10(8) sperm) than sperm cryopreserved using all other freezing rates (P <
0.05). In addition, there is a significant difference (P < 0.05) between fresh
sperm and the recovery of cryopreserved Persian sturgeon sperm using programmable
freezing with -40 degrees C/min being the optimal freezing rate among those
tested.
PMID- 26549122
TI - Ultrasonographic examination reduces the percentage of unsuccessful inseminations
in dairy cows.
AB - The objective of this study was to investigate whether confirmation of
suitability for service by traditional methods, observation and transrectal
palpation, is improved in cattle by additional ultrasonographic examination of
the uterus and ovaries. The data from 600 lactating cows from Southern Germany
submitted for the first service and alternately assigned to manual (group M, n =
301) and manual and sonographic examination (group S, n = 299) were evaluated.
Cows of group M with a large, soft follicle with an estimated diameter of 12.0 to
22.5 mm, without a palpable CL (>10 mm), and with a contractile uterus without
palpable content were considered suitable for service and inseminated. Cows of
group S with a soft follicle measuring 12.0 to 22.5 mm, without a CL (>10 mm),
and with a contractile uterus and no echoic content were inseminated. Pregnancy
was determined by ultrasonography at 28 to 35 days after the first service. In
group S, 10.9% fewer cows (P = 0.003) were considered suitable for service than
in group M. The main reason (29.6%) for exclusion from service in group S was the
presence of a CL greater than 10 mm in addition to a preovulatory follicle (12.0
22.5 mm), which was diagnosed less frequently in group M (4.5%; P < 0.0001). The
first-service conception rate tended to be higher in group S than in group M
(62.7% vs. 54.9%; P = 0.10), and the percentage of open cows, based on all cows
submitted for service, was higher in group M than in group S (35.2% vs. 25.1%; P
= 0.007). We concluded that sonographic examination of cows submitted for service
facilitates the exclusion from service of proestrous and diestrous cows and
reduces the number of pointless services.
PMID- 26549123
TI - Dietary n-3 PUFAs improve fresh and post-thaw semen quality in Holstein bulls via
alteration of sperm fatty acid composition.
AB - The goal of this study was to investigate the effect of fish oil-supplemented
diet on fresh and post-thaw semen quality and sperm lipid composition in bulls.
Bulls were randomly assigned to two groups (n = 6). Six bulls were used as the
control group and six received the fish oil (1.2% dry matter of total diet) for
11 weeks. Semen was individually collected from each bull and frozen biweekly.
Semen volume, sperm concentration, viability, progressive motility, and fatty
acid profile of sperm were measured in 1st, 3rd, 5th, 7th, 9th, and 11th week of
experiment. Viability, progressive motility, and fatty acid profile of post-thaw
sperm were also measured in 3rd, 5th, 9th, and 11th week of experiment. Data were
analyzed with using Proc GLM or MIXED (for repeated measurement data) in SAS
program. The fish oil-supplemented diet increased the semen volume and sperm
concentration. The fish oil-supplemented diet also altered the viability,
progressive motility, and fatty acid profile of fresh and post-thaw sperm. In
conclusion, feeding a fish oil-enriched diet via alteration of fatty acid profile
of sperm lipid could improve in vitro quality of fresh and post-thaw sperm in
Holstein bulls.
PMID- 26549124
TI - Polo-like kinase 4 regulates spindle and actin assembly in meiosis and influence
of early embryonic development in bovine oocytes.
AB - PLK4, a polo-like kinase (PLK) family member that accumulates in the cytoplasm,
has been identified as a crucial regulator of centriole formation. PLK4 also
controls several essential cellular functions, including cytokinesis and gene
expression. In this study, we investigated the expression and function of PLK4
during bovine oocyte meiotic maturation and subsequent embryo development. The
PLK4 mRNA was detected in bovine oocytes at all developmental stages during
meiotic maturation. Immunofluorescence staining showed that PLK4 protein
exhibited a dynamic localization pattern in the oocyte cytoplasm during meiotic
maturation, and fluorescence immunostaining markedly increased in metaphase II.
When an interfering double-stranded RNA targeting PLK4 was injected into germinal
vesicle-stage oocytes, PLK4 transcript levels decreased significantly in
metaphase II oocytes (P < 0.05). The PLK4 knockdown caused spindle defects and
chromosome misalignment and considerably reduced the amount of cortical and
cytoplasmic actin. PLK4 was localized in the cytoplasm of early embryos, and PLK4
knockdown in germinal vesicle-stage oocytes led to failure in the early
development of in vitro fertilized embryos (P < 0.05). Taken together, these
results indicated that PLK4 plays crucial roles in bovine oocyte meiotic
maturation and subsequent early embryo development.
PMID- 26549125
TI - Factors affecting the size of ovulatory follicles and conception rate in high
yielding dairy cows.
AB - Two studies were designed to determine (1) the effects of Heatsynch and Ovsynch
protocols versus spontaneous ovulation and (2) the effects of calving problems,
clinical uterine infections, and clinical mastitis on the size of the ovulatory
follicle, conception rate, and embryonic/fetal (E/F) death in high-yielding dairy
cows. In study 1, cows without the history of calving problems, clinical uterine
infections, and clinical mastitis were randomly allocated to either an Ovsynch (n
= 45) or Heatsynch (n = 39) ovulation synchronization protocol or spontaneous
ovulation (n = 43) groups. Blood samples were collected on the day of artificial
insemination (AI) to measure progesterone (P4), estradiol-17beta, and insulin
like growth factor 1 (IGF-1) and 7 days later to measure P4. Study 2 consisted of
cows (n = 351) with or without the history of calving problems, clinical uterine
infections, and clinical mastitis which were artificially inseminated after a 55
day voluntary waiting period. Transrectal ultrasonography was performed at the
time of AI to measure the ovulatory follicle size and on Days 30 and 68 after AI
to diagnose pregnancy in both studies. In study 1, the mean (+/-standard error of
the mean) diameter of the ovulatory follicle was greater (P = 0.0005) and E/F
mortality was lower (P = 0.007) for the spontaneous ovulation group compared with
Ovsynch and Heatsynch groups. Serum concentration of P4 on Day 7 after AI was
correlated with the size of the ovulatory follicle (P = 0.007). Conception rate
at Days 30 and 68 was not significantly different between the three experimental
groups in study 1. Cows with serum IGF-1 concentrations greater than 55 ng/mL at
AI had significantly higher Day 68 conception rate (50% vs. 24%) and lower E/F
death (16.6% vs. 40%) compared to cows with serum IGF-1 concentrations lower than
56 ng/mL at AI. The conception rate on Days 30 and 68 for follicles of 10 to 14
mm in diameter (34% and 21.8%) was significantly lower than follicles of 14.1 to
19 mm in diameter (60% and 50%), respectively (P < 0.05). In study 2, the
ovulatory follicle in cows with clinical uterine infections was smaller than that
in cows without clinical uterine infections (16.4 vs. 17.1 mm; P = 0.04). In
conclusion, the size of the ovulatory follicle is affected by ovulation
synchronizing protocols and postpartum clinical uterine infections. In addition,
cows with higher serum IGF-1 concentrations on the day of AI had higher Day 68
conception rate and lower E/F death.
PMID- 26549126
TI - Urocortin 1 expression and secretion by human umbilical vein endothelial cells:
In vitro effects of interleukin 8, interferon gamma, lipopolysaccharide,
endothelin 1, prostaglandin F-2alpha, estradiol, progesterone and dexamethasone.
AB - Urocortin 1 (Ucn1) is a 40-amino-acid peptide that has vasodilatory activity and
displays immunomodulatory and antioxidant properties. Maternal and cord plasma
Ucn1 levels are increased in preeclampsia and preterm labor, but the mechanisms
of such increase are poorly known. Thus, we investigated Ucn1 localization in
human umbilical cord and assessed some potential stimuli to Ucn1 release by human
umbilical vein endothelial cells (HUVEC). Human umbilical cords were obtained at
uncomplicated term pregnancy (n=11). Ucn1 localization was assessed by
immunohistochemistry and quantified. HUVEC were grown in vitro to confluence,
then incubated with serial concentrations of interleukin (IL)-8, interferon (INF)
gamma, lipopolysaccharide (LPS), endothelin (ET)-1, prostaglandin (PG)F-2alpha,
estradiol, progesterone and dexamethasone and Ucn1 concentrations were measured
in the supernatants. Ucn1 was immunolocalized with similar intensity in umbilical
cord arteries, vein and Wharton's jelly. Ucn1 mRNA was detected in all HUVEC
cultures and Ucn1 peptide was detectable in culture medium from untreated cells
at different time points. Incubation with IFN-gamma increased Ucn1 secretion in a
dose-dependent manner. Treatments with IL-8, LPS, ET-1 and dexamethasone were
able to increase three to fourfold Ucn1 release from cultured endothelial cells.
In conclusion, umbilical vessels express Ucn1 and may be a contributive source of
Ucn1 release into fetal-placental circulation. IL-8, IFN-gamma, LPS, ET-1 and
dexamethasone promote Ucn1 secretion from cultured HUVEC.
PMID- 26549127
TI - Diet-delivered RNAi in Helicoverpa armigera--Progresses and challenges.
AB - Helicoverpa armigera (the cotton bollworm) is a significant agricultural pest
endemic to Afro-Eurasia and Oceania. Gene suppression via RNA interference (RNAi)
presents a potential avenue for management of the pest, which is highly resistant
to traditional insecticide sprays. This article reviews current understanding on
the fate of ingested double-stranded RNA in H. armigera. Existing in vivo studies
on diet-delivered RNAi and their effects are summarized and followed by a
discussion on the factors and hurdles affecting the efficacy of diet-delivered
RNAi in H. armigera.
PMID- 26549128
TI - High duty cycle pulses suppress orientation flights of crambid moths.
AB - Bat-and-moth is a good model system for understanding predator-prey interactions
resulting from interspecific coevolution. Night-flying insects have been under
predation pressure from echolocating bats for 65Myr, pressuring vulnerable moths
to evolve ultrasound detection and evasive maneuvers as counter tactics. Past
studies of defensive behaviors against attacking bats have been biased toward
noctuoid moth responses to short duration pulses of low-duty-cycle (LDC) bat
calls. Depending on the region, however, moths have been exposed to predation
pressure from high-duty-cycle (HDC) bats as well. Here, we reveal that long
duration pulse of the sympatric HDC bat (e.g., greater horseshoe bat) is easily
detected by the auditory nerve of Japanese crambid moths (yellow peach moth and
Asian corn borer) and suppress both mate-finding flights of virgin males and host
finding flights of mated females. The hearing sensitivities for the duration of
pulse stimuli significantly dropped non-linearly in both the two moth species as
the pulse duration shortened. These hearing properties support the energy
integrator model; however, the threshold reduction per doubling the duration has
slightly larger than those of other moth species hitherto reported. And also,
Asian corn borer showed a lower auditory sensitivity and a lower flight
suppression to short duration pulse than yellow peach moth did. Therefore, flight
disruption of moth might be more frequently achieved by the pulse structure of
HDC calls. The combination of long pulses and inter-pulse intervals, which moths
can readily continue detecting, will be useful for repelling moth pests.
PMID- 26549129
TI - Sex-pairing pheromone of Ancistrotermes dimorphus (Isoptera: Macrotermitinae).
AB - Ancistrotermes dimorphus is a common Macrotermitinae representative, facultative
inquiline by its life-style, occurring in South-East China. Sex pheromone is used
for couple formation and maintenance, and it is produced by and released from the
female sternal gland and is highly attractive to males. Based on our combined
behavioral, chemical and electrophysiological analyses, we identified (3Z,6Z)
dodeca-3,6-dien-1-ol as the female sex pheromone of A. dimorphus as it evoked the
tandem behavior at short distance, and the active quantities ranged from 0.01ng
to 10ng. Interestingly, GC-MS analyses of SPME extracts showed another compound
specific to the female sternal gland, (3Z)-dodec-3-en-1-ol, which showed a clear
GC-EAD response. However, this compound has no behavioral function in natural
concentrations (0.1ng), while higher amounts (1ng) inhibit the attraction
achieved by (3Z,6Z)-dodeca-3,6-dien-1-ol. The function of (3Z)-dodec-3-en-1-ol is
not fully understood, but might be linked to recognition from sympatric species
using the same major compound, enhancing the long-distance attraction, or
informing about presence of other colonies using the compound as a trail
following pheromone. The sternal gland secretion of Ancistrotermes females
contains additional candidate compounds, namely (3E,6Z)-dodeca-3,6-dien-1-ol and
(6Z)-dodec-6-en-1-ol, which are not perceived by males' antennae in biologically
relevant amounts.
PMID- 26549130
TI - Transcriptional and posttranscriptional regulation of cyanobacterial
photosynthesis.
AB - Cyanobacteria are well established model organisms for the study of oxygenic
photosynthesis, nitrogen metabolism, toxin biosynthesis, and salt acclimation.
However, in comparison to other model bacteria little is known about regulatory
networks, which allow cyanobacteria to acclimate to changing environmental
conditions. The current work has begun to illuminate how transcription factors
modulate expression of different photosynthetic regulons. During the past few
years, the research on other regulatory principles like RNA-based regulation
showed the importance of non-protein regulators for bacterial lifestyle.
Investigations on modulation of photosynthetic components should elucidate the
contributions of all factors within the context of a larger regulatory network.
Here, we focus on regulation of photosynthetic processes including
transcriptional and posttranscriptional mechanisms, citing examples from a
limited number of cyanobacterial species. Though, the general idea holds true for
most species, important differences exist between various organisms, illustrating
diversity of acclimation strategies in the very heterogeneous cyanobacterial
clade. This article is part of a Special Issue entitled Organization and dynamics
of bioenergetic systems in bacteria, edited by Prof Conrad Mullineaux.
PMID- 26549131
TI - Comparison of distortion product otoacoustic emissions and pure tone audiometry
in occupational screening for auditory deficit due to noise exposure.
AB - OBJECTIVE: To examine whether distortion product otoacoustic emissions can serve
as a replacement for pure tone audiometry in longitudinal screening for
occupational noise exposure related auditory deficit. METHODS: A retrospective
review was conducted of pure tone audiometry and distortion product otoacoustic
emission data obtained sequentially during mandatory screening of brickyard
workers (n = 16). Individual pure tone audiometry thresholds were compared with
distortion product otoacoustic emission amplitudes, and a correlation of these
measurements was conducted. RESULTS: Pure tone audiometry threshold elevation was
identified in 13 out of 16 workers. When distortion product otoacoustic emission
amplitudes were compared with pure tone audiometry thresholds at matched
frequencies, no evidence of a robust relationship was apparent. Seven out of 16
workers had substantial distortion product otoacoustic emissions with elevated
pure tone audiometry thresholds. CONCLUSION: No clinically relevant predictive
relationship between distortion product otoacoustic emission amplitude and pure
tone audiometry threshold was apparent. These results do not support the
replacement of pure tone audiometry with distortion product otoacoustic emissions
in screening. Distortion product otoacoustic emissions at frequencies associated
with elevated pure tone audiometry thresholds are evidence of intact outer hair
cell function, suggesting that sites distinct from these contribute to auditory
deficit following ototrauma.
PMID- 26549133
TI - Inhibition of the expression of aquaporin-1 by RNA interference in pulmonary
epithelial cells and its effects on water transport.
AB - In the present study, the effect of aquaporin-1 (AQP1) on fluid transportation in
pulmonary epithelial cells, and the role of AQP1 in alveolar fluid clearance were
investigated to provide an experimental foundation to elucidate the pathogenesis
of hyperoxic lung edema. An siRNA transfection technique was used to silence AQP1
in the A549 cell line. The transfected cells were randomized into a hyperoxia
exposure and an air control group, with a negative control group set for each
group. Cell volume was determined using flow cytometry, and Pf values were used
to determine osmotic water permeability. Cell volume was found to be reduced in
the AQP1-silenced A549 cells, compared with the negative control group 72 h
following air exposure. In addition, cell volume was reduced in the AQP1-silenced
A549 cells, compared with the negative control group 48 and 72 h following
hyperoxia exposure. The osmotic water permeability of the AQP1-silenced cells was
reduced in the air control and hyperoxia exposure groups, compared with the
negative control group 48 and 72 h following exposure. The volume and cell
membrane osmotic water permeability of the A549 cells were reduced, compared with
those in the control group following AQP1-silencing, which indicated that the
downregulation of AQP1 impedes extracellular to intracellular fluid
transportation. Therefore, the disturbance in alveolar fluid clearance resulting
from the downregulation of AQP1 following hyperoxia exposure may be one of the
key mechanisms responsible for hyperoxic lung edema.
PMID- 26549132
TI - Racism in the form of micro aggressions and the risk of preterm birth among black
women.
AB - PURPOSE: This study sought to examine whether perceived interpersonal racism in
the form of racial micro aggressions was associated with preterm birth (PTB) and
whether the presence of depressive symptoms and perceived stress modified the
association. METHODS: Data stem from a cohort of 1410 black women residing in
Metropolitan Detroit, Michigan, enrolled into the Life-course Influences on Fetal
Environments (LIFE) study. The Daily Life Experiences of Racism and Bother (DLE
B) scale measured the frequency and perceived stressfulness of racial micro
aggressions experienced during the past year. Severe past-week depressive
symptomatology was measured by the Centers for Epidemiologic Studies-Depression
scale (CES-D) dichotomized at >= 23. Restricted cubic splines were used to model
nonlinearity between perceived racism and PTB. We used the Perceived Stress Scale
to assess general stress perceptions. RESULTS: Stratified spline regression
analysis demonstrated that among those with severe depressive symptoms, perceived
racism was not associated with PTB. However, perceived racism was significantly
associated with PTB among women with mild to moderate (CES-D score <= 22)
depressive symptoms. Perceived racism was not associated with PTB among women
with or without high amounts of perceived stress. CONCLUSIONS: Our findings
suggest that racism, at least in the form of racial micro aggressions, may not
further impact a group already at high risk for PTB (those with severe depressive
symptoms), but may increase the risk of PTB for women at lower baseline risk.
PMID- 26549135
TI - Cauda equina syndrome after vertebroplasty.
PMID- 26549134
TI - Infraorbital and infratrochlear nerve blocks combined with general anaesthesia
for outpatient rhinoseptoplasty: A prospective randomised, double-blind, placebo
controlled study.
AB - INTRODUCTION: We conducted a study to determine the efficacy of bilateral
extraoral infraorbital and infratrochlear nerve blocks during outpatient
rhinoseptoplasty under general anaesthesia. PATIENTS AND METHODS: In this
prospective, double-blind, randomised, controlled trial, 40 adult patients
undergoing outpatient rhinoseptoplasty under general anaesthesia were assigned to
receive bilateral infraorbital and infratrochlear nerve blocks with either 10mL
of 0.25% levobupivacaine (Group LB) or isotonic saline (control group). Patients
in Group LB received 0.1mL/kg of isotonic saline as a placebo and patients in the
control group received 0.1mL/kg of morphine. The primary endpoint was total
perioperative morphine consumption (intraoperative and in the post-anaesthesia
care unit). The secondary endpoints were pain scores, time spent in the post
anaesthesia care unit and the outpatient ward, block-related complications and
patient satisfaction. RESULTS: The total dose of perioperative morphine was lower
in Group LB than in the control group (2.5+/-2.8mg versus 9.5+/-3.5mg,
respectively, P<0.001). The mean+/-SD or median [IQR] times spent in the post
anaesthesia care unit (60+/-10min and 78+/-33min, respectively, P<0.03) and in
the outpatient ward (210 [178-223] min versus 275 [250-300] min, respectively,
P<0.001) were lower in Group LB than in the control group. There were no
differences between groups for other endpoints. CONCLUSION: Bilateral extraoral
infraorbital and infratrochlear nerve blocks performed with 0.25% levobupivacaine
during general anaesthesia combining remifentanil and desflurane reduce the
perioperative dose of morphine and the time spent in the post-anaesthesia care
unit and the outpatient ward in adult patients undergoing outpatient
rhinoseptoplasty.
PMID- 26549136
TI - Erdheim-Chester disease in thoracic spine: a rare case of compression fracture.
PMID- 26549137
TI - [Multimodal imaging of angle closure secondary to spherophakia in Weill
Marchesani syndrome].
PMID- 26549138
TI - [Retinal perforation by a hard silicone buckle 20 years after retinal detachment
surgery with an encircling buckle].
PMID- 26549139
TI - Idiopathic central retinal artery occlusion in an eight-year-old girl.
PMID- 26549140
TI - [Aseptic serous cellulitis of the right hemiface following a retrobulbar alcohol
injection].
PMID- 26549141
TI - [Safety of the automated microkeratome for Sub-Bowman's Keratomileusis on the
flat cornea].
AB - INTRODUCTION: Use of the One Use-Plus SBK (Sub-Bowman's Keratomileusis) automated
microkeratome (Moria, Antony, France) has been shown to be safe, predictable and
comfortable for the creation of thin corneal flaps with a smooth and regular
stromal bed. PURPOSE: To evaluate the use of the Moria One Use-Plus SBK (Moria,
Antony, France) automated microkeratome on corneas with 180 degrees -keratometry
under 40 diopters (D). METHODS: We retrospectively studied cases that underwent
SBK whose 180 degrees -keratometry was under 40 D. We separated the cases between
39 and 40 D and those less than 39 D. The preoperative data, the procedure and
the postoperative outcomes were analyzed. The Moria One Use-Plus SBK
microkeratome with the 90-micron head was used for all cases. RESULTS: Among the
2883 eyes that underwent SBK LASIK over the past six years, 80 eyes (2.77%) had a
preoperative topographical 180 degrees -keratometry of less than 40 D: 63 eyes
(2.19%) between 39 and 40 D and 17 eyes (0.59%) between 38 and 39 D (38.13-38.97
D). The spherical equivalent was between -3.50 D (-2.25 sph -2.50 cyl. at 175
degrees ) and+6.00 D (+5.50 sph +1.00 cyl. at 85 degrees ). Fifty-eight eyes
(72.5%) had hyperopia and/or hyperopic astigmatism; 18 eyes (22.5%) had mixed
astigmatism; and 4 eyes (5%) had myopia and/or myopic astigmatism. Twenty-six
eyes (32%) had a history of strabismus. Thirteen patients (27.66%) had a history
of amblyopia. The "-1" ring was used in all cases, with a 7.5 stop (14%) or 8
stop (86%). The procedure went uneventfully in all cases with a stable vacuum
between 117 and 123 mm Hg. The nasal hinge and the stromal bed were normal in
size in all cases and sufficient to perform the photoablation laser safely with
an optical zone between 6.00 and 7.00 millimeters. There were no intraoperative
nor immediate postoperative complications: free cap 0%; incomplete flap 0%;
button hole 0%; epithelial erosion 0%; bleeding 0%; irregular stromal bed 0%.
Postoperative complications: flap displacement 0%; punctate keratitis: 8%; LASIK
retreatments: 12%; safety: 100% (no loss of lines of visual acuity). DISCUSSION:
Corneas with a topographical 180 degrees -keratometry under 40 D are a very small
percentage of the total eyes that undergo LASIK (SBK). Those with a 180 degrees
keratometry under 39 D are even more infrequent. Due to the characteristics of
the flat cornea, the percentage of hyperopia is much larger in than in the
general LASIK group. In addition, the percentage with a history of strabismus and
amblyopia is higher. CONCLUSION: The Moria One Use-Plus SBK microkeratome is an
excellent device that allows the easy creation of thin corneal flaps and regular
and smooth corneal beds, safely and predictably even in extremely flat corneas
without complications. The safety, efficacy and predictability are quite
comparable to the general LASIK group.
PMID- 26549142
TI - Thioiminium and thiaphospholanium derived from acetonitrile via nickel(II)-(2
mercaptophenyl)phosphine complexation.
AB - [Ni(P(o-C6H4S)(o-C6H4SC(CH3)=NH2)(C6H5))2](ClO4)2 (2) with two thioiminium
functionalities is derived from CH3CN solvent under anhydrous conditions.
Moreover, thiaphospholanium salts, [(C6H5)P(C6H4SC(CH3)(NHCOCH3))(o
C6H4SH)](ClO4) (3) and [(C6H5)2-P(C6H4SC(CH3)(NH3))](ClO4)2 (5), can be obtained
through a similar Pinner-type nitrile activation. These results demonstrate the
possible intermediate of enzymatic nitrile transformation and also provide an
approach to the preparation of 2-amino-1,3-benzothiaphospholanium derivatives.
PMID- 26549143
TI - [Subcutaneous nodules involving the forearms].
PMID- 26549144
TI - ASCO update on breast cancer, 2015.
PMID- 26549145
TI - Chemical and structural modifications of RNAi therapeutics.
AB - Small interfering RNA (siRNA), a 21-23nt double-stranded RNA responsible for post
transcriptional gene silencing, has attracted great interests as promising
genomic drugs, due to its strong ability to silence target genes in a sequence
specific manner. Despite high silencing efficiency and on-target specificity, the
clinical translation of siRNA has been hindered by its inherent features: poor
intracellular delivery, limited blood stability, unpredictable immune responses
and unwanted off-targeting effects. To overcome these hindrances, researchers
have made various advances to modify siRNA itself and to improve its delivery. In
this review paper, first we briefly discuss the innate properties and delivery
barriers of siRNA. Then, we describe recent progress in (1) chemically and
structurally modified siRNAs to solve their intrinsic problems and (2) siRNA
delivery formulations including siRNA conjugates, polymerized siRNA, and nucleic
acid-based nanoparticles to improve in vivo delivery.
PMID- 26549146
TI - Safety-I, Safety-II and Resilience Engineering.
AB - In the quest to continually improve the health care delivered to patients, it is
important to understand "what went wrong," also known as Safety-I, when there are
undesired outcomes, but it is also important to understand, and optimize "what
went right," also known as Safety-II. The difference between Safety-I and Safety
II are philosophical as well as pragmatic. Improving health care delivery
involves understanding that health care delivery is a complex adaptive system;
components of that system impact, and are impacted by, the actions of other
components of the system. Challenges to optimal care include regular, irregular
and unexampled threats. This article addresses the dangers of brittleness and
miscalibration, as well as the value of adaptive capacity and margin. These
qualities can, respectively, detract from or contribute to the emergence of
organizational resilience. Resilience is characterized by the ability to monitor,
react, anticipate, and learn. Finally, this article celebrates the importance of
humans, who make use of system capabilities and proactively mitigate the effects
of system limitations to contribute to successful outcomes.
PMID- 26549148
TI - Dispatches from the International Behavioral Neuroscience Society meeting 2014.
Introduction.
AB - The International Behavioral Neuroscience Society (IBNS) was founded in 1992 to
fill the need for a focused meeting of the international research community to
discuss issues important for the development and progress of this scientific
discipline. In the 20 plus years since its founding, IBNS has become a hub for
the dissemination of new research, development of important research
collaborations, support and networking for young investigators, and for outreach
and education to the community. This work is covered in part by offering special
sessions during the meeting for late-breaking scientific discoveries from a range
of disciplines as well as background and seniority level of the presenters. This
special issue is a culmination of the late-breaking research presented at the
IBNS 2014 meeting. The manuscripts of this Special Issue cover a variety of
themes, including, stress, depression, the intersection of monoamine systems and
behavior, substance use disorders, attentional processes, and awareness and
acceptance of brain training. This wide range of topics and interest as well as
range in seniority of presenters demonstrate the driving interest of IBNS in
advancing knowledge in behavioral neuroscience as well as supporting scientists
at every level.
PMID- 26549147
TI - Transient traumatic isolated neurogenic ptosis after a mild head trauma: a case
report.
AB - BACKGROUND: Transient traumatic isolated neurogenic ptosis (TTINP) is a
sporadically reported rare entity. However, to the best of our knowledge, nearly
all the reported cases are either secondary to direct periorbital trauma or
surgery. We would like to report on a case of TTINP with countre-coup injury of
the periorbital region. CASE PRESENTATION: A 49-year-old female slipped and fell
down while walking. She was hospitalized with a moderate headache and undisturbed
mental state. The patient recalled that the force bearing point was her occipital
region. Physical examination and computed tomography (CT) on admission showed
right isolated ptosis and mild contusion and laceration in the bilateral frontal
cortex. Further radiological investigation revealed nothing remarkable except for
a fracture of the superior portion of the right medial orbital wall. She was
managed conservatively and recovered completely in two months. CONCLUSION: TTINP
might manifest as a unique entity with a relatively mild, reversible, and non
devastating injury to the terminal branch of the oculomotor nerve and for which
perhaps no special treatment is needed. The proposed mechanism is injury of the
terminal branch of the superior division of the oculomotor nerve.
PMID- 26549149
TI - Activation of MEK/ERK pathways through NF-kappaB activation is involved in
interleukin-1beta-induced cyclooxygenease-2 expression in canine dermal
fibroblasts.
AB - The proinflammatory cytokine interleukin-1beta (IL-1beta) induced cyclooxygenases
2 (COX-2) mRNA expression and lipid mediator prostaglandin E2 release and in a
time- and dose-dependent manner in canine dermal fibroblasts. The MEK inhibitor
U0126 and the ERK inhibitor FR180204 clearly inhibited IL-1beta-induced
prostaglandin E2 release and COX-2 mRNA expression. IL-1beta enhanced ERK1/2
phosphorylation, which was attenuated by inhibitors of MEK and ERK. The NF-kappaB
inhibitor BAY 11-7082 also suppressed IL-1beta-induced prostaglandin E2 release
and COX-2 mRNA expression. Treatment of fibroblasts with IL-1beta led to the
phosphorylation of p65 and degradation of IkappaBalpha occurred, indicating that
IL-1beta treatment activated NF-kappaB. MEK and ERK1/2 inhibitors had no effect
on the phosphorylation of p65 subunit induced by IL-1beta, whereas the NF-kappaB
inhibitor completely blocked IL-1beta-induced phosphorylation of ERK1/2. We also
observed that IkappaBalpha-knockdown enhanced the phosphorylation of p65 and
ERK1/2. These findings suggest that stimulation of MEK/ERK signaling pathway by
NF-kappaB activation regulates IL-1beta-induced COX-2 expression and subsequent
prostaglandin E2 release in canine dermal fibroblasts.
PMID- 26549150
TI - Re: 'Management of Extracranial Carotid Artery Aneurysm'.
PMID- 26549151
TI - Effects of monetary reward and punishment on information checking behaviour.
AB - Two experiments were conducted to examine whether checking one's own work can be
motivated by monetary reward and punishment. Participants were randomly assigned
to one of three conditions: a flat-rate payment for completing the task
(Control); payment increased for error-free performance (Reward); payment
decreased for error performance (Punishment). Experiment 1 (N = 90) was conducted
with liberal arts students, using a general data-entry task. Experiment 2 (N =
90) replicated Experiment 1 with clinical students and a safety-critical 'cover
story' for the task. In both studies, Reward and Punishment resulted in
significantly fewer errors, more frequent and longer checking, than Control. No
such differences were obtained between the Reward and Punishment conditions. It
is concluded that error consequences in terms of monetary reward and punishment
can result in more accurate task performance and more rigorous checking behaviour
than errors without consequences. However, whether punishment is more effective
than reward, or vice versa, remains inconclusive.
PMID- 26549152
TI - [Why is it important to achieve the goals of treatment of hypertension.... About
a case that began as ischemic stroke].
AB - Systemic arterial hypertension (SAH) is one of the most common conditions seen in
primary care of cardiovascular disease and whose consequences; depending on the
"target organ" affecting produce ischemic heart disease, cerebral vascular
disease or chronic kidney disease. In the pathogenesis of HAS are several
physiopathological mechanisms involved; of which currently, to name the most
important and frequent play a role in increasing adrenaline levels, the renin
angiotensin-aldosterone system and recently, much the participation of insulin
resistance and hyperinsulinemia mentioned. These processes lead to an imbalance
between the sympathetic and parasympathetic tone, coupled with hypersensitivity
sodium trigger one of the pathophysiologic mechanisms of hypertension. SAH is
currently defined as finding numbers of older blood pressure 140/90mm Hg. This is
one of the diseases that most affect the world population prevalences found in
age and gender groups 45 to 55% in men between 45 and 70 years and 45 to 65% in
women of the same age group. In 2013 most recent clinical guidelines for
treatment and the recommended goals, which has managed to reduce its
complications and mortality were published; among which include vascular diseases
such as ischemic heart and brain and kidney. In this paper a case that
exemplifies the secondary complications in late diagnosis, damage to "target
organ" by long-term exposure and inadequate compliance with therapeutic goals
discussed.
PMID- 26549153
TI - [Biatrial vs. isolated left atrial cryoablation for the treatment of long-lasting
permanent atrial fibrillation. Midterm recurrence rate].
AB - The long-term results of cryomaze in patients with longstanding persistent atrial
fibrillation during concomitant surgical procedures are still uncertain. METHODS:
Between 2006 and 2011, 150 consecutive patients with associated long-lasting
permanent atrial fibrillation and associated heart disease underwent heart
surgery were treated by biatrial Cox-Maze (63 patients) or by isolated
endocardial or epicardial left atrial cryoablation (83 patients) concomitantly.
The results at 3 years in these groups were compared with clinical monitoring,
electrocardiography, 24-hour Holter, and echocardiography with measurement of the
transmitral A wave at 1, 6 and 12 months and annually thereafter in all patients.
RESULTS: Patients undergoing Cox-Maze IV ablation presented rates of freedom from
atrial fibrillation of 97, 94, 89, 80 and 54% at 1, 6, 12, 24 and 36 months,
respectively. In the 12-month follow-up, these differences were significant
(p<.05). The independent risk factors of atrial fibrillation recurrence was
isolated ablation of left atrium. CONCLUSIONS: Atrial fibrillation by cryothermia
ablation had a high success rate before 2 years postoperatively. Recurrence of
atrial fibrillation increased thereafter regardless of the technique used,
although it was more intense and developed earlier in cases of monoatrial
ablation. For the treatment of other long-lasting permanent atrial fibrillation
cardiac surgery-associated pathology, an aggressive biatrial treatment with Cox
maze ablation should be performed.
PMID- 26549154
TI - [The beginning of Mexican cardiology in the springtime of the Mexican National
Academy of Medicine].
AB - The National Academy of Medicine was founded 141 years ago during the French
intervention. Under the sponsorship of this brand-new medical association,
Mexican cardioangiology took its first steps in the medical and surgical field as
well. After the falling of the second empire, the medical and surgical advances
of this discipline continued. The corresponding publications appeared in
different volumes of the "Gaceta Medica de Mexico"; at present journal of the
Academy still published in our time. These steps permitted the development of the
true cardiologic speciality during 40s of the twentieth century, due to the
vision of Professor Ignacio Chavez, father of Mexican cardiology. Some examples
of application are the epistemologic criteria in cardiologycal domains such as
the conception of Riva-Rocci's sphygmomanometer in Italy in the nineteenth
century and the so-called cardiac metabolic therapy in Mexico of our time, are
included.
PMID- 26549155
TI - Predicting State Investment in Medicaid Home- and Community-Based Services, 2000
2011.
AB - Although state use of Medicaid home- and community-based services (HCBS) to
provide long-term services and supports to older adults and individuals with
physical disabilities continues to increase, progress is uneven across states. We
used generalized linear models to examine state factors associated with increased
allocation of Medicaid dollars to HCBS for the period 2000 to 2011. We observed
enhanced growth in states that began the period with limited investment in HCBS,
as reflected in significant year trends among these states. The political
environment appeared to be an important influence on states' investment for
states with limited initial allocation to HCBS, as was housing affordability, a
policy amenable variable. There continues to be wide variation in states'
relative investment, calling for additional policy attention and research.
PMID- 26549156
TI - Shifts Toward Morningness During Behavioral Sleep Interventions Are Associated
With Improvements in Depression, Positive Affect, and Sleep Quality.
AB - Morningness-eveningness (M-E) is typically considered to be a trait-like
construct. However, M-E could plausibly shift in concert with changes in
circadian or homeostatic processes. We examined M-E changes across three studies
employing behavioral or pharmacological sleep treatments. Baseline and
posttreatment M-E scores were strongly correlated across all three samples. M-E
showed small but systematic changes toward morningness in sleep-disturbed
military veterans receiving behavioral interventions. No systematic M-E changes
were observed in the two pharmacological studies (sleep-disturbed military
veterans and adults with primary insomnia, respectively). In the behavioral
study, M-E changes correlated with changes in depression, positive affect, and
sleep quality. M-E changes also correlated with changes in positive affect in the
adult insomnia group. M-E appears to exhibit state-like aspects in addition to
trait-like aspects.
PMID- 26549157
TI - Antimullerian hormone: correlation with age and androgenic and metabolic factors
in women from birth to postmenopause.
AB - OBJECTIVE: To study the age-specific distribution of antimullerian hormone (AMH)
and describe the association of AMH with androgenic and metabolic profiles at
different ages. DESIGN: Cross-sectional study. SETTING: University hospital.
PATIENT(S): A total of 6,763 Chinese women from birth to menopause.
INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): Anthropometric parameters
(height, weight, and blood pressure), and levels of AMH and testosterone, glucose
metabolism, and lipid profiles. RESULT(S): According to the level of AMH, four
age phases were established: childhood (0-10 years), adolescence (11-18 years),
reproductive age (19-50 years), and advanced age (>=51 years). During childhood
and adolescence, AMH levels increased, reaching a peak at 18 years. A decline
occurred thereafter during the reproductive-age period until the age of 50 years,
and it remained at a low level above 0 onward. We found that AMH was negatively
correlated with testosterone in childhood (r = -0.25), but was positively
correlated with testosterone and the free androgen index in adolescence (r =
0.30; r = 0.26, respectively) as well as during the reproductive phases (r =
0.28; r = 0.31, respectively). No correlation was observed between AMH and body
mass index, fasting blood glucose, fasting insulin, the homeostasis model
assessment, total cholesterol, triglycerides, low-density lipoprotein, or high
density lipoprotein at any phase. CONCLUSION(S): From birth to 18 years, AMH
increases, then it declines thereafter, indicating changes of ovarian
maintenance. A positive relationship between androgenic profiles and AMH during
adolescence and reproductive years implies a synchronism between androgens and
ovarian reserve.
PMID- 26549159
TI - Race, Coping Style, and Substance Use Disorder Among Non-Hispanic African
American and White Young Adults in South Florida.
AB - BACKGROUND: Despite their higher rates of stress, African American young adults
tend toward similar or lower rates of substance misuse than their White
counterparts. Arguably, such patterns derive from: (1) racial variations in the
availability of coping strategies that mitigate stress; and/or (2) racial
differences in the efficacy of available coping styles for reducing substance
misuse. OBJECTIVES: We assessed whether two coping style types-problem-focused
and avoidance-oriented-varied by race (non-Hispanic African American vs. non
Hispanic White) and whether the effects of coping styles on substance misuse were
moderated by race. METHODS: Using data from a community sample of South Florida
young adults, we employed logistic regression analyses to examine racial
differences in coping style and to test if race by coping style interactions
(race * problem-focused coping and race * avoidance-oriented coping) influenced
the odds of qualifying for a DSM-IV substance use disorder, net of lifetime
stressful events and sociodemographic controls. RESULTS: We found that African
American young adults displayed lower problem-focused coping, and higher
avoidance-oriented coping, than did White young adults. Among both African
American and White respondents, problem-focused coping was associated with
reduced odds of illicit drug use disorder (excluding marijuana), and among
Whites, avoidance-oriented coping was associated with increased odds of an
aggregate measure of alcohol, marijuana, and other illicit drug use disorders.
Among African Americans, however, avoidance-oriented coping was associated with
lower odds of marijuana use disorder. CONCLUSION: Substance misuse policies and
practices that consider the sociocultural contexts of stress and coping are
recommended.
PMID- 26549158
TI - Dizziness, but not falls rate, improves after routine cataract surgery: the role
of refractive and spectacle changes.
AB - PURPOSE: To determine whether dizziness and falls rates change due to routine
cataract surgery and to determine the influence of spectacle type and refractive
factors. METHODS: Self-reported dizziness and falls were determined in 287
patients (mean age of 76.5 +/- 6.3 years, 55% females) before and after routine
cataract surgery for the first (81, 28%), second (109, 38%) and both eyes (97,
34%). Dizziness was determined using the short-form of the Dizziness Handicap
Inventory. Six-month falls rates were determined using self-reported
retrospective data. RESULTS: The number of patients with dizziness reduced
significantly after cataract surgery (52% vs 38%; chi(2) = 19.14(,) p < 0.001),
but the reduction in the number of patients who fell in the 6-months post surgery
was not significant (23% vs 20%; chi(2) = 0.87, p = 0.35). Dizziness improved
after first eye surgery (49% vs 33%, p = 0.01) and surgery on both eyes (58% vs
35%, p < 0.001), but not after second eye surgery (52% vs 45%, p = 0.68).
Multivariate logistic regression analyses found significant links between post
operative falls and change in spectacle type (increased risk if switched into
multifocal spectacles). Post-operative dizziness was associated with changes in
best eye visual acuity and changes in oblique astigmatic correction. CONCLUSIONS:
Dizziness is significantly reduced by first (or both) eye cataract surgery and
this is linked with improvements in best eye visual acuity, although changes in
oblique astigmatic correction increased dizziness. The lack of improvement in
falls rate may be associated with switching into multifocal spectacle wear after
surgery.
PMID- 26549160
TI - Association between body composition and disease activity in rheumatoid
arthritis. A systematic review.
AB - BACKGROUND: Reports regarding the association between body composition and
inflammatory activity in rheumatoid arthritis (RA) have consistently yielded
contradictory results. OBJECTIVE: To perform a systematic review on the
association between overweight/obesity and inflammatory activity in RA. METHODS:
FAST approach: Article search (Medline, EBSCO, Cochrane Library), followed by
abstract retrieval, full text review and blinded assessment of methodological
quality for final inclusion. Because of marked heterogeneity in statistical
approach and RA activity assessment method, a meta-analysis could not be done.
Results are presented as qualitative synthesis. RESULTS: One hundred and nineteen
reports were found, 16 of them qualified for full text review. Eleven studies
(8,147 patients; n range: 37-5,161) approved the methodological quality filter
and were finally included. Interobserver agreement for methodological quality
score (ICC: 0.93; 95% CI: 0.82-0.98; P<.001) and inclusion/rejection decision (k
1.00, P>.001) was excellent. In all reports body composition was assessed by BMI;
however a marked heterogeneity was found in the method used for RA activity
assessment. A significant association between BMI and RA activity was found in 6
reports having larger mean sample size: 1,274 (range: 140-5,161). On the other
hand, this association was not found in 5 studies having lower mean sample size:
100 (range: 7-150). CONCLUSIONS: The modulation of RA clinical status by body fat
mass is suggested because a significant association was found between BMI and
inflammatory activity in those reports with a trend toward higher statistical
power. The relationship between body composition and clinical activity in RA
requires be approached with further studies with higher methodological quality.
PMID- 26549161
TI - Optimizing Clinical Research Participant Selection with Informatics.
AB - Clinical research participants are often not reflective of real-world patients
due to overly restrictive eligibility criteria. Meanwhile, unselected
participants introduce confounding factors and reduce research efficiency.
Biomedical informatics, especially Big Data increasingly made available from
electronic health records, offers promising aids to optimize research participant
selection through data-driven transparency.
PMID- 26549162
TI - Dural Enhancement in a Patient with Sturge-Weber Syndrome Revealed by Double
Inversion Recovery Contrast Using Synthetic MRI.
PMID- 26549163
TI - Comparison of Gated and Ungated Black-Blood Fast Spin-echo MRI of Carotid Vessel
Wall at 3T.
AB - PURPOSE: Multi-slice ungated double inversion recovery has been proposed as an
alternative time-efficient and effective sequence for black-blood carotid
imaging. The purpose of this study is to evaluate the comparative repeatability
of this multi-contrast sequence with respect to a single slice double inversion
recovery prepared gated sequence. MATERIALS AND METHODS: Ten healthy volunteers
and three patients with Doppler ultrasound defined carotid artery stenosis >30%
were recruited. T1-weighted (T1W) and T2W fast spin-echo (FSE) images were
acquired centered at the carotid bifurcation with and without cardiac gating.
Repeat imaging was performed without patient repositioning to determine the
variations in vessel wall measurement and signal intensity due to gating, while
negating variations as a result of slice misalignment and anatomical displacement
relative to the receiver coil. The distributions and the repeatability of lumen
area, vessel wall area, signal and contrast-to-noise ratio (SNR/CNR) of the
vessel wall and adjacent muscle were reported. RESULTS: The T1W ungated sequence
generally had comparable wall SNR/CNR with respect to the gated sequence, however
the muscle SNR was lower (P = 0.013). The T2W ungated multi-slice sequence had
lower SNR/CNR than the gated single slice sequence (P < 0.001), but with
equivalent effective wall CNR (P = 0.735). Vessel area measurements using the
gated/ungated sequences were equivalent. Ungated sequences had better
repeatability in SNR/CNR than the gated sequences with borderline and
statistically significant differences. The repeatability of T2W wall area
measurement was better using the ungated sequences (P = 0.02), and the
repeatability of the remaining vessel area measurements were equivalent.
CONCLUSIONS: Ungated sequences can achieve comparable SNR/CNR and equivalent
carotid vessel area measurements than gated sequences with improved repeatability
of SNR/CNR. Ungated sequences are good alternatives of gated sequences for vessel
area measurement and plaque composition quantification.
PMID- 26549164
TI - Quantitative Assessment of Head Motion toward Functional Magnetic Resonance
Imaging during Stepping.
AB - PURPOSE: Stepping motions have been often used as gait-like patterns in
functional magnetic resonance imaging (fMRI) to understand gait control. However,
it is still very difficult to stabilize the task-related head motion. Our main
purpose is to provide characteristics of the task-related head motion during
stepping to develop robust restraints toward fMRI. METHODS: Multidirectional head
and knee position during stepping were acquired using a motion capture system
outside MRI room in 13 healthy participants. Six phases in a stepping motion were
defined by reference to the left knee angles and the mean of superior-inferior
head velocity (Vmean) in each phase was investigated. Furthermore, the
correlation between the standard deviation of the knee angle (thetasd) and the
maximum of the head velocity (Vmax) was evaluated. RESULTS: The standard
deviation of each superior-inferior head position and pitch were significantly
larger than the other measurements. Vmean showed a characteristic repeating
pattern associated with the knee angle. Additionally, there were significant
correlations between thetasd and Vmax. CONCLUSIONS: This is the first report to
reveal the characteristics of the task-related head motion during stepping. Our
findings are an essential step in the development of robust restraint toward fMRI
during stepping task.
PMID- 26549165
TI - miR-296-5p suppresses cell viability by directly targeting PLK1 in non-small cell
lung cancer.
AB - Polo-like kinase 1 (PLK1), a critical kinase for mitotic progression, is
overexpressed in a wide range of cancers. MicroRNAs (miRNAs) are a class of small
non-coding RNA molecules and proposed to play important roles in the regulation
of tumor progression and invasion. However, the relationship between PLK1 and
miRNAs have remained unclear. In the present study, the association between PLK1
and miR-296-5p was investigated. The upregulation of PLK1 mRNA expression levels
combined with the downregulation of miR-296-5p levels were detected in both non
small cell lung cancer (NSCLC) tissues and cell lines. Functional studies showed
that knockdown of PLK1 by siRNA inhibited NSCLC cells proliferation.
Impressively, overexpression of miR-296-5p showed the same phenocopy as the
effect of PLK1 knockdown in NSCLC cells, indicating that PLK1 was a major target
of miR-296-5p. Furthermore, using western blot analysis and luciferase reporter
assay, PLK1 protein expression was proved to be regulated by miR-296-5p through
binding to the putative binding sites in its 3'-untranslated region (3'-UTR).
Taken together, the present study indicated that miR-296-5p regulated PLK1
expression and could function as a tumor suppressor in NSCLC progression, which
provides a potential target for gene therapy of NSCLC.
PMID- 26549166
TI - Photo-generated metamaterials induce modulation of CW terahertz quantum cascade
lasers.
AB - Periodic patterns of photo-excited carriers on a semiconductor surface profoundly
modifies its effective permittivity, creating a stationary all-optical quasi
metallic metamaterial. Intriguingly, one can tailor its artificial birefringence
to modulate with unprecedented degrees of freedom both the amplitude and phase of
a quantum cascade laser (QCL) subject to optical feedback from such an
anisotropic reflector. Here, we conceive and devise a reconfigurable photo
designed Terahertz (THz) modulator and exploit it in a proof-of-concept
experiment to control the emission properties of THz QCLs. Photo-exciting sub
wavelength metastructures on silicon, we induce polarization-dependent changes in
the intra-cavity THz field, that can be probed by monitoring the voltage across
the QCL terminals. This inherently flexible approach promises groundbreaking
impact on THz photonics applications, including THz phase modulators, fast
switches, and active hyperbolic media.
PMID- 26549167
TI - An update on antimicrobial options for childhood community-acquired pneumonia: a
critical appraisal of available evidence.
AB - INTRODUCTION: Community-acquired pneumonia (CAP) is a leading cause of death and
a major cause of morbidity in children under the age of 5. Appropriate
antimicrobial use is one crucial tool in controlling childhood CAP mortality and
suffering. AREAS COVERED: Structured search of current literature. PubMed was
consulted for published trials conducted in children with CAP. We aimed to
provide a comprehensive evaluation of antimicrobials used to treat childhood CAP,
including a critical appraisal of the methodological aspects of these clinical
trials. EXPERT OPINION: Amoxicillin is the preferred option to treat non-severe
non-complicated CAP among children aged >=2 months. Amoxicillin may be used to
treat children in this age group with severe CAP if they do not require hospital
assistance. If the patient warrants hospitalization, intravenous penicillin is
the chosen option. Heterogeneity was high in the included trials, in regard to
clinical inclusion criteria, use of radiological inclusion criteria, placebo use
and masking. Higher quality evidence was found in the studies which included
amoxicillin. There is a clear dearth of randomized, placebo-controlled, well
performed clinical trials evaluating children with CAP aged under 2 months, or
aged 2 months and above with very severe or complicated CAP, or in specific age
groups like teenagers.
PMID- 26549168
TI - Road safety education: What works?
AB - OBJECTIVES: The objectives of the paper are: METHOD: Seminal papers,
collaborative reports from traffic safety research institutes and books from
experts have been used as materials. Very diverse fields of application are
presented such as: the importance of emotional experience in interaction with
traffic experiences; the efficiency of e-learning; the efficiency of simulators
to improve hazard perception skills and calibration of one's driving
competencies; the efficiency of social norms marketing at changing behaviors by
correcting normative misperceptions; the usefulness of parents-based
interventions to improve parental supervision; and finally the importance of
multi-components programs due to their synergies. CONCLUSIONS: Scientific
evidence collected in this paper shows that RSE may have some positive effects if
good practices are adopted, if it is part of a lifelong learning process and if
transmits not only knowledge but also "life-skills" (or psycho-social
competences). IMPLICATIONS: for practice From each example, we will see the
implications of the results for the implementation of RSE.
PMID- 26549169
TI - Choosing treatment and screening options congruent with values: Do decision aids
help? Sub-analysis of a systematic review.
AB - OBJECTIVE: To understand how well patients make value congruent decisions with
and without patient decision aids (PtDAs) for screening and treatment options,
and identify issues with its measurement and evaluation. METHODS: A sub-analysis
of trials included in the 2014 Cochrane Review of Decision Aids. Eligible trials
measured value congruence with chosen option. Two reviewers independently
screened 115 trials. RESULTS: Among 18 included trials, 8 (44%) measured value
congruence using the Multidimensional Measure of Informed Choice (MMIC), 7 (39%)
used heterogeneous methods, and 3 (17%) used unclear methods. Pooled results of
trials that used heterogeneous measures were statistically non-significant (n=3).
Results from trials that used the MMIC suggest patients are 48% more likely to
make value congruent decisions when exposed to a PtDA for a screening decision
(RR 1.48, 95% CI 1.01 to 2.16, n=8). CONCLUSION: Patients struggle to make value
congruent decisions, but PtDAs may help. While the absolute improvement is
relatively small it may be underestimated due to sample size issues, definitions,
and heterogeneity of measures. PRACTICE IMPLICATIONS: Current approaches are
inadequate to support patients making decisions that are consistent with their
values. There is some evidence that PtDAs support patients with achieving values
congruent decisions for screening choices.
PMID- 26549170
TI - Knowledge displays: Soliciting clients to fill knowledge gaps and to reconcile
knowledge discrepancies in therapeutic interaction.
AB - OBJECTIVE: To examine knowledge displays (KDs), a practice by which Therapeutic
Community (TC) professionals exhibit previous knowledge about their clients'
circumstances and experiences. METHODS: Conversation analysis is used to examine
12 staff-led meetings recorded in Italy (8 in a drug addiction TC; 4 in a mental
health TC). RESULTS: The TC professionals use KDs within broader sequences of
talk where they solicit their clients to share personal information and where the
clients provide insufficient or inconsistent responses. In these circumstances,
the staff members employ KDs to pursue responses that redress emerging knowledge
gaps and discrepancies regarding the clients' experiences or circumstances.
CONCLUSION: KDs allow the staff members to achieve a balance between respecting
their clients' right to report their own experiences and influencing the ways in
which they report them. KDs help to reinforce the culture of openness that is
central to many forms of therapeutic interaction, to forward the therapeutic
agenda and to expand the staff members' knowledge of the clients' experiences and
circumstances. PRACTICE IMPLICATIONS: KDs can be used to solicit clients to share
personal information. This paper illustrates core features that underlie the
function of KDs (where they are used and how they are constructed).
PMID- 26549171
TI - Social support and self-management capabilities in diabetes patients: An
international observational study.
AB - OBJECTIVE: The objective of this study was to explore which aspects of social
networks are related to self-management capabilities and if these networks have
the potential to reduce the adverse health effects of deprivation. METHODS: In a
cross-sectional study we recruited type 2 diabetes patients in six European
countries. Data on self-management capabilities was gathered through written
questionnaires and data on social networks characteristics and social support
through subsequent personal/telephone interviews. We used regression modelling to
assess the effect of social support and education on self-management
capabilities. RESULTS: In total 1692 respondents completed the questionnaire and
the interview. Extensive informational networks, emotional networks, and
attendance of community organisations were linked to better self-management
capabilities. The association of self-management capabilities with informational
support was especially strong in the low education group, whereas the association
with emotional support was stronger in the high education group. CONCLUSION: Some
of the social network characteristics showed a positive relation to self
management capabilities. The effect of informational support was strongest in low
education populations and may therefore provide a possibility to reduce the
adverse impact of low education on self-management capabilities. PRACTICE
IMPLICATIONS: Self-management support interventions that take informational
support in patients' networks into account may be most effective, especially in
deprived populations.
PMID- 26549172
TI - Immunostimulation by phospholipopeptide biosurfactant from Staphylococcus hominis
in Oreochromis mossambicus.
AB - The immunostimulatory effect of phospholipopeptide biosurfactant from
Staphylococcus hominis (GenBank Accession No: KJ564272) was assessed with
Oreochromis mossambicus. The non-specific (serum lysozyme activity, serum
antiprotease activity, serum peroxidase activity and serum bactericidal
activity), specific (bacterial agglutination assay) immune responses and disease
resistance activity against Aeromonas hydrophila were examined. Fish were
intraperitonially injected with water soluble secondary metabolite
(biosurfactant) of S. hominis at a dose of 2 mg, 20 mg and 200 mg kg(-1) body
weight. Commercial surfactant surfactin (sigma) at 20 mg kg(-1) was used as
standard and saline as negative control. All the doses of water soluble
biosurfactant tested, significantly enhanced the specific, nonspecific immunity
and disease resistance from the day of post administration of phospholipopeptide
biosurfactant till the tail of the experimental period. These results clearly
indicated that the secondary metabolite isolated from S. hominis stimulates the
immunity of finfish thereby could enhance aquaculture production.
PMID- 26549173
TI - A multivariate assessment of innate immune-related gene expressions due to
exposure to low concentration individual and mixtures of four kinds of heavy
metals on zebrafish (Danio rerio) embryos.
AB - Concerns over the potential health effects of mixtures of low concentration heavy
metals on living organisms keep growing by the day. However, the toxicity of low
concentration metal mixtures on the immune system of fish species has rarely been
investigated. In this study, the zebrafish model was employed to investigate the
effect on innate immune and antioxidant-related gene expressions, on exposure to
environmentally relevant concentrations of individual and mixtures of Pb (0.01
mg/L), Hg (0.001 mg/L), As (0.01 mg/L) and Cd (0.005 mg/L). Messenger-RNA (mRNA)
levels of IL1beta, TNF-alpha, IFNgamma, Mx, Lyz, C3B and CXCL-Clc which are
closely associated with the innate immune system were affected after exposing
zebrafish embryos to metals for 120 h post fertilization (hpf). Individual and
mixtures of metals exhibited different potentials to modulate innate-immune gene
transcription. IL1beta genes were significantly up regulated on exposure to Pb +
As (2.01-fold) and inhibited on exposure to Pb + Hg + Cd (0.13-fold). TNF-alpha
was significantly inhibited on exposure to As (0.40-fold) and Pb + As (0.32-fold)
compared to control. Metal mixtures generally up regulated IFNgamma compared to
individual metals. Additionally, antioxidant genes were affected, as CAT and GPx
gene expressions generally increased, whiles Mn-SOD and Zn/Cu-SOD reduced.
Multivariate analysis showed that exposure to individual metals greatly
influenced modulation of innate immune genes; whiles metal mixtures influenced
antioxidant gene expressions. This suggests that beside oxidative stress, there
may be other pathways influencing gene expressions of innate immune and
antioxidant-related genes. Low concentration heavy metals also affect expression
of development-related (wnt8a and vegf) genes. Altogether, the results of this
study clearly demonstrate that low concentration individual and mixtures of
metals in aquatic systems will greatly influence the immune system. It is
indicative that mechanisms associated with toxicity of metal mixtures is complex,
however, further studies to elucidate them are ongoing in our research
laboratory.
PMID- 26549174
TI - Molecular, genomic, and expressional delineation of a piscidin from rock bream
(Oplegnathus fasciatus) with evidence for the potent antimicrobial activities of
Of-Pis1 peptide.
AB - The piscidin family comprises a group of antimicrobial peptides (AMPs) that are
vital components of teleost innate immunity. Piscidins protect the host from
pathogens, through multifaceted roles as immunomodulators and anti-infective
peptides. The present study reports the identification, and characterization of a
putative piscidin homolog, Of-Pis1, from rock bream (Oplegnathus fasciatus). A
combined genomic and transcriptomic approach revealed that the Of-Pis1 gene
comprises 1396 nucleotides (nt), four exons, and three introns. The cDNA with the
213 nt open reading frame encoded a 70-amino acid preprotein consisting of a
signal peptide, a mature peptide, and a prodomain. Predicted mature Of-Pis1 was
assumed to be a membrane-active AMP, based on the prediction of an amphipathic
alpha-helical conformation with a net charge of +4. In addition, Of-Pis1
demonstrated significant similarities with other piscidin family members in terms
of gene structure, sequence homology, and evolutionary relationship. Examination
by quantitative real-time PCR (qPCR) of basal transcription of Of-Pis1 in the
tissues of naive rock bream, revealed predominant transcript levels in the gills,
followed by the spleen, intestine, skin, and head kidney. In gill tissues, the
temporally induced mRNA expression of Of-Pis1, upon in vivo injection trials with
lipopolysaccharide (LPS); polyinosinic:polycytidylic acid (poly I:C); and
pathogens, including Edwardsiella tarda, Streptococcus iniae, and rock bream
iridovirus (RBIV), was weak. In contrast, in vivo flagellin administration led to
a robust upregulation of Of-Pis1 in different tissues. Antimicrobial potency was
determined by employing recombinant (rOf-Pis1), and synthetic (pOf-Pis1)
peptides, in in vitro assays. Recombinant overexpression inhibited the growth of
bacteria expressing the rOf-Pis1 protein in a growth delay assay. The broad
antimicrobial spectrum of pOf-Pis1 was evidenced by its potent activity against
an array of microbes, including bacteria, fungi, and parasitic species. In
addition, pOf-Pis1 showed no significant hemolytic toxicity against human
erythrocytes. Collectively, the data presented in the current study improve our
understanding of the piscidin AMP family, and the contribution of Of-Pis1 to the
rock bream immunity.
PMID- 26549175
TI - Cathepsin L is an immune-related protein in Pacific abalone (Haliotis discus
hannai)--Purification and characterization.
AB - Cathepsin L, an immune-related protein, was purified from the hepatopancreas of
Pacific abalone (Haliotis discus hannai) by ammonium sulfate precipitation and
column chromatographies of SP-Sepharose and Sephacryl S-200 HR. Purified
cathepsin L appeared as two bands with molecular masses of 28.0 and 28.5 kDa
(namely cathepsin La and Lb) on SDS-PAGE under reducing conditions, suggesting
that it is a glycoprotein. Peptide mass fingerprinting (PMF) analysis revealed
that peptide fragments of 95 amino acid residues was high similarity to cathepsin
L of pearl oyster (Pinctada fucata). The optimal temperature and pH of cathepsin
L were 35 degrees C and pH 5.5. Cathepsin L was particularly inhibited by
cysteine proteinase inhibitors of E-64 and leupeptin, while it was activated by
metalloproteinase inhibitors EDTA and EGTA. The full-length cathepsin L cDNA was
further cloned from the hepatopancreas by rapid PCR amplification of cDNA ends
(RACE). The open reading frame of the enzyme was 981 bp, encoding 327 amino acid
residues, with a conserved catalytic triad (Cys134, His273 and Asn293), a
potential N-glycosylation site and conserved ERFNIN, GNYD, and GCGG motifs, which
are characteristics of cathepsin L. Western blot and proteinase activity analysis
revealed that the expression and enzyme activity of cathepsin L were
significantly up-regulated in hepatopancreas at 8 h following Vibrio
parahaemolyticus infection, demonstrating that cathepsin L is involved in the
innate immune system of abalone. Our present study for the first time reported
the purification, characterization, molecular cloning, and tissue expression of
cathepsin L in abalone.
PMID- 26549176
TI - Increased stocking density causes changes in expression of selected stress- and
immune-related genes, humoral innate immune parameters and stress responses of
rainbow trout (Oncorhynchus mykiss).
AB - The present study investigated the effects of various stocking densities on the
health status (stress and immune responses) of rainbow trout (Onchorhynchus
mykiss). Juvenile rainbow trout were acclimated, placed in circular tanks under
stocking densities of 10, 40 and 80 kg m(-3) and reared for 30 days. The relative
expression of genes involved in stress and immunity such as HSP70, LyzII, TNF
1alpha, IL-1beta, IL-8 and IFN-gamma1 in the head kidney was determined. Serum
cortisol, ACTH, total antioxidant capacity, osmolality and lactate were measured
after 30 days of culture at different stocking densities (D1:10 kg m(-3), D2: 40
kg m(-3) and D3: 80 kg m(-3)) as indices of stress responses. In addition, the
effects of stocking densities on serum complement, bactericidal activity,
agglutinating antibody titers, serum IgM, anti-protease activity, serum total
protein and alkaline phosphatase of the fish were measured. HSP70 gene expression
was significantly density-dependent upregulated in D2 and D3 densities compared
to D1 (P < 0.05). Also, there was significant downregulation in expression of
LyzII, TNF-1alpha, IL-1beta, IL-8 and IFN-gamma1 in fish reared at density of
either D2 or D3 (P < 0.05). In terms of stress responses, serum ACTH, cortisol
and lactate level showed significant density-dependent increase (P < 0.05) while
serum osmolality and total antioxidant capacity showed significant decline (P <
0.05) in fish reared at higher densities (D2 and D3) compared to fish reared at
lower density (D1) (P < 0.05). Concordant with the expression of the immune
related genes, the serum complement and bactericidal activity as well as specific
antibody titer against Aeromonas hydrophila, IgM and anti-protease activity
decreased along with elevation of stocking density from D1 to D3 (P < 0.05).
However, different stocking densities had no significant effect on serum total
protein level and alkaline phosphatase activity. These results suggested that
elevation of stocking densities and crowding resulted in the increase in HSP70
gene expression and the levels of selected stress responses in the serum.
However, there was down-regulation of immune genes expression and decreased
innate immune responses in the fish. The mRNA expression of the genes and immune
parameters that were measured in this study could be helpful in monitoring the
health status and welfare of the fish in aquaculture systems particularly in
relation to increased stocking densities.
PMID- 26549177
TI - Molecular cloning and characterization of orange-spotted grouper (Epinephelus
coioides) CXC chemokine ligand 12.
AB - Chemokines are a family of soluble peptides that can recruit a wide range of
immune cells to sites of infection and disease. The CXCL12 is a chemokine that
binds to its cognate receptor CXCR4 and thus involved in multiple physiological
and pathophysiological processes. In this study, we cloned and characterized
CXCL12 from Epinephelus coioides (osgCXCL12). We found that the open reading
frame of osgCXCL12 consists of 98 amino acid residues with the small cytokine C-X
C domain located between residues 29 and 87. Higher expression levels for
osgCXCL12 were detected at the kitting stage, compared with the prolarva and
larva shape stages. The expression patterns revealed that osgCXCL12 may play a
key role in early grouper development. We detected mRNA transcripts for osgCXCL12
in healthy tissues and found the highest osgCXCL12 expression in the head kidney.
Furthermore, a time-course analysis revealed significantly increased osgCXCL12
and osgCXCR4 expression levels after the nervous necrosis virus (NNV) challenge.
In addition, expression of osgCXCL12 was affected by injection with microbial
mimics [LPS and poly(I:C)]. These results suggest that osgCXCL12 is associated
with inflammatory and developmental processes in the grouper.
PMID- 26549178
TI - Pathogenesis of acute hepatopancreatic necrosis disease (AHPND) in shrimp.
AB - Acute hepatopancreatic necrosis disease (AHPND), also called early mortality
syndrome (EMS), is a recently emergent shrimp bacterial disease that has resulted
in substantial economic losses since 2009. AHPND is known to be caused by strains
of Vibrio parahaemolyticus that contain a unique virulence plasmid, but the
pathology of the disease is still unclear. In this study, we show that AHPND
causing strains of V. parahaemolyticus secrete the plasmid-encoded binary toxin
PirAB(vp) into the culture medium. We further determined that, after shrimp were
challenged with AHPND-causing bacteria, the bacteria initially colonized the
stomach, where they started to produce PirAB(vp) toxin. At the same early time
point (6 hpi), PirB(vp) toxin, but not PirA(vp) toxin, was detected in the
hepatopancreas, and the characteristic histopathological signs of AHPND,
including sloughing of the epithelial cells of the hepatopancreatic tubules, were
also seen. Although some previous studies have found that both components of the
binary PirAB(vp) toxin are necessary to induce a toxic effect, our present
results are consistent with other studies which have suggested that PirB(vp)
alone may be sufficient to cause cellular damage. At later time points, the
bacteria and PirA(vp) and PirB(vp) toxins were all detected in the
hepatopancreas. We also show that Raman spectroscopy "Whole organism
fingerprints" were unable to distinguish between AHPND-causing and non-AHPND
causing strains. Lastly, by using minimum inhibitory concentrations, we found
that both virulent and non-virulent V. parahaemolyticus strains were resistant to
several antibiotics, suggesting that the use of antibiotics in shrimp culture
should be more strictly regulated.
PMID- 26549179
TI - ChAkt1 involvement in orchestrating the immune and heat shock responses in
Crassostrea hongkongensis: Molecular cloning and functional characterization.
AB - G-protein-coupled receptors (GPCRs) are the largest class of cell-surface
receptors and play crucial roles in virtually every organ system. As one of the
major downstream effectors of GPCRs, Akt can acquire information from the
receptors and coordinate intracellular responses for many signaling pathways,
through which the serine/threonine kinase masters numerous aspects of biological
processes, such as cell survival, growth, proliferation, migration, angiogenesis,
and metabolism. In the present study, we have characterized the first Akt1
ortholog in mollusks using the Hong Kong oyster, Crassostrea hongkongensis
(designed ChAkt1). The full-length cDNA is 2223 bp and encodes a putative protein
of 493 amino acids that contains an amino-terminal pleckstin homology (PH)
domain, a central catalytic domain, and a carboxy-terminal regulatory domain.
Quantitative real-time PCR analysis showed that ChAkt1 mRNA is broadly expressed
in various tissues and during different stages of the oyster's embryonic and
larval development. Upon exposure to two stressors (microbial infection and heat
shock), the expression level of ChAkt1 mRNA increases significantly. Furthermore,
ChAkt1 is located in the cytoplasm in HEK293T cells, where the over-expression of
ChAkt1 regulates the transcriptional activities of NF-kappaB and p53 reporter
genes. Taken together, our results indicate that ChAkt1 most likely plays a
central role in response to various stimuli in oysters and has a particular
response to microbial pathogens and high temperature.
PMID- 26549180
TI - Feedback to semi-professional counselors in treating child aggression.
AB - OBJECTIVE: To investigate the impact of outcome feedback provided to semi
professional counselors of children and adolescents at risk for aggressive
behavior, following group treatment. METHOD: Participants included 230 aggressive
children and adolescents and 64 educators in a quasi-experimental design of 3
conditions: experimental group with feedback, experimental group without
feedback, and control group (no treatment). The current study employed a feedback
system based on self-report aggression scores measured after each session,
provided to teachers, including an alert system and weekly follow-up group
support. RESULTS: Outcomes were more favorable for the treatment children than
the control group, but feedback had no impact on the results. CONCLUSION: Outcome
feedback provided to group therapists does not have an effect on children and
adolescents' reduction of aggression. Further research is needed to identify
possible reasons for failure to show feedback effect.
PMID- 26549181
TI - Targets to treat androgen excess in polycystic ovary syndrome.
AB - INTRODUCTION: The polycystic ovary syndrome (PCOS) is a common androgen disorder
in reproductive-aged women. Excessive biosynthesis and secretion of androgens by
steroidogenic tissues is its central pathogenetic mechanism. AREAS COVERED: The
authors review the potential targets and new drugs to treat androgen excess in
PCOS. Besides our lab's experience, a systematic search (MEDLINE, Cochrane
library, ClinicalTriasl.gov, EU Clinical Trials Register and hand-searching)
regarding observational studies, randomized clinical trials, systematic reviews,
meta-analyses and patents about this topic was performed. EXPERT OPINION: PCOS
has a heterogeneous clinical presentation. It is unlikely that a single drug
would cover all its possible manifestations. Available treatments for androgen
excess are not free of side effects that are of particular concern in these women
who suffer from cardiometabolic risk even without treatment. A precise
characterization of the source of androgen excess must tailor antiandrogenic
management in each woman, avoiding undesirable side effects.
PMID- 26549182
TI - Corrigendum.
PMID- 26549184
TI - Filament formation of Salmonella Paratyphi A accompanied by FtsZ assembly
impairment and low level ppGpp.
AB - Previously, we reported that Salmonella enterica serovar Paratyphi A strain S602
grew into multinuclear, nonseptate, and nonlethal filaments on agar plates
containing nitrogenous salts. Strain S602 was more sensitive to osmotic and
oxidative stress than the reference strain 3P243 of nonfilamentous Salmonella
Paratyphi A. Strain S602 had an amber mutation (C154T) in rpoS. The revertant of
this mutant, SR603, was repressed to form filaments under conditions with
abundant nitrogenous salts. However, 3PR244, an rpoS mutant of 3P243 (C154T), did
not form filaments, which implies that the rpoS mutation is not the sole cause of
filamentation in strain S602. Next, we examined whether the level of guanosine 5'
diphosphate 3'-diphosphate (ppGpp) in S602 strain is involved in filament
formation. The intracellular ppGpp level in filamentous cells was lower than that
in nonfilamentous cells. Furthermore, cells belonging to strain RE606, a
derivative of S602 where the intracellular concentration of ppGpp was increased
by overexpression of the relA gene, exhibited normal Z-ring formation and cell
division. In the S602 strain, the decrease in the ppGpp level induced by the
presence of nitrogenous salt and the rpoS mutation led to the inhibition of Z
ring formation and the subsequent filamentation of cells.
PMID- 26549185
TI - Size does matter: 18 amino acids at the N-terminal tip of an amino acid
transporter in Leishmania determine substrate specificity.
AB - Long N-terminal tails of amino acid transporters are known to act as sensors of
the internal pool of amino acids and as positive regulators of substrate flux
rate. In this study we establish that N-termini of amino acid transporters can
also determine substrate specificity. We show that due to alternative trans
splicing, the human pathogen Leishmania naturally expresses two variants of the
proline/alanine transporter, one 18 amino acid shorter than the other. We
demonstrate that the longer variant (LdAAP24) translocates both proline and
alanine, whereas the shorter variant (?18LdAAP24) translocates just proline.
Remarkably, co-expressing the hydrophilic N-terminal peptide of the long variant
with ?18LdAAP24 was found to recover alanine transport. This restoration of
alanine transport could be mediated by a truncated N-terminal tail, though
truncations exceeding half of the tail length were no longer functional. Taken
together, the data indicate that the first 18 amino acids of the negatively
charged N-terminal LdAAP24 tail are required for alanine transport and may
facilitate the electrostatic interactions of the entire negatively charged N
terminal tail with the positively charged internal loops in the transmembrane
domain, as this mechanism has been shown to underlie regulation of substrate flux
rate for other transporters.
PMID- 26549186
TI - Reply to 'Adjusting for Ethnicity'.
PMID- 26549187
TI - Development of suitable hydroponics system for phytoremediation of arsenic
contaminated water using an arsenic hyperaccumulator plant Pteris vittata.
AB - In this study, we found that high-performance hydroponics of arsenic
hyperaccumulator fern Pteris vittata is possible without any mechanical aeration
system, if rhizomes of the ferns are kept over the water surface level. It was
also found that very low-nutrition condition is better for root elongation of P.
vittata that is an important factor of the arsenic removal from contaminated
water. By the non-aeration and low-nutrition hydroponics for four months, roots
of P. vittata were elongated more than 500 mm. The results of arsenate
phytofiltration experiments showed that arsenic concentrations in water declined
from the initial concentrations (50 MUg/L, 500 MUg/L, and 1000 MUg/L) to lower
than the detection limit (0.1 MUg/L) and about 80% of arsenic removed was
accumulated in the fern fronds. The improved hydroponics method for P. vittata
developed in this study enables low-cost phytoremediation of arsenic-contaminated
water and high-affinity removal of arsenic from water.
PMID- 26549188
TI - Nurses' Role in Cardiovascular Risk Assessment and Management in People with
Inflammatory Arthritis: A European Perspective.
AB - INTRODUCTION: Cardiovascular risk (CVR) assessment and management in patients
with inflammatory arthritis (IA) is recommended but European nurses' involvement
in this role has not been well studied. AIM: The aim of the present study was to
explore European nurses' role in assessing and managing CVR, in order to suggest
topics for practice development and research in this area regarding persons with
IA. METHODS: We searched Embase, Cinahl, Cochrane, PsycInfo and PubMed databases
and included European articles from the past ten years if they described how
nurses assess and/or manage CVR. In addition to the systematic review, we
provided case studies from five different countries to illustrate national
guidelines and nurses' role regarding CVR assessment and management in patients
with IA. RESULTS: Thirty-three articles were included. We found that trained
nurses were undertaking CVR assessment and management in different settings and
groups of patients. The assessments include blood pressure, body mass index,
waist circumference, glucose and lipid-profile, adherence to medication and
behavioural risk factors (unhealthy diet, physical inactivity, alcohol and
smoking). Different tools were used to calculate patients' risk. Risk management
differed from brief advice to long-term follow-up. Nurses tended to take a
holistic and individually tailored approach. Clinical examples of inclusion of
rheumatology nurses in these tasks were scarce. CONCLUSION: Nurses undertake CVR
assessment, communication and management in different types of patients. This is
considered to be a highly relevant task for rheumatology nursing, especially in
patients with IA. Further studies are needed to assess patients' perspective,
effectiveness and cost-effectiveness of nurse-led CVR. Copyright (c) 2015 John
Wiley & Sons, Ltd.
PMID- 26549189
TI - A metabolomics study delineating geographical location-associated primary
metabolic changes in the leaves of growing tobacco plants by GC-MS and CE-MS.
AB - Ecological conditions and developmental senescence significantly affect the
physiological metabolism of plants, yet relatively little is known about the
influence of geographical location on dynamic changes in plant leaves during
growth. Pseudotargeted gas chromatography-selected ion monitoring-mass
spectrometry and capillary electrophoresis-mass spectrometry were used to
investigate a time course of the metabolic responses of tobacco leaves to
geographical location. Principal component analysis revealed obvious metabolic
discrimination between growing districts relative to cultivars. A complex carbon
and nitrogen metabolic network was modulated by environmental factors during
growth. When the Xuchang and Dali Districts in China were compared, the results
indicated that higher rates of photosynthesis, photorespiration and respiration
were utilized in Xuchang District to generate the energy and carbon skeletons
needed for the biosynthesis of nitrogen-containing metabolites. The increased
abundance of defense-associated metabolites generated from the shikimate
phenylpropanoid pathway in Xuchang relative to Dali was implicated in protection
against stress.
PMID- 26549190
TI - Comparative risk of oral ulcerations among antipsychotics users - population
based retrospective cohort study.
AB - PURPOSE: The study aimed to evaluate the comparative risk of oral ulcerations
among antipsychotic medications. METHODS: We analyzed the National Health
Insurance Research Database of Taiwan and included patients newly initiated with
a single antipsychotic agent including haloperidol, sulpiride, olanzapine,
quetiapine, risperidone, or amisulpride during 2002 to 2010. The outcome of
interest was oral ulceration, defined by the presence diagnoses of stomatitis and
mucositis, aphthous-like ulceration and oral burns, or dispensing of
stomatological corticosteroids included triamcinolone, dexamethasone,
hydrocortisone, and prednisolone. We conducted Cox proportional hazards
regression to compare the risks of oral ulceration among antipsychotics. RESULTS:
The rate of oral ulcerations was highest in the amisulpride group (217.7 per 1000
person-year), followed by quetiapine (193.9 per 1000 person-year), olanzapine
(161.9 per 1000 person-year), sulpiride (147.1 per 1000 person-year), risperidone
(115.6 per 1000 person-year), haloperidol (107.5 per 1000 person-year) and
aripiprazole (49.8 per 1000 person-year). Compared with haloperidol users, the
adjusted hazard ratio (AHR) was 1.40 (95% CI, 1.12-1.73) in olanzapine, 1.48 (95%
CI, 1.30-1.69) in quetiapine, 1.27 (95% CI, 1.19-1.44) in sulpiride, 1.68 (95%
CI, 0.97-2.59) in amisulpride, 1.02 (95% CI, 0.83-1.45) in risperidone, and 0.41
(95% CI, 0.24-0.72) in aripiprazole users by Cox regression model. CONCLUSION:
Olanzapine, quetiapine, and sulpiride posed a higher risk, while aripiprazole
posed a lower risk of oral ulcerations compared with haloperidol in subjects with
newly initiated antipsychotic therapy. Risperidone and amisulpride tended to have
higher risk of oral ulcerations, but this was not statistically significant.
PMID- 26549192
TI - Why were doctors unable to accomplish their rural-aid mission in China? A
qualitative study.
AB - BACKGROUND: To alleviate the difficulties of rural residents in receiving timely
healthcare, the Chinese government launched a medical rural-aid program that
solicited urban medical professionals to go to rural hospitals for a 1-year
tenure. However, many of urban doctors did not accomplish this task. In this
study, we attempted to investigate the reasons behind the failure to fulfill this
program and to explore a more feasible solution. METHODS: Eleven doctors and
nurses participated in the focus group discussions. Twenty-five interviewees,
including health administrative officials, doctors and managers from both urban
tertiary hospitals and county-level hospitals, participated in semi-structured in
depth telephone interviews. The interview data were summarized and analyzed using
the grounded theory. RESULTS: The failure of this program was attributed to
multiple causes, such as problems with the recipient hospitals, the support
hospitals and the participating doctors, and overall defects in the program
strategy itself. One major reason is the competition between the recipient
hospitals and the support hospitals, which distorted the original purpose of this
rural-aid program. CONCLUSION: The rural-aid program strategy should be adjusted.
The recipient hospitals should be township-level health centers rather than
county-level hospitals. In addition, the relevant policies should be amended and
improved accordingly. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26549191
TI - Rational design of a monomeric and photostable far-red fluorescent protein for
fluorescence imaging in vivo.
AB - Fluorescent proteins (FPs) are powerful tools for cell and molecular biology.
Here based on structural analysis, a blue-shifted mutant of a recently engineered
monomeric infrared fluorescent protein (mIFP) has been rationally designed. This
variant, named iBlueberry, bears a single mutation that shifts both excitation
and emission spectra by approximately 40 nm. Furthermore, iBlueberry is four
times more photostable than mIFP, rendering it more advantageous for imaging
protein dynamics. By tagging iBlueberry to centrin, it has been demonstrated that
the fusion protein labels the centrosome in the developing zebrafish embryo.
Together with GFP-labeled nucleus and tdTomato-labeled plasma membrane, time
lapse imaging to visualize the dynamics of centrosomes in radial glia neural
progenitors in the intact zebrafish brain has been demonstrated. It is further
shown that iBlueberry can be used together with mIFP in two-color protein
labeling in living cells and in two-color tumor labeling in mice.
PMID- 26549193
TI - Different Omega-3 Formulations Yield to Diverse Clinical Response: A Case-Report.
AB - Treatment guidelines recommend omega-3 with Docosahexaenoic Acid (DHA) and
Eicosapentaenoic Acid (EPA) content not above 85% in patients with high plasma
levels of triglycerides. Since the different up to date formulation of omega-3
available in commerce must be similar to clinical efficacy and safety, herein, we
report the case a 52-year-old woman who presented clinical inefficacy using
Olevia((r)) omega-3 treatment. Clinical evaluation excluded the presence of
intestinal or systemic diseases able to reduce the drug absorption. Switching the
therapy from (Olevia((r))) to an equivalent omega-3 formulation (Esapent((r))),
we documented a decrease in her plasma triglycerides levels. In order to evaluate
a possible difference between these formulations we performed a single blind in
vitro dissolution test using three pills for each formulation of omega-3
(Olevia((r)), Esapent(r) and another one chosen between the several formulations
available in commerce: DOC Generic((r))) that revealed a significant difference
(>20%) in the dissolution time of three different omega- 3 commercially available
drug formulation.
PMID- 26549194
TI - Higher Incentive Payments in Medicare Advantage's Pay-for-Performance Program Did
Not Improve Quality But Did Increase Plan Offerings.
AB - OBJECTIVE: To evaluate the effects of the size of financial bonuses on quality of
care and the number of plan offerings in the Medicare Advantage Quality Bonus
Payment Demonstration. DATA SOURCES: Publicly available data from CMS from 2009
to 2014 on Medicare Advantage plan quality ratings, the counties in the service
area of each plan, and the benchmarks used to construct plan payments. STUDY
DESIGN: The Medicare Advantage Quality Bonus Payment Demonstration began in 2012.
Under the Demonstration, all Medicare Advantage plans were eligible to receive
bonus payments based on plan-level quality scores (star ratings). In some
counties, plans were eligible to receive bonus payments that were twice as large
as in other counties. We used this variation in incentives to evaluate the
effects of bonus size on star ratings and the number of plan offerings in the
Demonstration using a differences-in-differences identification strategy. We used
matching to create a comparison group of counties that did not receive double
bonuses but had similar levels of the preintervention outcomes. PRINCIPAL
FINDINGS: Results from the difference-in-differences analysis suggest that the
receipt of double bonuses was not associated with an increase in star ratings. In
the matched sample, the receipt of double bonuses was associated with a
statistically insignificant increase of +0.034 (approximately 1 percent) in the
average star rating (p > .10, 95 percent CI: -0.015, 0.083). In contrast, the
receipt of double bonuses was associated with an increase in the number of plans
offered. In the matched sample, the receipt of double bonuses was associated with
an overall increase of +0.814 plans (approximately 5.8 percent) (p < .05, 95
percent CI: 0.078, 1.549). We estimate that the double bonuses increased payments
by $3.43 billion over the first 3 years of the Demonstration. CONCLUSIONS: At
great expense to Medicare, double bonuses in the Medicare Advantage Quality Bonus
Payment Demonstration were not associated with improved quality but were
associated with more plan offerings.
PMID- 26549195
TI - Identification of lactic acid bacteria isolated from wine using real-time PCR.
AB - Different lactic acid bacteria strains have been shown to cause wine spoilage,
including the generation of substances undesirable for the health of wine
consumers. The aim of this study was to investigate the occurrence of selected
species of heterofermentative lactobacilli, specifically Lactobacillus brevis,
Lactobacillus hilgardii, and Lactobacillus plantarum in six different Slovak red
wines following the fermentation process. In order to identify the dominant
Lactobacillus strain using quantitative (real time) polymerized chain reaction
(qPCR) method, pure lyophilized bacterial cultures from the Czech Collection of
Microorganisms were used. Six different red wine samples following malolactic
fermentation were obtained from selected wineries. After collection, the samples
were subjected to a classic plate dilution method for enumeration of lactobacilli
cells. Real-time PCR was performed after DNA extraction from pure bacterial
strains and wine samples. We used SYBR(r) Green master mix reagents for measuring
the fluorescence in qPCR. The number of lactobacilli ranged from 3.60 to 5.02 log
CFU mL(-1). Specific lactobacilli strains were confirmed by qPCR in all wine
samples. The number of lactobacilli ranged from 10(3) to 10(6) CFU mL(-1). A
melting curve with different melting temperatures (T(m)) of DNA amplicons was
obtained after PCR for the comparison of T(m) of control and experimental
portions, revealing that the most common species in wine samples was
Lactobacillus plantarum with a T(m) of 84.64 degrees C.
PMID- 26549196
TI - Aerobic Dimerization of Enediyne Compounds: Construction of Naphthalene
Frameworks.
AB - The first bimolecular oxygenative annulation of enediyne compounds leading to
naphthalene frameworks has been developed by using Pd(OAc)2 as the catalyst in
the presence of NaI under O2 (1 atm). This reaction provided efficient access to
a class of symmetric core-annulated naphthalenes by the homoannulation of
enediyne-imides. Intriguingly, the crossover annulation of enediyne-imides and
other functionalized enediynes could also be achieved by the same catalytic
system, resulting in the formation of several unsymmetrical naphthalene
derivatives. Preliminary mechanistic investigation using (18) O isotopic
labelling and radical scavengers indicated that radical oxygen incorporation
cascades might be involved in this conversion.
PMID- 26549197
TI - miR-150 Regulates Differentiation and Cytolytic Effector Function in CD8+ T
cells.
AB - MicroRNAs regulate most mammalian genes, and they control numerous aspects of
immune system development and function. Their precise roles in the CD8+ T cell
response, however, remain unclear. In this report, we show that in the absence of
the microRNA miR-150, CD8+ T cells fail to undergo robust expansion and
differentiation into short-lived terminal effector cells in response to primary
infection with Listeria monocytogenes or Vaccinia virus. Notably, even after
transitioning into the memory pool, miR-150(-/-) cells still mount a weaker
recall response to secondary infection, and remain less differentiated than their
wild-type counterparts. Transcriptome analysis shows miR-150 gene targets are
globally upregulated in cells lacking miR-150, and amongst these targets, we
found misregulation of genes associated with proliferation and effector cell
function. These transcriptome data suggest that miR-150 deficient CD8+ T cells
are less efficient in killing infected cells, which we validate experimentally.
Together, these results reveal a cell-intrinsic role for miR-150 in the
regulation of effector CD8+ T cell fate and function.
PMID- 26549198
TI - Chromatographic elution process design space development for the purification of
saponins in Panax notoginseng extract using a probability-based approach.
AB - A Monte Carlo method was used to develop the design space of a chromatographic
elution process for the purification of saponins in Panax notoginseng extract.
During this process, saponin recovery ratios, saponin purity, and elution
productivity are determined as process critical quality attributes, and ethanol
concentration, elution rate, and elution volume are identified as critical
process parameters. Quadratic equations between process critical quality
attributes and critical process parameters were established using response
surface methodology. Then probability-based design space was computed by
calculating the prediction errors using Monte Carlo simulations. The influences
of calculation parameters on computation results were investigated. The optimized
calculation condition was as follows: calculation step length of 0.02, simulation
times of 10 000, and a significance level value of 0.15 for adding or removing
terms in a stepwise regression. Recommended normal operation region is located in
ethanol concentration of 65.0-70.0%, elution rate of 1.7-2.0 bed volumes (BV)/h
and elution volume of 3.0-3.6 BV. Verification experiments were carried out and
the experimental values were in a good agreement with the predicted values. The
application of present method is promising to develop a probability-based design
space for other botanical drug manufacturing process.
PMID- 26549200
TI - Natural Inhibitors of Lipase: Examining Lipolysis in a Single Droplet.
AB - Inhibition of lipase activity is one of the approaches to reduced fat intake with
nutritional prevention promoting healthier diet. The food industry is very
interested in the use of natural extracts, hence reducing the side effects of
commercial drugs inhibiting lipolysis. In this work we propose a novel
methodology to rapidly assess lipolysis/inhibition in a single droplet by
interfacial tension and dilatational elasticity. The evolution of the interfacial
tension of lipase in simplified duodenal fluid in the absence and that in the
presence of the pharmaceutical drug Xenical are the negative (5 +/- 1 mN/m) and
positive (9 +/- 1 mN/m) controls of the inhibition of lipolysis, respectively.
Then, we correlate the inhibition with the reduction of the interfacial activity
of lipase and further identify the mode of action of the inhibition based on
dilatational response (conformational changes induced in the molecule/blocking of
adsorption sites). This work provides new insight into the lipase inhibition
mechanism and a rapid methodology to identify the potential of new natural
inhibitors.
PMID- 26549199
TI - Hippocampal mitogen-activated protein kinase activation is associated with
intermittent hypoxia in a rat model of obstructive sleep apnea syndrome.
AB - Obstructive sleep apnea syndrome (OSAS), characterized by intermittent hypoxia/re
oxygenation, may impair the cerebral system. Although mitogen-activated protein
kinase (MAPK) signaling was observed to have a key role in hypoxia-induced brain
injury, the intracellular events and their underlying mechanisms for intermittent
hypoxia/re-oxygenation-associated damage to hippocamal MAPKs, including
extracellular signal-regulated kinase (ERK)1/2, P38MAPK and c-Jun N-terminal
kinase (JNK) remain to be elucidated and require further investigation. A total
of five rats in each sub-group were exposed to intermittent hypoxia or continued
hypoxia for 2, 4, 6 or 8 weeks. Histological, immunohistochemical and biological
analyses were performed to assess nerve cell injury in the hippocampus. Surviving
CA1 pyramidal cells were identified by hematoxylin and eosin staining. The levels
of phosphorylated ERK1/2, P38MAPK and JNK were detected by western blotting. B
cell lymphoma 2 (Bcl-2) and Bcl-2-associated X protein (Bax) in neural cells were
examined by immunohistochemistry. The malondialdehyde (MDA) contents and
superoxide dismutase (SOD) activities were measured by thiobarbituric acid and
xanthine oxidation methods, respectively. Under continued hypoxia, the levels of
phospho-ERK1/2 peaked at the fourth week and then declined, whereas phospho
P38MAPK and JNK were detected only in the late stages. By contrast, under
intermittent hypoxia, ERK1/2, P38MAPK and JNK were activated at all time-points
assessed (2, 4, 6 and 8 weeks). The levels of phospho-ERK1/2, P38MAPK and JNK
were all higher in the intermittent hypoxia groups than those in the
corresponding continued hypoxia groups. Bcl-2 was mainly increased and reached
the highest level at six weeks in the continued hypoxia group. Of note, Bcl-2
rapidly increased to the peak level at four weeks, followed by a decrease to the
lowest level at the eighth week in the intermittent hypoxia group. Bax was
generally increased at the late stages under continued hypoxia, but increased at
all time-points under the intermittent hypoxia conditions. The two types of
hypoxia induced an increase in the MDA content, but a decrease in SOD activity.
Marked changes in these two parameters coupled with markedly reduced surviving
cells in the hippocampus in a time-dependent manner were observed in the
intermittent hypoxia group in comparison with the continued hypoxia group. OSAS
induced intermittent hypoxia markedly activated the MAPK signaling pathways,
which were triggered by oxidative stress, leading to abnormal expression of
downstream Bcl-2 and Bax, and a severe loss of neural cells in the hippocampus.
PMID- 26549201
TI - Gold over Branched Palladium Nanostructures for Photothermal Cancer Therapy.
AB - Bimetallic nanostructures show exciting potential as materials for effective
photothermal hyperthermia therapy. We report the seed-mediated synthesis of
palladium-gold (Pd-Au) nanostructures containing multiple gold nanocrystals on
highly branched palladium seeds. The nanostructures were synthesized via the
addition of a gold precursor to a palladium seed solution in the presence of
oleylamine, which acts as both a reducing and a stabilizing agent. The
interaction and the electronic coupling between gold nanocrystals and between
palladium and gold broadened and red-shifted the localized surface plasmon
resonance absorption maximum of the gold nanocrystals into the near-infrared
region, to give enhanced suitability for photothermal hyperthermia therapy. Pd-Au
heterostructures irradiated with an 808 nm laser light caused destruction of HeLa
cancer cells in vitro, as well as complete destruction of tumor xenographs in
mouse models in vivo for effective photothermal hyperthermia.
PMID- 26549203
TI - Rotational dynamics of organic cations in the CH3NH3PbI3 perovskite.
AB - Methylammonium lead iodide (CH3NH3PbI3) based solar cells have shown impressive
power conversion efficiencies of above 20%. However, the microscopic mechanism of
the high photovoltaic performance is yet to be fully understood. Particularly,
the dynamics of CH3NH3(+) cations and their impact on relevant processes such as
charge recombination and exciton dissociation are still poorly understood. Here,
using elastic and quasi-elastic neutron scattering techniques and group
theoretical analysis, we studied rotational modes of the CH3NH3(+) cation in
CH3NH3PbI3. Our results show that, in the cubic (T > 327 K) and tetragonal (165 K
< T < 327 K) phases, the CH3NH3(+) ions exhibit four-fold rotational symmetry of
the C-N axis (C4) along with three-fold rotation around the C-N axis (C3), while
in the orthorhombic phase (T < 165 K) only C3 rotation is present. At around room
temperature, the characteristic relaxation times for the C4 rotation are found to
be tauC4 ~ 5 ps while for the C3 rotation tauC3 ~ 1 ps. The T-dependent
rotational relaxation times were fitted with Arrhenius equations to obtain
activation energies. Our data show a close correlation between the C4 rotational
mode and the temperature dependent dielectric permittivity. Our findings on the
rotational dynamics of CH3NH3(+) and the associated dipole have important
implications for understanding the low exciton binding energy and a slow charge
recombination rate in CH3NH3PbI3 which are directly relevant for the high solar
cell performance.
PMID- 26549204
TI - Clinical effectiveness of CT-P13 (Infliximab biosimilar) used as a switch from
Remicade (infliximab) in patients with established rheumatic disease. Report of
clinical experience based on prospective observational data.
AB - OBJECTIVE: To gain clinical experience on the effectiveness and safety of
switching from infliximab-Remicade(INX) to infliximab-biosimilar-CT-P13(INB) in
patients with established rheumatic disease. METHODS: Patients receiving INX
treatment at a rheumatology clinic consented to switching from INX to INB.
Patient reported outcomes (PROs), disease-activity, and inflammatory markers were
recorded at every visit. Generalized estimating equation models and time
dependent area under the curve (AUC) before/during INX and INB treatments were
employed. RESULTS: Thirty-nine consecutive patients [mean (SD) age 53 (11), 17 F]
with various rheumatic diseases were switched to INB after a mean (SD) of 4.1
(2.3) years on INX. Thirty-one patients were on concomitant methotrexate. At a
median (range) of 11 (7.5-13) months following the first administration of INB,
AUCs for disease activity and PROs were similar for INX and INB. They were better
compared to those prior to INX. Eleven patients (28.2%) discontinued INB, due to
INX antidrug antibodies detected prior to INB infusion (n = 3); latent
tuberculosis (n = 1); new-onset neurofibromatosis (n = 1); subjective reasons
with no objective deterioration of disease (n = 6). CONCLUSION: The clinical
effectiveness of INB in both PROs and disease-activity measures was comparable to
INX during the first year of switching, with no immediate safety signals.
Subjective reasons (negative expectations) may play a role among discontinuations
of biosimilars. Larger patient numbers and longer follow-up are necessary for
confirming this clinical experience.
PMID- 26549202
TI - Chronic intermittent alcohol disrupts the GluN2B-associated proteome and
specifically regulates group I mGlu receptor-dependent long-term depression.
AB - N-Methyl-d-aspartate receptors (NMDARs) are major targets of both acute and
chronic alcohol, as well as regulators of plasticity in a number of brain
regions. Aberrant plasticity may contribute to the treatment resistance and high
relapse rates observed in alcoholics. Recent work suggests that chronic alcohol
treatment preferentially modulates both the expression and subcellular
localization of NMDARs containing the GluN2B subunit. Signaling through synaptic
and extrasynaptic GluN2B-NMDARs has already been implicated in the
pathophysiology of various other neurological disorders. NMDARs interact with a
large number of proteins at the glutamate synapse, and a better understanding of
how alcohol modulates this proteome is needed. We employed a discovery-based
proteomic approach in subcellular fractions of hippocampal tissue from chronic
intermittent alcohol (CIE)-exposed C57Bl/6J mice to gain insight into alcohol
induced changes in GluN2B signaling complexes. Protein enrichment analyses
revealed changes in the association of post-synaptic proteins, including
scaffolding, glutamate receptor and PDZ-domain binding proteins with GluN2B. In
particular, GluN2B interaction with metabotropic glutamate (mGlu)1/5 receptor
dependent long-term depression (LTD)-associated proteins such as Arc and Homer 1
was increased, while GluA2 was decreased. Accordingly, we found a lack of mGlu1/5
-induced LTD while alpha1 -adrenergic receptor-induced LTD remained intact in
hippocampal CA1 following CIE. These data suggest that CIE specifically disrupts
mGlu1/5 -LTD, representing a possible connection between NMDAR and mGlu receptor
signaling. These studies not only demonstrate a new way in which alcohol can
modulate plasticity in the hippocampus but also emphasize the utility of this
discovery-based proteomic approach to generate new hypotheses regarding alcohol
related mechanisms.
PMID- 26549205
TI - Endoperoxides Revealed as Origin of the Toxicity of Graphene Oxide.
AB - Potential biomedicinal applications of graphene oxide (GO), for example, as a
carrier of biomolecules or a reagent for photothermal therapy and biosensing, are
limited by its cytotoxicity and mutagenicity. It is believed that these
properties are at least partially caused by GO-induced oxidative stress in cells.
However, it is not known which chemical fragments of GO are responsible for this
unfavorable effect. We generated four GOs containing variable redox-active groups
on the surface, including Mn(2+), C-centered radicals, and endoperoxides (EPs). A
comparison of the abilities of these materials to generate reactive oxygen
species in human cervical cancer cells revealed that EPs play a crucial role in
GO-induced oxidative stress. These data could be applied to the rational design
of biocompatible nontoxic GOs for biomedical applications.
PMID- 26549206
TI - Chromosome-Based Proteomic Study for Identifying Novel Protein Variants from
Human Hippocampal Tissue Using Customized neXtProt and GENCODE Databases.
AB - The goal of the Chromosome-Centric Human Proteome Project (C-HPP) is to fully
provide proteomic information from each human chromosome, including novel
proteoforms, such as novel protein-coding variants expressed from noncoding
genomic regions, alternative splicing variants (ASVs), and single amino acid
variants (SAAVs). In the 144 LC/MS/MS raw files from human hippocampal tissues of
control, epilepsy, and Alzheimer's disease, we identified the novel proteoforms
with a workflow including integrated proteomic pipeline using three different
search engines, MASCOT, SEQUEST, and MS-GF+. With a <1% false discovery rate
(FDR) at the protein level, the 11 detected peptides mapped to four translated
long noncoding RNA variants against the customized databases of GENCODE lncRNA,
which also mapped to coding-proteins at different chromosomal sites. We also
identified four novel ASVs against the customized databases of GENCODE
transcript. The target peptides from the variants were validated by tandem MS
fragmentation pattern from their corresponding synthetic peptides. Additionally,
a total of 128 SAAVs paired with their wild-type peptides were identified with
FDR <1% at the peptide level using a customized database from neXtProt including
nonsynonymous single nucleotide polymorphism (nsSNP) information. Among these
results, several novel variants related in neuro-degenerative disease were
identified using the workflow that could be applicable to C-HPP studies. All raw
files used in this study were deposited in ProteomeXchange (PXD000395).
PMID- 26549207
TI - Stage IB cervix cancer with nodal involvement treated with primary surgery or
primary radiotherapy: Patterns of failure and outcomes in a contemporary
population.
AB - INTRODUCTION: The purpose of this study is to evaluate patterns of failure,
overall survival (OS), disease-free survival (DFS), prognostic factors and late
toxicities in node positive International Federation of Gynaecology and
Obstetrics (FIGO) stage IB cervix cancer treated with curative intent. METHODS:
Patients with FIGO stage IB cervix cancer and positive nodes were identified from
the Peter MacCallum Cancer Centre prospective gynaecology database. Patients were
treated with primary surgery and adjuvant radiotherapy (S + RT) or primary
radiotherapy (primary RT). Prognostic factors examined were tumour size,
histology, grade, lymphovascular invasion or corpus uterine invasion, MRI tumour
volume, number of nodes involved, highest site of nodal involvement, treatment
modality, age and smoking. RESULTS: Of the 103 eligible patients, 43 patients had
S + RT and 60 patients had primary RT. Tumours were significantly smaller in the
S + RT group (mean 3.0 cm vs. 4.5 cm, P < 0.001). Five-year OS (95% confidence
interval) and DFS (95% confidence interval) for the whole cohort was 67.6% (56.5
76.4%) and 66.1% (55.7-74.6%), respectively. Tumour diameter and number of
positive nodes were significant prognostic factors for OS and DFS and smoking was
related to DFS. Treatment modality was not a significant prognostic factor in OS
and DFS. Of 33 patients that relapsed, 32 patients relapsed outside the pelvis.
One patient failed in the pelvis only. CONCLUSIONS: Early stage cervix cancer
with nodal involvement is associated with excellent pelvic disease control
following curative intent treatment. Almost all relapses occurred beyond the
pelvis and therefore more aggressive local treatment is unlikely to improve
survival in these patients.
PMID- 26549208
TI - Adsorption of doxorubicin on citrate-capped gold nanoparticles: insights into
engineering potent chemotherapeutic delivery systems.
AB - Gold nanomaterials have received great interest for their use in cancer
theranostic applications over the past two decades. Many gold nanoparticle-based
drug delivery system designs rely on adsorbed ligands such as DNA or cleavable
linkers to load therapeutic cargo. The heightened research interest was recently
demonstrated in the simple design of nanoparticle-drug conjugates wherein drug
molecules are directly adsorbed onto the as-synthesized nanoparticle surface. The
potent chemotherapeutic, doxorubicin often serves as a model drug for gold
nanoparticle-based delivery platforms; however, the specific interaction
facilitating adsorption in this system remains understudied. Here, for the first
time, we propose empirical and theoretical evidence suggestive of the main
adsorption process where (1) hydrophobic forces drive doxorubicin towards the
gold nanoparticle surface before (2) cation-pi interactions and gold-carbonyl
coordination between the drug molecule and the cations on AuNP surface facilitate
DOX adsorption. In addition, biologically relevant compounds, such as serum
albumin and glutathione, were shown to enhance desorption of loaded drug
molecules from AuNP at physiologically relevant concentrations, providing insight
into the drug release and in vivo stability of such drug conjugates.
PMID- 26549209
TI - Extraordinarily high aldosterone, 901.0 ng/dL, in a patient with primary
aldosteronism: an insight into the underlying mechanism.
AB - A 43-yr-old hypertensive male was admitted due to hypokalemia (1.8 mEq/L) and
renal dysfunction (eGFR, 20.0 mL/min/1.73 m2). His plasma aldosterone was 901.0
ng/dL, plasma renin activity 5.7 ng/mL/hr, and aldosterone/renin activity ratio
158. Angiotensin II (AII) was 0.7 pg/mL, ACTH <1.0 pg/mL, and cortisol 21.6
MUg/dL. Liquid chromatography-tandem mass spectrometry analysis showed that
aldosterone (104 times the control) as well as its precursors were significantly
elevated in the patient's plasma. A left adrenal (4-cm-diameter) tumor with 131I
Adosterol uptake was found and removed. Four days later, plasma aldosterone and
renin activity had dropped to 7.73 ng/dL and 1.6 ng/mL/hr, respectively. However,
they rose to 24.0 ng/dL and 10.9 ng/mL/hr, respectively, by Day 102.
Nevertheless, magnetic resonance angiography found no evidence of a renovascular
lesion. The tumor was a benign adrenocortical adenoma composed predominantly of
clear cells positive for 17alpha-hydroxylase, [hydroxy-delta-5-steroid
dehydrogenase, 3 beta- and steroid delta-isomerases], and aldosterone synthase. A
quantitative real-time polymerase chain reaction analysis of the tumor cells
revealed that expression of the gene encoding aldosterone synthase was 85 times
the control level. In addition, the tumor cells harbored G151R mutation of the
inward rectifying potassium channel subfamily j, member 5 gene. The striking
overexpression of aldosterone synthase by the tumor cells was considered the
primary mechanism for the extravagant overproduction of aldosterone in this case.
This overexpression may have resulted from integration of signals from AII and
forced membrane depolarization due to the potassium channel mutation.
PMID- 26549210
TI - SGLT2 inhibitors provide an effective therapeutic option for diabetes complicated
with insulin antibodies.
AB - Diabetes mellitus complicated with insulin antibodies is rare in clinical
practice but usually difficult to control. A high amount of insulin antibodies,
especially with low affinity and high binding capacity, leads to unstable
glycemic control characterized by hyperglycemia unresponsive to large volume of
insulin and unanticipated hypoglycemia. There are several treatment options, such
as changing insulin preparation, immunosupression with glucocorticoids, and
plasmapheresis, most of which are of limited efficacy. Sodium-glucose
cotransporter 2 (SGLT2) inhibitors are a novel class of drug which decrease renal
glucose reabsorption and lowers plasma glucose level independent of insulin
action. We report here a case with diabetes complicated with insulin antibodies
who was effectively controlled by an SGLT2 inhibitor. A 47-year-old man with type
2 diabetes treated with insulin had very poor glycemic control characterized by
postprandial hyperglycemia unresponsive to insulin therapy and repetitive
hypoglycemia due to insulin antibodies. Treatment with ipragliflozin, an SGLT2
inhibitor, improved HbA1c from 8.4% to 6.0% and glycated albumin from 29.4% to
17.9%. Continuous glucose monitoring revealed improvement of glycemic profile
(average glucose level from 212 mg/dL to 99 mg/dL and glycemic standard deviation
from 92 mg/dL to 14 mg/dL) with disappearance of hypoglycemic events. This
treatment further ameliorated the characteristics of insulin antibodies and
resulted in reduced insulin requirement. SGLT2 inhibitors may offer an effective
treatment option for managing the poor glycemic control in diabetes complicated
with insulin antibodies.
PMID- 26549212
TI - Electric field generation of Skyrmion-like structures in a nematic liquid
crystal.
AB - Skyrmions are particle-like topological objects that are increasingly drawing
attention in condensed matter physics, where they are connected to inversion
symmetry breaking and chirality. Here we report the generation of stable Skyrmion
like structures in a thin nematic liquid crystal film on chemically patterned
patchy surfaces. Using the interplay of material elasticity and surface boundary
conditions, we use a strong electric field to quench the nematic liquid crystal
from a fully aligned phase to vortex-like nematic liquid crystal structures,
centered on patterned patches, which carry two different sorts of topological
defects. Numerical calculations reveal that these are Skyrmion-like structures,
seeded from the surface boojum topological defects and swirling towards the
second confining surface. These observations, supported by numerical methods,
demonstrate the possibility to generate, manipulate and study Skyrmion-like
objects in nematic liquid crystals on patterned surfaces.
PMID- 26549211
TI - Delta-secretase cleaves amyloid precursor protein and regulates the pathogenesis
in Alzheimer's disease.
AB - The age-dependent deposition of amyloid-beta peptides, derived from amyloid
precursor protein (APP), is a neuropathological hallmark of Alzheimer's disease
(AD). Despite age being the greatest risk factor for AD, the molecular mechanisms
linking ageing to APP processing are unknown. Here we show that asparagine
endopeptidase (AEP), a pH-controlled cysteine proteinase, is activated during
ageing and mediates APP proteolytic processing. AEP cleaves APP at N373 and N585
residues, selectively influencing the amyloidogenic fragmentation of APP. AEP is
activated in normal mice in an age-dependent manner, and is strongly activated in
5XFAD transgenic mouse model and human AD brains. Deletion of AEP from 5XFAD or
APP/PS1 mice decreases senile plaque formation, ameliorates synapse loss,
elevates long-term potentiation and protects memory. Blockade of APP cleavage by
AEP in mice alleviates pathological and behavioural deficits. Thus, AEP acts as a
delta-secretase, contributing to the age-dependent pathogenic mechanisms in AD.
PMID- 26549213
TI - Osthole ameliorates acute myocardial infarction in rats by decreasing the
expression of inflammatory-related cytokines, diminishing MMP-2 expression and
activating p-ERK.
AB - Osthole, the active constituent of Cnidium monnieri extracts, has been shown to
have a diverse range of pharmacological properties. In the present study, we
aimed to evaluate the cardioprotective effects of osthole in a rat model of acute
myocardial infarction (AMI). The rats with AMI were treated with 1, 3 and 10
mg/kg of osthole or the vehicle for 4 weeks. The infarct size of the rats with
AMI was measured, and casein kinase (CK), the MB isoenzyme of creatine kinase (CK
MB), lactate dehydrogenase (LDH) and cardiac troponin T (cTnT) activities in the
rats with AMI were analyzed using commercially available kits. The nuclear factor
kappaB (NF-kappaB), tumor necrosis factor-alpha (TNF-alpha), interleukin (IL)
1beta and IL-6 levels in whole blood from rats with AMI were also detected using
commercially available kits. The levels of Toll-like receptors 2/4 (TLR2/4) and
nucleotide-binding oligomerization domain-containing protein 1/2 (NOD1/2) were
also detected by RT-qPCR. Moreover, the protein expression levels of endothelial
nitric oxide synthase (eNOS) and mitogen-activated protein kinase (MAPK)
cascades, including extracellular signal-regulated kinase (ERK), c-Jun N-terminal
kinase (JNK) and p38, cyclooxygenase-2 (COX-2), as well as matrix
metalloproteinase-2 (MMP-2) were all assayed by western blot analysis. Our
results revealed that osthole markedly reduced the infarct size, and the levels
of CK, CK-MB, LDH and cTnT in the rats with AMI, and that these cardioprotective
effects may be associated with the inhibition of inflammatory reactions, the
reduction in MMP-2 activity and the activation of MAPK cascades.
PMID- 26549214
TI - Fluoxetine ameliorates cognitive impairments induced by chronic cerebral
hypoperfusion via down-regulation of HCN2 surface expression in the hippocampal
CA1 area in rats.
AB - Chronic cerebral hypoperfusion (CCH) causes cognitive impairments and increases
the risk of Alzheimer's disease (AD) and vascular dementia (VD) through several
biologically plausible pathways, yet the underlying neurobiological mechanisms
are still poorly understood. In this study, we investigated whether fluoxetine, a
selective serotonin reuptake inhibitor (SSRI), could play a neuroprotective role
against chronic cerebral hypoperfusion injury and to clarify underlying
mechanisms of its efficacy. Rats were subjected to permanent bilateral occlusion
of the common carotid arteries (two-vessel occlusion, 2VO). Two weeks later, rats
were treated with 30 mg/kg fluoxetine (intragastric injection, i.g.) for 6 weeks.
Cognitive function was evaluated by Morris water maze (MWM) and novel objects
recognition (NOR) test. Long-term potentiation (LTP) was used to address the
underlying synaptic mechanisms. Western blotting was used to quantify the protein
levels. Our results showed that fluoxetine treatment significantly improved the
cognitive impairments caused by 2VO, accompanied with a reversion of 2VO-induced
inhibitory of LTP. Furthermore, 2VO caused an up-regulation of hyperpolarization
activated cyclic nucleotide-gated channel 2 (HCN2) surface expressions in the
hippocampal CA1 area and fluoxetine also effectively recovered the disorder of
HCN2 surface expressions, which may be a possible mechanism that fluoxetine
treatment ameliorates cognitive impairments in rats with CCH.
PMID- 26549215
TI - Speckle-Tracking Echocardiography to Detect Cardiac Toxicity in Children Who
Received Anthracyclines During Pregnancy.
AB - Cardiac toxicities remain a possible risk to fetuses that received anthracyclines
during pregnancy. The introduction of new echocardiographic techniques will
improve the detection of early cardiac damage. Thus, we began a observational
study using speckle-tracking echocardiography (STE) in children who had received
anthracyclines during pregnancy, including the first trimester. From 2009 to
2013, we performed STE on patients > 5 years old, whose mothers had received
anthracyclines during pregnancy. Siblings or cousins of equivalent age and gender
were used as the control group. A total of 90 children fulfilled the entry
criteria. Our results with STE were normal in all echocardiography parameters and
did not show any differences when compared with the findings from the control
group. We consider that the use of anthracyclines during pregnancy does not
produce cardiac damage in newborns and can be safely administered, because no
cardiac toxicity was evident in these children and it is of benefit to the
mother.
PMID- 26549217
TI - Are Technology Interruptions Impacting Your Bottom Line? An Innovative Proposal
for Change.
AB - BACKGROUND: Nursing interruptions are a costly and dangerous variable in acute
care hospitals. Malfunctioning technology equipment interrupts nursing care and
prevents full utilization of computer safety systems to prevent patient care
errors. AIMS: This paper identifies an innovative approach to nursing
interruptions related to computer and computer cart malfunctions. The impact on
human resources is defined and outcome measures were proposed. A multifaceted
proposal, based on a literature review, aimed at reducing nursing interruptions
is presented. This proposal is expected to increase patient safety, as well as
patient and nurse satisfaction. SETTING: Acute care hospitals utilizing
electronic medical records and bar-coded medication administration technology.
PARTICIPANTS: Nurses, information technology staff, nursing informatics staff,
and all leadership teams affected by technology problems and their proposed
solutions. METHODS: Literature from multiple fields was reviewed to evaluate
research related to computer/computer cart failures, and the approaches used to
resolve these issues. RESULTS: Outcome measured strategic goals related to
patient safety, and nurse and patient satisfaction. Specific help desk metrics
will demonstrate the effect of interventions. CONCLUSIONS: This paper addresses a
gap in the literature and proposes practical and innovative solutions. A
comprehensive computer and computer cart repair program is essential for patient
safety, financial stewardship, and utilization of resources.
PMID- 26549216
TI - The genetic regulatory network centered on Pto-Wuschela and its targets involved
in wood formation revealed by association studies.
AB - Transcription factors (TFs) regulate gene expression and can strongly affect
phenotypes. However, few studies have examined TF variants and TF interactions
with their targets in plants. Here, we used genetic association in 435 unrelated
individuals of Populus tomentosa to explore the variants in Pto-Wuschela and its
targets to decipher the genetic regulatory network of Pto-Wuschela. Our
bioinformatics and co-expression analysis identified 53 genes with the motif
TCACGTGA as putative targets of Pto-Wuschela. Single-marker association analysis
showed that Pto-Wuschela was associated with wood properties, which is in
agreement with the observation that it has higher expression in stem vascular
tissues in Populus. Also, SNPs in the 53 targets were associated with growth or
wood properties under additive or dominance effects, suggesting these genes and
Pto-Wuschela may act in the same genetic pathways that affect variation in these
quantitative traits. Epistasis analysis indicated that 75.5% of these genes
directly or indirectly interacted Pto-Wuschela, revealing the coordinated genetic
regulatory network formed by Pto-Wuschela and its targets. Thus, our study
provides an alternative method for dissection of the interactions between a TF
and its targets, which will strength our understanding of the regulatory roles of
TFs in complex traits in plants.
PMID- 26549218
TI - Correlates of nutrition label use among college students and young adults: a
review.
AB - OBJECTIVE: Nutrition labels are an essential source for consumers to obtain
nutrition-related information on food products and serve as a population-level
intervention with unparalleled reach. The present study systematically reviewed
existing evidence on the correlates of nutrition label use among college students
and young adults. DESIGN: Keyword and reference searches were conducted in
PubMed, EBSCO, PsycInfo, Cochrane Library and Web of Science. Inclusion criteria
included: study design (randomized controlled trial, cohort study, pre-post study
or cross-sectional study); population (college students and young adults 18-30
years old); main outcome (nutrition label use); article type (peer-reviewed
publication); and language (English). SETTING: College/university. SUBJECTS:
College students and young adults. RESULTS: Sixteen studies based on data from
college surveys in four countries (USA, UK, Canada, South Korea) were identified
from keyword and reference search. Reported prevalence of nutrition label use
varied substantially across studies; a weighted average calculation showed 36.5 %
of college students and young adults reported using labels always or often.
Females were more likely to use nutrition labels than males. Nutrition label use
was found to be associated with attitudes towards healthy diet, beliefs on the
importance of nutrition labels in guiding food selection, self-efficacy, and
nutrition knowledge and education. CONCLUSIONS: The impact of nutrition labelling
on food purchase and intake could differ by population subgroups. Nutrition
awareness campaigns and education programmes may be important mechanisms for
promoting nutrition label use among college students and young adults. Future
research is warranted to assess the role of label use on improved dietary
decisions.
PMID- 26549219
TI - DNA Methylation of MMP9 Is Associated with High Levels of MMP-9 Messenger RNA in
Periapical Inflammatory Lesions.
AB - INTRODUCTION: Matrix metalloproteinases (MMPs) are the major class of enzymes
responsible for degradation of extracellular matrix components and participate in
the pathogenesis of periapical inflammatory lesions. MMP expression may be
regulated by DNA methylation. The purpose of the present investigation was to
analyze the expression of MMP2 and MMP9 in periapical granulomas and radicular
cysts and to test the hypothesis that, in these lesions, their transcription may
be modulated by DNA methylation. METHODS: Methylation-specific polymerase chain
reaction was used to evaluate the DNA methylation pattern of the MMP2 gene in 13
fresh periapical granuloma samples and 10 fresh radicular cyst samples.
Restriction enzyme digestion was used to assess methylation of the MMP9 gene in
12 fresh periapical granuloma samples and 10 fresh radicular cyst samples. MMP2
and MMP9 messenger RNA transcript levels were measured by quantitative real-time
polymerase chain reaction. RESULTS: All periapical lesions and healthy mucosa
samples showed partial methylation of the MMP2 gene; however, periapical
granulomas showed higher MMP2 mRNA expression levels than healthy mucosa (P =
.014). A higher unmethylated profile of the MMP9 gene was found in periapical
granulomas and radicular cysts compared with healthy mucosa. In addition, higher
MMP9 mRNA expression was observed in the periapical lesions compared with healthy
tissues. CONCLUSIONS: The present study suggests that the unmethylated status of
the MMP9 gene in periapical lesions may explain the observed up-regulation of
messenger RNA transcription in these lesions.
PMID- 26549220
TI - The Effect of Foraminal Enlargement of Necrotic Teeth with the Reciproc System on
Postoperative Pain: A Prospective and Randomized Clinical Trial.
AB - INTRODUCTION: The aim of this prospective study was to evaluate the postoperative
pain that followed root canal treatments performed with a single-file
reciprocating system on asymptomatic uniradicular necrotic teeth with and without
foraminal enlargements (FEs). METHODS: Forty-six volunteers were randomly divided
into 2 groups according to the established working lengths. The FE group had a
working length of 0.0 mm from the apex, and the control group had a working
length of 1.0 mm short of the apex. The treatments of both groups were performed
with a Reciproc R40 (VDW, Munich, Germany) instrument. Both groups underwent the
same treatment protocol with the exception of the established working length. The
volunteers were instructed to record their pain (none, mild, moderate, or severe)
on a visual analog scale at 24 hours, 72 hours, and 1 week after the procedures.
The Kruskal-Wallis test was used to identify significant differences. RESULTS:
Overall, 82.22% of the patients indicated no pain or mild pain. A greater
proportion of the patients in the FE group reported mild pain compared with
patients in the control group in the first 24 hours (P < .05). At 72 hours and 1
week, there were no statistically significant differences between the groups (P >
.05). CONCLUSIONS: FEs during endodontic treatments of asymptomatic necrotic,
uniradicular teeth that were performed in single visits using the Reciproc R40
reciprocating file resulted in a low incidence of pain. After 24 hours, the FEs
resulted in more patients reporting mild pain compared with the control group,
but no differences were observed at 72 hours or 1 week.
PMID- 26549221
TI - Clinical Antibacterial Effectiveness of Root Canal Preparation with Reciprocating
Single-instrument or Continuously Rotating Multi-instrument Systems.
AB - INTRODUCTION: This in vivo study compared the antibacterial effectiveness of a
reciprocating single-instrument system (Reciproc; VDW, Munich, Germany) and a
rotary multi-instrument system (BioRaCe; FKG Dentaire, La Chaux-de-Fonds,
Switzerland) during the preparation of infected root canals of teeth with primary
apical periodontitis. METHODS: Root canals from single-rooted teeth with necrotic
pulps and apical periodontitis were instrumented using either Reciproc (n = 29)
or BioRaCe (n = 30) instruments under irrigation with 2.5% sodium hypochlorite.
DNA was extracted from samples taken before and after preparation and subjected
to quantitative analysis of total bacteria and streptococci by using the
quantitative real-time polymerase chain reaction. RESULTS: All initial samples
were positive for the presence of bacteria, with median numbers of 7.1 * 10(5)
and 1.31 * 10(5) bacterial cells for the Reciproc and BioRaCe groups,
respectively. After preparation with Reciproc and BioRaCe, 16 (55%) and 15 (50%)
root canals still had detectable bacteria with median counts of 7.05 * 10(2) and
6.03 * 10(1), respectively. Both systems were highly effective in reducing the
total bacterial counts (P < .001), and there were no significant differences
between them (P > .05). Streptococci were highly frequent, and both systems
succeeded in significantly reducing their levels (P < .001). CONCLUSIONS: Both
reciprocating single-instrument and rotary multi-instrument systems were highly
effective in reducing the counts of total bacteria and streptococci in root
canals of teeth with apical periodontitis. Regardless of the system used,
approximately one half of the teeth still had detectable bacteria.
PMID- 26549222
TI - Evaluation and Comparison of Occurrence of Tooth Discoloration after the
Application of Various Calcium Silicate-based Cements: An Ex Vivo Study.
AB - INTRODUCTION: Biodentine (Septodont, Saint Maur des Fosses, France), OrthoMTA
(BioMTA, Seoul, Korea), and EndoSequence Root Repair Material (ERRM; Brasseler,
Savannah, GA) have been developed to overcome the shortcomings of mineral
trioxide aggregate (MTA). The purpose of this study was to compare tooth
discoloration after the application of ProRoot MTA (Dentsply Tulsa Dental
Products, Tulsa, OK) and 3 recently introduced calcium silicate-based cements in
the presence and absence of blood. METHODS: In total, 104 human anterior teeth
were prepared; 96 were randomly divided into 2 groups (blood and saline
contamination). Each group was subdivided into 4 experimental subgroups (n = 12)
of ProRoot MTA, Biodentine, OrthoMTA, and ERRM that were used to fill the pulp
chambers. The remaining 8 teeth served as the saline and blood groups. Color
analysis of tooth crowns was performed using a spectroradiometer before the
application of materials and at 24 hours, 1 month, and 6 months after
application. Repeated measures analysis of variance was used to evaluate the
effects of blood, material, and time on color change (DeltaE*). RESULTS: Tooth
color change in all experimental groups increased over time (P < .05). Blood
contamination significantly increased DeltaE* (P < .05), but no significant
difference occurred between the 4 groups in this respect in the presence of
blood. However, in the absence of blood, the DeltaE* of Biodentine and ERRM was
significantly less than that of OrthoMTA (P < .05). CONCLUSIONS: There was no
significant difference between tooth discolorations with materials in the
presence of blood. However, in the absence of blood, Biodentine and ERRM
exhibited less tooth discoloration than OrthoMTA.
PMID- 26549223
TI - High-resolution FTIR imaging of colon tissues for elucidation of individual
cellular and histopathological features.
AB - Novel technologies that could complement current histopathology based cancer
diagnostic methods are under examination. In this endeavour mid-infrared
spectroscopic imaging is a promising candidate that can provide valuable bio
molecular information from unstained cells and tissues in a rapid and a non
destructive manner. With this imaging technique, the biochemical information
obtained from smaller areas of the tissues can be of clinical significance and
hence the measured pixel size. Until recently it was difficult to obtain spectral
data from pixels below around 5 microns square. High NA objectives have been
utilised to reduce the ideal diffraction limit, enabling for the first time
elucidation of subcellular features. In this context, the ability of high
resolution imaging, obtained using novel high-magnification optics retro-fitted
onto a bench top FTIR imaging system, to characterise histopathological features
in colonic tissues has been tested. Formalin fixed paraffin embedded colon
tissues from three different pathologies were imaged directly using the
conventional and the high-magnification imaging set-ups. To circumvent chemical
de-paraffinization protocols, an extended multiplicative signal correction (EMSC)
based electronic de-paraffinization was carried out on all the infrared images.
Multivariate analysis of the high-magnification infrared imaging data showed a
detailed information of the histological features of the colon tissue in
comparison to conventional imaging. Furthermore, high-magnification imaging has
enabled a label-free characterization of the mucin rich goblet cell features in
an unprecedented manner. The current study demonstrates the applicability of high
magnification FTIR imaging to characterise complex tissues on a smaller scale
that could be of clinical significance.
PMID- 26549224
TI - Effects of an entomopathogen nematode on the immune response of the insect pest
red palm weevil: Focus on the host antimicrobial response.
AB - Relationships between parasites and hosts can be drastic, depending on the
balance between parasite strategies and the efficiency of the host immune
response. In the case of entomopathogenic nematodes and their insect hosts, we
must also consider the role of bacterial symbionts, as the interaction among them
is tripartite and each component plays a critical role in death or survival. We
analyzed the effects induced by the nematode-bacteria complex Steinernema
carpocapsae, against red palm weevil (RPW) larvae, Rhynchophorus ferrugineus. We
examined the antimicrobial response of the insect when in the presence of
nematocomplexes or of its symbionts, Xenorhabdus nematophila. In detail, we
investigated the potential interference of live and dead S. carpocapsae, their
isolated cuticles, live or dead bacterial symbionts and their
lipopolysaccharides, on the synthesis and activity of host antimicrobial
peptides. Our data indicate that both live nematodes and live bacterial symbionts
are able to depress the host antimicrobial response. When nematodes or symbionts
were killed, they lacked inhibitory properties, as detected by the presence of
antimicrobial peptides (AMPs) in the host hemolymph and by assays of
antimicrobial activity. Moreover, we isolated S. carpocapsae cuticles; when
cuticles were injected into hosts they revealed evasive properties because they
were not immunogenic and were not recognized by the host immune system. We
observed that weevil AMPs did not damage X. nematophila, and the
lipopolysaccharides purified from symbionts seemed to be non-immunogenic. We
believe that our data provide more information on the biology of entomopathogenic
nematodes, in particular concerning their role and the activity mediated by
symbionts in the relationship with insect hosts.
PMID- 26549225
TI - Simulated microgravity inhibits osteogenic differentiation of mesenchymal stem
cells through down regulating the transcriptional co-activator TAZ.
AB - Microgravity induces observed bone loss in space flight or simulated experiments,
while the mechanism underlying it is still obscure. Here, we utilized a clinostat
to model simulated microgravity (SMG) and found that SMG obviously inhibited
osteogenic differentiation of rat bone marrow mesenchymal stem cells (BMSCs). We
detected that SMG dramatically inhibited the expression of the transcriptional
coactivator with PDZ-binding motif (TAZ), which acts as a vital regulator of
osteogenesis. Interestingly, we found that lysophosphatidic acid (LPA) could
activate TAZ and retain osteogenic differentiation of BMSCs under SMG. Our data
further demonstrated that depletion of TAZ by siRNA blocked the LPA-induced
increase in osteogenic differentiation of BMSCs under SMG. Moreover, Y27632 (the
Rock inhibitor) abrogated the activation of TAZ and the increased osteogenic
differentiation induced by LPA. Taken together, we propose that microgravity
inhibits osteogenic differentiation of BMSCs due to decreased TAZ expression and
that LPA can efficiently reverse the reduced osteogenic differentiation via the
Rock-TAZ pathway.
PMID- 26549226
TI - Cryo-EM of the pathogenic VCP variant R155P reveals long-range conformational
changes in the D2 ATPase ring.
AB - Single amino acid mutations in valosin containing protein (VCP/p97), a highly
conserved member of the ATPases associated with diverse cellular activities (AAA)
family of ATPases has been linked to a severe degenerative disease affecting
brain, muscle and bone tissue. Previous studies have demonstrated the role of VCP
mutations in altering the ATPase activity of the D2 ring; however the structural
consequences of these mutations remain unclear. In this study, we report the
three-dimensional (3D) map of the pathogenic VCP variant, R155P, as revealed by
single-particle Cryo-Electron Microscopy (EM) analysis at 14 A resolution. We
show that the N-terminal R155P mutation induces a large structural reorganisation
of the D2 ATPase ring. Results from docking studies using crystal structure data
of available wild-type VCP in the EM density maps indicate that the major
difference is localized at the interface between two protomers within the D2
ring. Consistent with a conformational change, the VCP R155P variant shifted the
isoelectric point of the protein and reduced its interaction with its well
characterized cofactor, nuclear protein localization-4 (Npl4). Together, our
results demonstrate that a single amino acid substitution in the N-terminal
domain can relay long-range conformational changes to the distal D2 ATPase ring.
Our results provide the first structural clues of how VCP mutations may influence
the activity and function of the D2 ATPase ring.
PMID- 26549227
TI - MiR-506 suppresses liver cancer angiogenesis through targeting sphingosine kinase
1 (SPHK1) mRNA.
AB - MicroRNAs acting as oncogenes or tumor suppressor genes play crucial roles in
human cancers. Sphingosine kinase 1 (SPHK1) and its metabolite sphingosine 1
phosphate (S1P) contribute to tumor angiogenesis. We have reported that the down
regulation of miR-506 targeting YAP mRNA results in the hepatocarcinogenesis. In
the present study, we report a novel function of miR-506, which suppresses tumor
angiogenesis through targeting SPHK1 mRNA in liver cancer. Bioinformatics
analysis showed that miR-506 might target 3'-untranslated region (3'UTR) of SPHK1
mRNA. Then, we validated that by luciferase reporter gene assays. MiR-506 was
able to reduce the expression of SPHK1 at the levels of mRNA and protein using
reverse transcription-polymerase chain reaction and Western blot analysis in
hepatoma HepG2 cells. Functionally, human umbilical vein endothelial cell (HUVEC)
tube formation assays demonstrated that the forced miR-506 expression remarkably
inhibited the production of S1P in the supernatant of hepatoma cells. The
supernatant resulted in the inhibition of tumor angiogenesis. Interestingly, the
supernatant with overexpression of SPHK1 could rescue the inhibition of
angiogenesis of liver cancer mediated by miR-506. Anti-miR-506 increased the
production of S1P in the supernatant of hepatoma cells, but the supernatant with
silencing of SPHK1 abolished anti-miR-506-induced acceleration of tumor
angiogenesis. Clinically, we observed that the levels of miR-506 were negatively
related to those of SPHK1 mRNA in liver cancer tissues. Thus, we conclude that
miR-506 depresses the angiogenesis of liver cancer through targeting 3'UTR of
SPHK1 mRNA. Our finding provides new insights into the mechanism of tumor
angiogenesis.
PMID- 26549228
TI - Repression of HNF1alpha-mediated transcription by amino-terminal enhancer of
split (AES).
AB - HNF1alpha (Hepatocyte Nuclear Factor 1alpha) is one of the master regulators in
pancreatic beta-cell development and function, and the mutations in Hnf1alpha are
the most common monogenic causes of diabetes mellitus. As a member of the POU
transcription factor family, HNF1alpha exerts its gene regulatory function
through various molecular interactions; however, there is a paucity of knowledge
in their functional complex formation. In this study, we identified the Groucho
protein AES (Amino-terminal Enhancer of Split) as a HNF1alpha-specific physical
binding partner and functional repressor of HNF1alpha-mediated transcription,
which has a direct link to glucose-stimulated insulin secretion in beta-cells
that is impaired in the HNF1alpha mutation-driven diabetes.
PMID- 26549229
TI - Crystal structure of cyclic nucleotide-binding-like protein from Brucella
abortus.
AB - The cyclic nucleotide-binding (CNB)-like protein (CNB-L) from Brucella abortus
shares sequence homology with CNB domain-containing proteins. We determined the
crystal structure of CNB-L at 2.0 A resolution in the absence of its C-terminal
helix and nucleotide. The 3D structure of CNB-L is in a two-fold symmetric form.
Each protomer shows high structure similarity to that of cGMP-binding domain
containing proteins, and likely mimics their nucleotide-free conformation. A key
residue, Glu17, mediates the dimerization and prevents binding of cNMP to the
canonical ligand-pocket. The structurally observed dimer of CNB-L is stable in
solution, and thus is likely to be biologically relevant.
PMID- 26549230
TI - Phosphorylation of the centrosomal protein, Cep169, by Cdk1 promotes its
dissociation from centrosomes in mitosis.
AB - Cep169 is a centrosomal protein conserved among vertebrates. In our previous
reports, we showed that mammalian Cep169 interacts and collaborates with CDK5RAP2
to regulate microtubule (MT) dynamics and stabilization. Although Cep169 is
required for MT regulation, its precise cellular function remains largely
elusive. Here we show that Cep169 associates with centrosomes during interphase,
but dissociates from these structures from the onset of mitosis, although
CDK5RAP2 (Cep215) is continuously located at the centrosomes throughout cell
cycle. Interestingly, treatment with purvalanol A, a Cdk1 inhibitor, nearly
completely blocked the dissociation of Cep169 from centrosomes during mitosis. In
addition, mass spectrometry analyses identified 7 phosphorylated residues of
Cep169 corresponding to consensus phosphorylation sequence for Cdk1. These data
suggest that the dissociation of Cep169 from centrosomes is controlled by
Cdk1/Cyclin B during mitosis, and that Cep169 might regulate MT dynamics of
mitotic spindle.
PMID- 26549231
TI - 24-Methylenecycloartanyl ferulate, a major compound of gamma-oryzanol, promotes
parvin-beta expression through an interaction with peroxisome proliferator
activated receptor-gamma 2 in human breast cancer cells.
AB - Parvin-beta is an adaptor protein that binds to integrin-linked kinase (ILK) and
is significantly downregulated in breast tumors and breast cancer cell lines. We
treated the breast cancer cell line MCF7 with 24-methylenecycloartanyl ferulate
(24-MCF), a gamma-oryzanol compound. We observed upregulation of parvin-beta
(GenBank Accession No. AF237769) and peroxisome proliferator-activated receptor
(PPAR)-gamma2 (GenBank Accession No. NM_015869). Among gamma-oryzanol compounds,
only treatment with 24-MCF led to the formation of reverse transcription-PCR
products of parvin-beta (650 and 500 bp) and PPAR-gamma2 (580 bp) in MCF7 cells,
but not in T47D, SK-BR-3, or MDA-MB-231 cells. 24-MCF treatment increased the
mRNA and protein levels of parvin-beta in MCF7 cells in a dose-dependent manner.
We hypothesized that there is a correlation between parvin-beta expression and
induction of PPAR-gamma2. This hypothesis was investigated by using a promoter
reporter assay, chromatin immunoprecipitation, and an electrophoretic mobility
shift assay. 24-MCF treatment induced binding of PPAR-gamma2 to a peroxisome
proliferator response element-like cis-element (ACTAGGACAAAGGACA) in the parvin
beta promoter in MCF7 cells in a dose-dependent manner. 24-MCF treatment
significantly decreased anchorage-independent growth and inhibited cell movement
in comparison to control treatment with dimethyl sulfoxide. 24-MCF treatment
reduced the levels of GTP-bound Rac1 and Cdc42. Evaluation of Akt1 inhibition by
24-MCF revealed that the half maximal effective concentration was 33.3 MUM.
Docking evaluations revealed that 24-MCF binds to the ATP-binding site of
Akt1(PDB ID: 3OCB) and the compound binding energy is -8.870 kcal/mol. Taken
together, our results indicate that 24-MCF treatment increases parvin-beta
expression, which may inhibit ILK downstream signaling.
PMID- 26549232
TI - Merlin negative regulation by miR-146a promotes cell transformation.
AB - Inactivation of the tumor suppressor Merlin, by deleterious mutations or by
protein degradation via sustained growth factor receptor signaling-mediated
mechanisms, results in cell transformation and tumor development. In addition to
these mechanisms, here we show that, miRNA-dependent negative regulation of
Merlin protein levels also promotes cell transformation. We provide experimental
evidences showing that miR-146a negatively regulates Merlin protein levels
through its interaction with an evolutionary conserved sequence in the 3'
untranslated region of the NF2 mRNA. Merlin downregulation by miR-146a in A549
lung epithelial cells resulted in enhanced cell proliferation, migration and
tissue invasion. Accordingly, stable miR-146a-transfectant cells formed tumors
with metastatic capacity in vivo. Together our results uncover miRNAs as yet
another negative mechanism controlling Merlin tumor suppressor functions.
PMID- 26549233
TI - The calcium sensor CBL7 modulates plant responses to low nitrate in Arabidopsis.
AB - Calcium (Ca(2+)) serves as a critical messenger in a number of adaptation and
developmental processes. In plants, CBL family represents a unique group of
calcium sensors that decodes calcium signals. Several CBL members have been
functionally characterized in the model plant Arabidopsis thaliana, but the role
of CBL7 remains unknown. Here, we report that CBL7 is involved in the regulation
of low-nitrate response in Arabidopsis. Expression of CBL7 was predominant in the
root of young seedlings and substantially induced by nitrate starvation. Cbl7
mutant was more inhibited in root growth upon nitrate starvation compared to the
wild-type. Interestingly, the growth arrest of cbl7 under low-nitrate conditions
relied on acidic pH. Further analyses revealed that expression of two high
affinity nitrate transporter genes, NRT2.4 and NRT2.5, was down-regulated in cbl7
under nitrogen-starvation condition. Accordingly, the cbl7 mutant plants retained
lower nitrate content than wild-type plants under low-nitrate condition. Taken
together, our results uncover a novel role of CBL7 in the response to nitrate
deficiency in Arabidopsis.
PMID- 26549234
TI - MiR-221 activates the NF-kappaB pathway by targeting A20.
AB - MicroRNAs play an important role in regulating the inflammatory response, and are
critically involved in the development of inflammatory disorders, including those
affecting the lungs. While the microRNA miR-221 is involved in embryonic lung
branching morphogenesis and epithelial cell development, its importance in lung
inflammation has not been previously explored. In our current study, expression
of miR-221 was selectively decreased by exposure to lipopolysaccharides (LPS)
both in vitro and in vivo. Enforced expression of miR-221 significantly increased
the production of proinflammatory cytokines TNF-alpha and IL-6, and enhanced the
activation of NF-kappaB and MAPKs upon LPS stimulation. Accordingly,
intratracheal stimulation of miR-221 was shown to aggravate endotoxin-induced
acute lung injuries and inflammation in mice. Mechanistic studies showed that miR
221 directly targets A20, a master regulator of NF-kappaB and MAPK signaling, and
thus represses inflammatory signaling. Restoration of A20 in macrophages
abolished the stimulatory effect of miR-221 on production of proinflammatory
cytokines. Together, these results indicate the presence of a novel miRNA
mediated feed-back mechanism that controls inflammation, and suggest involvement
of aberrant miR-221 expression in the development of inflammatory lung disorders.
PMID- 26549235
TI - Mycoplasma gallisepticum (HS strain) surface lipoprotein pMGA interacts with host
apolipoprotein A-I during infection in chicken.
AB - The adhesin protein from Mycoplasma gallisepticum (HS strain), namely pMGA1.2, is
required for M. gallisepticum (MG) infection in chicken. However, the host
factor(s) that interact with pMGA1.2 is not known. In this study, we prepared the
membrane fraction of trachea epithelial cells from chicken embryos. Using an
improved virus overlay protein blot assay (VOPBA) and glutathione S-transferase
(GST) pull-down assay, we found that pMGA1.2 specifically bound to a ~30 kDa host
protein. This host protein was further identified by mass spectrometry as chicken
apolipoprotein A-I (ApoA-I). We expressed and purified the recombinant ApoA-I
protein in Escherichia coli and confirmed that it bound to the purified pMGA1.2
protein in vitro. Transiently expressed pMGA1.2 and ApoA-I were colocalized in
HeLa cells. Finally, we designed small interfering RNA (siRNA) molecules to knock
down the expression of either ApoA-I or pMGA1.2, which inhibited the MG-induced
cell cycle disruption in cells of chicken embryo fibroblast cell line (DF-1).
Similarly, knockdown of ApoA-I inhibited the cilia loss and damage in chicken
trachea cells in MG infection. In summary, ApoA-I may be an essential host factor
in MG infection through interacting with pMGA1.2.
PMID- 26549237
TI - Bacteria as source of diglycosidase activity: Actinoplanes missouriensis produces
6-O-alpha-L-rhamnosyl-beta-D-glucosidase active on flavonoids.
AB - Bacteria represent an underexplored source of diglycosidases. Twenty-five
bacterial strains from the genera Actinoplanes, Bacillus, Corynebacterium,
Microbacterium, and Streptomyces were selected for their ability to grow in
diglycosylated flavonoids-based media. The strains Actinoplanes missouriensis and
Actinoplanes liguriae exhibited hesperidin deglycosylation activity (6-O-alpha-L
rhamnosyl-beta-D-glucosidase activity, EC 3.2.1.168), which was 3 to 4 orders of
magnitude higher than the corresponding monoglycosidase activities. The
diglycosidase production was confirmed in A. missouriensis by zymographic assays
and NMR analysis of the released disaccharide, rutinose. The gene encoding the 6
O-alpha-L-rhamnosyl-beta-D-glucosidase was identified in the genome sequence of
A. missouriensis 431(T) (GenBank accession number BAL86042.1) and functionally
expressed in Escherichia coli. The recombinant protein hydrolyzed hesperidin and
hesperidin methylchalcone, but not rutin, which indicates its specificity for 7-O
rutinosylated flavonoids. The protein was classified into the glycoside hydrolase
family 55 (GH55) in contrast to the known eukaryotic diglycosidases, which belong
to GH1 and GH5. These findings demonstrate that organisms other than plants and
filamentous fungi can contribute to an expansion of the diglycosidase toolbox.
PMID- 26549238
TI - Long-term effects of heavy metals and antibiotics on granule-based anammox
process: granule property and performance evolution.
AB - The feasibility of the anaerobic ammonium oxidation (anammox) process to treat
synthetic swine wastewater containing antibiotics and heavy metals was studied in
this work. Nitrogen removal performance and granule characteristics were tracked
by continuous-flow monitoring to evaluate the long-term joint effects of Cu and
Zn and of Cu and oxytetracycline (OTC). Cu and Zn with a joint loading rate (JLR)
of 0.04 kg m(-3) day(-1) did not affect the performance, while a JLR of 0.12 kg
m(-3) day(-1) caused a rapid collapse in performance. Cu and OTC addition with a
JLR of 0.04 kg m(-3) day(-1) for approximately 2 weeks induced significant
nitrite accumulation. Granule characteristic analysis elucidated the disparate
inhibition mechanisms of heavy metals and antibiotics: the internalization of
heavy metals caused metabolic disorders, whereas OTC functioned as a growth
retarder. However, anammox reactors could adapt to a JLR of 0.04 kg m(-3) day(-1)
via self-regulation during the acclimatization to subinhibitory concentrations,
which had a stable nitrogen removal rate (>8.5 kg m(-3) day(-1)) and removal rate
efficiency (>75 %) for reactors with Cu-OTC addition. Therefore, this study
supports the great potential of using anammox granules to treat swine wastewater.
PMID- 26549236
TI - Iterative polyketide biosynthesis by modular polyketide synthases in bacteria.
AB - Modular polyketide synthases (type I PKSs) in bacteria are responsible for
synthesizing a significant percentage of bioactive natural products. This group
of synthases has a characteristic modular organization, and each module within a
PKS carries out one cycle of polyketide chain elongation; thus each module is non
iterative in function. It was possible to predict the basic structure of a
polyketide product from the module organization of the PKSs, since there
generally existed a co-linearity between the number of modules and the number of
chain elongations. However, more and more bacterial modular PKSs fail to conform
to the canonical rules, and a particularly noteworthy group of non-canonical PKSs
is the bacterial iterative type I PKSs. This review covers recent examples of
iteratively used modular PKSs in bacteria. These non-canonical PKSs give rise to
a large array of natural products with impressive structural diversity. The
molecular mechanism behind the iterations is often unclear, presenting a new
challenge to the rational engineering of these PKSs with the goal of generating
new natural products. Structural elucidation of these synthase complexes and
better understanding of potential PKS-PKS interactions as well as PKS-substrate
recognition may provide new prospects and inspirations for the discovery and
engineering of new bioactive polyketides.
PMID- 26549239
TI - Lichens as natural sources of biotechnologically relevant bacteria.
AB - The search for microorganisms from novel sources and in particular microbial
symbioses represents a promising approach in biotechnology. In this context,
lichens have increasingly become a subject of research in microbial
biotechnology, particularly after the recognition that a diverse community of
bacteria other than cyanobacteria is an additional partner to the traditionally
recognized algae-fungus mutualism. Here, we review recent studies using culture
dependent as well as culture-independent approaches showing that lichens can
harbor diverse bacterial families known for the production of compounds of
biotechnological interest and that several microorganisms isolated from lichens,
in particular Actinobacteria and Cyanobacteria, can produce a number of bioactive
compounds, many of them with biotechnological potential.
PMID- 26549240
TI - The reproductive effort of Lepeophtheirus pectoralis (Copepoda: Caligidae):
insights into the egg production strategy of parasitic copepods.
AB - The reproductive effort of Lepeophtheirus pectoralis (Muller O. F., 1776), a
caligid copepod, which is commonly found infecting the European flounder,
Platichthys flesus (Linnaeus, 1758), is studied in detail for the first time.
Seasonal variation in body dimensions and reproductive effort are analysed. Data
for 120 ovigerous females, 30 from each season of the year, were considered in
the analyses. Females were larger and produced a larger number of smaller eggs in
winter, than during the summer. The relationship between egg number and egg size
is similar to that recorded for other copepods exploiting fish hosts. Much of the
recorded variation was also similar to that reported for a copepod parasitic on
an invertebrate host, which suggests the possibility of a general trend in
copepod reproduction. Overall, our results provide further support for the
hypothesis that there is an alternation of summer and winter generations.
PMID- 26549241
TI - Provider Opinions Regarding Expanding Access to Hormonal Contraception in
Pharmacies.
AB - PURPOSE: Expanding access to hormonal contraception may reduce the barrier
created with the current prescription requirement. The goal of this study was to
gain a better understanding of health care providers' opinions on expanding
access to hormonal contraception (oral pill, transdermal patch, vaginal ring, and
injectable) and the role of pharmacists as direct providers of this reproductive
health service. METHODS: A voluntary, self-administered survey was distributed to
participating national professional associations' physician and midlevel provider
members who provide reproductive health services. Outcomes of providers' opinions
on expanded access to hormonal contraception in pharmacies were analyzed by
provider type (n = 482). FINDINGS: Almost three-quarters (74%) of the 482
providers surveyed, 76% of physicians and 70% of midlevels, were supportive of
expanding access for the pill, patch, and ring contraceptives to include
pharmacist-initiated access. Despite overall support for pharmacist-initiated
access, more than 70% of respondents were concerned that expanded access would
result in decreased reproductive health preventive screening. Slightly fewer
providers supported or were neutral towards behind-the-counter (65% for
pill/patch/ring, 55% injectable) and over-the-counter (47% for pill/patch/ring,
36% injectable) access than for pharmacist-initiated access. CONCLUSIONS: The
majority of reproductive health providers support pharmacist-initiated access to
the pill, patch, ring, and injectable contraceptives. There is some support for
behind-the-counter and over-the-counter access. Provider concerns about lower
rates of reproductive health preventive screenings and pharmacist training issues
would need to be appropriately addressed along with any policy changes.
PMID- 26549242
TI - Receipt of Prescription Opioids in a National Sample of Pregnant Veterans
Receiving Veterans Health Administration Care.
AB - BACKGROUND: A growing number of reproductive-age women veterans are returning
from Operations Enduring Freedom, Iraqi Freedom, and New Dawn (OEF/OIF/OND). In
2010, 42% of women veterans receiving Veterans Health Administration (VHA)
services were aged 18 to 45. Prescription opioid use has increased among all
veterans over the past decade; however, exposure among pregnant veterans has not
been examined. METHODS: We identified 2,331 women who delivered babies within the
VHA system between 2001 and 2010. Delivery, opioid prescribing history, and
demographic and health-related variables were obtained from a national database
of veterans receiving VHA services. Receipt of an opioid prescription was defined
as any filled VHA prescription for opioids in the 280-day pregnancy window before
delivery. We developed a multivariable logistic regression model adjusted for
sociodemographic, service-related, psychiatric diagnosis, and physical health
variables to examine the odds of filling an opioid prescription during the
pregnancy window. FINDINGS: Ten percent of pregnant veterans received VHA
prescription opioids during their pregnancy window. Significant factors
associated with opioid prescriptions included presence of any psychiatric
diagnosis (adjusted odds ratio [aOR], 1.67; 95% CI, 1.24-2.26), diagnosis of back
problems (aOR, 2.94; 95% CI, 1.92-4.49), or other nontraumatic joint disorders
(aOR, 2.20; 95% CI, 1.36-3.58). CONCLUSIONS: This study suggests that a
substantial proportion of women veterans received VHA prescriptions for opioids
during pregnancy. Providers should be aware of the potential risks of
prescription opioid use during pregnancy, assess for potential undertreatment of
psychiatric diagnoses, and consider alternate pain management strategies when
possible.
PMID- 26549243
TI - Patient-centered Care in Maternity Services: A Critical Appraisal and Synthesis
of the Literature.
AB - BACKGROUND: Patient-centered care (PCC) has been recognized as a marker of
quality in health service delivery. In policy documents, PCC is often used
interchangeably with other models of care. There is a wide literature about PCC,
but there is a lack of evidence about which model is the most appropriate for
maternity services specifically. AIM: We sought to identify and critically
appraise the literature to identify which definition of PCC is most relevant for
maternity services. METHODS: The four-step approach used to identify definitions
of PCC was to 1) search electronic databases using key terms (1995-2011), 2)
cross-reference key papers, 3) search of specific journals, and 4) search the
grey literature. Four papers and two books met our inclusion criteria. ANALYSIS:
A four-criteria critical appraisal tool developed for the review was used to
appraise the papers and books. MAIN RESULTS: From the six identified definitions,
the Shaller's definition met the majority of the four criteria outlined and seems
to be the most relevant to maternity services because it includes physiologic
conditions as well as pathology, psychological aspects, a nonmedical approach to
care, the greater involvement of family and friends, and strategies to implement
PCC. CONCLUSION: This review highlights Shaller's definitions of PCC as the one
that would be the most inclusive of all women using maternity services. Future
research should concentrate on evaluating programs that support PCC in maternity
services, and testing/validating this model of care.
PMID- 26549244
TI - Resveratrol inhibits mucus overproduction and MUC5AC expression in a murine model
of asthma.
AB - Previous in vitro studies have demonstrated that resveratrol is able to
significantly inhibit the upregulation of mucin 5AC (MUC5AC), a major component
of mucus; thus indicating that resveratrol may have potential in regulating mucus
overproduction. However, there have been few studies regarding the resveratrol
mediated prevention of MUC5AC overproduction in vivo, and the mechanisms by which
resveratrol regulates MUC5AC expression have yet to be elucidated. In the present
study, an ovalbumin (OVA)-challenged murine model of asthma was used to assess
the effects of resveratrol treatment on mucus production in vivo. The results
demonstrated that resveratrol significantly inhibited OVA-induced airway
inflammation and mucus production. In addition, the mRNA and protein expression
levels of MUC5AC were increased in the OVA-challenged mice, whereas treatment
with resveratrol significantly inhibited this effect. The expression levels of
murine calcium-activated chloride channel (mCLCA)3, an important key mediator of
MUC5AC production, were also reduced following resveratrol treatment.
Furthermore, in vitro studies demonstrated that resveratrol significantly
inhibited human (h)CLCA1 and MUC5AC expression in a dose-dependent manner. These
results indicated that resveratrol was effective in preventing mucus
overproduction and MUC5AC expression in vivo, and its underlying mechanism may be
associated with regulation of the mCLCA3/hCLCA1 signaling pathway.
PMID- 26549245
TI - Lycopene treatment against loss of bone mass, microarchitecture and strength in
relation to regulatory mechanisms in a postmenopausal osteoporosis model.
AB - Lycopene supplementation decreases oxidative stress and exhibits beneficial
effects on bone health, but the mechanisms through which it alters bone
metabolism in vivo remain unclear. The present study aims to evaluate the effects
of lycopene treatment on postmenopausal osteoporosis. Six-month-old female Wistar
rats (n=264) were sham-operated (SHAM) or ovariectomized (OVX). The SHAM group
received oral vehicle only and the OVX rats were randomized into five groups
receiving oral daily lycopene treatment (mg/kg body weight per day): 0 OVX
(control), 15 OVX, 30 OVX, and 45 OVX, and one group receiving alendronate (ALN)
(2MUg/kg body weight per day), for 12weeks. Bone densitometry measurements, bone
turnover markers, biomechanical testing, and histomorphometric analysis were
conducted. Micro computed tomography was also used to evaluate changes in
microarchitecture. Lycopene treatment suppressed the OVX-induced increase in bone
turnover, as indicated by changes in biomarkers of bone metabolism: serum
osteocalcin (s-OC), serum N-terminal propeptide of type 1 collagen (s-PINP),
serum crosslinked carboxyterminal telopeptides (s-CTX-1), and urinary
deoxypyridinoline (u-DPD). Significant improvement in OVX-induced loss of bone
mass, bone strength, and microarchitectural deterioration was observed in
lycopene-treated OVX animals. These effects were observed mainly at sites rich in
trabecular bone, with less effect in cortical bone. Lycopene treatment down
regulated osteoclast differentiation concurrent with up-regulating osteoblast
together with glutathione peroxidase (GPx) catalase (CAT) and superoxide
dismutase (SOD) activities. These findings demonstrate that lycopene treatment in
OVX rats primarily suppressed bone turnover to restore bone strength and
microarchitecture.
PMID- 26549247
TI - Helpful and Useful.
PMID- 26549246
TI - Joint dysfunction and functional decline in middle age myostatin null mice.
AB - Since its discovery as a potent inhibitor for muscle development, myostatin has
been actively pursued as a drug target for age- and disease-related muscle loss.
However, potential adverse effects of long-term myostatin deficiency have not
been thoroughly investigated. We report herein that male myostatin null mice
(mstn(-/-)), in spite of their greater muscle mass compared to wild-type (wt)
mice, displayed more significant functional decline from young (3-6months) to
middle age (12-15months) than age-matched wt mice, measured as gripping strength
and treadmill endurance. Mstn(-/-) mice displayed markedly restricted ankle
mobility and degenerative changes of the ankle joints, including disorganization
of bone, tendon and peri-articular connective tissue, as well as synovial
thickening with inflammatory cell infiltration. Messenger RNA expression of
several pro-osteogenic genes was higher in the Achilles tendon-bone insertion in
mstn(-/-) mice than wt mice, even at the neonatal age. At middle age, higher
plasma concentrations of growth factors characteristic of excessive bone
remodeling were found in mstn(-/-) mice than wt controls. These data collectively
indicate that myostatin may play an important role in maintaining ankle and wrist
joint health, possibly through negative regulation of the pro-osteogenic WNT/BMP
pathway.
PMID- 26549248
TI - Stevens-Johnson Syndrome/Toxic Epidermal Necrolysis--A Comprehensive Review and
Guide to Therapy. I. Systemic Disease.
AB - The intent of this review is to comprehensively appraise the state of the art
with regard to Stevens Johnson syndrome (SJS) and toxic epidermal necrolysis
(TEN), with particular attention to the ocular surface complications and their
management. SJS and TEN represent two ends of a spectrum of immune-mediated,
dermatobullous disease, characterized in the acute phase by a febrile illness
followed by skin and mucous membrane necrosis and detachment. The widespread
keratinocyte death seen in SJS/TEN is rapid and irreversible, and even with early
and aggressive intervention, morbidity is severe and mortality not uncommon. We
have divided this review into two parts. Part I summarizes the epidemiology and
immunopathogenesis of SJS/TEN and discusses systemic therapy and its possible
benefits. We hope this review will help the ophthalmologist better understand the
mechanisms of disease in SJS/TEN and enhance their care of patients with this
complex and often debilitating disease. Part II (April 2016 issue) will focus on
ophthalmic manifestations.
PMID- 26549249
TI - Apremilast, an oral phosphodiesterase 4 inhibitor, in patients with difficult-to
treat nail and scalp psoriasis: Results of 2 phase III randomized, controlled
trials (ESTEEM 1 and ESTEEM 2).
AB - BACKGROUND: In the phase III double-blind Efficacy and Safety Trial Evaluating
the Effects of Apremilast in Psoriasis (ESTEEM) 1 and 2, apremilast, an oral
phosphodiesterase 4 inhibitor, demonstrated efficacy in moderate to severe
psoriasis. OBJECTIVE: We sought to evaluate efficacy of apremilast in nail/scalp
psoriasis in ESTEEM 1 and 2. METHODS: A total of 1255 patients were randomized
(2:1) to apremilast 30 mg twice daily or placebo. At week 16, placebo patients
switched to apremilast through week 32, followed by a randomized withdrawal phase
to week 52. A priori efficacy analyses included patients with nail (target nail
Nail Psoriasis Severity Index score >=1) and moderate to very severe scalp (Scalp
Physician Global Assessment score >=3) psoriasis at baseline. RESULTS: At
baseline, 66.1% and 64.7% of patients had nail psoriasis; 66.7% and 65.5% had
moderate to very severe scalp psoriasis in ESTEEM 1 and 2. At week 16, apremilast
produced greater improvements in Nail Psoriasis Severity Index score versus
placebo; mean percent change: -22.5% versus +6.5% (ESTEEM 1; P < .0001) and
29.0% versus -7.1% (ESTEEM 2; P = .0052). At week 16, apremilast produced greater
NAPSI-50 response (50% reduction from baseline in target nail Nail Psoriasis
Severity Index score) versus placebo (both studies P < .0001) and ScPGA response
(Scalp Physician Global Assessment score 0 or 1) versus placebo (both studies P <
.0001). Improvements were generally maintained over 52 weeks in patients with
Psoriasis Area and Severity Index response at week 32. LIMITATIONS: Baseline
randomization was not stratified for nail/scalp psoriasis. CONCLUSION: Apremilast
reduces the severity of nail/scalp psoriasis.
PMID- 26549250
TI - A nongrading histologic approach to Clark (dysplastic) nevi: A potential to
decrease the excision rate.
AB - BACKGROUND: Despite a lack of evidence that dysplastic nevi are precursors to
melanoma, a large proportion of dermatologists continue to treat them as such.
Emerging data suggest that histologic grading approach may result in many
unnecessary excisions. OBJECTIVE: Using a nongrading approach to diagnosis of
Clark/dysplastic nevi, the current study sought to define and determine the
diagnostic uncertainty rate, and to report on the results of re-excisions of such
lesions. METHODS: All melanocytic nevi submitted to an academic dermatopathology
laboratory between January 1, 2007, and December 31, 2013, were categorized. The
number of Clark nevi recommended for re-excision divided by the total number of
Clark nevi was taken to be the diagnostic uncertainty rate. RESULTS: This
nongrading approach resulted in an excision recommendation/diagnostic uncertainty
rate of 11.1%. In 2% of the excised specimens, the diagnosis was changed to
melanoma. LIMITATIONS: The study was performed at a single institution, and
assigned diagnoses could not be verified other than by the diagnosing
dermatopathologists. Lesions that were not submitted as re-excision specimens
could have altered the results had they been available for evaluation.
CONCLUSION: Compared with previously reported excision rates, the current study
shows that the nongrading approach to Clark nevi results in a lower excision rate
while still maintaining a low rate of change in diagnosis similar to the grading
approach.
PMID- 26549251
TI - Microneedling in skin of color: A review of uses and efficacy.
AB - In ethnic skin, traditional skin resurfacing procedures such as dermabrasion,
chemical peels, and laser therapy can be effective but can also be associated
with prolonged recovery and risk of complications. These complications can
include a higher risk of dyspigmentation and scarring, and unsatisfactory
clinical outcomes. Microneedling is an evolving treatment technique for an
expanding number of dermatologic conditions. Microneedling may offer a more
advantageous safety profile, particularly in the skin-of-color population
(Fitzpatrick skin types IV-VI), compared with more conventional resurfacing
modalities. Thus far, it has been shown to be effective for a number of
dermatologic conditions in this population, including scarring, melasma,
melanosis, skin rejuvenation, acne vulgaris, and primary hyperhidrosis. This
article aims to provide a comprehensive review of the literature regarding the
efficacy and safety of microneedling in skin of color.
PMID- 26549252
TI - Synthesis of 3-stannyl and 3-silyl propargyl phosphanes and the formation of a
phosphinoallene.
AB - The group 14 chloropropargyls R3EC = CCH2Cl (R3E = (n)Bu3Sn, Ph3Sn, Me2PhSi,
(i)Pr3Si, (n)Pr3Si, (n)Bu3Si), obtained by a modified literature procedure, react
with LiPPh2 to afford the novel propargyl phosphanes Ph2PCH2C = CER3 in high
yield, as viscous oils; (Me3Si)2PCH2C = CSiPhMe2 is similarly obtained from
LiP(SiMe3)2. In contrast, the reaction of PhC[triple bond, length as m
dash]CCH2MgCl with ClP(NEt2)2 fails to produce a comparable propargyl phosphane,
but generates preferentially (>70%) the novel phosphinoallene (Et2N)2PC(Ph) = C =
CH2, which is characterised spectroscopically, and through its reaction with HCl.
The coordination chemistry of representative phosphanes is explored with respect
to platinum and palladium for the first time.
PMID- 26549253
TI - Morning Circadian Misalignment during Short Sleep Duration Impacts Insulin
Sensitivity.
AB - Short sleep duration and circadian misalignment are hypothesized to causally
contribute to health problems including obesity, diabetes, metabolic syndrome,
heart disease, mood disorders, cognitive impairment, and accidents. Here, we
investigated the influence of morning circadian misalignment induced by an
imposed short nighttime sleep schedule on impaired insulin sensitivity, a
precursor to diabetes. Imposed short sleep duration resulted in morning
wakefulness occurring during the biological night (i.e., circadian misalignment)
a time when endogenous melatonin levels were still high indicating the internal
circadian clock was still promoting sleep and related functions. We show the
longer melatonin levels remained high after wake time, insulin sensitivity
worsened. Overall, we find a simulated 5-day work week of 5-hr-per-night sleep
opportunities and ad libitum food intake resulted in ~20% reduced oral and
intravenous insulin sensitivity in otherwise healthy men and women. Reduced
insulin sensitivity was compensated by an increased insulin response to glucose,
which may reflect an initial physiological adaptation to maintain normal blood
sugar levels during sleep loss. Furthermore, we find that transitioning from the
imposed short sleep schedule to 9-hr sleep opportunities for 3 days restored oral
insulin sensitivity to baseline, but 5 days with 9-hr sleep opportunities was
insufficient to restore intravenous insulin sensitivity to baseline. These
findings indicate morning wakefulness and eating during the biological night is a
novel mechanism by which short sleep duration contributes to metabolic
dysregulation and suggests food intake during the biological night may contribute
to other health problems associated with short sleep duration.
PMID- 26549254
TI - Securing Paternity by Mutilating Female Genitalia in Spiders.
AB - Competition between males and their sperm over access to females and their eggs
has resulted in manifold ways by which males try to secure paternity, ranging
from physically guarding the female after mating to reducing her receptivity or
her attractiveness to subsequent males by transferring manipulative substances or
by mechanically sealing the female reproductive tract with a copulatory plug.
Copulations may also result in internal damage of the female genitalia; however,
this is not considered as a direct adaptation against sperm competition but as a
collateral effect. Here, we present a drastic and direct mechanism for securing
paternity: the removal of coupling structures on female genitalia by males. In
the orb-weaving spider Larinia jeskovi males remove the scapus, a crucial
coupling device on the female external genital region. Reconstruction of the
coupling mechanism using micro-CT-scanned mating pairs revealed that several
sclerites of the male genitalia interact to break off the scapus. Once it is
removed, remating cannot occur due to mechanical coupling difficulties. In the
field, male-inflicted genital damage is very prevalent since all female L.
jeskovi were found to be mutilated at the end of the mating season. External
genital mutilation is an overlooked but widely spread phenomenon since 80
additional spider species were found for which male genital manipulation can be
suspected. Interlocking genitalia provide an evolutionary platform for the rapid
evolution of this highly effective mechanism to secure paternity, and we suspect
that other animal groups with interlocking genital structures might reveal
similarly drastic male adaptations.
PMID- 26549255
TI - Wild Vervet Monkeys Trade Tolerance and Specific Coalitionary Support for
Grooming in Experimentally Induced Conflicts.
AB - Grooming is a key social behavior in many primate species. Research has focused
on three important aspects: the short- and long-term trading patterns of grooming
for itself and/or for other commodities like tolerance or coalitionary support,
the issue of whether exchanges are a convincing example for reciprocity, and what
decision rules underlie trading. These issues remain largely unresolved due to
the correlative nature of observational studies and the rarity of experimental
studies. Here, we present a new experimental paradigm to address these questions
in wild vervet monkeys (Chlorocebus pygerythrus). Adult females were first
trained to approach a personal box, identifiable by unique color patterns, to
access high-quality food. During the experiments, two boxes were placed next to
each other to induce conflict through forced proximity. We found that while
dominants were generally more tolerant toward bonded individuals, recent grooming
increased tolerance independently of relationship quality. The latter result
shows that vervet monkeys traded grooming for short-term tolerance, where
dominants used a direct-reciprocity decision rule. In contrast, females
invariably supported the higher-ranking opponent in a conflict, independently of
who was the recent grooming partner. Nevertheless, recent grooming increased the
probability that a female supported the partner during conflicts with a low
ranking third party. Thus, females' decisions about coalitionary support seem to
integrate information about the current social hierarchy with recent grooming
events. In conclusion, decision rules underlying trading of grooming for other
commodities involve a variety of timescales and factors.
PMID- 26549256
TI - Alternate RASSF1 Transcripts Control SRC Activity, E-Cadherin Contacts, and YAP
Mediated Invasion.
AB - Tumor progression to invasive carcinoma is associated with activation of SRC
family kinase (SRC, YES, FYN) activity and loss of cellular cohesion. The hippo
pathway-regulated cofactor YAP1 supports the tumorigenicity of RAS mutations but
requires both inactivation of hippo signaling and YES-mediated phosphorylation of
YAP1 for oncogenic activity. Exactly how SRC kinases are activated and hippo
signaling is lost in sporadic human malignancies remains unknown. Here, we
provide evidence that hippo-mediated inhibition of YAP1 is lost upon promoter
methylation of the RAS effector and hippo kinase scaffold RASSF1A. We find that
RASSF1A promoter methylation reduces YAP phospho-S127, which derepresses YAP1,
and actively supports YAP1 activation by switching RASSF1 transcription to the
independently transcribed RASSF1C isoform that promotes Tyr kinase activity.
Using affinity proteomics, proximity ligation, and real-time molecular
visualization, we find that RASSF1C targets SRC/YES to epithelial cell-cell
junctions and promotes tyrosine phosphorylation of E-cadherin, beta-catenin, and
YAP1. RASSF1A restricts SRC activity, preventing motility, invasion, and
tumorigenesis in vitro and in vivo, with epigenetic inactivation correlating with
increased inhibitory pY527-SRC in breast tumors. These data imply that distinct
RASSF1 isoforms have opposing functions, which provide a biomarker for YAP1
activation and explain correlations of RASSF1 methylation with advanced invasive
disease in humans. The ablation of epithelial integrity together with subsequent
YAP1 nuclear localization allows transcriptional activation of beta-catenin/TBX
YAP/TEAD target genes, including Myc, and an invasive phenotype. These findings
define gene transcript switching as a tumor suppressor mechanism under epigenetic
control.
PMID- 26549257
TI - Antibody-Mediated Inhibition of the FGFR1c Isoform Induces a Catabolic Lean State
in Siberian Hamsters.
AB - Hypothalamic tanycytes are considered to function as sensors of peripheral
metabolism. To facilitate this role, they express a wide range of receptors,
including fibroblast growth factor receptor 1 (FGFR1). Using a monoclonal
antibody (IMC-H7) that selectively antagonizes the FGFR1c isoform, we
investigated possible actions of FGFR1c in a natural animal model of adiposity,
the Siberian hamster. Infusion of IMC-H7 into the third ventricle suppressed
appetite and increased energy expenditure. Likewise, peripheral treatment with
IMC-H7 decreased appetite and body weight and increased energy expenditure and
fat oxidation. A greater reduction in body weight and caloric intake was observed
in response to IMC-H7 during the long-day fat state as compared to the short-day
lean state. This enhanced response to IMC-H7 was also observed in calorically
restricted hamsters maintained in long days, suggesting that it is the central
photoperiodic state rather than the peripheral adiposity that determines the
response to FGFR1c antagonism. Hypothalamic thyroid hormone availability is
controlled by deiodinase enzymes (DIO2 and DIO3) expressed in tanycytes and is
the key regulator of seasonal cycles of energy balance. Therefore, we determined
the effect of IMC-H7 on hypothalamic expression of these deiodinase enzymes. The
reductions in food intake and body weight were always associated with decreased
expression of DIO2 in the hypothalamic ependymal cell layer containing tanycytes.
These data provide further support for the notion the tanycytes are an important
component of the mechanism by which the hypothalamus integrates central and
peripheral signals to regulate energy intake and expenditure.
PMID- 26549258
TI - Inappropriate Neural Activity during a Sensitive Period in Embryogenesis Results
in Persistent Seizure-like Behavior.
AB - Maturation of neural circuits requires activity-dependent processes that underpin
the emergence of appropriate behavior in the adult. It has been proposed that
disruption of these events, during specific critical periods when they exert
maximal influence, may lead to neurodevelopmental diseases, including epilepsy.
However, complexity of neurocircuitry, coupled with the lack of information on
network formation in mammals, makes it difficult to directly investigate this
hypothesis. Alternative models, including the fruit fly Drosophila melanogaster,
show remarkable similarities between experimental seizure-like activity and
clinical phenotypes. In particular, a group of flies, termed bang-sensitive (bs)
mutants have been extensively used to investigate the pathophysiological
mechanisms underlying seizure. Seizure phenotype can be measured in larval stages
using an electroshock assay, and this behavior in bs mutants is dramatically
reduced following ingestion of typical anti-epileptic drugs (AEDs;). In this
study we describe a critical period of embryonic development in Drosophila during
which manipulation of neural activity is sufficient to significantly influence
seizure behavior at postembryonic stages. We show that inhibition of elevated
activity, characteristic of bs seizure models, during the critical period is
sufficient to suppress seizure. By contrast, increasing neuronal excitation
during the same period in wild-type (WT) is sufficient to permanently induce a
seizure behavior. Further, we show that induction of seizure in WT correlates
with functional alteration of motoneuron inputs that is a characteristic of bs
mutants. Induction of seizure is rescued by prior administration of AEDs, opening
a new perspective for early drug intervention in the treatment of genetic
epilepsy.
PMID- 26549259
TI - The Negative Association between Religiousness and Children's Altruism across the
World.
AB - Prosocial behaviors are ubiquitous across societies. They emerge early in
ontogeny and are shaped by interactions between genes and culture. Over the
course of middle childhood, sharing approaches equality in distribution. Since
5.8 billion humans, representing 84% of the worldwide population, identify as
religious, religion is arguably one prevalent facet of culture that influences
the development and expression of prosociality. While it is generally accepted
that religion contours people's moral judgments and prosocial behavior, the
relation between religiosity and morality is a contentious one. Here, we assessed
altruism and third-party evaluation of scenarios depicting interpersonal harm in
1,170 children aged between 5 and 12 years in six countries (Canada, China,
Jordan, Turkey, USA, and South Africa), the religiousness of their household, and
parent-reported child empathy and sensitivity to justice. Across all countries,
parents in religious households reported that their children expressed more
empathy and sensitivity for justice in everyday life than non-religious parents.
However, religiousness was inversely predictive of children's altruism and
positively correlated with their punitive tendencies. Together these results
reveal the similarity across countries in how religion negatively influences
children's altruism, challenging the view that religiosity facilitates prosocial
behavior.
PMID- 26549260
TI - Cyp27c1 Red-Shifts the Spectral Sensitivity of Photoreceptors by Converting
Vitamin A1 into A2.
AB - Some vertebrate species have evolved means of extending their visual sensitivity
beyond the range of human vision. One mechanism of enhancing sensitivity to long
wavelength light is to replace the 11-cis retinal chromophore in photopigments
with 11-cis 3,4-didehydroretinal. Despite over a century of research on this
topic, the enzymatic basis of this perceptual switch remains unknown. Here, we
show that a cytochrome P450 family member, Cyp27c1, mediates this switch by
converting vitamin A1 (the precursor of 11-cis retinal) into vitamin A2 (the
precursor of 11-cis 3,4-didehydroretinal). Knockout of cyp27c1 in zebrafish
abrogates production of vitamin A2, eliminating the animal's ability to red-shift
its photoreceptor spectral sensitivity and reducing its ability to see and
respond to near-infrared light. Thus, the expression of a single enzyme mediates
dynamic spectral tuning of the entire visual system by controlling the balance of
vitamin A1 and A2 in the eye.
PMID- 26549261
TI - Memory Formation in Tritonia via Recruitment of Variably Committed Neurons.
AB - Prior studies have found that functional networks can rapidly add neurons as they
build short-term memories, yet little is known about the principles underlying
this process. Using voltage-sensitive dye imaging, we found that short-term
sensitization of Tritonia's swim motor program involves rapid expansion of the
number of participating neurons. Tracking neurons across trials revealed that
this involves the conversion of recently discovered variably participating
neurons to reliable status. Further, we identify a candidate serotonergic
cellular mechanism mediating this process. Our findings reveal a new mechanism
for memory formation, involving recruitment of pre-positioned, variably committed
neurons into memory networks. This represents a shift from the field's long-term
focus on synaptic plasticity, toward a view that certain neurons have
characteristics that predispose them to join networks with learning.
PMID- 26549262
TI - Dorsal and Ventral Pathways for Prosody.
AB - Our vocal tone--the prosody--contributes a lot to the meaning of speech beyond
the actual words. Indeed, the hesitant tone of a "yes" may be more telling than
its affirmative lexical meaning. The human brain contains dorsal and ventral
processing streams in the left hemisphere that underlie core linguistic abilities
such as phonology, syntax, and semantics. Whether or not prosody--a reportedly
right-hemispheric faculty--involves analogous processing streams is a matter of
debate. Functional connectivity studies on prosody leave no doubt about the
existence of such streams, but opinions diverge on whether information travels
along dorsal or ventral pathways. Here we show, with a novel paradigm using audio
morphing combined with multimodal neuroimaging and brain stimulation, that
prosody perception takes dual routes along dorsal and ventral pathways in the
right hemisphere. In experiment 1, categorization of speech stimuli that
gradually varied in their prosodic pitch contour (between statement and question)
involved (1) an auditory ventral pathway along the superior temporal lobe and (2)
auditory-motor dorsal pathways connecting posterior temporal and inferior
frontal/premotor areas. In experiment 2, inhibitory stimulation of right premotor
cortex as a key node of the dorsal stream decreased participants' performance in
prosody categorization, arguing for a motor involvement in prosody perception.
These data draw a dual-stream picture of prosodic processing that parallels the
established left-hemispheric multi-stream architecture of language, but with
relative rightward asymmetry.
PMID- 26549263
TI - Spiritual care as perceived by Lithuanian student nurses and nurse educators: A
national survey.
AB - BACKGROUND: Political restrictions during 50years of Soviet occupation
discouraged expressions of spirituality among Lithuanians. THE AIM: The aim of
this paper is to describe Lithuanian nursing educators' and students' perception
of spiritual care in a post-Soviet context. DESIGN AND SETTINGS: This cross
sectional study was carried out among student nurses and nursing educators at
three universities and six colleges in Lithuania. PARTICIPANTS AND METHODS: The
questionnaire developed by Scott (1959) and supplemented by Martin Johnson (1983)
was distributed to 316 nursing students in the 3rd and 4th years of studies and
92 nurse educators (N=408). RESULTS: Student nurses and their educators rated
general and professional values of religiousness equally; although students
tended to dislike atheistic behavior more than educators. Four main categories
associated with perceptions of spirituality in nursing care emerged from the
student nurses: attributes of spiritual care, advantages of spiritual care,
religiousness in spiritual care, and nurse-patient collaboration and
communication. Themes from nurse educators paralleled the same first three themes
but not the last one. CONCLUSIONS: Student nurses and nurse educators
acknowledged the importance of spiritual care for patients as well as for care
providers - nurses. In many cases spiritual care was defined by nursing students
and nurse educators as faith and religiousness. Being a religious person, both
for students and educators, or having spiritual aspects in students' personal
lives influenced the perception of religious reflection.
PMID- 26549264
TI - I-Kiribati nursing graduates experience of transition from university to
residential aged care facilities in Australia.
AB - OBJECTIVE: To explore the experience of international nursing graduates from
Kiribati transitioning into practice in RACFs, upon completion of their bachelor
of nursing degree in Australia. DESIGN: This study used an interpretive
phenomenology design with two focus groups. A thematic analysis of the
transcripts from the focus groups generated themes relating to the graduates
personal journey through transition. SETTING: This study was conducted with
graduates working in residential aged care facilities [RACF]. SUBJECTS: I
Kiribati nursing graduates (N=6) who have been practicing for 1year in RACF.
RESULTS: The experience of transition for the I-Kiribati graduates related to
challenges faced during this time. Three themes were developed from the analysis:
being unsure of expectations, understanding responsibilities of practice, and
stepping up to the RN role. The influence of culture was apparent within the
three themes. CONCLUSION: Overall, the transition to RACFs for the participants
was difficult; however, students described increased confidence to work through
professional and cultural challenges. They became more reliant on their own
knowledge and skills as they matured as practitioners. Recommendations for
improving the transition experience include transitional support and educational
workshops related specifically to working in RACF. Tailoring workshops to the
specific needs of international graduate nurses would assist their transition in
relation to cultural differences.
PMID- 26549265
TI - Resilience in nursing students: An integrative review.
AB - OBJECTIVE: The aim of this integrative review was to investigate the state of
knowledge on resilience in nursing students. Specifically the authors sought to
define and describe the concept, and identify factors that affect and evaluate
strategies to promote resilience in nursing students. DESIGN: Integrative
literature review. DATA SOURCES: Cumulative Index to Nursing and Allied Health
(CINHAL), Education Resources Information Center (ERIC) and PsychINFO electronic
databases were searched for publications between 1990 and 2014. Search terms
included resilience, student, nurse, nursing student, hardiness, emotional
resilience, research, resili*, and nurse*. REVIEW METHODS: Whittemore and Knafl's
integrative approach was utilized to conduct the methodological review. Each
article was assessed with an appraisal tool. RESULTS: The search resulted in the
inclusion of nine articles. The majority of the literature utilized definitions
of resilience from the discipline of psychology. One exception was a definition
developed within nursing specific to nursing students. Factors that affect
resilience were grouped into three themes: support, time, and empowerment.
Strategies to promote resilience in nursing students were found in three of the
nine articles, but their methods and findings were disparate. CONCLUSIONS: This
review provides information about the concept of resilience in nursing students.
Faculty awareness of the importance of resilience in nursing students can better
prepare students for the role of the professional nurse. Support from family,
friends and faculty impact a student's resilience. Through closely working with
students in advisement, the clinical arena and the classroom faculty can promote
resilience.
PMID- 26549266
TI - High-Fidelity Contrast Reaction Simulation Training: Performance Comparison of
Faculty, Fellows, and Residents.
AB - PURPOSE: Reactions to contrast material are uncommon in diagnostic radiology, and
vary in clinical presentation from urticaria to life-threatening anaphylaxis.
Prior studies have demonstrated a high error rate in contrast reaction
management, with smaller studies using simulation demonstrating variable data on
effectiveness. We sought to assess the effectiveness of high-fidelity simulation
in teaching contrast reaction management for residents, fellows, and attendings.
METHODS: A 20-question multiple-choice test assessing contrast reaction
knowledge, with Likert-scale questions assessing subjective comfort levels of
management of contrast reactions, was created. Three simulation scenarios that
represented a moderate reaction, a severe reaction, and a contrast reaction mimic
were completed in a one-hour period in a simulation laboratory. All participants
completed a pretest and a posttest at one month. A six-month delayed posttest was
given, but was optional for all participants. RESULTS: A total of 150
radiologists participated (residents = 52; fellows = 24; faculty = 74) in the
pretest and posttest; and 105 participants completed the delayed posttest
(residents = 31; fellows = 17; faculty = 57). A statistically significant
increase was found in the one-month posttest (P < .00001) and the six-month
posttest scores (P < .00001) and Likert scores (P < .001) assessing comfort level
in managing all contrast reactions, compared with the pretest. Test scores and
comfort level for moderate and severe reactions significantly decreased at six
months, compared with the one-month posttest (P < .05). CONCLUSIONS: High
fidelity simulation is an effective learning tool, allowing practice of "high
acuity" situation management in a nonthreatening environment; the simulation
training resulted in significant improvement in test scores, as well as an
increase in subjective comfort in management of reactions, across all levels of
training. A six-month refresher course is suggested, to maintain knowledge and
comfort level in contrast reaction management.
PMID- 26549267
TI - Preface.
PMID- 26549268
TI - Prescription patterns of traditional Chinese medicine for peptic ulcer disease in
Taiwan: A nationwide population-based study.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Peptic ulcer disease is a common digestive
disease. There is a lack of large-scale survey on the use of traditional Chinese
medicine (TCM) for the treatment of peptic ulcer disease. This study aimed to
investigate the utilization of TCM for the treatment of peptic ulcer disease in
Taiwan. MATERIALS AND METHODS: We analyzed a random sample comprised of one
million individuals with newly diagnosed peptic ulcer disease between 2001 and
2010 from the National Health Insurance Research Database in Taiwan. Demographic
characteristics and TCM usage, including Chinese herbal formulas and the single
herbs prescribed for patients with peptic ulcer disease, were analyzed. RESULTS:
A total of 96,624 newly diagnosed subjects with peptic ulcer disease were
included. 14,983 (15.5%) patients were TCM users. People residing in highly
urbanized areas, younger people and female (compared with male) were more likely
to use TCM. With regard to the comorbidities, TCM users had a lower prevalence of
coronary artery disease, chronic obstructive lung disease, diabetes mellitus and
liver cirrhosis and stroke. The average time between onset of peptic ulcer
disease and the first visit to a TCM clinic was 4.7 months. Majority of the
patients (n=14,449; 96.4%) received only Chinese herbal remedies. The most
frequently prescribed Chinese herbal formula and single herb was Ban-Xia-Xie-Xin
Tang (Pinelliae Decoction to Drain the Epigastrium) and Hai-Piao-Xiao (Os
Sepiae), respectively. The core pattern analysis showed that combination of Ban
Xia-Xie-Xin-Tang, Hai-Piao-Xiao (Os Sepiae), Yan-Hu-Suo (Rhizoma Corydalis), Bei
Mu (Bulbus Fritillariae Thunbergii) and Chuan-Lian-Zi (Fructus Toosendan) was
most frequently used for peptic ulcer disease. CONCLUSIONS: Our study identified
the core prescription patterns of TCM for patients with peptic ulcer disease in
Taiwan. Further basic and clinical studies are necessary to elucidate the
efficacy and mechanisms.
PMID- 26549269
TI - Studies of the effect of grasshopper abdominal secretion on wound healing with
the use of murine model.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Grasshopper, belonging to Chorthippus sp., is a
widespread insect inhabiting Polish territory. According to folk knowledge and
folk tales, the grasshopper abdominal secretion was used by villagers of Central
and South-West Poland as a natural drug accelerating the wound healing process.
AIM OF THE STUDY: In the reported study the hypothesis about beneficial
properties of grasshopper abdominal secretion on hard to heal wounds was
verified. MATERIALS AND METHODS: The study was carried out with the use of a
murine model (mice C57BL/6). In order to verify the beneficial properties of
grasshopper abdominal secretion, the wounds of 8mm in diameter were formed on one
side of each tested mouse. The influence of ethanolic extract of insects'
secretion on healing process was evaluated in comparison to ethanolic solution of
allantoin and 30% aqueous solution of ethanol (medium). The observation was
carried out over a 14 day period. Finally the statistical analysis (ANOVA) was
carried out to highlight the differences in wound healing rate between applied
preparations. Moreover, qualitative composition of grasshoppers' secretion was
studied with the use of GC/MS technique. RESULTS: During the first three days of
observation, wounds treated with allantoin were healed with higher efficiency in
comparison to ethanol and insect secretion preparations. The trend of healing
changed from the 4th day of observation. Wounds treated with grasshoppers'
abdominal secretion were closuring faster than wounds treated with allantoin or
ethanol. In this part of observation, in the case of allantoin and ethanol
application, the wound healing efficiency was similar. Since the 9th day of
experiment the measurement of wounds size was problematic, due to crust
formation. Finally at the 14th day of the study, wounds were totally healed.
Morphological study enabled to observe all the phases of healing. In the 5th and
8th day, the infiltration of neutrophils and mononuclear cells in dermis was
observed, which is characteristic for inflammatory phase of wound healing. On the
8th day of experiments, granulation of the tissue was clearly observed in the
tested groups. Reepithelialization phase was observed from the 5th to 14th day,
when the wound was totally healed. The analytical approach enabled to identify 38
compounds of hydrophobic or hydrophilic character. Among them, 6 amino acids, 14
organic acids and their derivatives, one sterol, 4 hydrocarbons, 5 carbohydrates,
2 inorganic acids, 4 alcohols, one diamine and one nucleoside were identified.
CONCLUSION: The obtained results enabled to recognize the composition of
grasshopper abdominal secretion. Some of the identified compounds possess
therapeutic properties described in the literature. The performed in vivo study
proved that application of insects secretion accelerates the healing process. The
obtained results positively verified the scientific hypothesis based on
ethnopharmacological premises about the beneficial properties of grasshopper
abdominal secretion on wound healing process.
PMID- 26549270
TI - Antimutagenicity and induction of antioxidant defense by flavonoid rich extract
of Myrcia bella Cambess. in normal and tumor gastric cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The Brazilian "Cerrado" is an important source of
natural products, such as Myrcia bella Cambess (MB, also known as "mercurinho").
MB leaves are popularly used for the treatment of diabetes and gastrointestinal
disorders; however, only its hypoglycemic activity has been experimentally
described. AIM OF THE STUDY: Because MB is used to treat gastrointestinal
disorders, the present study characterized biological activities of
hydroalcoholic MB extract in human normal and tumor gastric cells. MATERIALS AND
METHODS: Cytotoxic, antiproliferative, genotoxic and protective effects were
evaluated, as well as the effects of the MB extract on gene expression. RESULTS:
The MB extract induced cytotoxicity in tumor cells at lower concentrations
compared with normal cells as assessed by the MTT assay. Moreover, the MB extract
induced necrosis based on acridine orange/ethidium bromide staining. An
antiproliferative effect was evidenced through an arrest in the G2/M phase
detected by flow cytometry and a decrease in the nuclear division index using the
cytokinesis-block micronucleus cytome assay. Cells treated with MB extract
combined with doxorubicin (DXR) showed increased NUBDs, which may be related to
the gene amplification of CCND1. Antimutagenic effects were also observed and may
be associated with the antioxidant activities detected using the CM-H2DCFDA
probe. CONCLUSIONS: Our findings showed the following: (a) high concentrations of
MB induced cytotoxicity and cell death by necrosis; (b) its antiproliferative
effect was associated with G2/M arrest; and (c) its antioxidant activity could be
responsible for the observed antimutagenic effects and for protective effects
against gastrointestinal disorders previously described to MB. Although these
effects are not specific to normal or tumor cells, they provide a panel of
biological activities for further exploration.
PMID- 26549271
TI - Review: African medicinal plants with wound healing properties.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Wounds of various types including injuries, cuts,
pressure, burns, diabetic, gastric and duodenal ulcers continue to have severe
socio-economic impact on the cost of health care to patients, family and health
care institutions in both developing and developed countries. However, most
people in the developing countries, especially Africa, depend on herbal remedies
for effective treatment of wounds. Various in vitro and in vivo parameters are
used for the evaluation of the functional activity of medicinal plants by using
extracts, fractions and isolated compounds. The aim of the review is to identify
African medicinal plants with wound healing properties within the last two
decades. MATERIALS AND METHODS: Electronic databases such as PubMed,
Scifinder((r)) and Google Scholar were used to search and filter for African
medicinal plants with wound healing activity. The methods employed in the
evaluation of wound healing activity of these African medicinal plants comprise
both in vivo and in vitro models. In vivo wound models such as excision,
incision, dead space and burn wound model are commonly employed in assessing the
rate of wound closure (contraction), tensile strength or breaking strength
determination, antioxidant and antimicrobial activities, hydroxyproline content
assay and histological investigations including epithelialisation, collagen
synthesis, and granulation tissue formation. In in vitro studies, single cell
systems are mostly used to study proliferation and differentiation of dermal
fibroblasts and keratinocytes by monitoring typical differentiation markers like
collagen and keratin. RESULTS: In this study, 61 plants belonging to 36 families
with scientifically demonstrated or reported wound healing properties were
reviewed. Various plant parts including leaves, fruits, stem bark and root
extracts of the plants are used in the evaluation of plants for wound healing
activities. CONCLUSION: Although, a variety of medicinal plants for wound healing
can be found in literature, there is a need for the isolation and
characterization of the bioactive compounds responsible for the wound healing
properties. Also, cytotoxicity studies should be performed on the promising
agents or bioactive fractions or extracts.
PMID- 26549272
TI - Proliferative effect of plants used for wound healing in Rio Grande do Sul state,
Brazil.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Wounds are normally resolved in a few days, but
chronic wounds represent a major burden because of economic and social factors.
Thereby, the search for new agents is ongoing and natural products become a great
target. Also, Brazil as a consumer of herbal medicines with rich social diversity
is promising for ethnopharmacological studies. AIMS OF THE STUDY: The study aims
to find the plants popularly used for wound healing purposes in Rio Grande do Sul
state, and test the traditional knowledge through an in vitro screening.
MATERIALS AND METHODS: Ethnobotanical studies from state of Rio Grande do Sul
were analyzed to find the most used plants to treat wounds. The selected species
were collected, identified and ethanolic and aqueous extracts were prepared.
After, proliferative capacity was accessed by MTT assay in a keratinocyte cell
line (HaCaT). RESULTS: The survey comprehended almost all state regions and led
to 117 plant species from 85 genera, from which 14 were selected for in vitro
testing. Aqueous extracts from Achyrocline satureioides DC Lam., Matricaria
recutita L., Melia azedarach L. and Mirabilis jalapa L. demonstrated the ability
to stimulate keratinocyte growth up to 120% in concentrations of 25 ug/mL and 50
ug/mL. The ethanolic extract of A. satureioides was able to stimulate
keratinocyte and fibroblast proliferation on the lower concentration tested, 1
ug/mL, being the most promising species. CONCLUSIONS: The traditional knowledge
collected from the ethnobotanical studies was accessed by in vitro investigation
and extracts from Achyrocline satureioides, Matricaria recutita, Melia azedarach
and Mirabilis jalapa can influence positively cell proliferation.
PMID- 26549273
TI - Protection of testis through antioxidant action of Mallotus roxburghianus in
alloxan-induced diabetic rat model.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Mallotus roxburghianus is used for its
antihyperglycaemic properties in Southeast Asia especially in Northeast India
(Mizoram) and is also recognized in traditional medicine. About 90% of diabetic
patients have been associated with reproductive impairments. The primary aim of
this investigation is to examine the effects of diabetes on oxidative stress,
steroidogenesis, histopathology, proliferation of germ cells with proliferative
cell nuclear antigen (PCNA) and antioxidant status, and alleviative effect of M.
roxburghianus on the testis dysfunction. MATERIALS AND METHODS: Methanolic leaf
extract of M. roxburghianus was given to male albino Wistar rats by oral gavage
to study the acute toxicity. Phyto-chemical composition of the methanol extract
of M. roxburghianus was analyzed by GC-MS. Male Wistar rats were divided into six
groups with seven animals in each group: untreated control; M. roxburghianus
methanolic extract control (MRME, 400mg/kg); Alloxan diabetic control group (150
mg/kg); diabetic with 100mg/kg MRME treatment; diabetic with 400mg/kg MRME
treatment; and diabetic with glibenclamide (0.1mg/kg) treatment. Diabetes was
induced by a single intraperitoneal injection of 150 mg/kg alloxan and was
confirmed by testing fasting plasma blood glucose levels 5 days after injection.
MRME was administered orally for 28 days. Body and testis weights, serum
testosterone, testis malondialdehyde (MDA), catalase (CAT), superoxide dismutase
(SOD), glutathione (GSH), glutathione S transferase (GST) and protein levels were
measured, and testis tissue was examined histopathologically and
immunohistochemically (PCNA). RESULTS: No sign of mortality and organ toxicity
was observed up to 3000 mg/kg in acute toxicity assay of MRME and inferred to be
non-toxic and safe. Bergenin and betulinic acid are the major components of MRME
with many biological activities. MRME treatment rendered significant increases in
body weight, testis weight, testes-body weight ratio, down regulated the MDA
levels, reduced the degeneration and disruption of seminiferous tubule structure,
restored the antioxidant enzymes and serum testosterone levels, increased the
PCNA activities and attenuated the testes injury. CONCLUSION: MRME treatment to
diabetic rats improves diabetes induced oxidative damage in testis as well as
provides protection to testis. Phenols (Bergenin) and terpenes (Betulinic acid)
were the main compounds of MRME that show antioxidant and antidiabetic activities
and indeed validated its traditional use in the management of diabetes related
testicular impairment.
PMID- 26549274
TI - Development, differentiation, and vascular components of subcutaneous and
intrahepatic Hepa129 tumors in a mouse model of hepatocellular carcinoma.
AB - Tumor models in mice offer opportunities for understanding tumor formation and
development of therapeutic treatments for hepatocellular carcinoma. In this
study, subcutaneous or intra-hepatic Hepa129 tumors were established in C3H mice.
Tumor growth was determined by daily measurements of subcutaneous tumors and post
mortem studies of subcutaneous and intrahepatic tumors. Administration of Edu was
used to determine cell generation dates of tumor cells. Immunohistochemistry with
antibodies directed at CD31 or CD34, and intravenous injection of labeled tomato
lectin revealed tumor vasculature. Tissue sections also were processed for
immunohistochemistry using a panel of antibodies to proteoglycans. Comparison of
Edu labeled cells with immunoreactivity allowed determination of development and
differentiation of tumor cells after cell generation. Subcutaneous and
intrahepatic tumors displayed similar growth over 3 weeks. Immunohistochemistry
showed strong labeling for glypican-3, 9BA12, and chondroitin sulfate of tumors
in both loci, while normal liver was negative. Tumor regions containing Edu
labeled cells did not show significant immunohistochemical labeling for the tumor
markers until 2-3 days after Edu treatment; overlap of Edu labeled cells and
immunohistochemically labeled tumor regions appeared to reach a maximum at 5 days
after Edu treatment. Ectopic subcutaneous tumors displayed vascular ingrowth as
the tumor cells expressed immunocytochemical markers; subcutaneous tumors
displayed significantly more vascular elements than did intrahepatic tumors.
PMID- 26549275
TI - Pancreatic exocrine insufficiency in type 1 and type 2 diabetics of Indian
origin.
AB - BACKGROUND: Pancreatic exocrine insufficiency has been frequently described in
both type 1 and type 2 diabetes. Fecal elastase test has been demonstrated to
have good correlation with direct tests for exocrine function, especially in
moderate to severe cases. There are no data on the prevalence of pancreatic
exocrine insufficiency in Indian patients with diabetes utilizing FEC
concentrations. The objective of our study is to evaluate the prevalence of
pancreatic exocrine insufficiency (PEI) in type 1 and type 2 diabetes and study
the impact of PEI on glycemic control and metabolic parameters in diabetes.
METHODS AND MATERIALS: We conducted a cross sectional study on 89 T1D, 95 T2D
patients and 90 healthy controls. Biochemical parameters including FBS, HbA1c,
serum albumin and serum calcium were estimated. Fecal elastase concentrations
(FEC) were estimated by ELISA. Patients with FEC <200 MUg/g were considered to
have pancreatic exocrine insufficiency. RESULTS: The prevalence of PEI was 31.4%
in T1D, 29.4% in T2D and 4.4% in controls (P < 0.01). A significant negative
correlation was observed between FEC levels and, both FBS and HbA1c in diabetic
patients. There was also a significant positive correlation between BMI and FEC.
There was no significant association between low FEC and other biochemical
parameters. CONCLUSION: Nearly one third of patients with both T1D and T2D showed
evidence of impaired exocrine function utilizing FEC test. Presence of PEI
correlated with lower BMI and higher HbA1c.
PMID- 26549277
TI - Investigating the Islamic Perspective on Homosexuality.
AB - In his 2006 article in the Journal of the Islamic Medical Association of North
America (JIMA), Dr. Ahmed qualified the predominant psychiatric view on
homosexuality by recourse to opinions prevalent within reparative therapy
circles. Conservative Muslim thinkers, online counselors, and other professionals
continue to hold opinions similar to those delineated by Dr. Ahmed in his journal
article. We use his article as a focal point to critique the general opinions
upheld by conservative Muslim thinkers by alluding to the harms associated with
reparative therapy and by rejecting the unreasonable prescription of permanent
celibacy. We critique Dr. Ahmed's association of homosexuality with mental health
issues, fatal diseases, alcoholism, and illicit sexual intercourse. Investigating
the Muslim tradition, we encourage conservative Muslim leaders to facilitate
Muslim gays and lesbians in their legitimate human need for intimacy, affection,
and companionship.
PMID- 26549276
TI - Diabetes-specific enteral nutrition formula in hyperglycemic, mechanically
ventilated, critically ill patients: a prospective, open-label, blind-randomized,
multicenter study.
AB - INTRODUCTION: Although standard enteral nutrition is universally accepted, the
use of disease-specific formulas for hyperglycemic patients is still
controversial. This study examines whether a high-protein diabetes-specific
formula reduces insulin needs, improves glycemic control and reduces ICU-acquired
infection in critically ill, hyperglycemic patients on mechanical ventilation
(MV). METHODS: This was a prospective, open-label, randomized (web-based,
blinded) study conducted at nine Spanish ICUs. The patient groups established
according to the high-protein formula received were: group A, new-generation
diabetes-specific formula; group B, standard control formula; group C, control
diabetes-specific formula. Inclusion criteria were: expected enteral nutrition
>=5 days, MV, baseline glucose >126 mg/dL on admission or >200 mg/dL in the first
48 h. Exclusion criteria were: APACHE II <=10, insulin-dependent diabetes, renal
or hepatic failure, treatment with corticosteroids, immunosuppressants or lipid
lowering drugs and body mass index >=40 kg/m(2). The targeted glucose level was
110-150 mg/dL. Glycemic variability was calculated as the standard deviation,
glycemic lability index and coefficient of variation. Acquired infections were
recorded using published consensus criteria for critically ill patients. Data
analysis was on an intention-to-treat basis. RESULTS: Over a 2-year period, 157
patients were consecutively enrolled (A 52, B 53 and C 52). Compared with the
standard control formula, the new formula gave rise to lower insulin requirement
(19.1 +/- 13.1 vs. 23.7 +/- 40.1 IU/day, p <0.05), plasma glucose (138.6 +/- 39.1
vs. 146.1 +/- 49.9 mg/dL, p <0.01) and capillary blood glucose (146.1 +/- 45.8
vs. 155.3 +/- 63.6 mg/dL, p <0.001). Compared with the control diabetes-specific
formula, only capillary glucose levels were significantly reduced (146.1 +/- 45.8
vs. 150.1 +/- 41.9, p <0.01). Both specific formulas reduced capillary glucose on
ICU day 1 (p <0.01), glucose variability in the first week (p <0.05), and
incidences of ventilator-associated tracheobronchitis (p <0.01) or pneumonia (p
<0.05) compared with the standard formula. No effects of the nutrition formula
were produced on hospital stay or mortality. CONCLUSIONS: In these high-risk ICU
patients, both diabetes-specific formulas lowered insulin requirements, improved
glycemic control and reduced the risk of acquired infections relative to the
standard formula. Compared with the control-specific formula, the new-generation
formula also improved capillary glycemia. TRIAL REGISTRATION: Clinicaltrials.gov
NCT1233726 .
PMID- 26549278
TI - When Middle Really Means "Top" or "Bottom": An Analysis of the 16PF5 Using Bock's
Nominal Response Model.
AB - When self-report items with a Likert-type scale include a middle response option
(e.g., Unsure, Neither agree nor disagree, or ?), this middle option is assumed
to measure a level of the trait intermediate between the high and low response
categories. In this study, we tested this assumption in the 16 Personality Factor
Questionnaire, Version 5 (16PF5) by fitting Bock's nominal response model in the
U.S. and UK standardization samples of the 16PF5. We found that in many cases,
the middle option was indicative of higher levels of the latent trait than the
ostensibly highest response option. In certain other cases, it was indicative of
lower levels of the latent trait than the ostensibly lowest response option. This
undermines the use of a simple successive integer scoring scheme where responses
in adjacent response categories are assigned scores of 0, 1, and 2.
Recommendations for alternative scoring schemes are provided. Results also
suggested that certain personality traits, especially neurotic traits, are
associated with a tendency toward selecting the middle option.
PMID- 26549279
TI - Java project on periodontal diseases: effect of vitamin C/calcium
threonate/citrus flavonoids supplementation on periodontal pathogens, CRP and
HbA1c.
AB - OBJECTIVE: To assess in a periodontally diseased rural population deprived from
regular dental care and having poor dietary conditions, the effect of vitamin
C/calcium threonate/citrus flavonoids (VitC/Ca/Fl) supplementation on subgingival
microbiota and plasma levels of vitamin C, HbA1c and hsCRP. MATERIAL & METHODS:
The study population consisted of 98 subjects who previously participated in a
prospective study on the natural history of periodontitis. Participants were
instructed to consume one tablet/day containing 200 mg Ester C((r)) calcium
ascorbate, 25 mg calcium threonate and 100 mg citrus flavonoids for 90 days.
Following parameters were evaluated: prevalence/amount of seven traditional
periodontal pathogens, cytomegalovirus, Epstein-Barr virus (EBV); and plasma
levels of vitamin C, HbA1c and hsCRP. RESULTS: After VitC/Ca/Fl supplementation,
100% of subjects showed normal plasma vitamin C values compared to 55% before. At
baseline, 48% of subjects harboured Aggregatibacter actinomycetemcomitans, >97%
the other periodontal pathogens and 73% EBV. Supplementation with VitC/Ca/F
reduced the subgingival load of all studied bacteria (p-values: 0.014-0.0001) and
EBV (p < 0.0001) substantially in all initially positive subjects. Plasma levels
of HbA1c and hsCRP dropped in all subjects (p < 0.0001). CONCLUSION: This
uncontrolled study suggested that supplemental VitC/Ca/Fl may be helpful in
reducing subgingival numbers of periodontal pathogens and EBV, and promoting
systemic health.
PMID- 26549280
TI - Exploring Prospective Predictors of Illicit Drug-Toxicity Deaths: Evidence From
the General Social Survey.
AB - BACKGROUND: This study was based on over 30,000 U.S. respondents who completed
General Social Surveys between 1978 and 2002. AIMS: We approached these
respondents prospectively, comparing and contrasting the responses of those who
subsequently died from drug-poisonings (N = 135) with all respondents who were
still living, N = 23,559. METHOD: We employed cross-tabulation and logistic
regression analyses to test for statistically significant differences between
drug-poisoning death casualties and all living respondents. RESULTS: Consistent
with past research findings, younger males were over-represented among drug death
casualties. Also consistent with past studies, drug casualties showed evidence of
perceiving themselves as socially marginalized in comparison to living
respondents: More reported themselves in poorer health, as having been sexual
minority members during the last 5 years, as having spent their younger years in
homes where parents' marriages disrupted, with fewer owning homes and feeling
less satisfied about their financial situations. CONCLUSIONS: These exploratory
findings obtained from a general population survey reinforce findings from
clinical studies and help advance clinical assessments of potential at-risk
individuals who might be identified sooner, lest they succumb to future fatal
drug poisonings.
PMID- 26549281
TI - Impact of the Presence of Chronic Total Occlusion in a Non-Infarct-Related
Coronary Artery in Acute Myocardial Infarction Patients.
AB - Chronic total occlusion (CTO) in a non-infarcted-related artery was reported to
worsen immediate clinical outcome in acute myocardial infarction (AMI) patients.
However, the prognosis of such patients with preserved left ventricular function
after successful primary percutaneous coronary intervention (PCI) has not yet
been clarified. The aim of the present study was to evaluate whether the presence
of CTO contributes to a worse prognosis even in patients with preserved left
ventricular function after primary PCI.We retrospectively analyzed 353
consecutive patients with acute myocardial infarction, whose left ventricular
ejection fraction (LVEF) was not less than 40% in the echocardiography performed
1 day after primary PCI. We divided the patients into two groups according to the
presence (n = 25) or absence (n = 328) of CTO in the non-infarct-related coronary
artery, and compared the clinical outcome of patients between the two groups.The
LVEF estimated by echocardiography after primary PCI was similar between patients
with and without CTO (55.1 +/- 8.6% versus 58.0 +/- 9.4%; P = 0.07). The peak
creatine kinase value was also similar between the two groups (1539 versus 1921
U/L; P = 0.33); however, CTO patients were significantly more likely to undergo
intra-aortic balloon pumping (56.0% versus 12.5%; P < 0.001) during primary PCI,
and 30-day mortality was significantly higher in CTO patients (12.0% versus 0.9%;
P < 0.001). By multivariate analysis, cardiogenic shock at arrival was
significantly correlated with 30-day mortality.Even though the LVEF of AMI
patients with CTO was preserved after successful PCI, a high mortality rate was
observed.
PMID- 26549282
TI - Assessment of Efficacy and Necessity of Routine Defibrillation Threshold Testing
in Patients Undergoing Implantable Cardioverter-Defibrillator (ICD) Implantation.
AB - Defibrillation threshold (DFT) testing is performed routinely in patients
undergoing implantable cardioverter-defibrillator (ICD) implantation to verify
the ability of the ICD to terminate ventricular fibrillation (VF). However,
neither the efficacy nor the safety of DFT testing has been proven; thus, the
necessity of such testing is controversial. We conducted a retrospective study of
the efficacy of DFT testing, particularly with respect to long-term outcomes of
ICD implantation.The study included 150 patients (125 men, 25 women, aged 59.0 +/
17.6 years) who underwent ICD or cardiac resynchronization therapy defibrillator
implantation, with (n = 73) or without (n = 77) intraoperative DFT testing,
between June 1996 and September 2007. VF was induced by delivery of a T-wave
shock, and a 20-25-J shock was then delivered. If the 20-25-J shock failed to
terminate VF, 30 J was delivered. We assessed whether undersensed VF events
occurred during DFT testing and/or during patient follow-up and checked for any
association between undersensing and delayed shock delivery. During DFT testing,
fine VF was sensed, and shocks were delivered in a timely manner. Nevertheless, 2
patients in the DFT testing group died from VF within 3 years after device
implantation.DFT testing, in comparison to non-DFT testing, appeared to have no
influence on the long-term outcomes of our patients, suggesting that DFT testing
at the time of ICD implantation is limited.
PMID- 26549283
TI - Dramatic Response to Cardiac Resynchronization Therapy With AV Delay Optimization
in Narrow QRS Heart Failure.
AB - Cardiac resynchronization therapy (CRT) has been shown to be effective for heart
failure. However, as outlined in the AHA/ACC/HRS Appropriate Use Criteria, CRT is
not strongly recommended for patients with a narrow QRS complex. We describe a
case of dilated cardiomyopathy and narrow QRS complex in which we obtained a
dramatic response to CRT by optimizing the atrioventricular (AV) delay. The
patient was a 61-year-old man with intractable heart failure. Echocardiography
showed a low ejection fraction of 22% but no dyssynchrony. Because he had been
hospitalized many times for congestive heart failure despite beta-blocker and
diuretic treatment, we decided to use CRT. However, after implantation of the CRT
device, the QRS complex widened abnormally, and his symptoms worsened. He was re
admitted 2 months after CRT implantation. We examined the pacemaker status and
optimized the AV delay to obtain a "narrow" QRS complex. The patient's condition
improved dramatically after the AV delay optimization. His clinical status has
been good, and there has been no subsequent hospitalization. Our case points to
the effectiveness of CRT in patients with a narrow QRS complex and to the
importance of AV optimization for successful CRT.
PMID- 26549284
TI - Relationships Between Clinical Characteristics and Decreased Plakoglobin and
Connexin 43 Expressions in Myocardial Biopsies From Patients With Arrhythmogenic
Right Ventricular Cardiomyopathy.
AB - Reduced expressions of plakoglobin and connexin 43 have been reported in the
myocardium of patients with arrhythmogenic right ventricular cardiomyopathy
(ARVC). However, the relationships between these expression abnormalities and the
clinical features of ARVC remain unknown.The expressions of plakoglobin and
connexin 43 in myocardial biopsy specimens from 10 patients with confirmed ARVC,
and 13 control patients without ARVC (non-ARVC; hypertrophic cardiomyopathy, n =
7; dilated cardiomyopathy, n = 6), were examined by immunostaining to evaluate
the relationships between these expressions and the clinical characteristics of
ARVC. The ratios of plakoglobin/N-cadherin and of plakoglobin/connexin 43
expressions were significantly lower in the ARVC group than in the control group.
Significantly more patients had decreased plakoglobin expression in the ARVC
group than in the control group (9/10 versus 7/13; P = 0.0376). Sustained
ventricular tachycardia occurred more frequently in patients with ARVC and with
decreased expressions of both plakoglobin and connexin 43 than in those with
decreased expression of plakoglobin alone (5/5 versus 1/4, P = 0.048).Decreased
expressions of both connexin 43 and plakoglobin in the myocardium might be
associated with the development of arrhythmia in ARVC.
PMID- 26549285
TI - How Should We Treat Early Post-Transplant Lymphoproliferative Disease After Heart
Transplantation?
AB - Although post-transplant lymphoproliferative disease (PTLD) is one of the major
fatal complications encountered several years after heart transplant (HTx),
little is known about early-PTLD emerging within the first year. We here describe
the rare case of a 24-year-old female patient who suffered from early-PTLD
(DLBCL: diffuse large B-cell lymphoma) associated with an Epstein-Barr virus
(EBV) infection, that developed around the jejunum at 7 months after HTx. She
suffered from acute abdominal peritonitis due to perforation of the jejunum soon
after the first chemotherapy. She was treated successfully by emergent partial
resection of the jejunum and colostomy after the discontinuation of everolimus
(EVL) and successive low-dose chemotherapy under careful monitoring and
adjustment of intravenous immunosuppressant including cyclosporine (CyA) and
prednisolone to avoid a rejection reaction. Prophylactic strategies for early
PTLD in HTx recipients should be undertaken with caution.
PMID- 26549286
TI - Improvement of Severe Heart Failure after Endovascular Stent Grafting for
Thoracic Aortic Aneurysm.
AB - Afterload is considered to be an important factor regulating heart failure.
Aortic structure or pathology may affect afterload to various extents. However,
the contribution of aortic diseases, such as aortic aneurysm or aortic
dissection, to heart failure status has not been completely elucidated.Here we
describe a 78-year-old patient with severe heart failure who made a dramatic
recovery from cardiac decompensation following endovascular thoracic aortic
aneurysm surgery. He previously underwent graft replacement for impending rupture
of the descending aorta and replacement of both the mitral valve and aortic valve
to address valve regurgitation. Subsequently, his left ventricular (LV) function
became severely depressed (13%) and serum brain natriuretic peptide (BNP) level
remained high (approximately 880-3520 pg/mL). Conversely, his aortic arch was
dilated to 70 mm and required surgical intervention. Despite his extremely high
vascular surgery risk due to severely depressed cardiac function, stent grafting
for thoracic aortic aneurysm was successfully performed. Furthermore, the
severity of his depressed cardiac function and heart failure dramatically
improved following stent grafting. The left ventricular ejection fraction
improved from 13% presurgery to 55% postsurgery and the serum BNP level had
significantly decreased to 70- 240 pg/mL. These improvements helped to alleviate
the patient's heart failure symptoms, including shortness of breath.This case
suggests a possible beneficial effect of aortic aneurysm repair for improving
cardiac function and heart failure; our study presents a new concept of another
extrinsic factor that can affect cardiac function through modulation of
afterload.
PMID- 26549287
TI - Ability of 1,5-Anhydro-d-glucitol Values to Predict Coronary Artery Disease in a
Non-Diabetic Population.
AB - Increasing evidence has indicated that postprandial hyperglycemia affects
coronary artery disease (CAD). The serum 1,5-anhydro-d-glucitol (1,5-AG) value is
a useful clinical marker to evaluate short-term glycemic status and reflects
glycemic excursions with greater sensitivity when compared with hemoglobinA1c
(HbA1c), especially for patients in the postprandial state. The aim of this study
was to evaluate the predictive value of 1,5-AG for CAD in patients without
diabetes mellitus.This study included 729 consecutive patients who had undergone
their first coronary angiography. A total of 284 patients (246 diabetic patients
and 38 patients with stage 4 or 5 chronic kidney disease) were excluded. The
predictive values of 1,5-AG and HbA1c for CAD were evaluated by multivariable
logistic regression analysis.Patients with CAD demonstrated significantly lower
1,5-AG values and higher HbA1c values than did patients without CAD (18.6 MUg/mL
[12.0, 23.3] versus 19.2 MUg/mL [14.4, 25.2], P = 0.036, and 5.7% [5.5, 5.9]
versus 5.6% [5.4, 5.8], P = 0.016, respectively). In multivariable logistic
regression analysis, the HbA1c values did not indicate a predictive value for the
prevalence of CAD. In contrast, the 1,5-AG levels were still an independent
predictor of CAD (adjusted odds ratio 0.96, 95% confidence interval 0.93-0.99, P
= 0.0097).Serum 1,5-AG is superior to HbA1c for predicting CAD prevalence in
patients without diabetes mellitus.
PMID- 26549288
TI - Association of Serum n-3/n-6 Polyunsaturated Fatty Acid Ratio With T-Wave
Alternans in Patients With Ischemic Heart Disease.
AB - Several studies have demonstrated that oral intake of n-3 polyunsaturated fatty
acids, specifically eicosapentaenoic acid (EPA), prevents ventricular
tachyarrhythmias (VT) with ischemic heart disease, but the underlying mechanisms
still remain unclear. Thus, we examined the relation between the serum
EPA/arachidonic acid (AA) ratio and electrophysiological properties in patients
with ischemic heart disease. The study subjects consisted of 57 patients (46
males, mean age, 66 +/- 13 years) with ischemic heart disease. T-wave alternans
(TWA) and heart rate variability were assessed by 24hour Holter ECG, and left
ventricular ejection fraction (LVEF) was determined by echocardiography. Fasting
blood samples were collected, and the serum EPA/AA ratio was determined. Based on
a median value of the serum EPA/AA ratio, all subjects were divided into two
groups: serum EPA/AA ratio below 0.33 (Group-L, n = 28) or not (Group-H, n = 29).
We compared these parameters between the two groups. LVEF was not different
between the two groups. The maximum value of TWA was significantly higher in
Group-L than in Group-H (69.5 +/- 22.8 MUV versus 48.7 +/- 12.0 MUV, P = 0.007).
In addition, VT defined as above 3 beats was observed in 7 cases (25%) in Group
L, but there were no cases of VT in Group-H (P = 0.004). However, low-frequency
(LF) component, high-frequency (HF) component, LF to HF ratio, and standard
deviation of all R-R intervals were not different between the two groups. These
results suggest that a low EPA/AA ratio may induce cardiac electrical
instability, but not autonomic nervous imbalance, associated with VT in patients
with ischemic heart disease.
PMID- 26549289
TI - Giant Thrombus Formation Immediately After Mitral Valvuloplasty.
AB - Patients with atrial fibrillation (AF) are at risk of cardioembolism.(1,2))
Atrial thrombus formation associated with AF typically occurs in the left atrial
appendage (LAA);(3)) therefore, transesophageal echocardiography (TEE) is
important for detection of such a thrombus and measurement of LAA flow
velocity.(4,5)) LAA closure is routinely performed during mitral valve surgery in
patients with AF to prevent cardiogenic stroke.(6)) We report the case of a 65
year-old woman with severe mitral regurgitation (MR) and AF in whom a giant
thrombus formed almost immediately after mitral and tricuspid valvuloplasty and
concurrent LAA resection. No atrial thrombus or spontaneous echo contrast (SEC)
was detected by TEE before the surgery. However, a giant intramural thrombus was
detected in the left atrium 7 days after surgery. It was thought that the atrial
dysfunction as well as the change in morphology of the left atrium resulting from
the severe MR complicated by AF and congestive heart failure produced a
thrombotic substrate. This case suggests that careful surveillance for thrombus
formation and careful maintenance of anticoagulation therapy are needed
throughout the perioperative period even if no SEC or thrombus is detected before
surgery.
PMID- 26549290
TI - Addition of a Nitric Oxide Donor to an Angiotensin II Type 1 Receptor Blocker May
Cancel Its Blood Pressure-Lowering Effects.
AB - While physiological levels of nitric oxide (NO) protect the endothelium and have
vasodilatory effects, excessive NO has adverse effects on the cardiovascular
system. Recently, new NO-releasing pharmacodynamic hybrids of angiotensin II (Ang
II) type 1 (AT1) receptor blockers (ARBs) have been developed.We analyzed whether
olmesartan with NO-donor side chains (Olm-NO) was superior to olmesartan (Olm)
for the control of blood pressure (BP). Although there was no significant
difference in binding affinity to AT1 wild-type (WT) receptor between Olm and Olm
NO in a cell-based binding assay, the suppressive effect of Olm-NO on Ang II
induced inositol phosphate (IP) production was significantly weaker than that of
Olm in AT1 WT receptor-expressing cells. While Olm had a strong inverse agonistic
effect on IP production, Olm-NO did not. Next, we divided 18 C57BL mice into 3
groups: Ang II (infusion using an osmotic mini-pump) as a control group, Ang II
(n = 6) + Olm, and Ang II (n = 6) + Olm-NO groups (n = 6). Olm-NO did not block
Ang II-induced high BP after 10 days, whereas Olm significantly decreased BP. In
addition, Olm, but not Olm-NO, significantly reduced the ratio of heart weight to
body weight (HW/BW) with downregulation of the mRNA levels of atrial natriuretic
peptide.An ARB with a NO-donor may cancel BP-lowering effects probably due to
excessive NO and a weak blocking effect by Olm-NO toward AT1 receptor activation.
PMID- 26549291
TI - Pentoxifylline Prevents Driamycin-Induced Myocardial Fibrosis and Apoptosis in
Rats.
AB - Adriamycin (ADR) is a potent antineoplastic agent, but long-term treatment is
limited by its cumulative, life-threatening cardiomyopathy. Recently, a few
reports have shown that pentoxifylline (PTX) might produce cardioprotection in
cardiac dysfunction. Here, we investigated the protective effects of PTX on ADR
induced cardiomyopathy in rats. Male rats were randomly assigned either to
saline, ADR (adriamycin, 5 mg/kg/week), or A (adriamycin, 5 mg/kg/week) + PTX
(pentoxifylline, 50 mg/kg/day) groups. After 3 weeks, these animals were
sacrificed and the heart tissue was harvested for histological analysis and
assessment of hepatocyte growth factor (HGF) and caspase-3 expression.
Histopathological findings showed that PTX can alleviate myocardial damage caused
by ADR. Cardiac fibrosis was significantly suppressed in the A+PTX group compared
to that in the ADR group. The HGF gene expression was decreased significantly in
the ADR group compared with the control group, but was increased in the A+PTX
group. Caspase-3 was up-regulated in the ADR group, and down-regulated in the
A+PTX group. These results show that treatment with PTX exerts a protective
effect against ADR-induced myocardial fibrosis via regulation of HGF and caspase
3 gene expression. PTX may thus represent a useful new clinical tool for the
treatment of ADR-induced cardiomyopathy.
PMID- 26549292
TI - miR-146b-5p promotes invasion and metastasis contributing to chemoresistance in
osteosarcoma by targeting zinc and ring finger 3.
AB - Osteosarcoma is the most common human primary malignant bone tumor and
recurrences are common due to the development of chemoresistance. However, the
underlying molecular mechanism for chemoresistance remains unclear. Recent
studies demonstrated that miR-146b-5p, an important regulator in tumorigenesis,
was involved in chemoresistance in thyroid cancer, lymphoma. Thus, to confirm the
role of miR-146b-5p in osteosarcoma, the study was divided into three steps:
first, miR-146b-5p in paired samples were assessed using a quantitative real-time
PCR (qRT-PCR) assay from osteosarcoma patients. Second, to confirm the role of
miR-146b-5p, we applied lentivirus system to overexpression and knockdown of miR
146b-5p, respectively, in MG-63 osteosarcoma cell line. Third, luciferase assays
were performed to determine whether Wnt/beta-catenin pathway participated in the
role of miR-146b-5p on chemoresistance. As a result, miR-146b-5p was highly
expressed in human osteosarcoma tissues and an elevated expression of miR-146b-5p
was observed in human osteosarcoma tissues after chemotherapy. Furthermore, it
was shown that miR-146b-5p overexpression promoted migration and invasiveness.
miR-146b-5p overexpression also increased resistance to chemotherapy. Moreover,
knockdown of miR-146b-5p substantially inhibited migration and invasion of
osteosarcoma cells as well as rendered them significantly more sensitive to
chemotherapy. Results of western blot assay indicated that miR-146b-5p increased
MMP-16 protein expression and showed a decrease of ZNRF3 protein. Whereas, IWR-1
endo, an inhibitor of Wnt/beta-catenin, suppressed the decrease in apoptosis of
osteosarcoma cells caused by miR-146b-5p overexpression. These results indicated
that miR-146b-5p promoted proliferation, migration and invasiveness. It also
increased resistance to chemotherapy through the regulation of ZNRF3, and
suggested novel potential therapeutic targets for the treatment of osteosarcoma.
PMID- 26549293
TI - Forager bees (Apis mellifera) highly express immune and detoxification genes in
tissues associated with nectar processing.
AB - Pollinators, including honey bees, routinely encounter potentially harmful
microorganisms and phytochemicals during foraging. However, the mechanisms by
which honey bees manage these potential threats are poorly understood. In this
study, we examine the expression of antimicrobial, immune and detoxification
genes in Apis mellifera and compare between forager and nurse bees using tissue
specific RNA-seq and qPCR. Our analysis revealed extensive tissue-specific
expression of antimicrobial, immune signaling, and detoxification genes.
Variation in gene expression between worker stages was pronounced in the
mandibular and hypopharyngeal gland (HPG), where foragers were enriched in
transcripts that encode antimicrobial peptides (AMPs) and immune response.
Additionally, forager HPGs and mandibular glands were enriched in transcripts
encoding detoxification enzymes, including some associated with xenobiotic
metabolism. Using qPCR on an independent dataset, we verified differential
expression of three AMP and three P450 genes between foragers and nurses. High
expression of AMP genes in nectar-processing tissues suggests that these peptides
may contribute to antimicrobial properties of honey or to honey bee defense
against environmentally-acquired microorganisms. Together, these results suggest
that worker role and tissue-specific expression of AMPs, and immune and
detoxification enzymes may contribute to defense against microorganisms and
xenobiotic compounds acquired while foraging.
PMID- 26549294
TI - Ibrutinib: another weapon in our arsenal against lympho-proliferative disorders.
AB - In Volume 16, issue 12 of Expert Opinion on Pharmacotherapy, an important article
on the new drug ibrutinib was published. This new drug promises to further
improve outcome in the treatment of several lympho-proliferative disorders. In
this editorial, the most important findings of the article looking particularly
to the integration of ibrutinib in current clinical practice will be summarized.
Finally this editorial will focus on the next challenges for scientists and
physicians in the treatment of lympho-proliferative disorders.
PMID- 26549296
TI - Secondary production of the fiddler crab Uca rapax from mangrove areas under
anthropogenic eutrophication in the Western Atlantic, Brazil.
AB - Fiddler crabs Uca rapax were analyzed in three mangrove areas located in both a
lagoon and estuarine system in order to study the influence of eutrophication on
their population dynamics and production. Populations at the three sites showed a
biased sex ratio. Densities were similar at the three sites, but biomass was
higher at the lagoon system. Despite biomass being higher at the most eutrophic
site, this site exhibited the lowest production. Regarding age structure, the
population inhabiting the less eutrophic site mainly comprised younger crabs. The
lower production and smaller P/B ratio found in the more eutrophic site were most
likely consequences of a high mortality rate and an aged population. Our study
evidences the high plasticity of the fiddler crab U. rapax, and confirms
secondary production and P/B ratio estimates as useful tools to assess the
effects of environmental change.
PMID- 26549295
TI - Introduction to the Special Issue on the Studies on the Implementation of
Integrated Models of Alcohol, Tobacco, and/or Drug Use Interventions and Medical
Care.
AB - National efforts are underway to integrate medical care and behavioral health
treatment. This special issue of the Journal of Substance Abuse Treatment
presents 13 papers that examine the integration of substance use interventions
and medical care. In this introduction, the guest editors first describe the need
to examine the integration of substance use treatment into medical care settings.
Next, an overview of the emerging field of implementation science and its
applicability to substance use intervention integration is presented. Preview
summaries of each of the articles included in this special issue are given.
Articles include empirical studies of various integration models, study protocol
papers that describe currently funded implementation research, and one
review/commentary piece that discusses federal research priorities, integration
support activities and remaining research gaps. These articles provide important
information about how to guide future health system integration efforts to treat
the millions of medical patients with substance use problems.
PMID- 26549297
TI - Neutral red cytotoxicity assays for assessing in vivo carbon nanotube ecotoxicity
in mussels--Comparing microscope and microplate methods.
AB - The purpose of the present study was to compare two neutral red retention
methods, the more established but very labour-intensive microscope method (NRR)
against the more recently developed microplate method (NRU). The intention was to
explore whether the sample volume throughput could be increased and potential
operator bias avoided. Mussels Mytilus sp. were exposed in vivo to 50, 250 and
500 MUg L(-1) single (SWCNTs) or multi-walled carbon nanotubes (MWCNTs). Using
the NRR method, SWCNTs and MWCNTs caused concentration dependent decreases in
neutral red retention time. However, a concentration dependent decrease in
optical density was not observed using the NRU method. We conclude that the NRU
method is not sensitive enough to assess carbon nanotube ecotoxicity in vivo in
environmentally relevant media, and recommend using the NRR method.
PMID- 26549298
TI - The role of the COMT val158met polymorphism in mediating aversive learning in
visual cortex.
AB - The catechol-O-methyltransferase (COMT) val158met single nucleotide polymorphism
(SNP) alters metabolic activity of the COMT enzyme regulating catecholamines,
with the Val (valine) allele resulting in 40% greater enzymatic activity than the
Met (methionine) allele. Previous research has identified systematic inter
individual differences in cognitive and behavioral phenotypes related to this
polymorphism, often attributed to the fact that extracellular dopamine in the
prefrontal cortex is strongly affected by the COMT enzyme. The neurophysiological
mechanisms mediating these inter-individual differences in specific brain systems
and task contexts remain to be established however. In the current study, we
examined the extent to which physio-mechanistic differences by COMT genotype
affect somato-visceral and visual cortical responses to learned threat cues.
Classical aversive differential conditioning was implemented using rapidly phase
reversing grating stimuli, previously shown to engage retinotopic visual cortex.
Differential response patterns in sensory and autonomic systems were elicited by
pairing one grating (CS+, conditioned stimulus), but not the other (CS-), with a
noxious stimulus. Dense-array electroencephalography and somato-visceral measures
of defensive reactivity were recorded in addition to self-report data.
Individuals of the Val/Val genotype, compared to Met allele carriers, reliably
showed greater initial enhancement in their visuocortical response to the CS+,
accompanied by stronger defensive engagement, indexed by heart rate acceleration
and startle potentiation. The finding that COMT polymorphism status affects
threat cue reactivity at the visuocortical level is consistent with the notion
that sensory processing of threat is facilitated by strong re-entrant bias
signals from anterior areas, including the prefrontal cortex.
PMID- 26549300
TI - Realistic simulation of artefacts in diffusion MRI for validating post-processing
correction techniques.
AB - In this paper we demonstrate a simulation framework that enables the direct and
quantitative comparison of post-processing methods for diffusion weighted
magnetic resonance (DW-MR) images. DW-MR datasets are employed in a range of
techniques that enable estimates of local microstructure and global connectivity
in the brain. These techniques require full alignment of images across the
dataset, but this is rarely the case. Artefacts such as eddy-current (EC)
distortion and motion lead to misalignment between images, which compromise the
quality of the microstructural measures obtained from them. Numerous methods and
software packages exist to correct these artefacts, some of which have become de
facto standards, but none have been subject to rigorous validation. In the
literature, improved alignment is assessed using either qualitative visual
measures or quantitative surrogate metrics. Here we introduce a simulation
framework that allows for the direct, quantitative assessment of techniques,
enabling objective comparisons of existing and future methods. DW-MR datasets are
generated using a process that is based on the physics of MRI acquisition, which
allows for the salient features of the images and their artefacts to be
reproduced. We apply this framework in three ways. Firstly we assess the most
commonly used method for artefact correction, FSL's eddy_correct, and compare it
to a recently proposed alternative, eddy. We demonstrate quantitatively that
using eddy_correct leads to significant errors in the corrected data, whilst eddy
is able to provide much improved correction. Secondly we investigate the datasets
required to achieve good correction with eddy, by looking at the minimum number
of directions required and comparing the recommended full-sphere acquisitions to
equivalent half-sphere protocols. Finally, we investigate the impact of
correction quality by examining the fits from microstructure models to real and
simulated data.
PMID- 26549299
TI - Effect of trial-to-trial variability on optimal event-related fMRI design:
Implications for Beta-series correlation and multi-voxel pattern analysis.
AB - Functional magnetic resonance imaging (fMRI) studies typically employ rapid,
event-related designs for behavioral reasons and for reasons associated with
statistical efficiency. Efficiency is calculated from the precision of the
parameters (Betas) estimated from a General Linear Model (GLM) in which trial
onsets are convolved with a Hemodynamic Response Function (HRF). However,
previous calculations of efficiency have ignored likely variability in the neural
response from trial to trial, for example due to attentional fluctuations, or
different stimuli across trials. Here we compare three GLMs in their efficiency
for estimating average and individual Betas across trials as a function of trial
variability, scan noise and Stimulus Onset Asynchrony (SOA): "Least Squares All"
(LSA), "Least Squares Separate" (LSS) and "Least Squares Unitary" (LSU).
Estimation of responses to individual trials in particular is important for both
functional connectivity using "Beta-series correlation" and "multi-voxel pattern
analysis" (MVPA). Our simulations show that the ratio of trial-to-trial
variability to scan noise impacts both the optimal SOA and optimal GLM,
especially for short SOAs<5s: LSA is better when this ratio is high, whereas LSS
and LSU are better when the ratio is low. For MVPA, the consistency across voxels
of trial variability and of scan noise is also critical. These findings not only
have important implications for design of experiments using Beta-series
regression and MVPA, but also statistical parametric mapping studies that seek
only efficient estimation of the mean response across trials.
PMID- 26549301
TI - Baseline oxygenation in the brain: Correlation between respiratory-calibration
and susceptibility methods.
AB - New MRI methods for noninvasive imaging of baseline oxygen extraction fraction
(OEF) in the brain show great promise. Quantitative O2 imaging (QUO2) applies a
biophysical model to measure OEF in tissue from BOLD, cerebral blood flow (CBF),
and end-tidal O2 (ETO2) signals acquired during two or more gas manipulations.
Alternatively, quantitative susceptibility mapping (QSM) maps baseline OEF along
cerebral vessels based on the deoxyhemoblogin (dHb) susceptibility shift between
veins and water. However, these approaches have not been carefully compared to
each other or to known physiological signals. The aims of this study were to
compare OEF values by QUO2 and QSM; and to see if baseline OEF relates to BOLD
and CBF changes during a visual task. Simultaneous BOLD and arterial spin
labeling (ASL) scans were acquired at 7T in 11 healthy subjects continuously
during hypercapnia (5% CO2, 21% O2), hyperoxia (100% O2), and carbogen (5% CO2,
95% O2) for QUO2 analysis. Separate BOLD-ASL scans were acquired during a
checkerboard stimulus to identify functional changes in the visual cortex.
Gradient echo phase images were also collected at rest for QSM reconstruction of
OEF along cerebral veins draining the visual cortex. Mean baseline OEF was
(43.5+/-14)% for QUO2 with two gases, (42.3+/-17)% for QUO2 with three gases, and
(29.4+/-3)% for QSM across volunteers. Three-gas QUO2 values of OEF correlated
with QSM values of OEF (P=0.03). However, Bland-Altman analysis revealed that
QUO2 tended to measure higher baseline OEF with respect to QSM, which likely
results from underestimation of the hyperoxic BOLD signal and low signal-to-noise
ratio of the ASL acquisitions. Across subjects, the percent CBF change during the
visual task correlated with OEF measured by 3-gas QUO2 (P<0.04); and by QSM
(P=0.035), providing evidence that the new methods measure true variations in
brain physiology across subjects.
PMID- 26549302
TI - Item response theory analysis of Working Alliance Inventory, revised response
format, and new Brief Alliance Inventory.
AB - OBJECTIVE: The Working Alliance Inventory (WAI) has made great contributions to
psychotherapy research. However, studies suggest the 7-point response format and
3-factor structure of the client version may have psychometric problems. This
study used Rasch item response theory (IRT) to (a) improve WAI response format,
(b) compare two brief 12-item versions (WAI-sr; WAI-s), and (c) develop a new 16
item Brief Alliance Inventory (BAI). METHOD: Archival data from 1786 counseling
center and community clients were analyzed. RESULTS: IRT findings suggested
problems with crossed category thresholds. A rescoring scheme that combines
neighboring responses to create 5- and 4-point scales sharply reduced these
problems. Although subscale variance was reduced by 11-26%, rescoring yielded
improved reliability and generally higher correlations with therapy process
(session depth and smoothness) and outcome measures (residual gain symptom
improvement). The 16-item BAI was designed to maximize "bandwidth" of item
difficulty and preserve a broader range of WAI sensitivity than WAI-s or WAI-sr.
CONCLUSIONS: Comparisons suggest the BAI performed better in several respects
than the WAI-s or WAI-sr and equivalent to the full WAI on several performance
indicators.
PMID- 26549303
TI - In vitro and in vivo investigation of bisphosphonate-loaded hydroxyapatite
particles for peri-implant bone augmentation.
AB - Locally applied bisphosphonates, such as zoledronate, have been shown in several
studies to inhibit peri-implant bone resorption and recently to enhance peri
implant bone formation. Studies have also demonstrated positive effects of
hydroxyapatite (HA) particles on peri-implant bone regeneration and an
enhancement of the anti-resorptive effect of bisphosphonates in the presence of
calcium. In the present study, both hydroxyapatite nanoparticles (nHA) and
zoledronate were combined to achieve a strong reinforcing effect on peri-implant
bone. The nHA-zoledronate combination was first investigated in vitro with a pre
osteoclastic cell assay (RAW 264.7) and then in vivo in a rat model of
postmenopausal osteoporosis. The in vitro study confirmed that the inhibitory
effect of zoledronate on murine osteoclast precursor cells was enhanced by
loading the drug on nHA. For the in vivo investigation, either zoledronate-loaded
or pure nHA were integrated in hyaluronic acid hydrogel. The gels were injected
in screw holes that had been predrilled in rat femoral condyles before the
insertion of miniature screws. Micro-CT-based dynamic histomorphometry and
histology revealed an unexpected rapid mineralization of the hydrogel in vivo
through formation of granules, which served as scaffold for new bone formation.
The delivery of zoledronate-loaded nHA further inhibited a degradation of the
mineralized hydrogel as well as a resorption of the peri-implant bone as
effectively as unbound zoledronate. Hyaluronic acid with zoledronate-loaded nHA,
thanks to its dual effect on inducing a rapid mineralization and preventing
resorption, is a promising versatile material for bone repair and augmentation.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26549304
TI - Predictive value of psychosocial assessment for the mortality of patients waiting
for liver transplantation.
AB - Selecting suitable candidates for liver transplantation is the most challenging
task of pre-transplant evaluation. In addition to somatic assessment,
psychosocial evaluation has been proven important in identifying patients at high
risk of potential failure. The Transplant Evaluation Rating Scale (TERS) is a
widely used rating instrument for the assessment of psychosocial risk factors
before liver transplantation. The aim of this study was to explore the predictive
value of TERS for mortality in liver transplant patients before and after
transplantation. The medical records of patients referred for psychiatric
evaluation before liver transplantation between 2003 -2013 were analysed.
Administering TERS was part of the pre-transplant evaluation. The TERS scores of
patients who died before and after transplantation were compared with those who
survived following transplantation. One hundred and sixteen patients were
referred for pre-transplant psychiatric evaluation. Patients with successful
liver transplants scored significantly lower on TERS than those who died before
transplantation (30.65 +/- 6.06 vs. 34.75 +/- 8.25, p = .031). Patients who died
after transplantation scored significantly better on TERS than those who died
before transplantation (28.79 +/- 2.81 vs. 34.75 +/- 8.25, p = .003). There was
no significant difference between the deceased and surviving transplanted
patients' TERS scores (28.79 +/- 2.81 vs. 31.19 +/- 6.66, p = .365). TERS appears
to be a suitable rating instrument to help select candidates who have higher
chance to survive prior to transplantation but it could not predict post
transplant mortality.
PMID- 26549305
TI - Cost-effectiveness of edoxaban for the treatment of venous thromboembolism based
on the Hokusai-VTE study.
AB - OBJECTIVE: Venous thromboembolism (VTE) is associated with almost 300,000 deaths
per year in the United States. Novel oral anticoagulants (NOACs) offer an
alternative to warfarin-based therapy without monitoring requirements and with
fewer drug and food interactions. Edoxaban, a direct Xa inhibitor, is approved by
the Food and Drug Administration (FDA), based upon results of the Hokusai-VTE
Phase 3 trial. The trial demonstrated that edoxaban administered once daily after
initial treatment with heparin was non-inferior in reducing the risk of VTE
recurrence and caused significantly less major and clinically relevant non-major
(CRNM) bleeding compared to warfarin. The objective of this study was to evaluate
the cost-effectiveness of edoxaban versus warfarin for the treatment of adults
with VTE. METHODS: A cost-effectiveness model was developed using patient-level
data from the Hokusai-VTE trial, clinical event costs from real-world databases,
and drug acquisition costs for warfarin of $0.36 and edoxaban of $9.24 per
tablet. RESULTS: From a U.S. health-care delivery system perspective, the
incremental cost-effectiveness ratio (ICER) was $22,057 per quality adjusted life
year (QALY) gained. Probabilistic sensitivity analysis showed that edoxaban had
an ICER <$50,000 per QALY gained relative to warfarin in 67% of model
simulations. The result was robust to variation in key model parameters including
the cost and disutility of warfarin monitoring. CONCLUSION: Despite its higher
drug acquisition cost, edoxaban is a cost-effective alternative to warfarin for
the treatment of VTE.
PMID- 26549307
TI - Occurrence and distribution of Malassezia species on skin and external ear canal
of horses.
AB - The aim of this study was to investigate the prevalence of Malassezia species
from the body skin and external ear canal of healthy horses. The samples were
obtained by scraping the skin surface from the nose, groin and dorsum and
swabbing from the external ear canal of 163 animals, and then incubated on
sabouraud dextrose agar and modified Dixon agar. Malassezia species were isolated
from 34.9% of horses. The percentages of Malassezia species were 64.3% for Arab,
35.7% for Persian, 35.4% for Thoroughbred and 27.1% for Turkmen breeds. The
greatest abundance of Malassezia species was found in the external ear canal
(47.7%, representing significant difference with other sites), followed by nose
(26.3%), groin (15.8%) and dorsum (10.5%) (P < 0.05). A total of 57 strains from
six Malassezia species were detected with a frequency rate as follows: M.
pachydermatis (33.3%), M. globosa (26.3%), M. sympodialis (14.1%), M. restricta
(10.5%), M. obtusa (8.8%) and M. furfur (7%). The most common age-group affected
was 1-3 years (59.4%). This study confirmed that cutaneous Malassezia microbiota
in healthy horses varies by body site and age but not by breed and gender,
representing M. pachydermatis as the most prevalent species on horse skin.
PMID- 26549306
TI - Molecular Characterization of Growth Hormone-producing Tumors in the GC Rat Model
of Acromegaly.
AB - Acromegaly is a disorder resulting from excessive production of growth hormone
(GH) and consequent increase of insulin-like growth factor 1 (IGF-I), most
frequently caused by pituitary adenomas. Elevated GH and IGF-I levels results in
wide range of somatic, cardiovascular, endocrine, metabolic, and gastrointestinal
morbidities. Subcutaneous implantation of the GH-secreting GC cell line in rats
leads to the formation of tumors. GC tumor-bearing rats develop characteristics
that resemble human acromegaly including gigantism and visceromegaly. However, GC
tumors remain poorly characterized at a molecular level. In the present work, we
report a detailed histological and molecular characterization of GC tumors using
immunohistochemistry, molecular biology and imaging techniques. GC tumors display
histopathological and molecular features of human GH-producing tumors, including
hormone production, cell architecture, senescence activation and alterations in
cell cycle gene expression. Furthermore, GC tumors cells displayed sensitivity to
somatostatin analogues, drugs that are currently used in the treatment of human
GH-producing adenomas, thus supporting the GC tumor model as a translational tool
to evaluate therapeutic agents. The information obtained would help to maximize
the usefulness of the GC rat model for research and preclinical studies in GH
secreting tumors.
PMID- 26549308
TI - Structural modeling of the ExuR and UxuR transcription factors of E. coli: search
for the ligands affecting their regulatory properties.
AB - Gammaproteobacteria get energy for their growth from different carbon sources
using either glycolysis or alternative metabolic pathways induced in stress
conditions. These metabolic switches are coordinated by complex interplay of
regulatory proteins sensing concentrations of available metabolites by mechanisms
yet to be understood. Here, we use two transcriptional regulators, ExuR and UxuR,
controlling d-galacturonate (d-gal) and d-glucuronate metabolism in Escherichia
coli, as the targets for computational search of low-molecular compounds capable
to bind their ligand-binding domains. Using a flexible molecular docking, we
modeled the interactions of these proteins with substrates and intermediates of
glycolysis, Ashwell and Entner-Doudoroff pathways. For UxuR, the two preferred
sites of ligand binding were found: one is located within the C-terminal domain,
while another occupies the interdomain space. For ExuR, the only one preferred
site was detected in the interdomain area. Availability of this area to different
ligands suggests that, similar to the Lac repressor, the DNA-binding properties
of UxuR and ExuR may be changed by repositioning of their domains. Experimental
assays confirmed the ability of ligands with highest affinities to bind the
regulatory proteins and affect their interaction with DNA. d-gal that is carried
into the cell by the ExuT transporter appeared to be the best ligand for
repressor of the exuT transcription, ExuR. For UxuR, the highest affinity was
found for d-fructuronate transported by GntP, which biosynthesis is repressed by
UxuR. Providing a feedback loop to balance the concentrations of different
nutrients, such ligand-mediated modulation can also coordinate switching between
different metabolic pathways in bacteria.
PMID- 26549309
TI - Robot-assisted resection of gastrointestinal stromal tumors (GIST): a single
center case series and literature review.
AB - BACKGROUND: Robotic techniques are claimed to be an alternative to laparoscopic
and open approaches for gastrointestinal stromal tumors (GIST) treatment. Our aim
is to present our single center experience and a literature review. MATERIALS AND
METHODS: From June 2012 to August 2014, six patients with preoperative diagnosis
of GIST were treated by robotic surgery at Sanchinarro University Hospital.
RESULTS: Two GIST tumors were localized in the second part of the duodenum, one
in the first portion, two in the gastricantrum and another in the angular notch.
Surgical procedures performed were two subtotal gastrectomies, one gastric wedge
resection and three duodenal enucleations. None of the interventions needed
conversion to open surgery. Mean operative time was 245 min (150-540). Mean
hospital stay was 10.5 days (6-24). All lesions had microscopically negative
resection margins. Mean follow-up was 24 months (8-33) with a disease-free
survival rate of 100%. CONCLUSIONS: A robotic approach for GIST tumors is a safe
and feasible procedure with a well-accepted oncological surgical result.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26549310
TI - Reciprocal regulation of RORgammat acetylation and function by p300 and HDAC1.
AB - T helper 17 (Th17) cells not only play critical roles in protecting against
bacterial and fungal infections but are also involved in the pathogenesis of
autoimmune diseases. The retinoic acid-related orphan receptor (RORgammat) is a
key transcription factor involved in Th17 cell differentiation through direct
transcriptional activation of interleukin 17(A) (IL-17). How RORgammat itself is
regulated remains unclear. Here, we report that p300, which has histone
acetyltransferase (HAT) activity, interacts with and acetylates RORgammat at its
K81 residue. Knockdown of p300 downregulates RORgammat protein and RORgammat
mediated gene expression in Th17 cells. In addition, p300 can promote RORgammat
mediated transcriptional activation. Interestingly, the histone deacetylase
(HDAC) HDAC1 can also interact with RORgammat and reduce its acetylation level.
In summary, our data reveal previously unappreciated posttranslational regulation
of RORgammat, uncovering the underlying mechanism by which the histone
acetyltransferase p300 and the histone deacetylase HDAC1 reciprocally regulate
the RORgammat-mediated transcriptional activation of IL-17.
PMID- 26549311
TI - Impact of negative media publicity on attention-deficit/hyperactivity disorder
medication in Taiwan.
AB - PURPOSE: This study explores trends in attention-deficit/hyperactivity disorder
(ADHD) medications in Taiwan from 2000 to 2011 and whether negative media
coverage of Ritalin in January 2010 impacted ADHD prescriptions throughout the
country. METHOD: Patients throughout Taiwan who had been newly diagnosed with
ADHD (n = 145,269) between January 2000 and December 2011 were selected from
Taiwan's National Health Insurance database as subjects for this study. We
analyzed monthly and yearly data on person-days of treatment with immediate
release methylphenidate (IR-MPH), osmotic controlled-release formulation of
methylphenidate (OROS-MPH), and atomoxetine (ATX) using linear models of curve
estimation and the time series expert modeler. RESULTS: Of our sample, 57.8%,
28.9%, and 4.3% had been prescribed one or more doses of IR-MPH, OROS-MPH, or
ATX, respectively. The annual person-days of IR-MPH use increased regularly from
2000 to 2009, dropped abruptly in 2010, and then increased again the next year.
Furthermore, the person-days of OROS-MPH prescriptions did not reach their
expected goal in 2010; however, the person-days of ATX prescriptions have
increased constantly since entering the market in 2007. Compared with patients
newly diagnosed with ADHD in 2009, those newly diagnosed in 2010 were less likely
to be treated with medication. CONCLUSION: These findings suggest that negative
publicity affected the writing of stimulant prescriptions for ADHD patients
throughout Taiwan. Media reporting has a vital role in influencing children with
ADHD, their parents, and their willingness to accept pharmacotherapy as
treatment.
PMID- 26549313
TI - Aspects of nursing student placements associated with perceived likelihood of
working in residential aged care.
AB - AIMS AND OBJECTIVES: To investigate which aspects of student nurses' experiences
of residential aged care facility clinical placements affect perceived likelihood
of choosing a career in residential aged care post graduation. BACKGROUND: Poor
clinical placement experiences as a student contribute to nurses' reluctance to
work in aged care. Various factors have been found to improve the placement
experience and influence students' attitudes and employment intentions. Missing
from the literature is a quantitative - rather than qualitative - exploration of
which attributes of an aged care placement link to perceived likelihood of
working in residential aged care post graduation. DESIGN: Supported residential
aged care placement programmes were developed for nursing students using an
evidence-based best-practice model within an action research framework. Staff
formed a mentor group in two facilities. During placement, weekly feedback
meetings were held for students and mentors. METHODS: Second-year nursing
students (n = 71) participating in a three- or four-week placement programme at
two Tasmanian residential aged care facilities (September 2011-May 2013)
completed questionnaires on placement experiences. Measures of association
(correlation coefficients) were used to assess the effect of a range of variables
on the likelihood of working in an aged care facility post graduation. RESULTS:
Associations were identified between the likelihood of working in residential
aged care post graduation and nurse mentor-student feedback exchange, Teaching
and Learning Score and supportiveness of care workers. CONCLUSIONS: This study
adds to the literature by providing quantitative evidence that certain aspects of
aged care placements influence attitudes to working in these sites post
graduation. RELEVANCE TO CLINICAL PRACTICE: To increase interest in working in
residential aged care, the teaching and learning environment needs improvement,
opportunities should be proffered for mentor-student feedback exchange during
placements and care workers need support to mentor effectively.
PMID- 26549312
TI - The comparative risk of developing postoperative complications in patients with
distal radius fractures following different treatment modalities.
AB - In this study, we performed a network meta-analysis to compare the outcomes of
seven most common surgical procedures to fix DRF, including bridging external
fixation, non-bridging external fixation, K-wire fixation, plaster fixation,
dorsal plating, volar plating, and dorsal and volar plating. Published studies
were retrieved through PubMed, Embase and Cochrane Library databases. The
database search terms used were the following keywords and MeSH terms: DRF,
bridging external fixation, non-bridging external fixation, K-wire fixation,
plaster fixation, dorsal plating, volar plating, and dorsal and volar plating.
The network meta-analysis was performed to rank the probabilities of
postoperative complication risks for the seven surgical modalities in DRF
patients. This network meta-analysis included data obtained from a total of 19
RCTs. Our results revealed that compared to DRF patients treated with bridging
external fixation, marked differences in pin-track infection (PTI) rate were
found in patients treated with plaster fixation, volar plating, and dorsal and
volar plating. Cluster analysis showed that plaster fixation is associated with
the lowest probability of postoperative complication in DRF patients. Plaster
fixation is associated with the lowest risk for postoperative complications in
DRF patients, when compared to six other common DRF surgical methods examined.
PMID- 26549314
TI - Nutrient loading alters the performance of key nutrient exchange mutualisms.
AB - Nutrient exchange mutualisms between phototrophs and heterotrophs, such as plants
and mycorrhizal fungi or symbiotic algae and corals, underpin the functioning of
many ecosystems. These relationships structure communities, promote biodiversity
and help maintain food security. Nutrient loading may destabilise these
mutualisms by altering the costs and benefits each partner incurs from
interacting. Using meta-analyses, we show a near ubiquitous decoupling in
mutualism performance across terrestrial and marine environments in which
phototrophs benefit from enrichment at the expense of their heterotrophic
partners. Importantly, heterotroph identity, their dependence on phototroph
derived C and the type of nutrient enrichment (e.g. nitrogen vs. phosphorus)
mediated the responses of different mutualisms to enrichment. Nutrient-driven
changes in mutualism performance may alter community organisation and ecosystem
processes and increase costs of food production. Consequently, the decoupling of
nutrient exchange mutualisms via alterations of the world's nitrogen and
phosphorus cycles may represent an emerging threat of global change.
PMID- 26549315
TI - Effects of team-building on communication and teamwork among nursing students.
AB - AIM: The aim of this study was to assess the effects of team-building on
communication and teamwork (i.e. teamwork skills and team effectiveness) among
nursing students. BACKGROUND: Team-building is effective for improving
communication and teamwork among the nursing organization. However, the effects
of team-building are not well known especially in Korea. METHODS: This study used
a quasi-experimental design. The sample was composed of 195 junior-year nursing
students in Korea. The experimental group (100 subjects) participated in team
building activities over a 100-day period, whereas no intervention was applied to
the control group (95 subjects). Pretest was conducted in both groups, and post
test was conducted after the 100-day intervention. FINDINGS: The pre-post change
in mean communication competence score did not differ between the two groups.
However, the mean scores for teamwork skills and team effectiveness differed
significantly between the two groups after team-building activity. LIMITATIONS:
This study was not a double-blind test, and randomized sampling was not
implemented. Caution should thus be used when interpreting the findings.
CONCLUSION: Team-building activities were effective for improving the teamwork
skills and team effectiveness among Korean nursing students. IMPLICATIONS FOR
NURSING EDUCATION: It is recommended that team-building activities should be
included regularly as an integral educational approach in nursing education. The
findings suggest that suggests that team-building for improving communication and
teamwork should be designated as one of the required criteria for nursing college
programme accreditation in many countries, including Korea. However team-building
requires further testing to verify this across cultures. IMPLICATIONS FOR NURSING
POLICY: Nurses need to receive formal team-building training for improving
communication and teamwork, and formal education should be included in their job
training schedule. It is recommended that communication competence and teamwork
be used as one of job performance evaluations in their workplace.
PMID- 26549316
TI - Acute and chronic ecotoxicity of carbaryl with a battery of aquatic bioassays.
AB - The ecotoxic effects of carbaryl (carbamate insecticide) were investigated with a
battery of four aquatic bioassays. The nominal effective concentrations
immobilizing 50% of Daphnia magna (EC50) after 24 and 48 h were 12.76 and 7.47 ug
L(-1), respectively. After 21 days of exposure of D. magna, LOECs (lowest
observed effect concentrations) for cumulative molts and the number of neonates
per surviving adult were observed at carbaryl concentration of 0.4 ug L(-1). An
increase of embryo deformities (curved or unextended shell spines) was observed
at 1.8 and 3.7 ug L(-1), revealing that carbaryl could act as an endocrine
disruptor in D. magna. Other bioassays of the tested battery were less sensitive:
the IC50-72h and IC10-72h of the algae Pseudokirchneriella subcapitata were 5.96
and 2.87 mg L(-1), respectively. The LC50-6d of the ostracod Heterocypris
incongruens was 4.84 mg L(-1). A growth inhibition of H. incongruens was
registered after carbaryl exposure and the IC20-6d was 1.29 mg L(-1). Our results
suggest that the daphnid test sensitivity was better than other used tests.
Moreover, carbaryl has harmful and toxic effects on tested species because it
acts at low concentrations on diverse life history traits of species and induce
embryo deformities in crustaceans.
PMID- 26549317
TI - Me2Zn-Mediated Catalytic Enantio- and Diastereoselective Addition of TosMIC to
Ketones.
AB - The first catalytic asymmetric addition of TosMIC to unactivated ketones is
presented. A combination of Me2Zn and aminoalcohol catalyst promoted the aldol
addition/cyclization reaction to render oxazolines possessing a fully substituted
stereocenter with excellent yields (up to 92%), high enantioselectivities (up to
96%), and complete diastereoselectivity. The chiral oxazolines were then used to
give, after a straightforward acid hydrolysis, enantioenriched building blocks
bearing tertiary alcohol motifs such as hydroxylaldehydes, hydroxylacids, and
hydroxylesters without racemization.
PMID- 26549318
TI - Flexible navigation response in common cuckoos Cuculus canorus displaced
experimentally during migration.
AB - Migrating birds follow innate species-specific migration programs capable of
guiding them along complex spatio-temporal routes, which may include several
separate staging areas. Indeed, migration routes of common cuckoos Cuculus
canorus show little variation between individuals; yet, satellite tracks of 11
experimentally displaced adults revealed an unexpected flexibility in individual
navigation responses. The birds compensated for the translocation to unfamiliar
areas by travelling toward population-specific staging areas, demonstrating true
navigation capabilities. Individual responses varied from travelling toward the
first stopover in northern Europe to flying toward the Central-African winter
grounds, the latter including several stopovers in unfamiliar areas. Apparently,
the cuckoos possess spatial knowledge far beyond their population-specific flyway
scale, and make individual decisions likely based on an assessment of perceived
gain and cost of alternative route options.
PMID- 26549319
TI - Aqueous size-exclusion chromatographic separations of intact proteins under
native conditions: Effect of pressure on selectivity and efficiency.
AB - The selectivity and separation efficiency of aqueous size-exclusion
chromatographic separations of intact proteins were assessed for different flow
rates, using columns packed with 3 and 5 MUm silica particles containing 150 and
290 A stagnant pores. A mixture of intact proteins with molecular weights ranging
between 17 000 and 670 000 Da was used to construct the calibration curves. Both
the model fit and the predictive properties, using a leave-one-out strategy, of
different polynomial models (up to fifth order) were evaluated for different flow
rates. The best compromise between model fit and predictive properties was
obtained using a third-order polynomial model. The accuracy of the predictive
properties decreased with 10% with an eightfold increase in the flow rate. No
changes in retention factors (hence selectivity) were observed in the flow-rate
range applied. A strong correlation between molecular weight and plate height was
observed. Exclusion of large-molecular-weight proteins led to a significant
reduction in the stationary-phase mass-transfer contribution to the total plate
height value, and this effect was also independent of the flow rate applied. The
kinetic-performance limits, in terms of plate number and time, and optimal column
length particle-size combinations were determined at the maximum recommended
operating pressure of the size-exclusion chromatography columns (20 MPa).
Finally, the possibilities of method speed-up using ultra-high-pressure size
exclusion chromatography in combination with columns packed with sub-2 MUm
particles are discussed.
PMID- 26549320
TI - MicroRNA-10b suppresses the migration and invasion of chondrosarcoma cells by
targeting brain-derived neurotrophic factor.
AB - MicroRNAs (miRs) can lead to mRNA degradation or inhibit protein translation
through directly binding to the 3'-untranslational region (UTR) of their target
mRNAs. Deregulation of miR-10b has been reported to be associated with
chondrosarcoma. However, the role of miR-10b in chondrosarcoma cell migration and
invasion, as well as the underlying mechanisms, has not been investigated. In the
present study, it was demonstrated that miR-10b was notably downregulated in the
JJ012 and SW1353 chondrosarcoma cell lines compared with the TC28a2 normal
chondrocyte line. Treatment with DNA demethylating agent 5-aza-2'-deoxycytidine
and histone deacetylase inhibitor 4-phenylbutyric acid, or transfection with miR
10b mimics promoted the expression of miR-10b, which further suppressed the
migratory and invasive capacities of JJ012 chondrosarcoma cells. Moreover, brain
derived neurotrophic factor (BDNF) was identified as a novel target of miR-10b,
and its protein expression level was negatively regulated by miR-10b in JJ012
cells. Furthermore, overexpression of BDNF reversed the inhibitory effect of miR
10b upregulation on the migration and invasion of JJ012 cells. In addition, the
data suggest that matrix metalloproteinase 1 (MMP1) may be involved in the miR
10b/BDNF-mediated chondrosarcoma cell migration and invasion in JJ012 cells. In
conclusion, these findings suggest that miR-10b/BDNF may serve as a potential
therapeutic target for chondrosarcoma.
PMID- 26549321
TI - A Review of Sodium Glucose Co-transporter 2 Inhibitors Canagliflozin,
Dapagliflozin and Empagliflozin.
AB - Sodium glucose co-transporter 2 (SGLT2) inhibitors are a new class of anti
diabetic medications. Canagliflozin was the first drug approved in this group in
2013 and subsequently dapagliflozin was approved in January 2014 and
empagliflozin was approved in August 2014. Preclinical studies have demonstrated
safety, tolerability, and efficacy in terms of glycemic control and HbA1c level
in type 2 diabetes mellitus (T2DM) patients in comparison to other anti-diabetic
drugs. The U.S. Food and Drug Administration (FDA) recently released a warning
that some of the patients who used SGLT2 inhibitors developed diabetic
ketoacidosis (DKA). Empagliflozin has showed safety in type 2 diabetics with
renal impairment. Each of these medications can be used as a single treatment or
in combination with other anti-diabetic medications.
PMID- 26549322
TI - Precursor-route ZnO films from a mixed casting solvent for high performance
aqueous electrolyte-gated transistors.
AB - We significantly improved the performance of precursor-route semiconducting zinc
oxide (ZnO) films in electrolyte-gated thin film transistors (TFTs). We find that
the organic precursor to ZnO, zinc acetate (ZnAc), dissolves more readily in a 1
: 1 mixture of ethanol (EtOH) and acetone than in pure EtOH, pure acetone, or
pure isopropanol. XPS and SEM characterisation show improved morphology of ZnO
films converted from a mixed solvent cast ZnAc precursor compared to the EtOH
cast precursor. When gated with a biocompatible electrolyte, phosphate buffered
saline (PBS), ZnO thin film transistors (TFTs) derived from mixed solvent cast
ZnAc give 4 times larger field effect current than similar films derived from
ZnAc cast from pure EtOH. The sheet resistance at VG = VD = 1 V is 30 kOmega ?(
1), lower than for any organic TFT, and lower than for any electrolyte-gated ZnO
TFT reported to date.
PMID- 26549323
TI - Proximity Effect Induced Electronic Properties of Graphene on Bi2Te2Se.
AB - We report that the pi-electrons of graphene can be spin-polarized to create a
phase with a significant spin-orbit gap at the Dirac point (DP) using a graphene
interfaced topological insulator hybrid material. We have grown epitaxial
Bi2Te2Se (BTS) films on a chemical vapor deposition (CVD) graphene. We observe
two linear surface bands from both the CVD graphene notably flattened and BTS
coexisting with their DPs separated by 0.53 eV in the photoemission data measured
with synchrotron photons. We further demonstrate that the separation between the
two DPs, Delta(D-D), can be artificially fine-tuned by adjusting the amount of Cs
atoms adsorbed on the graphene to a value as small as Delta(D-D) = 0.12 eV to
find any proximity effect induced by the DPs. Our density functional theory
calculation shows the opening of a spin-orbit gap of ~20 meV in the pi-band,
enhanced by 3 orders of magnitude from that of a pristine graphene, and a
concomitant phase transition from a semimetallic to a quantum spin Hall phase
when Delta(D-D) <= 0.20 eV. We thus present a practical means of spin-polarizing
the pi-band of graphene, which can be pivotal to advance graphene-based
spintronics.
PMID- 26549325
TI - Counterintuitive issues in the charge transport through molecular junctions.
AB - Whether at phenomenological or microscopic levels, most theoretical approaches to
charge transport through molecular junctions postulate or attempt to justify
microscopically the existence of a dominant molecular orbital (MO). Within such
single level descriptions, experimental current-voltage I-V curves are
sometimes/often analyzed by using analytical formulas expressing the current as a
cubic expansion in terms of the applied voltage V, and the possible V-driven
shifts of the level energy offset relative to the metallic Fermi energy epsilon0
are related to the asymmetry of molecule-electrode couplings or an asymmetric
location of the "center of gravity" of the MO with respect to electrodes. In this
paper, we present results demonstrating the failure of these intuitive
expectations. For example, we show how typical data processing based on cubic
expansions yields a value of epsilon0 underestimated by a typical factor of about
two. When compared to theoretical results of DFT approaches, which typically
underestimate the HOMO-LUMO gap by a similar factor, this may create the false
impression of "agreement" with experiments in situations where this is actually
not the case. Furthermore, such cubic expansions yield model parameter values
dependent on the bias range width employed for fitting, which is unacceptable
physically. Finally, we present an example demonstrating that, counter
intuitively, the bias-induced change in the energy of an MO located much closer
to an electrode can occur in a direction that is opposite to the change in the
Fermi energy of that electrode. This is contrary to what one expects based on a
"lever rule" argument, according to which the MO "feels" the local value of the
electric potential, which is assumed to vary linearly across the junction and is
closer to the potential of the closer electrode. This example emphasizes the fact
that screening effects in molecular junctions can have a subtle character,
contradicting common intuition.
PMID- 26549324
TI - Noradrenergic inputs from locus coeruleus to posterior ventral tegmental area are
essential to support ethanol reinforcement.
AB - Although dysregulation of the dopaminergic mesolimbic system is generally
considered central to addiction, the involvement of other circuits is
increasingly being appreciated. An interaction between locus coeruleus (LC)
noradrenergic neurons and the posterior ventral tegmental area (pVTA)
dopaminergic system, in the processing of drug-triggered reward, has been
suggested, but not demonstrated in behaving animals. Herein, we try to tease out
the precise role of noradrenergic neurons in the LC-VTA circuit in mediating
reward and reinforcement behavior associated with ethanol. In the standard two
lever (active/inactive) operant paradigm, the rats were trained to self
administer ethanol in pVTA and subjected to pharmacological intervention. Intra
pVTA administration of phenylephrine (alpha-1 adrenoceptor agonist) increased
ethanol self-administration, while prazosin and disulfiram (agents that reduce
noradrenergic tone) produced opposite effects. While degeneration [N-(2
chloroethyl)-N-ethyl-2-bromobenzylamine hydrochloride, DSP-4, intraperitoneal
route] or silencing (lidocaine or muscimol, both via intra-LC route) of the LC
noradrenergic neurons decreased, phenylephrine via the intra-LC route reinstated
ethanol self-administration. Furthermore, lidocaine reduced ethanol self
administration, but the effect was fully attenuated by noradrenaline given
directly in the pVTA. This suggests that the feedback signals from LC to pVTA are
necessary to sustain the ethanol self-infusion activity. Ethanol self
administration significantly increased tyrosine hydroxylase immunoreactivity in
pVTA and LC; the response was blocked by DSP-4 pre-treatment. While dopamine D1 ,
but not D2 , receptors were localized on noradrenergic LC neurons, pre-treatment
with SCH-23390 (intra-LC) dampened the lever press activity. We suggest that two
way communications between VTA and LC regions is essential for ethanol-triggered
reinforcement behavior.
PMID- 26549326
TI - Targeting intrinsically disordered proteins in rational drug discovery.
AB - INTRODUCTION: Intrinsically disordered proteins (IDPs) and intrinsically
disordered protein regions (IDPRs) have gained wide recognition over the past
decade due to their versatile roles in cell physiology and pathology. A large
repertoire of IDPs/IDPRs has been implicated in numerous diseases, making them
potential targets for therapeutic intervention. Recent advances in experimental
methods and computational approaches have enabled detection and characterization
of these highly dynamic proteins at atomistic detail, thus facilitating
disorder/dynamic-based drug discovery. AREAS COVERED: This article presents an
overview of the functional relevance and pathological implications of IDPs/IDPRs
in cells. The authors outline the currently available experimental methods
employed for structural characterization of these proteins. They also exemplify
the practical limitations encountered during such characterization and ways to
overcome them. Taken together, the article discusses the plausibility of
exploiting protein disorder for drug targeting. EXPERT OPINION: Disorder-based
drug targeting is gearing up in the realm of novel drug discovery approaches.
Tools for probing the molecular features of IDPs and IDPRs are rapidly improving
and start to provide accurate descriptions of the complex ensembles populated by
IDPs/IDPRs. They thus pave the way for the development of drug molecules, which
specifically target disease-associated disorder.
PMID- 26549327
TI - Semiconductive Nanotube Array Constructed from Giant [Pb(II)18I54(I2)9] Wheel
Clusters.
AB - Crystalline nanotube array would create great opportunity for novel electrical
application. Herein we report the first example of a metal halide based
crystalline nanotube array which is constructed from an unprecedented giant
[Pb(II)18I54(I2)9] wheel cluster, as determined by synchrotron X-ray diffraction.
The electrical properties of the single crystal were studied and the present
compound shows typical semiconductivity and highly anisotropic conductivity.
PMID- 26549328
TI - Quantitative Proteomics Reveals Membrane Protein-Mediated Hypersaline Sensitivity
and Adaptation in Halophilic Nocardiopsis xinjiangensis.
AB - The genus Nocardiopsis is one of the most dominant Actinobacteria that survives
in hypersaline environments. However, the adaptation mechanisms for halophilism
are still unclear. Here, we performed isobaric tags for relative and absolute
quantification based quantitative proteomics to investigate the functions of the
membrane proteome after salt stress. A total of 683 membrane proteins were
identified and quantified, of which 126 membrane proteins displayed salt-induced
changes in abundance. Intriguingly, bioinformatics analyses indicated that these
differential proteins showed two expression patterns, which were further
validated by phenotypic changes and functional differences. The majority of ABC
transporters, secondary active transporters, cell motility proteins, and signal
transduction kinases were up-regulated with increasing salt concentration,
whereas cell differentiation, small molecular transporter (ions and amino acids),
and secondary metabolism proteins were significantly up-regulated at optimum
salinity, but down-regulated or unchanged at higher salinity. The small molecule
transporters and cell differentiation-related proteins acted as sensing proteins
that played a more important biological role at optimum salinity. However, the
ABC transporters for compatible solutes, Na(+)-dependent transporters, and cell
motility proteins acted as adaptive proteins that actively counteracted higher
salinity stress. Overall, regulation of membrane proteins may provide a major
protection strategy against hyperosmotic stress.
PMID- 26549329
TI - Novel CT and scintigraphic findings of bone metastasis from invasive lobular
breast cancer.
AB - INTRODUCTION: The aim of this study is to identify and describe the computed
tomography and scintigraphic imaging patterns of osseous metastasis from invasive
lobular breast cancer (ILC). MATERIALS AND METHODS: CT and skeletal scintigraphy
(SS) studies of 23 patients with diagnosis of ILC and osseous metastasis on their
initial presentation were reviewed. RESULTS: Osseous metastases in 14 patients
(60.8%) appear as uniform small sclerotic lesions (USSL) on CT scan. The SS in
these patients were interpreted as negative for metastasis (either normal or with
some equivocal findings not typical for metastasis). CONCLUSION: Osseous
metastasis from ILC can have a characteristic imaging pattern on CT and SS. The
pattern of USSL on CT scan with negative SS is highly suggestive of osseous
metastasis from ILC.
PMID- 26549331
TI - Origin and Function of Tuning Diversity in Macaque Visual Cortex.
AB - Neurons in visual cortex vary in their orientation selectivity. We measured
responses of V1 and V2 cells to orientation mixtures and fit them with a model
whose stimulus selectivity arises from the combined effects of filtering,
suppression, and response nonlinearity. The model explains the diversity of
orientation selectivity with neuron-to-neuron variability in all three
mechanisms, of which variability in the orientation bandwidth of linear filtering
is the most important. The model also accounts for the cells' diversity of
spatial frequency selectivity. Tuning diversity is matched to the needs of visual
encoding. The orientation content found in natural scenes is diverse, and neurons
with different selectivities are adapted to different stimulus configurations.
Single orientations are better encoded by highly selective neurons, while
orientation mixtures are better encoded by less selective neurons. A diverse
population of neurons therefore provides better overall discrimination
capabilities for natural images than any homogeneous population.
PMID- 26549330
TI - The lh3 Glycosyltransferase Directs Target-Selective Peripheral Nerve
Regeneration.
AB - Functional PNS regeneration requires injured axons to return to their original
synaptic targets, yet the mechanisms underlying target-selective regeneration
have remained elusive. Using live-cell imaging in zebrafish we find that
regenerating motor axons exhibit a strong preference for their original muscle
territory and that axons probe both correct and incorrect trajectories
extensively before selecting their original path. We show that this process
requires the glycosyltransferase lh3 and that post-injury expression of lh3 in
Schwann cells is sufficient to restore target-selective regeneration. Moreover,
we demonstrate that Schwann cells neighboring the transection site express the
lh3 substrate collagen4a5 and that during regeneration collagen4a5 destabilizes
axons probing inappropriate trajectories to ensure target-selective regeneration,
possibly through the axonal repellant slit1a. Our results demonstrate that
selective ECM components match subpopulations of regenerating axons with their
original targets and reveal a previously unappreciated mechanism that conveys
synaptic target selection to regenerating axons in vivo. VIDEO ABSTRACT.
PMID- 26549333
TI - Synthesis and electrochemical properties of spherical and hollow-structured NiO
aggregates created by combining the Kirkendall effect and Ostwald ripening.
AB - The Kirkendall effect and Ostwald ripening were successfully combined to prepare
uniquely structured NiO aggregates. In particular, a NiO-C composite powder was
first prepared using a one-pot spray pyrolysis, which was followed by a two-step
post-treatment process. This resulted in the formation of micron-sized spherical
and hollow-structured NiO aggregates through a synergetic effect that occurred
between nanoscale Kirkendall diffusion and Ostwald ripening. The discharge
capacity of the spherical and hollow-structured NiO aggregates at the 500(th)
cycle was 1118 mA h g(-1) and their capacity retention, which was measured from
the second cycle, was nearly 100%. However, the discharge capacities of the solid
NiO aggregates and hollow NiO shells were 631 and 150 mA h g(-1), respectively,
at the 500(th) cycle and their capacity retentions, which were measured from the
second cycle, were 63 and 14%, respectively. As such, the spherical and hollow
structured NiO aggregates, which were formed through the synergetic effect of
nanoscale Kirkendall diffusion and Ostwald ripening, have high structural
stability during cycling and have excellent lithium storage properties.
PMID- 26549332
TI - Multi-Scale Molecular Deconstruction of the Serotonin Neuron System.
AB - Serotonergic (5HT) neurons modulate diverse behaviors and physiology and are
implicated in distinct clinical disorders. Corresponding diversity in 5HT
neuronal phenotypes is becoming apparent and is likely rooted in molecular
differences, yet a comprehensive approach characterizing molecular variation
across the 5HT system is lacking, as is concomitant linkage to cellular
phenotypes. Here we combine intersectional fate mapping, neuron sorting, and
genome-wide RNA-seq to deconstruct the mouse 5HT system at multiple levels of
granularity-from anatomy, to genetic sublineages, to single neurons. Our unbiased
analyses reveal principles underlying system organization, 5HT neuron subtypes,
constellations of differentially expressed genes distinguishing subtypes, and
predictions of subtype-specific functions. Using electrophysiology, subtype
specific neuron silencing, and conditional gene knockout, we show that these
molecularly defined 5HT neuron subtypes are functionally distinct. Collectively,
this resource classifies molecular diversity across the 5HT system and discovers
sertonergic subtypes, markers, organizing principles, and subtype-specific
functions with potential disease relevance.
PMID- 26549335
TI - Conctact dermatitis: some important topics.
AB - Allergic contact dermatitis (ACD) is a type IV delayed hypersensitivity reaction.
The gold standard for diagnosis is patch testing. The prevalence of positive
patch tests in referred patients with suspected ACD ranges from 27 to 95.6%. The
relationship between ACD and atopic dermatitis (AD) is complicated with
conflicting reports of prevalence in the literature; however, in a patient with
dermatitis not responding to traditional therapies, or with new areas of
involvement, ACD should be considered as part of the work-up.
PMID- 26549334
TI - An overview of fruit allergy and the causative allergens.
AB - Plant allergens, being one of the most widespread allergenic substances, are hard
to avoid. Hence, their identification and characterization are of prime
importance for the diagnosis and treatment of food allergy. The reported
allergies to fruits mainly evoke oral allergy syndrome caused by the presence of
cross-reactive IgE to certain pollens and thus, allergy to fruits has also been
linked to particular pollens. Many fruit allergies are being studied for their
causative allergens, and are being characterized. Some tropical or exotic fruits
are responsible for region-specific allergies for which only limited information
is available, and generally lack allergen characterization. From a survey of the
literature on fruit allergy, it is clear that some common fruits (apple, peach,
musk melon, kiwi fruit, cherry, grape, strawberry, banana, custard apple, mango
and pomegranate) and their allergens appear to be at the center of current
research on food allergy. The present review focuses on common fruits reported as
allergenic and their identified allergens; a brief description of allergens from
six rare/tropical fruits is also covered.
PMID- 26549336
TI - Rush immunotherapy for wasp venom allergy seems safe and effective in patients
with mastocytosis.
AB - BACKGROUND: Patients with mastocytosis and wasp venom allergy (WA) may benefit
from venom immunotherapy (VIT). However, fatal insect sting reactions have been
described in mastocytosis patients despite previous immunotherapy. We
investigated the safety and efficacy of (rush) VIT in patients with mastocytosis
and WA. OBJECTIVE: To investigate the safety and efficacy of (rush) VIT in
patients with mastocytosis and WA. METHODS: We describe nine patients with
cutaneous mastocytosis and WA who received VIT. Cutaneous mastocytosis was
confirmed by histopathology and systemic mastocytosis was diagnosed according to
World Health Organization criteria. VIT was given according to a rush protocol.
Given the difference in safety and efficacy of VIT in patients with WA and
honeybee venom allergy, we reviewed the literature for VIT with the focus on WA
patients with mastocytosis and addressed the difference between patients with
cutaneous versus systemic mastocytosis. RESULTS: Nine patients had WA and
mastocytosis, of whom six had cutaneous mastocytosis, two combined cutaneous and
systemic mastocytosis and one systemic mastocytosis. All patients received rush
IT with wasp venom. Most patients had only mild local side effects, with no
systemic side effects during the course of VIT. One patient had a systemic
reaction upon injection on one occasion, during the updosing phase, with dyspnoea
and hypotension, but responded well to treatment. Immunotherapy was continued
after temporary dose adjustment without problems. Two patients with a previous
anaphylactic reaction were re-stung, without any systemic effects. CONCLUSIONS:
VIT is safe in cutaneous mastocytosis patients with WA, while caution has to be
made in case of systemic mastocytosis. VIT was effective in the patients who were
re-stung.
PMID- 26549337
TI - One-year survey of paediatric anaphylaxis in an allergy department.
AB - AIM: To determine the frequency of anaphylaxis in an allergy outpatient
department, allowing a better understanding regarding aetiology, clinical
manifestations and management, in children and adolescents. METHODS: From among
3646 patients up to 18 years old observed during one-year period, we included
those with history of anaphylaxis reported by allergists. RESULTS: Sixty-four
children had history of anaphylaxis (prevalence of 1.8%), with mean age 8.1+/-5.5
years, 61% being male. Median age of the first anaphylactic episode was 3 years
(1 month-17 years). The majority of patients had food-induced anaphylaxis (84%):
milk 22, egg 7, peanut 6, tree nuts 6, fresh fruits 6, crustaceans 4, fish 4 and
wheat 2. Food-associated exercise-induced anaphylaxis was reported in 2
adolescents. Drug-induced anaphylaxis occurred in 8%: 4 non-steroidal anti
inflammatory drugs and 1 amoxicillin. Three children had cold-induced
anaphylaxis, one adolescent had anaphylaxis to latex and one child had
anaphylaxis to insect sting. The majority (73%) had no previous diagnosis of the
etiologic factor. Symptoms reported were mainly mucocutaneous (94%) and
respiratory (84%), followed by gastrointestinal (42%) and cardiovascular (25%).
Fifty-one patients were admitted to the emergency department, although only 33%
were treated with epinephrine. Recurrence of anaphylaxis occurred in 26 patients
(3 or more episodes in 14). CONCLUSIONS: In our paediatric population, the main
triggering agent of anaphylaxis was IgE-mediated food allergy. Epinephrine is
underused, as reported by others. Often, children have several episodes before
being assessed by an allergist. We stress the importance of systematic
notification and improvement of educational programmes in order to achieve a
better preventive and therapeutic management of this life-threatening entity.
PMID- 26549338
TI - An oral challenge test with carmine red (E120) in skin prick test positive
patients.
AB - BACKGROUND: Positive skin prick test reactions to carmine red (E120) occur in
approximately 3% of the patients studied for food allergy. Carmine ingestion
associated systemic symptoms are occasionally suspected, but sufficient
information of proven carmine allergy is not available. PATIENTS AND METHODS: To
analyse carmine related symptoms in skin prick test positive patients a cohort of
23 patients with suspected allergy to carmine red was subjected to a single-blind
placebo-controlled oral challenge test with carmine red. RESULTS: Five patients
developed clinical symptoms during the placebo-controlled oral challenge. As a
result, the overall frequency of clinical carmine allergy is estimated to be 0.7%
in general dermatology patients studied for food-associated symptoms.
CONCLUSIONS: Oral challenge test provides a valuable in vivo tool to better
inform patients with positive skin prick tests to additives to avoid false
allergy diets.
PMID- 26549339
TI - Detection of risk factors for systemic adverse reactions to SCIT with natural
depot allergen extracts: a retrospective study.
AB - BACKGROUND: Some patients seem to show a particular propensity to experience
systemic reactions (SR) when undergoing SCIT. This study looked at their
features. METHODS: 423 adults submitted to subcutaneous immunotherapy (SCIT) with
583 depot allergens extracts were studied. A "slow" build-up schedule was
followed, and maintenance doses were given monthly. No mixtures of allergens were
employed; multi-sensitized patients were treated with two extracts at the same
time. IgE to pollen allergen components were measured. Patients experiencing
several SR and those showing repeated large local reactions preventing up dosing
were analyzed. RESULTS: Altogether, 14% of patients experienced at least 2 SR to
SCIT and further 13% repeated local reactions. All SR involved the skin. Eight
treatments were stopped. No reactor was using beta-blockers. SR were not
associated with pollen season, use of freshly prepared vials, administration of 2
allergens, or extract producer, nor were preceded by large local reactions.
Reactors were younger than tolerant subjects (p<0.05), and females were less
frequently fully tolerant than males (p<0.001). The multiple regression analysis
showed that both ragweed and grass SCIT were significantly associated with
adverse reactions (p<0.001). Specific IgE to Amb a 1 or Phl p 1 did not differ
statistically between reactors and tolerant subjects, whereas grass pollen
allergic reactors showed higher levels of IgE to Phl p 5. Intolerance did not
depend on the number of primary sensitizations or on hypersensitivity to pollen
pan-allergens. CONCLUSION: Young patients or women hypersensitive to grass and
ragweed pollen seem at higher risk for SR during SCIT.
PMID- 26549340
TI - Allergenicity of Artemisia contained in bee pollen is proportional to its mass.
AB - Bee product mugwort is identified as being at the origin of allergic accidents
but the biological potency of Artemisia contained in bee pollen is not well
known. In this experiment, Artemisia mass was identified in bee pollen mass and
after having calculated the proportion of Artemisia using the bee pollen
melissopalynology spectrum. Skin reactivity to Artemisia was assessed by
measuring wheal diameters (W) from skin prick tests using three serial dilutions
of bee pollen on 11 allergic patients to Artemisia, in order to calculate the
relationship between Artemisia mass (Massartemisia) in bee pollen and skin
reactivity. The dose-response power regression curve (Wartemisia)=3.328
(Massartemisia)0.297 (R2=0.9947) and the linear function Log10 (Wartemisia)=0.297
(Log10 (Massartemisia)+0.520 (R=0.9974)) were established using a bee pollen
sample with 0.246 mg of Artemisia pollen per mg. Mugwort allergens seem to be
little or not altered by bee secretions and bee pollen retains its allergenic
capacity. To our knowledge this is the first time it has been shown that skin
reactivity of patients allergic to mugwort is proportional to the absolute
mugwort mass contained in the bee pollen.
PMID- 26549341
TI - An unusual case of delayed-type hypersensitivity to ceftriaxone and meropenem.
AB - Recent studies have demonstrated a low cross-reactivity between beta-lactam
antibiotics and carbapenems in IgE-mediated reactions. There are no studies on
cross-reactivity of meropenem in patients with non-immediate hypersensitivity to
cephalosporins. We describe a case of a 13-year-old male, admitted in
Neurosurgery with a severe extradural empyema complicating frontal sinusitis,
submitted to an emergent bifrontal craniotomy. A generalized maculopapular
exanthema, fever and malaise, appeared by the 7th day of meningeal doses of
ceftriaxone, clindamycin and vancomycin. Those were replaced by meropenem, with
posterior worsening of the reaction and mucosal involvement. A new scheme with
amikacin, metronidazole and linezolid was done with improvement. Skin prick,
intradermal and patch tests to penicillins, ceftriaxone and meropenem were
negative. Lymphocyte transformation test was positive to ceftriaxone and negative
to meropenem.Non-immediate T cell mechanism seems to be involved. Diagnosis work
up couldn't exclude cross-reactivity between ceftriaxone and meropenem.
PMID- 26549342
TI - Eosinophilic Granulomatosis with Polyangiitis preceding allergic bronchopulmonary
aspergillosis.
AB - A 61-year-old Chinese man with long-standing, stable Eosinophilic Granulomatosis
with Polyangiitis (EGPA) and asthma, presented with acute hypoxemia and declining
obstructive pulmonary function. Elevated serum IgE levels, positive Aspergillus
fumigatus specific IgE and CT findings of central bronchiectasis with small
airway mucoid impaction confirmed new development of Allergic Bronchopulmonary
Aspergillosis (ABPA). The maintenance therapy for EGPA, azathioprine, was
discontinued. Prednisolone 0.5 mg/kg/day and Itraconazole improved his symptoms
and IgE levels. To our knowledge, ABPA occurring in a patient with EGPA has not
been reported. Differentiation of EGPA with asthmatic flare vs ABPA vs asthma
with aspergillus hypersensitivity is discussed. Heightened Th2 immunity where
eosinophils play a central role may link these conditions.
PMID- 26549343
TI - Real-time PCR genotyping assay for canine progressive rod-cone degeneration and
mutant allele frequency in Toy Poodles, Chihuahuas and Miniature Dachshunds in
Japan.
AB - Canine progressive rod-cone degeneration (PRCD) is a middle- to late-onset,
autosomal recessive, inherited retinal disorder caused by a substitution (c.5G>A)
in the canine PRCD gene that has been identified in 29 or more purebred dogs. In
the present study, a TaqMan probe-based real-time PCR assay was developed and
evaluated for rapid genotyping and large-scale screening of the mutation.
Furthermore, a genotyping survey was carried out in a population of the three
most popular breeds in Japan (Toy Poodles, Chihuahuas and Miniature Dachshunds)
to determine the current mutant allele frequency. The assay separated all the
genotypes of canine PRCD rapidly, indicating its suitability for large-scale
surveys. The results of the survey showed that the mutant allele frequency in Toy
Poodles was high enough (approximately 0.09) to allow the establishment of
measures for the prevention and control of this disorder in breeding kennels. The
mutant allele was detected in Chihuahuas for the first time, but the frequency
was lower (approximately 0.02) than that in Toy Poodles. The mutant allele was
not detected in Miniature Dachshunds. This assay will allow the selective
breeding of dogs from the two most popular breeds (Toy Poodle and Chihuahua) in
Japan and effective prevention or control of the disorder.
PMID- 26549344
TI - Epigenetic regulation of IQGAP2 promotes ovarian cancer progression via
activating Wnt/beta-catenin signaling.
AB - Ovarian cancer is the most lethal gynecologic malignancy and most cases are
diagnosed at an advanced stage with metastases; however, the molecular events
supporting ovarian cancer development and progression remain poorly understood.
In this study, by analysis of the genome-scale DNA methylation profiles of 8
healthy ovaries, 89 ovarian cancers and the corresponding 4 normal ovaries from
The Cancer Genome Atlas, we unveiled the abnormalities in gene methylation of
ovarian cancers, and found that IQGAP2 one of the most frequently altered genes,
was significantly hypermethylated in ovarian cancer. There was an inverse
correlation between IQGAP2 DNA methylation and mRNA expression, and IQGAP2
expression was downregulated in ovarian cancer. Further survival analysis
indicated that decreased IQGAP2 was associated with a worse progression-free
survival of patient with ovarian cancer, and biological function studies
demonstrated that IQGAP2 inhibited ovarian cancer cell epithelial-mesenchymal
transition, migration and invasion via suppression of Wnt-induced beta-catenin
nuclear translocation and transcriptional activity. Thus, these data identified
IQGAP2 as a novel tumor suppressor for ovarian cancer to inhibit cell invasion
through regulating Wnt/beta-catenin signaling, and provided a new biomarker and
potential therapeutic strategy for this disease.
PMID- 26549345
TI - The stabilisation of the Nx phase in mixtures.
AB - The phase behaviour of mixtures between two symmetric dimers, CBC9CB and the
ether-linked analogue CBOC9OCB was investigated by Polarizing Optical Microscopy
(POM), Differential Scanning Calorimetry (DSC) and X-Ray Diffraction (XRD)
studies. The dimeric constituents are fully miscible and the construction of a
temperature-composition phase diagram reveals a surprising amplification of the
stability of the Nx phase in compositions of up to 37 wt% of CBOC9OCB in CBC9CB.
The origin for this enhancement of stability is discussed and an explanation
based on chiral recognition is developed.
PMID- 26549346
TI - The neural dynamics of sensory focus.
AB - Coordinated sensory and motor system activity leads to efficient localization
behaviours; but what neural dynamics enable object tracking and what are the
underlying coding principles? Here we show that optimized distance estimation
from motion-sensitive neurons underlies object tracking performance in weakly
electric fish. First, a relationship is presented for determining the distance
that maximizes the Fisher information of a neuron's response to object motion.
When applied to our data, the theory correctly predicts the distance chosen by an
electric fish engaged in a tracking behaviour, which is associated with a
bifurcation between tonic and burst modes of spiking. Although object distance,
size and velocity alter the neural response, the location of the Fisher
information maximum remains invariant, demonstrating that the circuitry must
actively adapt to maintain 'focus' during relative motion.
PMID- 26549347
TI - Dynamic regulation of stem cell specification and maintenance by hypoxia
inducible factors.
AB - Stem cells are characterized by the capacity for both self-renewal and generation
of all other cell types (pluripotency) or differentiated cells within a
particular lineage (multipotency). Stem cells are often localized to hypoxic
niches within tissues and hypoxia inducible factors (HIFs) play key roles in the
maintenance of pluripotent and multipotent stem cells, as well as cancer stem
cells, which are also known as tumor-initiating cells. HIF inhibitors target
cancer stem cells and improve the responses to angiogenesis inhibitors and
cytotoxic chemotherapy in mouse models of breast cancer.
PMID- 26549349
TI - The Experience of Male Nursing Students.
AB - PURPOSE: To explore the experience of male nursing students in a baccalaureate
nursing program. METHODS: This study used a qualitative approach with content
analysis. Written narratives in response to open-ended questions were analyzed.
Lincoln and Guba's guidelines were followed. SETTING AND POPULATION: This study
took two academic years to complete, at a baccalaureate nursing program in the
northeast United States. It consisted of 23 male undergraduate nursing students
who had completed three clinical nursing courses. FINDINGS: Five themes emerged
from the data analysis: staying focused, opinions matter, I want to be "a nurse,"
relationships, and looking ahead. CONCLUSIONS: The male nursing students in this
study were serious about entering the nursing profession. They wanted to be known
as "nurses," not "male nurses." Additional findings from this study can provide
educators and clinicians with a deeper understanding of the experiences of male
nursing students and what they need to be successful. DeVito.
PMID- 26549350
TI - Wilson disease: Health-related quality of life and risk for depression.
AB - BACKGROUND: Wilson disease is an autosomal recessive disorder of copper
metabolism and requires lifelong medical treatment. Therefore, the analysis of
quality of life has gathered more attention. Aims of this study were to examine
risk for depression and health-related quality of life in patients suffering from
Wilson disease. METHODS: Sixty-eight patients were included in this retrospective
cross sectional study. The Personal Health Questionnaire-9 Depression Scale was
used to assess depression. The Short Form-36 Health Survey questionnaire was used
to assess health-related quality of life. RESULTS: The Personal Health
Questionnaire-9 indicated that 21% (14/68) of patients were at risk for major
depressive disorders (scores>10) and 35% (24/68) were at risk for mild depression
(scores 5-9). Women had significantly lower life quality scores than men. Primary
neurologic disease manifestation was associated with significantly lower total
Short Form-36 and subdimension scores compared with primary hepatic or mixed
presentation. Overall, patients with Wilson disease experienced higher quality of
life than patients with other chronic liver diseases. CONCLUSIONS: As patients
with Wilson disease have a high risk for depressive disorders, active assessment
for depression is mandatory. Patients with primary neurological symptoms are at
higher risk for reduction of life quality.
PMID- 26549351
TI - A Meshless Algorithm to Model Field Evaporation in Atom Probe Tomography.
AB - An alternative approach for simulating the field evaporation process in atom
probe tomography is presented. The model uses the electrostatic Robin's equation
to directly calculate charge distribution over the tip apex conducting surface,
without the need for a supporting mesh. The partial ionization state of the
surface atoms is at the core of the method. Indeed, each surface atom is
considered as a point charge, which is representative of its evaporation
probability. The computational efficiency is ensured by an adapted version of the
Barnes-Hut N-body problem algorithm. Standard desorption maps for cubic
structures are presented in order to demonstrate the effectiveness of the method.
PMID- 26549348
TI - Molecular pathophysiology of hepatic glucose production.
AB - Maintaining blood glucose concentration within a relatively narrow range through
periods of fasting or excess nutrient availability is essential to the survival
of the organism. This is achieved through an intricate balance between glucose
uptake and endogenous glucose production to maintain constant glucose
concentrations. The liver plays a major role in maintaining normal whole body
glucose levels by regulating the processes of de novo glucose production
(gluconeogenesis) and glycogen breakdown (glycogenolysis), thus controlling the
levels of hepatic glucose release. Aberrant regulation of hepatic glucose
production (HGP) can result in deleterious clinical outcomes, and excessive HGP
is a major contributor to the hyperglycemia observed in Type 2 diabetes mellitus
(T2DM). Indeed, adjusting glycemia as close as possible to a non-diabetic range
is the foremost objective in the medical treatment of patients with T2DM and is
currently achieved in the clinic primarily through suppression of HGP. Here, we
review the molecular mechanisms controlling HGP in response to nutritional and
hormonal signals and discuss how these signals are altered in T2DM.
PMID- 26549352
TI - Progenitor cells may aid successful islet compensation in metabolically healthy
obese individuals.
AB - Obesity is associated with insulin resistance and type 2 diabetes. Fortunately
most obese, insulin-resistant individuals do not develop type 2 diabetes as they
can overcome reduced efficiency of insulin action by increasing the functional
beta-cell mass. Compelling evidences suggest beta-cells neogenesis through
progenitor/stem cells residing in pancreatic ductal cells and islets, but the
role of beta-cell regeneration in obesity/insulin resistance from progenitor/stem
cells is not clear. Based on many indirect evidences in human studies such as
unchanged beta-cell replication, apoptosis and size during compensation in
insulin resistance in humans, we suggest successful beta-cells mass compensation
in metabolically healthy obesity is contributed by neoformation of beta-cells,
through expansion of progenitor cells/stem cells in synergy with beta-cell
replication.
PMID- 26549353
TI - A novel function of the human oncogene Stil: Regulation of PC12 cell toxic
susceptibility through the Shh pathway.
AB - The human oncogene SCL/TAL1 interrupting locus (Stil) is highly conserved in
vertebrate species. Here, we report new findings of Stil in the regulation of
toxic susceptibility in mammalian dopaminergic (DA)-like PC12 cells. RNAi
mediated knockdown of Stil expression did not affect the survival of
proliferating PC12 cells but caused a significant amount of cell death in
differentiated neurons after toxic drug treatment. In contrast, overexpression of
Stil increased toxic susceptibility only in proliferating cells but produced no
effect in mature neurons. Exogenetic inactivation or activation of the Sonic
hedgehog (Shh) signaling transduction mimicked the effect of Stil knockdown or
overexpression in regulation of PC12 cell toxic susceptibility, suggesting that
Stil exerts its role through the Shh pathway. Together, the data provide evidence
for novel functions of the human oncogene Stil in neural toxic susceptibility.
PMID- 26549354
TI - Development of viscoelastic stability of resin-composites incorporating novel
matrices.
AB - OBJECTIVES: To study the effect of resin matrix and maturation time (1 and 24 h)
on the creep deformation of resin-composites, two of which have matrix-forming
monomers that are either bis-GMA free or have an ormocer structure between the CC
groups. METHODS: Five resin-composites: four commercial and one experimental were
investigated. Six specimens were prepared for each material and were divided into
two groups (n=3) according to the maturation time and condition. Group A was
stored dry at room temperature for 1 h and Group B was stored for 24 h in
distilled water to allow post-curing at 37 degrees C. Each specimen was loaded
(20 MPa) for 2 h and unloaded for 2 h. The strain deformation was recorded
continuously for 4h. Statistical analysis was performed using one-way ANOVA and
the Bonferroni post hoc test at a significance level of a=0.05. RESULTS: The
maximum creep-strain % after 1 h ranged from 1.32% to 2.50% and was reduced after
24h post-cure to between 0.66% and 1.47%. Also, the permanent set after 1h ranged
from 0.70% up to 1.27% (Group A) and after 24 h ranged from 0.53% up to 1.20%
(Group B). SIGNIFICANCE: Creep deformation and maximum recovery for all resin
composites decreased with time, demonstrating improvement in viscoelastic
stability. However, there was no significant difference between the permanent set
at different times, except for Herculite XRV Ultra. Composites with novel
matrices showed comparable properties to existing bis-GMA based materials.
PMID- 26549355
TI - Influence of hydroxyethyl acrylamide addition to dental adhesive resin.
AB - OBJECTIVE: to determine the physicochemical properties of experimental adhesive
resins containing hydroxyethyl acrylamide. METHODS: Three groups of experimental
resin were formulated, GHEAA33% (33.3wt% HEAA+66.6wt% BisGMA), GHEAA50% (50wt%
HEAA+50wt% BisGMA), and GHEAA-FREE (33.3wt% HEMA+66.6wt% of BisGMA). The
polymerization process of each adhesive resin group, as well as for the
homopolymers, BisGMA, HEMA, HEAA, HEMA* without EDAB, and HEAA* without EDAB, was
characterized through differential scanning calorimetry (DSC). Elution of
monomers was evaluated by (1)H NMR. Dynamic mechanical analysis (DMA) was used to
collect the glass transition temperature (Tg), the storage modulus (E') and the
reticulation degree (rho). Flexural strength was calculated by three-point
bending test with 0.75mm/min. Softening in solvent was calculated through
hardness before and after immersion in water or ethanol. RESULTS: GHEAA50%,
GHEAA33%, GHEAA-FREE presented higher polymerization rate ( [Formula: see text] ,
12.3 and 5.3mmolg(-1)s(-1), respectively) than homopolymers HEMA, HEMA* and
HEAA*. Group with HEAA presented higher degree of conversion
(GHEAA50%=64.07%>GHEAA33%=55.82%>GHEAA-FREE=49.02%; p=0.008) All groups presented
low elution of monomers (p>0.05). The values of E' were higher on GHEAA33% than
GHEAA-FREE (p=0.034). Tg and flexural strength values of GHEAA-FREE were higher
than acrylamide groups (p=0.022 and p<0.001, respectively). Hardness varied from
27.05 to 34.78 for water and from 63.27 to 68.51 for ethanol with no difference
for rho values. SIGNIFICANCE: The addition of HEAA increased the materials
reactivity and, consequently, improved the maximum rate of polymerization, degree
of conversion and the storage modulus of experimental adhesive resin.
PMID- 26549356
TI - Staying young at heart: autophagy and adaptation to cardiac aging.
AB - Aging is a predominant risk factor for developing cardiovascular disease.
Therefore, the cellular processes that contribute to aging are attractive targets
for therapeutic interventions that can delay or prevent the development of age
related diseases. Our understanding of the underlying mechanisms that contribute
to the decline in cell and tissue functions with age has greatly advanced over
the past decade. Classical hallmarks of aging cells include increased levels of
reactive oxygen species, DNA damage, accumulation of dysfunctional organelles,
oxidized proteins and lipids. These all contribute to a progressive decline in
the normal physiological function of the cell and to the onset of age-related
conditions. A major cause of the aging process is progressive loss of cellular
quality control. Autophagy is an important quality control pathway and is
necessary to maintain cardiac homeostasis and to adapt to stress. A reduction in
autophagy has been observed in a number of aging models and there is compelling
evidence that enhanced autophagy delays aging and extends life span. Enhancing
autophagy counteracts age-associated accumulation of protein aggregates and
damaged organelles in cells. In this review, we discuss the functional role of
autophagy in maintaining homeostasis in the heart, and how a decline is
associated with accelerated cardiac aging. We also evaluate therapeutic
approaches being researched in an effort to maintain a healthy young heart.
PMID- 26549357
TI - Interleukin-10 inhibits chronic angiotensin II-induced pathological autophagy.
AB - BACKGROUND: Although autophagy is an essential cellular salvage process to
maintain cellular homeostasis, pathological autophagy can lead to cardiac
abnormalities and ultimately heart failure. Therefore, a tight regulation of
autophagic process would be important to treat chronic heart failure. Previously,
we have shown that IL-10 strongly inhibited pressure overload-induced hypertrophy
and heart failure, but role of IL-10 in regulation of pathological autophagy is
unknown. Here we tested the hypothesis that IL-10 inhibits angiotensin II-induced
pathological autophagy and this process, in part, leads to improve cardiac
function. METHODS AND RESULTS: Chronic Ang II strongly induced mortality, cardiac
dysfunction in IL-10 Knockout mice. IL-10 deletion exaggerated pathological
autophagy in response to Ang II treatment. In isolated cardiac myocytes, IL-10
attenuated Ang II-induced pathological autophagy and activated Akt/mTORC1
signaling. Pharmacological or molecular inhibition of Akt and mTORC1 signaling
attenuated IL-10 effects on Ang II-induced pathological autophagy. Furthermore,
lysosomal inhibition in autophagic flux experiments further confirmed that IL-10
inhibits pathological autophagy via mTORC1 signaling. CONCLUSION: Our data
demonstrate a novel role of IL-10 in regulation of pathological autophagy; thus
can act as a potential therapeutic molecule for treatment of chronic heart
disease.
PMID- 26549359
TI - The effectiveness of influenza vaccination in preventing hospitalisation in
children in Western Australia.
AB - BACKGROUND: There is increasing evidence demonstrating influenza vaccine
effectiveness (VE) in the prevention of influenza in children, including the very
young. Data demonstrating the effectiveness against severe disease, including
hospitalisation, are limited. We aimed to determine the VE of the southern
hemisphere trivalent inactivated influenza vaccine (TIV) in preventing laboratory
confirmed influenza-associated hospitalisation in children. PATIENTS AND METHODS:
Laboratory records were used to identify children with confirmed influenza
hospitalised (i.e., cases) during a 5 year period (2008, 2010-2013) at the only
tertiary paediatric facility in Western Australia. Cases and time, age and ward
matched controls were retrospectively reviewed to determine risk factors,
vaccination status and outcome. Adjusted odds ratios and VE estimates were
derived using conditional logistic regression models. RESULTS: Three hundred and
eighty five cases were identified (Influenza A, 64.9%; Influenza B, 35.1%).
Influenza-like illness and pneumonia were the most frequent presentation (74.5%
and 23.9%, respectively). The median length of stay was 2 days (Interquartile
range 1-4 days). Twenty children (5.2%) required admission to the intensive care
unit. Vaccine uptake in cases and controls was low (4.9% and 8.5%, respectively).
Three hundred and six case-control pairs were included in the VE analysis, of
which 19 pairs were informative with discrepant vaccination status. VE (fully
vaccinated vs. unvaccinated) was estimated to be 62.3% (95% CI: -6.6%, 86.7%).
CONCLUSION: In this study, the point estimate for the effectiveness of TIV in
preventing influenza-associated hospitalisation in children was similar to that
reported for emergency or outpatient attended, laboratory-confirmed influenza,
yet confidence intervals were wide. Vaccine uptake remains low. Studies, enroling
larger numbers of children, ideally with higher vaccine uptake, are needed to
provide additional evidence on TIV protection against influenza hospitalisation
in children.
PMID- 26549358
TI - Connective tissue growth factor regulates cardiac function and tissue remodeling
in a mouse model of dilated cardiomyopathy.
AB - Cardiac structural changes associated with dilated cardiomyopathy (DCM) include
cardiomyocyte hypertrophy and myocardial fibrosis. Connective tissue growth
factor (CTGF) has been associated with tissue remodeling and is highly expressed
in failing hearts. Our aim was to test if inhibition of CTGF would alter the
course of cardiac remodeling and preserve cardiac function in the protein kinase
Cepsilon (PKCepsilon) mouse model of DCM. Transgenic mice expressing
constitutively active PKCepsilon in cardiomyocytes develop cardiac dysfunction
that was evident by 3 months of age, and that progressed to cardiac fibrosis,
heart failure, and increased mortality. Beginning at 3 months of age, PKCepsilon
mice were treated with a neutralizing monoclonal antibody to CTGF (FG-3149) for
an additional 3 months. CTGF inhibition significantly improved left ventricular
(LV) systolic and diastolic functions in PKCepsilon mice, and slowed the
progression of LV dilatation. Using gene arrays and quantitative PCR, the
expression of many genes associated with tissue remodeling was elevated in
PKCepsilon mice, but significantly decreased by CTGF inhibition. However total
collagen deposition was not attenuated. The observation of significantly improved
LV function by CTGF inhibition in PKCepsilon mice suggests that CTGF inhibition
may benefit patients with DCM. Additional studies to explore this potential are
warranted.
PMID- 26549360
TI - A chicken homologue of nectin-4 functions as a measles virus receptor.
AB - Measles virus (MV) vaccine strains use CD46, signaling lymphocyte activation
molecule, and nectin-4 in human cells as receptors. Meanwhile, many of them are
propagated in primary chicken embryonic fibroblasts (CEFs). Our data revealed
that CEFs express a nectin-4 homologous molecule (CEF nectin-4) containing well
conserved motifs in the FG and BC loops, but not in the C'C" loop. MV infected
CHO cells expressing CEF nectin-4 and induced syncytia in these cells, confirming
that CEF nectin-4 functions as an MV receptor and that the C'C" loop is not
critical for this function. Nectin-4-blind mutations in MV H protein reduced the
infectivity of MV in CEF nectin-4-expressing cells. Infection of CEFs with the MV
vaccine AIK-C strain was partially blocked by an anti-nectin-4 antibody,
indicating that CEF nectin-4 plays a role for propagation of MV vaccines in CEFs.
PMID- 26549362
TI - Engineering of the PapMV vaccine platform with a shortened M2e peptide leads to
an effective one dose influenza vaccine.
AB - The emergence of highly virulent influenza strains and the risks of pandemics as
well as the limited efficiency of the current seasonal vaccines are important
public health concerns. There is a major need for new influenza vaccines that
would be broadly cross-protective. The ectodomain of matrix protein 2 (M2e) is
highly conserved amongst different influenza strains and could be used as a broad
spectrum antigen. To overcome its low immunogenicity we have fused a short
peptide epitope derived from the human consensus sequence of M2e (amino acids 6
14, EVETPIRNE) to the N-terminus of papaya mosaic virus coat protein. The fusion
harboring coat proteins were assembled around a single stranded RNA into virus
like particles (PapMV-sM2e). The resulting PapMV-sM2e rod-shaped particle was
stable and indistinguishable from regular PapMV particles. A single intramuscular
immunization with PapMV-sM2e was sufficient to mount appreciable levels of CD4
dependent M2e specific total IgG and IgG2a antibody in mice sera. PapMV-sM2e
proved to be self-adjuvanting since the addition of PapMV as an exogenous
adjuvant did not result in significantly improved antibody titers. In addition,
we confirmed the adjuvant property of PapMV-sM2e using the trivalent inactivated
flu vaccine as antigen and demonstrated that the newly engineered nanoparticles
areas efficacious as an adjuvant than the original PapMV nanoparticles. Upon
infection with a sub-lethal dose of influenza, PapMV-sM2e vaccinated animals were
completely protected from virus induced morbidity and mortality. Mice immunized
with decreasing amounts of PapMV-sM2e and challenged with a more stringent dose
of influenza virus displayed dose-dependent levels of protection. Seventy percent
of the mice immunized once with the highest dose of PapMV-sM2e survived the
challenged. The survival of the mice correlated mainly with the levels of anti
M2e IgG2a antibodies obtained before the infection. These results demonstrate
that PapMV-sM2e can be an important component of a broadly cross-reactive
influenza vaccine.
PMID- 26549361
TI - Absence of venous thromboembolism risk following quadrivalent human
papillomavirus vaccination, Vaccine Safety Datalink, 2008-2011.
AB - BACKGROUND: To investigate concerns about a potential association between
quadrivalent human papillomavirus vaccination (HPV4) and venous thromboembolism
(VTE), we conducted a self-controlled case series study in adolescents and young
adults 9-26 years of age in the Vaccine Safety Datalink. METHODS: We identified
potential VTE cases diagnosed in 2008 through 2011 who had also received at least
one HPV4 dose during that period. We confirmed each presumptive diagnosis by
medical record review. We calculated incidence rate ratios (IRRs) and 95%
confidence intervals (CI) to estimate the risk in the 1-60 day period following
HPV4 exposure and in subsets of that period. IRRs were stratified by age, gender,
hormonal contraceptive use, and recent surgery or trauma. RESULTS: We identified
313 potential cases of VTE among HPV4 vaccinees, and 291 (93%) had sufficient
medical records for review. Of these, we confirmed 156 (54%) cases. VTE was
uncommon among males (n=3) and 9-12 year olds (n=4). Nearly all confirmed cases
(97%) had at least one known risk factor for VTE, including hormonal
contraceptive use, obesity, and hypercoagulability. Sixteen (10%) confirmed cases
occurred in the 1-60 days following HPV4 exposure. The risk of VTE varied from
1.47 (95% CI: 0.47-4.64) in the 1-7 days following HPV4 exposure to 0.92 (95% CI:
0.54-1.57) in the 1-60 days following vaccination. It was not possible to
calculate a stratified IRR for males due to small sample size; the other risk
factors evaluated did not significantly affect the risk of VTE after HPV4
exposure. CONCLUSION: The risk of developing VTE among 9- to 26-year-olds was not
elevated following HPV4 exposure. Sample size limited our ability to rigorously
evaluate potential effect modifiers, such as gender, through stratified analysis.
PMID- 26549363
TI - Mass vaccination with a two-dose oral cholera vaccine in a long-standing refugee
camp, Thailand.
AB - BACKGROUND: During 2005-2012, surveillance in Maela refugee camp, Thailand,
identified four cholera outbreaks, with rates up to 10.7 cases per 1000 refugees.
In 2013, the Thailand Ministry of Public Health sponsored a two-dose oral cholera
vaccine (OCV) campaign for the approximately 46,000 refugees living in Maela.
METHODS: We enumerated the target population (refugees living in Maela who are
>=1 year old and not pregnant) in a census three months before the campaign and
issued barcoded OCV cards to each individual. We conducted the campaign using a
fixed-post strategy during two eight-day rounds plus one two-day round for
persons who had missed their second dose and recorded vaccine status for each
individual. To identify factors associated with no vaccination (versus at least
one dose) and those associated with adverse events following immunization (AEFI),
we used separate marginal log-binomial regression models with robust variance
estimates to account for household clustering. RESULTS: A total of 63,057 OCV
doses were administered to a target population of 43,485 refugees. An estimated
35,399 (81%) refugees received at least one dose and 27,658 (64%) received two
doses. A total of 993 additional doses (1.5%) were wasted including 297 that were
spat out. Only 0.05% of refugees, mostly children, could not be vaccinated due to
repeated spitting. Characteristics associated with no vaccination (versus at
least one dose) included age >=15 years (versus 1-14 years), Karen ethnicity
(versus any other ethnicity) and, only among adults 15-64 years old, male sex.
Passive surveillance identified 84 refugees who experienced 108 AEFI including
three serious but coincidental events. The most frequent AEFI were nausea (49%),
dizziness (38%), and fever (30%). Overall, AEFI were more prevalent among young
children and older adults. CONCLUSIONS: Our results suggest that mass vaccination
in refugee camps with a two-dose OCV is readily achievable and AEFI are few.
PMID- 26549364
TI - Evaluation of the risk of venous thromboembolism after quadrivalent human
papillomavirus vaccination among US females.
AB - After the Food and Drug Administration (FDA) licensed quadrivalent human
papillomavirus vaccine (HPV4) in 2006, reports suggesting a possible association
with venous thromboembolism (VTE) emerged from the Vaccine Adverse Event
Reporting System and the Vaccine Safety Datalink. Our objective was to determine
whether HPV4 increased VTE risk. The subjects were 9-26-year-old female members
of five data partners in the FDA's Mini-Sentinel pilot project receiving HPV4
during 2006-2013. The outcome was radiologically confirmed first-ever VTE among
potential cases identified by diagnosis codes in administrative data during Days
1-77 after HPV4 vaccination. With a self-controlled risk interval design, we
compared counts of first-ever VTE in risk intervals (Days 1-28 and Days 1-7 post
vaccination) and control intervals (Days 36-56 for Dose 1 and Days 36-63 for
Doses 2 and 3). Combined hormonal contraceptive use was treated as a potential
confounder. The main analyses were: (1) unadjusted for time-varying VTE risk from
contraceptive use, (2) unadjusted but restricted to cases without such time
varying risk, and (3) adjusted by incorporating the modeled risk of VTE by week
of contraceptive use in the analysis. Of 279 potential VTE cases identified
following 1,423,399 HPV4 doses administered, 225 had obtainable charts, and 53
were confirmed first-ever VTE. All 30 with onsets in risk or control intervals
had known risk factors for VTE. VTE risk was not elevated in the first 7 or 28
days following any dose of HPV in any analysis (e.g. relative risk estimate (95%
CI) from both unrestricted analyses, for all-doses, 28-day risk interval: 0.7
(0.3-1.4)). Temporal scan statistics found no clustering of VTE onsets after any
dose. Thus, we found no evidence of an increased risk of VTE associated with HPV4
among 9-26-year-old females. A particular strength of this evaluation was its
control for both time-invariant and contraceptive-related time-varying potential
confounding.
PMID- 26549365
TI - Increasing postpartum rate of vaccination with tetanus, diphtheria, and acellular
pertussis vaccine by incorporating pertussis cocooning information into prenatal
education for group B streptococcus prevention.
AB - BACKGROUND: To evaluate whether incorporating pertussis cocooning information
into prenatal education for group B streptococcus (GBS) prevention increased
postpartum rate of vaccination with tetanus, diphtheria, and acellular pertussis
(Tdap) vaccine. METHODS: We performed a retrospective pre-intervention/post
intervention study of postpartum women at a teaching hospital in Taiwan. We
compared the frequency of Tdap vaccination during the pre-intervention (May 1,
2009 to December 31, 2010) and post-intervention (March 1, 2011-March 31, 2012)
time periods. The clinical intervention was incorporation of pertussis cocooning
information into prenatal education for GBS prevention to pregnant women
presented during a prenatal visit at 35-37 weeks of gestation. Postpartum Tdap
vaccination rate during the pre-intervention and post-intervention periods was
compared. We also specifically examined group differences in the percentage of
women who received postpartum Tdap vaccination to explore factors that influenced
their decision regarding Tdap vaccine. RESULTS: Tdap vaccination was more likely
during the post-intervention period compared with the pre-intervention period
(2268 of 3186 [71.2%] compared with 2556 of 5030 [55.6%]; p<.001). Comparisons
between each subgroup of pre-intervention and post-intervention women showed that
incorporating pertussis information into prenatal education for GBS prevention
was beneficial except for women of maternal age 30-34 years and women living in
rural areas. CONCLUSIONS: Prenatal GBS screening activities represent an
opportunity for healthcare providers to offer pertussis cocooning information to
eligible pregnant women to improve rates of postpartum Tdap vaccination.
PMID- 26549366
TI - Phenotypic characterization of bovine memory cells responding to mycobacteria in
IFNgamma enzyme linked immunospot assays.
AB - Bovine tuberculosis (bTB) remains a globally significant veterinary health
problem. Defining correlates of protection can accelerate the development of
novel vaccines against TB. As the cultured IFNgamma ELISPOT (cELISPOT) assay has
been shown to predict protection and duration of immunity in vaccinated cattle,
we sought to characterize the phenotype of the responding T-cells. Using
expression of CD45RO and CD62L we purified by cytometric cell sorting four
distinct CD4(+) populations: CD45RO(+)CD62L(hi), CD45RO(+)CD62L(lo), CD45RO(
)CD62L(hi) and CD45RO(-)CD62L(lo) (although due to low and inconsistent cell
recovery, this population was not considered further in this study), in BCG
vaccinated and Mycobacterium bovis infected cattle. These populations were then
tested in the cELISPOT assay. The main populations contributing to production of
IFNgamma in the cELISPOT were of the CD45RO(+)CD62L(hi) and CD45RO(+)CD62L(lo)
phenotypes. These cell populations have been described in other species as
central and effector memory cells, respectively. Following in vitro culture and
flow cytometry we observed plasticity within the bovine CD4(+) T-cell phenotype.
Populations switched phenotype, increasing or decreasing expression of CD45RO and
CD62L within 24h of in vitro stimulation. After 14 days all IFNgamma producing
CD4(+) T cells expressed CD45RO regardless of the original phenotype of the
sorted population. No differences were detected in behavior of cells derived from
BCG-vaccinated animals compared to cells derived from naturally infected animals.
In conclusion, although multiple populations of CD4(+) T memory cells from both
BCG vaccinated and M. bovis infected animals contributed to cELISPOT responses,
the dominant contributing population consists of central-memory-like T cells
(CD45RO(+)CD62L(hi)).
PMID- 26549368
TI - 4-Hydroxybenzoic acid derivatives as HDAC6-specific inhibitors modulating
microtubular structure and HSP90alpha chaperone activity against prostate cancer.
AB - Histone deacetylase (HDAC)6 is a unique isoenzyme targeting specific substrates
including alpha-tubulin and heat shock protein (HSP)90. HDAC6 is involved in
protein trafficking and degradation, cell shape and migration. Deregulation of
HDAC6 activity is associated with a variety of diseases including cancer leading
to a growing interest for developing HDAC6 inhibitors. Here, we identified two
new structurally related 4-hydroxybenzoic acids as selective HDAC6 inhibitors
reducing proliferation, colony and spheroid formation as well as viability of
prostate cancer cells. Both compounds strongly enhanced alpha-tubulin acetylation
leading to remodeling of microtubular organization. Furthermore, 4-hydroxybenzoic
acids decreased HSP90alpha regulation of the human androgen receptor in prostate
cancer cells by increasing HSP90alpha acetylation levels. Collectively, our data
support the potential of 4-hydroxybenzoic acid derivatives as HDAC6-specific
inhibitors with anti-cancer properties.
PMID- 26549369
TI - Missing links: testing the completeness of host-parasite checklists.
AB - Host-parasite checklists are essential resources in ecological parasitology, and
are regularly used as sources of data in comparative studies of parasite species
richness across host species, or of host specificity among parasite species.
However, checklists are only useful datasets if they are relatively complete,
that is, close to capturing all host-parasite associations occurring in a
particular region. Here, we use three approaches to assess the completeness of 25
checklists of metazoan parasites in vertebrate hosts from various geographic
regions. First, treating checklists as interaction networks between a set of
parasite species and a set of host species, we identify networks with a greater
connectance (proportion of realized host-parasite associations) than expected for
their size. Second, assuming that the cumulative rise over time in the number of
known host-parasite associations in a region tends toward an asymptote as their
discovery progresses, we attempt to extrapolate the estimated total number of
existing associations. Third, we test for a positive correlation between the
number of published reports mentioning an association and the time since its
first record, which is expected because observing and reporting host-parasite
associations are frequency-dependent processes. Overall, no checklist fared well
in all three tests, and only three of 25 passed two of the tests. These results
suggest that most checklists, despite being useful syntheses of regional host
parasite associations, cannot be used as reliable sources of data for comparative
analyses.
PMID- 26549367
TI - Inhibition of Kv channel expression by NSAIDs depolarizes membrane potential and
inhibits cell migration by disrupting calpain signaling.
AB - Clinical use of non-steroidal anti-inflammatory drugs (NSAIDs) is well known to
cause gastrointestinal ulcer formation via several mechanisms that include
inhibiting epithelial cell migration and mucosal restitution. The drug-affected
signaling pathways that contribute to inhibition of migration by NSAIDs are
poorly understood, though previous studies have shown that NSAIDs depolarize
membrane potential and suppress expression of calpain proteases and voltage-gated
potassium (Kv) channel subunits. Kv channels play significant roles in cell
migration and are targets of NSAID activity in white blood cells, but the
specific functional effects of NSAID-induced changes in Kv channel expression,
particularly on cell migration, are unknown in intestinal epithelial cells.
Accordingly, we investigated the effects of NSAIDs on expression of Kv1.3, 1.4,
and 1.6 in vitro and/or in vivo and evaluated the functional significance of loss
of Kv subunit expression. Indomethacin or NS-398 reduced total and plasma
membrane protein expression of Kv1.3 in cultured intestinal epithelial cells (IEC
6). Additionally, depolarization of membrane potential with margatoxin (MgTx),
40mM K(+), or silencing of Kv channel expression with siRNA significantly reduced
IEC-6 cell migration and disrupted calpain activity. Furthermore, in rat small
intestinal epithelia, indomethacin and NS-398 had significant, yet distinct,
effects on gene and protein expression of Kv1.3, 1.4, or 1.6, suggesting that
these may be clinically relevant targets. Our results show that inhibition of
epithelial cell migration by NSAIDs is associated with decreased expression of Kv
channel subunits, and provide a mechanism through which NSAIDs inhibit cell
migration and may contribute to NSAID-induced gastrointestinal (GI) toxicity.
PMID- 26549370
TI - Preparation of Radiopaque Drug-Eluting Beads for Transcatheter Chemoembolization.
AB - PURPOSE: To develop a simple method to produce radiopaque drug-eluting
microspheres (drug-eluting beads [DEBs]) that could be incorporated into the
current clinical transcatheter arterial chemoembolization workflow and evaluate
their performance in vitro and in vivo. MATERIALS AND METHODS: An ethiodized oil
(Lipiodol; Guerbet, Villepinte, France) and ethanol solution was added to a
lyophilized 100-300 um bead before loading with doxorubicin. These radiopaque
drug-eluting beads (DEBs; Biocompatibles UK Ltd, Farnham, United Kingdom) were
evaluated in vitro for x-ray attenuation, composition, size, drug loading and
elution, and correlation between attenuation and doxorubicin concentration. In
vivo conspicuity was evaluated in a VX2 tumor model. RESULTS: Lipiodol was loaded
into lyophilized beads using two glass syringes and a three-way stopcock. Maximum
bead attenuation was achieved within 30 minutes. X-ray attenuation of radiopaque
beads increased linearly (21-867 HU) with the amount of beads (0.4-12.5 vol%;
R(2) = 0.9989). Doxorubicin loading efficiency and total amount eluted were
similar to DC Bead (Biocompatibles UK Ltd); however, the elution rate was slower
for radiopaque DEBs (P < .05). Doxorubicin concentration linearly correlated with
x-ray attenuation of radiopaque DEBs (R(2) = 0. 99). Radiopaque DEBs were seen in
tumor feeding arteries after administration by fluoroscopy, computed tomography,
and micro-computed tomography, and their location was confirmed by histology.
CONCLUSIONS: A simple, rapid method to produce radiopaque DEBs was developed.
These radiopaque DEBs provided sufficient conspicuity to be visualized with x-ray
imaging techniques.
PMID- 26549371
TI - Cone-Beam CT Angiography for Determination of Tumor-Feeding Vessels During
Chemoembolization of Liver Tumors: Comparison of Conventional and Dedicated
Software Analysis.
AB - PURPOSE: To compare the ability of dedicated software and conventional cone-beam
computed tomography (CT) analysis to identify tumor-feeding vessels in
hypervascular liver tumors treated with chemoembolization. MATERIAL AND METHODS:
Between January 2012 and January 2013, 45 patients (32 men, mean age of 61 y;
range, 27-85 y) were enrolled, and 66 tumors were treated (mean, 32 mm +/- 18;
range, 10-81 mm) with conventional chemoembolization with arterial cone-beam CT.
Data were independently analyzed by six interventional radiologists with standard
postprocessing software, a computer-aided analysis with FlightPlan for liver
(FPFL; ie, "raw FPFL"), and a review of this computer-aided FPFL analysis
("reviewed FPFL"). Analyses were compared with a reference reading established by
two study supervisors in consensus who had access to all imaging data.
Sensitivities, positive predictive values (PPVs), and false-positive (FP) ratios
were compared by McNemar, chi(2), and Fisher exact tests. Analysis durations were
compared by Mann-Whitney test, and interreader agreement was assessed. RESULTS:
Reference reading identified 179 feeder vessels. The sensitivity of raw FPFL was
significantly higher than those of reviewed FPFL and conventional analyses (90.9%
vs 83.2% and 82.1%; P < .0001), with lower PPV (82.9% vs 91.2% and 90.6%,
respectively; P < .0001), higher FP ratio (17.1% vs 9.4% and 8.8%, respectively;
P < .0001), and greater interreader agreement (92% vs 80% and 79%, respectively;
P < .0001). Reviewed FPFL analysis took significantly longer than both other
analyses (P < .0001). CONCLUSIONS: The FPFL analysis software enabled a fast,
accurate, and sensitive detection of tumor feeder vessels.
PMID- 26549372
TI - Rac1 mediates HMGB1-induced hyperpermeability in pulmonary microvascular
endothelial cells via MAPK signal transduction.
AB - The pathology of acute respiratory distress syndrome (ARDS) is closely associated
with the failure of alveolar-capillary barrier integrity and alveolar filling by
high protein pulmonary edema, resulting from hyperpermeability. High mobility
group box 1 (HMGB1) is a novel late mediator of sepsis, which is specifically
involved in endotoxin-induced acute lung injury and sepsis-associated lethality.
Although the role of HMGB1 in endothelial cell cytoskeletal rearrangement and
vascular permeability have been investigated preliminarily, the molecular
mechanisms remain to be fully elucidated. As the ras-related C3 botulinum toxin
substrate 1 (Rac1) gene is important role in regulating microvascular barrier
maintenance, the present study was designed to determine whether Rac1 is involved
in HMGB1-induced hyperpermeability in pulmonary microvascular endothelial cells
(PMVECs). The results of the present study demonstrated that HMGB1 induced dose
and time-dependent decreases in transendothelial electrical resistance (TER).
Notably, HMGB1 induced a dose-dependent increase in the activity and expression
levels of Rac1. Using small interfering RNA and an agonist of Rac1, the present
study demonstrated that Rac1 was a novel factor mediating the HMGB1-induced
decrease in TER via extracellular signal-regulated kinase and p38 mitogen
activated protein kinase (MAPK) activation. These data suggested that Rac1 is
involved in HMGB1-induced hyperpermeability in PMVECs via MAPK signal
transduction.
PMID- 26549373
TI - Transaortic transcatheter aortic valve implantation using the Lotus valve: first
in-man experience.
AB - AIMS: To demonstrate the feasibility of implanting the Lotus second-generation
transcatheter heart valve (THV) (designed for a transfemoral procedure) via a
transaortic approach. METHODS AND RESULTS: We describe a case with severe aortic
stenosis in the presence of small calibre and calcified femoral access and severe
chronic obstructive pulmonary disease. The transaortic approach was the ideal
approach for this patient and we successfully implanted a 25 mm Lotus valve
without any complication. CONCLUSIONS: The transaortic access is a feasible and
safe alternative in patients who have suboptimal iliofemoral conduits and who
will benefit from the unique features of the Lotus THV.
PMID- 26549374
TI - Incidence and potential mechanism of resolved, persistent and newly acquired
malapposition three days after implantation of self-expanding or balloon
expandable stents in a STEMI population: insights from optical coherence
tomography in the APPOSITION II study.
AB - AIMS: The aim of the current study was to investigate the frequency and
mechanisms of sequential incomplete stent apposition (ISA) changes such as
persistent, resolved or newly acquired ISA during the first three days after
primary PCI (pPCI) in a matched segment-level analysis, with the comparison
between self-expanding and balloon-expandable stents assessed by optical
coherence tomography (OCT). METHODS AND RESULTS: The current analysis is a
substudy of the APPOSITION II study that included 69 patients (self-expanding:
35, balloon-expandable: 34) using serial optical coherence tomography (OCT) post
procedure and three days after pPCI. In order to evaluate a temporal change in
ISA, stented regions were segmented using fiduciary landmarks. In a total of 228
corresponding segments, persistent and newly acquired ISA were less frequently
observed in self-expanding stents than in balloon-expandable stents (11.5% vs.
33.9%, p<0.01, 2.7% vs. 14.8%, p<0.01, respectively). New appearances of ISA were
caused by tissue resorption, vasorelaxation and "early" recoil in balloon
expandable stents, and only tissue resorption in self-expanding stents.
CONCLUSIONS: Three days after pPCI, tissue resorption and vasorelaxation were
biological factors associated with new appearance of ISA while "early" recoil of
balloon-expandable stents was a mechanical factor. Both persistent ISA and newly
acquired ISA occurred less frequently in self-expanding stents, resulting in a
low number of ISA segments compared to balloon-expandable stents. Clinical Trials
Registration Information: Randomised Comparison Between the STENTYS Self
expanding Coronary Stent and a Balloon-expandable Stent in Acute Myocardial
Infarction (APPOSITION II). ClinicalTrials.gov Identifier: NCT01008085
PMID- 26549375
TI - First-in-man transcatheter mitral valve-in-ring implantation with a
repositionable and retrievable aortic valve prosthesis.
AB - AIMS: Transcatheter interventions with balloon-expandable valves have been shown
to be efficacious for the treatment of mitral annuloplasty failure but are
limited by the fact that there is no opportunity for post-implantation
adjustment. The aim of this study was to assess the safety and efficacy of the
fully repositionable and retrievable Direct Flow Medical (DFM) valve for the
treatment of mitral annuloplasty failure. METHODS AND RESULTS: Patients who
underwent transcatheter mitral valve-in-ring (VIR) implantation of a DFM valve
for failed mitral annuloplasty deemed high risk for redo surgery were included at
four institutions. Eight patients underwent transcatheter mitral VIR procedures
with implantation of the DFM valve. The DFM prosthesis was successfully
positioned in all patients. Two patients required retrieval of the device due to
a suboptimal result, and a further patient required repositioning of the valve
with an ultimately successful implantation. During the 30-day follow-up period,
two patients died for reasons unrelated to the valve implantation. The four
patients with successful implantation had normal valve function associated with a
significant improvement in their functional status. CONCLUSIONS: For the first
time, we demonstrate the safety, efficacy and advantages of using the DFM
prosthesis for the treatment of mitral annuloplasty failure.
PMID- 26549376
TI - Natural vs. experimental hosts: The peculiar case of Taenia asiatica.
PMID- 26549377
TI - Planar Dy3 + Dy3 clusters: design, structure and axial ligand perturbed magnetic
dynamics.
AB - Two unique Dy6 complexes with fascinating Dy3 + Dy3 structures were assembled,
showing single-molecule magnetic behavior with high energy barriers of 116 and
181 K for Dy6-NO3 and Dy6-SCN.
PMID- 26549378
TI - Functional characterization of recombinant human granulocyte colony stimulating
factor (hGMCSF) immobilized onto silica nanoparticles.
AB - OBJECTIVES: Granulocyte macrophage colony stimulating factor (GMCSF), an
important therapeutic cytokine, was immobilized onto silica nanoparticles.
Maintenance of structural integrity and biological performance in immobilized
cytokine was assessed to augment its applicability in possible biomedical
implications. RESULTS: Following its cloning and expression in E. coli, the
recombinant human GMCSF (hGMCSF) was purified as a GST-tagged protein
corresponding to a 42 kDa band on SDS-PAGE. The purified cytokine was immobilized
onto biocompatible silica nanoparticles (~129.4 nm) by adsorption and the binding
was confirmed by dynamic light scattering and infrared spectroscopy. Maximum
binding of hGMCSF was at 6.4 ug mg(-1) silica nanoparticles. Efficient release of
the cytokine from the nanoparticles with its structural integrity intact was
deduced from circular dichroism spectroscopy. hGMCSF-immobilized silica
nanoparticles efficiently increased the proliferation of RAW 264.7 macrophage
cells with 50 % increase in proliferation at 600 ng hGMCSF ug(-1) silica
nanoparticles. CONCLUSIONS: Silica nanoparticles successfully immobilized hGMCSF
maintaining its structural integrity. The release of the immobilized cytokine
from silica nanoparticles resulted in the increased proliferation of macrophages
indicating the potential of the system in future applications.
PMID- 26549379
TI - Caffeine intake in pregnancy: Relationship between internal intake and effect on
birth weight.
AB - We used a physiologically based kinetic model to simulate caffeine blood
concentration-time profiles in non-pregnant and pregnant women. The model
predicted concentration-time profile was in good accordance with experimental
values. With 200 mg, the safe dose per occasion in non-pregnant women, AUC and
peak concentration in pregnant women were nearly twice that of non-pregnant
women. In order to derive a safe dose for the pregnant women we estimated the
dose in the pregnant women model taken at once which would not exceed AUC and
peak concentration in the non-pregnant women of 200 mg as single dose. The
resulting dose is 100 mg caffeine per occasion which we recommend as safe. The
caffeine dose of 200 mg per day is declared as safe for pregnant women with
respect to the foetus by EFSA based on results on reduced birth weight in
epidemiological studies. We modelled AUC and peak concentration for different
caffeine doses to investigate the relationship between internal caffeine exposure
and risk measures of reduced birth weight from epidemiological studies. The
graphical analysis revealed that the reduction in birth weight was related to AUC
and peak concentration up to a dose of 250 mg caffeine.
PMID- 26549380
TI - Airway response to sirolimus therapy for the treatment of complex pediatric
lymphatic malformations.
AB - Head and neck lymphatic malformations can create airway management challenges
requiring tracheotomy. Sirolimus, an inhibitor of mammalian target of rapamycin
(mTOR), may inhibit growth of lymphatic malformations. We describe two patients
born with large lymphatic malformations with improved airway symptoms following
sirolimus therapy. Patient #1 underwent tracheotomy and multi-modal therapy
including sirolimus with reduction in airway involvement but regrowth after
discontinuation of sirolimus. Patient #2 also experienced a significant response
to sirolimus allowing for extubation and discharge without tracheotomy. Early
initiation of sirolimus therapy should be considered as a means to avoid
tracheotomy in complex head and neck lymphatic malformations.
PMID- 26549381
TI - A 7666-bp genomic deletion is frequent in Chinese Han deaf patients with non
syndromic enlarged vestibular aqueduct but without bi-allelic SLC26A4 mutations.
AB - OBJECTIVES: To investigate the genetic cause of the patients with non-syndromic
enlarged vestibular aqueduct (EVA) but without bi-allelic SLC26A4 mutations.
METHODS: Presence of a homozygous genomic deletion was detected in a Chinese Han
deaf patient (D1467-1) who failed to amplify the first three exons of SLC26A4.
The breakpoints of the deletion were fine-mapped and revealed by PCR
amplification and sequencing. This deletion was subsequently screened in 22
Chinese Han EVA probands with mono-allelic SLC26A4 mutations. The possible
founder effect of the newly identified genomic deletion was evaluated by
haplotype analysis. RESULTS: A homozygous c.-2071_307+3801del7666 deletion of
SLC26A4 was identified in patient D1467-1. This novel genomic deletion was
subsequently identified in 18% (4/22) of the Chinese Han EVA probands with mono
allelic SLC26A4 mutations. Haplotype analysis showed that this genomic deletion
is likely a founder mutation in Chinese Hans. CONCLUSION: Our results suggested
that the cryptic c.-2071_307+3801del7666 deletion of SLC26A4 is relatively
frequent in Chinese Han non-syndromic EVA patients without bi-allelic SLC26A4
mutations. Screening of this genomic deletion should be incorporated into the
routine DNA testing of SLC26A4 in Chinese Hans.
PMID- 26549382
TI - Combination of cytokine-induced killer and dendritic cells pulsed with antigenic
alpha-1,3-galactosyl epitope-enhanced lymphoma cell membrane for effective B-cell
lymphoma immunotherapy.
AB - BACKGROUND AIMS: Refractory B-cell lymphomas are difficult to successfully treat
with current chemotherapeutic regimens; however, immunotherapy may be an
effective form of treatment for these patients. METHODS: Fourteen refractory
lymphoma patients (age, 29-74 y) were enrolled in the trial. alpha-1,3-galactosyl
(alpha-Gal) epitopes were synthesized on lymphoma cell membranes with the use of
bovine recombinant alpha-1,3-galactosyltransferase (alpha-GT) and neuraminidase
to enhance tumor immunogenicity. Subsequent incubation of processed cell
membranes with autologous dendritic cells (DCs) in the presence of human serum
containing abundant natural anti-alpha-Gal immunoglobulin G led to the effective
phagocytosis of tumor membranes by DCs. The pulsed DCs and autologous cytokine
induced killer cells were then co-cultured to promote maximum cytotoxicity to
lymphoma cells and were infused back into the donor lymphoma patients.
Therapeutic responses were assessed by clinical observation, laboratory tests and
a computed tomography scan at 6 months after treatment. RESULTS: Complete and
partial remission occurred in four and three patients, respectively. The disease
status remained unchanged in five patients, and disease progression was observed
in two patients. No serious side effects or autoimmune diseases were observed in
any participants. Serum lactate dehydrogenase and beta2-macroglobulin decreased
in 11 and 14 patients, respectively. All patients showed robust systemic
cytotoxicity in response to tumor lysate as measured by interferon-gamma
expression in peripheral blood mononuclear cells after treatment (P < 0.001). The
number of peripheral immune effector cells (CD3(+)/CD4(+), CD8(+)/CD28(+) and
CD16(+)/CD56(+) cells) increased significantly (P < 0.05) 3 months after
treatment. CONCLUSIONS: Lymphoma cell-specific alpha-Gal immunotherapy is safe,
effective and has great potential for the treatment of refractory B-cell
lymphoma.
PMID- 26549383
TI - Evaluation of a cell-banking strategy for the production of clinical grade
mesenchymal stromal cells from Wharton's jelly.
AB - BACKGROUND AIMS: Umbilical cord (UC) has been proposed as a source of mesenchymal
stromal cells (MSCs) for use in experimental cell-based therapies provided that
its collection does not raise any risk to the donor, and, similar to bone marrow
and lipoaspirates, UC-MSCs are multipotent cells with immuno-modulative
properties. However, some of the challenges that make a broader use of UC-MSCs
difficult include the limited availability of fresh starting tissue, time
consuming processing for successful derivation of cell lines, and the lack of
information on identity, potency and genetic stability in extensively expanded UC
MSCs, which are necessary for banking relevant cell numbers for preclinical and
clinical studies. METHODS: Factors affecting the success of the derivation
process (namely, time elapsed from birth to processing and weight of fragments),
and methods for establishing a two-tiered system of Master Cell Bank and Working
Cell Bank of UC-MSCs were analyzed. RESULTS: Efficient derivation of UC-MSCs was
achieved by using UC fragments larger than 7 g that were processed within 80 h
from birth. Cells maintained their immunophenotype (being highly positive for
CD105, CD90 and CD73 markers), multi-potentiality and immuno-modulative
properties beyond 40 cumulative population doublings. No genetic abnormalities
were found, as determined by G-banding karyotype, human telomerase reverse
transcriptase activity was undetectable and no toxicity was observed in vivo
after intravenous administration of UC-MSCs in athymic rats. DISCUSSION: This
works demonstrates the feasibility of the derivation and large-scale expansion of
UC-MSCs from small and relatively old fragments of UC typically discarded from
public cord blood banking programs.
PMID- 26549384
TI - Ex vivo expansion of natural killer cells from human peripheral blood mononuclear
cells co-stimulated with anti-CD3 and anti-CD52 monoclonal antibodies.
AB - BACKGROUND AIMS: This study developed a new method to expand CD3(-)CD56(+)
natural killer (NK) cells from human peripheral blood mononuclear cells (PBMCs)
without feeder cells for clinical trials. METHODS: PBMCs from healthy subjects
were co-stimulated with anti-CD3 and anti-CD52 monoclonal antibodies and cultured
for 14 days in newly developed NKGM-1 medium containing autologous plasma and
interleukin-2. Expanded NK cells were examined for cell number, phenotype, in
vitro and in vivo cytotoxicity and interferon (IFN)-gamma secretion. We also
evaluated the proliferative ability of NK cells after cryopreservation. A patient
with advanced pancreatic cancer was treated with autologous-expanded NK cells
through the use of this method in combination with chemotherapy. RESULTS:
Expanded NK cells expressed higher levels of activating molecules compared with
resting NK cells and exhibited potent cytotoxicity against K562 cells and IFN
gamma secretion by cytokine stimulation. Significant anti-tumor activity was
observed in immunodeficient mice injected with the human pancreatic cancer cell
line BxPC-3. Large-scale cultures generated a median 5.7 * 10(9) NK cells from 20
mL of peripheral blood (n = 38) after 14 days of culture and 8.4 * 10(9) NK cells
after 18 days of culture through the use of a cryopreservation procedure. The
number of NK cells and cytotoxic activity in the peripheral blood of the patient
with pancreatic cancer greatly increased, and successful clinical responses were
observed after multiple infusions of expanded NK cells. CONCLUSIONS: These data
demonstrate that this simple and safe methodology for the ex vivo expansion of NK
cells can be used for cancer immunotherapy.
PMID- 26549385
TI - Debating LGBT Workplace Protections in the Bible Belt: Social Identities in
Legislative and Media Discourse.
AB - This article reports a case study of the legislative and media discourse
surrounding the addition of sexual orientation and gender identity language to
the employment nondiscrimination ordinance of a city in the heart of the Bible
Belt. The purpose of the study is to uncover how different identities were
constructed and contested at city council meetings and in the news media on the
way to passing legal protection for LGBT city employees in a region that is often
characterized by anti-gay prejudice. This debate over the nondiscrimination
ordinance centered on the question of whether LGBT identities are equivalent to
identity categories based on race, gender, or religious belief, and it was shaped
by various intergroup communication dynamics, specifically between members of the
LGBT minority and the straight majority, between LGBT and Christian identities,
and between "true" and "false" Christian identities.
PMID- 26549386
TI - A systematic review of the comorbidity between Temporomandibular Disorders and
Chronic Fatigue Syndrome.
AB - The most common cause of chronic oro-facial pain is a group of disorders
collectively termed temporomandibular disorders (TMDs). Chronic painful TMD is
thought to be a 'central sensitivity syndrome' related to hypersensitivity of the
nervous system, but the cause is unknown. A similar understanding is proposed for
other unexplained conditions, including chronic fatigue syndrome (CFS). Exploring
the comorbidity of the two conditions is a valuable first step in identifying
potential common aetiological mechanisms or treatment targets. METHOD: Systematic
literature review. Studies were included if they recruited community or control
samples and identified how many reported having both TMD and CFS, or if they
recruited a sample of patients with either TMD or CFS and measured the presence
of the other condition. RESULTS: Six papers met inclusion criteria. In studies of
patients with CFS (n = 3), 21-32% reported having TMD. In a sample of people with
CFS and fibromyalgia, 50% reported having TMD. Studies in people with TMD (n = 3)
reported 0-43% having CFS. Studies in samples recruited from oro-facial pain
clinics (n = 2) reported a lower comorbidity with CFS (0-10%) than a study that
recruited individuals from a TMD self-help organisation (43%). CONCLUSION: The
review highlights the limited standard of evidence addressing the comorbidity
between oro-facial pain and CFS. There is a valuable signal that the potential
overlap in these two conditions could be high; however, studies employing more
rigorous methodology including standardised clinical assessments rather than self
report of prior diagnosis are needed.
PMID- 26549387
TI - Recreational Anabolic-Androgenic Steroid Use Associated With Liver Injuries Among
Brazilian Young Men.
AB - BACKGROUND: The recreational use of anabolic-androgenic steroids (AAS) has
reached alarming levels among healthy people. However, several complications have
been related to consumption of these drugs, including liver disorders. OBJECTIVE:
To evaluate the prevalence of liver injuries in young Brazilian recreational AAS
users. METHODS: Between February/2007 and May/2012 asymptomatic bodybuilders who
were >=18 years old and reported AAS use for >=6 months were enrolled. All had
clinical evaluations, abdominal ultrasound (AUS), and blood tests. RESULTS: 182
individuals were included in the study. The median age (interquartile range) was
26.0 years (22.0-30.0) and all were male. Elevated liver enzyme levels were
observed in 38.5% (n = 70) of AAS users, and creatine phosphokinase was normal in
27.1% (n = 19) of them. Hepatic steatosis was observed by AUS in 12.1% of the
sample. One individual had focal nodular hyperplasia and another had
hepatocellular adenoma. One case each of hepatitis B and C virus infection was
found. A diagnosis of toxic liver injury was suggested in 23 (12.6%) AAS users
without a history of alcohol or other medications/drugs consumption, or evidence
of other liver diseases. CONCLUSIONS/IMPORTANCE: Young Brazilian recreational AAS
users presented a wide spectrum of liver injuries that included hepatotoxicity,
fatty liver, and liver neoplasm. They also presented risk factors for liver
diseases such as alcohol consumption and hepatitis B and C virus infection. The
results suggest that the risk of AAS use for the liver may be greater than the
esthetic benefits, and demonstrate the importance of screening AAS users for
liver injuries.
PMID- 26549388
TI - Difficulty interpreting the results of some trials: the case of therapeutic
hypothermia after pediatric cardiac arrest.
PMID- 26549389
TI - Clinical Outcome After Permanent Pacemaker Implantation in Patients With a High
Percentage of Ventricular Pacing.
AB - Previous reports have suggested that right ventricular apical pacing may lead to
cardiac dysfunction. Septal pacing is thought to be superior to apical pacing in
the prevention of cardiac dyssynchrony, however, there have been no reports on
the contribution of septal pacing to improving clinical outcome.We
retrospectively evaluated factors associated with cardiac events in patients with
right ventricular pacing.The study population consisted of 256 consecutive
patients newly implanted with permanent pacemakers and followed-up for 29 +/- 18
months. Cardiac events, consisting of cardiac death or heart failure requiring
hospitalization, occurred in 22 patients. Kaplan-Meier curves revealed that
patients with a high percentage of ventricular pacing (> 90%, n = 101, group H)
had a higher incidence of cardiac events than patients with a low percentage of
ventricular pacing (< 10%, n = 83, group L) (P = 0.002). In group H, multivariate
analysis showed that age (HR: 1.174, 95%CI: 1.066-1.291, P = 0.001), ejection
fraction (EF) (HR: 0.898, 95%CI: 0.836-0.964, P = 0.003), QRS duration during
cardiac pacing (HR: 1.059, 95%CI: 1.017-1.103, P = 0.006), and existing basal
cardiac diseases (HR: 13.080, 95%CI: 2.463-69.479, P = 0.003) were significant
predictors of cardiac events, although pacing site had no significant association
with prognosis (P = 0.56).Higher age, lower EF, longer QRS duration during
cardiac pacing, and existing basal cardiac diseases are associated with poor
prognosis in patients with a high percentage of ventricular pacing.
PMID- 26549390
TI - Correlation Between Arteriosclerosis and Periodontal Condition Assessed by
Lactoferrin and alpha1-Antitrypsin Levels in Gingival Crevicular Fluid.
AB - Patients with periodontal disease exhibit exacerbated atherosclerosis, aortic
stiffness, or vascular endothelial dysfunction. However, in a recent scientific
statement, the American Heart Association noted that neither has periodontal
disease been proven to cause atherosclerotic vascular disease nor has the
treatment of periodontal disease been proven to prevent atherosclerotic vascular
disease. Therefore, the aim of the present study was to examine the correlation
between periodontal condition and arteriosclerosis in patients with coronary
artery disease (CAD), which is usually accompanied by systemic
arteriosclerosis.We measured levels of gingival crevicular fluid lactoferrin (GCF
Lf) and alpha1-antitrypsin (GCF-AT) in 72 patients (67 +/- 8 years, 56 men) with
CAD. Furthermore, we evaluated the maximum intima-media thickness (max IMT) and
plaque score of the carotid arteries as well as brachial-ankle pulse wave
velocity (baPWV) and flow-mediated dilation (FMD) of the brachial artery, each of
which is a parameter for determining arteriosclerosis status. The average level
of GCF-Lf was 0.29 +/- 0.36 ug/mL and that of GCF-AT was 0.31 +/- 0.66 ug/mL,
with significant correlation between the two (r = 0.701, P < 0.001). No
significant difference in GCF-Lf and GCF-AT levels was observed between patients
with single-, double-, and triple-vessel CAD. There were no significant
correlations between the arteriosclerosis parameters (ie, max IMT, plaque score,
baPWV, and FMD) and GCF-Lf or GCF-AT.No correlation between the GCF biomarkers
and the severity of arteriosclerosis was detected. This result may suggest that
worsening of the periodontal condition assessed by GCF biomarkers is not a major
potential risk factor for arteriosclerosis.
PMID- 26549391
TI - Next Generation ARBs. Going Beyond Modulation of the Renin-Angiotensin System.
PMID- 26549392
TI - Mid-Term Administration of Tolvaptan Improves Renal Function Accompanied by Dose
Reduction in Furosemide in Aquaporin-Defined Responders.
PMID- 26549393
TI - Physical Activity. A Useful Marker for Cardiac Rehabilitation?
PMID- 26549394
TI - Work-Related Psychosocial Hazards and Arteriosclerosis.
AB - The association of psychosocial stress with cardiovascular disease (CVD) is still
inconclusive. The aim of this study was to examine the relationships between
arteriosclerosis and various work-related conditions among medical employees with
various job titles.A total of 576 medical employees of a regional hospital in
Taiwan with a mean age of 43 years and female gender dominance (85%) were
enrolled. Arteriosclerosis was evaluated by brachial-ankle pulse wave velocity
(baPWV). Workrelated conditions included job demands, job control, social
support, shift work, work hours, sleep duration, and mental health. The crude
relationship between each of the selected covariates and baPWV was indicated by
Spearman correlation coefficients. A multiple linear regression model was further
employed to estimate the adjusted associations of selected covariates with
arteriosclerosis.The mean baPWV of participants was 11.4 +/- 2.2 m/s, with the
value for males being significantly higher than that for females. The baPWV was
associated with gender, age, medical profession, work hours, work type,
depression, body mass index, systolic and diastolic blood pressures, fasting
glucose, and cholesterol. After being fully adjusted by these factors, only sleep
duration of less than 6 hours and weekly work hours longer than 60 hours were
significantly associated with increased risk of arteriosclerosis. The conditions
of job demands, job control, social support, shift work, and depression showed no
significant association with baPWV.Longer work hours and shorter sleep durations
were associated with an increased risk of arteriosclerosis. These findings should
make it easier for the employer or government to stipulate rational work hours in
order to avoid the development of cardiovascular disease among their employees.
PMID- 26549395
TI - Effects of Phase II Comprehensive Cardiac Rehabilitation on Coronary Plaque
Volume After Acute Coronary Syndrome.
AB - The present study aimed to determine the effects of phase II (PII) comprehensive
cardiac rehabilitation (CR) on coronary plaque volume in patients after acute
coronary syndrome (ACS).We assigned 46 patients with ACS who had undergone
standard phase I CR into groups who proceeded with PII-CR (PII-CR; n = 21) and
those who did not (non-PII-CR; n = 25). We then measured anthropometric
parameters and daily physical activity using a pedometer for up to 60 days. The
isokinetic strength of the knee extensor and flexor muscles and exercise
tolerance were tested and non-culprit lesions were analyzed using volumetric
intravascular ultrasound at baseline and 6 months later.Baseline characteristics
did not significantly differ between the two groups and exercise tolerance was
significantly improved in both. Waist size and fat weight were significantly
decreased, and muscle strength was significantly increased in the PII-CR group
but not in the non-PII-CR group. The percent change in plaque volume (primary
endpoint) did not differ significantly between the two groups. The percent change
in plaque volume was significantly and negatively correlated with daily physical
activity.Although risk factors, muscle strength, and exercise tolerance were
improved by PII-CR, plaque regression did not differ significantly between the
two study groups. A significant correlation between percent change in coronary
plaque volume and physical activity was observed. A comprehensive phase II-CR,
including frequent supervised exercise sessions and a program encouraging an
increase in daily physical activity, may reduce plaque volume in patients after
ACS (UMIN000006038).
PMID- 26549396
TI - A Case of Adult-Onset Acute Rheumatic Fever With Long-Lasting Atrioventricular
Block Requiring Permanent Pacemaker Implantation.
AB - A 45-year-old hypertensive Japanese woman presented with epigastric pain on
inspiration, fever, complete atrioventricular block and polyarthritis. Her
antistreptolysin O levels were markedly elevated. A diagnosis of rheumatic fever
was made according to the modified Jones criteria. She was prescribed loxoprofen
sodium, which was partially effective for her extracardiac clinical symptoms.
However, she had syncope due to complete atrioventricular block with asystole
longer than 10 seconds. Consequently, we implanted a permanent pacemaker.
Although we prescribed prednisolone, the efficacy of which was limited for the
patient's conduction disturbance, the complete atrioventricular block persisted.
In our systematic review of 12 similar cases, the duration of complete heart
block was always transient and there was no case requiring a permanent pacemaker.
We thus encountered a very rare case of adult-onset acute rheumatic fever with
persistent complete atrioventricular block necessitating permanent pacemaker
implantation.
PMID- 26549397
TI - Diverse Findings in Calcified Thrombus Between Histopathology and In Vivo Imaging
Including Intravascular Ultrasound, Optical Coherence Tomography, and Angioscopy.
AB - A 46-year-old woman on hemodialysis due to end-stage renal disease was admitted
for repeated thrombus formation in previously implanted drug-eluting stents in
the right coronary artery. We could successfully aspirate this thrombus, and
histopathology revealed a calcified thrombus comprising multiple
microcalcifications and fibrinous materials. This is the first report showing how
a calcified thrombus is visualized in vivo by intracoronary imaging modalities
including intravascular ultrasound, optical coherence tomography, and angioscopy.
PMID- 26549398
TI - Osteoprotegerin is Associated With Endothelial Function and Predicts Early
Carotid Atherosclerosis in Patients With Coronary Artery Disease.
AB - Osteoprotegerin (OPG) is a soluble glycoprotein belonging to the tumor necrosis
factor receptor superfamily and is linked to vascular atherosclerosis and
calcification. The carotid intima-media thickness (CIMT) correlates with carotid
atherosclerosis and is a significant predictor of cardiovascular events. The OPG
levels are associated with the CIMT in coronary artery disease (CAD) patients.
However, the pathophysiological mechanisms underlying this pathway remain
unclear. We investigated 114 CAD patients (89 men, 25 women; mean age: 68.7 +/-
10.3 years) and measured the Gensini score (a marker of the extent of coronary
atherosclerosis), the mean CIMT and the plasma levels of OPG and asymmetric
dimethylarginine (ADMA; a marker of endothelial function). Early carotid
atherosclerosis was defined as a mean CIMT > 1.0 mm. Only 33 of the 114 patients
(28.9%) had early carotid atherosclerosis. Patients with early carotid
atherosclerosis had higher OPG levels than those without. The OPG levels were
found to be significantly associated with ADMA (r = 0.191, P = 0.046) and the
mean CIMT (r = 0.319, P = 0.001), but not with the Gensini score. A receiver
operating curve analysis revealed the optimal cut-off value of the OPG levels for
predicting early carotid atherosclerosis to be 100 pmol/L. A multivariate
logistic regression analysis showed OPG >= 100 pmol/L to be significantly and
independently associated with early carotid atherosclerosis (odds ratio: 2.98,
95% confidence interval: 1.22-7.20, P = 0.017). These data indicate that OPG is
significantly associated with endothelial function and predicts early carotid
atherosclerosis in patients with CAD.
PMID- 26549399
TI - Effect of a Low-Intensity Pulsed Ultrasound Device, SX-1001, on Clinical Symptoms
in Buerger Disease With Limb Ischemia.
AB - Buerger disease is a rare disease of unknown etiology and cannot be treated by
bypass surgery or percutaneous re-endovascularization. Although the need for
effective limb ischemia prevention strategies is increasingly being recognized,
effective preventative strategies are insufficient. The aim of this study using a
new pulsed ultrasound device, SX-1001, is to determine whether treatment using SX
1001 can mitigate rest pain and improve blood supply to ischemic legs in patients
with Buerger disease. This study is a multicenter, double-blinded, parallel
randomized clinical trial testing the efficacy and safety of SX-1001. Treatment
using SX-1001 is expected to result in reduction of the visual analog scale score
for pain in Buerger disease patients who have Fontaine stage III. A total of 44
patients from 20 hospitals in Japan will be enrolled. The primary endpoint of the
trial is a change in rest pain intensity on the visual analog scale score from
baseline to 24 weeks. This trial will be the first to show the safety and
efficacy of low-intensity pulsed ultrasound using SX-1001 for clinical symptoms
in patients with Buerger disease. Low-intensity pulsed ultrasound may be a new
therapy for limb ischemia. Ethical approval has been obtained from each of the
participating institutes. Study findings will be disseminated through peer
reviewed journals and at scientific conferences.This study is registered at UMIN
Clinical Trial Registry (UMIN000014757).
PMID- 26549400
TI - The natural compound codonolactone attenuates TGF-beta1-mediated epithelial-to
mesenchymal transition and motility of breast cancer cells.
AB - Codonolactone (CLT), a natural product, is the major bioactive component of
Atractylodes lancea, and also found in a range of other medical herbs, such as
Codonopsis pilosula, Chloranthus henryi Hemsl and Atractylodes macrocephala
Koidz. This sesquiterpene lactone has been demonstrated to exhibit a range of
activities, including anti-allergic activity, anti-inflammatory, anticancer,
gastroprotective and neuroprotective activity. Previously, we found that CLT
showed significant anti-metastatic properties in vitro and in vivo. In order to
determine whether EMT-involved mechanisms contribute to the anti-metastatic
effects of CLT, we checked the anti-EMT properties of CLT and its potential
mechanisms. Here it was demonstrated that CLT inhibited TGF-beta1-induced
epithelial-mesenchymal transition (EMT) in vitro and in vivo. Furthermore,
downregulation of TGF-beta signaling was associated with the anti-EMT properties
of CLT. Data from western blotting showed that, in breast cancer cells, TGF-beta1
stimulated the activation of Runx2, and CLT blocked the activation of Runx2.
Finally, to verify whether CLT-induced EMT inhibition leads to suppression of
metastatic potential, the effects of CLT on cell invasion and migration were
determined. It was found that TGF-beta1-induced migration and invasion was
significantly blocked by CLT in both MDA-MB-231 and MDA-MB-468 cells.
Collectively, our findings demonstrated that CLT inhibited programming of EMT in
vitro and in vivo, resulting in inhibition of motility of metastatic breast
cancer cells. The inhibitory effect of CLT was due to its ability to inhibit TGF
beta signaling and Runx2 phosphorylation.
PMID- 26549402
TI - Esomeprazole and rabeprazole did not reduce antiplatelet effects of
aspirin/clopidogrel dual therapy in patients undergoing percutaneous coronary
intervention: a prospective, randomized, case-control study.
AB - OBJECTIVES: Controversy has been prompted based on drug interaction between
proton pump inhibitors (PPIs) and aspirin/clopidogrel leading to weakened
effects. However, whether such interaction was drug-specific or class effect
remains controversial. This study predicted the impact of esomeprazole and
rabeprazole on efficacy of dual antiplatelet therapy (DAPT). METHODS: This study,
involving 150 patients, evaluated the efficacy of DAPT upon concomitant use of
esomeprazole (40 mg/d) or rabeprazole (20 mg/d). Platelet reactivity was assessed
by value of ADP-induced light transmittance aggregometry (LTA) and vasodilator
stimulated phosphoprotein phosphorylation-platelet reactivity index (VASP-PRI) at
day 1, day 3 and day 30 end points after initiation of DAPT. RESULTS: No
significance were observed by post-hoc analysis of treatment-by-period
interaction in LTA value and VASP-PRI value when compared with non-PPI users,
which suggests no carryover effect in both PPIs over the 30-day treatment period.
Moreover, no statistical differences was in LTA or VASP-PRI value in esomeprazole
group while rabeprazole group showed decreased in antiplatelet function of DAPT
at the day 3 and day 30 end points. CONCLUSION: Although antiplatelet effect of
DAPT were not affected upon concomitant use of both PPIs over the 30-day
treatment period, esomeprazole exerts much more stable impact on antiplatelet
effect than rabeprazole among respective end points.
PMID- 26549403
TI - Multilayered dense collagen-silk fibroin hybrid: a platform for mesenchymal stem
cell differentiation towards chondrogenic and osteogenic lineages.
AB - Type I collagen is a major structural and functional protein in connective
tissues. However, collagen gels exhibit unstable geometrical properties, arising
from extensive cell-mediated contraction. In an effort to stabilize collagen
based hydrogels, plastic compression was used to hybridize dense collagen (DC)
with electrospun silk fibroin (SF) mats, generating multilayered DC-SF-DC
constructs. Seeded mesenchymal stem cell (MSC)-mediated DC-SF-DC contraction, as
well as growth and differentiation under chondrogenic and osteogenic supplements,
were compared to those seeded in DC and on SF alone. The incorporation of SF
within DC prevented extensive cell-mediated collagen gel contraction. The effect
of the multilayered hybrid on MSC remodelling capacity was also evident at the
transcription level, where the expression of matrix metalloproteinases and their
inhibitor (MMP1, MMP2, MMP3, MMP13 and Timp1) by MSCs within DC-SF-DC were
comparable to those on SF and significantly downregulated in comparison to DC,
except for Timp1. Chondrogenic supplements stimulated extracellular matrix
production within the construct, stabilizing its multilayered structure and
promoting MSC chondrogenic differentiation, as indicated by the upregulation of
the genes Col2a1 and Agg and the production of collagen type II. In osteogenic
medium there was an upregulation in ALP and OP along with the presence of an
apatitic phase, indicating MSC osteoblastic differentiation and matrix
mineralization. In sum, these results have implications on the modulation of
three-dimensional collagen-based gel structural stability and on the stimulation
and maintenance of the MSC committed phenotype inherent to the in vitro formation
of chondral tissue and bone, as well as on potential multilayered complex
tissues. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26549401
TI - A preclinical evaluation of an autologous living hyaline-like cartilaginous graft
for articular cartilage repair: a pilot study.
AB - In this pilot study, an autologous synthetic scaffold-free construct with hyaline
quality, termed living hyaline cartilaginous graft (LhCG), was applied for
treating cartilage lesions. Implantation of autologous LhCG was done at load
bearing regions of the knees in skeletally mature mini-pigs for 6 months. Over
the course of this study, significant radiographical improvement in LhCG treated
sites was observed via magnetic resonance imaging. Furthermore, macroscopic
repair was effected by LhCG at endpoint. Microscopic inspection revealed that
LhCG engraftment restored cartilage thickness, promoted integration with
surrounding native cartilage, produced abundant cartilage-specific matrix
molecules, and re-established an intact superficial tangential zone. Importantly,
the repair efficacy of LhCG was quantitatively shown to be comparable to native,
unaffected cartilage in terms of biochemical composition and biomechanical
properties. There were no complications related to the donor site of cartilage
biopsy. Collectively, these results imply that LhCG engraftment may be a viable
approach for articular cartilage repair.
PMID- 26549404
TI - Therapist activities preceding setbacks in the assimilation process.
AB - OBJECTIVE: This study examined the therapist activities immediately preceding
assimilation setbacks in the treatment of a good-outcome client treated with
linguistic therapy of evaluation (LTE). METHOD: Setbacks (N = 105) were defined
as decreases of one or more assimilation stages from one passage to the next
dealing with the same theme. The therapist activities immediately preceding those
setbacks were classified using two kinds of codes: (a) therapist interventions
and (b) positions the therapist took toward the client's internal voices.
RESULTS: Preceding setbacks to early assimilation stages, where the problem was
unformulated, the therapist was more often actively listening, and the setbacks
were more often attributable to pushing a theme beyond the client's working zone.
Preceding setbacks to later assimilation stages, where the problem was at least
formulated, the therapist was more likely to be directing clients to consider
alternatives, following the LTE agenda, and setbacks were more often attributable
to the client following these directives shifting attention to less assimilated
(but nevertheless formulated) aspects of the problem. CONCLUSIONS: At least in
this case, setbacks followed systematically different therapist activities
depending on the problem's stage of assimilation. Possible implications for the
assimilation model's account of setbacks and for practice are discussed.
PMID- 26549405
TI - A review of manual wheelchairs.
AB - PURPOSE: To review the scientific literature published in the last 14 years on
the different types of manual wheelchairs. METHOD: A systematic review of the
literature was conducted to find the recent research on manual wheelchairs.
RESULTS: The findings of 77 references on pushrim-propelled wheelchairs, crank
propelled wheelchairs, lever-propelled wheelchairs, geared manual wheelchairs and
pushrim-activated power-assist wheelchairs are reported. CONCLUSION: The pushrim
propelled wheelchair is light, easy to steer and has good indoor manoeuvrability
but is very inefficient and causes serious upper body overloading so that long
term use leads to steadily deteriorating capability for the user and ultimately a
transition to a powered chair. Whilst the latter is less physically demanding,
the sedentary lifestyle and decreasing muscle use lead to several secondary
health problems. Crank- and lever-propelled wheelchairs and geared pushrim
wheelchairs are more efficient and less demanding and may improve the quality of
life of the user by expanding the range of accessible environments, reducing
upper body pain, increasing independence and avoiding or delaying the
'debilitating cycle'. However, wheelchairs with these alternative modes of
propulsion are often heavier, wider and/or longer and are less easy to steer,
brake and fold than the pushrim wheelchair. Implications for rehabilitation
Pushrim-propelled wheelchairs are difficult to drive on outdoor paths (grass and
gravel/sand surfaces) and ramps so that users are confined to restricted
environments and have limited participation in everyday activities. The
repetitive strain imposed on the upper body by pushrim propulsion leads to very
high prevalence of shoulder and wrist pain in manual wheelchair users. Crank
propelled and lever-propelled wheelchairs are more efficient and less straining
than pushrim propelled wheelchairs, allowing users to access more challenging
environments, prolong independence and improve the quality of life.
PMID- 26549407
TI - Quality of life and mental health among women with ovarian cancer: examining the
role of emotional and instrumental social support seeking.
AB - The purpose of the present study was to examine the role of emotional and
instrumental social support seeking in the quality of life (QOL) and mental
health of women with ovarian cancer. Participants were recruited through the
Pennsylvania Cancer Registry, and one hundred women took part in a mail
questionnaire that collected information on their demographics, medical status,
social support seeking, QOL and mental health including anxiety, depression and
stress. Hierarchical linear regression analyses were conducted to assess the
influence of emotional and instrumental social support seeking on QOL and mental
health. After controlling for remission status, greater emotional social support
seeking was predictive of higher overall QOL, social/family QOL, functional QOL
and lower depression scores. Instrumental social support seeking was not
significant in the models. The results illustrate that social support seeking as
a coping mechanism is an important consideration in the QOL and mental health of
women with ovarian cancer. Future studies should examine the psychological and
behavioral mediators of the relationship to further understand the QOL and mental
health of women with ovarian cancer.
PMID- 26549406
TI - Impact of Visual Impairment and Eye diseases on Mortality: the Singapore Malay
Eye Study (SiMES).
AB - We investigated the relationship of visual impairment (VI) and age-related eye
diseases with mortality in a prospective, population-based cohort study of 3,280
Malay adults aged 40-80 years between 2004-2006. Participants underwent a full
ophthalmic examination and standardized lens and fundus photographic grading.
Visual acuity was measured using logMAR chart. VI was defined as presenting (PVA)
and best-corrected (BCVA) visual acuity worse than 0.30 logMAR in the better
seeing eye. Participants were linked with mortality records until 2012. During
follow-up (median 7.24 years), 398 (12.2%) persons died. In Cox proportional
hazards models adjusting for relevant factors, participants with VI (PVA) had
higher all-cause mortality (hazard ratio[HR], 1.57; 95% confidence interval[CI],
1.25-1.96) and cardiovascular (CVD) mortality (HR 1.75; 95% CI, 1.24-2.49) than
participants without. Diabetic retinopathy (DR) was associated with increased all
cause (HR 1.70; 95% CI, 1.25-2.36) and CVD mortality (HR 1.57; 95% CI, 1.05
2.43). Retinal vein occlusion (RVO) was associated with increased CVD mortality
(HR 3.14; 95% CI, 1.26-7.73). No significant associations were observed between
cataract, glaucoma and age-related macular degeneration with mortality. We
conclude that persons with VI were more likely to die than persons without. DR
and RVO are markers of CVD mortality.
PMID- 26549408
TI - Exploring the interaction between human focal adhesion kinase and inhibitors: a
molecular dynamic simulation and free energy calculations.
AB - Focal adhesion kinase is an important target for the treatment of many kinds of
cancers. Inhibitors of FAK are proposed to be the anticancer agents for multiple
tumors. The interaction characteristic between FAK and its inhibitors is crucial
to develop new inhibitors. In the present article, we used Molecular Dynamic (MD)
simulation method to explore the characteristic of interaction between FAK and
three inhibitors (PHM16, TAE226, and ligand3). The MD simulation results together
with MM-GB/SA calculations show that the combinations are enthalpy-driven
process. Cys502 and Asp564 are both essential residues due to the hydrogen bond
interactions with inhibitors, which was in good agreement with experimental data.
Glu500 can form a non-classical hydrogen bond with each inhibitor. Arg426 can
form electrostatic interactions with PHM16 and ligand3, while weaker with TAE226.
The electronic static potential was employed, and we found that the ortho
position methoxy of TAE226 has a weaker negative charge than the meta-position
one in PHM16 or ligand3. Ile428, Val436, Ala452, Val484, Leu501, Glu505, Glu506,
Leu553, Gly563 Leu567, Ser568 are all crucial residues in hydrophobic
interactions. The key residues in this work will be available for further
inhibitor design of FAK and also give assistance to further research of cancer.
PMID- 26549409
TI - Quantum Metrology: Surpassing the shot-noise limit with Dzyaloshinskii-Moriya
interaction.
AB - Entanglement is at the heart of quantum technologies such as quantum information
and quantum metrology. Providing larger quantum Fisher information (QFI),
entangled systems can be better resources than separable systems in quantum
metrology. However the effects on the entanglement dynamics such as decoherence
usually decrease the QFI considerably. On the other hand, Dzyaloshinskii-Moriya
(DM) interaction has been shown to excite entanglement. Since an increase in
entanglement does not imply an increase in QFI, and also there are cases where
QFI decreases as entanglement increases, it is interesting to study the influence
of DM interaction on quantum metrology. In this work, we study the QFI of thermal
entanglement of two-qubit and three-qubit Heisenberg models with respect to SU(2)
rotations. We show that even at high temperatures, DM interaction excites QFI of
both ferromagnetic and antiferromagnetic models. We also show that QFI of the
ferromagnetic model of two qubits can surpass the shot-noise limit of the
separable states, while QFI of the antiferromagnetic model in consideration can
only approach to the shot-noise limit. Our results open new insights in quantum
metrology with Heisenberg models.
PMID- 26549410
TI - An analysis of caregiver burden of patients with hemodialysis and peritoneal
dialysis.
AB - Since caregivers have roles and responsibilities in all phases from the diagnosis
of the disease to discharge and homecare, their care burdens increase. The
problems experienced by caregivers, whose care burden increase and accordingly
whose life quality is deteriorated, complicate the treatment-receiving patient's
adaptation to the disease. This study was performed to determine the burden to
primary caregivers of patients undergoing dialysis. This descriptive study was
conducted with the family caregivers of 114 patients from Erzurum Ataturk
University's Medical Faculty Nephrology Department: 54 were relatives of patients
receiving hemodialysis and 60 were relatives of patients receiving peritoneal
dialysis during August to December 2014. The percentage of the patients with low
levels of caregiver burden is 13% in the hemodialysis group, while it is 35% in
the peritoneal dialysis group. These findings are statistically significant. To
conclude, chronic diseases affect not only patients, but also their relatives who
care for them. Nursing care needs to include both patients and their relatives
and support them. It is hoped that this study will guide nursing care in this
direction.
PMID- 26549412
TI - Chronic critical illness: the price of survival.
AB - BACKGROUND: The evolution of the techniques used in the intensive care setting
over the past decades has led on one side to better survival rates in patients
with acute conditions and severely impaired vital functions. On the other side,
it has resulted in a growing number of patients who survive an acute event, but
who then become dependent on one or more life support techniques. Such patients
are called chronically critically ill patients. MATERIALS & METHODS: No absolute
definition of the disease is currently available, although most patients are
characterized by the need for prolonged mechanical ventilation. Mortality rates
are still high even after dismissal from intensive care unit (ICU) and transfer
to specialized rehabilitation care settings. RESULTS: In recent years, some
studies have tried to clarify the pathophysiological characteristics underlying
chronic critical illness (CCI), a disease that is also characterized by severe
endocrine and inflammatory impairments, partly accounting for the almost constant
set of symptoms. DISCUSSION: Currently, no specific treatment is available.
However, a strategic early therapeutic approach on ICU admission might try to
prevent the progress of the acute disease towards chronic critical illness.
PMID- 26549411
TI - Mechanisms for the Generation of Two Quadruplications Associated with Split-Hand
Malformation.
AB - Germline copy-number variants (CNVs) involving quadruplications are rare and the
mechanisms generating them are largely unknown. Previously, we reported a 20-week
gestation fetus with split-hand malformation; clinical microarray detected two
maternally inherited triplications separated by a copy-number neutral region at
17p13.3, involving BHLHA9 and part of YWHAE. Here, we describe an 18-month-old
male sibling of the previously described fetus with split-hand malformation.
Custom high-density microarray and digital droplet PCR revealed the copy-number
gains were actually quadruplications in the mother, the fetus, and her later born
son. This quadruplication-normal-quadruplication pattern was shown to be expanded
from the triplication-normal-triplication CNV at the same loci in the maternal
grandmother. We mapped two breakpoint junctions and demonstrated that both are
mediated by Alu repetitive elements and identical in these four individuals. We
propose a three-step process combining Alu-mediated replicative-repair-based
mechanism(s) and intergenerational, intrachromosomal nonallelic homologous
recombination to generate the quadruplications in this family.
PMID- 26549414
TI - Global health and nursing and midwifery leadership.
PMID- 26549413
TI - Role of genetic mutations in folate-related enzyme genes on Male Infertility.
AB - Several studies showed that the genetic mutations in the folate-related enzyme
genes might be associated with male infertility; however, the results were still
inconsistent. We performed a meta-analysis with trial sequential analysis to
investigate the associations between the MTHFR C677T, MTHFR A1298C, MTR A2756G,
MTRR A66G mutations and the MTHFR haplotype with the risk of male infertility.
Overall, a total of 37 studies were selected. Our meta-analysis showed that the
MTHFR C677T mutation was a risk factor for male infertility in both azoospermia
and oligoasthenoteratozoospermia patients, especially in Asian population. Men
carrying the MTHFR TC haplotype were most liable to suffer infertility while
those with CC haplotype had lowest risk. On the other hand, the MTHFR A1298C
mutation was not related to male infertility. MTR A2756G and MTRR A66G were
potential candidates in the pathogenesis of male infertility, but more case
control studies were required to avoid false-positive outcomes. All of these
results were confirmed by the trial sequential analysis. Finally, our meta
analysis with trial sequential analysis proved that the genetic mutations in the
folate-related enzyme genes played a significant role in male infertility.
PMID- 26549415
TI - Isolation of culturable mycobiota from agricultural soils and determination of
tolerance to glyphosate of nontoxigenic Aspergillus section Flavi strains.
AB - Glyphosate-based herbicides are extensively used in Argentina's agricultural
system to control undesirable weeds. This study was conducted to evaluate the
culturable mycobiota [colony forming units (CFU) g(-1) and frequency of fungal
genera or species] from an agricultural field exposed to pesticides. In addition,
we evaluated the tolerance of A. oryzae and nontoxigenic A. flavus strains to
high concentrations (100 to 500 mM - 17,000 to 84,500 ppm) of a glyphosate
commercial formulation. The analysis of the mycobiota showed that the frequency
of the main fungal genera varied according to the analyzed sampling period.
Aspergillus spp. or Aspergillus section Flavi strains were isolated from 20 to
100% of the soil samples. Sterilia spp. were also observed throughout the
sampling (50 to 100%). Aspergillus section Flavi tolerance assays showed that all
of the tested strains were able to develop at the highest glyphosate
concentration tested regardless of the water availability conditions. In general,
significant reductions in growth rates were observed with increasing
concentrations of the herbicide. However, a complete inhibition of fungal growth
was not observed with the concentrations assayed. This study contributes to the
knowledge of culturable mycobiota from agricultural soils exposed to pesticides
and provides evidence on the effective growth ability of A. oryzae and
nontoxigenic A. flavus strains exposed to high glyphosate concentrations in
vitro.
PMID- 26549416
TI - Environmental influences on living marine stromatolites: insights from benthic
microalgal communities.
AB - Extant marine stromatolites act as partial analogues of their Achaean
counterparts, but are rare due to depleted ocean calcium carbonate levels and
suppression by eukaryotic organisms. Unique, peritidal tufa stromatolites at the
interface between marine and freshwater inputs were discovered in South Africa in
the past decade. Our aim was to investigate the benthic microalgal community
(green algae, diatoms and cyanobacteria) of these stromatolites to assess
succession and dominance patterns using real-time, in situ measurements of algal
concentrations and composition. These biological measurements were modelled using
generalized linear modelling (GLM) multivariate statistics against water physical
and chemical parameters measured at regular monthly intervals, from January to
December 2014. Salinity peaked and temperature dipped in winter, with both
correlated to microalgal community change (GLM: P < 0.01). Diatoms and
cyanobacteria, which construct the stromatolites, were consistently the dominant
groups within the algal community, with minimal green algae present throughout
the year. Importantly, this demonstrates a unique, relatively stable microalgal
stromatolite community as opposed to those of other marine stromatolites, which
likely require seasonal and stochastic disturbance to persist. This has
implications in terms of interpreting community succession and differential
layering in modern and fossilized stromatolites respectively.
PMID- 26549417
TI - Harmine Hydrochloride Triggers G2 Phase Arrest and Apoptosis in MGC-803 Cells and
SMMC-7721 Cells by Upregulating p21, Activating Caspase-8/Bid, and Downregulating
ERK/Bad Pathway.
AB - This study aimed to investigate the effects of harmine hydrochloride (HMH) on
digestive tumor cells in vitro and its molecular mechanism. MTT assays showed
that HMH inhibited the proliferation of some human cancer cell lines and had no
obvious inhibitory effects on human LO2 cells. Flow cytometry assays showed that
HMH trigged G2 phase arrest in MGC-803 cells and SMMC-7721 cells, while the
expression of cyclin A, cyclin B, p21, Myt1, and p-cdc2 (Tyr15) was upregulated.
Flow cytometry assays also showed that the percentages of apoptotic cells were
increased, the mitochondrial transmembrane potential (DeltaPsim) decreased, and
the cleavage of caspase-9, caspase-3, and poly (Adenosine diphosphate ribose)
polymerase (PARP) were observed, the expression of Bad increased, phospho-Bad
(S112) decreased, pro-caspase-8 was cleaved, and Bid (22 kDa) was cleaved. The
expression of p-ERK decreased in both cells. In conclusion, these results
demonstrated that HMH upregulates the expression of p21, activates Myt1 and
inhibits cdc2 by phospho-cdc2 (Y15), and triggers G2 phase arrest in both MGC-803
cells and SMMC-7721 cells. It can also activate the mitochondria-related cell
apoptosis pathway through the caspase-8/Bid pathway, inhibiting the ERK/Bad
pathway and promoting apoptosis in both of these two cell types.
PMID- 26549418
TI - Hemicelluloses/montmorillonite hybrid films with improved mechanical and barrier
properties.
AB - A facile and environmentally friendly method was introduced to incorporate
montmorillonite (MMT) as an inorganic phase into quaternized hemicelluloses (QH)
for forming hemicellulose-based films. Two fillers, polyvinyl alcohol (PVA) and
chitin nanowhiskers (NCH), were added into the hemicelluloses/MMT hybrid matrices
to prepare hybrid films, respectively. The hybrid films were nanocomposites with
nacre-like structure and multifunctional characteristics including higher
strength and good oxygen barrier properties via the electrostatic and hydrogen
bonding interactions. The addition of PVA and NCH could induce changes in surface
topography, and effectively enhance mechanical strength, thermal stability,
transparency, and oxygen barrier properties. The tensile strengths of the
composite films FPVA(0.3), FPVA(0.5), and FNCH(0.8) were 53.7, 46.3, and 50.1
MPa, respectively, which were 171%, 134%, and 153% larger than the FQH-MMT film
(19.8 MPa). The tensile strength, and oxygen transmission rate of QH-MMT-PVA film
were better than those of quaternized hemicelluloses/MMT films. Thus, the proper
filler is very important for the strength of the hybrid film. These results
provide insights into the understanding of the structural relationships of
hemicellulose-based composite films in coating and packaging application for the
future.
PMID- 26549419
TI - Expanded metabolomics approach to profiling endogenous carbohydrates in the serum
of ovarian cancer patients.
AB - We applied hydrophilic interaction liquid chromatography coupled with tandem mass
spectrometry to the quantitative analysis of serum from 58 women, including
ovarian cancer patients, ovarian benign tumor patients, and healthy controls. All
of these ovarian cancer and ovarian benign tumor patients have elevated cancer
antigen 125, which makes them clinically difficult to differentiate the malignant
from the benign. All of the 16 endogenous carbohydrates were quantitatively
detected in the human sera, of which, eight endogenous carbohydrates were
significantly different (P-value < 0.05) between the ovarian cancer and healthy
control. According to the receiver operating characteristic curve analysis,
arabitol was the most potentially specific biomarker for discriminating ovarian
cancer from healthy control, having an area under the curve of 0.911. A panel of
metabolite markers composed of maltose, maltotriose, raffinose, and mannitol was
selected, which was able to discriminate the ovarian cancer from the benign
ovarian tumor counterparts, with an area under concentration-time curve value of
0.832. Endogenous carbohydrates in the expanded metabolomics approach after the
global metabolic profiling are characterized and are potential biomarkers for the
early diagnosis of ovarian cancer.
PMID- 26549420
TI - Upregulation of p-Akt by glial cell line-derived neurotrophic factor ameliorates
cell apoptosis in the hippocampus of rats with streptozotocin-induced diabetic
encephalopathy.
AB - The loss of neurotrophic factor support has been shown to contribute to the
development of the central nervous system. Glial cell line-derived neurotrophic
factor (GDNF), a potent neurotrophic factor, is closely associated with apoptosis
and exerts neuroprotective effects on numerous populations of cells. However, the
underlying mechanisms of these protective effects remain unknown. In the present
study, a significant increase in Bax levels and DNA fragmentation was observed in
the hippocampus obtained from the brains of diabetic rats 60 days after diabetes
had been induced. The apoptotic changes were correlated with the loss of GDNF/Akt
signaling. GDNF administration was found to reverse the diabetes-induced Bax and
DNA fragmentation changes. This was associated with an improvement in the level
of p-Akt/Akt. In addition, combination of GDNF with a specific inhibitor of the
phosphoinositide 3-kinase (PI3K)/Akt pathway, Wortmannin, significantly abrogated
the effects of GDNF on the levels of p-Akt/Akt, Bax and DNA fragmentation.
However, a p38 mitogen-activated proten kinase (MAPK) inhibitor, SB203580, had no
effect on the expression of p-Akt/Akt, Bax or DNA fragmentation. These results
demonstrate the pivotal role of GDNF as well as the PI3K/Akt pathway, but not the
MAPK pathway, in the prevention of diabetes-induced neuronal apoptosis in the
hippocampus.
PMID- 26549421
TI - Mining Discriminative Patterns from Graph Data with Multiple Labels and Its
Application to Quantitative Structure-Activity Relationship (QSAR) Models.
AB - Graph data are becoming increasingly common in machine learning and data mining,
and its application field pervades to bioinformatics and cheminformatics.
Accordingly, as a method to extract patterns from graph data, graph mining
recently has been studied and developed rapidly. Since the number of patterns in
graph data is huge, a central issue is how to efficiently collect informative
patterns suitable for subsequent tasks such as classification or regression. In
this paper, we consider mining discriminative subgraphs from graph data with
multiple labels. The resulting task has important applications in
cheminformatics, such as finding common functional groups that trigger multiple
drug side effects, or identifying ligand functional groups that hit multiple
targets. In computational experiments, we first verify the effectiveness of the
proposed approach in synthetic data, then we apply it to drug adverse effect
prediction problem. In the latter dataset, we compared the proposed method with
L1-norm logistic regression in combination with the PubChem/Open Babel
fingerprint, in that the proposed method showed superior performance with a much
smaller number of subgraph patterns. Software is available from
https://github.com/axot/GLP.
PMID- 26549422
TI - alpha2A -Adrenergic receptor polymorphisms and mRNA expression levels are
associated with delay discounting in cocaine users.
AB - Cocaine users characteristically display preferences for smaller immediate
rewards over larger delayed rewards, and this delay discounting (DD) has been
proposed as an endophenotype of cocaine addiction. Recent evidence suggests that
the norepinephrine system and more specifically the alpha2A -adrenergic receptor
(ADRA2A) are impacted by chronic cocaine use while also being potentially
involved in the neural mechanisms underlying DD. Hence, we investigated the
effects of ADRA2A polymorphisms and ADRA2A mRNA expression levels on DD of
cocaine users and stimulant-naive controls. Two hundred and twenty-three
participants (129 cocaine users and 94 stimulant-naive healthy controls)
completed a computerized DD paradigm and were genotyped for three single
nucleotide polymorphisms (SNPs; rs1800544, rs521674 and rs602618) in the ADRA2A
gene, while their peripheral ADRA2A mRNA expression was quantified in whole blood
samples. The three SNPs were in near-perfect linkage disequilibrium. Accordingly,
significant group*genotype interactions were found for all three ADRA2A variants
revealing steeper DD in cocaine users (but not in controls) carrying the G-allele
of SNP rs1800544, the T-allele of rs521674 and the C-allele of rs602618.
Similarly, high ADRA2A mRNA expression levels were significantly associated with
a reduced tendency to choose smaller more immediate rewards (over larger delayed
rewards) in cocaine users but not in controls. As the relationship between DD and
cocaine use was moderated by ADRA2A SNPs and by peripheral ADRA2A gene
expression, we propose that the norepinephrine system is involved in DD deficits
observed in cocaine using individuals. Consequently, pharmacological compounds
targeting ADRA2As might be considered for the symptom-specific treatment of delay
aversion in stimulant addiction.
PMID- 26549423
TI - Methane partial oxidation using FeO(x)@La(0.8)Sr(0.2)FeO(3-delta) core-shell
catalyst--transient pulse studies.
AB - The chemical looping reforming (CLR) process, which utilizes a transition metal
oxide based redox catalyst to partially oxidize methane to syngas, represents a
potentially efficient approach for methane valorization. The CLR process
inherently avoids costly cryogenic air separation by replacing gaseous oxygen
with regenerable ionic oxygen (O(2-)) from the catalyst lattice. Our recent
studies show that an Fe2O3@La0.8Sr0.2FeO3-delta core-shell redox catalyst is
effective for CLR, as it combines the selectivity of an LSF shell with the oxygen
capacity of an iron oxide core. The reaction between methane and the catalyst is
also found to be highly dynamic, resulting from changes in lattice oxygen
availability and surface properties. In this study, a transient pulse injection
approach is used to investigate the mechanisms of methane partial oxidation over
the Fe2O3@LSF redox catalyst. As confirmed by isotope exchange, the catalyst
undergoes transitions between reaction "regions" with markedly different
mechanisms. While oxygen evolution maintains a modified Mars-van Krevelen
mechanism throughout the reaction with O(2-) conduction being the rate limiting
step, the mechanism of methane conversion changes from an Eley-Rideal type in the
first reaction region to a Langmuir-Hinshelwood-like mechanism in the third
region. Availability of surface oxygen controls the reduction scheme of the
catalyst and the underlying reaction mechanism.
PMID- 26549424
TI - Potential of agricultural fungicides for antifungal drug discovery.
AB - While it is true that only a small fraction of fungal species are responsible for
human mycoses, the increasing prevalence of fungal diseases has highlighted an
urgent need to develop new antifungal drugs, especially for systemic
administration. This contribution focuses on the similarities between
agricultural fungicides and drugs. Inorganic, organometallic and organic
compounds can be found amongst agricultural fungicides. Furthermore, fungicides
are designed and developed in a similar fashion to drugs based on similar rules
and guidelines, with fungicides also having to meet similar criteria of lead
likeness and/or drug-likeness. Modern approved specific-target fungicides are
well-characterized entities with a proposed structure-activity relationships
hypothesis and a defined mode of action. Extensive toxicological evaluation,
including mammalian toxicology assays, is performed during the whole discovery
and development process. Thus modern agrochemical research (design of modern
agrochemicals) comes close to drug design, discovery and development. Therefore,
modern specific-target fungicides represent excellent lead-like structures/models
for novel drug design and development.
PMID- 26549425
TI - Constructing Highly Oriented Configuration by Few-Layer MoS2: Toward High
Performance Lithium-Ion Batteries and Hydrogen Evolution Reactions.
AB - Constructing three-dimensional (3D) architecture with oriented configurations by
two-dimensional nanobuilding blocks is highly challenging but desirable for
practical applications. The well-oriented open structure can facilitate storage
and efficient transport of ion, electron, and mass for high-performance energy
technologies. Using MoS2 as an example, we present a facile and effective
hydrothermal method to synthesize 3D radially oriented MoS2 nanospheres. The
nanosheets in the MoS2 nanospheres are found to have less than five layers with
an expanded (002) plane, which facilitates storage and efficient transport of
ion, electron, and mass. When evaluated as anode materials for rechargeable Li
ion batteries, the MoS2 nanospheres show an outstanding performance; namely, a
specific capacity as large as 1009.2 mA h g(-1) is delivered at 500 mA g(-1) even
after 500 deep charge/discharge cycles. Apart from promising the lithium-ion
battery anode, this 3D radially oriented MoS2 nanospheres also show high activity
and stability for the hydrogen evolution reaction.
PMID- 26549426
TI - Stepwise Formation of a 1,3-Butadiene Analogue of Mixed Heavier Group 15
Elements.
AB - The reaction of the phosphinidene complex [Cp*P{W(CO)5}2] (1 a) with di-tert
butylcarboimidophosphene leads to the P-C cage compound 6 and the Lewis acid-base
adduct [Cp*P{W(CO)5}2(CNtBu)] (2 a). In contrast, the arsinidene complex shows a
different reactivity. At low temperatures, the arsaphosphene complex
[{W(CO)5}{eta(2)-(Cp*)As=P(tBu)}{W(CO)5}] (3) is formed. At these temperatures, 3
reacts further with a second equivalent of carboimidophosphene to form
[{W(CO)5}{eta(2)-{(Cp*)(tBu)P}As=P(tBu)}{W(CO)5}] (5), probably by the insertion
of a phosphinidene unit (tBuP) into an As-C bond. In contrast, at room
temperature 3 reacts further by a radical-type reaction to form [{(tBu)P=As
As=P(tBu)}{W(CO)5}4] (4). Compound 4 is the first example of a neutral, 1,3
butadiene analogue containing only mixed heavier Group 15 elements. It consists
of two P=As double bonds connected by arsenic atoms.
PMID- 26549427
TI - Expanded phylogenetic analyses of the class Heterotrichea (Ciliophora,
Postciliodesmatophora) using five molecular markers and morphological data.
AB - Most studies of the molecular evolution of Heterotrichea have been based solely
on the 18S-rDNA gene, which were inconsistent with morphological classification.
Because of the limitations of single locus phylogenies and the recurring problem
of lack of resolution of deeper nodes found in previous studies, we present
hypotheses of the evolution of internal groups of the class Heterotrichea based
on multi-loci analyses (18S-rDNA, 28S-rDNA, ITS1-5.8S-ITS2 region, COI and alpha
tubulin) and morphological data. Phylogenetic trees from protein coding gene data
are presented for Heterotrichea for the first time. Phylogenetic analyses
included Bayesian inference, maximum likelihood, maximum parsimony methods, and
optimal trees were statistically compared to alternative topologies from the
literature. Additionally, the Bayesian concordance approach (BCA algorithm) was
used to assess the concordance factor between topologies obtained from isolated
analyses. Because different loci may evolve at different rates, resulting in
different gene topologies, we also estimated a species tree for Heterotrichea
using the STAR coalescence-based method. The results show that: (1) single gene
trees are inconsistent regarding the position of some heterotrichean families;
(2) the concatenation of all data in a total-evidence tree improved the
resolution of deep nodes among the heterotrichean families and genera; (3) the
coalescent-based species tree is consistent with phylogenies based on the 18S
rDNA gene and shows Spirostomidae as the stem group of Heterotrichea; (4)
however, the total-evidence tree suggests that the large Heterotrichea cluster is
divided into nine lineages in which Peritromidae diverges at the base of the
Heterotrichea tree.
PMID- 26549428
TI - Insect genome content phylogeny and functional annotation of core insect genomes.
AB - Twenty-one fully sequenced and well annotated insect genomes were examined for
genome content in a phylogenetic context. Gene presence/absence matrices and
phylogenetic trees were constructed using several phylogenetic criteria. The role
of e-value on phylogenetic analysis and genome content characterization is
examined using scaled e-value cutoffs and a single linkage clustering approach to
orthology determination. Previous studies have focused on the role of gene loss
in terminals in the insect tree of life. The present study examines several
common ancestral nodes in the insect tree. We suggest that the common ancestors
of major insect groups like Diptera, Hymenoptera, Hemiptera and Holometabola
experience more gene gain than gene loss. This suggests that as major insect
groups arose, their genomic repertoire expanded through gene duplication
(segmental duplications), followed by contraction by gene loss in specific
terminal lineages. In addition, we examine the functional significance of the
loss and gain of genes in the divergence of some of the major insect groups.
PMID- 26549429
TI - Testing and Validation of Computational Methods for Mass Spectrometry.
AB - High-throughput methods based on mass spectrometry (proteomics, metabolomics,
lipidomics, etc.) produce a wealth of data that cannot be analyzed without
computational methods. The impact of the choice of method on the overall result
of a biological study is often underappreciated, but different methods can result
in very different biological findings. It is thus essential to evaluate and
compare the correctness and relative performance of computational methods. The
volume of the data as well as the complexity of the algorithms render unbiased
comparisons challenging. This paper discusses some problems and challenges in
testing and validation of computational methods. We discuss the different types
of data (simulated and experimental validation data) as well as different metrics
to compare methods. We also introduce a new public repository for mass
spectrometric reference data sets ( http://compms.org/RefData ) that contains a
collection of publicly available data sets for performance evaluation for a wide
range of different methods.
PMID- 26549430
TI - Oxazolidinones as Anti-tubercular Agents: Discovery, Development and Future
Perspectives.
AB - TB drug development pipeline represents varied structural classes of molecules.
Oxazolidinones represent synthetic anti-bacterial agents with unique mechanism of
action having wide spectrum of activity, oral bioavailability and well
established SAR. They act by inhibiting translation at the initiation phase of
protein synthesis. Linezolid was the first oxazolidinone to reach the market in
the year 2000 for the treatment of methicillin-resistant staphylococcal and
vancomycin-resistant enterococcal infections. Oxazolidinones have shown very good
anti-mycobacterial activities. Several oxazolidinones are currently in
development for their possible use in TB therapy. Oxazolidinones are classified
on the basis of C-ring modifications. DuP-721 was the first oxazolidinone having
good anti-TB activity. Linezolid, sutezolid and AZD5847 are in clinical
development. Several other C-ring modifications have shown promising results. The
usefulness of these oxazolidinones in the drug resistant TB is already
established. Toxicity, especially myelosuppression, has been an important
limiting factor for their development.
PMID- 26549431
TI - Histone Modifications as Molecular Targets in Nasopharyngeal Cancer.
AB - Nasopharyngeal carcinoma (NPC) is a cancer of the nasopharyngeal epithelium with
distinct geographical, ethnic and racial distribution. Several genetic, ethnic
and environmental risk factors, have been implicated in nasopharyngeal
pathogenesis and of significance, is the Epstein - Barr virus (EBV)- latent
infection observed in most patients. Patients with NPC are typically diagnosed
only in advanced stages due to non-specific symptoms, and hence, they respond
poorly to therapy. Currently, low survival rates, severe complications, tumour
metastasis and recurrence following chemo-radiotherapy, delineate the need for
better therapeutic options to combat the disease. Recent studies have shown that
epigenetic mechanisms such as DNA methylation, histone modifications and
microRNAs, which are altered in the EBV genome as well as in the host cells, may
underlie the initiation and progression of NPC. Histone acetylation and
deacetylation which are mediated by enzymes, namely histone acetyl transferases
(HATs) and histone deacetylases (HDACs), are known to regulate gene expression
and several cellular processes. HDACs are also involved in maintaining the EBV
latent cycle and thus, HDAC inhibitors (HDACi) are potent inducers of EBV
reactivation, which is critical for the expression of the lytic proteins, thereby
providing novel targets for therapy, as well as mediating enhanced killing of
cancer cells, when used alone or along with additional anti-cancer agents in EBV
associated malignancies. Recently, three FDA- approved HDACi have been used for
the treatment of T-cell lymphoma, while several others are in clinical trials,
making histone modifications excellent candidates for targeted therapy. In this
review, we summarize the epigenetic mechanisms altered in NPC, with a focus on
histone modifications for targeted therapy.
PMID- 26549432
TI - 2D mammography, digital breast tomosynthesis, and ultrasound: which should be
used for the different breast densities in breast cancer screening?
AB - PURPOSE: To determine which modalities [2D mammography (2D), digital breast
tomosynthesis (DBT), whole breast sonography (WBS)] are optimal for screening
depending on breast density. METHODS: Institutional retrospective cohort study of
2013 screening mammograms (16,789), sorted by modalities and density. RESULTS:
Cancer detection is increased by adding WBS to 2D (P=.02) for the overall study
population. Recall rate was lowest with 2D+DBT (10.2%, P<.001) and highest with
2D+DBT+WBS (23.6%, P<.001) for the overall study population as well. CONCLUSION:
Women with dense and nondense breasts benefit from reduced recall rate with the
addition of DBT; however, this benefit is negated with the addition of WBS.
PMID- 26549433
TI - "Away Days" in multicenter randomized controlled trials: a questionnaire survey
of their use and a case study on the effect of one Away Day on patient
recruitment.
AB - OBJECTIVE: "Away Days" (trial promotion and training events for trial site
personnel) are a well-established method used by trialists to encourage
engagement of research sites in the recruitment of patients to multicenter
randomized controlled trials (RCTs). We explored the use of Away Days in
multicenter RCTs and analyzed the effect on patient recruitment in a case study.
METHODS: Members of the United Kingdom Trial Managers' Network were surveyed in
June 2013 to investigate their experiences in the design and conduct of Away Days
in RCTs. We used data from a multicenter pragmatic surgical trial to explore the
effects of an Away Day on the screening and recruitment of patients. RESULTS: A
total of 94 people responded to the survey. The majority (78%), who confirmed had
organized an Away Day previously, found them to be useful. This is despite their
costs. There was no evidence, however, from the analysis of data from a surgical
trial that attendance at an Away Day increased the number of patients screened or
recruited at participating sites. CONCLUSIONS: Although those responsible for
managing RCTs in the UK tend to believe that trial Away Days are beneficial,
evidence from a multicenter surgical trial shows no improvement on a key
indicator of trial success. This points to the need to carefully consider the
aims, design, and conduct of Away Days. Further more rigorous research nested
within RCTs would be valuable to evaluate the design and conduct of Away Days.
PMID- 26549434
TI - Intercalation crystallization of phase-pure alpha-HC(NH2)2PbI3 upon
microstructurally engineered PbI2 thin films for planar perovskite solar cells.
AB - The microstructure of the solid-PbI2 precursor thin film plays an important role
in the intercalation crystallization of the formamidinium lead triiodide
perovskite (alpha-HC(NH2)2PbI3). It is shown that microstructurally engineered
PbI2 thin films with porosity and low crystallinity are the most favorable for
conversion into uniform-coverage, phase-pure alpha-HC(NH2)2PbI3 perovskite thin
films. Planar perovskite solar cells fabricated using these thin films deliver
power conversion efficiency (PCE) up to 13.8%.
PMID- 26549435
TI - Evaluation of quality of anesthesia and analgesia and of vital signs after
intramuscular administration of a combination of butorphanol, medetomidine and
alfaxalone in cats.
AB - This study evaluated the quality of anesthesia, duration of analgesia and changes
in vital signs after intramuscular administration of a combination of
butorphanol, medetomidine and alfaxalone in domestic cats. Ten healthy adult
domestic cats (weighing 2.9 +/- 0.5 kg) were used in this study. Rectal
temperature (T), pulse rate (PR), respiratory rate (fR) and systolic arterial
pressure (SAP) were measured and recorded prior to intramuscular (IM)
administration of butorphanol (0.2 mg/kg), medetomidine (20 ug/kg) and alfaxalone
(5 mg/kg) and then every 10 min until return of consciousness. Qualitative scores
for induction of anesthesia and recovery were allocated, duration of anesthesia
and recovery were calculated, and adverse events were recorded. A needle prick
with a 22-gauge hypodermic needle was used to assess analgesia. Scores for
induction and recovery quality were acceptable. No significant adverse events
except nausea (7/10) and vomiting (5/10) were observed. The mean +/- SD times
from induction to extubation and to standing (full recovery) were 114 +/- 8 and
125 +/- 7 min, respectively. There were statistically significant changes in PR,
fR and SAP after induction of anesthesia. The combination of butorphanol,
medetomidine and alfaxalone provided acceptable quality of anesthesia and
analgesia and exerted minimal cardiopulmonary effects in domestic cats.
PMID- 26549436
TI - The epidemiological survey for atovaquone resistant related gene of Babesia
gibsoni in Japan.
AB - In 73 gDNA samples from Babesia gibsoni-infected dogs, the M121I variant
population was measured by using allele-specific real-time PCR. Although the
mechanism of atovaquone against B. gibsoni has not been clearly identified, it is
reported that the mitochondria cytochrome b gene of the atovaquone-resistant B.
gibsoni had a single-nucleotide substitution at nt363 (G to T), which resulted in
the substitution of methionine with isoleucine (M121I). In this study, 3/73
samples showed over 5% M121I variant population. Although the M121I variant
population is a low percentage, it runs the risk of spreading drug-resistant
parasites. It is important to prevent the spread of drug-resistance, so we need
to gather information about this at regular intervals.
PMID- 26549437
TI - The antipsychotic drug pimozide inhibits cell growth in prostate cancer through
suppression of STAT3 activation.
AB - Currently, drug discovery and development for clinical treatment of prostate
cancer has received increased attention, specifically the STAT3 inhibitor. Our
previous study reported that the neuroleptic drug pimozide had antitumor activity
against hepatocellular carcinoma cells or stem-like cells through suppressing the
STAT3 activity. In the present study we demonstrate that pimozide inhibits cell
growth and cellular STAT3 activation in prostate cancer cells. Our results showed
that pimozide inhibited prostate cancer cell proliferation in a dose- and time
dependent manner by inducing G1 phase cell cycle arrest, downregulated the
ability of colony formation and sphere forming, as well as suppressed cells
migration in both DU145 and LNCaP cells. Surprisingly, pimozide reduced the basal
expression of phosphorylation STAT3 at tyrosine 705 and reversed the expression
of phosphorylation of STAT3 induced by IL-6 addition, suggesting that pimozide
can suppress cellular STAT3 activation. Thus, the antipsychotic agent pimozide
may be a potential and novel therapeutic for patients with advanced prostate
cancer.
PMID- 26549438
TI - Creating orbiting vorticity vectors in magnetic particle suspensions through
field symmetry transitions-a route to multi-axis mixing.
AB - It has recently been reported that two types of triaxial electric or magnetic
fields can drive vorticity in dielectric or magnetic particle suspensions,
respectively. The first type-symmetry-breaking rational fields-consists of three
mutually orthogonal fields, two alternating and one dc, and the second type
rational triads-consists of three mutually orthogonal alternating fields. In each
case it can be shown through experiment and theory that the fluid vorticity
vector is parallel to one of the three field components. For any given set of
field frequencies this axis is invariant, but the sign and magnitude of the
vorticity (at constant field strength) can be controlled by the phase angles of
the alternating components and, at least for some symmetry-breaking rational
fields, the direction of the dc field. In short, the locus of possible vorticity
vectors is a 1-d set that is symmetric about zero and is along a field direction.
In this paper we show that continuous, 3-d control of the vorticity vector is
possible by progressively transitioning the field symmetry by applying a dc bias
along one of the principal axes. Such biased rational triads are a combination of
symmetry-breaking rational fields and rational triads. A surprising aspect of
these transitions is that the locus of possible vorticity vectors for any given
field bias is extremely complex, encompassing all three spatial dimensions. As a
result, the evolution of a vorticity vector as the dc bias is increased is
complex, with large components occurring along unexpected directions. More
remarkable are the elaborate vorticity vector orbits that occur when one or more
of the field frequencies are detuned. These orbits provide the basis for highly
effective mixing strategies wherein the vorticity axis periodically explores a
range of orientations and magnitudes.
PMID- 26549440
TI - Aqueous extraction of pectin from sour orange peel and its preliminary
physicochemical properties.
AB - Sour orange peel, a by-product of the fruit juice industry, was used as a source
of pectin. The effects of temperature (75-95 degrees C), time (30-90 min), and
liquid-solid ratio (20-40, v/w) were investigated on yield, methoxylation degree
(DE), and galacturonic acid content using a Box-Behnken design and response
surface methodology. The highest extraction yield (17.95 +/- 0.3%) was obtained
at temperature of 95 degrees C, time of 90 min, and liquid-solid ratio of 25
(v/w). The DE values for the pectin ranged from 17% to 30.5%, indicating that the
pectin was low in methoxyle. The emulsifying activity of pectin extracted under
optimal conditions was 45%. The emulsions were 86.6% stable at 4 degrees C and
71.4% at 23 degrees C after 30 days of storage. The pectin exhibited Newtonian
flow at low concentrations (<= 1.0%, w/v); as the concentration increased,
pseudoplastic flow became dominant.
PMID- 26549439
TI - KCNK5 channels mostly expressed in cochlear outer sulcus cells are indispensable
for hearing.
AB - In the cochlea, K(+) is essential for mechano-electrical transduction. Here, we
explore cochlear structure and function in mice lacking K(+) channels of the two
pore domain family. A profound deafness associated with a decrease in
endocochlear potential is found in adult Kcnk5(-/-) mice. Hearing occurs around
postnatal day 19 (P19), and completely disappears 2 days later. At P19, Kcnk5(-/
) mice have a normal endolymphatic [K(+)] but a partly lowered endocochlear
potential. Using Lac-Z as a gene reporter, KCNK5 is mainly found in outer sulcus
Claudius', Boettcher's and root cells. Low levels of expression are also seen in
the spiral ganglion, Reissner's membrane and stria vascularis. Essential channels
(KCNJ10 and KCNQ1) contributing to K(+) secretion in stria vascularis have normal
expression in Kcnk5(-/-) mice. Thus, KCNK5 channels are indispensable for the
maintenance of hearing. Among several plausible mechanisms, we emphasize their
role in K(+) recycling along the outer sulcus lateral route.
PMID- 26549441
TI - Microwave assisted synthesis and characterization of sodium alginate-graft
poly(N,N'-dimethylacrylamide).
AB - Modification of sodium alginate (NaAlg) was carried out using N,N'
dimethylacrylamide (DMAAm) as a monomer and azobisizobutyronitrile (AIBN) as an
initiator under microwave irradiation. The effect of reaction conditions such as
concentrations of DMAAm, AIBN, NaAlg as well as microwave power and temperature
on grafting and grafting efficiency has been explored. Maximum grafting and
grafting efficiency has been observed at 1h of grafting time, 0.291 M of DMAAm
concentration, 500 W microwave irradiation power, 0.134 M of AIBN concentration,
75 degrees C of reaction temperature and 0.5 g/dL of NaAlg concentration. The
grafted copolymer has been characterized by FTIR, DSC, TGA, (13)C NMR, XRD, SEM,
and GPC analysis. Cytotoxicity as standard MTT assay, apoptotic and necrotic
effects of graft copolymer were investigated on L929 fibroblast cell. It has been
found that the grafted copolymer is biocompatible and thermally more stable than
the ungrafted alginate.
PMID- 26549442
TI - The Stereociliary Paracrystal Is a Dynamic Cytoskeletal Scaffold In Vivo.
AB - Permanency of mechanosensory stereocilia may be the consequence of low protein
turnover or rapid protein renewal. Here, we devise a system, using optical
techniques in live zebrafish, to distinguish between these mechanisms. We
demonstrate that the stereocilium's abundant actin cross-linker fascin 2b
exchanges, without bias or a phosphointermediate, orders of magnitude faster
(t1/2 of 76.3 s) than any other known hair bundle protein. To establish the logic
of fascin 2b's exchange, we examine whether filamentous actin is dynamic and
detect substantial beta-actin exchange within the stereocilium's paracrystal
(t1/2 of 4.08 hr). We propose that fascin 2b's behavior may enable cross-linking
at fast timescales of stereocilia vibration while noninstructively facilitating
the slower process of actin exchange. Furthermore, tip protein myosin XVa fully
exchanges in hours (t1/2 of 11.6 hr), indicating that delivery of myosin
associated cargo occurs in mature stereocilia. These findings suggest that
stereocilia permanency is underpinned by vibrant protein exchange.
PMID- 26549443
TI - Ephrin-Bs Drive Junctional Downregulation and Actin Stress Fiber Disassembly to
Enable Wound Re-epithelialization.
AB - For a skin wound to successfully heal, the cut epidermal-edge cells have to
migrate forward at the interface between scab and healthy granulation tissue.
Much is known about how lead-edge cells migrate, but very little is known about
the mechanisms that enable active participation by cells further back. Here we
show that ephrin-B1 and its receptor EphB2 are both upregulated in vivo, just for
the duration of repair, in the first 70 or so rows of epidermal cells, and this
signal leads to downregulation of the molecular components of adherens and tight
(but not desmosomal) junctions, leading to loosening between neighbors and
enabling shuffle room among epidermal cells. Additionally, this signaling leads
to the shutdown of actomyosin stress fibers in these same epidermal cells, which
may act to release tension within the wound monolayer. If this signaling axis is
perturbed, then disrupted healing is a consequence in mouse and man.
PMID- 26549444
TI - Organization of the Mammalian Ionome According to Organ Origin, Lineage
Specialization, and Longevity.
AB - Trace elements are essential to all mammals, but their distribution and
utilization across species and organs remains unclear. Here, we examined 18
elements in the brain, heart, kidney, and liver of 26 mammalian species and
report the elemental composition of these organs, the patterns of utilization
across the species, and their correlation with body mass and longevity. Across
the organs, we observed distinct distribution patterns for abundant elements,
transition metals, and toxic elements. Some elements showed lineage-specific
patterns, including reduced selenium utilization in African mole rats, and
positive correlation between the number of selenocysteine residues in
selenoprotein P and the selenium levels in liver and kidney across mammals. Body
mass was linked positively to zinc levels, whereas species lifespan correlated
positively with cadmium and negatively with selenium. This study provides
insights into the variation of mammalian ionome by organ physiology, lineage
specialization, body mass, and longevity.
PMID- 26549445
TI - RACK1 Promotes Autophagy by Enhancing the Atg14L-Beclin 1-Vps34-Vps15 Complex
Formation upon Phosphorylation by AMPK.
AB - Autophagy is essential for maintaining tissue homeostasis. Although adaptors have
been demonstrated to facilitate the assembly of the Atg14L-Beclin 1-Vps34-Vps15
complex, which functions in autophagosome formation, it remains unknown whether
the autophagy machinery actively recruits such adaptors. WD40-repeat proteins are
a large, highly conserved family of adaptors implicated in various cellular
activities. However, the role of WD40-repeat-only proteins, such as RACK1, in
postnatal mammalian physiology remains unknown. Here, we report that hepatocyte
specific RACK1 deficiency leads to lipid accumulation in the liver, accompanied
by impaired Atg14L-linked Vps34 activity and autophagy. Further exploration
indicates that RACK1 participates in the formation of autophagosome biogenesis
complex upon its phosphorylation by AMPK at Thr50. Thr50 phosphorylation of RACK1
enhances its direct binding to Vps15, Atg14L, and Beclin 1, thereby promoting the
assembly of the autophagy-initiation complex. These observations provide insight
into autophagy induction and establish a pivotal role for RACK1 in postnatal
mammalian physiology.
PMID- 26549446
TI - Interneuronal Nitric Oxide Signaling Mediates Post-synaptic Long-Term Depression
of Striatal Glutamatergic Synapses.
AB - Experience-driven plasticity of glutamatergic synapses on striatal spiny
projection neurons (SPNs) is thought to be essential to goal-directed behavior
and habit formation. One major form of striatal plasticity, long-term depression
(LTD), has long appeared to be expressed only pre-synaptically. Contrary to this
view, nitric oxide (NO) generated by striatal interneurons was found to induce a
post-synaptically expressed form of LTD at SPN glutamatergic synapses. This form
of LTD was dependent on signaling through guanylyl cyclase and protein kinase G,
both of which are abundantly expressed by SPNs. NO-LTD was unaffected by local
synaptic activity or antagonism of endocannabinoid (eCb) and dopamine receptors,
all of which modulate canonical, pre-synaptic LTD. Moreover, NO signaling
disrupted induction of this canonical LTD by inhibiting dendritic Ca(2+) channels
regulating eCb synthesis. These results establish an interneuron-dependent,
heterosynaptic form of post-synaptic LTD that could act to promote stability of
the striatal network during learning.
PMID- 26549447
TI - A Testis-Specific Chaperone and the Chromatin Remodeler ISWI Mediate Repackaging
of the Paternal Genome.
AB - During spermatogenesis, the paternal genome is repackaged into a non-nucleosomal,
highly compacted chromatin structure. Bioinformatic analysis revealed that
Drosophila sperm chromatin proteins are characterized by a motif related to the
high-mobility group (HMG) box, which we termed male-specific transcript (MST)-HMG
box. MST77F is a MST-HMG-box protein that forms an essential component of sperm
chromatin. The deposition of MST77F onto the paternal genome requires the
chaperone function of tNAP, a testis-specific NAP protein. MST77F, in turn,
enables the stable incorporation of MST35Ba and MST35Bb into sperm chromatin.
Following MST-HMG-box protein deposition, the ATP-dependent chromatin remodeler
ISWI mediates the appropriate organization of sperm chromatin. Conversely, at
fertilization, maternal ISWI targets the paternal genome and drives its
repackaging into de-condensed nucleosomal chromatin. Failure of this transition
in ISWI mutant embryos is followed by mitotic defects, aneuploidy, and haploid
embryonic divisions. Thus, ISWI enables bi-directional transitions between two
fundamentally different forms of chromatin.
PMID- 26549448
TI - Low-Cell-Number Epigenome Profiling Aids the Study of Lens Aging and
Hematopoiesis.
AB - Understanding how chromatin modification regulates development and disease can be
limited by available material. Despite recent progress, balancing high-quality
and reliable mapping using chromatin-immunoprecipitation-based deep sequencing
(ChIP-seq) remains a challenge. We report two techniques, recovery via protection
(RP)-ChIP-seq and favored amplification RP-ChIP-seq (FARP-ChIP-seq), that provide
reproducible mapping in as few as 500 cells. RP-ChIP-seq allows detection of age
associated epigenetic changes in a single mouse lens, whereas FARP-ChIP-seq
accurately maps histone H3 lysine 4 trimethylation (H3K4me3) and H3K27me3 in long
term hematopoietic stem cells (LT-HSCs), short-term HSCs (ST-HSCs), and multi
potent progenitors (MPPs) from one mouse. These datasets not only highlight genes
that may be involved in lens aging but also indicate a lack of H3K4me3/H3K27me3
bivalency on hematopoietic genes in HSCs.
PMID- 26549449
TI - Twin Promotes the Maintenance and Differentiation of Germline Stem Cell Lineage
through Modulation of Multiple Pathways.
AB - The central question in stem cell regulation is how the balance between self
renewal and differentiation is controlled at the molecular level. This study uses
germline stem cells (GSCs) in the Drosophila ovary to demonstrate that the
Drosophila CCR4 homolog Twin is required intrinsically to promote both GSC self
renewal and progeny differentiation. Twin/CCR4 is one of the two catalytic
subunits in the highly conserved CCR4-NOT mRNA deadenylase complex. Twin works
within the CCR4-NOT complex to intrinsically maintain GSC self-renewal, at least
partly by sustaining E-cadherin-mediated GSC-niche interaction and preventing
transposable element-induced DNA damage. It promotes GSC progeny differentiation
by forming protein complexes with differentiation factors Bam and Bgcn
independently of other CCR4-NOT components. Interestingly, Bam can competitively
inhibit the association of Twin with Pop2 in the CCR4-NOT complex. Therefore,
this study demonstrates that Twin has important intrinsic roles in promoting GSC
self-renewal and progeny differentiation by functioning in different protein
complexes.
PMID- 26549451
TI - Lamin A Is an Endogenous SIRT6 Activator and Promotes SIRT6-Mediated DNA Repair.
AB - The nuclear lamins are essential for various molecular events in the nucleus,
such as chromatin organization, DNA replication, and provision of mechanical
support. A specific point mutation in the LMNA gene creates a truncated prelamin
A termed progerin, causing Hutchinson-Gilford progeria syndrome (HGPS). SIRT6
deficiency leads to defective genomic maintenance and accelerated aging similar
to HGPS, suggesting a potential link between lamin A and SIRT6. Here, we report
that lamin A is an endogenous activator of SIRT6 and facilitates chromatin
localization of SIRT6 upon DNA damage. Lamin A promotes SIRT6-dependent DNA-PKcs
(DNA-PK catalytic subunit) recruitment to chromatin, CtIP deacetylation, and
PARP1 mono-ADP ribosylation in response to DNA damage. The presence of progerin
jeopardizes SIRT6 activation and compromises SIRT6-mediated molecular events in
response to DNA damage. These data reveal a critical role for lamin A in
regulating SIRT6 activities, suggesting that defects in SIRT6 functions
contribute to impaired DNA repair and accelerated aging in HGPS.
PMID- 26549450
TI - An Antifungal Combination Matrix Identifies a Rich Pool of Adjuvant Molecules
that Enhance Drug Activity against Diverse Fungal Pathogens.
AB - There is an urgent need to identify new treatments for fungal infections. By
combining sub-lethal concentrations of the known antifungals fluconazole,
caspofungin, amphotericin B, terbinafine, benomyl, and cyprodinil with ~3,600
compounds in diverse fungal species, we generated a deep reservoir of chemical
chemical interactions termed the Antifungal Combinations Matrix (ACM). Follow-up
susceptibility testing against a fluconazole-resistant isolate of C. albicans
unveiled ACM combinations capable of potentiating fluconazole in this clinical
strain. We used chemical genetics to elucidate the mode of action of the
antimycobacterial drug clofazimine, a compound with unreported antifungal
activity that synergized with several antifungals. Clofazimine induces a cell
membrane stress for which the Pkc1 signaling pathway is required for tolerance.
Additional tests against additional fungal pathogens, including Aspergillus
fumigatus, highlighted that clofazimine exhibits efficacy as a combination agent
against multiple fungi. Thus, the ACM is a rich reservoir of chemical
combinations with therapeutic potential against diverse fungal pathogens.
PMID- 26549452
TI - PTEN Controls the DNA Replication Process through MCM2 in Response to Replicative
Stress.
AB - PTEN is a tumor suppressor frequently mutated in human cancers. PTEN inhibits the
phosphatidylinositol 3-kinase (PI3K)-AKT cascade, and nuclear PTEN guards the
genome by multiple mechanisms. Here, we report that PTEN physically associates
with the minichromosome maintenance complex component 2 (MCM2), which is
essential for DNA replication. Specifically, PTEN dephosphorylates MCM2 at serine
41 (S41) and restricts replication fork progression under replicative stress.
PTEN disruption results in unrestrained fork progression upon replication
stalling, which is similar to the phenotype of cells expressing the phosphomimic
MCM2 mutant S41D. Moreover, PTEN is necessary for prevention of chromosomal
aberrations under replication stress. This study demonstrates that PTEN regulates
DNA replication through MCM2 and loss of PTEN function leads to replication
defects and genomic instability. We propose that PTEN plays a critical role in
maintaining genetic stability through a replication-specific mechanism, and this
is a crucial facet of PTEN tumor suppressor activity.
PMID- 26549453
TI - Coordinated Regulation of Synaptic Plasticity at Striatopallidal and
Striatonigral Neurons Orchestrates Motor Control.
AB - The basal ganglia play a critical role in shaping motor behavior. For this
function, the activity of medium spiny neurons (MSNs) of the striatonigral and
striatopallidal pathways must be integrated. It remains unclear whether the
activity of the two pathways is primarily coordinated by synaptic plasticity
mechanisms. Using a model of Parkinson's disease, we determined the circuit and
behavioral effects of concurrently regulating cell-type-specific forms of
corticostriatal long-term synaptic depression (LTD) by inhibiting small
conductance Ca(2+)-activated K(+) channels (SKs) of the dorsolateral striatum. At
striatopallidal synapses, SK channel inhibition rescued the disease-linked
deficits in endocannabinoid (eCB)-dependent LTD. At striatonigral cells,
inhibition of these channels counteracted a form of adenosine-mediated LTD by
activating the ERK cascade. Interfering with eCB-, adenosine-, and ERK signaling
in vivo alleviated motor abnormalities, which supports that synaptic modulation
of striatal pathways affects behavior. Thus, our results establish a central role
of coordinated synaptic plasticity at MSN subpopulations in motor control.
PMID- 26549454
TI - Slow-gamma Rhythms Coordinate Cingulate Cortical Responses to Hippocampal Sharp
Wave Ripples during Wakefulness.
AB - Behavioral changes in response to reward require monitoring past behavior
relative to present outcomes. This is thought to involve a fine coordination
between the hippocampus (HIPP), which stores and replays memories of past events,
and cortical regions such as cingulate cortex, responsible for behavioral
planning. Sharp-wave ripple (SWR)-mediated memory replay in the HIPP of awake
rodents contributes to learning, but cortical responses to hippocampal SWR during
wakefulness are not known. We now show that in rats, during quiet-wakefulness,
cingulate neurons exhibit significant responses to SWR, as well as increased
modulation by the accompanying hippocampal local field potential slow-gamma
oscillation, a rhythm associated with intra-hippocampal information processing.
The magnitude of cingulate neurons' responses to SWR is significantly correlated
with the degree of their modulation by HIPP slow-gamma. We hypothesize that
during pauses cingulate neurons transiently access episodic information
concerning previous choices, replayed by HIPP SWR, to evaluate past trajectories
in light of their outcome.
PMID- 26549456
TI - Genomic Alterations in CIITA Are Frequent in Primary Mediastinal Large B Cell
Lymphoma and Are Associated with Diminished MHC Class II Expression.
AB - Primary mediastinal large B cell lymphoma (PMBCL) is an aggressive non-Hodgkin's
lymphoma, predominantly affecting young patients. We analyzed 45 primary PMBCL
tumor biopsies and 3 PMBCL-derived cell lines for the presence of genetic
alterations involving the major histocompatibility complex (MHC) class II
transactivator CIITA and found frequent aberrations consisting of structural
genomic rearrangements, missense, nonsense, and frame-shift mutations (53% of
primary tumor biopsies and all cell lines). We also detected intron 1 mutations
in 47% of the cases, and detailed sequence analysis strongly suggests AID
mediated aberrant somatic hypermutation as the mutational mechanism. Furthermore,
we demonstrate that genomic lesions in CIITA result in decreased protein
expression and reduction of MHC class II surface expression, creating an immune
privilege phenotype in PMBCL. In summary, we establish CIITA alterations as a
common mechanism of immune escape through reduction of MHC class II expression in
PMBCL, with potential implications for future treatments targeting
microenvironment-related biology.
PMID- 26549455
TI - Engineered Mammalian RNAi Can Elicit Antiviral Protection that Negates the
Requirement for the Interferon Response.
AB - Although the intrinsic antiviral cell defenses of many kingdoms utilize pathogen
specific small RNAs, the antiviral response of chordates is primarily protein
based and not uniquely tailored to the incoming microbe. In an effort to explain
this evolutionary bifurcation, we determined whether antiviral RNAi was
sufficient to replace the protein-based type I interferon (IFN-I) system of
mammals. To this end, we recreated an RNAi-like response in mammals and
determined its effectiveness to combat influenza A virus in vivo in the presence
and absence of the canonical IFN-I system. Mammalian antiviral RNAi, elicited by
either host- or virus-derived small RNAs, effectively attenuated virus and
prevented disease independently of the innate immune response. These data find
that chordates could have utilized RNAi as their primary antiviral cell defense
and suggest that the IFN-I system emerged as a result of natural selection
imposed by ancient pathogens.
PMID- 26549457
TI - Adult Thymic Medullary Epithelium Is Maintained and Regenerated by Lineage
Restricted Cells Rather Than Bipotent Progenitors.
AB - Medullary thymic epithelial cells (mTECs) play an essential role in establishing
self-tolerance in T cells. mTECs originate from bipotent TEC progenitors that
generate both mTECs and cortical TECs (cTECs), although mTEC-restricted
progenitors also have been reported. Here, we report in vivo fate-mapping
analysis of cells that transcribe beta5t, a cTEC trait expressed in bipotent
progenitors, during a given period in mice. We show that, in adult mice, most
mTECs are derived from progenitors that transcribe beta5t during embryogenesis
and the neonatal period up to 1 week of age. The contribution of adult beta5t(+)
progenitors was minor even during injury-triggered regeneration. Our results
further demonstrate that adult mTEC-restricted progenitors are derived from
perinatal beta5t(+) progenitors. These results indicate that the adult thymic
medullary epithelium is maintained and regenerated by mTEC-lineage cells that
pass beyond the bipotent stage during early ontogeny.
PMID- 26549458
TI - Histone Deacetylases Positively Regulate Transcription through the Elongation
Machinery.
AB - Transcription elongation regulates the expression of many genes, including
oncogenes. Histone deacetylase (HDAC) inhibitors (HDACIs) block elongation,
suggesting that HDACs are involved in gene activation. To understand this, we
analyzed nascent transcription and elongation factor binding genome-wide after
perturbation of elongation with small molecule inhibitors. We found that HDACI
mediated repression requires heat shock protein 90 (HSP90) activity. HDACIs
promote the association of RNA polymerase II (RNAP2) and negative elongation
factor (NELF), a complex stabilized by HSP90, at the same genomic sites.
Additionally, HDACIs redistribute bromodomain-containing protein 4 (BRD4), a key
elongation factor involved in enhancer activity. BRD4 binds to newly acetylated
sites, and its occupancy at promoters and enhancers is reduced. Furthermore,
HDACIs reduce enhancer activity, as measured by enhancer RNA production.
Therefore, HDACs are required for limiting acetylation in gene bodies and
intergenic regions. This facilitates the binding of elongation factors to
properly acetylated promoters and enhancers for efficient elongation.
PMID- 26549459
TI - Cellular Heterogeneity in the Level of mtDNA Heteroplasmy in Mouse Embryonic Stem
Cells.
AB - Variation in the level of mtDNA heteroplasmy in adult tissues is commonly seen in
patients with a mixture of wild-type and mutant mtDNA. A mixture of different
mtDNA variants may influence such variation and cause mtDNA segregation bias. We
analyzed cellular heterogeneity in embryonic stem cells (ESCs) derived from a
polymorphic mouse model containing NZB and BALB mtDNA genotypes. In ESCs, inter
colony heterogeneity varied up to 61%, whereas intra-colony heterogeneity varied
up to 100%. Three out of five cell lines displayed nearly homoplasmic BALB and
NZB mtDNA haplotypes in differentiated single cells. The proportion of NZB mtDNA
genotype increased with progressive passaging (0.39%; p = 0.002). These results
demonstrate the bimodal segregation of mtDNA haplotypes, indicating the
occurrence of tissues with variable levels of heteroplasmies in individuals with
mtDNA mutations. Furthermore, proliferation of one mtDNA genotype over another
may pose the risk of accumulating mutant mtDNAs during subsequent cell divisions.
PMID- 26549461
TI - DeepCAGE Transcriptomics Reveal an Important Role of the Transcription Factor
MAFB in the Lymphatic Endothelium.
AB - VEGF-C/VEGFR-3 signaling plays a central role in lymphatic development,
regulating the budding of lymphatic progenitor cells from embryonic veins and
maintaining the expression of PROX1 during later developmental stages. However,
how VEGFR-3 activation translates into target gene expression is still not
completely understood. We used cap analysis of gene expression (CAGE) RNA
sequencing to characterize the transcriptional changes invoked by VEGF-C in LECs
and to identify the transcription factors (TFs) involved. We found that MAFB, a
TF involved in differentiation of various cell types, is rapidly induced and
activated by VEGF-C. MAFB induced expression of PROX1 as well as other TFs and
markers of differentiated LECs, indicating a role in the maintenance of the
mature LEC phenotype. Correspondingly, E14.5 Mafb(-/-) embryos showed impaired
lymphatic patterning in the skin. This suggests that MAFB is an important TF
involved in lymphangiogenesis.
PMID- 26549460
TI - Global Reprogramming of Host SUMOylation during Influenza Virus Infection.
AB - Dynamic nuclear SUMO modifications play essential roles in orchestrating cellular
responses to proteotoxic stress, DNA damage, and DNA virus infection. Here, we
describe a non-canonical host SUMOylation response to the nuclear-replicating RNA
pathogen, influenza virus, and identify viral RNA polymerase activity as a major
contributor to SUMO proteome remodeling. Using quantitative proteomics to compare
stress-induced SUMOylation responses, we reveal that influenza virus infection
triggers unique re-targeting of SUMO to 63 host proteins involved in
transcription, mRNA processing, RNA quality control, and DNA damage repair. This
is paralleled by widespread host deSUMOylation. Depletion screening identified
ten virus-induced SUMO targets as potential antiviral factors, including C18orf25
and the SMC5/6 and PAF1 complexes. Mechanistic studies further uncovered a role
for SUMOylation of the PAF1 complex component, parafibromin (CDC73), in
potentiating antiviral gene expression. Our global characterization of influenza
virus-triggered SUMO redistribution provides a proteomic resource to understand
host nuclear SUMOylation responses to infection.
PMID- 26549462
TI - Influence of nutrient restriction and melatonin supplementation of pregnant ewes
on maternal and fetal pancreatic digestive enzymes and insulin-containing
clusters.
AB - Primiparous ewes (n=32) were assigned to dietary treatments in a 2*2 factorial
arrangement to determine effects of nutrient restriction and melatonin
supplementation on maternal and fetal pancreatic weight, digestive enzyme
activity, concentration of insulin-containing clusters and plasma insulin
concentrations. Treatments consisted of nutrient intake with 60% (RES) or 100%
(ADQ) of requirements and melatonin supplementation at 0 (CON) or 5 mg/day (MEL).
Treatments began on day 50 of gestation and continued until day 130. On day 130,
blood was collected under general anesthesia from the uterine artery, uterine
vein, umbilical artery and umbilical vein for plasma insulin analysis. Ewes were
then euthanized and the pancreas removed from the ewe and fetus, trimmed of
mesentery and fat, weighed and snap-frozen until enzyme analysis. In addition,
samples of pancreatic tissue were fixed in 10% formalin solution for histological
examination including quantitative characterization of size and distribution of
insulin-containing cell clusters. Nutrient restriction decreased (P?0.001)
maternal pancreatic mass (g) and alpha-amylase activity (U/g, kU/pancreas, U/kg
BW). Ewes supplemented with melatonin had increased pancreatic mass (P=0.03) and
alpha-amylase content (kU/pancreas and U/kg BW). Melatonin supplementation
decreased (P=0.002) maternal pancreatic insulin-positive tissue area (relative to
section of tissue), and size of the largest insulin-containing cell cluster
(P=0.04). Nutrient restriction decreased pancreatic insulin-positive tissue area
(P=0.03) and percent of large (32 001 to 512 000 um2) and giant (?512 001 um2)
insulin-containing cell clusters (P=0.04) in the fetus. Insulin concentrations in
plasma from the uterine vein, umbilical artery and umbilical vein were greater
(P?0.01) in animals receiving 100% requirements. When comparing ewes to fetuses,
ewes had a greater percentage of medium insulin-containing cell clusters (2001 to
32 000 um2) while fetuses had more (P<0.001) pancreatic insulin-positive area
(relative to section of tissue) and a greater percent of small, large and giant
insulin-containing cell clusters (P?0.02). Larger insulin-containing clusters
were observed in fetuses (P<0.001) compared with ewes. In summary, the maternal
pancreas responded to nutrient restriction by decreasing pancreatic weight and
activity of digestive enzymes while melatonin supplementation increased alpha
amylase content. Nutrient restriction decreased the number of pancreatic insulin
containing clusters in fetuses while melatonin supplementation did not influence
insulin concentration. This indicated using melatonin as a therapeutic agent to
mitigate reduced pancreatic function in the fetus due to maternal nutrient
restriction may not be beneficial.
PMID- 26549463
TI - Integrins and haptoglobin: Molecules overexpressed in ovarian cancer.
AB - Integrins are adhesion molecules whose expression is upregulated during different
cellular processes such as adhesion, growth, proliferation, migration, survival
and differentiation, all of which are involved in neoplastic development. Several
reports have linked the overexpression of integrins with epithelial ovarian
cancer (EOC). Furthermore, fucosylated haptoglobin (Hp) isoforms with antioxidant
activity and synthesized primarily in the liver have also been associated with
various types of cancer, including ovarian cancer. Here, we determined the level
of expression of three integrin heterodimers (alpha5beta1, alpha6beta4, and
alphaVbeta3) and fucosyltated Hp in two different settings: cell cultures and
biopsies from ovarian cancer patients. On the one hand, integrin heterodimers
were analyzed in the ovarian cancer cell line (SKOV-3), two primary cultures
(INCan017 and INCan019) and a tumor derived from INCan017 (T-017) by Western
blot. Statistical analysis was performed using one-way ANOVA. The SKOV-3 cell
line, INCan017 and INCan019 primary cultures, and the T-017 tumor showed
increased expression patterns of the alpha5, alphaV, beta1, beta3, and beta4
integrin subunits when compared with healthy ovary tissue. We then analyzed the
expression pattern of the integrin subunits as well as the fucosylated Hp in
biopsies from patients with different histotypes of EOC by immunofluorescence.
alpha5beta1 and alpha6beta4 integrins were expressed by 90% of the samples,
whereas 80% expressed the alphaVbeta3 integrin. Furthermore, Hp, fucosylated or
not, was present at high levels in most biopsies. In fact, there was a
statistical correlation between the expression of integrins or Hp and the
presence of the disease given that alpha5beta1, alpha6beta4, and alphaVbeta3
integrins, Hp, fucosylated Hp and additional fucosylation state of proteins were
highly expressed in biopsies of EOC histotypes when compared with healthy ovarian
tissue. However, the statistical analysis showed no association of the presence
of integrins, Hp or fucosylation with clinical or pathological characteristics of
EOC patients. These results suggest that increased expression of these molecules
and of the fucosylation modification are characteristics of the malignant process
itself. Therefore, these molecules may be promising therapeutic targets in
patients with this type of neoplasia.
PMID- 26549464
TI - The Biarzo case in northern Italy: is the temporal dynamic of swine mitochondrial
DNA lineages in Europe related to domestication?
AB - Genetically-based reconstructions of the history of pig domestication in Europe
are based on two major pillars: 1) the temporal changes of mitochondrial DNA
lineages are related to domestication; 2) Near Eastern haplotypes which appeared
and then disappeared in some sites across Europe are genetic markers of the first
Near Eastern domestic pigs. We typed a small but informative fragment of the
mitochondrial DNA in 23 Sus scrofa samples from a site in north eastern Italy
(Biarzo shelter) which provides a continuous record across a ~6,000 year time
frame from the Upper Palaeolithic to the Neolithic. We additionally carried out
several radiocarbon dating. We found that a rapid mitochondrial DNA turnover
occurred during the Mesolithic, suggesting that substantial changes in the
composition of pig mitochondrial lineages can occur naturally across few
millennia independently of domestication processes. Moreover, so-called Near
Eastern haplotypes were present here at least two millennia before the arrival of
Neolithic package in the same area. Consequently, we recommend a re-evaluation of
the previous idea that Neolithic farmers introduced pigs domesticated in the Near
East, and that Mesolithic communities acquired domestic pigs via cultural
exchanges, to include the possibility of a more parsimonious hypothesis of local
domestication in Europe.
PMID- 26549465
TI - Implementation of the malaria candidate vaccine RTS,S/AS01.
PMID- 26549467
TI - A balanced secondary structure predictor.
AB - Secondary structure (SS) refers to the local spatial organization of a
polypeptide backbone atoms of a protein. Accurate prediction of SS can provide
crucial features to form the next higher level of 3D structure of a protein
accurately. SS has three different major components, helix (H), beta (E) and coil
(C). Most of the SS predictors express imbalanced accuracies by claiming higher
prediction performances in predicting H and C, and on the contrary having low
accuracy in E predictions. E component being in low count, a predictor may show
very good overall performance by over-predicting H and C and under predicting E,
which can make such predictors biologically inapplicable. In this work we are
motivated to develop a balanced SS predictor by incorporating 33 physicochemical
properties into 15-tuble peptides via Chou's general PseAAC, which allowed
obtaining higher accuracies in predicting all three SS components. Our approach
uses three different support vector machines for binary classification of the
major classes and then form optimized multiclass predictor using genetic
algorithm (GA). The trained three binary SVMs are E versus non-E (i.e., E/!E),
C/!C and H/!H. This GA based optimized and combined three class predictor, called
cSVM, is further combined with SPINE X to form the proposed final balanced
predictor, called MetaSSPred. This novel paradigm assists us in optimizing the
precision and recall. We prepared two independent test datasets (CB471 and N295)
to compare the performance of our predictors with SPINE X. MetaSSPred
significantly increases beta accuracy (QE) for both the datasets. QE score of
MetaSSPred on CB471 and N295 were 71.7% and 74.4% respectively. These scores are
20.9% and 19.0% improvement over the QE scores given by SPINE X alone on CB471
and N295 datasets respectively. Standard deviations of the accuracies across
three SS classes of MetaSSPred on CB471 and N295 datasets were 4.2% and 2.3%
respectively. On the other hand, for SPINE X, these values are 12.9% and 10.9%
respectively. These findings suggest that the proposed MetaSSPred is a well
balanced SS predictor compared to the state-of-the-art SPINE X predictor.
PMID- 26549466
TI - Public health impact and cost-effectiveness of the RTS,S/AS01 malaria vaccine: a
systematic comparison of predictions from four mathematical models.
AB - BACKGROUND: The phase 3 trial of the RTS,S/AS01 malaria vaccine candidate showed
modest efficacy of the vaccine against Plasmodium falciparum malaria, but was not
powered to assess mortality endpoints. Impact projections and cost-effectiveness
estimates for longer timeframes than the trial follow-up and across a range of
settings are needed to inform policy recommendations. We aimed to assess the
public health impact and cost-effectiveness of routine use of the RTS,S/AS01
vaccine in African settings. METHODS: We compared four malaria transmission
models and their predictions to assess vaccine cost-effectiveness and impact. We
used trial data for follow-up of 32 months or longer to parameterise vaccine
protection in the group aged 5-17 months. Estimates of cases, deaths, and
disability-adjusted life-years (DALYs) averted were calculated over a 15 year
time horizon for a range of levels of Plasmodium falciparum parasite prevalence
in 2-10 year olds (PfPR2-10; range 3-65%). We considered two vaccine schedules:
three doses at ages 6, 7.5, and 9 months (three-dose schedule, 90% coverage) and
including a fourth dose at age 27 months (four-dose schedule, 72% coverage). We
estimated cost-effectiveness in the presence of existing malaria interventions
for vaccine prices of US$2-10 per dose. FINDINGS: In regions with a PfPR2-10 of
10-65%, RTS,S/AS01 is predicted to avert a median of 93,940 (range 20,490
126,540) clinical cases and 394 (127-708) deaths for the three-dose schedule, or
116,480 (31,450-160,410) clinical cases and 484 (189-859) deaths for the four
dose schedule, per 100,000 fully vaccinated children. A positive impact is also
predicted at a PfPR2-10 of 5-10%, but there is little impact at a prevalence of
lower than 3%. At $5 per dose and a PfPR2-10 of 10-65%, we estimated a median
incremental cost-effectiveness ratio compared with current interventions of $30
(range 18-211) per clinical case averted and $80 (44-279) per DALY averted for
the three-dose schedule, and of $25 (16-222) and $87 (48-244), respectively, for
the four-dose schedule. Higher ICERs were estimated at low PfPR2-10 levels.
INTERPRETATION: We predict a significant public health impact and high cost
effectiveness of the RTS,S/AS01 vaccine across a wide range of settings.
Decisions about implementation will need to consider levels of malaria burden,
the cost-effectiveness and coverage of other malaria interventions, health
priorities, financing, and the capacity of the health system to deliver the
vaccine. FUNDING: PATH Malaria Vaccine Initiative; Bill & Melinda Gates
Foundation; Global Good Fund; Medical Research Council; UK Department for
International Development; GAVI, the Vaccine Alliance; WHO.
PMID- 26549468
TI - PAM: Particle automata model in simulation of Fusarium graminearum pathogen
expansion.
AB - The multi-scale nature and inherent complexity of biological systems are a great
challenge for computer modeling and classical modeling paradigms. We present a
novel particle automata modeling metaphor in the context of developing a 3D model
of Fusarium graminearum infection in wheat. The system consisting of the host
plant and Fusarium pathogen cells can be represented by an ensemble of discrete
particles defined by a set of attributes. The cells-particles can interact with
each other mimicking mechanical resistance of the cell walls and cell
coalescence. The particles can move, while some of their attributes can be
changed according to prescribed rules. The rules can represent cellular scales of
a complex system, while the integrated particle automata model (PAM) simulates
its overall multi-scale behavior. We show that due to the ability of mimicking
mechanical interactions of Fusarium tip cells with the host tissue, the model is
able to simulate realistic penetration properties of the colonization process
reproducing both vertical and lateral Fusarium invasion scenarios. The comparison
of simulation results with micrographs from laboratory experiments shows
encouraging qualitative agreement between the two.
PMID- 26549469
TI - An evolutionary stability perspective on oncogenesis control in mature T-cell
populations.
AB - Here we present a mathematical model for the dynamics of oncogenesis control in
mature T-cell populations within the blood and lymphatic system. T-cell
homeostasis is maintained by clonal competition for trophic niches (survival
signals stimulated through interactions with self-antigens bound to major
histocompatibility molecules), where a clone is defined as the set of T cells
carrying the same antigen specific T-cell receptor (TCR). We analytically derive
fitness functions of healthy and leukemic clone variants, respectively, that
capture the dependency of the stability of the healthy T-cell pool against
leukemic invaders on clonal diversity and kinetic parameters. Similar to the
stability of ecosystems with high biodiversity, leukemic mutants are suppressed
within polyclonal T-cell populations, i.e., in the presence of a huge number of
different TCRs. To the contrary, for a low clonal diversity the leukemic clone
variants are able to invade the healthy T-cell pool. The model, therefore,
describes the experimentally observed phenomenon that preleukemic clone variants
prevail in quasi-monoclonal experimental settings (in mice), whereas in
polyclonal settings the healthy TCR variants are able to suppress the outgrowth
of tumours. Between the two extremal situations of mono- and polyclonality there
exists a range of coexistence of healthy and oncogenic clone variants with
moderate fitness (stability) each. A variation of cell cycle times considerably
changes the dynamics within this coexistence region. Faster proliferating
variants increase their chance to dominate. Finally, a simplified niche variation
scheme illustrates a possible mechanism to increase clonal T-cell diversity given
a small niche diversity.
PMID- 26549470
TI - A biophysical model of cell evolution after cytotoxic treatments: Damage, repair
and cell response.
AB - We present a theoretical agent-based model of cell evolution under the action of
cytotoxic treatments, such as radiotherapy or chemotherapy. The major features of
cell cycle and proliferation, cell damage and repair, and chemical diffusion are
included. Cell evolution is based on a discrete Markov chain, with cells stepping
along a sequence of discrete internal states from 'normal' to 'inactive'.
Probabilistic laws are introduced for each type of event a cell can undergo
during its life: duplication, arrest, senescence, damage, reparation, or death.
We adjust the model parameters on a series of cell irradiation experiments,
carried out in a clinical LINAC, in which the damage and repair kinetics of
single- and double-strand breaks are followed. Two showcase applications of the
model are then presented. In the first one, we reconstruct the cell survival
curves from a number of published low- and high-dose irradiation experiments. We
reobtain a very good description of the data without assuming the well-known
linear-quadratic model, but instead including a variable DSB repair probability.
The repair capability of the model spontaneously saturates to an exponential
decay at increasingly high doses. As a second test, we attempt to simulate the
two extreme possibilities of the so-called 'bystander' effect in radiotherapy:
the 'local' effect versus a 'global' effect, respectively activated by the short
range or long-range diffusion of some factor, presumably secreted by the
irradiated cells. Even with an oversimplified simulation, we could demonstrate a
sizeable difference in the proliferation rate of non-irradiated cells, the
proliferation acceleration being much larger for the global than the local
effect, for relatively small fractions of irradiated cells in the colony.
PMID- 26549471
TI - In reply: Predictability of prevention of hypoxia by nasal high-flow system in
dental procedures.
PMID- 26549472
TI - Special Contribution: Third Molar Clinical Trials Annotated Bibliography.
AB - PURPOSE: To provide clinicians with an annotated bibliography of published
articles from research funded externally by the Oral and Maxillofacial Surgery
Foundation, spanning 1996 to 2015, addressing the topic of third molar
management. MATERIALS AND METHODS: A brief summary for each article was generated
by the respective authors. RESULTS: The complete annotated bibliography generated
by the authors is included in the Appendix. CONCLUSION: The annotated
bibliography provides clinicians and other interested individuals with a summary
of current literature emanating from clinical studies on third molar topics.
PMID- 26549473
TI - Oral Surgical Procedures Performed Safely in Patients With Head and Neck
Arteriovenous Malformations: A Retrospective Case Series of 12 Patients.
AB - PURPOSE: This case series describes patients with head and neck arteriovenous
malformations who underwent oral and maxillofacial surgical procedures combined
with interventional radiology techniques to minimize blood loss. MATERIALS AND
METHODS: Twelve patients underwent femoral cerebral angiography to visualize the
extent of vascular malformation. Before the surgical procedures, surgical sites
were devascularized by direct injection of hemostatic or embolic agents. Direct
puncture sclerotherapy at the base of surgical sites was performed using Surgiflo
or n-butylcyanoacrylate glue. Surgical procedures were carried out in routine
fashion. A hemostatic packing of FloSeal, Gelfoam, and Avitene was adapted to the
surgical sites. RESULTS: Direct puncture sclerotherapy with Surgiflo or n
butylcyanoacrylate glue resulted in minimal blood loss intraoperatively. Local
application of the FloSeal, Gelfoam, and Avitene packing sustained hemostasis and
produced excellent healing postoperatively. CONCLUSION: Patients with
arteriovenous malformations can safely undergo routine oral and maxillofacial
surgical procedures with minimal blood loss when appropriate endovascular
techniques and local hemostatic measures are used by the interventional
radiologist and oral and maxillofacial surgeon.
PMID- 26549474
TI - Reconstruction of Tongue Defects With the Contralateral Nasolabial Island Flap.
AB - PURPOSE: Reconstruction of tongue defects after cancer resection is challenging
for reconstructive surgeons. Conventional local flaps are usually compromised in
patients with suspected ipsilateral neck metastasis. To extend the application of
the nasolabial flap, especially in circumstances in which a free flap is
unavailable, the contralateral nasolabial island flap was used, with favorable
outcomes. PATIENTS AND METHODS: Seven patients presenting with tongue carcinoma
underwent surgical resection and neck dissection. Tongue defects were
simultaneously reconstructed using a contralateral nasolabial island flap.
Clinical outcomes, including locoregional recurrence and distant metastasis, were
recorded. Subjective functional outcomes were investigated using the University
of Washington Quality of Life Questionnaire. RESULTS: All flaps survived without
partial or complete necrosis. All patients survived without locoregional
recurrence or distant metastasis during follow-up (6 months to 2 years).
Functional outcomes were satisfactory, especially swallowing and speech
functions. Donor-site morbidity was minimal and the scars were inconspicuously
hidden in the nasolabial fold. CONCLUSIONS: The contralateral nasolabial island
flap is technically feasible and can be an excellent option for tongue
reconstruction without compromising oncologic safety.
PMID- 26549475
TI - Trajectories of psychological distress after prison release: implications for
mental health service need in ex-prisoners.
AB - BACKGROUND: Understanding individual-level changes in mental health status after
prison release is crucial to providing targeted and effective mental health care
to ex-prisoners. We aimed to describe trajectories of psychological distress
following prison discharge and compare these trajectories with mental health
service use in the community. METHOD: The Kessler Psychological Distress Scale
(K10) was administered to 1216 sentenced adult prisoners in Queensland,
Australia, before prison release and approximately 1, 3 and 6 months after
release. We used group-based trajectory modeling to identify K10 trajectories
after release. Contact with community mental health services in the year
following release was assessed via data linkage. RESULTS: We identified five
trajectory groups, representing consistently low (51.1% of the cohort),
consistently moderate (29.8%), high increasing (11.6%), high declining (5.5%) and
consistently very high (1.9%) psychological distress. Mood disorder, anxiety
disorder, history of self-harm and risky drug use were risk factors for the high
increasing, very high and high declining trajectory groups. Women were over
represented in the high increasing and high declining groups, but men were at
higher risk of very high psychological distress. Within the high increasing and
very high groups, 25% of participants accessed community mental health services
in the first year post-release, for a median of 4.4 contact hours. CONCLUSIONS:
For the majority of prisoners with high to very high psychological distress,
distress persists after release. However, contact with mental health services in
the community appears low. Further research is required to understand barriers to
mental health service access among ex-prisoners.
PMID- 26549476
TI - Mitochondrial response in a toddler-aged swine model following diffuse non-impact
traumatic brain injury.
AB - Traumatic brain injury (TBI) is an important health problem, and a leading cause
of death in children worldwide. Mitochondrial dysfunction is a critical component
of the secondary TBI cascades. Mitochondrial response in the pediatric brain has
limited investigation, despite evidence that the developing brain's response
differs from that of the adult, especially in diffuse non-impact TBI. We
performed a detailed evaluation of mitochondrial bioenergetics using high
resolution respirometry in a swine model of diffuse TBI (rapid non-impact
rotational injury: RNR), and examined the cortex and hippocampus. A substrate
uncoupler-inhibitor-titration protocol examined the role of the individual
complexes as well as the uncoupled maximal respiration. Respiration per mg of
tissue was also related to citrate synthase activity (CS) as an attempt to
control for variability in mitochondrial content following injury. Diffuse RNR
stimulated increased complex II-driven respiration relative to mitochondrial
content in the hippocampus compared to shams. LEAK (State 4o) respiration
increased in both regions, with decreased respiratory ratios of convergent
oxidative phosphorylation through complex I and II, compared to sham animals,
indicating uncoupling of oxidative phosphorylation at 24h. The study suggests
that proportionately, complex I contribution to convergent mitochondrial
respiration was reduced in the hippocampus after RNR, with a simultaneous
increase in complex-II driven respiration. Mitochondrial respiration 24h after
diffuse TBI varies by location within the brain. We concluded that significant
uncoupling of oxidative phosphorylation and alterations in convergent respiration
through complex I- and complex II-driven respiration reveals therapeutic
opportunities for the injured at-risk pediatric brain.
PMID- 26549477
TI - Biological activity of a small molecule indole analog, 1-[(1H-indol-3
yl)methylene]-2-phenylhydrazine (HMPH), in chronic inflammation.
AB - A synthetic small molecule, 1-[(1H-indol-3-yl)methylene]-2-phenylhydrazine (HMPH)
was conveniently synthesised by a one-step reaction, purified and characterised
by chromatographic and spectroscopic methods. HMPH scavenged free radicals and
inhibited lipopolysaccharide (LPS)-induced ROS generation and NO release in RAW
264.7 cells without signs of any detectable cytotoxicity. HMPH inhibited lipid
peroxidation (LPO) with IC50 of 135 +/- 9 as against 58 +/- 8 MUM for alpha
tocopherol. Further, HMPH (>50 MUM) significantly reduced the LPS-induced TNF
alpha release in mouse peritoneal macrophages and in human peripheral blood
mononuclear cells (PBMCs). HMPH did not show any visible signs of toxicity in
rats up to 400 mg/kg/intraperitoneal and 2000 mg/kg/oral. HMPH at 25 and 50 mg/kg
attenuated neutrophil infiltration in air-pouch lavage and bronchoalveolar lavage
(BAL) in rat models. HMPH also reduced myeloperoxidase (MPO), nitrite and TNF
alpha in air-pouch lavage in addition to MPO in plasma. HMPH reduced acute paw
inflammation in carrageenan-induced paw-edema. HMPH consistently decreased both
ipsilateral and contralateral paw inflammation, minimised the clinical scores of
arthritis, prevented body weight (B.wt.) loss, attenuated serum C-reactive
protein (C-RP) and rheumatoid factors (RF) in rat model of adjuvant-induced
arthritis. Histopathology and radio-graphical reports show that HMPH reduced bone
erosion in both ipsilateral and contralateral paw joints. Failure to inhibit COX
suggests that effectiveness of HMPH in both acute and chronic inflammation is
mediated by a multimodal mechanism involving modulation of immunity, attenuating
TNF-alpha, protecting bone attrition and reducing oxidative stress.
PMID- 26549478
TI - Resveratrol induces cell death and inhibits human herpesvirus 8 replication in
primary effusion lymphoma cells.
AB - Resveratrol (3,4',5-trihydroxy-trans-stilbene) has been reported to inhibit
proliferation of various cancer cells. However, the effects of resveratrol on the
human herpesvirus 8 (HHV8) harboring primary effusion lymphoma (PEL) cells
remains unclear. The anti-proliferation effects and possible mechanisms of
resveratrol in the HHV8 harboring PEL cells were examined in this study. Results
showed that resveratrol induced caspase-3 activation and the formation of acidic
vacuoles in the HHV8 harboring PEL cells, indicating resveratrol treatment could
cause apoptosis and autophagy in PEL cells. In addition, resveratrol treatment
increased ROS generation but did not lead to HHV8 reactivation. ROS scavenger (N
acetyl cysteine, NAC) could attenuate both the resveratrol induced caspase-3
activity and the formation of acidic vacuoles, but failed to attenuate
resveratrol induced PEL cell death. Caspase inhibitor, autophagy inhibitors and
necroptosis inhibitor could not block resveratrol induced PEL cell death.
Moreover, resveratrol disrupted HHV8 latent infection, inhibited HHV8 lytic gene
expression and decreased virus progeny production. Overexpression of HHV8-encoded
viral FLICE inhibitory protein (vFLIP) could partially block resveratrol induced
cell death in PEL cells. These data suggest that resveratrol-induced cell death
in PEL cells may be mediated by disruption of HHV8 replication. Resveratrol may
be a potential anti-HHV8 drug and an effective treatment for HHV8-related tumors.
PMID- 26549479
TI - Catalpol downregulates vascular endothelial-cadherin expression and induces
vascular hyperpermeability.
AB - Catalpol, an iridiod glucoside isolated from Rehmannia glutinosa, has been
reported to possess anti-inflammatory properties. However, the molecular
mechanisms underlying this effect have not been fully elucidated. This study
aimed to investigate the effects of catalpol on vascular permeability. Using
Transwell permeability assays and measurements of trans-endothelial electrical
resistance (TEER), it was demonstrated that 1 mM catalpol induces a significant
increase in the permeability of the monolayers of human umbilical vein
endothelial cells (HUVECs). Western blotting and immunofluorescence demonstrated
that catalpol inhibits the expression of vascular endothelial (VE)-cadherin, the
key component of adherens junctions, but not occludin, the major constituent of
tight junctions. In addition, catalpol inhibits the ETS transcription factor ERG,
a positive regulator of VE-cadherin. Knockdown of ERG expression compromised the
catalpol-induced reduction of TEER in HUVECs. The present study revealed a novel
effect of catalpol on vascular permeability and gave insight into the
multifaceted roles of catalpol in inflammation.
PMID- 26549480
TI - Relationship between patient safety climate and standard precaution adherence: a
systematic review of the literature.
AB - Standard precaution (SP) adherence is universally suboptimal, despite being a
core component of healthcare-associated infection (HCAI) prevention and
healthcare worker (HCW) safety. Emerging evidence suggests that patient safety
climate (PSC) factors may improve HCW behaviours. Our aim was to examine the
relationship between PSC and SP adherence by HCWs in acute care hospitals. A
systematic review was conducted as guided by the Preferred Reporting Items for
Systematic Reviews and Meta-Analysis. Three electronic databases were
comprehensively searched for literature published or available in English between
2000 and 2014. Seven of 888 articles identified were eligible for final inclusion
in the review. Two reviewers independently assessed study quality using a
validated quality tool. The seven articles were assigned quality scores ranging
from 7 to 10 of 10 possible points. Five measured all aspects of SP and two
solely measured needlestick and sharps handling. Three included a secondary
outcome of HCW exposure; none included HCAIs. All reported a statistically
significant relationship between better PSC and greater SP adherence and used
data from self-report surveys including validated PSC measures or measures of
management support and leadership. Although limited in number, studies were of
high quality and confirmed that PSC and SP adherence were correlated, suggesting
that efforts to improve PSC may enhance adherence to a core component of HCAI
prevention and HCW safety. More clearly evident is the need for additional high
quality research.
PMID- 26549481
TI - Design of a microfluidic device to quantify dynamic intra-nuclear deformation
during cell migration through confining environments.
AB - The ability of cells to migrate through tissues and interstitial spaces is an
essential factor during development and tissue homeostasis, immune cell mobility,
and in various human diseases. Deformation of the nucleus and its associated
lamina during 3-D migration is gathering increasing interest in the context of
cancer metastasis, with the underlying hypothesis that a softer nucleus,
resulting from reduced levels of lamin A/C, may aid tumour spreading. However,
current methods to study the migration of cells in confining three dimensional (3
D) environments are limited by their imprecise control over the confinement,
physiological relevance, and/or compatibility with high resolution imaging
techniques. We describe the design of a polydimethylsiloxane (PDMS) microfluidic
device composed of channels with precisely-defined constrictions mimicking
physiological environments that enable high resolution imaging of live and fixed
cells. The device promotes easy cell loading and rapid, yet long-lasting (>24
hours) chemotactic gradient formation without the need for continuous perfusion.
Using this device, we obtained detailed, quantitative measurements of dynamic
nuclear deformation as cells migrate through tight spaces, revealing distinct
phases of nuclear translocation through the constriction, buckling of the nuclear
lamina, and severe intranuclear strain. Furthermore, we found that lamin A/C
deficient cells exhibited increased and more plastic nuclear deformations
compared to wild-type cells but only minimal changes in nuclear volume, implying
that low lamin A/C levels facilitate migration through constrictions by
increasing nuclear deformability rather than compressibility. The integration of
our migration devices with high resolution time-lapse imaging provides a powerful
new approach to study intracellular mechanics and dynamics in a variety of
physiologically-relevant applications, ranging from cancer cell invasion to
immune cell recruitment.
PMID- 26549482
TI - Determination of the vertical ground reaction forces acting upon individual limbs
during healthy and clinical gait.
AB - In gait lab, the quantification of the ground reaction forces (GRFs) acting upon
individual limbs is required for dynamic analysis. However, using a single force
plate, only the resultant GRF acting on both limbs is available. The aims of this
study are (a) to develop an algorithm allowing a reliable detection of the front
foot contact (FC) and the back foot off (FO) time events when walking on a single
plate, (b) to reconstruct the vertical GRFs acting upon each limb during the
double contact phase (DC) and (c) to evaluate this reconstruction on healthy and
clinical gait trials. For the purpose of the study, 811 force measurements during
DC were analyzed based on walking trials from 27 healthy subjects and 88
patients. FC and FO are reliably detected using a novel method based on the
distance covered by the centre of pressure. The algorithm for the force
reconstruction is a revised version of the approach of Davis and Cavanagh [24].
In order to assess the robustness of the algorithm, we compare the resulting GRFs
with the real forces measured with individual force plates. The median of the
relative error on force reconstruction is 1.8% for the healthy gait and 2.5% for
the clinical gait. The reconstructed and the real GRFs during DC are strongly
correlated for both healthy and clinical gait data (R(2)=0.998 and 0.991,
respectively).
PMID- 26549483
TI - Can a one-day practical lesson in surgical skills encourage medical students to
consider a surgical career?
AB - Interest in a surgical career is declining among medical students, and many more
need to commit themselves to becoming surgeons to cope with this. We have
therefore developed a one-day practical lesson in surgical skills to find out
whether a short course such as this can make students more enthusiastic about
surgery, and about subsequently pursuing a career in one of its subspecialties.
Fifty-four randomly-selected medical students did a one-day practical course in
the skills required for maxillofacial surgical specialties. The 4 subdivisions
involved - traumatology, resection of a tumour (cancer surgery), plastic surgery
(microsurgery), and cleft lip and palate surgery. All students took written tests
and completed an evaluation form about their interest in a surgical career before
and after training. There was a significant increase in test scores in almost all
categories at the end of the course, and significantly more students were
prepared to consider a surgical career or a career in maxillofacial surgery after
the training. This study shows that a one-day training course in surgical skills
can significantly improve medical students' surgical knowledge, and might
encourage them to enter a surgical career. We recommend the integration of a
short training course such as this into the medical school curriculum. Only time
and further evaluation will tell whether this increased exposure to surgical
techniques can be transformed into additional surgeons.
PMID- 26549484
TI - Transient Activation of Mitoflashes Modulates Nanog at the Early Phase of Somatic
Cell Reprogramming.
AB - The mechanisms of somatic cell reprogramming have been revealed at multiple
levels. However, the lack of tools to monitor different reactive oxygen species
(ROS) has left their distinct signals and roles in reprogramming unknown. We
hypothesized that mitochondrial flashes (mitoflashes), recently identified
spontaneous bursts of mitochondrial superoxide signaling, play a role in
reprogramming. Here we show that the frequency of mitoflashes transiently
increases, accompanied by flash amplitude reduction, during the early stages of
reprogramming. This transient activation of mitoflashes at the early stage
enhances reprogramming, whereas sustained activation impairs reprogramming. The
reprogramming-promoting function of mitoflashes occurs via the upregulation of
Nanog expression that is associated with decreases in the methylation status of
the Nanog promoter through Tet2 occupancy. Together our findings provide a
previously unknown role for superoxide signaling mediated epigenetic regulation
in cell fate determination.
PMID- 26549486
TI - The anti-tumour activity of rLj-RGD4, an RGD toxin protein from Lampetra
japonica, on human laryngeal squamous carcinoma Hep-2 cells in nude mice.
AB - PURPOSE: The objective of this study is to investigate the antiproliferative
activity and mechanism of integrin-binding rLj-RGD4 in a Hep-2 human laryngeal
carcinoma-bearing nude mouse model. METHODS: Human laryngeal squamous carcinoma
cells (Hep-2) were inoculated subcutaneously into the axilla of nude mice to
generate a Hep-2 human laryngeal carcinoma-bearing nude mouse model. When the Hep
2 xenograft model was successfully established, the animals were randomly
separated into five groups. Three groups were treated with different dosages of
rLj-RGD4. Cisplatin was administered to the positive control group, and normal
saline (NaCl) was administered to the negative control group for 3 weeks. The
body weights and the survival of the nude mice were evaluated, and the volumes
and weights of the solid tumours were measured. The mechanism underlying rLj-RGD4
inhibition of tumour growth in transplanted Hep-2 human laryngeal carcinoma
bearing nude mice was evaluated by haematoxylin-eosin (HE) staining, terminal
deoxynucleotidyl transferase-mediated dUTP-biotin nick end labelling (TUNEL),
measurement of intratumoural microvessel density (MVD), Western blotting, and
quantitative reverse transcription-polymerase chain reaction (qRT-PCR). RESULTS:
The tumour volumes and weights of the treatment groups were reduced compared with
the model group, and survival times were improved by rLj-RGD4 treatment in Hep-2
human laryngeal carcinoma-bearing nude mice. The number of apoptotic Hep-2 human
cells and intratumoural MVD significantly decreased after the administration of
rLj-RGD4. In the xenograft tissue of animals treated with rLj-RGD4, FAK, PI3K,
and Akt expression was unaltered, whereas P-FAK, P-PI3K, Bcl-2, P-Akt, and VEGF
levels were down-regulated. In addition, activated caspase-3, activated caspase
9, and Bax levels were up-regulated. CONCLUSION: rLj-RGD4 exhibits potent in vivo
activity and inhibits the growth of transplanted Hep-2 human laryngeal carcinoma
cells in a nude mouse model. Thus, these results indicate that the recombinant
RGD toxin protein rLj-RGD4 may serve as a potent clinical therapy for human
laryngeal squamous carcinoma.
PMID- 26549485
TI - Thermoneutral Housing Accelerates Metabolic Inflammation to Potentiate
Atherosclerosis but Not Insulin Resistance.
AB - Chronic, low-grade inflammation triggered by excess intake of dietary lipids has
been proposed to contribute to the pathogenesis of metabolic disorders, such as
obesity, insulin resistance, type 2 diabetes, and atherosclerosis. Although
considerable evidence supports a causal association between inflammation and
metabolic diseases, most tests of this link have been performed in cold-stressed
mice that are housed below their thermoneutral zone. We report here that
thermoneutral housing of mice has a profound effect on the development of
metabolic inflammation, insulin resistance, and atherosclerosis. Mice housed at
thermoneutrality develop metabolic inflammation in adipose tissue and in the
vasculature at an accelerated rate. Unexpectedly, this increased inflammatory
response contributes to the progression of atherosclerosis but not insulin
resistance. These findings not only suggest that metabolic inflammation can be
uncoupled from obesity-associated insulin resistance, but also point to how
thermal stress might limit our ability to faithfully model human diseases in
mice.
PMID- 26549487
TI - Spatial distribution of heavy metal accumulation in the sediments after dam
construction.
AB - The sedimentary environment has been modified in the Geum River where an estuary
dam and midstream dams were constructed. Furthermore, the Geum River tributaries
deliver contaminants from the wastewater of an industrial complex. However, the
influence of tributaries and dams on sedimentary metal deposition has not been
extensively studied. The objectives of this study are to assess metal
accumulation and to investigate the source of the metals. Sediments were
collected in the main channel and two tributaries on October 2013. Abnormal
accumulations of fine sediments were not observed above the midstream dams.
Chromium, Ni, and Zn showed higher concentrations in above the midstream dam, but
their concentrations were not related to grain size. Cadmium, Cu, Pb, and Hg were
much higher upstream from the first midstream dam and came from one of the major
tributaries. Arsenic was the only element found at higher concentrations
downstream from the last midstream dam and was likely sourced from abandoned
mines and/or agricultural activity. The pollution indexes indicated deposition of
all metals, except Cr and Ni, may have been affected by anthropogenic activity.
With respect to long-term accumulation of the metals, accumulation of Pb, Zn, and
Cu by anthropogenic input largely increased, implying accumulation of these
metals has continued due to anthropogenic activity since the estuary dam was
constructed. Our results suggest that changes in river flow caused by the estuary
dam and anthropogenic input from tributaries sources increased the accumulation
of heavy metals (e.g., Pb, Zn, Cu, and As).
PMID- 26549488
TI - Telephone Assessment and Skill-Building Kit for Stroke Caregivers: A Randomized
Controlled Clinical Trial.
AB - BACKGROUND AND PURPOSE: There are few evidence-based programs for stroke family
caregivers postdischarge. The purpose of this study was to evaluate efficacy of
the Telephone Assessment and Skill-Building Kit (TASK II), a nurse-led
intervention enabling caregivers to build skills based on assessment of their own
needs. METHODS: A total of 254 stroke caregivers (primarily female TASK
II/information, support, and referral 78.0%/78.6%; white 70.7%/72.1%; about half
spouses 48.4%/46.6%) were randomized to the TASK II intervention (n=123) or to an
information, support, and referral group (n=131). Both groups received 8 weekly
telephone sessions, with a booster at 12 weeks. General linear models with
repeated measures tested efficacy, controlling for patient hospital days and call
minutes. Prespecified 8-week primary outcomes were depressive symptoms (with
Patient Health Questionnaire Depressive Symptom Scale PHQ-9 >=5), life changes,
and unhealthy days. RESULTS: Among caregivers with baseline PHQ-9 >=5, those
randomized to the TASK II intervention had a greater reduction in depressive
symptoms from baseline to 8, 24, and 52 weeks and greater improvement in life
changes from baseline to 12 weeks compared with the information, support, and
referral group (P<0.05); but not found for the total sample. Although not
sustained at 12, 24, or 52 weeks, caregivers randomized to the TASK II
intervention had a relatively greater reduction in unhealthy days from baseline
to 8 weeks (P<0.05). CONCLUSIONS: The TASK II intervention reduced depressive
symptoms and improved life changes for caregivers with mild to severe depressive
symptoms. The TASK II intervention reduced unhealthy days for the total sample,
although not sustained over the long term. CLINICAL TRIAL REGISTRATION: URL:
https://www.clinicaltrials.gov. Unique identifier: NCT01275495.
PMID- 26549489
TI - Rates and predictors of three-year mortality in older people in rural Tanzania.
AB - BACKGROUND: There are few data on mortality rates in the general elderly living
in sub-Saharan Africa. We aimed to detail three-year mortality rates in a
population of rural community-dwelling older adults in northern Tanzania.
METHODS: We performed a community-based study of 2232 people aged 70 years and
over living in Hai district, Tanzania. At baseline, participants underwent
clinical assessment for disability, neurological disorders, hypertension, atrial
fibrillation and memory problems. At three-year follow-up mortality data were
collected. Mortality rates were compared to UK estimates. RESULTS: At follow-up,
data were available for 1873 subjects (83.9%). Of those, 208 (11.1%, 95% CI 9.7
12.5) had died. The age-standardised mortality rate was 10.2% (95% CI 8.8-11.6).
Age-standardised mortality rates were lower than estimated for the UK (13.9%). In
Cox regression analysis, greater age, higher levels of functional disability, use
of a walking aid, subjective report of memory problems, being severely
underweight and being normotensive were significant predictors of mortality.
CONCLUSIONS: Those who survive to old age in Tanzania appear to have relatively
low mortality rates. Physical and cognitive disabilities were strongly associated
with mortality risk in this elderly community-dwelling population. The
association between blood pressure and mortality merits further study.
PMID- 26549490
TI - Systematic arthroscopic investigation of the bovine stifle joint.
AB - The objective of the present study was to establish a protocol for arthroscopic
exploration of the bovine stifle joint using craniomedial, caudolateral and
caudomedial approaches. An anatomic and arthroscopic study using 26 cadaveric
limbs from 13 non-lame adult dairy cows was performed. The craniomedial approach
was created between the middle and medial patellar ligaments to investigate the
cranial pouches of the stifle joint. The inter-condylar eminence, the proximal
aspect of the medial femoral trochlear ridge and the lateral aspect of the
lateral femoral condyle were used as starting points for systematic examination
of the medial femorotibial, the femoropatellar and the lateral femorotibial
joints, respectively. The observed structures were: the suprapatellar pouch,
articular surfaces of the patella, femoral trochlear ridges, cruciate ligaments,
menisci, and the meniscotibial ligaments. The arthroscopic portal for the
caudomedial femorotibial pouch was about 6-8 cm caudal to the medial collateral
ligament. The proximal and distal caudolateral femorotibial pouches were explored
3 cm and 1.5 cm caudal to the ipsilateral collateral ligament, respectively. The
observed structures were the caudal aspect of femoral condyles, menisci, caudal
cruciate ligament, popliteal tendon and the meniscofemoral ligament. Restricted
joint size and risk of common peroneal nerve damage were the major limitations
for exploration of the caudal femorotibial compartments. The study described the
arthroscopic portals and normal intra-articular anatomy of the bovine stifle
joint but further investigations are warranted to validate these techniques in
clinical cases.
PMID- 26549491
TI - At the Tip of an Iceberg: Prenatal Marijuana and Its Possible Relation to
Neuropsychiatric Outcome in the Offspring.
AB - Endocannabinoids regulate brain development via modulating neural proliferation,
migration, and the differentiation of lineage-committed cells. In the fetal
nervous system, (endo)cannabinoid-sensing receptors and the enzymatic machinery
of endocannabinoid metabolism exhibit a cellular distribution map different from
that in the adult, implying distinct functions. Notably, cannabinoid receptors
serve as molecular targets for the psychotropic plant-derived cannabis
constituent Delta(9)-tetrahydrocannainol, as well as synthetic derivatives
(designer drugs). Over 180 million people use cannabis for recreational or
medical purposes globally. Recreational cannabis is recognized as a niche drug
for adolescents and young adults. This review combines data from human and
experimental studies to show that long-term and heavy cannabis use during
pregnancy can impair brain maturation and predispose the offspring to
neurodevelopmental disorders. By discussing the mechanisms of cannabinoid
receptor-mediated signaling events at critical stages of fetal brain development,
we organize histopathologic, biochemical, molecular, and behavioral findings into
a logical hypothesis predicting neuronal vulnerability to and attenuated
adaptation toward environmental challenges (stress, drug exposure, medication) in
children affected by in utero cannabinoid exposure. Conversely, we suggest that
endocannabinoid signaling can be an appealing druggable target to dampen neuronal
activity if pre-existing pathologies associate with circuit hyperexcitability.
Yet, we warn that the lack of critical data from longitudinal follow-up studies
precludes valid conclusions on possible delayed and adverse side effects.
Overall, our conclusion weighs in on the ongoing public debate on cannabis
legalization, particularly in medical contexts.
PMID- 26549493
TI - Clostridium difficile infection and intestinal microbiota interactions.
AB - Clostridium difficile remains the leading cause of healthcare-associated
diarrhoea and outbreaks continue to occur worldwide. Aside from nosocomial C.
difficile infection, the bacterium is also increasingly important as a community
pathogen. Furthermore, asymptomatic carriage of C. difficile in neonates, adults
and animals is also well recognised. The investigation of the gut's microbial
communities, in both healthy subjects and patients suffering C. difficile
infection (CDI), provides findings and information relevant for developing new
successful approaches for its treatment, such as faecal microbiota
transplantation, or for the prophylaxis of the infection by modification of the
gut microbiota using functional foods and beverages. The analysis of all
available data shows new insights into the role of intestinal microbiota in
health and disease.
PMID- 26549492
TI - An analysis of the influence of sex hormones on Balb/c mice infected with
Plasmodium berghei.
AB - Sex steroids can determine several responses in the clinical evolution of
malaria. Seventy Balb-c mice were randomly distributed into 7 groups (10 mice per
group): G1 to G6 corresponding to castrated females, castrated females that
received estradiol cypionate, uncastrated females, castrated males, castrated
males that received intramuscular testosterone decanoate and uncastrated males
infected with Plasmodium berghei, and G7, the control group. The mice were
evaluated with regard to survival, parasitemia, temperature, body weight,
hemoglobin level (anemia) and splenic index. Castrated infected females had lower
rates of survival. In the castrated male, the administration of testosterone had
a negative influence on survival. There was a progressive increase in parasitemia
without repercussions for survival. Castration had a significant influence on
weight gain in females. Weight loss was observed in all mice, except those in
groups G2 and G5, although this bore no direct relation to parasitemia. A
significant and progressive decline in temperature and hemoglobin levels occurred
in mice over the course of their infection, which differed from the G7 group. The
weight of the spleen in relation to total body weight did not differ among the
groups of infected mice, but was significantly higher than it was for the control
group.
PMID- 26549494
TI - "It Has No Color, It Has No Gender, It's Gender Bending": Gender and Sexuality
Fluidity and Subversiveness in Drag Performance.
AB - Gender identity is a key question for drag performers. Previous research has
shown a lack of consensus about the subversiveness and gender fluidity of drag
performers. This article examines the question: How does the relationship between
performers and their audience affect the subversive nature and gender
representation of drag performers in this study? Furthermore, is this
relationship complicated by sexuality? This study uses ethnographic and interview
methods, examining experiences of 10 drag performers. Findings indicate mutuality
in the relationship between performers and audience. The recursiveness of this
relationship provides a constant feedback to the performers in their effort to
displace the audience's previously held notions. The performers have fluid
understandings of gender and sexuality, often presenting multiple genders in and
out of drag. Interactions between performers and their audience indicate their
belief in gender fluidity; moreover, the drag performers themselves desire to be
subversive and gender and sexually fluid.
PMID- 26549496
TI - Locators versus magnetic attachment effect on peri-implant tissue health of
immediate loaded two implants retaining a mandibular overdenture: a 1-year
randomised trial.
AB - This study aimed to evaluate peri-implant tissue health of immediate loaded two
implants retaining a mandibular overdenture with either magnetic or locator
attachment. Thirty two completely edentulous patients (20 males/12 females) were
randomly assigned into two groups. Each patient received two implants in the
canine area of the mandible using flapless surgical technique. Mandibular
overdentures were immediately connected to the implants with either magnetic
(group I, GI) or locator (group II, GII) attachments. Peri-implant tissue health
was evaluated clinically in terms of plaque scores (PI), bleeding scores (BI),
probing depth (PD), implant stability (ISQ) and interleukin-1-beta (IL-1b)
concentrations in peri-implant sulcular fluid. PI, BI and PD were measured at
mesial, distal, buccal and lingual surfaces of each implant. Radiographic
evaluation was performed in terms of vertical (VBL) and horizontal (HBLO)
alveolar bone loss. Evaluations were performed 2 weeks (T0), 6 months (T1) and 12
months (T2) after overdenture insertion. Plague scores, PD, IL-1b, VBL and HBLO
increased significantly with time. ISQ decreased significantly with time. BI
showed no significant differences between observation times. GI recorded
significant higher PI, ISQ and IL-1b at T2 compared to GII. GII recorded
significant higher VBL than GI at T2 only. For HBLO, no significant differences
between groups were noted. VBL and HBLO showed a significant positive correlation
with PD. Locator attachments for immediate loaded implants retaining mandibular
overdentures are associated with decreased plaque accumulation, decreased implant
stability, decreased interleukin-1beta concentration in peri-implant crevicular
fluid and increased per-implant vertical bone loss compared to magnetic
attachments after 1 year.
PMID- 26549497
TI - Corrigendum.
AB - Article title: "War on Drugs Redux: Welcome to the War on Doping in Sports".
Author: Brian R. Alexander. Journal: Substance Use & Misuse. Bilbiometrics:
Volume 49, Issue 9, Pages 1190-1193. DOI: 10.3109/10826084.2014.904119.
Publisher: Informa Healthcare USA, Inc. The following parenthetical sentence
found on p. 1191 was incorrect: (ASADA has previously obtained the private
medical records of athletes as part of a fishing expedition looking for unusual
drug prescribing.) ASADA demanded to see the medical records, but after the plan
was revealed, and objections raised, the agency dropped its request before
obtaining any. Therefore, the sentence should be corrected as: (ASADA has
previously demanded the private medical records of athletes as part of a fishing
expedition looking for unusual drug prescribing.)
PMID- 26549495
TI - Macrophage activation marker soluble CD163 may predict disease progression in
hepatocellular carcinoma.
AB - BACKGROUND: Tumor associated macrophages are present in hepatocellular carcinoma
(HCC) and associated with a poor prognosis. The aim of the present study was to
investigate the levels and dynamics of soluble (s)CD163, a specific macrophage
activation marker, in patients with HCC. METHODS: In a cohort from Australia, we
studied 109 HCC patients, 116 patients with chronic liver disease (CLD), and 52
healthy controls. We examined associations between baseline sCD163 and parameters
of HCC severity as well as overall and progression-free survival. In a cohort of
42 Danish HCC patients, we measured sCD163 at baseline and 1, 4 and 12 weeks
after ablative treatment. RESULTS: In the Australian cohort, median sCD163 was
similarly increased in HCC (5.6[interquartile range 3.5-8.0] mg/L) and CLD
(6.1[3.6-9.6] mg/L) patients as compared to controls (2.0[1.5-2.7] mg/L, p <
0.001). sCD163 correlated with Child-Pugh and MELD scores in both HCC and CLD
patients. Patients with high sCD163 levels had shorter progression-free survival
(p < 0.001), but not overall survival (p = 0.15). In the Danish cohort, patients
with HCC progression at 12 weeks had an increase in sCD163. There was no
association between sCD163 and HCC size, number, vascular invasion or metastasis
in any of the cohorts. CONCLUSIONS: We confirmed increased sCD163 levels in CLD
and HCC patients associated with Child-Pugh and MELD scores and portal
hypertension, but not with HCC size and number, or metastasis. As a novel
finding, baseline sCD163 appeared to predict a rapid HCC progression, as sCD163
increased during follow-up in HCC patients who showed progression.
PMID- 26549498
TI - Fra-1 is upregulated in lung cancer tissues and inhibits the apoptosis of lung
cancer cells by the P53 signaling pathway.
AB - Fos-related antigen-1 (Fra-1) is a member of the activator protein-1
transcription factor superfamily. It plays important roles in oncogenesis in
various types of malignancies. Herein, we investigated the expression of Fra-1 in
lung cancer tissues by qPCR, immunohistochemistry, and western blot technologies.
The results showed that Fra-1 was overexpressed in the lung cancer tissues when
compared with the level in the adjacent non-cancerous tissues. To explore the
possible mechanism of Fra-1 in lung cancer, we elucidated the effect of Fra-1 on
the apoptosis of lung cancer H460 cells, and found that the rate of cell
apoptosis was decreased in the H460/Fra-1 cells compared with the H460 or
H460/vector cells. Cell apoptosis is closely related with a reduction in
mitochondrial membrane potential (DeltaPsim) and an increase in intracellular
reactive oxygen species (ROS) and calcium ion (Ca2+) concentrations. Our results
showed that overexpression of Fra-1 in the lung cancer H460 cells, led to an
increase in DeltaPsim and and a decrease in intracellular ROS and Ca2+
concentrations. Furthermore, we found that Fra-1 was correlated with
dysregulation of the P53 signaling pathway in lung cancer tissues in vitro. At
the same time, we found that Fra-1 overexpression affected the expression of MDM2
and P53 in vivo. In summary, our results suggest that Fra-1 is upregulated in
lung cancer tissues and functions by affecting the P53 signaling pathway in lung
cancer.
PMID- 26549500
TI - Advances in temporary mechanical support for treatment of cardiogenic shock.
AB - Mechanical circulatory support devices are the mainstay of treatment for severe
cardiogenic shock refractory to pharmacologic therapy. Their evolution over the
past few decades has been remarkable with a common theme of developing reliable,
less bulky and more easily percutaneously implantable devices. The goal of this
article is to review existing devices and advances in technology and provide
insight into direction of further research and evolution of mechanical
circulatory support devices for temporary support.
PMID- 26549501
TI - Selective protected state preparation of coupled dissipative quantum emitters.
AB - Inherent binary or collective interactions in ensembles of quantum emitters
induce a spread in the energy and lifetime of their eigenstates. While this
typically causes fast decay and dephasing, in many cases certain special
entangled collective states with minimal decay can be found, which possess ideal
properties for spectroscopy, precision measurements or information storage. We
show that for a specific choice of laser frequency, power and geometry or a
suitable configuration of control fields one can efficiently prepare these
states. We demonstrate this by studying preparation schemes for strongly
subradiant entangled states of a chain of dipole-dipole coupled emitters. The
prepared state fidelity and its entanglement depth is further improved via
spatial excitation phase engineering or tailored magnetic fields.
PMID- 26549499
TI - Diversity of CRISPR-Cas immune systems and molecular machines.
AB - Bacterial adaptive immunity hinges on CRISPR-Cas systems that provide DNA
encoded, RNA-mediated targeting of exogenous nucleic acids. A plethora of CRISPR
molecular machines occur broadly in prokaryotic genomes, with a diversity of Cas
nucleases that can be repurposed for various applications.
PMID- 26549502
TI - Openness to Using Non-cigarette Tobacco Products Among U.S. Young Adults.
AB - INTRODUCTION: National data indicate that the prevalence of non-cigarette tobacco
product use is highest among young adults; however, little is known about their
openness to use these products in the future and associated risk factors. This
study sought to characterize openness to using non-cigarette tobacco products and
associated factors among U.S. young adults. METHODS: In 2014, National Adult
Tobacco Survey data (2012-2013) were analyzed to characterize openness to using
the following tobacco products among all young adults aged 18-29 years (N=5,985):
cigars; electronic cigarettes ("e-cigarettes"); hookah; pipe tobacco; chew,
snuff, or dip; snus; and dissolvables. Among those who were not current users of
each product, multivariable logistic regression was used to examine associations
between demographics, cigarette smoking status, lifetime use of other non
cigarette products, perceived harm and addictiveness of smoking, and receipt of
tobacco industry promotions and openness to using each product. RESULTS: Among
all young adults, openness to using non-cigarette tobacco products was greatest
for hookah (28.2%); e-cigarettes (25.5%); and cigars (19.1%). In multivariable
analyses, which included non-current users of each product, non-current ever,
current, and former smokers were more likely than never smokers to be open to
using most examined products, as were men and adults aged 18-24 years. Receipt of
tobacco industry promotions was associated with openness to using e-cigarettes;
chew, snuff, or dip; and snus. CONCLUSIONS: There is substantial openness to
trying non-cigarette tobacco products among U.S. young adults. Young adults are
an important population to consider for interventions targeting non-cigarette
tobacco product use.
PMID- 26549503
TI - Corn Gluten Hydrolysate Affects the Time-Course of Metabolic Changes Through
Appetite Control in High-Fat Diet-Induced Obese Rats.
AB - This study first investigated the effects of corn gluten hydrolysate (CGH) (1.5
g/day) administration for 7 days on appetite-responsive genes in lean Sprague
Dawley (SD) rats. In a second set of experiments, the metabolic changes occurring
at multiple time points over 8 weeks in response to CGH (35.33% wt/wt) were
observed in high-fat (HF, 60% of energy as fat) diet-fed SD rats. In lean rats,
the hypothalamus neuropeptide-Y and proopiomelanocortin mRNA levels of the CGH
group were significantly changed in response to CGH administration. In the second
part of the study, CGH treatment was found to reduce body weight and perirenal
and epididymal fat weight. CGH also prevented an increase in food intake at 2
weeks and lowered plasma leptin and insulin levels in comparison with the HF
group. This reduction in the plasma and hepatic lipid levels was followed by
improved insulin resistance, and the beneficial metabolic effects of CGH were
also partly related to increases in plasma adiponectin levels. The Homeostasis
Model of Assessment - Insulin Resistance (HOMA-IR), an index of insulin
resistance, was markedly improved in the HF-CGH group compared with the HF group
at 6 weeks. According to the microarray results, adipose tissue mRNA expression
related to G-protein coupled receptor protein signaling pathway and sensory
perception was significantly improved after 8 weeks of CGH administration. In
conclusion, the present findings suggest that dietary CGH may be effective for
improving hyperglycemia, dyslipidemia and insulin resistance in diet-induced
obese rats as well as appetite control in lean rats.
PMID- 26549504
TI - Promotion of Remyelination by Sulfasalazine in a Transgenic Zebrafish Model of
Demyelination.
AB - Most of the axons in the vertebrate nervous system are surrounded by a lipid-rich
membrane called myelin, which promotes rapid conduction of nerve impulses and
protects the axon from being damaged. Multiple sclerosis (MS) is a chronic
demyelinating disease of the CNS characterized by infiltration of immune cells
and progressive damage to myelin and axons. One potential way to treat MS is to
enhance the endogenous remyelination process, but at present there are no
available treatments to promote remyelination in patients with demyelinating
diseases. Sulfasalazine is an anti-inflammatory and immune-modulating drug that
is used in rheumatology and inflammatory bowel disease. Its anti-inflammatory and
immunomodulatory properties prompted us to test the ability of sulfasalazine to
promote remyelination. In this study, we found that sulfasalazine promotes
remyelination in the CNS of a transgenic zebrafish model of NTR/MTZ-induced
demyelination. We also found that sulfasalazine treatment reduced the number of
macrophages/microglia in the CNS of demyelinated zebrafish larvae, suggesting
that the acceleration of remyelination is mediated by the immunomodulatory
function of sulfasalazine. Our data suggest that temporal modulation of the
immune response by sulfasalazine can be used to overcome MS by enhancing myelin
repair and remyelination in the CNS.
PMID- 26549505
TI - Rocaglamide-A Potentiates Osteoblast Differentiation by Inhibiting NF-kappaB
Signaling.
AB - Rheumatoid arthritis is a chronic inflammatory disease that leads to bone and
cartilage erosion. The inhibition of osteoblast differentiation by the
inflammatory factor TNF-alpha is critical for the pathogenesis of rheumatoid
arthritis. To modulate TNF-alpha mediated inhibition of osteoblast
differentiation is required to improve therapeutic efficacy of rheumatoid
arthritis. Here, we explored the potential role of rocaglamide-A, a component of
Aglaia plant, in osteoblast differentiation. Rocaglamide-A prevented TNF-alpha
mediated inhibition of osteoblast differentiation, and promoted osteoblast
differentiation directly, in both C2C12 and primary mesenchymal stromal cells.
Mechanistically, Rocaglamide-A inhibited the phosphorylation of NF-kappaB
component p65 protein and the accumulation of p65 in nucleus, which resulted in
the diminished NF-kappaB responsible transcriptional activity. Oppositely,
overexpression of p65 reversed rocaglamide-A's protective effects on osteoblast
differentiation. Collectively, rocaglamide-A protected and stimulated osteoblast
differentiation via blocking NF-kappaB pathway. It suggests that rocaglamide-A
may be a good candidate to develop as therapeutic drug for rheumatoid arthritis
associated bone loss diseases.
PMID- 26549506
TI - Aggressive Measures to Decrease "Door to Balloon" Time and Incidence of
Unnecessary Cardiac Catheterization: Potential Risks and Role of Quality
Improvement.
AB - OBJECTIVE: To assess the impact of an aggressive protocol to decrease the time
from hospital arrival to onset of reperfusion therapy ("door to balloon [DTB]
time") on the incidence of false-positive (FP) diagnosis of ST-segment elevation
myocardial infarction (STEMI) and in-hospital mortality. PATIENTS AND METHODS:
The study population included 1031 consecutive patients with presumed STEMI and
confirmed ST-segment elevation who underwent emergent catheterization between
July 1, 2008, and December 1, 2012, On July 1, 2009, we instituted an aggressive
protocol to reduce DTB time. A quality improvement (QI) initiative was introduced
on January 1, 2011, to maintain short DTB while improving outcomes. Outcomes were
compared before and after the initiation of the DTB time protocol and similarly
before and after the QI initiative. Outcomes were DTB time, the incidence of FP
STEMI, and in-hospital mortality. A review of the emergency catheterization
database for the 10-year period from January 1, 2001, through December 31, 2010,
was performed for historical comparison. RESULTS: Of the 1031 consecutive
patients with presumed STEMI who were assessed, 170 were considered to have FP
STEMI. The median DTB time decreased significantly from 76 to 61 minutes with the
aggressive DTB time protocol (P=.001), accompanied by an increase of FP-STEMI
(7.7% vs 16.5%; P=.02). Although a nonsignificant reduction of in-hospital
mortality occurred in patients with true-positive STEMI (P=.60), a significant
increase in in-hospital mortality was seen in patients with FP-STEMI (P=.03).
After the QI initiative, a shorter DTB time (59 minutes) was maintained while
decreasing FP-STEMI in-hospital mortality. CONCLUSION: Aggressive measures to
reduce DTB time were associated with an increased incidence of FP-STEMI and FP
STEMI in-hospital mortality. Efforts to reduce DTB time should be monitored
systematically to avoid unnecessary procedures that may delay other appropriate
therapies in critically ill patients.
PMID- 26549507
TI - A nationwide assessment of asthma-mental health nexus among veterans.
AB - OBJECTIVE: In this study, we evaluated the association between both current and
lifetime asthma to that of mental illness among veterans in the USA. METHODS: We
utilized the 2005-2013 National Survey on Drug Use and Health data, a national
population-based survey in the USA. Mental illness was defined as past year major
depressive episode and doctor diagnosis of depression. Survey-weighted univariate
and multivariable regression analyses were utilized. A total of 20,581 veterans
were included in the study. RESULTS: A 4.00% and 7.50% prevalence of current and
lifetime asthma were noted among veterans, respectively. A significantly higher
prevalence of major depressive episode was noted among veterans with current
asthma (8.23%), as compared to those without (4.68%), with a similar trend noted
among those with lifetime asthma versus those without (7.84% vs. 4.58%). Doctor
diagnosis of depression among veterans was higher among those with current asthma
(11.83% vs. 5.86%) and lifetime asthma (10.32% vs. 5.76%), as compared to those
without asthma. Upon adjusting for confounders, current asthma was significantly
associated with past year major depressive episode [adjusted odds ratio (aOR) =
1.65) and depression diagnosis (aOR = 1.88). Similarly, veterans with lifetime
asthma, as compared to those without, had higher odds of past year major
depressive episode (aOR = 1.56) and depression diagnosis (aOR = 1.66).
CONCLUSION: The asthma/mental health nexus is significant among the US veterans.
Such results warrant the need for integrated care to address mental health burden
among veterans with asthma.
PMID- 26549508
TI - Fabrication of functional 3D hepatic tissues with polarized hepatocytes by
stacking endothelial cell sheets in vitro.
AB - Cell sheet stratification technology has been used for reconstituting highly
functional three-dimensional (3D) hepatic tissues in vitro. Triple-layered
hepatic tissues with a hepatocyte-specific polarity were fabricated by
sandwiching a hepatocyte sheet (Hep sheet) between two endothelial cell (EC)
sheets. The morphological and functional characteristics of the triple-layered
hepatic construct (EC-Hep-EC) were evaluated and compared with those of a double
layered hepatic construct with a single EC sheet (Hep-EC) and a Hep sheet only.
Transmission electron microscope (TEM) observations revealed that the
extracellular matrix was observed to be deposited in the space between the ECs
and hepatocytes on both the upper and lower sides of the hepatocytes in the EC
Hep-EC construct. Immunohistochemistry with basolateral (CD147) and apical
[multidrug resistance-associated protein (MRP2)] membrane polarity markers
clearly showed the recovery of in vivo-like hepatocyte polarization in the EC-Hep
EC group. In addition, hepatocyte-specific functions, including albumin
secretion, ammonia removal and the induction of cytochrome P450, were also highly
preserved. The presented technology for stratifying multiple cell sheets was
simple in operation and successfully reproduced both the heterotypic/homotypic
cell-cell and cell-matrix interactions with the inherent hepatocyte
configurations, thus closely mimicking the in vivo environment. The triple
layered 3D hepatic constructs could therefore be valuable as a new experiment
tool for drug-screening tests, an implantable tissue model for cell-based
therapies and an efficient culture platform for bioartificial liver devices.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26549509
TI - Urinary neopterin, a non-invasive marker of mammalian cellular immune activation,
is highly stable under field conditions.
AB - Studying immunity and immune function in ecology and evolution requires field
studies, but there has been a dearth of non-invasive markers of immune activation
available for studying large wild mammals. Recently, we analytically and
biologically validated the measurement of urinary neopterin (NEO), a biomarker of
cellular immune activation, in captive macaques. However, applying this to free
ranging settings is complicated by issues involving sample collection,
processing, storage, and transport. Here, we collected urine samples from captive
macaques and undertook experiments simulating common field issues. We tested the
effects on urinary NEO sample measurements following: dirt and faecal
contamination; storage at room temperature; differences in processing and long
term storage methods (freezing, lyophilising, blotting onto filter paper); and
freeze-thaw cycles. Our results show that concentrations of urinary NEO are
highly stable--they are not affected by soil or faecal contamination, can be
collected on filter paper and stored for many months frozen or lyophilised with
minimal effect, and are resistant to multiple 24 hr freeze-thaws. With the
addition of a biocidal preservative, concentrations are even stable at room
temperature for long periods. Urinary NEO is remarkably resilient, and is highly
suitable for non-invasive field studies of cellular immune responses in wild
large mammals.
PMID- 26549510
TI - Self-reported psychosocial factors among in vitro fertilization patients
interviewed alone or with the partner.
AB - The purpose of this study was to compare the sociodemographic and psychosocial
characteristics reported by female in vitro fertilization (IVF) patients
interviewed alone or with the partner in heterosexual couples. During 12 months
(2011-2012), all patients undergoing IVF or intracytoplasmic sperm injection at
one public reproductive medicine unit, in Portugal, were interviewed on the day
of the diagnosis of pregnancy, being recruited 221 women interviewed with the
partner and 92 interviewed alone. Interviewers collected data on sociodemographic
and obstetric characteristics; and anxiety, depression, social support and
partner relationship were collected by self-administered questionnaires. chi2
test was used to assess the independent association between the categorical
variables and being interviewed alone or with the partner. For continuous
variables, mean or median differences were compared by the t-test or the Mann
Whitney test, according to data distribution. No statistically significant
differences were found in the self-reporting of depression, anxiety, social
support and partner relationship or in sociodemographic and obstetric
characteristics between women interviewed alone or with the partner. Although
women interviewed alone were older and more frequently had children than women
interviewed with the partner, no significant associations were observed. Thus,
having a male partner present in the research setting during a self-administered
questionnaire seems not to influence women's responses to psychosocial measures.
Other outcomes and settings need to be evaluated to support evidence-based
guidelines for research on infertility.
PMID- 26549512
TI - Large-Area Metasurface Perfect Absorbers from Visible to Near-Infrared.
AB - An absorptive metasurface based on film-coupled colloidal silver nanocubes is
demonstrated. The metasurfaces are fabricated using simple dip-coating methods
and can be deposited over large areas and on arbitrarily shaped objects. The
surfaces show nearly complete absorption, good off-angle performance, and the
resonance can be tuned from the visible to the near-infrared.
PMID- 26549511
TI - Identifying robust communities and multi-community nodes by combining top-down
and bottom-up approaches to clustering.
AB - Biological functions are carried out by groups of interacting molecules, cells or
tissues, known as communities. Membership in these communities may overlap when
biological components are involved in multiple functions. However, traditional
clustering methods detect non-overlapping communities. These detected communities
may also be unstable and difficult to replicate, because traditional methods are
sensitive to noise and parameter settings. These aspects of traditional
clustering methods limit our ability to detect biological communities, and
therefore our ability to understand biological functions. To address these
limitations and detect robust overlapping biological communities, we propose an
unorthodox clustering method called SpeakEasy which identifies communities using
top-down and bottom-up approaches simultaneously. Specifically, nodes join
communities based on their local connections, as well as global information about
the network structure. This method can quantify the stability of each community,
automatically identify the number of communities, and quickly cluster networks
with hundreds of thousands of nodes. SpeakEasy shows top performance on synthetic
clustering benchmarks and accurately identifies meaningful biological communities
in a range of datasets, including: gene microarrays, protein interactions, sorted
cell populations, electrophysiology and fMRI brain imaging.
PMID- 26549513
TI - Daptomycin antibiotic lock therapy for hemodialysis patients with Gram-positive
bloodstream infections following use of tunneled, cuffed hemodialysis catheters:
retrospective single center analysis.
AB - Catheter-related blood stream infection (CRBSI) is a major complication in
hemodialysis patients. We assessed the efficacy of systemic daptomycin (DPT) plus
DPT antibiotic lock therapy (DPT-ALT) for catheter salvage in patients with Gram
positive CRBSIs. This is a retrospective study of hemodialysis patients with
tunneled and cuffed hemodialysis catheters. All patients were from a single
institution in Taipei and received systemic DPT plus DPT-ALT for the treatment of
Gram-positive CRBSI. Successful resolution of CRBSI was implemented. Resolution
of fever within 48 hours, negative result of repeated blood cultures after
resolution of fever, no clinical evidence of CRBSI relapse and no need for
catheter removal were measured. Fifteen hemodialysis patients received DPT-ALT
for CRBSI, nine with coagulase-negative Staphylococcus (CONS), two with
methicillin-resistant Staphylococcus aureus (MRSA), three with methicillin
sensitive Staphylococcus aureus (MSSA) and one with polymicrobial infections.
Systemic DPT plus DPT-ALT cured 11 patients (73.3%). Treatment failed in all
three MRSA cases (two with MRSA and one with MRSA + Enterococcus faecalis).
Retrospective design and small sample size were the limitations of this study.
Systemic DPT plus DPT-ALT appears to be a promising treatment for CRBSI from CONS
and MSSA, but not for MRSA CRBSI. Systemic DPT plus DPT-ALT should be considered
for patients with CRBSIs caused by certain species.
PMID- 26549514
TI - Application and optimization of organic-inorganic hybrid monolithic capillary
electrochromatography for in vivo cefdinir determination with microdialysis.
AB - In this study, an organic-inorganic hybrid monolithic capillary column was
applied and optimized for the determination of cefdinir in plasma, and the
electro-osmotic flow that usually hinders migration in reverse polarity became a
driving force. The Sample used for pharmacokinetic research was collected by
microdialysis using phosphate buffer (pH 7.4) as perfusate, and a volume of 60
MUL fluid was mixed with 140 MUL of acetonitrile. By using a silica
allyldimethyldodecylammonium monolithic column (100 MUm inner diameter, 21 cm
effective length and 31.2 cm total length), and a mobile phase consisting of
phosphate and acetonitrile (pH 4.5, 50:50, v/v), at a voltage of 20 kV, the
analytes were successfully separated with the background within 2.5 min. The
detection wavelength was 214 nm. The calibration curve showed a good linearity
(r(2) = 0.9994) over the concentration range of 0.2-50 MUg/mL. The proposed
method showed good specificity, linearity, sensitivity, precision and recovery,
and the introduction of field amplified sample stacking helped to improve the low
recovery caused by microdialysis. This method was successfully applied to
quantify cefdinir in rat plasma to support a pre-clinical pharmacokinetic trial.
PMID- 26549515
TI - Structure prediction, expression, and antigenicity of c-terminal of GRP78.
AB - Glucose-regulated protein 78 (GRP78) is a typical endoplasmic reticulum luminal
chaperone having a main role in the activation of the unfolded protein response.
Because of hypoxia and nutrient deprivation in the tumor microenvironment,
expression of GRP78 in these cells becomes higher than the native cells, which
makes it a suitable candidate for cancer targeting. Suppression of survival
signals by antibody production against C-terminal domain of GR78 (CGRP) can
induce apoptosis of cancer cells. The aim of this study was in silico analysis,
recombinant production, and characterization of CGRP in Escherichia coli.
Structural prediction of CGRP by bioinformatics tools was done and the construct
containing optimized sequence was transferred to E. coli T7 shuffle. Expression
was induced by isopropyl-beta-d-thiogalactoside, and recombinant protein was
purified by Ni-NTA agarose resin. The content of secondary structures was
obtained by circular dichroism (CD) spectrum. CGRP immunogenicity was evaluated
from the immunized mouse sera. SDS-PAGE analysis showed CGRP expression in E.
coli. CD spectrum also confirmed prediction of structures by bioinformatics
tools. The enzyme-linked immunosorbent assay using sera from immunized mice
revealed CGRP as a good immunogen. The results obtained in this study showed that
the structure of truncated CGRP is very similar to its structure in the whole
protein context. This protein can be used in cancer researches.
PMID- 26549517
TI - The role of nursing team continuity in the treatment of very-low-birth-weight
infants: findings from a pilot study.
AB - AIM: To assess the association between nursing team continuity and quality of
care. BACKGROUND: Research on nurse staffing and its effect on quality of care is
investigated to different degrees. However, very few studies have observed
whether the continuous deployment of nursing staff is associated with quality of
care. METHODS: This study was conducted in two university neonatal intensive care
units (NICUs). We matched nurse schedule data for the NICUs with nursing
sensitive patient outcomes and quality of care, as perceived by parents. We used
analysis of variance to analyse differences in nursing team continuity between
NICUs and regression analyses to identify associations with the outcome measures.
RESULTS: There were considerable differences between units in terms of team
continuity of nursing staff. Positive associations were found between team
continuity and a higher rate of non-invasive respiratory support as well as
parents' perceptions of how well they knew their nurse. CONCLUSIONS: The findings
show remarkable differences in staff assignment in the different NICUs. In
addition to appropriate staffing levels, scheduling nursing teams continuously
would appear to play a role in influencing treatment quality. IMPLICATIONS FOR
NURSING MANAGEMENT: This paper emphasises the importance of carefully considered
staff scheduling decisions.
PMID- 26549516
TI - Parental autonomy granting and child perceived control: effects on the everyday
emotional experience of anxious youth.
AB - BACKGROUND: Childhood anxiety is associated with low levels of parental autonomy
granting and child perceived control, elevated child emotional reactivity and
deficits in child emotion regulation. In early childhood, low levels of parental
autonomy granting are thought to decrease child perceived control, which in turn
leads to increases in child negative emotion. Later in development, perceived
control may become a more stable, trait-like characteristic that amplifies the
relationship between parental autonomy granting and child negative emotion. The
purpose of this study was to test mediation and moderation models linking
parental autonomy granting and child perceived control with child emotional
reactivity and emotion regulation in anxious youth. METHODS: Clinically anxious
youth (N = 106) and their primary caregivers were assessed prior to beginning
treatment. Children were administered a structured diagnostic interview and
participated in a parent-child interaction task that was behaviorally coded for
parental autonomy granting. Children completed an ecological momentary assessment
protocol during which they reported on perceived control, emotional reactivity
(anxiety and physiological arousal) and emotion regulation strategy use in
response to daily negative life events. RESULTS: The relationship between
parental autonomy granting and both child emotional reactivity and emotion
regulation strategy use was moderated by child perceived control: the highest
levels of self-reported physiological responding and the lowest levels of
acceptance in response to negative events occurred in children low in perceived
control with parents high in autonomy granting. Evidence for a mediational model
was not found. In addition, child perceived control over negative life events was
related to less anxious reactivity and greater use of both problem solving and
cognitive restructuring as emotion regulation strategies. CONCLUSION: Both
parental autonomy granting and child perceived control play important roles in
the everyday emotional experience of clinically anxious children.
PMID- 26549518
TI - Horizontally acquired oligopeptide transporters favour adaptation of
Saccharomyces cerevisiae wine yeast to oenological environment.
AB - In the past decade, horizontal gene transfer (HGT) has emerged as a major
evolutionary process that has shaped the genome of Saccharomyces cerevisiae wine
yeasts. We recently showed that a large Torulaspora microellipsoides genomic
island carrying two oligopeptide transporters encoded by FOT genes increases the
fitness of wine yeast during fermentation of grape must. However, the impact of
these genes on the metabolic network of S. cerevisiae remained uncharacterized.
Here we show that Fot-mediated peptide uptake substantially affects the glutamate
node and the NADPH/NADP(+) balance, resulting in the delayed uptake of free amino
acids and altered profiles of metabolites and volatile compounds. Transcriptome
analysis revealed that cells using a higher amount of oligopeptides from grape
must are less stressed and display substantial variation in the expression of
genes in the central pathways of carbon and nitrogen metabolism, amino acid and
protein biosynthesis, and the oxidative stress response. These regulations shed
light on the molecular and metabolic mechanisms involved in the higher
performance and fitness conferred by the HGT-acquired FOT genes, pinpointing
metabolic effects that can positively affect the organoleptic balance of wines.
PMID- 26549519
TI - IL-6 Inhibits Starvation-induced Autophagy via the STAT3/Bcl-2 Signaling Pathway.
AB - IL-6, a pleiotropic cytokine, has been investigated for its role in regulating
autophagy. Yet, its mechanism of action remains unclear. Here, we show that IL-6
exerted anti-autophagic effects on U937 cells through the STAT3 signaling pathway
in vitro. The addition of IL-6 to starved U937 cells significantly activated the
phosphorylation level of STAT3 (p-STAT3) at Tyr705 and reduced the protein levels
of microtubule-associated protein 1 light chain 3 of type II (LC3-II) and Beclin
1. By immunoblotting, we also observed a positive correlation between the p-STAT3
level and Bcl-2 level. Furthermore, treatment with a STAT3 inhibitor, LLL12, or
overexpression of a mutant form, STAT3Y705F, reversed the inhibitory effect of IL
6 on autophagy. Knockdown of Beclin 1 or Atg14 by siRNA and over-expression of
Beclin 1 indicated the involvement of class III PI3K complex in IL-6-mediated
inhibition of autophagy. Taken together, these data indicate that IL-6 inhibits
starvation-induced autophagy and that p-STAT3 mediates the signal transduction
from IL-6 to downstream proteins including Bcl-2 and Beclin1.
PMID- 26549520
TI - Dissipation pattern of flubendiamide residues on capsicum fruit (Capsicum annuum
L.) under field and controlled environmental conditions.
AB - This investigation was undertaken to compare the dissipation pattern of
flubendiamide in capsicum fruits under poly-house and open field after giving
spray applications at the recommended and double doses of 48 g a.i. ha(-1) and 96
g a.i. ha(-1). Extraction and purification of capsicum fruit samples were carried
out by the QuEChERS method. Residues of flubendiamide and its metabolite, des
iodo flubendiamide, were analyzed by high-performance liquid chromatography
photodiode array, and confirmed by liquid chromatography-mass spectrometry/mass
spectrometry. Limit of quantification of the method was 0.05 mg kg(-1), and
recovery of the insecticides was in the range of 89.6-104.3%, with relative
standard deviation being 4.5-11.5%. The measurement uncertainty of the analytical
method was in the range of 10.7-15.7%. Initial residue deposits of flubendiamide
on capsicum fruits grown under poly-house conditions were (0.977 and 1.834 mg kg(
1)) higher than that grown in the field (0.665 and 1.545 mg kg(-1)).
Flubendiamide residues persisted for 15 days in field-grown and for 25 days in
poly-house-grown capsicum fruits. The residues were degraded with the half-lives
of 4.3-4.7 and 5.6-6.6 days in field and poly-house respectively. Des-iodo
flubendiamide was not detected in capsicum fruits or soil. The residues of
flubendiamide degraded to below the maximum residue limit notified by Codex
Alimentarius Commission (FAO/WHO) after 1 and 6 days in open field, and 3 and 10
days in poly-house. The results of the study indicated that flubendiamide applied
to capsicum under controlled environmental conditions required longer pre-harvest
interval to allow its residues to dissipate to the safe level.
PMID- 26549521
TI - Effect of a Single Water Molecule on the Electronic Absorption by o- and p
Nitrophenolate: A Shift to the Red or to the Blue?
AB - Many photoactive biomolecules are anions and exhibit pipi* optical transitions
but with a degree of charge transfer (CT) character determined by the local
environment. The phenolate moiety is a common structural motif among
biochromophores and luminophores, and nitrophenolates are good model systems
because the nitro substituent allows for CT-like transitions. Here we report gas
phase absorption spectra of o- and p-nitrophenolate.H2O complexes to decipher the
effect of just one H2O and compare them with ab initio calculations of vertical
excitation energies. The experimental band maximum is at 3.01 and 3.00 eV for
ortho and para isomers, respectively, and is red-shifted by 0.10 and 0.13 eV
relative to the bare ions, respectively. These shifts indicate that the
transition has become more CT-like because of localization of negative charge on
the phenolate oxygen, i.e., diminished delocalization of the negative excess
charge. However, the transition bears less CT than that of m-nitrophenolate.H2O
because this complex absorbs further to the red (2.56 eV). Our work emphasizes
the importance of local perturbations: one water causes a larger shift than
experienced in bulk for para isomer and almost the full shift for ortho isomer.
Predicting microenvironmental effects in the boundary between CT and non-CT with
high accuracy is nontrivial. However, in agreement with experiment, our
calculations show a competition between the effects of electronic delocalization
and electrostatic interaction with the solvent molecule. As a result, the
excitation energy of ortho and para isomers is less sensitive to hydration than
that of the meta isomer because donor and acceptor orbitals are only weakly
coupled in the meta isomer.
PMID- 26549522
TI - Clinical significance and role of LKB1 in gastric cancer.
AB - Liver kinase B1 (LKB1) functions as a tumor suppressor gene, and loss in the
expression of LKB1 contributes to human carcinogenesis and tumor progression. The
present study investigated the association between LKB1 and gastric cancer. SGC
7901 gastric cancer cell lines and 63 patients with gastric cancer were examined
in the present study, and lentivirus transfection, reverse transription
quantitative polymerase chain reaction and flow cytometric analyses were
performed. By examining the expression of LKB1 using immunohistochemical
analyses, the present study found that the expression of LKB1 was reduced in the
gastric cancer tissues, and restoration of the expression of LKB1 reduced tumor
cell viability, migration rate and the expression of CD44, induced cell cycle
arrest at the G2 phase of the cell cycle, and increased the sensitivity of the
gastric cancer cells to anticancer drugs. LKB1 protein is a tumor-suppressor in
gastric cancer and may be potentially be developed as a novel gene therapy target
in the treatment of gastric cancer.
PMID- 26549523
TI - An EGFR/Src-dependent beta4 integrin/FAK complex contributes to malignancy of
breast cancer.
AB - beta4 integrin and focal adhesion kinase (FAK) are often associated with a poor
prognosis in cancer patients, and their signaling events have recently been
linked to malignant outcomes. Here, we demonstrate, for the first time, physical
and functional interactions between beta4 integrin and FAK that influence breast
cancer malignancy. An amino-terminal linker within FAK is essential for its
binding with the cytodomain of beta4 integrin. Moreover, EGFR/Src-signaling
triggers the tyrosine phosphorylation of beta4 integrin, which, in turn, recruits
FAK to beta4 integrin and leads to FAK activation and signaling. Upon disruption
of the beta4 integrin/FAK complex, tumorigenesis and metastasis in triple
negative breast cancer were markedly reduced. Importantly, the concomitant
overexpression of beta4 integrin and FAK significantly correlates with malignant
potential in patients with triple-negative breast cancer. This study describes a
pro-metastatic EGFR/Src-dependent beta4 integrin/FAK complex that is involved in
breast cancer malignancy and is a novel therapeutic target for triple-negative
breast cancer.
PMID- 26549524
TI - Protolichesterinic Acid, Isolated from the Lichen Cetraria islandica, Reduces
LRRC8A Expression and Volume-Sensitive Release of Organic Osmolytes in Human Lung
Epithelial Cancer Cells.
AB - We have tested the effect of protolichesterinic acid (PA) on the activity of the
volume-sensitive release pathway for the organic osmolyte taurine (VSOAC) and the
expression of the leucine-rich-repeat-channel 8A (LRRC8A) protein, which
constitutes an essential VSOAC component. Exposing human lung cancer cells (A549)
to PA (20 ug/mL, 24 h) reduces LRRC8A protein expression by 25% and taurine
release following osmotic cell swelling (320 -> 200 mOsm) by 60%. C75 (20 ug/mL,
24 h), a gamma-lactone with a C8 carbon fatty acid chain, reduces VSOAC activity
by 30%, i.e. less than PA. Stearic acid (20 ug/mL, 24 h) has no effect on VSOAC.
Hence, length of PA's fatty acid chain adds to gamma-lactone's inhibitory action.
5-Lipoxygenase (5-LO) activity is essential for swelling-induced activation of
VSOAC. PA has no effect on cellular concentration of leukotrienes (5-HETE/LTB4 )
under hypotonic conditions, excluding that PA mediated inhibition of VSOAC
involves 5-LO inhibition. A549 cells exposed to the chemotherapeutic drug
cisplatin (10 MUM, 24 h) reveal signs of apoptosis, i.e. 25% reduction in cell
viability as well as 1.3-, 1.5- and 3.3-fold increase in the expression of
LRRC8A, Bax (regulator of apoptosis) and p21 (regulator of cell cycle
progression), respectively. PA reduces cell viability by 30% but has no effect on
p21/Bax expression. This excludes PA as a pro-apoptotic drug in A549 cells.
PMID- 26549525
TI - Cryogenic abnormal thermal expansion properties of carbon-doped La(Fe,Si)13
compounds.
AB - Recently, La(Fe,Si)13-based compounds have attracted much attention due to their
isotropic and tunable abnormal thermal expansion (ATE) properties as well as
bright prospects for practical applications. In this research, we have prepared
cubic NaZn13-type carbon-doped La(Fe,Si)13 compounds by the arc-melting method,
and their ATE and magnetic properties were investigated by means of variable
temperature X-ray diffraction, strain gauge and the physical property measurement
system (PPMS). The experimental results indicate that both micro and macro
negative thermal expansion (NTE) behaviors gradually weaken with the increase of
interstitial carbon atoms. Moreover, the temperature region with the most
remarkable NTE properties has been broadened and near zero thermal expansion
(NZTE) behavior occurs in the bulk carbon-doped La(Fe,Si)13 compounds.
PMID- 26549526
TI - Development and psychometric evaluation of a questionnaire on nurses' clinical
competence eye care in intensive care unit patients.
AB - BACKGROUND: Given the high prevalence of eye disorders in intensive care units,
evaluating critical care nurses' competence in providing standard eye care is a
matter of utmost importance. However, to the best of our knowledge, there is no
valid questionnaire for measuring nurses' competence to undertake eye care. AIMS:
The aim of this study was to develop and evaluate the psychometric properties of
a questionnaire on nurses' clinical competence in providing eye care to patients
hospitalized in intensive care units. DESIGN: This was a psychometric study.
METHODS: We initially performed a literature review and developed a 38-item
questionnaire consisting of knowledge, attitude and practice domains. We invited
a panel of experts and a group of critical care nurses to assess the content and
face validity of the questionnaire. Thereafter, we evaluated its construct
validity by using the exploratory factor analysis and the known-groups comparison
technique. Moreover, the test-retest and the internal consistency evaluation
techniques were used for assessing the reliability of the questionnaire. RESULTS:
Totally, 35 items remained in the final version of the questionnaire. Based on
the results of the exploratory factor analysis, we categorized the items of the
questionnaire into three factors. The Cronbach's alpha for the attitude and the
practice domains as well as the Kuder-Richardson 20 for the knowledge domain
showed satisfactory internal consistency. The Cronbach's alpha for the whole
questionnaire also was 0.83. CONCLUSION: The results of this study suggest that
the nurses' clinical competence in eye care questionnaire has a good factor
structure and an acceptable reliability. RELEVANCE TO CLINICAL PRACTICE: A
complication of sedation and coma is that some patients are unable to maintain
effective eyelid closure. These patients present a higher risk of eye
complications. Development of tools for evaluating nurses' competence in
providing standard eye care is a fundamental prerequisite for improving the
quality of eye care.
PMID- 26549527
TI - Nuclear dynamics in the metastable phase of the solid acid caesium hydrogen
sulfate.
AB - High-resolution spectroscopic measurements using thermal and epithermal neutrons
and first-principles calculations within the framework of density-functional
theory are used to investigate the nuclear dynamics of light and heavy species in
the metastable phase of caesium hydrogen sulfate. Within the generalised-gradient
approximation, extensive calculations show that both 'standard' and 'hard'
formulations of the Perdew-Burke-Ernzerhof functional supplemented by Tkatchenko
Scheffler dispersion corrections provide an excellent description of the known
structure, underlying vibrational density of states, and nuclear momentum
distributions measured at 10 and 300 K. Encouraged by the agreement between
experiment and computational predictions, we provide a quantitative appraisal of
the quantum contributions to nuclear motions in this solid acid. From this
analysis, we find that only the heavier caesium atoms reach the classical limit
at room temperature. Contrary to naive expectation, sulfur exhibits a more
pronounced quantum character relative to classical predictions than the lighter
oxygen atom. We interpret this hitherto unexplored nuclear quantum effect as
arising from the tighter binding environment of this species in this
technologically relevant material.
PMID- 26549528
TI - Oral insulin delivery systems using chitosan-based formulation: a review.
AB - INTRODUCTION: There are several hurdles to oral insulin delivery (OID): mainly,
enzymatic proteolysis, gastric degradation, and an absorption barrier.
Researchers have been attempting to overcome these natural barriers through
chitosan-based insulin formulations. AREAS COVERED: In this paper, the authors
review OID formulations to elucidate their techniques and evaluate their
performance through a set of defined parameters and suggest overall outlooks and
future directions. This review covers 86 articles and reveals that most oral
insulin formulations were obtained through poly-electrolytic complexation or
chemical modification techniques. The in-vitro results reported by the articles
are mapped into a '30x70 performance window' to distinguish the best OID
formulations. The review shows that most formulations were effective in
addressing the gastric and enzymatic barriers but were not as effective in
overcoming the absorption barrier of the gastrointestinal tract. EXPERT OPINION:
Oral insulin delivery has been a topic of immense research with most efforts
dedicated to developing a formidable insulin formulation that overcomes
gastrointestinal tract barriers. While most OID formulations perform better under
experimental conditions, their performance in in-vivo studies is not as
effective. Thus, to make oral insulin delivery a reality, special attention is
needed toward improving the in-vivo insulin absorption through the gut.
PMID- 26549529
TI - Bulk-Induced 1/f Noise at the Surface of Three-Dimensional Topological
Insulators.
AB - Slow intrinsic fluctuations of resistance, also known as the flicker noise or 1/f
noise, in the surface transport of strong topological insulators (TIs) is a
poorly understood phenomenon. Here, we have systematically explored the 1/f-noise
in field-effect transistors (FET) of mechanically exfoliated Bi1.6Sb0.4Te2Se TI
films when transport occurs predominantly via the surface states. We find that
the slow kinetics of the charge disorder within the bulk of the TI induces
mobility fluctuations at the surface, providing a new source of intrinsic 1/f
noise that is unique to bulk TI systems. At small channel thickness, the noise
magnitude can be extremely small, corresponding to the phenomenological Hooge
parameter gammaH as low as ~10(-4), but it increases rapidly when channel
thickness exceeds ~1 MUm. From the temperature (T)-dependence of noise, which
displayed sharp peaks at characteristic values of T, we identified generation
recombination processes from interband transitions within the TI bulk as the
dominant source of the mobility fluctuations in surface transport. Our experiment
not only establishes an intrinsic microscopic origin of noise in TI surface
channels, but also reveals a unique spectroscopic information on the impurity
bands that can be useful in bulk TI systems in general.
PMID- 26549530
TI - Sequential In Vitro Cyclization by Cytochrome P450 Enzymes of Glycopeptide
Antibiotic Precursors Bearing the X-Domain from Nonribosomal Peptide
Biosynthesis.
AB - The biosynthesis of the glycopeptide antibiotics, which include vancomycin and
teicoplanin, relies on the interplay between the peptide-producing non-ribosomal
peptide synthetase (NRPS) and Cytochrome P450 enzymes (P450s) that catalyze side
chain crosslinking of the peptide. We demonstrate that sequential in vitro P450
catalyzed cyclization of peptide substrates is enabled by the use of an NRPS
peptide carrier protein (PCP)-X di-domain as a P450 recruitment platform. This
study reveals that whilst the precursor peptide sequence influences the
installation of the second crosslink by the P450 OxyAtei , activity is not
restricted to the native teicoplanin peptide. Initial peptide cyclization is
possible with teicoplanin and vancomycin OxyB homologues, and the latter displays
excellent activity with all substrate combinations tested. By using non-natural X
domain substrates, bicyclization of hexapeptides was also shown, which
demonstrates the utility of this method for the cyclization of varied peptide
substrates in vitro.
PMID- 26549531
TI - Insulin decreases autophagy and leads to cartilage degradation.
AB - OBJECTIVE: Autophagy, a key homeostasis mechanism, is defective in Osteoarthritis
(OA) and Type 2 Diabetes (T2D). T2D has been proposed as a risk factor for OA. We
hypothesized that diabetes impairs articular cartilage integrity by decreasing
autophagy. Our objective was to investigate the effects of high glucose and
insulin, characteristics of T2D, on cartilage homeostasis. METHODS: Immortalized
human chondrocytes (TC28a2) and primary human chondrocytes (HC) were cultured in
25 mM or 0 mM glucose and treated with insulin (10, 100, 500 nM) for 2, 6 or 24
h. Activity of LC3-II, Akt and rpS6 was evaluated by Western blotting (WB). Human
cartilage explants were cultivated with 25 mM glucose and insulin (100,1000 nM)
for 24 h to evaluate histopathology. MMP-13 and IL-1beta expression was
determined by immunohistochemistry and WB. Effects of Rapamycin (10 MUM) were
analyzed by WB. LC3 and rpS6 expression was determined by WB in chondrocytes from
Healthy, Non Diabetic-OA and Diabetic-OA patients. RESULTS: Insulin downregulates
autophagy by reducing LC3 II expression and increasing Akt and rpS6
phosphorylation. Loss of proteoglycans and increased MMP-13 and IL-1beta
expression was observed after insulin treatment. Autophagy activation by
rapamycin reversed insulin effects. Importantly, chondrocytes from diabetic-OA
patients showed decreased LC3 and increased p-rpS6 expression compared to Healthy
and Non-Diabetic OA patients. CONCLUSIONS: These results suggest that decreased
autophagy might be a mechanism by which diabetes influences cartilage
degradation. Pharmacological activation of autophagy may be an effective
therapeutic approach to prevent T2D-induced cartilage damage.
PMID- 26549532
TI - Multiwalled Carbon Nanotubes at the Interface of Pickering Emulsions.
AB - Carbon nanotubes exhibit very unique properties in biphasic systems. Their
interparticle attraction leads to reduced droplet coalescence rates and
corresponding improvements in emulsion stability. Here we use covalent and
noncovalent techniques to modify the hydrophilicity of multiwalled carbon
nanotubes (MWCNTs) and study their resulting behavior at an oil-water interface.
By using both paraffin wax/water and dodecane/water systems, the thickness of the
layer of MWNTs at the interface and resulting emulsion stability are shown to
vary significantly with the approach used to modify the MWNTs. Increased
hydrophilicity of the MWNTs shifts the emulsions from water-in-oil to oil-in
water. The stability of the emulsion is found to correlate with the thickness of
nanotubes populating the oil-water interface and relative strength of the carbon
nanotube network. The addition of a surfactant decreases the thickness of
nanotubes at the interface and enhances the overall interfacial area stabilized
at the expense of increased droplet coalescence rates. To the best of our
knowledge, this is the first time the interfacial thickness of modified carbon
nanotubes has been quantified and correlated to emulsion stability.
PMID- 26549533
TI - The first prognostic model for stroke and death in patients with systolic heart
failure.
AB - BACKGROUND: Patients with systolic heart failure (HF) are at increased risk of
both ischemic stroke and death. Currently, no risk scores are available to
identify HF patients at high risk of stroke or death. The Warfarin vs. Aspirin in
Reduced Cardiac Ejection Fraction (WARCEF) trial studied 2305 HF patients, in
sinus rhythm, followed for up to 6 years (3.5+/-1.5 years). This trial showed no
overall difference in those treated with warfarin vs aspirin with regard to death
or stroke. The present study develops the first prognostic model to identify
patients at higher risk of stroke or death based on their overall risk profile.
METHODS AND RESULTS: A scoring algorithm using 8 readily obtainable clinical
characteristics as predictors, age, gender, hemoglobin, blood urea nitrogen,
ejection fraction, diastolic blood pressure, diabetes status, and prior stroke or
transient ischemic attack (C-index=0.65, 95% CI: 0.613-0.681), was developed. It
was validated internally using a bootstrap method. In predicting 1-year survival
for death alone, our 8-predictor model had an AUC of 0.63 (95% CI: 0.579-0.678)
while the 14-predictor Seattle model had an AUC of 0.72. The Seattle model did
not report stroke. CONCLUSIONS: This novel prognostic model predicts the overall
risk of ischemic stroke or death for HF patients. This model compares favorably
for death with the Seattle model and has the added utility of including stroke as
an endpoint. Use of this model will help identify those patients in need of more
intensive monitoring and therapy and may help identify appropriate populations
for trials of new therapies. CLINICAL TRIAL REGISTRATION:
http://www.Clinicatrials.govNCT00041938.
PMID- 26549535
TI - Ultraporous nanocrystalline TiO2-based films: synthesis, patterning and
application as anti-reflective, self-cleaning, superhydrophilic coatings.
AB - Crack-free, anatase-based optical coatings with a refractive index down to 1.27,
a porosity up to 80 vol%, and a tunable thickness up to 1.5 MUm were fabricated.
The extraordinary stability of the porosity upon thermally induced
crystallisation and template removal was attributed to the combined effects of
the presence of 10% molar silica in the inorganic phase, a flash treatment at 500
degrees C, and the use of templates with different dimensions ranging from a few
nanometers to 50 nm. The hierarchical porous system was directly patterned by UV
lithography and used as multifunctional anti-reflective, self-cleaning coatings.
PMID- 26549534
TI - Exploration and practice of methods and processes of evidence-based rapid review
on peer review of WHO EML application.
AB - OBJECTIVE: After 38 years of development, the procedure of selection and
evaluation of the World Health Organization Essential Medicine List (WHO EML) is
increasingly scientific and formal. However, peer review for the applications of
World Health Organization Essential Medicine List is always required in a short
period. It is necessary to build up a set of methods and processes for rapid
review. METHOD: We identified the process of evidenced-based rapid review on WHO
EML application for peer reviews according to 11 items which were required during
reporting of the peer review results of the proposals. RESULTS: The most
important items for the rapid review of World Health Organization Essential
Medicine List peer reviewers are (1) to confirm the requirements and identify the
purposes; (2) to establish the research questions and translate the questions
into the 'Participants, Interventions, Comparators, Outcomes, Study design'
(PICOS) format; (3) to search and screen available evidence, for which high-level
evidence is preferred, such as systematic reviews or meta-analyses, health
technology assessment, clinical guidelines; (4) to extract data, where we extract
primary information based on the purposes; (5) to synthesize data by qualitative
methods, assess the quality of evidence, and compare the results; (6) to provide
the answers to the applications, quality of evidences and strength of
recommendations. CONCLUSIONS: Our study established a set of methods and
processes for the rapid review of World Health Organization Essential Medicine
List peer review, and our findings were used to guide the reviewers to fulfill
the 19(th) World Health Organization Essential Medicine List peer review. The
methods and processes were feasible and met the necessary requirements in terms
of time and quality. Continuous improvement and evaluation in practice are
warranted.
PMID- 26549536
TI - Pitfall in the Diagnosis of Fructose-1,6-Bisphosphatase Deficiency: Difficulty in
Detecting Glycerol-3-Phosphate with Solvent Extraction in Urinary GC/MS Analysis.
AB - Fructose-1,6-bisphosphatase (FBPase), an enzyme involved in gluconeogenesis,
catalyzes the hydrolysis of fructose-1,6-bisphosphate to fructose-6-phosphate and
inorganic phosphate. FBPase deficiency is an autosomal recessive inherited
disorder, characterized by episodic attacks of hypoglycemia, ketosis, and lactic
acidosis during fasting. In general, urinary organic acid analysis using gas
chromatography-mass spectrometry (GC/MS) is very useful for the diagnosis of
FBPase deficiency, because the appearance of glycerol or glycerol-3-phosphate in
the urine is characteristic of this disease. Here, we report a case of FBPase
deficiency in a girl with a history of several severe lactic acidosis events,
both as a neonate and after the age of 12 months. The patient was identified as a
compound heterozygote with two mutations in the FBPase 1 gene: c.841G>A
(p.Glu281Lys) and c.960_961insG (p.Ser321fs). The c.841G>A is a newly identified
pathogenic mutation. An abnormal level of glycerol-3-phosphate was not detected
in the conventional urinary organic acid analysis using GC/MS after solvent
extraction. This method, which is a widely used diagnostic standard, could not
detect increased levels of glycerol or glycerol-3-phosphate in the patient's
urine, which was sampled during the episode. However, glycerol and glycerol-3
phosphate were detected in the same sample, when it was analyzed using GC/MS with
the urease pretreatment non-extraction method. Patients with FBPase deficiency
have good glycemic control after correct treatment. Therefore, accurate and early
diagnosis is essential for a good prognosis. Accordingly, when a patient presents
with hypoglycemia and lactic acidosis, it is important to select the appropriate
method of urinalysis for organic acids by GC/MS.
PMID- 26549538
TI - Dynamics of the layer-by-layer assembly of a poly(acrylic acid)-lanthanide
complex colloid and poly(diallyldimethyl ammonium).
AB - Poly(acrylic acid) (PAA) and lanthanide (Ln) ions, such as Ce(3+), Eu(3+), and
Tb(3+), were prepared as dispersed complex colloidal particles through three
different protocols with rigorous control of the pH value and mixing ratio. The
negatively charged PAA-Ln complex particles were layer-by-layer (LbL) assembled
with positively charged poly(diallyldimethyl ammonium) (PDDA) to prepare a thin
film. The film thickness growth is much quicker than PDDA/PAA film. Due to the
incorporation of Ln(3+) ions, the film exhibits fluorescence. During LbL
assembly, PDDA-PAA association based on electrostatic force and PAA-Ce
association based on coordination are in competition, which leads to the LbL
assembly of PDDA and PAA-Ln complex colloidal particles being a complicated
dynamic process.
PMID- 26549537
TI - 3D matrix-based cell cultures: Automated analysis of tumor cell survival and
proliferation.
AB - Three-dimensional ex vivo cell cultures mimic physiological in vivo growth
conditions thereby significantly contributing to our understanding of tumor cell
growth and survival, therapy resistance and identification of novel potent cancer
targets. In the present study, we describe advanced three-dimensional cell
culture methodology for investigating cellular survival and proliferation in
human carcinoma cells after cancer therapy including molecular therapeutics.
Single cells are embedded into laminin-rich extracellular matrix and can be
treated with cytotoxic drugs, ionizing or UV radiation or any other substance of
interest when consolidated and approximating in vivo morphology. Subsequently,
cells are allowed to grow for automated determination of clonogenic survival
(colony number) or proliferation (colony size). The entire protocol of 3D cell
plating takes ~1 h working time and pursues for ~7 days before evaluation. This
newly developed method broadens the spectrum of exploration of malignant tumors
and other diseases and enables the obtainment of more reliable data on cancer
treatment efficacy.
PMID- 26549539
TI - Reversible gating of smart plasmonic molecular traps using thermoresponsive
polymers for single-molecule detection.
AB - Single-molecule surface-enhanced Raman spectroscopy (SERS) has attracted
increasing interest for chemical and biochemical sensing. Many conventional
substrates have a broad distribution of SERS enhancements, which compromise
reproducibility and result in slow response times for single-molecule detection.
Here we report a smart plasmonic sensor that can reversibly trap a single
molecule at hotspots for rapid single-molecule detection. The sensor was
fabricated through electrostatic self-assembly of gold nanoparticles onto a
gold/silica-coated silicon substrate, producing a high yield of uniformly
distributed hotspots on the surface. The hotspots were isolated with a monolayer
of a thermoresponsive polymer (poly(N-isopropylacrylamide)), which act as gates
for molecular trapping at the hotspots. The sensor shows not only a good SERS
reproducibility but also a capability to repetitively trap and release molecules
for single-molecular sensing. The single-molecule sensitivity is experimentally
verified using SERS spectral blinking and bianalyte methods.
PMID- 26549540
TI - Regulation of tumorigenic Wnt signaling by cyclooxygenase-2, 5-lipoxygenase and
their pharmacological inhibitors: A basis for novel drugs targeting cancer cells?
AB - Canonical Wnt signaling is a highly conserved pathway with a prominent role in
embryogenic development, adult tissue homeostasis, cell polarization, stem cell
biology, cell differentiation, and proliferation. Furthermore, canonical Wnt
signaling is of pivotal importance in the pathogenesis of a number of cancer
types and crucially affects tumor initiation, cancer cell proliferation, cancer
cell apoptosis, and metastasis. Reports over the last decade have provided strong
evidence for a pathophysiological role of Wnt signaling in non-malignant
classical inflammatory and neurodegenerative diseases. Although, several agents
suppressing the Wnt pathway at different levels have been identified, the
development of clinically relevant Wnt-inhibiting agents remains challenging due
to selectivity and toxicity issues. Several studies have shown that long-term
administration of non-steroidal anti-inflammatory drugs protects against colon
cancer and potentially other tumor types by interfering both with the COX and the
Wnt pathway. Our own studies have shown that non-steroidal anti-inflammatory
drugs suppress Wnt signaling by targeting the pro-inflammatory enzyme 5
lipoxygenase which is the key enzyme pathophysiologically involved in the
synthesis of leukotrienes. Furthermore, we found a direct link between the 5
lipoxygenase and Wnt signaling pathways, which is essential for the maintenance
of leukemic stem cells. Accordingly, genetic and pharmacological inhibition of 5
lipoxygenase led to an impairment of Wnt-dependent acute and chronic myeloid
leukemic stem cells. We believe that 5-lipoxygenase inhibitors might represent a
novel type of Wnt inhibitor activating a potentially naturally occurring novel
mechanism of suppression of Wnt signaling that is non-toxic, at least in mice,
and is potentially well tolerated in patients.
PMID- 26549541
TI - Metabotropic glutamate receptors as targets for new antipsychotic drugs:
Historical perspective and critical comparative assessment.
AB - In this review, we aim to present, discuss and clarify our current understanding
regarding the prediction of possible antipsychotic effects of metabotropic
glutamate (mGlu) receptor ligands. The number of preclinical trials clearly
indicates, that this group of compounds constitutes an excellent alternative to
presently used antipsychotic therapy, being effective not only to positive, but
also negative and cognitive symptoms of schizophrenia. Although the results of
clinical trials that were performed for the group of mGlu2/3 agonists were not so
enthusiastic as in animal studies, they still showed that mGlu ligands do not
induced variety of side effects typical for presently used antipsychotics, and
were generally well tolerated. The lack of satisfactory effectiveness towards
schizophrenia symptoms of mGlu2/3 activators in humans could be a result of
variety of uncontrolled factors and unidentified biomarkers different for each
schizophrenia patient, that should be taken into consideration in the future set
of clinical trials. The subject is still open for further research, and the novel
classes of mGlu5 or mGlu2/3 agonists/PAMs were recently introduced, including the
large group of compounds from the third group of mGlu receptors, especially of
mGlu4 subtype. Finally, more precise treatment based on simultaneous
administration of minimal doses of the ligands for two or more receptors, seems
to be promising in the context of symptoms-specific schizophrenia treatment.
PMID- 26549542
TI - Treatment of Sarcopenic Dysphagia with Rehabilitation and Nutritional Support: A
Comprehensive Approach.
PMID- 26549543
TI - Editorial: Metabolic Diseases: Drugs and Mitochondrial Targets.
PMID- 26549544
TI - Influence of Vanin-1 and Catalytic Products in Liver During Normal and Oxidative
Stress Conditions.
AB - In liver, cysteamine in all probability represents a "low-capacity, high
affinity" scavenger of ROS. The available body of evidence suggests that reduced
cysteamine and oxidized cystamine exist in equilibrium and that this ratio acts
as an active redox sensor within the cell much like GSH. During normal liver
homeostasis cysteamine's antioxidant properties are evident. Highly metabolic
and/or pro-oxidative conditions, such as in mice treated with peroxisome
proliferators, shift this equilibrium to favor the oxidized form. Under these
conditions, cystamine is likely able to inactivate proteins involved in energy
biogenesis through cysteaminylation of critical Cys residues as has been shown in
vitro. This would allow cystamine to function as a "metabolic brake" to prevent
the formation of additional ROS. In vivo, subcellular localization, pH, reducing
capacity, FMO status and metabolic rate are all probable factors in determining
the cysteamine:cystamine ratio. The availability of free cysteamine is also
regulated by hydrolysis of pantetheine by pantetheinase. This cleavage results in
the formation of pantothenic acid, a precursor to Coenzyme A which is prominently
involved with lipid metabolism and energy production by the beta -oxidation
pathway and TCA cycle, respectively. Expression of pantetheinase is controlled by
the Vnn1 gene and is upregulated in response to free fatty acids, PPAR activation
or oxidative stress. The use of Vnn1 knockout mice has provided clear evidence
that Vnn1 modulates redox and immune pathways In vivo, both of which appear at
least partially due to a loss of cysteamine/cystamine. Immunologically, Vnn1
expression may influence cell signaling indirectly through maintenance of
disulfide bonds or directly by interaction of pantetheinase on the cell surface.
Cysteamine treatment has been used clinically as an antidote to APAP poisoning
and in animal models against hepatotoxicants including APAP, galactosamine and
CCl4. Protection in animal models occurs even when administered up to 12 hours
following intoxication, suggesting that protection is the result of effects that
occur downstream of bioactivation and covalent binding of reactive metabolites to
target cellular macromolecules. Currently, the downstream influences of Vnn1
expression and cysteamine at endogenous concentrations remain largely unknown.
Vnn1 knockout mice represent a valuable tool available to researchers
investigating these events. Future studies in the field are needed to elucidate
the precise mechanisms by which pantetheinase and/or cysteamine impact immune
cell recruitment, cell signaling and survival, though it is clear that these
factors have far reaching implications in the fields of immunology and
toxicology.
PMID- 26549545
TI - Comparison between linear and proportional hazard models for the analysis of age
at first lambing in the Ripollesa breed.
AB - Age at first lambing (AFL) plays a key role on the reproductive performance of
sheep flocks, although there are no genetic selection programs accounting for
this trait in the sheep industry. This could be due to the non-Gaussian
distribution pattern of AFL data, which must be properly accounted for by the
analytical model. In this manuscript, two different parameterizations were
implemented to analyze AFL in the Ripollesa sheep breed, that is, the skew
Gaussian mixed linear model (sGML) and the piecewise Weibull proportional hazards
model (PWPH). Data were available from 10 235 ewes born between 1972 and 2013 in
14 purebred Ripollesa flocks located in the north-east region of Spain. On
average, ewes gave their first lambing short after their first year and a half of
life (590.9 days), and within-flock averages ranged between 523.4 days and 696.6
days. Model fit was compared using the deviance information criterion (DIC; the
smaller the DIC statistic, the better the model fit). Model sGML was clearly
penalized (DIC=200 059), whereas model PWPH provided smaller estimates and
reached the minimum DIC when one cut point was added to the initial Weibull model
(DIC=132 545). The pure Weibull baseline and parameterizations with two or more
cut points were discarded due to larger DIC estimates (>134 200). The only
systematic effect influencing AFL was the season of birth, where summer- and fall
born ewes showed a remarkable shortening of their AFL, whereas neither birth type
nor birth weight had a relevant impact on this reproductive trait. On the other
hand, heritability on the original scale derived from model PWPH was high, with a
model estimate place at 0.114 and its highest posterior density region ranging
from 0.079 and 0.143. As conclusion, Gaussian-related mixed linear models should
be avoided when analyzing AFL, whereas model PWPH must be viewed as better
alternative with superior goodness of fit; moreover, the additive genetic
background underlying this reproductive trait supports its inclusion into current
genetic selection programs given its economic importance.
PMID- 26549546
TI - Ratiometric and colorimetric near-infrared sensors for multi-channel detection of
cyanide ion and their application to measure beta-glucosidase.
AB - A near-infrared sensor for cyanide ion (CN(-)) was developed via internal charge
transfer (ICT). This sensor can selectively detect CN(-) either through dual
ratiometric fluorescence (logarithm of I414/I564 and I803/I564) or under various
absorption (356 and 440 nm) and emission (414, 564 and 803 nm) channels.
Especially, the proposed method can be employed to measure beta-glucosidase by
detecting CN(-) traces in commercial amygdalin samples.
PMID- 26549547
TI - Post-Coital Urinary Incontinence: Lessons Learned about Pelvic Neuronal Pathways.
PMID- 26549548
TI - Should Geometric Mean Calculation of Differential Renal Function be Used When
Evaluating Children with Moderate to Severe Hydronephrosis?
PMID- 26549550
TI - Effects of CDC42 on the proliferation and invasion of gastric cancer cells.
AB - Cell division cycle 42 (CDC42), which is a member of the Rho GTPase family, has
been reported to regulate the metastasis of various human cancer cells; however,
the role of CDC42 in gastric cancer (GC) remains unclear. The present study aimed
to investigate the effects of CDC42 on the proliferation, migration and invasion
of GC. Furthermore, the molecular mechanisms underlying the effects of CDC42 on
GC were explored. The expression levels of CDC42 in the AGS and SGC7901 human GC
cell lines were reduced by RNA interference. Knockdown of CDC42 significantly
inhibited the proliferation of AGS and SGC7901 cells, and it was suggested that
this inhibitory process may be due to cell cycle arrest at G1/S phase and
downregulation of cyclin A, cyclin D1, cyclin E and proliferating cell nuclear
antigen. Furthermore, knockdown of CDC42 markedly inhibited the migration and
invasion of GC cells, and suppressed the expression of matrix metalloproteinase
9. These results indicated that CDC42 is a key regulator involved in regulating
the proliferation, migration and invasion of GC, and it may be considered a
potential therapeutic target in GC.
PMID- 26549552
TI - WILLINGNESS TO PAY FOR LUNG CANCER TREATMENT: PATIENT VERSUS GENERAL PUBLIC
VALUES-ERRATUM.
AB - Owing to an editorial error, in the article by Thongprasert et al. (1) in the
August 2015 issue of International Journal of Technical Assessment in Health
Care, Bruce Crawford was incorrectly listed as the corresponding author. The
corresponding author is Unchalee Permsuwan.
PMID- 26549551
TI - Efficacy of a Brief Intervention to Reduce Substance Use and Human
Immunodeficiency Virus Infection Risk Among Latino Youth.
AB - PURPOSE: Familias Unidas is an efficacious and effective family-based
intervention for preventing and reducing substance use and unsafe sexual
behaviors among Latino youth. To facilitate its dissemination, Familias Unidas
was shortened from a 12-week intervention to a 6-week intervention and evaluated.
We hypothesized that brief Familias Unidas would be efficacious in reducing
substance use and unsafe sexual behaviors relative to a comparison condition.
METHODS: We randomized 160 ninth-grade Latino adolescents and their families to
brief Familias Unidas or a community practice control condition. Adolescents were
surveyed at baseline and 6, 12, and 24 months after baseline. RESULTS: At 24
months, youth randomized to brief Familias Unidas had a significantly lower
sexual initiation rate (34.0%) relative to control (55.0%), p = .02. Brief
Familias Unidas also increased positive parenting. Moderation analyses revealed
that brief Familias Unidas was significantly associated with decreased substance
use initiation among girls (30.4% vs. 64.0%, respectively; p = .02), but not boys
(28.0% vs. 26.7%, respectively; p = .91). Brief Familias Unidas was also
significantly associated with reduced unsafe sex among adolescents aged 15 years
or less (p < .001), but not among older adolescents (p = .37). Moderating effects
were also found for family-level variables. CONCLUSIONS: Brief Familias Unidas
was efficacious in reducing sex initiation and improving positive parenting.
Moderation analyses suggested that brief Familias Unidas was efficacious in
reducing substance use initiation and unsafe sex for certain Hispanic adolescent
subgroups, highlighting the importance of conducting moderation analyses, and of
targeting interventions for specific subgroups.
PMID- 26549553
TI - Erratum to: design of chemical space networks using a Tanimoto similarity variant
based upon maximum common substructures.
PMID- 26549554
TI - Physiological responses to Tai Chi in stable patients with COPD.
AB - We compared the physiological work, judged by oxygen uptake, esophageal pressure
swing and diaphragm electromyography, elicited by Tai Chi compared with that
elicited by constant rate treadmill walking at 60% of maximal load in eleven
patients with COPD (Mean FEV1 61% predicted, FEV1/FVC 47%). Dynamic
hyperinflation was assessed by inspiratory capacity and twitch quadriceps tension
(TwQ) elicited by supramaximal magnetic stimulation of the femoral nerve was also
measured before and after both exercises. The EMGdi and esophageal pressure at
the end of exercise were similar for both treadmill exercise and Tai Chi (0.109+/
0.047 mV vs 0.118+/-0.061 mV for EMGdi and 22.3+/-7.1 cmH2O vs 21.9+/-8.1 cmH2O
for esophageal pressure). Moreover the mean values of oxygen uptake during Tai
Chi and treadmill exercise did not differ significantly: 11.3 ml/kg/min (51.1% of
maximal oxygen uptake derived from incremental exercise) and 13.4 ml/kg/min
(52.5%) respectively, p>0.05. Respiratory rate during Tai Chi was significantly
lower than that during treadmill exercise. Both Tai Chi and treadmill exercise
elicited a fall in IC at end exercise, indicating dynamic hyperinflation, but
this was statistically significant only after treadmill exercise. TwQ decreased
significantly after Tai Chi but not after treadmill. We conclude that Tai Chi
constitutes a physiologically similar stimulus to treadmill exercise and may
therefore be an acceptable modality for pulmonary rehabilitation which may be
culturally more acceptable in some parts of the world.
PMID- 26549555
TI - Diaphragm muscle weakness and increased UCP-3 gene expression following acute
hypoxic stress in the mouse.
AB - The effects of acute hypoxia on the diaphragm are largely unknown despite the
clinical relevance to respiratory conditions such as acute respiratory distress
syndrome and ventilator-induced lung injury. Adult male C57BL6/J mice were
exposed to 1, 4 or 8h of hypoxia (FiO2=0.10) or normoxia. Ventilation was
assessed by whole-body plethysmography during gas exposures. Diaphragm isotonic
contractile parameters were assessed ex vivo. Diaphragm gene expression was
determined using qRT-PCR. Acute hypoxic stress resulted in significant diaphragm
muscle weakness. Gene expression data revealed that hypoxia results in temporal
changes in various transcriptional genes regulating mitochondrial function and a
time-dependent progressive increase in the expression of the mitochondrial
uncoupling protein 3 (UCP-3) with concomitant changes in genes encoding
sarcoplasmic reticulum calcium release proteins. Altered gene expression and
muscle weakness are likely due to direct effects of hypoxic stress per se, and
not related to increased diaphragm muscle activity, as there was no persistent
change in ventilation during hypoxic exposure. These findings suggest a
potentially critical role for hypoxia in diaphragm muscle remodeling in acute
respiratory-related disorders.
PMID- 26549556
TI - Sequential change in physicochemical properties of LDL during oxidative
modification.
AB - Oxidized LDL is thought to be a highly atherogenic lipoprotein. Structural
background of this pathogenesis, however, has not yet been well defined.
Physicochemical characterization of this lipoprotein is still controversial,
which therefore makes it difficult to take a mechanistic approach to its
atherogenicity. We thus conducted investigation of time-dependent changes in
chemical compositions and alternation of physical properties of LDL in detail
during its oxidation induced by human embryonic endothelial cells and copper
ions. The oxidation caused hydrolysis of glycerolipids being demonstrated as
decrease of triglyceride and choline-phospholipid and increase of
lysophosphatidylcholine. Fragmentation of apoB was also induced while over-all
protein components stayed with the particles. The density of the particles
continuously shifted to higher fractions for all the particles to reach d >=
1.044 after 10h incubation. The average diameter of LDL, however, decreased from
28.1 nm to 25.6 nm by 5h and increased to 27.1 nm towards 20 h incubation with
the increase of discoid particles. These dynamic changes can be interpreted by
losing fatty acyl group from the core lipid components perhaps due to oxidative
degradation and by increase of surface lysophosphatidylcholine to cause
remodeling of the particles.
PMID- 26549557
TI - Collective motion of mammalian cell cohorts in 3D.
AB - Collective cell migration is ubiquitous in biology, from development to cancer;
it occurs in complex systems comprised of heterogeneous cell types, signals and
matrices, and requires large scale regulation in space and time. Understanding
how cells achieve organized collective motility is crucial to addressing cellular
and tissue function and disease progression. While current two-dimensional model
systems recapitulate the dynamic properties of collective cell migration,
quantitative three-dimensional equivalent model systems have proved elusive. To
establish such a model system, we study cell collectives by tracking individuals
within cell cohorts embedded in three dimensional collagen scaffolding. We
develop a custom algorithm to quantify the temporal and spatial heterogeneity of
motion in cell cohorts during motility events. In the absence of external driving
agents, we show that these cohorts rotate in short bursts, <2 hours, and
translate for up to 6 hours. We observe, track, and analyze three dimensional
motion of cell cohorts composed of 3-31 cells, and pave a path toward
understanding cell collectives in 3D as a complex emergent system.
PMID- 26549558
TI - Is Single-Dose Etomidate Induction Safe in Emergency Intubation of Critically Ill
Patients?
PMID- 26549559
TI - HMGB1: A critical mediator for oxidized-low density lipoproteins induced
atherosclerosis.
PMID- 26549560
TI - Ticagrelor therapy in patients with advanced conduction disease: Is it really
safe?
PMID- 26549561
TI - Pheochromocytoma and takotsubo.
PMID- 26549562
TI - Possibilities and limitations for co-transplantation of cardiac atrial appendage
stem cells and mesenchymal stem cells for myocardial repair.
PMID- 26549563
TI - Could familial hypercholesterolemia oppose the diabetogenic effect of statin?
Comments on a new SAFEHEART study.
PMID- 26549564
TI - Pacemaker indication in first-degree AV block patients: Factors beyond the PR
interval/HR slope.
PMID- 26549565
TI - Survival after primary percutaneous coronary intervention.
PMID- 26549566
TI - Fault diagnosis and fault-tolerant finite control set-model predictive control of
a multiphase voltage-source inverter supplying BLDC motor.
AB - Due to its fault tolerance, a multiphase brushless direct current (BLDC) motor
can meet high reliability demand for application in electric vehicles. The
voltage-source inverter (VSI) supplying the motor is subjected to open circuit
faults. Therefore, it is necessary to design a fault-tolerant (FT) control
algorithm with an embedded fault diagnosis (FD) block. In this paper, finite
control set-model predictive control (FCS-MPC) is developed to implement the
fault-tolerant control algorithm of a five-phase BLDC motor. The developed
control method is fast, simple, and flexible. A FD method based on available
information from the control block is proposed; this method is simple, robust to
common transients in motor and able to localize multiple open circuit faults. The
proposed FD and FT control algorithm are embedded in a five-phase BLDC motor
drive. In order to validate the theory presented, simulation and experimental
results are conducted on a five-phase two-level VSI supplying a five-phase BLDC
motor.
PMID- 26549567
TI - Tuning the Model Predictive Control of a Crude Distillation Unit.
AB - Tuning the parameters of the Model Predictive Control (MPC) of an industrial
Crude Distillation Unit (CDU) is considered here. A realistic scenario is
depicted where the inputs of the CDU system have optimizing targets, which are
provided by the Real Time Optimization layer of the control structure. It is
considered the nominal case, in which both the CDU model and the MPC model are
the same. The process outputs are controlled inside zones instead of at fixed set
points. Then, the tuning procedure has to define the weights that penalize the
output error with respect to the control zone, the weights that penalize the
deviation of the inputs from their targets, as well as the weights that penalize
the input moves. A tuning approach based on multi-objective optimization is
proposed and applied to the MPC of the CDU system. The performance of the
controller tuned with the proposed approach is compared through simulation with
the results of an existing approach also based on multi-objective optimization.
The simulation results are similar, but the proposed approach has a computational
load significantly lower than the existing method. The tuning effort is also much
lower than in the conventional practical approaches that are usually based on ad
hoc procedures.
PMID- 26549568
TI - Exploring midwives' perception of confidence around facilitating water birth in
Western Australia: A qualitative descriptive study.
AB - OBJECTIVE: the option of labouring and/or birthing immersed in warm water has
become widely available throughout hospitals in the United Kingdom and Europe
over the last two decades. The practice, which also occurs in New Zealand and
interstate in Australia, has until recently only been available in Western
Australia for women birthing at home with a small publically funded Community
Midwifery Program. Despite its popularity and acceptance elsewhere, birth in
water has only recently become an option for women attending some public health
services in Western Australia. The Clinical Guidelines developed for the local
context that support water birth require that the midwives be confident and
competent to care for these women. The issue of competency can be addressed with
relative ease by maternity care providers; however confidence is rather more
difficult to teach, foster and attain. Clinical confidence is an integral element
of clinical judgement and promotes patient safety and comfort. For this reason
confident midwives are an essential requirement to support the option of water
birth in Western Australia. The aim of this study was to capture midwives'
perceptions of becoming and being confident in conducting water birth in addition
to factors perceived to inhibit and facilitate the development of that
confidence. DESIGN: a modified grounded theory methodology with thematic
analysis. SETTINGS: four public maternity services offering the option of water
birth in the Perth metropolitan area. PARTICIPANTS: registered midwives employed
at one of the four publicly funded maternity services that offered the option of
water birth between June 2011 and June 2013. Sixteen midwives were interviewed on
a one to one basis. An additional 10 midwives participated in a focus group
interview. FINDINGS: three main categories emerged from the data analysis: what
came before the journey, becoming confident - the journey and staying confident.
Each contained between three and five subcategories. Together they depicted how
midwives describe the journey to becoming confident to support women who have
chosen the option to water birth and how they are able to retain that confidence
once achieved. IMPLICATIONS FOR PRACTICE: three key implications emerged from
this study, the first was that students and graduate midwives could benefit from
the opportunity to work in midwifery led maternity settings that support normal
physiological child birth and that accessing such practical placements should be
encouraged. Secondly, maternity services would benefit from learning
opportunities directed specifically at experienced midwives addressing their
particular requirements. Finally, midwives are the custodians of normal
physiological birth, attendance at educational days with a focus on supporting
this primary role should be mandatory, to inform midwives on current evidence
found to support normal birth which includes options such as water birth.
PMID- 26549570
TI - PBOV1 correlates with progression of ovarian cancer and inhibits proliferation of
ovarian cancer cells.
AB - Prostate and breast cancer overexpressed 1 (PBOV1) is significantly upregulated
in prostate, breast and bladder cancer, while its expression status in ovarian
cancer and its clinical significance are unclear. We examined the expression
levels of PBOV1 mRNA and protein in ovarian cancer cell lines and primary tissues
using real-time PCR and western blotting. Immunohistochemistry was employed to
analyze PBOV1 expression in 17 normal ovaries, 13 cystadenoma tissues, 14
borderline tumor tissues, and 165 clinicopathologically characterized ovarian
cancers. There was negative PBOV1 expression in the 17 normal ovarian epithelial
tissues. Compared to the normal ovarian epithelial cells, PBOV1 mRNA and protein
were overexpressed in ovarian cancer cell lines. There was high PBOV1 protein
expression in the ovarian cancer tissues from 59 of the 165 (35.8%) patients;
PBOV1 expression was weak in 106 (64.2%) patients. Notably, there were
significant negative associations between high PBOV1 expression and ascending
histological grade, late pT/pN/pM, and International Federation of Gynecology and
Obstetrics (FIGO) stage (P<0.05). Patients with high PBOV1 expression had longer
overall survival; patients with low PBOV1 expression had shorter survival.
Multivariate analysis revealed that PBOV1 upregulation is an independent
prognostic indicator for ovarian cancer and might serve as a tumor-suppressor
gene. Furthermore, PBOV1 overexpression inhibited ovarian cancer cell
proliferation and tumorigenesis in vitro and in a tumor transplantation nude
mouse model. In conclusion, our results suggest that PBOV1 may play an important
role in suppressing ovarian cancer proliferation and carcinogenesis. PBOV1 may be
a novel and useful prognostic marker and potential target for treating human
ovarian cancer.
PMID- 26549569
TI - LKB1 Regulates Cerebellar Development by Controlling Sonic Hedgehog-mediated
Granule Cell Precursor Proliferation and Granule Cell Migration.
AB - The Liver Kinase B1 (LKB1) gene plays crucial roles in cell differentiation,
proliferation and the establishment of cell polarity. We created LKB1 conditional
knockout mice (LKB1(Atoh1) CKO) to investigate the function of LKB1 in cerebellar
development. The LKB1(Atoh1) CKO mice displayed motor dysfunction. In the
LKB1(Atoh1) CKO cerebellum, the overall structure had a larger volume and more
lobules. LKB1 inactivation led to an increased proliferation of granule cell
precursors (GCPs), aberrant granule cell migration and overproduction of unipolar
brush cells. To investigate the mechanism underlying the abnormal foliation, we
examined sonic hedgehog signalling (Shh) by testing its transcriptional
mediators, the Gli proteins, which regulate the GCPs proliferation and cerebellar
foliation during cerebellar development. The expression levels of Gli genes were
significantly increased in the mutant cerebellum. In vitro assays showed that the
proliferation of cultured GCPs from mutant cerebellum significantly increased,
whereas the proliferation of mutant GCPs significantly decreased in the presence
of a Shh inhibitor GDC-0049. Thus, LKB1 deficiency in the LKB1(Atoh1) CKO mice
enhanced Shh signalling, leading to the excessive GCP proliferation and the
formation of extra lobules. We proposed that LKB1 regulates cerebellar
development by controlling GCPs proliferation through Shh signalling during
cerebellar development.
PMID- 26549571
TI - Is It Dating Violence or Just "Drunken Behavior"? Judgments of Intimate Partner
Violence When the Perpetrator Is Under the Influence of Alcohol.
AB - BACKGROUND: Previous research has shown a strong bias for laypersons to believe
alcohol use and aggression to go hand-in-hand (see Quigley & Leonard, 2006 ).
Furthermore, research has shown that alcohol use can be seen as a mitigating
circumstance for aggression, resulting in a reduction of blame and accountability
(Bullock, 2002 ; Katz & Arias, 2001 ; Tryggvesson, 2004 ). OBJECTIVES: The
present study investigated observers' judgments of intimate partner violence
(IPV) when the perpetrator was under the influence of alcohol. We hypothesized
that participants would view violent behavior as more common and less abusive if
they thought the perpetrator was under the influence of alcohol. METHODS: College
students (n = 79) viewed a video depicting an increasingly abusive interaction
between college-age dating partners (see Witte & Kendra, 2010 ) and half of the
participants were lead to believe that the perpetrator was drinking alcohol prior
to the interaction. Participants rated the videotaped vignette at four timepoints
to assess the degree to which they believed the interaction was normal/common and
abusive. RESULTS: Observers judged the abusive behavior as more common and less
abusive when alcohol was involved, but only for psychologically abusive behaviors
and moderately severe physically abusive behaviors. CONCLUSIONS/IMPORTANCE: The
results of this study provide support for the notion that direct observers of IPV
judge moderately abusive behavior as more common and less abusive when alcohol is
involved. With this, theories concerning alcohol expectancies and interpretations
of interpersonal violence can be more readily applied to real-life scenarios,
such as in the validity of eye-witness testimony.
PMID- 26549572
TI - Cancer treatment in determination of hearing loss.
AB - INTRODUCTION: Chemotherapy and radiotherapy in oncology have repercussions in
hearing health, and can damage structures of the inner ear. These repercussions
usually, result in a bilateral and irreversible hearing loss. OBJECTIVE: To
identify sensorineural hearing loss cases with complaints of tinnitus and
difficulty in speech understanding and investigate their relationship with the
types of chemotherapy and radiotherapy the patients received. METHODS: Cross
sectional, clinical, observational, analytical, historical cohort study of 58
subjects treated in a public hospital in the state of Sergipe, diagnosed with
neoplasia. The subjects were submitted to anamnesis, conventional pure tone
audiometry, and speech recognition threshold. RESULTS: Of the 116 ears, 25.9%
presented sensorioneural hearing loss characterized by changes in high
frequencies. There was a positive correlation between hearing loss and the
association of chemotherapy and radiotherapy (p=0.035; R=0.196). The auditory
complaint analysis shows that most of the subjects had tinnitus and speech
understanding difficulty, even with a normal auditory threshold. CONCLUSIONS:
Cancer treatment causes hearing loss, associated with the administration of
chemotherapy and radiotherapy. Cyclophosphamide increased the risk of causing
hearing loss. Complaints of tinnitus and speech understanding difficulty were
observed.
PMID- 26549573
TI - Improved predictive ability of the Montreal Cognitive Assessment for diagnosing
dementia in a community-based study.
AB - INTRODUCTION: We compared the predictive ability of the Mini-Mental State
Examination (MMSE) and the Montreal Cognitive Assessment (MoCA) to diagnose
dementia in a community-based study. METHODS: A total of 276 people aged 60 years
or older were enrolled. All of the participants were administered face-to-face
interview questionnaires and MoCA and MMSE examinations. The receiver operating
characteristic curve method and area under curve were performed to assess the
predictive ability for diagnosing dementia. RESULTS: The 276 participants had a
mean age of 67.9 +/- 6.1 years and mean education duration of 11.4 +/- 4.0 years.
In general, the MoCA yielded higher AUCs (0.891) with favorable sensitivity (78
%) and excellent specificity (94 %) compared with the MMSE in differentiating the
participants with and without dementia in either the total sample or all
subgroups. CONCLUSION: Our study determined a higher predictive ability in the
MoCA than in the MMSE for diagnosing dementia according to Diagnostic and
Statistical Manual of Mental Disorders, Fourth Edition (DSM-IV) criteria in a
community-based sample with a broader range of education level.
PMID- 26549574
TI - Short-term follow-up systems for positive newborn screens in the Washington
Metropolitan Area and the United States.
AB - For most inherited metabolic disorders on newborn screening (NBS) panels, prompt,
expert confirmation and treatment are critical to optimize clinical outcomes for
children with inherited metabolic diseases (IMD). In the Washington Metropolitan
Area (WMA), 3 different short-term follow-up (STFU) systems exist for linking
infants with positive newborn screens for IMD to appropriate specialty care. We
diagrammed the STFU systems for the District of Columbia, Maryland and Virginia
and calculated clinically relevant intervals of time between NBS collection and
diagnosis/treatment initiation. We also surveyed representatives from 48 other
state NBS programs to classify the STFU systems in the rest of the country. We
found that in the WMA the STFU system that did not include the IMD specialist at
the same time as the primary care provider (PCP) was associated with a longer
median collection-to-specialist contact interval for true positive NBS for
critical diagnoses (p=0.013). Nationally, 25% of state NBS programs report having
a STFU system that does not include the IMD specialist at the same time as the
PCP. In conclusion, there is variability among the STFU systems employed by NBS
programs in the US which may lead to delays in diagnosis confirmation and
treatment. National standards for STFU systems that include early involvement of
an IMD specialist for all presumed positive NBS results may decrease the
collection-to-specialist contact interval which could improve clinical outcomes
in children with IMD.
PMID- 26549575
TI - Correction of a genetic deficiency in pantothenate kinase 1 using
phosphopantothenate replacement therapy.
AB - Coenzyme A (CoA) is a ubiquitous cofactor involved in numerous essential
biochemical transformations, and along with its thioesters is a key regulator of
intermediary metabolism. Pantothenate (vitamin B5) phosphorylation by
pantothenate kinase (PanK) is thought to control the rate of CoA production.
Pantothenate kinase associated neurodegeneration is a hereditary disease that
arises from mutations that inactivate the human PANK2 gene. Aryl phosphoramidate
phosphopantothenate derivatives were prepared to test the feasibility of using
phosphopantothenate replacement therapy to bypass the genetic deficiency in the
Pank1(-/-) mouse model. The efficacies of candidate compounds were first compared
by measuring the ability to increase CoA levels in Pank1(-/-) mouse embryo
fibroblasts. Administration of selected candidate compounds to Pank1(-/-) mice
corrected their deficiency in hepatic CoA. The PanK bypass was confirmed by the
incorporation of intact phosphopantothenate into CoA using triple-isotopically
labeled compound. These results provide strong support for PanK as a master
regulator of intracellular CoA and illustrate the feasibility of employing PanK
bypass therapy to restore CoA levels in genetically deficient mice.
PMID- 26549576
TI - A discrete-choice experiment to quantify patient preferences for frequency of
glucagon-like peptide-1 receptor agonist injections in the treatment of type 2
diabetes.
AB - OBJECTIVE: Understanding patients' preferences for attributes of injectable
antihyperglycemic regimens may improve patient satisfaction and medication
adherence. Our objective was to quantify the preferences of patients with type 2
diabetes mellitus (T2DM) for reducing the frequency of glucagon-like peptide-1
receptor agonist injections from once daily to once weekly. METHODS: A total of
643 respondents with a self-reported physician diagnosis of type 2 diabetes
completed a web-based discrete-choice experiment survey. The sample included four
prespecified subgroups: currently using exenatide once weekly (n = 150),
liraglutide once daily (n = 153), insulin (but not exenatide once weekly or
liraglutide) (n = 156), and no injectable treatment (n = 184). Device attributes
included type of injection device, needle size and pain, injection frequency,
refrigeration, and injection-site reactions. Random-parameters logit was used to
estimate the relative impact of device attributes on treatment choice for each
subgroup. RESULTS: In all subgroups, changing injection frequency from daily to
weekly (independent of the effect of injection frequency on preferences for other
attributes) was the most important predictor of treatment choice. Switching from
a longer and thicker needle to a shorter and thinner needle and eliminating
injection-site reactions were also statistically significant predictors of device
choice (P < 0.05). Exenatide once weekly users and those not currently using
injections were more likely to choose a treatment with characteristics similar to
exenatide once weekly. CONCLUSIONS: The treatment attribute most important to
patients choosing among hypothetical injectable treatments for T2DM was injection
frequency: patients preferred weekly over daily injections. LIMITATIONS: The
primary limitations of this study are that it included only a limited number of
attributes that may not reflect the full complexity of patient choices, diagnosis
was self-reported, and patients were recruited from an Internet panel and may not
be representative of the T2DM patient population.
PMID- 26549577
TI - Microparticulate beta-glucan vaccine conjugates phagocytized by dendritic cells
activate both naive CD4 and CD8 T cells in vitro.
AB - Microparticulate beta-glucan (MG) conjugated to vaccine antigen has been shown to
serve as an effective adjuvant in vivo. To further study antigen presentation by
MG:vaccine conjugates, bone marrow-derived dendritic cells (BMDC) were treated
with MG conjugated to ovalbumin (OVA), then interacted with splenocytes from
DO11.10 transgenic mice expressing an OVA peptide-specific T cell receptor. BMDC
treated with MG:OVA induced significantly higher numbers of activated
(CD25+CD69+) OVA-specific CD4+ T cells than BMDC treated with OVA alone. BMDC
treated with MG:OVA upregulated CD86 and CD40 expression as well as MG alone,
indicating that conjugation of OVA does not alter the immunostimulatory capacity
of MG. Activation of CD8+ OVA-specific OT-1 cells showed that MG:OVA is also
capable of enhancing cross-presentation by BMDC to CD8+ cytotoxic T cells. These
results show that MG acts as an adjuvant to enhance antigen presentation by
dendritic cells to naive, antigen-specific CD4 and CD8 T cells.
PMID- 26549578
TI - Peripheral, functional and postural asymmetries related to the preferred chewing
side in adults with natural dentition.
AB - The aim of this cross-sectional study was to determine the preferred chewing side
and whether chewing side preference is related to peripheral, functional or
postural lateral preferences. One hundred and forty-six adults with natural
dentition performed three masticatory assays, each consisting of five trials of
chewing three pieces of silicon placed into a latex bag for 20 cycles, either
freestyle or unilaterally on the right- or left-hand side. Occlusal contact area
in the intercuspal position, maximum bite force, masticatory performance and
cycle duration were measured and the lateral asymmetry of these variables was
calculated. Laterality tests were performed to determine handedness, footedness,
earedness and eyedness as functional preferences, and hand-clasping, arm-folding
and leg-crossing as postural lateral preferences. The preferred chewing side was
determined using three different methods: assessment of the first chewing cycle
for each trial, calculation of the asymmetry index from all cycles and
application of a visual analogue scale. Bivariate relationship and multiple
linear regression analyses were performed. Among unilateral chewers, 77% of them
preferred the right side for chewing. The factors most closely related to the
preferred chewing side were asymmetry of bite force, asymmetry of masticatory
performance and earedness, which explained up to 16% of the variance. Although
several functional or postural lateral preferences seem to be related to the
preferred chewing side, peripheral factors such as asymmetry of bite force and of
masticatory performance are the most closely related to the preferred chewing
side in adults with natural dentition.
PMID- 26549579
TI - A quantitative review of the postmortem evidence for decreased cortical N-methyl
D-aspartate receptor expression levels in schizophrenia: How can we link
molecular abnormalities to mismatch negativity deficits?
AB - Evidence suggests that anomalous mismatch negativity (MMN) in schizophrenia is
related to glutamatergic abnormalities, possibly involving N-methyl-D-aspartate
(NMDA) receptors. Decreased cortical expressions of NMDA receptor subunits have
been observed in schizophrenia, though not consistently. To aid with integration
and interpretation of previous work, we performed a meta-analysis of effect sizes
of mRNA or protein levels of the obligatory NR1 subunit in prefrontal cortex from
people with schizophrenia. In schizophrenia compared to unaffected controls the
pooled effect size was -0.64 (95% confidence interval: -1.08 to -0.20) for NR1
mRNA reduction and -0.44 (95% confidence interval: -0.80 to -0.07) for NR1
protein reduction. These results represent the first step to a deeper
understanding of the region-specific, cell-specific, and stage-specific NMDA
receptor hypofunction in schizophrenia, which could be linked to mismatch
negativity deficits via transgenic and pharmacological animal models.
PMID- 26549580
TI - New and Improved Techniques for the Study of Pathogenic Fungi.
AB - Fungal pathogens pose serious threats to human, plant, and ecosystem health.
Improved diagnostics and antifungal strategies are therefore urgently required.
Here, we review recent developments in online bioinformatic tools and associated
interactive data archives, which enable sophisticated comparative genomics and
functional analysis of fungal pathogens in silico. Additionally, we highlight
cutting-edge experimental techniques, including conditional expression systems,
recyclable markers, RNA interference, genome editing, compound screens, infection
models, and robotic automation, which are promising to revolutionize the study of
both human and plant pathogenic fungi. These novel techniques will allow vital
knowledge gaps to be addressed with regard to the evolution of virulence, host
pathogen interactions and antifungal drug therapies in both the clinic and
agriculture. This, in turn, will enable delivery of improved diagnosis and
durable disease-control strategies.
PMID- 26549581
TI - The Mineralosphere Concept: Mineralogical Control of the Distribution and
Function of Mineral-associated Bacterial Communities.
AB - Soil is composed of a mosaic of different rocks and minerals, usually considered
as an inert substrata for microbial colonization. However, recent findings
suggest that minerals, in soils and elsewhere, favour the development of specific
microbial communities according to their mineralogy, nutritive content, and
weatherability. Based upon recent studies, we highlight how bacterial communities
are distributed on the surface of, and in close proximity to, minerals. We also
consider the potential role of the mineral-associated bacterial communities in
mineral weathering and nutrient cycling in soils, with a specific focus on
nutrient-poor and acidic forest ecosystems. We propose to define this microbial
habitat as the mineralosphere, where key drivers of the microbial communities are
the physicochemical properties of the minerals.
PMID- 26549582
TI - Aim, Load, Fire: The Type VI Secretion System, a Bacterial Nanoweapon.
AB - Bacteria utilise specialised protein secretion systems to interact with host
organisms, competitor bacteria, and the environment. The Type VI secretion system
(T6SS) is a versatile weapon deployed by many bacterial species to target either
host cells or rival bacteria. The widespread occurrence and significance of the
T6SS is becoming increasingly appreciated, as is its intriguing mode of action.
The T6SS delivers multiple, diverse effector proteins directly into target cells
using a dynamic 'firing' mechanism related to the action of contractile
bacteriophage tails. Here, we summarise the contribution of recent findings to
our developing picture of how the T6SS assembles and fires, how it is loaded with
different types of effectors, and how it can be aimed towards an incoming
assault.
PMID- 26549583
TI - An in-depth examination into pharmacy technician worklife through an
organizational behavior framework.
AB - BACKGROUND: Pharmacy technicians are a vital part of the health care workforce.
Little is known about perceptions of their own work environment that would
engender more effective recruitment, retention, and management strategies by
pharmacists and employers. OBJECTIVES: The purpose of this study was to gain a
greater understanding of certified pharmacy technician worklife. Specific
objectives included the identification of themes of worklife phenomena to assist
with the development of appropriate responses by other pharmacy stakeholders and
to ascertain the contribution of various factors engendering or discouraging
career commitment of pharmacy technicians. METHODS: Semi-structured in-depth
interviews were carried out with a convenience sample of pharmacy technicians in
one U.S. state, who varied by their work settings and level of experience. The
interview guide and corresponding participant responses were framed from around
an organizational cultural basis rooted in organizational behavior theory. Notes
from the interviews were analyzed thematically using directed content analysis.
RESULTS: Four primary themes emerged, including: career impetus, job
responsibilities, quality of work life, and equitable partnership. The data
revealed pharmacy technicians' need for self-actualization and recognition of the
value they bring to the organization. The participants identified primary
responsibilities that contribute to their sense of worth and those that if not
managed adequately potentially detract from their well-being and effectiveness.
Findings in regard to rate of pay corroborate previous work on wages as both an
intrinsic and extrinsic motivator. Pharmacy technicians seek equity among each
other (their peers) and in a mutually beneficial relationship with their
employing organization. CONCLUSIONS: This study provides the impetus for
interventions and further study that should serve to enhance pharmacy technician
effectiveness, quality of work life, and longevity in an emerging profession.
PMID- 26549584
TI - Shock assisted ionization injection in laser-plasma accelerators.
AB - Ionization injection is a simple and efficient method to trap an electron beam in
a laser plasma accelerator. Yet, because of a long injection length, this
injection technique leads generally to the production of large energy spread
electron beams. Here, we propose to use a shock front transition to localize the
injection. Experimental results show that the energy spread can be reduced down
to 10 MeV and that the beam energy can be tuned by varying the position of the
shock. This simple technique leads to very stable and reliable injection even for
modest laser energy. It should therefore become a unique tool for the development
of laser-plasma accelerators.
PMID- 26549585
TI - Still trying to pick the best asparaginase preparation.
PMID- 26549587
TI - Chemotherapy improves survival in appendiceal cancer.
PMID- 26549586
TI - Intravenous pegylated asparaginase versus intramuscular native Escherichia coli L
asparaginase in newly diagnosed childhood acute lymphoblastic leukaemia (DFCI 05
001): a randomised, open-label phase 3 trial.
AB - BACKGROUND: l-asparaginase is a universal component of treatment for childhood
acute lymphoblastic leukaemia, and is usually administered intramuscularly.
Pegylated Escherichia coli asparaginase (PEG-asparaginase) has a longer half-life
and is potentially less immunogenic than the native Escherichia coli (E coli)
preparation, and can be more feasibly administered intravenously. The aim of the
Dana-Farber Cancer Institute Acute Lymphoblastic Leukaemia Consortium Protocol 05
001 (DFCI 05-001) was to compare the relative toxicity and efficacy of
intravenous PEG-asparaginase and intramuscular native E colil-asparaginase in
children with newly diagnosed acute lymphoblastic leukaemia. METHODS: DFCI 05-001
enrolled patients aged 1-18 years with newly diagnosed acute lymphoblastic
leukaemia from 11 consortium sites in the USA and Canada. Patients were assigned
to an initial risk group on the basis of their baseline characteristics and then
underwent 32 days of induction therapy. Those who achieved complete remission
after induction therapy were assigned to a final risk group and were eligible to
participate in a randomised comparison of intravenous PEG-asparaginase (15 doses
of 2500 IU/m(2) every 2 weeks) or intramuscular native E colil-asparaginase (30
doses of 25 000 IU/m(2) weekly), beginning at week 7 after study entry.
Randomisation (1:1) was unmasked, and was done by a statistician-generated
allocation sequence using a permuted blocks algorithm (block size of 4),
stratified by final risk group. The primary endpoint of the randomised comparison
was the overall frequency of asparaginase-related toxicities (defined as allergy,
pancreatitis, and thrombotic or bleeding complications). Predefined secondary
endpoints were disease-free survival, serum asparaginase activity, and quality of
life during therapy as assessed by PedsQL surveys. All analyses were done by
intention to treat. This study is registered with ClinicalTrials.gov, number
NCT00400946. FINDINGS: Between April 22, 2005, and Feb 12, 2010, 551 eligible
patients were enrolled. 526 patients achieved complete remission after induction,
of whom 463 were randomly assigned to receive intramuscular native E colil
asparaginase (n=231) or intravenous PEG-asparaginase (n=232). The two treatment
groups did not differ significantly in the overall frequency of asparaginase
related toxicities (65 [28%] of 232 patients in the intravenous PEG-asparaginase
group vs 59 [26%] of 231 patients in the intramuscular native E colil
asparaginase group, p=0.60), or in the individual frequency of allergy (p=0.36),
pancreatitis (p=0.55), or thrombotic or bleeding complications (p=0.26). Median
follow-up was 6.0 years (IQR 5.0-7.1). 5-year disease-free survival was 90% (95%
CI 86-94) for patients assigned to intravenous PEG-asparaginase and 89% (85-93)
for those assigned to intramuscular native E colil-asparaginase (p=0.58). The
median nadir serum asparaginase activity was significantly higher in patients who
received intravenous PEG-asparaginase than in those who received intramuscular
native E colil-asparaginase. Significantly more anxiety was reported by both
patients and parent-proxy in the intramuscular native E colil-asparaginase group
than in the intravenous PEG-asparaginase group. Scores for other domains were
similar between the groups. The most common grade 3 or worse adverse events were
bacterial or fungal infections (47 [20%] of 232 in the intravenous PEG
asparaginase group vs 51 [22%] of 231 patients in the intramuscular E colil
asparaginase group) and asparaginase-related allergic reactions (14 [6%] vs 6
[3%]). INTERPRETATION: Intravenous PEG-asparaginase was not more toxic than, was
similarly efficacious to, and was associated with decreased anxiety compared with
intramuscular native E colil-asparaginase, supporting its use as the front-line
asparaginase preparation in children with newly diagnosed acute lymphoblastic
leukaemia. FUNDING: National Cancer Institute and Enzon Pharmaceuticals.
PMID- 26549588
TI - Sorafenib and novel multikinase inhibitors in AML.
PMID- 26549590
TI - Low BMI linked to worse colorectal cancer outcomes.
PMID- 26549591
TI - Personalising the treatment of prostate cancer.
PMID- 26549589
TI - Addition of sorafenib versus placebo to standard therapy in patients aged 60
years or younger with newly diagnosed acute myeloid leukaemia (SORAML): a
multicentre, phase 2, randomised controlled trial.
AB - BACKGROUND: Preclinical data and results from non-randomised trials suggest that
the multikinase inhibitor sorafenib might be an effective drug for the treatment
of acute myeloid leukaemia. We investigated the efficacy and tolerability of
sorafenib versus placebo in addition to standard chemotherapy in patients with
acute myeloid leukaemia aged 60 years or younger. METHODS: This randomised,
double-blind, placebo-controlled, phase 2 trial was done at 25 sites in Germany.
We enrolled patients aged 18-60 years with newly diagnosed, previously untreated
acute myeloid leukaemia who had a WHO clinical performance score 0-2, adequate
renal and liver function, no cardiac comorbidities, and no recent trauma or
operation. Patients were randomly assigned (1:1) to receive two cycles of
induction therapy with daunorubicin (60 mg/m(2) on days 3-5) plus cytarabine (100
mg/m(2) on days 1-7), followed by three cycles of high-dose cytarabine
consolidation therapy (3 g/m(2) twice daily on days 1, 3, and 5) plus either
sorafenib (400 mg twice daily) or placebo on days 10-19 of induction cycles 1 and
2, from day 8 of each consolidation, and as maintenance for 12 months. Allogeneic
stem-cell transplantation was scheduled for all intermediate-risk patients with a
sibling donor and for all high-risk patients with a matched donor in first
remission. Computer-generated randomisation was done in blocks. The primary
endpoint was event-free survival, with an event defined as either primary
treatment failure or relapse or death, assessed in all randomised patients who
received at least one dose of study treatment. We report the final analysis. This
trial is registered with ClinicalTrials.gov, number NCT00893373, and the EU
Clinical Trials Register (2008-004968-40). FINDINGS: Between March 27, 2009, and
Nov 28, 2011, 276 patients were enrolled and randomised, of whom nine did not
receive study medication. 267 patients were included in the primary analysis
(placebo, n=133; sorafenib, n=134). With a median follow-up of 36 months (IQR
35.5-38.1), median event-free survival was 9 months (95% CI 4-15) in the placebo
group versus 21 months (9-32) in the sorafenib group, corresponding to a 3-year
event-free survival of 22% (95% CI 13-32) in the placebo group versus 40% (29-51)
in the sorafenib group (hazard ratio [HR] 0.64, 95% CI; 0.45-0.91; p=0.013). The
most common grade 3-4 adverse events in both groups were fever (71 [53%] in the
placebo group vs 73 [54%] in the sorafenib group), infections (55 [41%] vs 46
[34%]), pneumonia (21 [16%] vs 20 [14%]), and pain (13 [10%] vs 15 [11%]). Grade
3 or worse adverse events that were significantly more common in the sorafenib
group than the placebo group were fever (relative risk [RR] 1.54, 95% CI 1.04
2.28), diarrhoea (RR 7.89, 2.94-25.2), bleeding (RR 3.75, 1.5-10.0), cardiac
events (RR 3.46, 1.15-11.8), hand-foot-skin reaction (only in sorafenib group),
and rash (RR 4.06, 1.25-15.7). INTERPRETATION: In patients with acute myeloid
leukaemia aged 60 years or younger, the addition of sorafenib to standard
chemotherapy has antileukaemic efficacy but also increased toxicity. Our findings
suggest that kinase inhibitors could be a useful addition to curative treatment
for acute myeloid leukaemia. Overall survival after long-term follow-up and
strategies to reduce toxicity are needed to determine the future role of
sorafenib in treatment of this disease. FUNDING: Bayer HealthCare.
PMID- 26549592
TI - A new way to target p53-defective colorectal cancer.
PMID- 26549593
TI - Overexpression of miR-210 is Associated with Poor Prognosis of Acute Myeloid
Leukemia.
AB - BACKGROUND: MicroRNAs play important roles in regulation of the initiation and
progression of AML. MiR-210 is closely related with cancer development; however,
whether miR-210 expression level correlates with clinical correlation in AML is
unknown. Thus, the aim of this study was to investigate the potential
relationship between miR-210 expression and AML prognosis. MATERIAL AND METHODS:
Real-time quantitative PCR was carried out to examine the expression level of miR
210 in bone marrow and serum obtained from AML patients and healthy controls.
Then the correlation between miR-210 expression and a variety of important
clinical parameters (such as overall survival, relapse-free survival, and
prognostic value) were further studied. RESULTS: The expression level of miR-210
was significantly higher in the bone marrow and serum of AML patients than that
of healthy controls (p<0.001). Moreover, miR-210 expression was associated with
various AML clinicopathological parameters, including FAB classification and
cytogenetics. The serum miR-210 expression level was reduced significantly when
the patients achieved complete remission (p=0.02). The high miR-210 expression
group had both poorer relapse-free survival (p=0.015) and worse overall survival
(p=0.008). In the multivariate analysis model, miR-210 was identified as an
independent prognostic marker. CONCLUSIONS: MiR-210 up-regulation was associated
with poor prognosis in AML and it might be useful as a marker for predicting the
clinical outcome of AML patients.
PMID- 26549594
TI - Long-Term Degradation of Self-Reinforced Poly-Levo (96%)/Dextro (4%)-Lactide/beta
Tricalcium Phosphate Biocomposite Interference Screws.
AB - PURPOSE: To evaluate the long-term in vivo degradation of biocomposite
interference screws made with self-reinforced poly-levo (96%)/dextro (4%)
lactide/beta-tricalcium phosphate [SR-PL(96)/D(4)LA/beta-TCP]. METHODS: A study
of the in vivo biologic behavior of an SR-PL(96)/D(4)LA/beta-TCP biocomposite
interference screw was initiated in 2011 using an anterior cruciate ligament
(ACL) reconstruction model. Eight patients undergoing a bone-patellar tendon-bone
ACL reconstruction fixed at both the femur and tibia with an SR
PL(96)/D(4)LA/beta-TCP screw at least 36 months earlier were evaluated by
physical, radiographic, and computed tomography (CT) evaluations. Lysholm,
Tegner, Cincinnati, and International Knee Documentation Committee scores were
obtained. After incomplete degradation was observed in these 8 patients, a
subsequent series of 17 patients were evaluated at a minimum of 48 months after
surgery. By use of CT scans, Hounsfield unit (HU) data were obtained at the
femoral and tibial screw and other bone sites. An ossification quality score
(range, 1 to 4) was used to determine osteoconductivity at the screw sites.
RESULTS: Eleven male and 6 female patients evaluated by CT scan and radiographs
at a mean of 50 months (range, 48 to 61 months) after surgery showed bone plug
healing to the tunnel wall and the SR-PL(96)/D(4)LA/beta-TCP screws were replaced
with material that was calcified and non-trabecular. Osteoconductivity was
present in 24 of 34 tunnels (70.58%) and nearly complete or complete (type 3 or 4
ossification) in 11 of 34 (32.35%). Mean screw site densities (femoral, 242 HU;
tibial, 240 HU) were consistent with cancellous bone density. One positive pivot
shift test was found. Lysholm, Cincinnati, Tegner, and International Knee
Documentation Committee activity scores improved from 44.5, 40.7, 2.3, and 1.4,
respectively, preoperatively to 92, 92.4, 5.7, and 3.3, respectively, at follow
up (P < .0001). The average postoperative Single Assessment Numeric Evaluation
score was 92. The mean KT arthrometer (MEDmetric, San Diego, CA) difference was
1.25 mm. CONCLUSIONS: The SR-PL(96)/D(4)LA/beta-TCP interference screw was
replaced with calcified, non-trabecular material 4 years after implantation in a
bone-patellar tendon-bone ACL reconstruction model. Osteoconductivity was
confirmed in 24 of 34 screw sites (71%), with nearly complete or complete filling
in 11 of 34 (33%). The SR-PL(96)/D(4)LA/beta-TCP biocomposite interference screw
is osteoconductive. LEVEL OF EVIDENCE: Level IV, therapeutic case series.
PMID- 26549595
TI - Psychoactive Properties of Opioids and the Experience of Pain.
PMID- 26549597
TI - Correction notice.
PMID- 26549596
TI - Interpreting at the End of Life: A Systematic Review of the Impact of
Interpreters on the Delivery of Palliative Care Services to Cancer Patients With
Limited English Proficiency.
AB - CONTEXT: Language barriers can influence the health quality and outcomes of
limited English proficiency (LEP) patients at end of life, including symptom
assessment and utilization of hospice services. OBJECTIVES: To determine how
professional medical interpreters influence the delivery of palliative care
services to LEP patients. METHODS: We conducted a systematic review of the
literature in all available languages of six databases from 1960 to 2014. Studies
evaluated use of language services for LEP patients who received palliative care
services. Data were abstracted from 10 articles and collected on study design,
size, comparison groups, outcomes, and interpreter characteristics. RESULTS: Six
qualitative and four quantitative studies assessed the use of interpreters in
palliative care. All studies found that the quality of care provided to LEP
patients receiving palliative services is influenced by the type of interpreter
used. When professional interpreters were not used, LEP patients and families had
inadequate understanding about diagnosis and prognosis during goals of care
conversations, and patients had worse symptom management at the end of life,
including pain and anxiety. Half of the studies concluded that professional
interpreters were not used adequately, and several studies suggested that
premeetings between clinicians and interpreters were important to discuss topics
and terminology to be used during goals of care discussions. CONCLUSION: LEP
patients had worse quality of end-of-life care and goals of care discussions when
professional interpreters were not used. More intervention studies are needed to
improve the quality of care provided to LEP patients and families receiving
palliative services.
PMID- 26549598
TI - Self-assembly of tissue spheroids on polymeric membranes.
AB - In this study, multicellular tissue spheroids were fabricated on polymeric
membranes in order to accelerate the fusion process and tissue formation. To this
purpose, tissue spheroids composed of three different cell types, myoblasts,
fibroblasts and neural cells, were formed and cultured on agarose and membranes
of polycaprolactone (PCL) and chitosan (CHT). Membranes prepared by a phase
inversion technique display different physicochemical, mechanical and transport
properties, which can affect the fusion process. The membranes accelerated the
fusion process of a pair of spheroids with respect to the inert substrate. In
this process, a critical role is played by the membrane properties, especially by
their mechanical characteristics and oxygen and carbon dioxide mass transfer. The
rate of fusion was quantified and found to be similar for fibroblast, myoblast
and neural tissue spheroids on membranes, which completed the fusion within 3
days. These spheroids underwent faster fusion and maturation on PCL membrane than
on agarose, the rate of fusion being proportional to the value of oxygen and
carbon dioxide permeances and elastic characteristics. Consequently, tissue
spheroids on the membranes expressed high biological activity in terms of oxygen
uptake, making them more suitable as building blocks in the fabrication of
tissues and organs. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26549599
TI - Anxiety is associated with increased risk of dementia in older Swedish twins.
AB - INTRODUCTION: We asked whether anxiety is associated with prospective risk of
dementia, and the extent to which genetic influences mediate this association.
METHODS: Nondemented twins (n = 1082) from the Swedish Adoption Twin Study of
Aging completed an assessment of anxiety symptoms in 1984 and were followed for
28 years. RESULTS: Baseline anxiety score, independent of depressive symptoms,
was significantly associated with incident dementia over follow-up (hazard ratio
[HR] = 1.04; 95% confidence interval [CI] = 1.01-1.06). There was 48% increased
risk of becoming demented for those who had experienced high anxiety at any time
compared with those who had not. In co-twin analyses, the association between
anxiety symptoms and dementia was greater for dizygotic (HR = 1.11; 95% CI = 1.02
1.20) compared with monozygotic twins (HR = 1.06; 95% CI = 0.95-1.20), indicating
genetic mediation. DISCUSSION: Anxiety symptoms were associated with increased
risk of dementia. Genetic factors common to dementia and anxiety partially
mediated this association.
PMID- 26549600
TI - Exercise prescription for non-specific chronic low back pain (NSCLBP): a
qualitative study of patients' experiences of involvement in decision making.
AB - BACKGROUND: The culture of current clinical practice calls for collaboration
between therapists and patients, sharing power and responsibility. This paper
reports on the findings of a qualitative study of exercise prescription for
patients with NSCLBP, taking into account issues such as decision making and how
this accords with patient preferences and experiences. OBJECTIVE: To understand
the treatment decision making experiences, information and decision support needs
of patients with NSCLBP who have been offered exercise as part of their
management plan. DESIGN: A qualitative study using a philosophical hermeneutic
approach. METHODS: Semi-structured interviews with eight patients (including use
of brief patient vignettes) was undertaken to explore their personal experiences
of receiving exercise as part of the management of their NSCLBP, and their
involvement in decisions regarding their care. FINDINGS: The findings provide a
detailed insight into patients' perceptions and experiences of receiving exercise
based management strategies. Four themes were formed from the texts: (1)
patients' expectations and patients' needs are not synonymous, (2) information is
necessary but often not sufficient, (3) not all decisions need to be shared, and
(4) wanting to be treated as an individual. CONCLUSIONS: Shared decision making
did not appear to happen in physiotherapy clinical practice, but equally may not
be what every patient wants. The overall feeling of the patients was that the
therapist was dominant in structuring the interactions, leaving the patients
feeling disempowered to question and contribute to the decision making.
PMID- 26549601
TI - Exercise adherence: integrating theory, evidence and behaviour change techniques.
PMID- 26549605
TI - Accelerated CO2 transport on surface of AgO nanoparticles in ionic liquid
BMIMBF4.
AB - The AgO nanoparticles were utilized for a CO2 separation membrane. The AgO
nanoparticles were successfully generated in ionic liquid 1-butyl-3-methyl
imidazolium tetrafluoroborate (BMIMBF4) by favorable interaction between the
surface of particles and the counteranion of BMIMBF4. The generated AgO
nanoparticles were confirmed by TEM, and the average size was 20 nm. Coordinative
interactions of dissociated AgO particles with BMIM(+)BF4(-) were investigated by
FT-Raman spectroscopy. When the ionic liquid BMIMBF4 containing AgO nanoparticles
was utilized as a CO2 separation membrane, the separation performance was largely
enhanced.
PMID- 26549607
TI - Electrochemically Preadsorbed Collagen Promotes Adult Human Mesenchymal Stem Cell
Adhesion.
AB - The present article reports on the effect of electric potential on the adsorption
of collagen type I (the most abundant component of the organic phase of bone)
onto optically transparent carbon electrodes (OTCE) and its mediation on
subsequent adhesion of adult, human, mesenchymal stem cells (hMSCs). For this
purpose, adsorption of collagen type I was investigated as a function of the
protein concentration (0.01, 0.1, and 0.25 mg/mL) and applied potential (open
circuit potential [OCP; control], +400, +800, and +1500 mV). The resulting
substrate surfaces were characterized using spectroscopic ellipsometry, atomic
force microscopy, and cyclic voltammetry. Adsorption of collagen type I onto OTCE
was affected by the potential applied to the sorbent surface and the
concentration of protein. The higher the applied potential and protein
concentration, the higher the adsorbed amount (Gammacollagen). It was also
observed that the application of potential values higher than +800 mV resulted in
the oxidation of the adsorbed protein. Subsequent adhesion of hMSCs on the OTCEs
(precoated with the collagen type I films) under standard cell culture conditions
for 2 h was affected by the extent of collagen preadsorbed onto the OTCE
substrates. Specifically, enhanced hMSCs adhesion was observed when the
Gammacollagen was the highest. When the collagen type I was oxidized (under
applied potential equal to +1500 mV), however, hMSCs adhesion was decreased.
These results provide the first correlation between the effects of electric
potential on protein adsorption and subsequent modulation of anchorage-dependent
cell adhesion.
PMID- 26549606
TI - Altered sensorimotor activation patterns in idiopathic dystonia-an activation
likelihood estimation meta-analysis of functional brain imaging studies.
AB - Dystonia is characterized by sustained or intermittent muscle contractions
causing abnormal, often repetitive, movements or postures. Functional
neuroimaging studies have yielded abnormal task-related sensorimotor activation
in dystonia, but the results appear to be rather variable across studies.
Further, study size was usually small including different types of dystonia. Here
we performed an activation likelihood estimation (ALE) meta-analysis of
functional neuroimaging studies in patients with primary dystonia to test for
convergence of dystonia-related alterations in task-related activity across
studies. Activation likelihood estimates were based on previously reported
regional maxima of task-related increases or decreases in dystonia patients
compared to healthy controls. The meta-analyses encompassed data from 179
patients with dystonia reported in 18 functional neuroimaging studies using a
range of sensorimotor tasks. Patients with dystonia showed bilateral increases in
task-related activation in the parietal operculum and ventral postcentral gyrus
as well as right middle temporal gyrus. Decreases in task-related activation
converged in left supplementary motor area and left postcentral gyrus, right
superior temporal gyrus and dorsal midbrain. Apart from the midbrain cluster, all
between-group differences in task-related activity were retrieved in a sub
analysis including only the 14 studies on patients with focal dystonia. For focal
dystonia, an additional cluster of increased sensorimotor activation emerged in
the caudal cingulate motor zone. The results show that dystonia is consistently
associated with abnormal somatosensory processing in the primary and secondary
somatosensory cortex along with abnormal sensorimotor activation of mesial
premotor and right lateral temporal cortex. Hum Brain Mapp 37:547-557, 2016. (c)
2015 Wiley Periodicals, Inc.
PMID- 26549609
TI - A rare cause of abdominal pain: Catheter in the middle hepatic vein.
AB - Hemodialysis catheters are vital for chronic renal failure patients. Permanent
tunneled dialysis catheters may be inserted through the jugular, subclavian, and
femoral veins. In this paper, we aimed to present the computed tomography
findings of a chronic renal failure patient who had referred our clinic with
abdominal pain and dyspnea symptoms. This patient had a formerly inserted
hemodialysis catheter for chronic renal failure and her catheter was found to be
extending towards the middle hepatic vein with the tip leaning onto the
parenchyma. Hemodialysis catheters can provide instant vascular access and can
also be used for the consecutive procedures. Permanent hemodialysis catheters are
ideal for long-term use when placing an arteriovenous fistula is contraindicated
or is no longer possible under conditions like advanced heart failure, peripheral
artery disease or short life expectancy. The internal jugular, subclavian, the
femoral veins, and the inferior vena cava can be used for catheter insertion. The
tip of the catheters inserted in the neck or the thorax must extend to the vena
cava superior. Catheter malposition may both lead to fatal outcomes and
ineffective dialysis. It is important to obtain chest X-rays after the procedure,
particularly to detect catheter malposition.
PMID- 26549608
TI - Macrophage accumulation in gut mucosa differentiates AIDS from chronic SIV
infection in rhesus macaques.
AB - The relationship between recruitment of mononuclear phagocytes to lymphoid and
gut tissues and disease in HIV and SIV infection remains unclear. To address this
question, we conducted cross-sectional analyses of dendritic cell (DC) subsets
and CD163(+) macrophages in lymph nodes (LNs) and ileum of rhesus macaques with
acute and chronic SIV infection and AIDS. In LNs significant differences were
only evident when comparing uninfected and AIDS groups, with loss of myeloid DCs
and CD103(+) DCs from peripheral and mesenteric LNs, respectively, and
accumulation of plasmacytoid DCs and macrophages in mesenteric LNs. In contrast,
there were fourfold more macrophages in ileum lamina propria in macaques with
AIDS compared with chronic infection, and this increased to 40-fold in Peyer's
patches. Gut macrophages exceeded plasmacytoid DCs and CD103(+) DCs by ten- to 17
fold in monkeys with AIDS but were at similar low frequencies as DCs in chronic
infection. Gut macrophages in macaques with AIDS expressed IFN-alpha and TNF
alpha consistent with cell activation. CD163(+) macrophages also accumulated in
gut mucosa in acute infection but lacked expression of IFN-alpha and TNF-alpha.
These data reveal a relationship between inflammatory macrophage accumulation in
gut mucosa and disease and suggest a role for macrophages in AIDS pathogenesis.
PMID- 26549610
TI - Analysis of four pentacyclic triterpenoid acids in several bioactive botanicals
with gas and liquid chromatography and mass spectrometry detection.
AB - Several pentacyclic triterpenoid acids including betulinic, oleanolic, and
ursolic acids were reported to have health beneficial properties such as
antiviral and anti-inflammatory properties, as well as the capability to inhibit
"in vitro" the development of various cancer cell types. For this reason
betulinic, oleanolic, and ursolic acids are used as neutraceuticals. For the
analysis of the pentacyclic triterpenoid acids in complex plant materials, an
improved scheme was developed, involving a qualitative screening using silylation
and gas chromatography with mass spectrometry analysis, followed by quantitation
using a novel liquid chromatography with tandem mass spectrometry procedure. The
use of the two methods provides more reliable information regarding the plant
materials with unknown composition. Besides betulinic, oleanolic, and ursolic
acids that were analyzed, by this procedure a fourth pentacyclic triterpenoid
acid was identified and quantitated that was not previously reported to be
present in plants. This acid has been identified as 3beta-3-hydroxy-lupa
18,20(29)-dien-28-oic acid. The newly identified acid has a structure as a
derivative of lupane, although lupane with a double bond in the 18-position was
not previously reported as present in plants. The new liquid chromatography with
tandem mass spectrometry procedure developed for this study offers a very low
limit of quantitation, excellent precision, and robustness. Rosemary was found to
contain the largest levels of pentacyclic triterpenoid acids among all the
analyzed botanicals.
PMID- 26549611
TI - Improved strategy for recombinant production and purification of antimicrobial
peptide tachyplesin I and its analogs with high cell selectivity.
AB - Here, we report an efficient procedure for recombinant production and
purification of tachyplesin I (THI) with a final yield of 17 mg/L of the culture
medium. The peptide was expressed in Escherichia coli as a part of the
thioredoxin fusion protein. With the use of soluble expression followed by
immobilized metal-ion affinity chromatography, the recombinant protein cleavage
and reversed-phase high-performance liquid chromatography, a yield of THI did not
exceed 6.5 mg/L of the culture medium. Further optimization studies were carried
out to improve the protein expression level and simplify purification procedure
of the target peptide. To achieve better yield of the peptide, we used high-cell
density bacterial expression. The formed inclusion bodies were highly enriched
with the fusion protein, which allowed us to perform direct chemical cleavage of
the inclusion bodies solubilized in 6 M guanidine-HCl with subsequent selective
precipitation of proteins with trifluoroacetic acid. This enabled us to avoid an
extra step of purification by immobilized metal-ion affinity chromatography. The
developed procedure has made it possible to obtain biologically active THI and
was used for screening a number of its mutant analogs. As a result, several
selective and nonhemolytic analogs were developed. Significant reduction in
hemolytic activity without losing antimicrobial activity was achieved by
substitution of tyrosine or isoleucine residue in the beta-turn region of the
molecule with hydrophilic serine. The present study affords further insight into
molecular mechanism of antimicrobial action of tachyplesin and gains a better
understanding of structure-activity relationships in its analogs. This is aimed
at searching for novel antibiotics on the basis of antimicrobial peptides with
reduced cytotoxicity.
PMID- 26549612
TI - Sperm traits in farmed and wild Atlantic salmon Salmo salar.
AB - Differences in sperm metabolism and morphology between wild and non-local farmed
Atlantic salmon Salmo salar were assessed by measuring metabolic enzyme
activities and length of sperm flagella. No differences were observed between
wild and farmed S. salar sperm with regards to cell counts or any of the
biochemical variables assessed. Flagella of sperm cells were significantly longer
in wild than farmed S. salar; however, this did not result in higher energy
levels or different fertilization rates.
PMID- 26549613
TI - What more can be done to popularize phonosurgical ideas in everyday handling of
vocal folds?
AB - This paper is focused on vocal fold surgery with phonosurgical intent. The aim of
this review is to broaden phonosurgical knowledge, spread the ideas of the
European Laryngological Society (ELS) on phonosurgery and translate the layered
structure and physiology of the vocal fold described in Hirano's classic body
cover model into the graphic illustration of the "fluttering sleeve" created by
Dikkers. In numerous countries, where phonosurgery is a relatively novel field of
knowledge or still in its infancy, simple patterns and plain associations will
serve the best in popularizing sophisticated vocal fold anatomy and preservation
surgery and converting it into everyday routine.
PMID- 26549615
TI - Electrically Tunable Epsilon-Near-Zero (ENZ) Metafilm Absorbers.
AB - Enhancing and spectrally controlling light absorption is of great practical and
fundamental importance. In optoelectronic devices consisting of layered
semiconductors and metals, absorption has traditionally been manipulated with the
help of Fabry-Perot resonances. Even further control over the spectral light
absorption properties of thin films has been achieved by patterning them into
dense arrays of subwavelength resonant structures to form metafilms. As the next
logical step, we demonstrate electrical control over light absorption in
metafilms constructed from dense arrays of actively tunable plasmonic cavities.
This control is achieved by embedding indium tin oxide (ITO) into these cavities.
ITO affords significant tuning of its optical properties by means of electrically
induced carrier depletion and accumulation. We demonstrate that particularly
large changes in the reflectance from such metafilms (up to 15% P) can be
achieved by operating the ITO in the epsilon-near-zero (ENZ) frequency regime
where its electrical permittivity changes sign from negative to positive values.
PMID- 26549614
TI - The role of biology in planetary evolution: cyanobacterial primary production in
low-oxygen Proterozoic oceans.
AB - Understanding the role of biology in planetary evolution remains an outstanding
challenge to geobiologists. Progress towards unravelling this puzzle for Earth is
hindered by the scarcity of well-preserved rocks from the Archean (4.0 to 2.5 Gyr
ago) and Proterozoic (2.5 to 0.5 Gyr ago) Eons. In addition, the microscopic life
that dominated Earth's biota for most of its history left a poor fossil record,
consisting primarily of lithified microbial mats, rare microbial body fossils and
membrane-derived hydrocarbon molecules that are still challenging to interpret.
However, it is clear from the sulfur isotope record and other geochemical proxies
that the production of oxygen or oxidizing power radically changed Earth's
surface and atmosphere during the Proterozoic Eon, pushing it away from the more
reducing conditions prevalent during the Archean. In addition to ancient rocks,
our reconstruction of Earth's redox evolution is informed by our knowledge of
biogeochemical cycles catalysed by extant biota. The emergence of oxygenic
photosynthesis in ancient cyanobacteria represents one of the most impressive
microbial innovations in Earth's history, and oxygenic photosynthesis is the
largest source of O2 in the atmosphere today. Thus the study of microbial
metabolisms and evolution provides an important link between extant biota and the
clues from the geologic record. Here, we consider the physiology of cyanobacteria
(the only microorganisms capable of oxygenic photosynthesis), their co-occurrence
with anoxygenic phototrophs in a variety of environments and their persistence in
low-oxygen environments, including in water columns as well as mats, throughout
much of Earth's history. We examine insights gained from both the rock record and
cyanobacteria presently living in early Earth analogue ecosystems and synthesize
current knowledge of these ancient microbial mediators in planetary redox
evolution. Our analysis supports the hypothesis that anoxygenic photosynthesis,
including the activity of metabolically versatile cyanobacteria, played an
important role in delaying the oxygenation of Earth's surface ocean during the
Proterozoic Eon.
PMID- 26549616
TI - You can go your own way: effectiveness of participant-driven versus experimenter
driven processing strategies in memory training and transfer.
AB - Cognitive training programs that instruct specific strategies frequently show
limited transfer. Open-ended approaches can achieve greater transfer, but may
fail to benefit many older adults due to age deficits in self-initiated
processing. We examined whether a compromise that encourages effort at encoding
without an experimenter-prescribed strategy might yield better results. Older
adults completed memory training under conditions that either (1) mandated a
specific strategy to increase deep, associative encoding, (2) attempted to
suppress such encoding by mandating rote rehearsal, or (3) encouraged time and
effort toward encoding but allowed for strategy choice. The experimenter-enforced
associative encoding strategy succeeded in creating integrated representations of
studied items, but training-task progress was related to pre-existing ability.
Independent of condition assignment, self-reported deep encoding was associated
with positive training and transfer effects, suggesting that the most beneficial
outcomes occur when environmental support guiding effort is provided but
participants generate their own strategies.
PMID- 26549617
TI - Adenovirus-mediated overexpression of cystic fibrosis transmembrane conductance
regulator enhances invasiveness and motility of serous ovarian cancer cells.
AB - The cystic fibrosis transmembrane conductance regulator (CFTR) belongs to the
adenosine triphosphate-binding cassette transporter family, members of which are
involved in several types of cancer. Previous studies by our group reported that
CFTR was highly expressed in serous ovarian cancer (SOC) tissues, and that
knockdown of CFTR suppressed the proliferation of ovarian cancer in vitro and in
vivo. Thus, the aim of the present study was to construct a recombinant
adenoviral vector for the expression of the human CFTR gene in order to study the
role of CFTR overexpression in the malignant invasion and migration of SOC cells
in vitro. The present study then focused on the mechanisms of the role of CFTR in
the migratory and invasive malignant properties of SOC cells. The CFTR gene was
inserted into an adenoviral vector by using the AdEasy system in order to obtain
the Ad-CFTR overexpression vector, which was used to transfect the A2780 SOC cell
line. Reverse-transcription polymerase chain reaction, western blot analysis and
immunofluorescence were performed to detect the expression and localization of
CFTR. Cell invasion and motility of the transfected cells compared with those of
control cells were observed using Transwell and wound healing assays. A ~4,700 bp
fragment of the CFTR gene was confirmed to be correctly cloned in the adenoviral
vector and amplification of Ad-CFTR was observed in HEK293 cells during package.
After 48 h of transfection with Ad-CFTR, ~90% of A2780 cells were red
fluorescence protein-positive. Immunofluorescence showed that following
transfection, CFTR expression was increased and CFTR was located in the cell
membrane and cytoplasm. CFTR overexpression was shown to enhance the invasion and
motility of A2780 cells in vitro. Furthermore, the effects of CFTR overexpression
on the activation c-Src signaling were observed by western blot analysis. CFTR
overexpressing cells showed the lowest activity of phospho-Src (Tyr530),
suggesting that CFTR may affect the activation of c-Src signaling. The results of
the present study demonstrated that adenovirus-mediated CFTR overexpression
enhanced cell invasion and motility of SOC cells in vitro. Furthermore, CFTR may
be critical for the activation of c-Src signaling.
PMID- 26549618
TI - Observation of Landau levels on nitrogen-doped flat graphite surfaces without
external magnetic fields.
AB - Under perpendicular external magnetic fields, two-dimensional carriers exhibit
Landau levels (LLs). However, it has recently been reported that LLs have been
observed on graphene and graphite surfaces without external magnetic fields being
applied. These anomalous LLs have been ascribed primarily to a strain of graphene
sheets, leading to in-plane hopping modulation of electrons. Here, we report the
observation of the LLs of massive Dirac fermions on atomically flat areas of a
nitrogen-doped graphite surface in the absence of external magnetic fields. The
corresponding magnetic fields were estimated to be as much as approximately 100
T. The generation of the LLs at the area with negligible strain can be explained
by inequivalent hopping of pi electrons that takes place at the perimeter of high
potential domains surrounded by positively charged substituted graphitic-nitrogen
atoms.
PMID- 26549619
TI - Gymnemic Acid Stimulates In Vitro Splenic Lymphocyte Proliferation.
AB - Gymnemic acid is a mixture of triterpenoid saponins of oleanane class, isolated
from Gymnema sylvestre Wild R.Br (family: Asclepidaceae), an herbal plant used in
traditional medicine to treat diabetes. Effect of gymnemic acid (0.1-20 ug/mL) on
in vitro mitogen (concanavalin A and lipopolysaccharide)-induced splenic
lymphocyte proliferation was studied using rat as model. Significant (p < 0.05)
stimulation of lymphoproliferation was observed in cultures treated with 10 and
20 ug/mL concentration of gymnemic acid in the absence or presence of mitogens.
The present study suggests that gymnemic acid has immunomodulatory property,
stimulating lymphoid components of immune system, and the traditional knowledge
of anti-diabetic property of G. sylvestre is scientifically supplemented with its
immunomodulatory properties.
PMID- 26549620
TI - Reductive cleavage of carbon-chlorine bonds at catalytic and non-catalytic
electrodes in 1-butyl-3-methylimidazolium tetrafluoroborate.
AB - Dissociative electron transfer (DET) to a series of organic chlorides at glassy
carbon (GC), silver and copper electrodes has been studied in 1-butyl-3
methylimidazolium tetrafluoroborate. The overall results of this study show that
the ionic liquid behaves like molecular solvents such as acetonitrile and
dimethylfomamide. It is found that aromatic chlorides follow a stepwise
mechanism, whereas concerted electron transfer/bond cleavage is the preferred
reaction mechanism for alkyl and benzyl chlorides. Ag and Cu show catalytic
effects only when the DET follows a concerted mechanism, but Ag proves to be a
much better electrocatalyst than Cu. A series of substituted benzyl chlorides (Z
C6H4CH2Cl, Z = H, 3-OCH3, 3-F, 4-Cl, and 3-CF3) show interesting results
providing some insight into the reaction dynamics. The process occurs by a
concerted mechanism and, albeit a constant standard potential for the whole
series, Ep on GC and Cu, which does not show catalytic activity, is significantly
affected by the substituents. In contrast, Ag shows good catalytic activity and,
as expected, Ep does not change with the substituent. This difference in behavior
may be rationalized by considering ion-dipole interactions between R and Cl(-) as
opposed to adsorption of the fragments on the electrode surface.
PMID- 26549621
TI - In silico characterization of protein partial molecular volumes and hydration
shells.
AB - In this paper we present a computational approach, based on NVT molecular
dynamics trajectories, that allows the direct evaluation of the protein partial
molecular volume. The results obtained for five different globular proteins
demonstrate the accuracy of this computational procedure in reproducing protein
partial molecular volumes, providing quantitative characterization of the
hydration shell in terms of the protein excluded volume, hydration shell
ellipsoidal volume and related solvent density. Remarkably, our data indicate for
the hydration shell a ~10% solvent density increase with respect to the liquid
water bulk density, in excellent agreement with the available experimental data.
PMID- 26549622
TI - Fluorogenic nanoreactor assembly with boosted sensing kinetics for timely imaging
of cellular hydrogen peroxide.
AB - The precise detection of endogenous H2O2 has been considered to be a useful tool
for understanding cell physiology. Here, we have developed a nanoreactor co
incorporated with a H2O2-responsive fluorogenic molecule and a catalytic
additive. The fast sensing kinetics allows us to visualize a subcellular response
in real-time.
PMID- 26549623
TI - Mesoporous silica formulation strategies for drug dissolution enhancement: a
review.
AB - INTRODUCTION: Silica materials, in particular mesoporous silicas, have
demonstrated excellent properties to enhance the oral bioavailability of poorly
water-soluble drugs. Current research in this area is focused on investigating
the kinetic profile of drug release from these carriers and manufacturing
approaches to scale-up production for commercial manufacture. AREAS COVERED: This
review provides an overview of different methods utilized to load drugs onto
mesoporous silica carriers. The influence of silica properties and silica pore
architecture on drug loading and release are discussed. The kinetics of drug
release from mesoporous silica systems is examined and the manufacturability and
stability of these formulations are reviewed. Finally, the future prospects of
mesoporous silica drug delivery systems are considered. EXPERT OPINION:
Substantial progress has been made in the characterization and development of
mesoporous drug delivery systems for drug dissolution enhancement. However, more
research is required to fully understand the drug release kinetic profile from
mesoporous silica materials. Incomplete drug release from the carrier and the
possibility of drug re-adsorption onto the silica surface need to be
investigated. Issues to be addressed include the manufacturability and regulation
status of formulation approaches employing mesoporous silica to enhance drug
dissolution. While more research is needed to support the move of this technology
from the bench to a commercial medicinal product, it is a realistic prospect for
the near future.
PMID- 26549624
TI - Implications of the Differential Toxicological Effects of III-V Ionic and
Particulate Materials for Hazard Assessment of Semiconductor Slurries.
AB - Because of tunable band gaps, high carrier mobility, and low-energy consumption
rates, III-V materials are attractive for use in semiconductor wafers. However,
these wafers require chemical mechanical planarization (CMP) for polishing, which
leads to the generation of large quantities of hazardous waste including
particulate and ionic III-V debris. Although the toxic effects of micron-sized
III-V materials have been studied in vivo, no comprehensive assessment has been
undertaken to elucidate the hazardous effects of submicron particulates and
released III-V ionic components. Since III-V materials may contribute
disproportionately to the hazard of CMP slurries, we obtained GaP, InP, GaAs, and
InAs as micron- (0.2-3 MUm) and nanoscale (<100 nm) particles for comparative
studies of their cytotoxic potential in macrophage (THP-1) and lung epithelial
(BEAS-2B) cell lines. We found that nanosized III-V arsenides, including GaAs and
InAs, could induce significantly more cytotoxicity over a 24-72 h observation
period. In contrast, GaP and InP particulates of all sizes as well as ionic GaCl3
and InCl3 were substantially less hazardous. The principal mechanism of III-V
arsenide nanoparticle toxicity is dissolution and shedding of toxic As(III) and,
to a lesser extent, As(V) ions. GaAs dissolves in the cell culture medium as well
as in acidifying intracellular compartments, while InAs dissolves (more slowly)
inside cells. Chelation of released As by 2,3-dimercapto-1-propanesulfonic acid
interfered in GaAs toxicity. Collectively, these results demonstrate that III-V
arsenides, GaAs and InAs nanoparticles, contribute in a major way to the toxicity
of III-V materials that could appear in slurries. This finding is of importance
for considering how to deal with the hazard potential of CMP slurries.
PMID- 26549625
TI - Selective Extraction and In Situ Reduction of Precious Metal Salts from Model
Waste To Generate Hybrid Gels with Embedded Electrocatalytic Nanoparticles.
AB - A hydrogel based on 1,3:2,4-dibenzylidenesorbitol (DBS), modified with acyl
hydrazides which extracts gold/silver salts from model waste is reported, with
preferential uptake of precious heavy metals over other common metals. Reduction
of gold/silver salts occurs spontaneously in the gel to yield metal nanoparticles
located on the gel nanofibers. High nanoparticle loadings can be achieved,
endowing the gel with electrochemical activity. These hybrid gels exhibit higher
conductances than gels doped with carbon nanotubes, and can be used to modify
electrode surfaces, enhancing electrocatalysis. We reason this simple,
industrially and environmentally relevant approach to conducting materials is of
considerable significance.
PMID- 26549626
TI - A new face of sleep: The impact of post-learning sleep on recognition memory for
face-name associations.
AB - Sleep has been demonstrated to improve consolidation of many types of new
memories. However, few prior studies have examined how sleep impacts learning of
face-name associations. The recognition of a new face along with the associated
name is an important human cognitive skill. Here we investigated whether post
presentation sleep impacts recognition memory of new face-name associations in
healthy adults. Fourteen participants were tested twice. Each time, they were
presented 20 photos of faces with a corresponding name. Twelve hours later, they
were shown each face twice, once with the correct and once with an incorrect
name, and asked if each face-name combination was correct and to rate their
confidence. In one condition the 12-h interval between presentation and recall
included an 8-h nighttime sleep opportunity ("Sleep"), while in the other
condition they remained awake ("Wake"). There were more correct and highly
confident correct responses when the interval between presentation and recall
included a sleep opportunity, although improvement between the "Wake" and "Sleep"
conditions was not related to duration of sleep or any sleep stage. These data
suggest that a nighttime sleep opportunity improves the ability to correctly
recognize face-name associations. Further studies investigating the mechanism of
this improvement are important, as this finding has implications for individuals
with sleep disturbances and/or memory impairments.
PMID- 26549628
TI - Oscillatory Changes of the Heterogeneous Reactive Layer Detected with the
Motional Resistance during the Galvanostatic Deposition of Copper in Sulfuric
Solution.
AB - Metallic copper was galvanostatically deposited on quartz|gold resonant
electrodes by applying a constant current in a 0.5 M CuSO4/0.1 M H2SO4 aqueous
solution. Galvanostatic copper deposition is one of the best methodologies to
calibrate the electrochemical quartz crystal microbalances (EQCM), a gravimetric
sensor to evaluate changes in mass during the electrochemical reactions through
the Sauerbrey equation. The simultaneous measurement of mass, current density,
and motional resistance by an EQCM with motional resistance monitoring allows us
to characterize the processes occurring on the electrode surface and at the
interfacial regions with unprecedented detail. During the galvanostatic copper
deposition, Cu(H2O)4(OH)2 is accumulated close to the copper surface, generating
a passive layer. This passive layer can act as Cu(2+) reservoir for the Cu(2+) ->
Cu process since the copper deposition is not affected. The analysis of motional
resistance evolution in different experimental conditions reveals that the
passive layer is formed by the reaction of oxidizing agents generated at the
counter electrode with the metallic copper surface. The simplistic Cu(2+) -> Cu
process is completed with a more detailed mechanism, which includes the passive
layer formation/dissolution and the transport of species from the counter
electrode surface (Pt) to the working electrode surface. The results further
support the calibration procedure of EQCM by the galvanostatic deposition of
copper in sulfuric solutions. However, we suggest applying high current
densities, separating the counter electrode and quartz|gold resonant electrode
about 0.5 cm, and keeping oxygen in solution for the EQCM calibration. Moreover,
the better interval time to calculate the Sauerbrey's constant from charge and
resonant frequency data is between 150 and 300 s.
PMID- 26549627
TI - Paradox of pattern separation and adult neurogenesis: A dual role for new neurons
balancing memory resolution and robustness.
AB - Hippocampal adult neurogenesis is thought to subserve pattern separation, the
process by which similar patterns of neuronal inputs are transformed into
distinct neuronal representations, permitting the discrimination of highly
similar stimuli in hippocampus-dependent tasks. However, the mechanism by which
immature adult-born dentate granule neurons cells (abDGCs) perform this function
remains unknown. Two theories of abDGC function, one by which abDGCs modulate and
sparsify activity in the dentate gyrus and one by which abDGCs act as autonomous
coding units, are generally suggested to be mutually exclusive. This review
suggests that these two mechanisms work in tandem to dynamically regulate memory
resolution while avoiding memory interference and maintaining memory robustness.
PMID- 26549629
TI - Early auditory processing evoked potentials (N100) show a continuum of blunting
from clinical high risk to psychosis in a pediatric sample.
AB - BACKGROUND: The N100 is a negative deflection in the surface EEG approximately
100 ms after an auditory signal. It has been shown to be reduced in individuals
with schizophrenia and those at clinical high risk (CHR). N100 blunting may index
neural network dysfunction underlying psychotic symptoms. This phenomenon has
received little attention in pediatric populations. METHOD: This cross-sectional
study compared the N100 response measured via the average EEG response at the
left medial frontal position FC1 to 150 sinusoidal tones in participants ages 5
to 17 years with a CHR syndrome (n=29), a psychotic disorder (n=22), or healthy
controls (n=17). RESULTS: Linear regression analyses that considered potential
covariates (age, gender, handedness, family mental health history, medication
usage) revealed decreasing N100 amplitude with increasing severity of psychotic
symptomatology from healthy to CHR to psychotic level. CONCLUSIONS: Longitudinal
assessment of the N100 in CHR children who do and do not develop psychosis will
inform whether it predicts transition to psychosis and if its response to
treatment predicts symptom change.
PMID- 26549630
TI - Increased interleukin-2 serum levels were associated with psychopathological
symptoms and cognitive deficits in treatment-resistant schizophrenia.
AB - Accumulating evidence showed that interleukin-2 (IL-2) may be involved in the
pathophysiology of schizophrenia. Increased IL-2 levels have been found in the
serum of schizophrenia patients with mixed results. In the present study, we
assessed serum IL-2 levels in a large group of 160 schizophrenia patients
compared to 60 healthy control subjects matched for age and gender. The
schizophrenia symptomatology was assessed by the Positive and Negative Syndrome
Scale (PANSS), and serum IL-2 levels were measured by sandwich ELISA. The results
showed that IL-2 levels were significantly higher in chronic patients with
schizophrenia than in healthy control subjects (p<0.001). Correlation analysis
revealed a significantly negative association between IL-2 levels and the PANSS
cognitive and positive subscales (both p<0.01). Stepwise multiple regression
analyses confirmed IL-2 as the influencing factor for the cognitive and positive
subscales of the PANSS. Our findings suggested that increased IL-2 may be
involved in the cognitive impairments and psychopathology of chronic
schizophrenia.
PMID- 26549631
TI - A case of pseudo-Stauffer's syndrome related to asenapine use.
AB - The authors present a case of suspected pseudo-Stauffer's syndrome in a male with
treatment refractory schizoaffective disorder following asenapine use. We discuss
our management of this case, and believe knowing about this potential adverse
effect and it's management could be useful for clinicians.
PMID- 26549632
TI - Effect of organic matter on nitrogenase metal cofactors homeostasis in
Azotobacter vinelandii under diazotrophic conditions.
AB - Biological nitrogen fixation can be catalysed by three isozymes of nitrogenase:
molybdenum (Mo)-nitrogenase, vanadium (V)-nitrogenase and iron-only (Fe)
nitrogenase. The activity of these isozymes strongly depends on their metal
cofactors, molybdenum, vanadium and iron, and their bioavailability in
ecosystems. Here, we show how metal bioavailability can be affected by the
presence of tannic acid (organic matter), and the subsequent consequences on
diazotrophic growth of the soil bacterium Azotobacter vinelandii. In the presence
of tannic acids, A. vinelandii produces a higher amount of metallophores, which
coincides with an active, regulated and concomitant acquisition of molybdenum and
vanadium under cellular conditions that are usually considered not molybdenum
limiting. The associated nitrogenase genes exhibit decreased nifD expression and
increased vnfD expression. Thus, in limiting bioavailable metal conditions, A.
vinelandii takes advantage of its nitrogenase diversity to ensure optimal
diazotrophic growth.
PMID- 26549633
TI - The size-dependent morphology of Pd nanoclusters formed by gas condensation.
AB - Size-selected Pd nanoclusters in the size range from 887 to 10,000 atoms were
synthesized in a magnetron sputtering, inert gas condensation cluster beam source
equipped with a time of flight mass filter. Their morphologies were investigated
using scanning transmission electron microscopy (STEM) and shown to be strongly
size-dependent. The larger clusters exhibited elongated structures, which we
attribute to the aggregation, through multiple collisions, of smaller clusters
during the gas phase condensation process. This was confirmed from the atomically
resolved STEM images of the Pd nanoclusters, which showed smaller primary
clusters with their own crystalline structures.
PMID- 26549634
TI - Validity of the Special Needs Education Assessment Tool (SNEAT), a Newly
Developed Scale for Children with Disabilities.
AB - The improvement of the quality of life (QOL) of children with disabilities has
been considered important. Therefore, the Special Needs Education Assessment Tool
(SNEAT) was developed based on the concept of QOL to objectively evaluate the
educational outcome of children with disabilities. SNEAT consists of 11 items in
three domains: physical functioning, mental health, and social functioning. This
study aimed to verify the reliability and construct validity of SNEAT using 93
children collected from the classes on independent activities of daily living for
children with disabilities in Okinawa Prefecture between October and November
2014. Survey data were collected in a longitudinal prospective cohort study. The
reliability of SNEAT was verified via the internal consistency method and the
test-pretest method; both the coefficient of Cronbach's alpha and the intra-class
correlation coefficient were over 0.7. The validity of SNEAT was also verified
via one-way repeated-measures ANOVA and the latent growth curve model. The scores
of all the items and domains and the total scores obtained from one-way repeated
measures ANOVA were the same as the predicted scores. SNEAT is valid based on its
goodness-of-fit values obtained using the latent growth curve model, where the
values of comparative fit index (0.983) and root mean square error of
approximation (0.062) were within the goodness-of-fit range. These results
indicate that SNEAT has high reliability and construct validity and may
contribute to improve QOL of children with disabilities in the classes on
independent activities of daily living for children with disabilities.
PMID- 26549635
TI - Nocturnal eczema: Review of sleep and circadian rhythms in children with atopic
dermatitis and future research directions.
AB - Children with atopic dermatitis (AD) experience significant sleep disruption, and
clinically, the disease is noted to worsen in a circadian manner at night.
Epidemiologic findings highlight many negative consequences of AD, such as
impaired linear growth, which is uniquely related to disturbed sleep. Clinical
guidelines currently recommend assessing sleep in patients with AD as a crucial
parameter of disease control with appropriate treatment. In this review we
describe our current understanding of the roles of sleep cycles and circadian
rhythms in the nighttime exacerbation of AD (nocturnal eczema). We present a
schematic to explain the mechanism of nocturnal eczema. Treatment options for
sleep disturbance and future directions for research are discussed in the context
of AD.
PMID- 26549637
TI - Atopic dermatitis: Age and race do matter!
PMID- 26549638
TI - PF-04691502, a dual PI3K/mTOR inhibitor has potent pre-clinical activity by
inducing apoptosis and G1 cell cycle arrest in aggressive B-cell non-Hodgkin
lymphomas.
AB - The PI3K/Akt/mTOR pathway is activated in a variety of human tumors including B
cell non-Hodgkin lymphoma (B-NHL). Targeting this pathway has been validated in
solid and hematological tumors. In the present study, we demonstrated that PF
04691502, a novel PI3K/mTOR inhibitor has potent activity in a panel of
aggressive B-NHL cell lines including diffuse large B-cell lymphoma (DLBCL) and
mantle cell lymphoma (MCL). MTS analysis showed that PF-04691502 effectively
inhibited cell proliferation with IC50 values ranging from 0.12 to 0.55 uM. Cells
treated with PF-04691502 exhibited decreased phosphorylation of Akt and S6
ribosomal protein confirming the mechanism of action of a PI3K/mTOR inhibitor.
Also, treatment of B-NHL cell lines with PF-04691502 induced apoptosis in a dose-
and time-dependent manner. Moreover, PF-04691502 significantly induced G1 cell
cycle arrest associated with a decrease in cyclin D1 which contributed to
suppression of cell proliferation. Finally, rituximab enhanced apoptosis induced
by PF-04691502. Taken together, our findings provide for the first time that PF
04691502 inhibits the constitutively activated PI3K/mTOR pathway in aggressive B
cell NHL cell lines associated with inhibition of cell cycle progression, cell
proliferation and promotion of apoptosis. These findings suggest that PF-04691502
is a novel therapeutic strategy in aggressive B-cell NHL and warrants early phase
clinical trial evaluation with and without rituximab.
PMID- 26549639
TI - Aging mechanism in model Pickering emulsion.
AB - We study the stability of a model Pickering emulsion system using fluorinated oil
and functionalized silica nanoparticles. A special counter-flow microfluidic set
up was used to prepare monodisperse oil droplets in water. The wettability of the
monodisperse silica nanoparticles (NPs) could be tuned by surface grafting and
the surface coverage of the droplets was controlled using the microfluidic setup.
For surface coverage as low as 23%, we observed a regime of Pickering emulsion
stability where the surface coverage of emulsion droplets of constant size
increases with time, coexisting with an excess of oil phase. Our results
demonstrate that the previously observed limited coalescence regime where surface
coverage tends to control the average size of the final droplets must be put in a
broader perspective.
PMID- 26549641
TI - In vivo real time non invasive monitoring of brain penetration of chemicals with
near-infrared spectroscopy: Concomitant PK/PD analysis.
AB - BACKGROUND: Near-infrared spectroscopy (NIRS) is a non-invasive technique that
monitors changes in oxygenation of haemoglobin. The absorption spectra of near
infrared light differ for the oxygenation-deoxygenation states of haemoglobin
(oxygenate (HbO2) and deoxygenate (Hb), respectively) so that these two states
can be directly monitored. COMPARISON WITH EXISTING METHOD(S): Different
methodologies report different basal values of HbO2 and Hb absolute
concentrations in brain. Here, we attempt to calculate basal HbO2 levels in rat
CNS via evaluation of the influence of exogenous oxygen or exogenous carbon
dioxide on the NIRS parameters measured in vivo. NEW METHOD: Furthermore the
possibility that changes of haemoglobin oxygenation in rat brain as measured by
NIRS might be a useful index of brain penetration of chemical entities has been
investigated. Different compounds from different chemical classes were selected
on the basis of parallel ex vivo and in vivo pharmacokinetic (PK/PD) studies of
brain penetration and overall pharmacokinetic profile. RESULTS: It appeared that
NIRS might contribute to assess brain penetration of chemical entities, i.e.
significant changes in NIRS signals could be related to brain exposure,
conversely the lack of significant changes in relevant NIRS parameters could be
indicative of low brain exposure. CONCLUSIONS: This work is proposing a further
innovation on NIRS preclinical applications i.e. a "chemical" NIRS [chNIRS]
approach for determining penetration of drugs in animal brain. Therefore, chNIRS
could became a non invasive methodology for studies on neurobiological processes
and psychiatric diseases in preclinical but also a translational strategy from
preclinical to clinical investigations.
PMID- 26549642
TI - Ligand Exchange Governs the Crystal Structures in Binary Nanocrystal
Superlattices.
AB - The surface chemistry in colloidal nanocrystals on the final crystalline
structure of binary superlattices produced by self-assembly of two sets of
nanocrystals is hereby demonstrated. By mixing nanocrystals having two different
sizes and the same coating agent, oleylamine (OAM), the binary nanocrystal
superlattices that are produced, such as NaCl, AlB2, NaZn13, and MgZn2, are well
in agreement with the crystalline structures predicted by the hard-sphere model,
their formation being purely driven by entropic forces. By opposition, when large
and small nanocrystals are coated with two different ligands [OAM and
dodecanethiol (DDT), respectively] while keeping all other experimental
conditions unchanged, the final binary structures markedly change and various
structures with lower packing densities, such as Cu3Au, CaB6, and quasicrystals,
are observed. This effect of the nanocrystals' coating agents could also be
extended to other binary systems, such as Ag-Au and CoFe2O4-Ag supracrystalline
binary lattices. In order to understand this effect, a mechanism based on ligand
exchange process is proposed. Ligand exchange mechanism is believed to affect the
thermodynamics in the formation of binary systems composed of two sets of
nanocrystals with different sizes and bearing two different coating agents.
Hence, the formation of binary superlattices with lower packing densities may be
favored kinetically because the required energetic penalty is smaller than that
of a denser structure.
PMID- 26549640
TI - NADH oxidase-dependent CD39 expression by CD8(+) T cells modulates interferon
gamma responses via generation of adenosine.
AB - Interferon gamma (IFNgamma)-producing CD8(+) T cells (Tc1) play important roles
in immunological disease. We now report that CD3/CD28-mediated stimulation of
CD8(+) T cells to generate Tc1 cells, not only increases IFNgamma production but
also boosts the generation of reactive oxygen species (ROS) and augments
expression of CD39. Inhibition of NADPH oxidases or knockdown of gp91phox in
CD8(+) T cells abrogates ROS generation, which in turn modulates JNK and NFkappaB
signalling with decreases in both IFNgamma levels and CD39 expression.
CD39(+)CD8(+) T cells substantially inhibit IFNgamma production by CD39(-)CD8(+)
T cells via the paracrine generation of adenosine, which is operational via
adenosine type 2A receptors. Increases in numbers of CD39(+)CD8(+) T cells and
associated enhancements in ROS signal transduction are noted in cells from
patients with Crohn's disease. Our findings provide insights into Tc1-mediated
IFNgamma responses and ROS generation and link these pathways to CD39/adenosine
mediated effects in immunological disease.
PMID- 26549643
TI - Genetic and phenotypic correlations among feed efficiency, production and
selected conformation traits in dairy cows.
AB - The difficulties and costs of measuring individual feed intake in dairy cattle
are the primary factors limiting the genetic study of feed intake and
utilisation, and hence the potential of their subsequent industry-wide
applications. However, indirect selection based on heritable, easily measurable,
and genetically correlated traits, such as conformation traits, may be an
alternative approach to improve feed efficiency. The aim of this study was to
estimate genetic and phenotypic correlations among feed intake, production, and
feed efficiency traits (particularly residual feed intake; RFI) with routinely
recorded conformation traits. A total of 496 repeated records from 260 Holstein
dairy cows in different lactations (260, 159 and 77 from first, second and third
lactation, respectively) were considered in this study. Individual daily feed
intake and monthly BW and body condition scores of these animals were recorded
from 5 to 305 days in milk within each lactation from June 2007 to July 2013.
Milk yield and composition data of all animals within each lactation were
retrieved, and the first lactation conformation traits for primiparous animals
were extracted from databases. Individual RFI over 301 days was estimated using
linear regression of total 301 days actual energy intake on a total of 301 days
estimated traits of metabolic BW, milk production energy requirement, and empty
BW change. Pair-wise bivariate animal models were used to estimate genetic and
phenotypic parameters among the studied traits. Estimated heritabilities of total
intake and production traits ranged from 0.27+/-0.07 for lactation actual energy
intake to 0.45+/-0.08 for average body condition score over 301 days of the
lactation period. RFI showed a moderate heritability estimate (0.20+/-0.03) and
non-significant phenotypic and genetic correlations with lactation 3.5 % fat
corrected milk and average BW over lactation. Among the conformation traits,
dairy strength, stature, rear attachment width, chest width and pin width had
significant (P<0.05) moderate to strong genetic correlations with RFI.
Combinations of these conformation traits could be used as RFI indicators in the
dairy genetic improvement programmes to increase the accuracy of the genetic
evaluation of feed intake and utilisation included in the index.
PMID- 26549644
TI - Excess salt exacerbates blood-brain barrier disruption via a p38/MAPK/SGK1
dependent pathway in permanent cerebral ischemia.
AB - High salt diet (HSD) is one of the most important risk factors that contribute to
many vascular diseases including ischemic stroke. One proposed mechanism
underlying the disruption of blood-brain barrier (BBB) mediated by HSD is
indirectly through enhancing blood pressure. The direct role of HSD on BBB
integrity is unclear. Our purpose is to determine whether and how HSD might be
involved in BBB breakdown during ischemia. To test that, we induced model of
cerebral ischemia by permanent middle cerebral artery ligation (pMCAL) in either
normal diet or HSD fed mice. We observed that HSD significantly enhanced ischemic
brain damage which was associated with enhanced BBB disruption, increased
leukocytes infiltration and loss of tight junction (TJ) proteins expression
without apparently altering blood pressure. Our in vitro experiment also revealed
that sodium chloride (NaCl) treatment down-regulated TJ protein expression by
endothelial cells and substantially increased BBB permeability during starvation.
Inhibition of p38/MAPK/SGK1 pathway eliminated the effect of NaCl on BBB
permeability in vitro. In addition, we noticed a positive correlation between
urinary sodium levels and ischemic lesion size in stroke patients. Together, our
study demonstrates a hypertension-independent role of HSD during ischemia and
provides rationale for post cerebral ischemic attack management.
PMID- 26549646
TI - New transcription factors involved with postnatal ventral prostate gland
development in male Wistar rats during the first week.
AB - AIMS: The high incidence in men of prostatic diseases, including benign and
malignant tumors, makes the understanding of prostate development and biology
very important. Understanding the organogenesis of the prostate gland has been a
substantial challenge as "prostatic code" is not well defined at the present
time. The novelty of this work lies in unveiling new transcription factors (TFs)
during neonatal ventral prostate (VP) gland development in male Wistar rats. MAIN
METHODS: The techniques of qRT-PCR and immunohistochemistry have been employed to
perform this work while the VP gland was obtained from neonatal rats at day zero
(the day of birth) day 3 and 6. KEY FINDINGS: 16 TFs were studied and we found an
increased expression of Eya2, Lhrh and Znf142, invariable levels of Znf703 and
Dbp, and decreased expression of 11 others at postnatal development day 3 and 6
as compared to day zero. ZNF703 was found by immunohistochemistry in epithelial
cells at days 0, 3 and 6. qRT-PCR for Eya2 and Dmrt2 showed the highest and
lowest fold change for them respectively, and immunohistochemistry showed that
the former is being expressed at the three selected time points while the latter
appears to be diminishing with very few cells expressing it until day 6.
SIGNIFICANCE: Results from this work is reporting the role of these TFs for the
first time and will significantly contribute to the current understanding of the
development and branching morphogenesis of the neonatal VP gland during the first
week of postnatal development.
PMID- 26549645
TI - Neuropeptide Y (NPY) in tumor growth and progression: Lessons learned from
pediatric oncology.
AB - Neuropeptide Y (NPY) is a sympathetic neurotransmitter with pleiotropic actions,
many of which are highly relevant to tumor biology. Consequently, the peptide has
been implicated as a factor regulating the growth of a variety of tumors. Among
them, two pediatric malignancies with high endogenous NPY synthesis and release -
neuroblastoma and Ewing sarcoma - became excellent models to investigate the role
of NPY in tumor growth and progression. The stimulatory effect on tumor cell
proliferation, survival, and migration, as well as angiogenesis in these tumors,
is mediated by two NPY receptors, Y2R and Y5R, which are expressed in either a
constitutive or inducible manner. Of particular importance are interactions of
the NPY system with the tumor microenvironment, as hypoxic conditions commonly
occurring in solid tumors strongly activate the NPY/Y2R/Y5R axis. This activation
is triggered by hypoxia-induced up-regulation of Y2R/Y5R expression and
stimulation of dipeptidyl peptidase IV (DPPIV), which converts NPY to a selective
Y2R/Y5R agonist, NPY(3-36). While previous studies focused mainly on the effects
of NPY on tumor growth and vascularization, they also provided insight into the
potential role of the peptide in tumor progression into a metastatic and
chemoresistant phenotype. This review summarizes our current knowledge of the
role of NPY in neuroblastoma and Ewing sarcoma and its interactions with the
tumor microenvironment in the context of findings in other malignancies, as well
as discusses future directions and potential clinical implications of these
discoveries.
PMID- 26549647
TI - Potential role of organochlorine pesticides in the pathogenesis of
neurodevelopmental, neurodegenerative, and neurobehavioral disorders: A review.
AB - Organochlorine pesticides (OCPs) are persistent and bioaccumulative environmental
contaminants with potential neurotoxic effects. The growing body of evidence has
demonstrated that prenatal exposure to organochlorines (OCs) is associated with
impairment of neuropsychological development. The hypothesis is consistent with
recent studies emphasizing the correlation of environmental as well as genetic
factors to the pathophysiology of neurodevelopmental and neurobehavioral defects.
It has been suggested that maternal exposure to OCPs results in impaired motor
and cognitive development in newborns and infants. Moreover, in utero exposure to
these compounds contributes to the etiology of autism. Although impaired
neurodevelopment occurs through prenatal exposure to OCs, breastfeeding causes
postnatal toxicity in the infants. Parkinson's disease (PD) is another
neurological disorder, which has been associated with exposure to OCs, leading to
alpha-synuclein accumulation and depletion of dopaminergic neurons. The study
aimed to review the potential association between pre- and post-natal exposure to
OCs and impaired neurodevelopmental processes during pregnancy and
neuropsychological diseases such as PD, behavioral alterations, seizures and
autism.
PMID- 26549648
TI - Imiquimod inhibits the growth of SGC-7901 cells in vitro through induction of
autophagy and apoptosis.
AB - Imiquimod, the most prominent Toll-like receptor 7 agonist, has direct anti-tumor
activity and can induce autophagy and apoptosis in various types of human cancer.
The aim of the present study was to examine the anti-tumor effects of imiquimod
and their underlying mechanisms in SGC-7901 cells. Imiquimod exerted an
inhibitory effect on cell proliferation in a dose- and time-dependent manner as
indicated by an MTT assay. Imiquimod induced autophagy as well as apoptosis,
while simultaneous treatment with 3-methyladenine (3-MA), an autophagy inhibitor,
decreased the toxicity of imiquimod. Furthermore, blocking of autophagy by 3-MA
exerted an inhibitory effect on imiquimod-induced apoptosis, which indicated that
autophagy can function as a mechanism which, upon activation, directly leads to
apoptosis and cell death of SGC-7901 cells. The results of the present study
suggested that imiquimod has potent direct activity against gastric cancer cells
by inducing autophagy and apoptosis.
PMID- 26549650
TI - Development and Characterization of a Microemulsion System Containing
Amphotericin B with Potential Ocular Applications.
AB - BACKGROUND: Amphotericin B eye drops are widely used in the treatment of ocular
infections. However, amphotericin's toxicity leads to low patient compliance and
aggravation of symptoms. This work describes the development of a microemulsion
system containing amphotericin B, aiming for its use in ocular applications.
METHODS: The microemulsion was developed by the titration technique. The
physicochemical characteristics were determined with both loaded and unloaded
amphotericin B-microemulsion. The nanostructures were analyzed by polarized light
microscopy. The microdilution method was used to establish the minimum inhibitory
concentration against fungal strains, and, therefore, evaluate the microemulsion
activity. Additionally, in order to evaluate the microemulsion toxicity an in
vitro toxicity assay against red blood cells was performed. RESULTS: The
performed studies showed that the presence of amphotericin B loaded into the
system did not induce serious changes in the physicochemical properties of the
microemulsion when compared to the unloaded system. The spectrophotometric
studies depicted amphotericin B-self-associated species, which allow predicting
its behavior in vitro. The high pressure liquid chromatography results revealed
high drug content entrapment in the microemulsion droplet. Finally, the
amphotericin B-microemulsion in vitro susceptibility test showed high activity
against Candida strains and a low toxicity profile against red blood cells when
compared to Fungizone(r). CONCLUSION: The physicochemical characterization of the
microemulsion demonstrated that its characteristics are compatible with the
topical ocular route, making it eligible for consideration as a new and
interesting amphotericin B-deliverydosage form to be used as eye drop
formulation.
PMID- 26549649
TI - Selenium in the Therapy of Neurological Diseases. Where is it Going?
AB - Selenium (34Se), an antioxidant trace element, is an important regulator of brain
function. These beneficial properties that Se possesses are attributed to its
ability to be incorporated into selenoproteins as an amino acid. Several
selenoproteins are expressed in the brain, in which some of them, e.g.
glutathione peroxidases (GPxs), thioredoxin reductases (TrxRs) or selenoprotein P
(SelP), are strongly involved in antioxidant defence and in maintaining
intercellular reducing conditions. Since increased oxidative stress has been
implicated in neurological disorders, including Parkinson's disease, Alzheimer's
disease, stroke, epilepsy and others, a growing body of evidence suggests that Se
depletion followed by decreased activity of Se-dependent enzymes may be important
factors connected with those pathologies. Undoubtedly, the remarkable progress
that has been made in understanding the biological function of Se in the brain
has opened up new potential possibilities for the treatment of neurological
diseases by using Se as a potential drug. However, further research in the search
for optimal Se donors is necessary in order to achieve an effective and safe
therapeutic income.
PMID- 26549652
TI - Mutational analysis of mitochondrial DNA in Brugada syndrome.
AB - BACKGROUND: Brugada syndrome (BrS) is a primary electrical disease associated
with an increased risk of sudden cardiac death due to ventricular fibrillation.
This pathology has nuclear heterogeneous genetic origins, and at present,
molecular diagnostic tests on nuclear DNA cover only 30% of BrS patients. The aim
of this study was to assess the possible involvement of mitochondrial (mt) DNA
variants in BrS since their etiological role in several cardiomyopathies has
already been described. METHODS AND RESULTS: The whole mt genome of BrS patients
was sequenced and analyzed. A specific mtDNA mutation responsible for BrS can be
excluded, but BrS patient d-loop was found to be more polymorphic than that of
control cases (P=0.003). Moreover, there appears to be an association between
patients with the highest number of variants (n>20) and four mt Single Nucleotide
Polymorphism (SNPs) (T4216C, A11251G, C15452A, T16126C) and the most severe BrS
phenotype (P=0.002). CONCLUSIONS: The high substitution rate found in BrS patient
mtDNA is unlikely to be the primary cause of the disease, but it could represent
an important cofactor in the manifestation of the BrS phenotype. Evidence
suggesting that a specific mtDNA allelic combination and a high number of mtDNA
SNPs may be associated with more severe cases of BrS represents the starting
point for further cohort studies aiming to test whether this mt genetic condition
could be a genetic modulator of the BrS clinical phenotype.
PMID- 26549653
TI - An Acute Encephalopathy Accelerated by a Large Amount of Milk Consumption.
PMID- 26549654
TI - From Novice to Seasoned Practitioner: a Qualitative Investigation of Genetic
Counselor Professional Development.
AB - Research on genetic counselor professional development would characterize typical
developmental processes, inform training and supervision, and promote life-long
development opportunities. To date, however no studies have comprehensively
examined this phenomenon. The aims of this study were to investigate the nature
of professional development for genetic counselors (processes, influences, and
outcomes) and whether professional development varies across experience levels.
Thirty-four genetic counselors participated in semi-structured telephone
interviews exploring their perspectives on their professional development.
Participants were sampled from three levels of post-degree genetic counseling
experience: novice (0-5 years), experienced (6-14 years), and seasoned (>15
years). Using modified Consensual Qualitative Research and grounded theory
methods, themes, domains, and categories were extracted from the data. The themes
reflect genetic counselors' evolving perceptions of their professional
development and its relationship to: (a) being a clinician, (b) their
professional identity, and (c) the field itself. Across experience levels,
prevalent influences on professional development were interpersonal (e.g.,
experiences with patients, genetic counseling colleagues) and involved
professional and personal life events. Common developmental experiences included
greater confidence and less anxiety over time, being less information-driven and
more emotion-focused with patients, delivering "bad news" to patients remains
challenging, and individuals' professional development experiences parallel
genetic counseling's development as a field. With a few noteworthy exceptions,
professional development was similar across experience levels. A preliminary
model of genetic counselor professional development is proposed suggesting
development occurs in a non-linear fashion throughout the professional lifespan.
Each component of the model mutually influences the others, and there are
positive and negative avenues of development.
PMID- 26549655
TI - GlycA, a marker of acute phase glycoproteins, and the risk of incident type 2
diabetes mellitus: PREVEND study.
AB - BACKGROUND: GlycA is a recently developed glycoprotein biomarker of systemic
inflammation that may be predictive of incident type 2 diabetes mellitus (T2DM).
METHODS: Analytical performance of the GlycA test, measured on the Vantera(r)
Clinical Analyzer, was evaluated. To test its prospective association with T2DM,
GlycA was measured in 4524 individuals from the PREVEND study and a survival
analysis was performed with a mean follow-up period of 7.3y. RESULTS: Imprecision
for the GlycA test ranged from 1.3-2.3% and linearity was established between 150
and 1588MUmol/l. During the follow-up period, 220 new T2DM cases were
ascertained. In analyses adjusted for relevant covariates, GlycA was associated
with incident T2DM; hazard ratio (HR) for the highest vs. lowest quartile 1.77
[95% Confidence Interval (CI): 1.10-2.86, P=0.01], whereas the association of
high sensitivity C-reactive protein (hsCRP) with T2DM was not significant. GlycA
remained associated with incident T2DM after additional adjustment for hsCRP; HR
1.71 [1.00-2.92, P=0.04]. A multivariable adjusted analysis of dichotomized
subgroups showed that the hazard for incident T2DM was highest in the subgroup
with high GlycA and low hsCRP (P=0.03). CONCLUSIONS: The performance
characteristics of the GlycA test reveal that it is suitable for clinical
applications, including assessment of the risk of future T2DM.
PMID- 26549656
TI - Novel nonsense mutation (p.Ile411Metfs*12) in the SLC19A2 gene causing Thiamine
Responsive Megaloblastic Anemia in an Indian patient.
AB - Thiamine-responsive megaloblastic anemia (TRMA), an autosomal recessive disorder,
is caused by mutations in SLC19A2 gene encodes a high affinity thiamine
transporter (THTR-1). The occurrence of TRMA is diagnosed by megaloblastic
anemia, diabetes mellitus, and sensorineural deafness. Here, we report a female
TRMA patient of Indian descent born to 4th degree consanguineous parents
presented with retinitis pigmentosa and vision impairment, who had a novel
homozygous mutation (c.1232delT/ter422; p.Ile411Metfs*12) in 5th exon of SLC19A2
gene that causes premature termination of hTHTR-1. PROSITE analysis predicted to
abrogate GPCRs family-1 signature motif in the variant by this mutation
c.1232delT/ter422, suggesting uncharacteristic rhodopsin function leading to
cause RP clinically. Thiamine transport activity by the clinical variant was
severely inhibited than wild-type THTR-1. Confocal imaging had shown that the
variant p.I411Mfs*12 is targeted to the cell membrane and showed no discrepancy
in membrane expression than wild-type. Our findings are the first report, to the
best of our knowledge, on this novel nonsense mutation of hTHTR-1 causing TRMA in
an Indian patient through functionally impaired thiamine transporter activity.
PMID- 26549651
TI - Can We Selectively Reduce Appetite for Energy-Dense Foods? An Overview of
Pharmacological Strategies for Modification of Food Preference Behavior.
AB - Excessive intake of food, especially palatable and energy-dense carbohydrates and
fats, is largely responsible for the growing incidence of obesity worldwide.
Although there are a number of candidate antiobesity drugs, only a few of them
have been proven able to inhibit appetite for palatable foods without the
concurrent reduction in regular food consumption. In this review, we discuss the
interrelationships between homeostatic and hedonic food intake control mechanisms
in promoting overeating with palatable foods and assess the potential usefulness
of systemically administered pharmaceuticals that impinge on the endogenous
cannabinoid, opioid, aminergic, cholinergic, and peptidergic systems in the
modification of food preference behavior. Also, certain dietary supplements with
the potency to reduce specifically palatable food intake are presented. Based on
human and animal studies, we indicate the most promising therapies and agents
that influence the effectiveness of appetite-modifying drugs. It should be
stressed, however, that most of the data included in our review come from
preclinical studies; therefore, further investigations aimed at confirming the
effectiveness and safety of the aforementioned medications in the treatment of
obese humans are necessary.
PMID- 26549657
TI - Atypical plasma lipid profiles in leukemia.
AB - Numerous studies have reported alterations in the plasma lipid profiles of
leukemia patients. However, there are several inconsistencies in these reports.
The present review highlights and compiles findings from different research
groups regarding association of plasma lipoprotein levels with the risk of
developing leukemia. We have also discussed the clinical significance of plasma
lipid profiles in management of leukemia. Furthermore, the potential role of
plasma lipids in promoting leukemogenesis is also highlighted.
PMID- 26549658
TI - TBMS1 exerts its cytotoxicity in NCI-H460 lung cancer cells through nucleolar
stress-induced p53/MDM2-dependent mechanism, a quantitative proteomics study.
AB - Tubeimoside-1 (TBMS1) exerts its anticancer effects by inducing G2/M arrest and
apoptosis of cancer cells. However, the precise molecular mechanism of its anti
tumor effects has not been fully elucidated, especially the signaling pathways
involved in the early stage of TBMS1 stimulation. In this study, we employed
stable isotope labeling by amino acids in cell culture (SILAC)-based quantitative
proteomics approach and identified 439 proteins that exhibit significant
differential expressions in NCI-H460 lung cancer cells upon exposure to TBMS1.
Gene ontology and network analysis using DAVID and STRING on-line tools revealed
that several nucleolar stress (ribosomal biogenesis) response proteins were
differentially regulated by TBMS1. Functional validation demonstrated that TBMS1
induced NCI-H460 cell cytotoxicity involved nucleolar stress-induced p53/murine
double minute clone 2 (MDM2), mTOR, and NF-kappaB signaling pathways.
PMID- 26549659
TI - The use of turning tasks in clinical gait analysis for children with cerebral
palsy.
AB - BACKGROUND: Turning while walking is a crucial component of locomotion that is
performed using an outside (step) or inside (spin) limb strategy. The aims of
this paper were to determine how children with cerebral palsy perform turning
maneuvers and if specific kinematic and kinetic adaptations occur compared to
their typically developing peers. METHODS: Motion capture data from twenty-two
children with cerebral palsy and fifty-four typically developing children were
collected during straight and 90 degrees turning gait trials. Experimental data
were used to compute spatio-temporal parameters, margin of stability, ground
reaction force impulse, as well as joint kinematics and kinetics. FINDINGS: Both
child groups preferred turning using the spin strategy. The group of children
with cerebral palsy exhibited the following adaptations during turning gait
compared to the typically developing group: stride length was decreased across
all phases of the turn with largest effect size for the depart phase (2.02),
stride width was reduced during the turn phase, but with a smaller effect size
(0.71), and the average margin of stability during the approach phase of turning
was reduced (effect size of 0.98). Few overall group differences were found for
joint kinematic and kinetic measures; however, in many cases, the intra-subject
differences between straight walking and turning gait were larger for the
majority of children with cerebral palsy than for the typically developing
children. INTERPRETATION: In children with cerebral palsy, turning gait may be a
better discriminant of pathology than straight walking and could be used to
improve the management of gait abnormalities.
PMID- 26549660
TI - Ewing's sarcoma of the cervix, a diagnostic dilemma: a case report and review of
the literature.
AB - INTRODUCTION: Ewing's sarcoma belongs to a spectrum of neoplastic diseases known
as Ewing's family of tumors. This family of tumors is usually seen in osseous
sites. Ewing's sarcoma of the cervix is extremely rare, with only 18 cases
reported in the English literature. The immunohistochemical profile of Ewing's
sarcoma overlaps with other malignancies like small cell carcinoma. The rarity
and complex pathologic picture of Ewing's sarcoma of the cervix creates the
potential for misdiagnosis. Hence, we believe this case needs to be reported to
add to the available literature. CASE PRESENTATION: A 49-year-old white Caucasian
woman presented with vaginal bleeding. A pelvic examination revealed a cystic
lesion arising from her cervix. Examination of a biopsy specimen revealed a
poorly differentiated neoplasm, with sheets of small hyperchromatic cells,
staining weakly for neuroendocrine markers. She was diagnosed with small cell
carcinoma and started on concurrent chemotherapy and radiation. However,
additional positive immunostaining for CD99 was strongly suggestive of Ewing's
sarcoma. Fluorescence in situ hybridization revealed ESWR1 gene rearrangement,
confirming Ewing's sarcoma. Our patient underwent surgery, which confirmed stage
IIB Ewing's sarcoma. She received adjuvant chemotherapy but died from progressive
metastatic disease after four cycles. CONCLUSION: With early diagnosis and
appropriate treatment, Ewing's sarcoma of the cervix can be a potentially curable
disease. However, owing to overlapping clinical and histopathological features,
the diagnosis poses a challenge to oncologists and pathologists. This article
guides pathologists to consider Ewing's sarcoma in the differential diagnosis of
small cell carcinoma with weak staining for neuroendocrine markers. This
literature review will benefit oncologists encountering this rare entity.
PMID- 26549661
TI - Electroanalytical devices with pins and thread.
AB - This work describes the adaptive use of conventional stainless steel pins-used in
unmodified form or coated with carbon paste-as working, counter, and quasi
reference electrodes in electrochemical devices fabricated using cotton thread or
embossed omniphobic R(F) paper to contain the electrolyte and sample. For some
applications, these pin electrodes may be easier to modify and use than printed
electrodes, and their position and orientation can be changed as needed.
Electroanalytical devices capable of multiplex analysis (thread-based arrays or
96-well plates) were easily fabricated using pins as electrodes in either thread
or omniphobic R(F) paper.
PMID- 26549663
TI - Transfer efficiency of Staphylococcus aureus between nitrile exam gloves and
nonporous fomites.
AB - This report describes fomite transmission of Staphylococcus aureus amongst
various surfaces. A contact transfer protocol was completed to evaluate the
movement of S aureus between a person wearing nitrile gloves and either:
handshaking with another person with gloved hands, touching a plastic cellular
telephone back, or touching a stainless steel rod. The data in this preliminary
study imply that the highest bacterial transfer is with metal surfaces followed
by plastic. Interestingly, glove-to-glove transfer occurred but transfered less
bacteria than a plastic or metal surface. The observations from this study point
to the need to clearly define hygiene behaviors to reduce the potential of hand-
and surface-mediated transmission.
PMID- 26549662
TI - Electric Ablation with Irreversible Electroporation (IRE) in Vital Hepatic
Structures and Follow-up Investigation.
AB - Irreversible electroporation (IRE) with microsecond-pulsed electric fields
(MUsPEFs) can effectively ablate hepatocellular carcinomas in animal models. This
preclinical study evaluates the feasibility and safety of IRE on porcine livers.
Altogether, 10 pigs were included. Computed tomography (CT) was used to guide two
needle electrodes that were inserted near the hilus hepatis and gall bladder.
Animals were followed-up at 2 hours and at 2, 7 and 14 days post-treatment.
During and after MUsPEF ablation, electrocardiographs found no cardiovascular
events, and contrast CT found no portal vein thrombosis. There was necrosis in
the ablation zone. Mild cystic oedema around the gall bladder was found 2 hours
post-treatment. Pathological studies showed extensive cell death. There was no
large vessel damage, but there was mild endothelial damage in some small vessels.
Follow-up liver function tests and routine blood tests showed immediate liver
function damage and recovery from the damage, which correlated to the
pathological changes. These results indicate that MUsPEF ablation affects liver
tissue and is less effective in vessels, which enable MUsPEFs to ablate central
tumour lesions close to the hilus hepatis and near large vessels and bile ducts,
removing some of the limitations and contraindications of conventional thermal
ablation.
PMID- 26549664
TI - PrP genotype frequencies and risk evaluation for scrapie in dairy sheep breeds
from southern Italy.
AB - Concerns regarding scrapie in sheep breeding have increased in the last few
decades. The present study was carried out in dairy sheep breeds from southern
Italy. In order to find breeding animals resistant to scrapie, the PrP genes of
1,205 animals from entire flocks of dairy native Apulian Leccese and Altamurana
breeds, and Sicilian Comisana breed, were analysed for polymorphisms at codons
136, 154, and 171 related to scrapie resistance/susceptibility. The Altamurana
breed was considered as two populations (Alt-Cav and Alt-Cra-Zoe), based on
presumed cross-breeding. A total of five alleles and ten different genotypes were
found. The ARQ allele was predominant for all breeds followed by ARR, the most
resistant allele to scrapie, which was highly prevalent in Comisana (50%) and in
native Alt-Cav (42.4%). The VRQ allele, associated with the highest
susceptibility to scrapie, was detected at not negligeable levels in allocthonous
Comisana (3.5%), at a low frequency (0.2%) in native Leccese and Alt-Cra-Zoe,
while it was absent in Alt-Cav. The frequencies of PrP genotypes with a very low
susceptibility risk to scrapie (R1) was higher in Comisana and Alt-Cav. The most
susceptible genotype, ARQ/VRQ, was found only in Comisana. Within the Altamurana
breed, there were notable differences between Alt-Cav and Alt-Cra-Zoe sheep. The
Alt-Cav was characterised by the absence of VRQ and AHQ alleles and by the higher
frequency of the ARR/ARR genotype (18.7%). Breeding programs, mainly in
endangered breeds such as Altamurana, should be conducted gradually, combining
resistance to scrapie, maintenance of genetic variability, and production.
PMID- 26549665
TI - Creating a model to detect dairy cattle farms with poor welfare using a national
database.
AB - The objective of this study was to determine whether dairy farms with poor cow
welfare could be identified using a national database for bovine identification
and registration that monitors cattle deaths and movements. The welfare of dairy
cattle was assessed using the Welfare Quality((r)) protocol (WQ) on 24 Portuguese
dairy farms and on 1930 animals. Five farms were classified as having poor
welfare and the other 19 were classified as having good welfare. Fourteen million
records from the national cattle database were analysed to identify potential
welfare indicators for dairy farms. Fifteen potential national welfare indicators
were calculated based on that database, and the link between the results on the
WQ evaluation and the national cattle database was made using the identification
code of each farm. Within the potential national welfare indicators, only two
were significantly different between farms with good welfare and poor welfare,
'proportion of on-farm deaths' (p<0.01) and 'female/male birth ratio' (p<0.05).
To determine whether the database welfare indicators could be used to distinguish
farms with good welfare from farms with poor welfare, we created a model using
the classifier J48 of Waikato Environment for Knowledge Analysis. The model was a
decision tree based on two variables, 'proportion of on-farm deaths' and 'calving
to-calving interval', and it was able to correctly identify 70% and 79% of the
farms classified as having poor and good welfare, respectively. The national
cattle database analysis could be useful in helping official veterinary services
in detecting farms that have poor welfare and also in determining which welfare
indicators are poor on each particular farm.
PMID- 26549666
TI - Local anesthetics exacerbate antibiotic-induced anaphylactic shock.
PMID- 26549667
TI - Prediction of intra-hospital mortality after severe trauma: which pre-hospital
score is the most accurate?
AB - PURPOSE: Computing trauma scores in the field allows immediate severity
assessment for appropriate triage. Two pre-hospital scores can be useful in this
context: the Triage-Revised Trauma Score (T-RTS) and the Mechanism, Glasgow, Age
and arterial Pressure (MGAP) score. The Trauma Revised Injury Severity Score
(TRISS), not applicable in the pre-hospital setting, is the reference score to
predict in-hospital mortality after severe trauma. The aim of this study was to
compare T-RTS, MGAP and TRISS in a cohort of consecutive patients admitted in the
Trauma system of the Northern French Alps(TRENAU). MATERIALS AND METHODS: From
2009 to 2011, 3260 patients with suspected severe trauma according to the Vittel
criteria were included in the TRENAU registry. All data necessary to compute T
RTS, MGAP and TRISS were collected in patients admitted to one level-I, two level
II and ten level-III trauma centers. The primary endpoint was death from any
cause during hospital stay. Discriminative power of each score to predict
mortality was measured using receiver operating curve (ROC) analysis. To test the
relevancy of each score for triage, we also tested their sensitivity at usual cut
offs. We expected a sensitivity higher than 95% to limit undertriage. RESULTS:
The TRISS score showed the highest area under the ROC curve (0.95 [CI 95% 0.94
0.97], p<0.01). Pre-hospital MGAP score had significantly higher AUC compared to
T-RTS (0.93 [CI 95% 0.91-0.95] vs 0.86 [CI 95% 0.83-0.89], respectively, p<0.01).
MGAP score<23 had a sensitivity of 88% to detect mortality. Sensitivities of T
RTS<12 and TRISS<0.91 were 79% and 87%, respectively. DISCUSSION/CONCLUSION: Pre
hospital calculation of the MGAP score appeared superior to T-RTS score in
predicting intra-hospital mortality in a cohort of trauma patients. Although
TRISS had the highest AUC, this score can only be available after hospital
admission. These findings suggest that the MGAP score could be of interest in the
pre-hospital setting to assess patients' severity. However, its lack of
sensitivity indicates that MGAP should not replace the decision scheme to direct
the most severe patients to level-I trauma center.
PMID- 26549668
TI - Rationale for more consistent choice of surgical approaches for acetabular
fractures.
AB - OBJECTIVES: All acetabular fractures are difficult to treat surgically, but there
are four types involving two columns that are particularly challenging. The
choice of surgical approach is crucial. The purpose of the study was to determine
and evaluate the factors influencing the choice of surgical approach for two
column acetabular fractures. We hypothesised that more accurate preoperative
planning, sophisticated technical capabilities, and evolution of surgeon
experience will result in more consistent use of non-extensile single surgical
approaches. We also evaluated the outcomes of surgical treatment and the
correlation with the surgical approach used. DESIGN: Retrospective cohort study.
PATIENTS AND METHODS: A total of 156 patients with 157 acetabular fractures
involving two columns (Letournel T-types and both-column) treated surgically in a
25-year period (1988-2013) were included in the study. The acetabular fractures
in this study were divided into two groups according to the date of surgery: 81
in Group 1 (1998-2002) and 76 in Group 2 (2003-2013). All fractures were
classified preoperatively according to the Judet and Letournel classification
system and Matta's categorisation of surgical approach. Four surgical approaches
were used: single Kocher-Langenbeck (KL), single ilioinguinal (II), combined
Kocher-Langenbeck and ilioinguinal (KL+II), and extended iliofemoral (EIF). The
efficacy of the surgical approach utilised was assessed using three parameters:
anatomical reduction, surgical time and intraoperative complications. RESULTS:
There was no statistical difference between Group 1 and Group 2 in the
distribution of T-type (p=0.424) and both-column (p=0.425) fractures. In Group 2
more acetabular fractures were treated through single non-extensile approaches
compared with Group 1 (90.8% vs. 54.3%, p<0.001). Increase in single approach
surgery resulted in shorter mean surgical time (p<0.001) and significant increase
in anatomical reduction (p=0.039). The frequency of intraoperative complications
was not statistically different (p=0.07) between the two groups, but there was a
trend to fewer complications in Group 2. CONCLUSIONS: The surgical approaches
chosen for acetabular fractures that involve two columns (Letournel T-types and
both-column) should become more consistent. The results of this study indicate
that the majority of such acetabular fractures can be treated successfully
through single surgical approaches.
PMID- 26549669
TI - Implementing the Surgical Apgar Score in patients with trauma hip fracture.
AB - BACKGROUND: Trauma hip fractures in elderly patients are associated with high
postoperative long-term morbidity and mortality and premature death. The high
mortality in these patients can be explained by various factors, including the
fracture itself; the preoperative poor condition and comorbidities of these
patients; the influence of stressors, such as surgery and type of anaesthesia, on
the patient's condition; and the postoperative development of major
complications, such as cardiac failure, pulmonary embolism, pneumonia, deep
venous thrombosis and acute renal failure. Thus, the Surgical Apgar Score (SAS)
could be a valuable tool for objective risk stratification of patients
immediately after surgery, and to enable patients with higher risk to receive
postoperative ICU care and good management both during and after the hospital
stay. METHODS: The SAS was calculated retrospectively from the handwritten
anaesthesia records of 43 trauma hip fracture patients treated operatively in the
University Hospital Centre Zagreb over a 1-year period. The primary endpoints
were the 30-days major postoperative complications and mortality, length of the
ICU and hospital stay, and 6-months major complications development. Statistical
analysis was applied to compare SAS with the patients' perioperative variables.
RESULTS: A SAS<=4 in the trauma hip fracture patients was a significant predictor
for the 30-days major postoperative complications with 80% specificity (95% CI:
0.587-0.864, p=0.0111). However, the SAS was not significant in the prediction of
30-days mortality (95% CI: 0.468-0.771, p=0.2238) and 6-months mortality (95% CI:
0.497-0.795, p=0.3997) as primary endpoints in the hip fracture surgery patients.
CONCLUSION: The SAS shows how intraoperative events affect postoperative
outcomes. Calculating the SAS in the operating theatre provides immediate,
reliable, real-time feedback information about patient postoperative risk. The
results of this study indicate that all trauma hip fracture patients with SAS<=4
should go to the ICU postoperatively and should be under intensive surveillance
both during the hospital stay and after hospital discharge.
PMID- 26549670
TI - Knockdown of long non-coding RNA HOTAIR inhibits proliferation and invasiveness
and improves radiosensitivity in colorectal cancer.
AB - Colorectal cancer (CRC) is still one of the most important neoplasias causing
human death. Multidisciplinary therapy has won consensus in the management of
CRC, of which, radiotherapy occupies an important position. However,
radioresistance is still a major obstacle in local control of CRC. Overexpression
of long non-coding RNA HOTAIR has been found to correlate with tumorigenesis and
poor prognosis in several types of cancer. In the present study, we analyzed
HOTAIR expression levels of 53 CRC patients in tumor and adjacent normal tissue
by real-time quantitative PCR. Knockdown of HOTAIR by RNA interference was
performed to explore its roles in cell proliferation, migration, invasion,
apoptosis and radiosensitivity. Results showed that CRC patients had higher
HOTAIR expression in tumor tissues compared with adjacent normal tissues. In
vitro, downregulation of HOTAIR reduced proliferation, migration and invasiveness
while enhanced apoptosis and radio-sensitivity of CRC cells. Taken together, our
findings suggest that long non-coding RNA HOTAIR expression is closely associated
with tumor invasion and radiosensitivity, indicating the potential role in
diagnostics and therapeutics of CRC.
PMID- 26549671
TI - Differences between calcium-stimulated and storage-induced erythrocyte-derived
microvesicles.
AB - Microvesicles (MVs), or microparticles, are a complex, dynamic and functional
part of cells. Red blood cell (RBC)-derived MVs are naturally produced in vivo
(during normal aging processes or in several diseases) as well as ex vivo during
cold storage of RBCs, or in vitro by ATP depletion or treatment with Ca(2+) and
calcium ionophore. All these MVs are equivalently classified according to their
size and/or surface markers. Nevertheless, their content in proteins can differ
and a few differences in terms of lipid raft proteins, notably stomatin and
flotillin-2, have been reported. Based on two-dimensional gel electrophoreses,
the present study highlights the differences between MVs induced during storage
of RBCs (storage-MVs) and MVs stimulated by Ca(2+) entry (Ca-MVs). Upon
treatment, Ca-MVs are formed following a clear recruitment of Ca(2+)-binding
proteins (sorcin, grancalcin, PDCD6) and particularly annexins (4 and 5).
Therefore, it emerges that different molecular pathways are available to produce
similar MVs by disturbing the membrane/cytoskeleton interactions. Interestingly,
these differences provide non-negligible pieces of information on the parent
cells, and the mechanisms and modes of actions involved in the formation of MVs.
In addition to biophysical characterization, protein analysis is important to
classify these cellular corpuscles and evaluate their potential impacts in
diseases or transfusion medicine.
PMID- 26549672
TI - Platelet microparticles in cryopreserved platelets: Potential mediators of
haemostasis.
AB - Platelet concentrates can be cryopreserved in dimethylsulphoxide (DMSO) and
stored at -80 degrees C, which increases the shelf-life from 5 days to up to 4
years. Cryopreserved platelets have been shown to contain a high number of
microparticles that have in vitro haemostatic activity. Further, when transfused,
cryopreserved platelets have been shown to be at least as haemostatically active,
as liquid-stored platelets, if not more so. Given that microparticles are
traditionally considered to be pro-coagulant, it is likely that their presence in
the cryopreserved component contributes to this haemostatic effect. However, as
microparticles are known to mediate many physiological and pathological
processes, including in thrombosis and cancer development and progression,
further work is warranted to fully understand the functional scope of the
microparticles in cryopreserved platelets.
PMID- 26549673
TI - Do obese patients have worse outcomes after direct lateral interbody fusion
compared to non-obese patients?
AB - Obese patients undergoing lumbar spinal fusion surgery are a challenge to the
operating surgeon. Direct lateral interbody fusion (DLIF) has been performed for
degenerative disease of the lumbar spine with good outcomes; nevertheless, how
obese patients fare compared to non-obese patients after DLIF remains unknown.
The primary aim of this study is to compare rates of postoperative complications
and long-term outcomes between obese and non-obese patients undergoing DLIF.
Sixty-three patients (obese: 29, non-obese: 34) undergoing index DLIF for
degenerative disease of the spine between 2010 and 2012 at our institution were
retrospectively enrolled. We analyzed data on demographics, postoperative
complications, back and leg pain, and functional disability over 2 years.
Patients completed the Oswestry Disability Index (ODI) and Visual Analog Scale
(VAS) back and leg pain numerical rating scores before surgery, then at 12 and 24
months after surgery. Outcomes and complication rates were compared between the
cohorts. The cohorts were similar at baseline. Postoperative complications rates
were similar between obese and non-obese patients. There was no statistically
significant difference in the incidence of durotomy (p=0.91), anterior thigh
numbness (p=0.60), cerebrospinal fluid leak (p=0.91), postoperative infection
(p=0.37), or bleeding requiring transfusion (p=0.16). No patient experienced a
nerve injury or psoas hematoma. Both cohorts had similar 2 year improvement in
VAS for back pain, leg pain, and ODI. Our study demonstrates that obese and non
obese patients undergoing DLIF have similar complication profiles; hence, a
patient's weight should not be a contraindication to DLIF.
PMID- 26549674
TI - Risk factors associated with distal catheter migration following
ventriculoperitoneal shunt placement.
AB - Ventriculoperitoneal (VP) shunt placement is used to treat hydrocephalus. Shunt
migration following VP shunt placement has been reported. The risk factors
related to this complication have not been previously evaluated to our knowledge.
In this retrospective cohort study, we aimed to determine risk factors leading to
distal catheter migration and review the literature on the current methods of
management and prevention. Adult patients undergoing VP shunt placement from June
2011 to December 2013 at a single institution were identified using electronic
health records. The records were reviewed for demographic and procedural
information, and subsequent treatment characteristics. The parameters of patients
with distal shunt migration were compared to those undergoing new VP shunt
placement for the same time period. We identified 137 patients undergoing 157 new
VP shunt procedures with an average age of 57.7 +/- standard deviation of 18.4
years old. There were 16 distal shunt migrations. Body mass index >30 kg/m(2) and
number of previous shunt procedures were found to be independent risk factors for
distal catheter migration. Obesity and number of previous shunt procedures were
factors for distal catheter migration. Providers and patients should be aware of
these possible risk factors prior to VP shunt placement.
PMID- 26549675
TI - Recurrent glioblastoma: Current patterns of care in an Australian population.
AB - This retrospective population-based survey examined current patterns of care for
patients with recurrent glioblastoma (rGBM) who had previously undergone surgery
and post-operative therapy at original diagnosis. The patients were identified
from the Victorian Cancer Registry (VCR) from 2006 to 2008. Patient demographics,
tumour characteristics and oncological management were extracted using a
standardised survey by the treating clinicians/VCR staff and results analysed by
the VCR. Kaplan-Meier estimates of overall survival (OS) at diagnosis and
progression were calculated. A total of 95 patients (48%) received treatment for
first recurrence; craniotomy and post-operative treatment (38), craniotomy only
(34) and non-surgical treatment (23). Patients receiving treatment at first
progression had a higher median OS than those who did not (7 versus 3 months,
p<0.0001). All patients progressed after treatment for first progression with 43
patients (45%) receiving treatment at second progression. To our knowledge this
is the first population-based pattern of care survey of treatment for rGBM in an
era where post-operative "Stupp" chemo-radiation is standard. First and second
line therapy for rGBM is common and associated with significant benefit.
Treatment generally includes re-resection and/or systemic therapy.
PMID- 26549676
TI - A novel technique for identifying the fistulous point in a direct carotid
cavernous fistula.
AB - The fistulous point in a direct carotid-cavernous fistula (CCF) can often be
difficult to identify because of high-flow shunting. A novel technique that is
useful for identifying the fistulous point is reported. A 71-year-old woman
underwent endovascular therapy for a left direct CCF that presented with sudden
diplopia and tinnitus. To identify the fistulous point, vertebral angiography
with manual compression of the left carotid artery was attempted, as was slow
injection of a contrast agent from a balloon guiding catheter, closing off the
left internal carotid artery; however, the shunt flow was very rapid, and
identification was not possible. Therefore, three-dimensional digital subtraction
angiography of the vertebral artery was performed while also performing manual
aspiration from the balloon guiding catheter, closing off the left internal
carotid artery. This reduced early visualization of the cavernous sinus and
enabled an aneurysm in the cavernous sinus to be clearly visualized. Embolization
was performed transarterially and transvenously, and the shunt flow disappeared
completely. Vertebral angiography combined with manual aspiration from a balloon
guiding catheter closing off the internal carotid artery is useful for
identifying the fistulous point in a direct CCF.
PMID- 26549677
TI - Unusual presentation of unilateral intra-orbital optic nerve pilocytic
astrocytoma of the juvenile type in a geriatric patient.
AB - Optic nerve gliomas (ONG) are rare and seldom encountered in clinical practice.
The pilocytic (astrocytoma) variant of ONG almost always presents during the
first two decades of life. In this report, the authors discuss an unusual
presentation of pilocytic astrocytoma of the juvenile type in an elderly Indian
male. With this unusual presentation, ONG affecting the visual pathway should be
considered as a possible differential of visual diminution in the elderly
population.
PMID- 26549678
TI - Atypical case of Morvan's syndrome.
AB - Morvan's syndrome is a rare neurological condition characterized by the
combination of neuromyotonia, autonomic instability and encephalopathy,
associated with auto-antibodies against voltage-gated potassium channels. We
report a patient with an initial presentation suggestive of typical Guillain
Barre syndrome (GBS), who later developed clinical and laboratory features
compatible with Morvan's syndrome. Several months after resolution of the
neurological symptoms, as well as disappearance of the characteristic anti
leucine-rich, glioma inactivated 1 (anti-LGI1) antibodies, the patient presented
with episodes of fever of unknown origin, during which the antibodies became
positive again, suggesting the possibility of a relapse. In this case, both the
GBS-like symptoms at presentation and the isolated episodes of fever of unknown
origin during follow-up are atypical, and may suggest the presence of an
additional, yet unknown antibody.
PMID- 26549679
TI - Endovascular treatment of posterior fossa arteriovenous malformations.
AB - Infratentorial arteriovenous malformations (AVM) are rare, representing only 7
15% of cerebral AVM. The concentration of eloquent neurological structures and
the high rate of bleeding presentation of AVM in this location complicate the
management of such lesions. New therapeutic options, especially in endovascular
therapy, have fundamentally modified the treatment strategy and also the outcome
of posterior fossa AVM. Between 1999 and 2013, baseline, clinical and
angiographic data of cerebral AVM were prospectively collected. We analyzed data
from patients treated for a posterior fossa AVM, focusing on risk factors for
bleeding, and clinical and angiographic outcomes. Sixty-nine patients (mean age
34 years, male to female ratio 2:1) were consecutively treated for an
infratentorial AVM. Fifty-seven presented with hemorrhage, six with focal
neurologic deficits, and the remaining six patients were diagnosed incidentally.
The Spetzler-Martin grade was < 3 in 39 (56.5%) patients. Associated aneurysms
were noted in 43.5% of patients. All patients were treated using endovascular
procedures, associated with microsurgical resection in nine patients and with
stereotactic radiosurgery in six. Mean follow-up was 28.5 months, with
angiographic exclusion of the AVM in 72.5% of patients; 21.7% of patients
presented a modified Rankin Score ? 3 at follow-up. Endovascular embolization
seems to be a secure approach for posterior fossa AVM although a large number of
sessions are necessary to achieve complete obliteration. Multi-disciplinary
discussion and management is crucial to obtain the best cure rate without
increasing procedural risks.
PMID- 26549680
TI - The role and safety of the sitting position in instrumented cervical surgery.
AB - Placing patients who are undergoing neurosurgical procedures to the cervical
spine in the sitting position offers significant advantages. These must be
counterbalanced against the risk of venous and paradoxical air embolism. This
study addresses the role and safety of the sitting position for instrumented
cervical surgery. Twenty-five consecutive patients who underwent instrumented
cervical surgery in the sitting position were recruited via retrospective
analysis. Complications arising from the surgical procedure - specifically venous
air embolism - were recorded, as well as pre- and post-operative haemoglobin
levels. The incidence of venous air embolism was 0% (97.5% one-sided confidence
interval: 0-13.7%). However, five other complications occurred (incidence rate of
20% with a 95% confidence interval of 6.8-40.7%). With appropriate precautions,
screening and specific indications, the sitting position can be safely used in
more complex instrumented cervical surgery.
PMID- 26549681
TI - Petrous apex cephalocele presenting with cerebrospinal fluid rhinorrhea in an
adult.
AB - Petrous apex cephalocele (PAC) is a rare condition with very few case reports in
the literature. We report a 26-year-old man with cerebrospinal fluid rhinorrhea
that was misdiagnosed elsewhere and operated unsuccessfully via the endonasal
route. CT cisternography revealed a 3mm right PAC for which he underwent a right
subtemporal extradural approach and successful repair. We present what is to our
knowledge the first case report in the literature of an adult presenting with
cerebrospinal fluid leak and discuss the diagnostic dilemmas in the diagnosis of
PAC, difficulties in management and review the available literature.
PMID- 26549682
TI - Measuring In Vivo Mitophagy.
AB - Alterations in mitophagy have been increasingly linked to aging and age-related
diseases. There are, however, no convenient methods to analyze mitophagy in vivo.
Here, we describe a transgenic mouse model in which we expressed a mitochondrial
targeted form of the fluorescent reporter Keima (mt-Keima). Keima is a coral
derived protein that exhibits both pH-dependent excitation and resistance to
lysosomal proteases. Comparison of a wide range of primary cells and tissues
generated from the mt-Keima mouse revealed significant variations in basal
mitophagy. In addition, we have employed the mt-Keima mice to analyze how
mitophagy is altered by conditions including diet, oxygen availability,
Huntingtin transgene expression, the absence of macroautophagy (ATG5 or ATG7
expression), an increase in mitochondrial mutational load, the presence of
metastatic tumors, and normal aging. The ability to assess mitophagy under a host
of varying environmental and genetic perturbations suggests that the mt-Keima
mouse should be a valuable resource.
PMID- 26549683
TI - Continuous Histone Replacement by Hira Is Essential for Normal Transcriptional
Regulation and De Novo DNA Methylation during Mouse Oogenesis.
AB - The integrity of chromatin, which provides a dynamic template for all DNA-related
processes in eukaryotes, is maintained through replication-dependent and
independent assembly pathways. To address the role of histone deposition in the
absence of DNA replication, we deleted the H3.3 chaperone Hira in developing
mouse oocytes. We show that chromatin of non-replicative developing oocytes is
dynamic and that lack of continuous H3.3/H4 deposition alters chromatin
structure, resulting in increased DNase I sensitivity, the accumulation of DNA
damage, and a severe fertility phenotype. On the molecular level, abnormal
chromatin structure leads to a dramatic decrease in the dynamic range of gene
expression, the appearance of spurious transcripts, and inefficient de novo DNA
methylation. Our study thus unequivocally shows the importance of continuous
histone replacement and chromatin homeostasis for transcriptional regulation and
normal developmental progression in a non-replicative system in vivo.
PMID- 26549685
TI - Efficient kinetic resolution of (+/-)-menthol by a lipase from Thermomyces
lanuginosus.
AB - A lipase from Thermomyces lanuginosus (Lipozyme TL IM) exhibited high
enantioselectivity for kinetic resolution of (+/-)-menthol in organic solvent.
The various reaction parameters affecting the conversion and enantioselectivity
were studied. The optimum reaction conditions for the transesterification
reaction were found with vinyl acetate in the solvent of methyl tert-butyl ether
with a vinyl acetate:(+/-)-menthol molar ratio of 5:1 and an enzyme concentration
of 200 g/L at 30 degrees C. In these conditions, (-)-menthyl acetate with 99.3%
enantiomeric excess was obtained, whereas the conversion was 34.7% with the
reaction time of 12 H at the substrate concentration of 0.5 M. In addition, the
enzyme allowed the substrate loading to be increased up to 1.5 M without the
decrease of the enantioselectivity. These results indicated that Lipozyme TL IM
was a promising biocatalyst in the resolution of (+/-)-menthol.
PMID- 26549684
TI - Single-Molecule Imaging Reveals a Switch between Spurious and Functional ncRNA
Transcription.
AB - Eukaryotic transcription is pervasive, and many of the resulting RNAs are non
coding. It is unknown whether ubiquitous transcription is functional or simply
reflects stochastic transcriptional noise. By single-molecule visualization of
the dynamic interplay between coding and non-coding transcription at the GAL
locus in living yeast cells, we show that antisense GAL10 ncRNA transcription can
switch between functional and spurious under different conditions. During
galactose induction, GAL10 sense transcription occurs in short stochastic bursts,
which are unaffected by transcription of antisense GAL10 ncRNA, even when both
are present simultaneously at the same locus. In contrast, when GAL10 is not
induced, ncRNA transcription is critical to prevent transcriptional leakage of
GAL1 and GAL10. Suppression of ncRNA transcription by strand-specific
CRISPR/dCas9 results in transcriptional leakage of the inducer GAL1, leading to a
more sensitive transcription activation threshold, an alteration of metabolic
switching, and a fitness defect in competition experiments.
PMID- 26549686
TI - [Recurrent fevers in childhood].
AB - Recurrent fevers are defined as multiple stereotypical febrile episodes separated
by spontaneous symptom-free intervals and occurring for months and years.
Hereditary recurrent fevers are rare prototype Mendelian diseases due to
inherited mutations in genes encoding partners of the innate immunity. Recurrent
episodes of fever plus acute features of inflammation starting during childhood
with family history are the main clues for suspecting HRF. Their common
associated complication is AA amyloidosis. The diagnosis is made on clinical
grounds but the genetic diagnosis may contribute in most cases of monogenic
hereditary recurrent fevers. Recurrent fevers must be distinguished from
intermittent fevers, mostly infectious, characterized by variation in associated
symptoms from episode-to-episode and without periodicity.
PMID- 26549687
TI - [Cluster headache differential diagnosis].
AB - Cluster headache is characterized by disabling stereotyped headache. Early
diagnosis allows appropriate treatment, unfortunately diagnostic errors are
frequent. The main differential diagnoses are other primary or essential
headaches. Migraine, more frequent and whose diagnosis is carried by excess,
trigeminal neuralgia or other trigemino-autonomic cephalgia. Vascular or tumoral
underlying condition can mimic cluster headache, neck and brain imaging is
recommended, ideally MRI.
PMID- 26549688
TI - Transcription regulation of nuclear receptor PXR: Role of SUMO-1 modification and
NDSM in receptor function.
AB - Pregnane & Xenobiotic Receptor (PXR) is one of the 48 members of the nuclear
receptor superfamily of ligand-modulated transcription factors. PXR plays an
important role in metabolism and elimination of diverse noxious endobiotics and
xenobiotics. Like in case of some nuclear receptors its function may also be
differentially altered, positively or negatively, by various post-translational
modifications. In this context, regulation of PXR function by SUMOylation is the
subject of present investigation. Here, we report that human PXR is modified by
SUMO-1 resulting in its enhanced transcriptional activity. RT-PCR analysis showed
that PXR SUMOylation in presence of rifampicin also enhances the endogenous
expression levels of key PXR-regulated genes like CYP3A4, CYP2C9, MDR1 and
UGT1A1. In addition, mammalian two-hybrid assay exhibited enhanced interaction
between PXR and co-activator SRC-1. EMSA results revealed that SUMOylation has no
influence on the DNA binding ability of PXR. In silico analysis suggested that
PXR protein contains four putative SUMOylation sites, centered at K108, K129,
K160 and K170. In addition to this, we identified the presence of NDSM (Negative
charge amino acid Dependent SUMOylation Motif) in PXR. Substitution of all its
four putative lysine residues along with NDSM abolished the effect of SUMO-1
mediated transactivation function of PXR. Furthermore, we show that interaction
between PXR and E2-conjugation enzyme UBCh9, an important step for implementation
of SUMOylation event, was reduced in case of NDSM mutant PXRD115A. Overall, our
results suggest that SUMOylation at specific sites on PXR protein are involved in
enhancement of transcription function of this receptor.
PMID- 26549689
TI - Effects of orexin A on glucose metabolism in human hepatocellular carcinoma in
vitro via PI3K/Akt/mTOR-dependent and -independent mechanism.
AB - Orexins are hypothalamic neuropeptides that regulate food intake, energy
homeostasis, reward system and sleep/wakefulness states. The purpose of this
study was to investigate the effects of orexin A on glucose metabolism in human
hepatocellular carcinoma cell line, Hep3B, and determine the possible mechanisms.
Hep3B cells were incubated with different concentrations of orexin A (10(-9)-10(
7) M) in vitro in the presence or absence of the orexin receptor 1 (OX1R)
inhibitor (SB334867), Akt inhibitor (PF-04691502) and mammalian target of
rapamycin (mTOR) inhibitor (temsirolimus). Subsequently, OX1R protein expression,
glucose transporter 1 (GLUT1) expression, glucose uptake, the mRNA expression of
lactate dehydrogenase (LDHA), pyruvate dehydrogenase kinase 1 (PDK1) and pyruvate
dehydrogenase B (PDHB), lactate generation and mitochondrial pyruvate
dehydrogenase (PDH) enzyme activity were measured. The activity of
phosphoinositide 3-kinase (PI3K)/Akt/mTOR signaling was also determined. OX1R was
expressed in hepatoma tissues and Hep3B cells. Stimulation of the Hep3B cells
with orexin A resulted in a dose-dependent increase of GLUT1 expression and
glucose uptake, which was associated with the activation of PI3K/Akt/mTOR
pathway. Further, orexin A increased PDHB expression and PDH enzyme activity,
decreased LDHA, PDK1 mRNA levels and lactate generation independent of
PI3K/Akt/mTOR pathway. Our results demonstrated that orexin A directed the
cellular metabolism towards mitochondrial glucose oxidation rather than
glycolysis. These findings provide functional evidence of the metabolic actions
of orexin A in hepatocellular carcinoma cells.
PMID- 26549690
TI - Needle Fixation Profile: An Exploratory Assessment of Applicability in the
Australian Context.
AB - BACKGROUND: Needle fixation has the potential to undermine harm-reduction efforts
and may affect up to one-quarter of all injecting drug users (IDUs). Being
largely ignored in the extant literature, the majority of research on this
phenomenon has been carried out exclusively in Cardiff, Wales. OBJECTIVES: The
current exploratory study examined the applicability of needle fixation in a
population of Australian IDUs to determine whether Australian IDUs were familiar
with the behaviors and secondary gains that have been found to be associated with
needle fixation and are assessed by the needle fixation profile (NEFPRO).
METHODS: A mixed-method design utilizing semi-structured interviews and
questionnaire data was employed. RESULTS: Australian IDUs were aware of or had
experience with the behaviors and secondary gains that have been found to be
associated with needle fixation. A number of other behaviors and secondary gains
associated with injecting were discussed by participants during the semi
structured interviews. CONCLUSIONS/IMPORTANCE: This study offers preliminary
support for the use of NEFPRO as a clinical and research tool within Australia.
To ensure that all avenues toward harm reduction are being explored, it would be
fruitful to engage in further research concerning the cross-cultural
representations of needle fixation specifically as well as the general influence
of needle fixation in perpetuating injecting drug use.
PMID- 26549691
TI - Conceptual and Operational Definitions of the Defining Characteristics and
Related Factors of the Diagnosis Ineffective Health Management in People With
Heart Failure.
AB - OBJECTIVE: To construct conceptual and operational definitions for the defining
characteristics (DCs) and related factors (RFs) of the nursing diagnosis (ND)
ineffective health management for people with chronic heart failure. METHOD:
Conceptual and operational definitions for the DC and RF were based on studies
found in an integrative literature review in the databases Latin American
Literature in Health Sciences, Cumulative Index to Nursing and Allied Health
Literature, and MEDLINE by using the key words Nursing diagnosis, Heart Failure,
and Patient Cooperation in different combinations. RESULTS: Conceptual and
operational definitions for all the DCs and RFs were constructed. CONCLUSIONS AND
IMPLICATIONS FOR PRACTICE: The definitions will facilitate in the identification
of ND in clinical practice in patients with heart failure, future research on ND
validation, and education in undergraduate courses.
PMID- 26549692
TI - Pregnancy-Induced ISG-15 and MX-1 Gene Expression is Detected in the Liver of
Holstein-Friesian Heifers During Late Peri-Implantation Period.
AB - The bovine embryonic signal interferon-tau (IFN-tau) produced by the trophoblast
is known to pass through the uterine fluid towards the endometrium and further
into the maternal blood, where IFN-tau induces specific expression of interferon
stimulated gene expression (ISG), for example in peripheral leucocytes. In sheep,
it was shown experimentally by administration of IFN-tau that ISG is also
detectable in the liver. The objective was to test whether ISG can be detected in
liver biopsy specimens from Holstein-Friesian heifers during early pregnancy.
Liver biopsies were taken on day 18 from pregnant and non-pregnant heifers (n =
19), and the interferon-stimulated protein 15 kDa (ISG-15) and myxovirus
resistance protein-1 (MX-1) gene expression was detected. The expression of both
MX-1 (p: 24.33 +/- 7.40 vs np: 9.00 +/- 4.02) and ISG-15 (p: 43.73 +/- 23.22 vs
7.83 +/- 3.63) was higher in pregnant compared to non-pregnant heifers (p <
0.05). In conclusion, pregnancy induced ISG-15 and MX-1 gene expression in the
liver already at day 18 in cattle.
PMID- 26549693
TI - Impact of c-erbB-2 protein on 5-year survival rate of gastric cancer patients
after surgery: a cohort study and meta-analysis.
AB - OBJECTIVE: To explore the association of c-erbB-2 protein expression with
clinicopathological characteristics and prognosis of gastric cancer (GC) after
surgery. METHODS: A total of 133 patients undergoing surgical resection for GC
between March 2006 and January 2009 in the Second Affiliated Hospital of Wenzhou
Medical University were included in this study. c-erbB-2 protein expression was
determined by immunohistochemistry. Afterwards, a meta-analysis was performed to
further confirm the association between c-erbB-2 protein expression and GC by
employing stringent inclusion and exclusion criteria. All data analyses were
conducted with STATA 12.0 and SPSS 19.0. RESULTS: There was no significant
difference in c-erbB-2 expression among patients with various parameters
including age, gender and histological types (all p>0.05). Among 133 GC patients,
32 patients presented c-erbB-2-positive expression and 101 presented c-erbB-2
negative expression (24.1% vs. 75.9%). The c-erbB-2-positive expression rate was
significantly higher in GC tissues of patients with lymph node metastasis than
those without. Similarly, a significant increase in c-erbB-2 expression was
observed in well/moderately differentiated GC tissues compared with poorly
differentiated GC. Patients with negative c-erbB-2 expression had a higher 5-year
survival rate than those with positive c-erbB-2 expression, which was consistent
with the results of the meta-analysis (OR = 0.54, 95% CI 0.37-0.80, p = 0.002).
CONCLUSIONS: Our study demonstrated that high expression of c-erbB-2 protein was
strongly associated with lymph node metastasis, histological differentiation and
5-year survival rate in GC patients after surgery.
PMID- 26549694
TI - A hierarchical view on material formation during pulsed-laser synthesis of
nanoparticles in liquid.
AB - Pulsed-laser assisted nanoparticle synthesis in liquids (PLAL) is a versatile
tool for nanoparticle synthesis. However, fundamental aspects of structure
formation during PLAL are presently poorly understood. We analyse the spatio
temporal kinetics during PLAL by means of fast X-ray radiography (XR) and
scanning small-angle X-ray scattering (SAXS), which permits us to probe the
process on length scales from nanometers to millimeters with microsecond temporal
resolution. We find that the global structural evolution, such as the dynamics of
the vapor bubble can be correlated to the locus and evolution of silver
nanoparticles. The bubble plays an important role in particle formation, as it
confines the primary particles and redeposits them to the substrate.
Agglomeration takes place for the confined particles in the second bubble.
Additionally, upon the collapse of the second bubble a jet of confined material
is ejected perpendicularly to the surface. We hypothesize that these kinetics
influence the final particle size distribution and determine the quality of the
resulting colloids, such as polydispersity and modality through the interplay
between particle cloud compression and particle release into the liquid.
PMID- 26549695
TI - Obeticholic acid for the treatment of primary biliary cirrhosis.
AB - Primary biliary cirrhosis (PBC) is characterized by progressive nonsuppurative
destruction of small bile ducts, resulting in intrahepatic cholestasis, fibrosis
and ultimately end-stage liver disease. Timely intervention with ursodeoxycholic
acid is associated with excellent survival, although approximately one-third of
all patients fail to achieve biochemical response, signifying a critical need for
additional therapeutic strategies. Obeticholic acid (OCA) is a potent ligand of
the nuclear hormone receptor farnesoid X receptor (FXR). Activation of FXR
inhibits bile acid synthesis and protects against toxic accumulation in models of
cholestasis and facilitates hepatic regeneration in preclinical studies. Data
from recent Phase II and III controlled trials suggest a therapeutic impact of
OCA in PBC biochemical nonresponders, as evidenced by change in proven laboratory
surrogates of long-term outcome. Dose-dependent pruritus is a common adverse
effect, but may be overcome through dose-titration. Longer term studies are
needed with focus on safety and long-term clinical efficacy.
PMID- 26549696
TI - A whole new ball game: Stem cell-derived epithelia in the study of host-microbe
interactions.
AB - Recent advances in developmental and stem cell biology have resulted in
techniques that enable the generation and maintenance of complex epithelium in
vitro. While these models have been utilized to study host development and
disease, a renewed appreciation of host-microbe interactions has sparked interest
in employing these new techniques to study microbes at the epithelial interface.
Here we review the current advances in host-microbe interactions that have
resulted from experiments using these complex epithelia. Furthermore we highlight
aspects of these techniques that warrant further development to facilitate the
study of host-microbe interactions.
PMID- 26549697
TI - Plasma biomarker for detection of early stage pancreatic cancer and risk factors
for pancreatic malignancy using antibodies for apolipoprotein-AII isoforms.
AB - We recently reported that circulating apolipoprotein AII (apoAII) isoforms apoAII
ATQ/AT (C-terminal truncations of the apoAII homo-dimer) decline significantly in
pancreatic cancer and thus might serve as plasma biomarkers for the early
detection of this disease. We report here the development of novel enzyme-linked
immunosorbent assays (ELISAs) for measurement of apoAII-ATQ/AT and their clinical
applicability for early detection of pancreatic cancer. Plasma and serum
concentrations of apoAII-ATQ/AT were measured in three independent cohorts, which
comprised healthy control subjects and patients with pancreatic cancer and
gastroenterologic diseases (n = 1156). These cohorts included 151 cases of stage
I/II pancreatic cancer. ApoAII-ATQ/AT not only distinguished the early stages of
pancreatic cancer from healthy controls but also identified patients at high risk
for pancreatic malignancy. AUC values of apoAII-ATQ/AT to detect early stage
pancreatic cancer were higher than those of CA19-9 in all independent cohorts.
ApoAII-ATQ/AT is a potential biomarker for screening patients for the early stage
of pancreatic cancer and identifying patients at risk for pancreatic malignancy
(161 words).
PMID- 26549698
TI - Restraint Reduction at a Pediatric Psychiatric Hospital: A Ten-Year Journey.
AB - BACKGROUND: Restraints are used as one of the safety interventions for children
and adolescents in various community, hospital, and treatment settings. Although
considered safety interventions, restraints are known to have many adverse
effects on children and staff, including injuries; even reports of death are
noted in the literature. OBJECTIVE: In an effort to reduce the use of restraints
and to provide trauma-informed care in a 52-bed Pediatric Psychiatric Hospital, a
quality improvement project was launched. INTERVENTIONS: Primary prevention
principles based on trauma-informed and strength-based care were utilized to
provide care for children and adolescents. Hospital leadership played an
instrumental role in bringing positive culture change. Staff involvement and
training in restraint reduction and prevention tools played a key role in this
project. Debriefing and problem solving were effective interventions for the
prevention of restraints. Involvement of youth and family in treatment planning
built stronger relationships with staff and paved the way for better
communication and trust, and improved understanding of strengths and needs of
children and adolescents. RESULTS: Over a 10-year period, mechanical restraints
decreased by 100%, from 485 in 2005 to "zero" in 2014 and none in the last 3
years. Physical restraints decreased by 88%, from 3,033 in 2005 to 379 in 2014.
PMID- 26549699
TI - Oil-in-oil emulsions stabilised solely by solid particles.
AB - A brief review of the stabilisation of emulsions of two immiscible oils is given.
We then describe the use of fumed silica particles coated with either hydrocarbon
or fluorocarbon groups in acting as sole stabilisers of emulsions of various
vegetable oils with linear silicone oils (PDMS) of different viscosity.
Transitional phase inversion of emulsions, containing equal volumes of the two
oils, from silicone-in-vegetable (S/V) to vegetable-in-silicone (V/S) occurs upon
increasing the hydrophobicity of the particles. Close to inversion, emulsions are
stable to coalescence and gravity-induced separation for at least one year.
Increasing the viscosity of the silicone oil enables stable S/V emulsions to be
prepared even with relatively hydrophilic particles. Predictions of emulsion type
from calculated contact angles of a silica particle at the oil-oil interface are
in agreement with experiment provided a small polar contribution to the surface
energy of the oils is included. We also show that stable multiple emulsions of
V/S/V can be prepared in a two-step procedure using two particle types of
different hydrophobicity. At fixed particle concentration, catastrophic phase
inversion of emulsions from V/S to S/V can be effected by increasing the volume
fraction of vegetable oil. Finally, in the case of sunflower oil + 20 cS PDMS,
the study is extended to particles other than silica which differ in chemical
type, particle size and particle shape. Consistent with the above findings, we
find that only sufficiently hydrophobic particles (clay, zinc oxide, silicone,
calcium carbonate) can act as efficient V/S emulsion stabilisers.
PMID- 26549700
TI - Rethinking regeneration: empowerment of stem cells by inflammation.
PMID- 26549701
TI - MiRNAs as biomarkers of high-risk pancreatic cysts: a possible holy grail for the
early detection of pancreatic cancer.
PMID- 26549702
TI - Identification and Characterization of Baicalin as a Phosphodiesterase 4
Inhibitor.
AB - Asthma is a chronic inflammatory disease of lung airways, and pharmacological
inhibitors of cyclic adenosine monophosphate-specific phosphodiesterase 4 (PDE4)
have been considered as therapeutics for the treatment of asthma. However,
development of PDE4 inhibitors in clinical trials has been hampered because of
the severe side effects of non-selective PDE4 inhibitors. Here, screening of a
plant extract library in conjunction with dereplication technology led to
identification of baicalin as a new type of PDE4-selective inhibitor. We
demonstrated that while rolipram inhibited the enzyme activity of a range of PDE4
subtypes in in vitro enzyme assays, baicalin selectively inhibited the enzyme
activity of PDE4A and 4B. In addition, baicalin suppressed lipopolysaccharide
induced TNF-alpha expression in macrophage where PDE4B plays a key role in
lipopolysaccharide-induced signaling. Furthermore, baicalin treatment in an
animal model of allergic asthma reduced inflammatory cell infiltration and TNF
alpha levels in bronchoalveolar lavage fluids, indicating that the
antiinflammatory effects of baicalin in vivo are attributable, in part, to its
ability to inhibit PDE4.
PMID- 26549703
TI - NET formation can occur independently of RIPK3 and MLKL signaling.
AB - The importance of neutrophil extracellular traps (NETs) in innate immunity is
well established but the molecular mechanisms responsible for their formation are
still a matter of scientific dispute. Here, we aim to characterize a possible
role of the receptor-interacting protein kinase 3 (RIPK3) and the mixed lineage
kinase domain-like (MLKL) signaling pathway, which are known to cause
necroptosis, in NET formation. Using genetic and pharmacological approaches, we
investigated whether this programmed form of necrosis is a prerequisite for NET
formation. NETs have been defined as extracellular DNA scaffolds associated with
the neutrophil granule protein elastase that are capable of killing bacteria.
Neither Ripk3-deficient mouse neutrophils nor human neutrophils in which MLKL had
been pharmacologically inactivated, exhibited abnormalities in NET formation upon
physiological activation or exposure to low concentrations of PMA. These data
indicate that NET formation occurs independently of both RIPK3 and MLKL
signaling.
PMID- 26549705
TI - Rosemary Lowe-McConnell 1921-2014 (Freshwater Biological Association and British
Museum of Natural History).
PMID- 26549704
TI - Utility of a single serum testosterone measurement to determine response to
topical testosterone replacement in hypogonadal men.
AB - OBJECTIVE: To evaluate the utility of single serum testosterone measurement in
patients receiving transdermal testosterone therapy. RESEARCH DESIGN AND METHODS:
Data were from an open-label, 120 day, multi-center titration trial in androgen
deficient men receiving an initial daily dose of 60 mg testosterone (testosterone
topical solution 2%) applied to axillae (30 mg/axilla). Average concentration
(Cavg) of serum testosterone (TT) was determined on days 15, 60, and 120; doses
were adjusted to maintain normal Cavg (300-1050 ng/dL [10.4-36.4 nmol/L]).
Accuracy of single serum TT measurements (2, 4, 8, 12, 16, and 20 hours post
dose) was assessed in patients with Cavg TT within and below (<300 ng/dL [<10.4
nmol/L]) the normal range. CLINICAL TRIAL REGISTRATION: Clinicaltrials.gov -
NCT00702650. MAIN OUTCOME MEASURE: Serum testosterone levels. RESULTS: In
patients with normal Cavg (n = 85), 79% to 92% had serum testosterone levels
within normal range 2, 4, 8, 12, 16, and 20 hours post-dose; significant effects
of time post-dose for single testosterone measurement accuracy (P = 0.01) were
observed: testing accuracy peaked 4-8 hours post-dose and tapered ~16 hours post
dose. In 28/63 instances with low Cavg TT throughout the study a normal 2 hour
serum TT level was observed. The average percentage (across all days) of
discordant results between Cavg (<300 ng/dL [<10.4 nmol/L]) and single serum TT
measurements (300-1050 ng/dL [10.4-36.4 nmol/L]) declined with increasing time
from dose application (44% at 2 hours, 38% at 4 hours, 22% at 8 hours, 3% at 16
hours). CONCLUSIONS: Reliance on a single serum testosterone measurement to
determine the need for dose adjustment of testosterone topical solution 2% may
lead clinicians to change the dose unnecessarily, or alternatively, not increase
the dose when necessary. The results reported here are limited to testosterone
topical solution 2% and may not be applicable to other topical agents.
PMID- 26549706
TI - The status of modeled claims.
AB - The only acceptable modeled claims for costs and outcomes are those that are
testable and can be validated in a timeframe that is acceptable to a formulary
committee. This issue provides four papers which explore the methodological
issues in validation, the UK experience with NICE, the questions a formulary
committee should ask of modeled claims, and the role of Big Data in validating
modeled claims.
PMID- 26549707
TI - Nilotinib reduced the viability of human ovarian cancer cells via mitochondria
dependent apoptosis, independent of JNK activation.
AB - Nilotinib (AMN) induces apoptosis in various cancer cells; however the effect of
AMN on human ovarian cancer cells is still unclear. A reduction in cell viability
associated with the occurrence of apoptotic characteristics was observed in human
SKOV-3 ovarian cancer cells under AMN but not sorafenib (SORA) or imatinib (STI)
stimulation. Activation of apoptotic pathway including increased caspase (Casp)-3
and poly(ADP-ribose) polymerase 1 (PARP1) protein cleavage by AMN was detected
with disrupted mitochondrial membrane potential (MMP) accompanied by decreased
Bcl-2 protein and increased cytosolic cytochrome (Cyt) c/cleaved Casp-9 protein
expressions was found, and AMN-induced cell death was inhibited by peptidyl Casp
inhibitors, VAD, DEVD and LEHD. Increased phosphorylated c-Jun N-terminal kinase
(JNK) protein expression was detected in AMN- but not SORA- or STI-treated SKOV-3
cells, and the JNK inhibitors, SP600125 and JNKI, showed slight but significant
enhancement of AMN-induced cell death in SKOV-3 cells. The intracellular peroxide
level was elevated by AMN and H2O2, and N-acetylcysteine (NAC) prevented H2O2-
but not AMN-induced peroxide production and apoptosis in SKOV-3 cells. AMN
induction of apoptosis with increased intracellular peroxide production and JNK
protein phosphorylation was also identified in human A2780 ovarian cancer cells,
cisplatin-resistant A2780CP cells, and clear ES-2 cells. The evidence supporting
AMN effectively reducing the viability of human ovarian cancer cells via
mitochondrion-dependent apoptosis is provided.
PMID- 26549708
TI - A field pilot-scale study of biological treatment of heavy oil-produced water by
biological filter with airlift aeration and hydrolytic acidification system.
AB - Heavy oil-produced water (HOPW) is a by-product during heavy oil exploitation and
can cause serious environmental pollution if discharged without adequate
treatment. Commercial biochemical treatment units are important parts of HOPW
treatment processes, but many are not in stable operation because of the toxic
and refractory substances, salt, present. Therefore, pilot-scale experiments were
conducted to evaluate the performance of hydrolytic acidification-biological
filter with airlift aeration (HA-BFAA), a novel HOPW treatment system. Four
strains isolated from oily sludge were used for bioaugmentation to enhance the
biodegradation of organic pollutants. The isolated bacteria were evaluated using
3-day biochemical oxygen demand, oil, dodecyl benzene sulfonic acid, and chemical
oxygen demand (COD) removals as evaluation indices. Bioaugmentation enhanced the
COD removal by 43.5 mg/L under a volume load of 0.249 kg COD/m(3) day and
hydraulic retention time of 33.6 h. The effluent COD was 70.9 mg/L and the
corresponding COD removal was 75.0 %. The optimum volumetric air-to-water ratio
was below 10. The removal ratios of the total extractable organic pollutants,
alkanes, and poly-aromatic hydrocarbons were 71.1, 94.4, and 94.0 %,
respectively. Results demonstrated that HA-BFAA was an excellent HOPW treatment
system.
PMID- 26549709
TI - Cs-137 in milk, vegetation, soil, and water near the former Soviet Union's
Semipalatinsk Nuclear Test Site.
AB - The present study was carried out to evaluate Cs-137 activity concentration in
soil, water, vegetation, and cow's milk at 10 locations within three regions
(Abai, Ayaguz, and Urdzhar) to the southeast of the Semipalatinsk Nuclear Test
Site (SNTS) in Kazakhstan. Cs-137 activity concentrations, determined using a
pure Ge gamma-ray spectrometer, showed that, all samples collected did not exceed
the National maximum allowable limits of 10,000 Bq/kg for soil, 100 Bq/kg for
cow's milk, 74 Bq/kg for vegetation, and 11 Bq/kg for water. Cs-137 is,
therefore, not considered a health hazard in these regions. The highest levels of
contamination were found in the Abai region, where the highest activity
concentration of Cs-137 was 18.0 +/- 1.0 Bq/kg in soil, 7.60 +/- 0.31 Bq/kg in
cow's milk, 4.00 +/- 0.14 Bq/kg in the vegetation, and 3.00 +/- 0.24 Bq/kg in
water. The lowest levels were measured within the Urdzhar region, where 4.00 +/-
0.14 Bq/kg was found in the soil, 0.30 +/- 0.02 Bq/kg in the cow's milk, 1.00 +/-
0.03 Bq/kg in the vegetation, and 0.20 +/- 0.02 Bq/kg in the water.
PMID- 26549710
TI - Estimates of long-term water total phosphorus (TP) concentrations in three large
shallow lakes in the Yangtze River basin, China.
AB - The shallow lakes in the eastern China developed on alluvial plains with high
nutrient sediments, and most overflow into the Yangtze River with short hydraulic
residence times, whereas they become eutrophic over long time periods. Assuming
strong responses to hydrogeological changes in the basin, we attempted to
determine the dynamic eutrophication history of these lakes. Although evaluation
models for internal total phosphorus (TP) loading are widely used for deep lakes
in Europe and North America, the accuracy of these models for shallow lakes that
have smaller water volumes controlled by the geometrical morphology and greater
basin area of alluvial plains is unknown. To describe the magnitude of changes in
velocity of trophic state for the studied shallow lakes, we first evaluated the P
retention model in relation to the major forces driving lake morphology, basin
climate, and external discharge and then used the model to estimate changes in TP
in three large shallow lakes (Taihu, Chao, and Poyang) over 60 years (1950-2009
AD). The observed levels of TP were verified against the relative error of the
three lakes (<6.43 %) and Nash-Sutcliffe coefficients (0.67-0.75). The results
showed that the predicted TP concentrations largely increased with hydraulic
residence time, especially in extreme drought years, with a generally rising
trend in trophic status. The simulated trophic state index showed that lakes
Taihu and Poyang became eutrophic in the 1990s, whereas Lake Chao became
eutrophic in the 1980s; lakes Taihu and Chao ultimately became hypereutrophic in
the 2000s. The analysis suggested that the tropic status of the shallow lakes was
affected by both the hydroclimate and geological sedimentation of the Yangtze
River basin. This work will contribute to the development of an internal P
loading model for further evaluating trophic states.
PMID- 26549711
TI - Highly Conductive Graphene/Ag Hybrid Fibers for Flexible Fiber-Type Transistors.
AB - Mechanically robust, flexible, and electrically conductive textiles are highly
suitable for use in wearable electronic applications. In this study, highly
conductive and flexible graphene/Ag hybrid fibers were prepared and used as
electrodes for planar and fiber-type transistors. The graphene/Ag hybrid fibers
were fabricated by the wet-spinning/drawing of giant graphene oxide and
subsequent functionalization with Ag nanoparticles. The graphene/Ag hybrid fibers
exhibited record-high electrical conductivity of up to 15,800 S cm(-1). As the
graphene/Ag hybrid fibers can be easily cut and placed onto flexible substrates
by simply gluing or stitching, ion gel-gated planar transistors were fabricated
by using the hybrid fibers as source, drain, and gate electrodes. Finally, fiber
type transistors were constructed by embedding the graphene/Ag hybrid fiber
electrodes onto conventional polyurethane monofilaments, which exhibited
excellent flexibility (highly bendable and rollable properties), high electrical
performance (MUh = 15.6 cm(2) V(-1) s(-1), Ion/Ioff > 10(4)), and outstanding
device performance stability (stable after 1,000 cycles of bending tests and
being exposed for 30 days to ambient conditions). We believe that our simple
methods for the fabrication of graphene/Ag hybrid fiber electrodes for use in
fiber-type transistors can potentially be applied to the development all-organic
wearable devices.
PMID- 26549712
TI - Cultivating microbial dark matter in benzene-degrading methanogenic consortia.
AB - The microbes responsible for anaerobic benzene biodegradation remain poorly
characterized. In this study, we identified and quantified microbial populations
in a series of 16 distinct methanogenic, benzene-degrading enrichment cultures
using a combination of traditional 16S rRNA clone libraries (four cultures),
pyrotag 16S rRNA amplicon sequencing (11 cultures), metagenome sequencing (1
culture) and quantitative polymerase chain reaction (qPCR; 12 cultures). An
operational taxonomic unit (OTU) from the Deltaproteobacteria designated ORM2
that is only 84% to 86% similar to Syntrophus or Desulfobacterium spp. was
consistently identified in all enrichment cultures, and typically comprised more
than half of the bacterial sequences. In addition to ORM2, a sequence belonging
to Parcubacteria (candidate division OD1) identified from the metagenome data was
the only other OTU common to all the cultures surveyed. Culture transfers (1% and
0.1%) were made in the presence and absence of benzene, and the abundance of
ORM2, OD1 and other OTUs was tracked over 415 days using qPCR. ORM2 sequence
abundance increased only when benzene was present, while the abundance of OD1 and
other OTUs increased even in the absence of benzene. Deltaproteobacterium ORM2 is
unequivocally the benzene-metabolizing population. This study also hints at
laboratory cultivation conditions for a member of the widely distributed yet
uncultivated Parcubacteria (OD1).
PMID- 26549713
TI - Making sense of it: intensive care patients' phenomenological accounts of story
construction.
AB - BACKGROUND: Patients entering intensive care encounter physical and psychological
stress that may lead to psychological morbidity such as depression, anxiety and
post-traumatic stress. It has been suggested that constructing a story may assist
psychological recovery. However, this has been minimally investigated in
intensive care patients. AIM: The aim of this article is to examine the process
of story construction in people's phenomenological accounts of being a patient in
the technological environment of intensive care. STUDY DESIGN: The study design
was informed by Heideggerian phenomenology. METHODS: Semi-structured interviews
were conducted with 19 patients who had been in intensive care for at least 4
days. Interviews were digitally recorded, transcribed and analysed utilizing Van
Manen's framework for thematic analysis. FINDINGS: Making sense of their
experiences in an intensive care unit appeared to be fundamental to story
construction. Themes that arose were 'why am I here?', 'filling in the gaps',
'sorting the real from the unreal' and 'searching for familiarity'. These themes
describe how participants sought temporal and causal coherence in order to
construct their integrated and understandable story. Families appeared to play a
critical role in helping participants fill in the gaps, sorting the real from the
unreal and their subsequent psychological recovery. CONCLUSIONS AND RELEVANCE TO
CLINICAL PRACTICE: The importance of early support from health care professionals
to facilitate patients' story construction is highlighted. The study also
emphasizes the role families play in supporting patients while they make sense of
their experiences and the associated psychological recovery process. Further
research to evaluate methods of facilitating story construction, such as nurse
led debriefing and patient diaries, is recommended. In addition, an investigation
of families' perceptions of their role in assisting patients construct their
story may facilitate the development of strategies by health care professionals
to effectively support families in their role.
PMID- 26549715
TI - Application of linear pH gradients for the modeling of ion exchange
chromatography: Separation of monoclonal antibody monomer from aggregates.
AB - The mobile phase pH is a key parameter of every ion exchange chromatography
process. However, mechanistic insights into the pH influence on the ion exchange
chromatography equilibrium are rare. This work describes a mechanistic model
capturing salt and pH influence in ion exchange chromatography. The pH dependence
of the characteristic protein charge and the equilibrium constant is introduced
to the steric mass action model based on a protein net charge model considering
the number of amino acids interacting with the stationary phase. This allows the
description of the adsorption equilibrium of the chromatographed proteins as a
function of pH. The model parameters were determined for a monoclonal antibody
monomer, dimer, and a higher aggregated species based on a manageable set of pH
gradient experiments. Without further modification of the model parameters the
transfer to salt gradient elution at fixed pH is demonstrated. A lumped rate
model was used to predict the separation of the monoclonal antibody
monomer/aggregate mixture in pH gradient elution and for a pH step elution
procedure-also at increased protein loadings up to 48 g/L packed resin. The
presented model combines both salt and pH influence and may be useful for the
development and deeper understanding of an ion exchange chromatography
separation.
PMID- 26549714
TI - Isosorbide Mononitrate in Heart Failure with Preserved Ejection Fraction.
AB - BACKGROUND: Nitrates are commonly prescribed to enhance activity tolerance in
patients with heart failure and a preserved ejection fraction. We compared the
effect of isosorbide mononitrate or placebo on daily activity in such patients.
METHODS: In this multicenter, double-blind, crossover study, 110 patients with
heart failure and a preserved ejection fraction were randomly assigned to a 6
week dose-escalation regimen of isosorbide mononitrate (from 30 mg to 60 mg to
120 mg once daily) or placebo, with subsequent crossover to the other group for 6
weeks. The primary end point was the daily activity level, quantified as the
average daily accelerometer units during the 120-mg phase, as assessed by patient
worn accelerometers. Secondary end points included hours of activity per day
during the 120-mg phase, daily accelerometer units during all three dose
regimens, quality-of-life scores, 6-minute walk distance, and levels of N
terminal pro-brain natriuretic peptide (NT-proBNP). RESULTS: In the group
receiving the 120-mg dose of isosorbide mononitrate, as compared with the placebo
group, there was a nonsignificant trend toward lower daily activity (-381
accelerometer units; 95% confidence interval [CI], -780 to 17; P=0.06) and a
significant decrease in hours of activity per day (-0.30 hours; 95% CI, -0.55 to
0.05; P=0.02). During all dose regimens, activity in the isosorbide mononitrate
group was lower than that in the placebo group (-439 accelerometer units; 95% CI,
-792 to -86; P=0.02). Activity levels decreased progressively and significantly
with increased doses of isosorbide mononitrate (but not placebo). There were no
significant between-group differences in the 6-minute walk distance, quality-of
life scores, or NT-proBNP levels. CONCLUSIONS: Patients with heart failure and a
preserved ejection fraction who received isosorbide mononitrate were less active
and did not have better quality of life or submaximal exercise capacity than did
patients who received placebo. (Funded by the National Heart, Lung, and Blood
Institute; ClinicalTrials.gov number, NCT02053493.).
PMID- 26549716
TI - [3D Printer and Its Impact on Neurosurgery].
PMID- 26549717
TI - [Responding to Arterial Perforation during Endovascular Neurosurgery].
AB - During endovascular neurosurgery, various devices, such as catheters, are passed
through the intracranial arteries to access target vessels; the arteries can
thereby be perforated. Even though such incidents are serious and should be dealt
with appropriately, few case reports or standard procedures have been published.
Herein, we report two cases of arterial perforation that occurred recently in our
hospital. In the first case, the patient had been treated preoperatively using
feeder occlusion of an arteriovenous malformation; the microcatheter perforated
the feeder, which branched from the middle cerebral artery. The feeder and
perforation site were occluded by injection of n-butyl 2-cyanoacrylate (NBCA)
through the same microcatheter, and complete hemostasis was thereby achieved. The
second case occurred during an embolization of the middle meningeal artery (MMA)
to treat a refractory chronic subdural hematoma;the microcatheter perforated a
branch of the MMA. Both the perforation and the artery were embolized using
platinum coils and by injecting NBCA, and hemostasis was achieved. Considering
the anatomical and pathological properties of the injured vessels, favorable
results were achieved with appropriate intervention.
PMID- 26549718
TI - [Computed Tomography Criteria for Mild Head Trauma in Childhood: A Retrospective
Study].
AB - We retrospectively investigated 459 computed tomography (CT) scans of head
injuries in children who were 7 years of age or younger that we experienced at
our institute from 2008 to 2014, and investigated whether or not the algorithm
created by the Pediatric Emergency Care Applied Research Network and the
guidelines by the National Institute for Health and Care Excellence, which are
the standards for CT scans of head injuries in infants, are adequate. As a
result, all 12 cases that required surgery or resulted in serious brain damage
fell into the category for CT recommendation according to both standards.
Although several cases that fell into the category for consideration of CT
involved intracranial lesions, all such cases were mild. There were some cases
with negligible CT findings that were excluded by both standards. We believe that
adapting these standards is significant for reducing the degree to which children
are exposed to irradiation.
PMID- 26549719
TI - [Stent-in-Stenting for In-Stent Stenosis after Carotid Artery Stenting:A Report
of 2 Cases].
AB - Standard strategy for the treatment of subacute in-stent stenosis after carotid
artery stenting remains controversial. We report the successful application of
stent-in-stenting in 2 patients with subacute in-stent stenosis of the internal
carotid arteries (ICA). The postoperative courses of both patients were
uneventful, and the patency of the ICA was confirmed at the 2-year follow-up. In
this study, medical treatment was not effective, and therefore, stent-in-stenting
was used as an alternative treatment for subacute in-stent stenosis.
PMID- 26549720
TI - [A Patient with Advanced Gastric Cancer who Underwent Emergency Stenting for
Carotid Artery Stenosis].
AB - We report the case of a patient with advanced gastric cancer who underwent
emergency stenting for carotid artery stenosis that was causing fluctuating
symptoms of cerebral ischemic stroke. A 66-year-old man presented with transient
dysarthria and right hemifacial palsy. Examination revealed left internal carotid
artery stenosis, as well as anemia caused by advanced gastric cancer. The man was
treated on an outpatient basis using antiplatelet medication and anti-cancer
therapy. Two months later, he developed recurrent ischemic stroke;because of this
progression, a stent was placed in the carotid artery. After surgery, the
cerebral ischemia resolved and did not recur before his death 6 months later. In
conclusion, surgical intervention is a viable treatment option for internal
carotid artery stenosis in cancer patients whose general health status is good.
PMID- 26549721
TI - [Three Cases of Moyamoya Disease with a History of Kawasaki Disease].
AB - Here, we report three cases of moyamoya disease with a history of Kawasaki
disease. A 33-year-old man was found to have stenotic lesions of the internal
carotid arteries(ICAs)on both sides at a nearby hospital where he visited
complaining of headache and lisping. He had received immunoglobulin therapy for
Kawasaki disease at the ages of 1, 2, and 6 years. MRI showed only a chronic
ischemic lesion in the white matter. Angiography showed occlusion at the terminal
portion of the ICAs on both sides. He was diagnosed with moyamoya disease, but as
he had no symptoms and preserved cerebral blood flow (CBF), he was kept under
observation. An 8-year-old boy was diagnosed with moyamoya disease and underwent
right encephaloduroarteriosynangiosis at a nearby hospital. He had received
immunoglobulin therapy for Kawasaki disease at the age of 1 year. His ischemic
symptoms worsened. Although MRI detected no apparent ischemic lesion, angiography
revealed severe stenosis at the terminal portions of the ICAs on both sides, and
123I-IMP SPECT showed CBF impairment. Bilateral direct bypass was performed. His
father was subsequently also diagnosed with moyamoya disease. A 4-year-old girl
with epilepsy was diagnosed with moyamoya disease at a nearby hospital. She had
been treated with aspirin for Kawasaki disease at the age of 1 year. MRI detected
no remarkable ischemic lesions, but angiography revealed mild stenosis at the
terminal portions of the ICAs on both sides. Five months later, her ischemic
symptoms were worsening with progressing stenotic lesions, and she underwent
bilateral direct bypass.
PMID- 26549722
TI - [A Case of Percutaneous Transluminal Angioplasty and Stenting for Dacron Bypass
Graft Stenosis with Cerebral Infarction].
AB - A 62-year-old man presented to the emergency room with mild dysarthria and right
motor weakness. The patient was diagnosed with aortic dissection (DeBakey type
III) in the cardiovascular department of our institution two years ago and was
then treated with left carotid-bilateral subclavian bypass with collagen-seated
Dacron graft followed by thoracic endovascular aortic repair (TEVER) with stent
graft placement. Magnetic resonance imaging on admission showed cerebral
infarction with left proximal middle cerebral artery occlusion in the left
cerebral hemisphere. Three-dimensional computed tomography angiography (3D-CTA)
demonstrated a stenotic lesion at the anastomosis of the right subclavian artery
and the bypass graft. It also showed the partial left common carotid artery,
suggestive of an endoleak in the thoracic stent graft. The patient was diagnosed
with artery-to-artery embolism due to bypass graft stenosis or endoleak in the
thoracic stent graft and was treated with conservative therapy. He gradually
recovered from the neurological deficit and underwent endovascular angioplasty
with a balloon-expandable stent for bypass graft stenosis by using the distal
balloon protection method and the left proximal common carotid artery occlusion
with coils 1 month later. One-year follow-up 3D-CTA showed good patency of the
stent in the bypass graft. No recurrence of cerebral infarction was observed
during the postoperative course.
PMID- 26549724
TI - [Update Knowledge for Brain Tumors (1) Epidemiology and Registry of Brain
Tumors].
PMID- 26549723
TI - [Spontaneous Dilatation of Carotid Artery Stents Three Months after the
Procedure, without the Need for Post-CAS Balloon Dilatation].
AB - OBJECTIVE: The aim of this retrospective study was to investigate whether the
radial force of a self-expandable stent alone is sufficient to dilate an
atherosclerotic carotid artery without the need for post-carotid artery stenting
(CAS) balloon dilatation (PCasBD). METHODS: We included in our analysis patients
who had undergone (1) elective CAS without PCasBD from January 2012 to March
2014, and (2) follow-up conventional angiography 3 months after CAS. We recorded
the patients' baseline characteristics and stent types (open-cell [OP] or closed
cell [CL]). Using the minimum width on the lateral projection, stent diameters
(SDs) were measured at the site both post-operatively and 3 months after CAS.
RESULTS: Fifty-eight lesions in 55 patients were analyzed. The average age of the
patients was 74.4 years;the median SD immediately after CAS was 3.27 mm
(interquartile range: 3.09-3.64 mm), while after 3 months it was 3.97 mm (3.58
4.25 mm), a significant increase (p<0.0001). In Regarding OP stents specifically
(n=18), the median SD increased from 3.59 to 4.05 mm, while the median diameter
of CL stents (n=44) increased from 3.22 to 3.83 mm. The median diameter of OP
stents was larger than that of CL stents at both time points (p<0.05), whereas
the expansion rate of CL stents was higher. CONCLUSION: All stents had
spontaneously dilated by 10% to 20% without PCasBD. The diameter of OP stents was
larger than that of CL stents, both immediately and 3 months after
CAS.(Received:April 1, 2015, Accepted:August 3, 2015).
PMID- 26549725
TI - miR-21: A gene of dual regulation in breast cancer.
AB - Breast cancer is characterized by an elevated capacity for tumor invasion and
lymph node metastasis, but the cause remains to be determined. Recent studies
suggest that microRNAs (miRNAs) can regulate the evolution of malignant behavior
by regulating multiple target genes. A key oncomir in carcinogenesis is miR-21,
which is consistently upregulated in a wide range of cancers. However, few
functional studies are available for miR-21, and few targets have been
identified. In this study, we explored the role of miR-21 in human breast cancer
cells and searched for miR-21 targets.Total RNA from breast cancer tissue and
corresponding adjacent normal tissue was extracted and used to detect miR-21
expression by quantificational real-time polymerase chain reaction (qRT-PCR),
followed by analysis of the correlation between gonad hormone indices in
peripheral blood and miR-21 expression in cancerous tissues from the same
patients. Cell proliferation, colony formation, migration and invasion were then
examined to determine the role of miR-21 in regulating breast cancer cells.
Finally, western blotting was performed to determine if miR-21 regulated
expression of signal transducers and activators of transcription 3 (STAT3), and
assays of cell proliferation, colony formation, migration and invasion were
performed to examine the role of STAT3 in regulation of breast cancer cells. We
found that expression of miR-21 increased from normal through benign to cancerous
breast tissues. Enhanced miR-21 expression was associated with serum levels of
follicle-stimulating hormone, estradiol, beta-human chorionic gonadotropin,
testosterone and prolactin in patients with breast cancer. Furthermore, cell
proliferation, colony formation, migration and invasion were increased after
overexpression of miR-21 in breast cancer cells and reduced by miR-21
suppression. In addition, we identified a putative miR-21 binding site in the 3'
untranslated region of the STAT3 gene using an online bioinformatical tool. We
found that protein expression of STAT3 was significantly downregulated when
breast cancer cells were transfected with miR-21 mimics, and was significantly
upregulated in breast cancer cells transfected with a miR-21 inhibitor. Finally,
we found that cell proliferation, colony formation, migration and invasion were
decreased by treatment with 2.5 nM of Stattic, an inhibitor of STAT3 activation.
Our data suggest that miR-21 expression is increased in breast cancer and plays
an important role as a tumor gene by targeting STAT3, which may act as a double
response controller in breast cancer.
PMID- 26549726
TI - Minimum oxygen flow needed for vital support during simulated post
cardiorespiratory arrest resuscitation.
AB - According to the ERC and the AHA guidelines, FiO2 should be titrated to achieve
an O2Sat >= 94%. The aim of this study was to determine the minimum oxygen flow
and time needed to reach an FiO2 of 0.32 and 0.80 during post-cardiac arrest
care. An experimental analysis was performed that consisted of a simulated post
cardiac arrest situation. Different resuscitators were tested and connected to an
artificial lung: Mark IV, SPUR II, Revivator Res-Q, O-TWO. The oxygen flow levels
tested were 2, 5, 10 and 15 lpm. Bonferroni and Mann-Whitney U tests were used.
An FiO2 of 0.32 or more was obtained using any of the oxygen flow and
resuscitators. Only the Mark IV achieved an FiO2 of 0.80 after a minimum of 75s
ventilating with 2 or 5 lpm. Clinical and statistical differences (P<.05) were
found: at 15 lpm it took 35s to reach an FiO2 of 0.80 or more for Mark IV (85.6
[0.3]) and Revivator (84.3 [1.5]) compared to 50s for SPUR II (87.1 [6.4]); at 2
lpm, all of the devices reached an FiO2 of >= 0.32 at 30s(Mark IV (34.8 [1.3]),
Revivator (35.7 [1.5]) and SPUR II (34.4 [2.1]), except for O-TWO, which took 35s
(36.3 [4.3]). Patients could be ventilated with any of the resuscitators using 2
lpm to obtain an FiO2 of 0.32, although possibly O-TWO would be the last option
during the first 60s. In order to reach an FiO2 of 0.80, ventilating with 10 lpm
should be sufficient, and preferably using Mark IV or Revivator Res-Q. In
conclusion, on observing the results of our study, in any possible scenario, it
would be advisable to use Revivator Res-Q or Mark IV rather than O-TWO or SPUR
II.
PMID- 26549727
TI - Letter to the editor: "Immunosuppressive drug therapy--biopharmaceutical
challenges and remedies".
PMID- 26549728
TI - Improved method of gastrostomy tube replacement using a small-caliber transnasal
endoscope.
AB - CONCLUSION: Gastrostomy tube replacement using a new approach through the
abdominal-wall stoma with a small-caliber trans-nasal endoscope is feasible,
fast, and safe compared with the trans-oral approach. OBJECTIVES: To evaluate the
feasibility of a new technique using a trans-nasal endoscope for gastrostomy tube
replacement. METHODS: Between June 2005 and December 2013 in the Peking
University Third Hospital, 69 patients underwent gastrostomy tube replacement
using the trans-oral approach (conventional method) or a small-caliber trans
nasal endoscope inserted through the abdominal-wall stoma (new method). A
retrospective review was performed of the medical records of those patients,
including demography and information about the surgical procedure and any
complications. Patients were classified into the conventional group and the new
method group. Descriptive statistics for all continuous variables were mean +/-
standard deviation and for categorical variables were number and percentage.
RESULTS: Gastrostomy tube replacement was achieved in 69 of 69 cases (100%); 23
of these procedures were performed using the new method. The surgery time with
the conventional method (8.3 +/- 2.0 min) was significantly longer than with the
new method (6.0 +/- 0.9 min, p < 0.001). With the conventional method, there was
one patient (2%) with post-operative fever and skin infection; no complications
occurred with the new method.
PMID- 26549729
TI - Porous nanoarchitectures of spinel-type transition metal oxides for
electrochemical energy storage systems.
AB - Transition metal oxides possessing two kinds of metals (denoted as AxB3-xO4,
which is generally defined as a spinel structure; A, B = Co, Ni, Zn, Mn, Fe,
etc.), with stoichiometric or even non-stoichiometric compositions, have recently
attracted great interest in electrochemical energy storage systems (ESSs). The
spinel-type transition metal oxides exhibit outstanding electrochemical activity
and stability, and thus, they can play a key role in realising cost-effective and
environmentally friendly ESSs. Moreover, porous nanoarchitectures can offer a
large number of electrochemically active sites and, at the same time, facilitate
transport of charge carriers (electrons and ions) during energy storage
reactions. In the design of spinel-type transition metal oxides for energy
storage applications, therefore, nanostructural engineering is one of the most
essential approaches to achieving high electrochemical performance in ESSs. In
this perspective, we introduce spinel-type transition metal oxides with various
transition metals and present recent research advances in material design of
spinel-type transition metal oxides with tunable architectures (shape, porosity,
and size) and compositions on the micro- and nano-scale. Furthermore, their
technological applications as electrode materials for next-generation ESSs,
including metal-air batteries, lithium-ion batteries, and supercapacitors, are
discussed.
PMID- 26549730
TI - Factor Structure and Gender Stability of the Brazilian Version of the Pornography
Consumption Inventory.
AB - There are a few instruments available to measure pornograhy consumption-related
constructs, and this lack of instruments can compromise the validity of research
findings. The Pornography Consumption Inventory (PCI) assesses four motivations
for pornography consumption, and it has been validated in hypersexual men and
medical students. However, whether the psychometric properties of this instrument
are comparable across genders remains unclear. Multigroup confirmatory factor
analysis (MGCFA) was used to verify the invariance of the structure of the PCI
across male (100) and female (105) university students. The confirmatory factor
analysis (CFA) for each group showed a reasonably good fit of the data to the
four-factor model. The MGCFA model included only factor loadings constrained to
be equal between both genders (DeltaCFI < 0.01 and p > 0.05). However, the
DeltaCFI did not support a strong and strict factorial invariance, DeltaCFI >
0.01. Although both genders seemed to agree with the conceptualization of
pornography and motivations for consuming it, the PCI was not gender-invariant,
as men showed a stronger degree of motivation to consume pornographic material
than women did. The implications of these findings regarding the measurement of
motivations for pornography use are outlined.
PMID- 26549731
TI - Liposome Membrane as a Platform for the L-Pro-Catalyzed Michael Addition of trans
beta-Nitrostyrene and Acetone.
AB - Herein, we show that the L-proline (L-Pro)-catalyzed Michael addition of trans
beta-nitrostyrene and acetone can proceed in "water" using liposome membranes and
that the membrane fluidity and polarity are major controlling factors for this
reaction. The highest conversion and rate constant of the reaction within the
liposomes was achieved with the 1,2-dioleoyl-sn-glycero-3-phosphocholine
(DOPC)/1,2-dipalmitoyl-3-trimethylammoniumpropane (DPTAP) system. The catalytic
activity of L-Pro in the liposome suspension was found to be comparable to that
in a DMSO system. The reaction rate constant was found to be controlled by both
the phase state of the liposome membrane and the surface charge on the membrane.
Greater enantioselectivity was achieved in the presence of the liposomes than in
DMSO solution, with corresponding enantiomeric excess values of 97.6% for the
DOPC/DPTAP liposome system and 10% in DMSO. The hydrophobic region of the
liposome membrane, which is a relatively stable self-organizing system, can serve
as an effective "platform" for molecular recognition and selective conversion in
aqueous media.
PMID- 26549732
TI - Tunable porosity of 3D-networks with germanium nodes.
AB - Eight hyper cross-linked polymers based on tetrakis(4-ethynylphenyl)germanium and
tetrakis(4-ethynylphenyl)methane are presented. After investigation of their N2
adsorption properties at 77 K, the porosity of the germanium-based porous organic
polymers (POPs) was modulated under acidic conditions, offering an easy and
direct way, in a single step, to tune the adsorption properties.
PMID- 26549733
TI - Controlling Selectivity by Controlling the Path of Trajectories.
AB - Consideration of the role of dynamic trajectories in [1,2]- and [2,3]-sigmatropic
rearrangements suggests a counterintuitive approach to controlling the
selectivity. In our hypothesis, [2,3] selectivity can be promoted by reaction
conditions that thermodynamically disfavor the [2,3] rearrangement step and
thereby make the transition state later. The application of this idea has led to
a successful prescription for dictating the selectivity in Stevens/Sommelet
Hauser rearrangements of ammonium ylides. A combination of kinetic isotope
effects, crossover experiments, and computational dynamic trajectories support
the idea that the selectivity is controlled through control of the path of
trajectories.
PMID- 26549734
TI - A Dipeptidyl Peptidase-4 Inhibitor but not Incretins Suppresses Abdominal Aortic
Aneurysms in Angiotensin II-Infused Apolipoprotein E-Null Mice.
AB - AIM: The main pathophysiology of abdominal aortic aneurysm (AAA) considerably
overlaps with that of atherosclerosis. We reported that incretins [glucagon-like
peptide (GLP)-1 and glucose-dependent insulinotropic polypeptide (GIP)] or a
dipeptidyl peptidase-4 inhibitor (DPP-4I) suppressed atherosclerosis in
apolipoprotein E-null (Apoe-/-) mice. Here we investigated the effects of
incretin-related agents on AAA in a mouse model. METHODS: Apoe-/- mice maintained
on an atherogenic diet were subcutaneously infused with saline, Ang II (2000
ng/kg/min), Ang II, and native GLP-1 (2.16 nmol/kg/day) or Ang II and native GIP
(25 nmol/kg/day) for 4 weeks. DPP-4I (MK0626, 6 mg/kg/day) was provided in the
diet to the Ang II-infused mice with or without incretin receptor antagonists
[(Pro3) GIP and exendin (9-39)]. RESULTS: AAA occurred in 70% of the animals
receiving Ang II. DPP-4I reduced this rate to 40% and significantly suppressed
AAA dilatation, fibrosis, and thrombosis. In contrast, incretins failed to
attenuate AAA. Incretin receptor blockers did not reverse the suppressive effects
of DPP-4I on AAA. In the aorta, DPP-4I significantly reduced the expression of
Interleukin-1beta and increased that of tissue inhibitor of metalloproteinase
(TIMP)-2. In addition, DPP-4I increased the ratio of TIMP-2 to matrix
metalloproteinases-9. CONCLUSIONS: DPP-4I, MK0626, but not native incretins has
protective effects against AAA in Ang II-infused Apoe-/- mice via suppression of
inflammation, proteolysis, and fibrosis in the aortic wall.
PMID- 26549735
TI - Capturing VE-Cadherin-Positive Endothelial Progenitor Cells for in-stent Vascular
Repair.
PMID- 26549738
TI - High-Speed Multipass Coulter Counter with Ultrahigh Resolution.
AB - Coulter counters measure the size of particles in solution by passing them
through an orifice and measuring a resistive pulse, i.e., a drop in the ionic
current flowing between two electrodes placed on either side of the orifice. The
magnitude of the pulse gives information on the size of the particle; however,
resolution is limited by variability in the path of the translocation, due to the
Brownian motion of the particle. We present a simple yet powerful modified
Coulter counter that uses programmable data acquisition hardware to switch the
voltage after sensing the resistive pulse of a nanoparticle passing through the
orifice of a nanopipet. Switching the voltage reverses the direction of the
driving force on the particle and, when this detect-switch cycle is repeated,
allows us to pass an individual nanoparticle through the orifice thousands of
times. By measuring individual particles more than 100 times per second we
rapidly determine the distribution of the resistive pulses for each particle,
which allows us to accurately determine the mean pulse amplitude and deliver
considerably improved size resolution over a conventional Coulter counter. We
show that single polystyrene nanoparticles can be shuttled back and forth and
monitored for minutes, leading to a precisely determined mean blocking current
equating to sub-angstrom size resolution.
PMID- 26549737
TI - Silencing of MAP4K4 by short hairpin RNA suppresses proliferation, induces G1
cell cycle arrest and induces apoptosis in gastric cancer cells.
AB - Gastric cancer (GC) is the second most common cause of cancer-associated
mortality worldwide. Previous studies suggest that mitogen-activated protein
kinase kinase kinase kinase isoform 4 (MAP4K4) is involved in cancer cell growth,
apoptosis and migration. In the present study, bioinformatics analysis and
reverse transcription-quantitative polymerase chain reaction were performed to
determine if MAP4K4 was overexpressed in GC. The knockdown of MAP4K4 by RNA
interference in GC cells markedly inhibited cell proliferation, which may be
mediated by cell cycle arrest in the G1 phase. The silencing of MAP4K4 also
induced cell apoptosis by increasing the ratio of Bax/Bcl-2. In addition, Notch
signaling was markedly reduced by MAP4K4 silencing. The results of the present
study suggested that inhibition of MAP4K4 may be a therapeutic strategy for GC.
PMID- 26549736
TI - MiR-150 impairs inflammatory cytokine production by targeting ARRB-2 after
blocking CD28/B7 costimulatory pathway.
AB - MiR-150, a major modulator negatively regulating the development and
differentiation of various immune cells, is widely involved in orchestrating
inflammation. In transplantation immunity, miR-150 can effectively induce immune
tolerance, although the underlying mechanisms have not been fully elucidated. In
the current study, we found that miR-150 is elevated after blocking CD28/B7 co
stimulatory signaling pathway and impaired IL-2 production by targeting ARRB2.
Further investigation suggested that miR-150 not only repressed the level of
ARRB2/PDE4 directly but also prevented AKT/ARRB2/PDE4 trimer recruitment into the
lipid raft by inhibiting the activities of PI3K and AKT through the cAMP-PKA-Csk
signaling pathway. This leads to the interruption of cAMP degradation and
subsequently results in inhibition of the NF-kB pathway and reduced production of
both IL-2 and TNF. In conclusion, our study demonstrated that miR-150 can
effectively prevent CD28/B7 co-stimulatory signaling transduction, decrease
production of inflammatory cytokines, such as IL-2 and TNF, and elicit the
induction of immune tolerance. Therefore, miR-150 could become a novel potential
therapeutic target in transplantation immunology.
PMID- 26549739
TI - Searching photodynamic activity in honey.
PMID- 26549740
TI - Attachment and prejudice: The mediating role of empathy.
AB - In two studies, we examined the novel hypothesis that empathy is a mechanism
through which the relationship between attachment patterns and prejudice can be
explained. Study 1 examined primed attachment security (vs. neutral prime),
empathy, and prejudice towards immigrants. Study 2 examined primed attachment
patterns (secure, avoidant, anxious), empathy subscales (perspective taking,
empathic concern, personal distress), and prejudice towards Muslims. Across both
studies, empathy mediated the relationship between primed attachment security and
low prejudice levels. The findings suggest that enhancing felt security and
empathic skills in individuals high in attachment-avoidance may lead to reduced
prejudice.
PMID- 26549741
TI - Epigenetic stimulation of polyketide production in Chaetomium cancroideum by an
NAD(+)-dependent HDAC inhibitor.
AB - Exposure of the fungus Chaetomium cancroideum to an NAD(+)-dependent HDAC
inhibitor, nicotinamide, enhanced the production of aromatic and branched
aliphatic polyketides, which allowed us to isolate new secondary metabolites,
chaetophenol G and cancrolides A and B. Their structures were determined using
spectroscopic analyses, and their absolute configuration was elucidated by
electronic circular dichroism (ECD), vibrational circular dichroism (VCD), and
chemical transformations. Biosynthesis of the branched aliphatic polyketide
skeletons in cancrolides A and B was evidenced by conducting a feeding experiment
using compounds labeled with a (13)C stable isotope.
PMID- 26549742
TI - Paving the Way to Novel Phosphorus-Based Architectures: A Noncatalyzed Protocol
to Access Six-Membered Heterocycles.
AB - Phosphorus-based heterocycles provide access to materials with properties that
are inaccessible from all-carbon architectures. The unique hybridization of
phosphorus gives rise to electron-accepting capacities, a large variety of
coordination reactions, and the possibility of controlling the electronic
properties through phosphorus postfunctionalization. Herein, we describe a new
noncatalyzed synthetic protocol to prepare fused six-membered phosphorus
heterocycles. In particular, we report the synthesis of novel phosphaphenalenes.
These fused systems exhibit the benefits of both five- and six-membered
phosphorus heterocycles and enable a series of versatile postfunctionalization
reactions. This work thus opens up new horizons in the field of conjugated
materials.
PMID- 26549743
TI - The Pseudomonas aeruginosa AmrZ C-terminal domain mediates tetramerization and is
required for its activator and repressor functions.
AB - Pseudomonas aeruginosa is an important bacterial opportunistic pathogen,
presenting a significant threat towards individuals with underlying diseases such
as cystic fibrosis. The transcription factor AmrZ regulates expression of
multiple P. aeruginosa virulence factors. AmrZ belongs to the ribbon-helix-helix
protein superfamily, in which many members function as dimers, yet others form
higher order oligomers. In this study, four independent approaches were
undertaken and demonstrated that the primary AmrZ form in solution is tetrameric.
Deletion of the AmrZ C-terminal domain leads to loss of tetramerization and
reduced DNA binding to both activated and repressed target promoters.
Additionally, the C-terminal domain is essential for efficient AmrZ-mediated
activation and repression of its targets.
PMID- 26549744
TI - Is it me? Verbal self-monitoring neural network and clinical insight in
schizophrenia.
AB - Self-monitoring, defined as the ability to distinguish between self-generated
stimuli from other-generated ones, is known to be impaired in schizophrenia. This
impairment has been theorised as the basis for many of the core psychotic
symptoms, in particular, poor clinical insight. This study aimed to investigate
verbal self-monitoring related neural substrates of preserved and poor clinical
insight in schizophrenia. It involved 40 stable schizophrenia outpatients, 20
with preserved and 20 with poor insight, and 20 healthy participants. All
participants underwent functional magnetic resonance imaging with brain coverage
covering key areas in the self-monitoring network during a verbal self-monitoring
task. Healthy participants showed higher performance accuracy and greater
thalamic activity than both preserved and poor insight patient groups. Preserved
insight patients showed higher activity in the putamen extending into the
caudate, insula and inferior frontal gyrus, compared to poor insight patients,
and in the anterior cingulate and medial frontal gyrus, compared to healthy
participants. Poor insight patients did not show greater activity in any brain
area compared to preserved insight patients or healthy participants. Future
studies may pursue therapeutic avenues, such as meta-cognitive therapies to
promote self-monitoring or targeted stimulation of relevant brain areas, as means
of enhancing insight in schizophrenia.
PMID- 26549745
TI - Psychometric Properties of the Asthma Symptom Diary (ASD), a Diary for Use in
Clinical Trials of Persistent Asthma.
AB - BACKGROUND: No currently available asthma symptom diary has sufficient validation
to be recommended for use as a core asthma outcome measure. OBJECTIVE: The
objective of this study was to provide validation data for the 10-item asthma
symptom diary (ASD). METHODS: Data were collected in a 4-week prospective,
observational study. Subjects completed 3 study visits, completing the ASD twice
daily at home for 28 days. Psychometric properties in terms of dimensionality,
reliability, validity, and responsiveness were assessed. RESULTS: Data from 276
subjects were analyzed; mean age was 42.9 (standard deviation [SD] = 16.4) years,
mean asthma duration was 23.3 (SD = 16.8) years, and 69.6% were female.
Confirmatory factor and Rasch analysis supported the ASD as unidimensional and
adequately measuring the spectrum of asthma symptom severity. High Cronbach's
alpha (0.94) and intraclass correlation coefficients (0.89-0.95) supported
reliability. A high correlation between the 7-day average ASD score and the
Asthma Control Questionnaire (ACQ) total score (r = 0.75) and Asthma Quality of
Life Questionnaire total scores (r = -0.76), and a moderate correlation with
FEV1% predicted (r = -0.30) supported convergent validity. Significant
differences (P < .001) between groups classified by ACQ scores supported known
group validity. The 7-day average ASD scores were responsive to change, with
significantly higher score changes (P < .001) in responders versus nonresponders.
Minimally important differences were calculated and found to be in the range of
0.1-0.3. CONCLUSION: Results of this study indicated that the ASD is a reliable
and valid asthma symptom measure for use in adult and adolescent asthma patients
to evaluate the effect of treatment on asthma in clinical trials.
PMID- 26549746
TI - Individual honey bee (Apis cerana) foragers adjust their fuel load to match
variability in forage reward.
AB - Animals may adjust their behavior according to their perception of risk. Here we
show that free-flying honey bee (Apis cerana) foragers mitigate the risk of
starvation in the field when foraging on a food source that offers variable
rewards by carrying more 'fuel' food on their outward journey. We trained
foragers to a feeder located 1.2 km from each of four colonies. On average
foragers carried 12.7% greater volume of fuel, equivalent to 30.2% more glucose
when foraging on a variable source (a random sequence of 0.5, 1.5 and 2.5 M
sucrose solution, average sucrose content 1.5 M) than when forging on a
consistent source (constant 1.5 M sucrose solution). Our findings complement an
earlier study that showed that foragers decrease their fuel load as they become
more familiar with a foraging place. We suggest that honey bee foragers are risk
sensitive, and carry more fuel to minimize the risk of starvation in the field
when a foraging trip is perceived as being risky, either because the forager is
unfamiliar with the foraging site, or because the forage available at a familiar
site offers variable rewards.
PMID- 26549747
TI - Insulin induces the expression of FGF2 but does not synergize with it during
angiogenesis.
AB - Cardiovascular and ischemic diseases are often associated with diabetes mellitus
and develop due to occlusion of blood vessels leading to the blockage and
insufficient blood supply to the target organs. Current therapeutic strategies
for treating these pathologies include growth factor-, gene- and stem cell-based
therapies. Vascular endothelial growth factor (VEGF) and basic fibroblast growth
factor (FGF-2) have been used in clinical trials to induce blood vessels. On the
other hand, increased levels of both these growth factors have been observed with
intense insulin therapy in diabetes mellitus patients further leading to
increased risk of retinopathy. This suggests the presence of a possible crosstalk
between insulin, FGF and VEGF pathways during angiogenesis. In the present work,
we report the likely absence of synergistic effect between insulin and FGF-2.
This was initially observed at morphological and histological levels using chick
embryonic chorioallantoic membrane (CAM) assay and confirmed by analyzing the
expression of angiogenesis regulatory genes by semi-quantitative reverse
transcriptase PCR (RT-PCR). Absence of combinatorial effect between insulin, FGF
2 and VEGF during angiogenesis was also demonstrated using CAM assay.
PMID- 26549748
TI - Convergent evolution of marine mammals is associated with distinct substitutions
in common genes.
AB - Phenotypic convergence is thought to be driven by parallel substitutions coupled
with natural selection at the sequence level. Multiple independent evolutionary
transitions of mammals to an aquatic environment offer an opportunity to test
this thesis. Here, whole genome alignment of coding sequences identified
widespread parallel amino acid substitutions in marine mammals; however, the
majority of these changes were not unique to these animals. Conversely, we report
that candidate aquatic adaptation genes, identified by signatures of likelihood
convergence and/or elevated ratio of nonsynonymous to synonymous nucleotide
substitution rate, are characterized by very few parallel substitutions and
exhibit distinct sequence changes in each group. Moreover, no significant
positive correlation was found between likelihood convergence and positive
selection in all three marine lineages. These results suggest that convergence in
protein coding genes associated with aquatic lifestyle is mainly characterized by
independent substitutions and relaxed negative selection.
PMID- 26549750
TI - Euedaphic and hemiedaphic Collembola suffer larger damages than epedaphic species
to nitrogen input.
AB - Wetlands are commonly limited in available nitrogen. But marshes in the Sanjiang
Plain, Northeastern China suffer large amounts of exogenous nitrogen from
agriculture fertilization after wetland reclamation. This paper focuses on the
ecological effects of a short-term increase of nitrogen input on collembolan
communities. Our results show a significant decrease in collembolan abundance and
Shannon diversity index, and the abundance of euedaphic and hemiedaphic
collembolans decreased faster than epedaphic collembolans. These results indicate
that euedaphic or hemiedaphic fauna suffer more biodiversity loss caused by
nitrogen deposition than epedaphic fauna and call for more researches on trait
based approaches under environmental stress in the future.
PMID- 26549749
TI - Microfluidic devices to enrich and isolate circulating tumor cells.
AB - Given the potential clinical impact of circulating tumor cells (CTCs) in blood as
a clinical biomarker for the diagnosis and prognosis of various cancers, a myriad
of detection methods for CTCs have been recently introduced. Among those, a
series of microfluidic devices are particularly promising as they uniquely offer
micro-scale analytical systems that are highlighted by low consumption of samples
and reagents, high flexibility to accommodate other cutting-edge technologies,
precise and well-defined flow behaviors, and automation capability, presenting
significant advantages over conventional larger scale systems. In this review, we
highlight the advantages of microfluidic devices and their potential for
translation into CTC detection methods, categorized by miniaturization of bench
top analytical instruments, integration capability with nanotechnologies, and in
situ or sequential analysis of captured CTCs. This review provides a
comprehensive overview of recent advances in CTC detection achieved through
application of microfluidic devices and the challenges that these promising
technologies must overcome to be clinically impactful.
PMID- 26549751
TI - Persistence of detectable insecticidal proteins from Bacillus thuringiensis (Cry)
and toxicity after adsorption on contrasting soils.
AB - Insecticidal Cry, or Bt, proteins are produced by the soil-endemic bacterium,
Bacillus thuringiensis and some genetically modified crops. Their environmental
fate depends on interactions with soil. Little is known about the toxicity of
adsorbed proteins and the change in toxicity over time. We incubated Cry1Ac and
Cry2A in contrasting soils subjected to different treatments to inhibit microbial
activity. The toxin was chemically extracted and immunoassayed. Manduca sexta was
the target insect for biotests. Extractable toxin decreased during incubation for
up to four weeks. Toxicity of Cry1Ac was maintained in the adsorbed state, but
lost after 2 weeks incubation at 25 degrees C. The decline in extractable
protein and toxicity were much slower at 4 degrees C with no significant effect
of soil sterilization. The major driving force for decline may be time-dependent
fixation of adsorbed protein, leading to a decrease in the extraction yield in
vitro, paralleled by decreasing solubilisation in the larval gut.
PMID- 26549752
TI - p53-dependent apoptosis contributes to di-(2-ethylhexyl) phthalate-induced
hepatotoxicity.
AB - Di-(2-ethylhexyl) phthalate (DEHP) is used extensively in many personal care and
consumer products, resulting in widespread non-occupational human exposure
through multiple routes and media. DEHP has various deleterious effects including
hepatotoxicity. p53 protein is a central sensor in cell apoptosis. In order to
clarify the roles of p53 in DEHP-induced hepatotoxicity, Sprague-Dawley (SD) rats
were dosed daily with DEHP by gavage for 30 days; BRL cells (rat liver cell line)
were treated with DEHP for 24 h after pretreatment with NAC or small interfering
RNA (siRNA). Results indicated that after exposure to DEHP, hepatic histological
changes such as hepatocyte edema, vacuolation and hepatic sinusoidal dilation,
and increased apoptosis index were observed. In the liver, DEHP induced oxidative
stress and DNA damage, which activated p53 in vivo and in vitro. Pretreatment
with NAC significantly reduced ROS level and p53 expression in BRL cells. The
suppressed Mdm2 also contributed to p53 accumulation. Activated p53 mediated
hepatocyte apoptosis via the intrinsic mitochondrial pathway, inhibiting anti
apoptotic Bcl-2 and Bcl-xL and inducing pro-apoptotic Bax, cytochrome c and
caspases. In p53-silenced BRL cells, hepatocyte apoptosis mediated by p53 was
attenuated. PCNA protein level was upregulated after p53 gene silencing. However,
the Fas/FasL apoptotic pathway did not exhibit activated signs in DEHP-caused
hepatotoxicity. Taken together, DEHP-caused oxidative stress and Mdm2
downregulation contribute to p53 activation. The p53-dependent apoptotic pathway
plays critical and indispensable roles in DEHP-induced hepatotoxicity, while the
Fas/FasL pathway does not involve in this molecular event.
PMID- 26549753
TI - Effect assessment of engineered nanoparticles in solid media - Current insight
and the way forward.
AB - Engineered Nanoparticles (ENPs) present novel/added challenges to the established
effect assessment modus operandi, requiring an update of used methods. ENPs are
dimensionally and physically different from conventional chemicals, which imply
that the metrics with which we relate effect and the type of effect responses are
different from that of the conventional approach. Effects on organisms are often
preceded by changes on the sub-organismal level (cell, genes), dedicated tools
have vast potential to detect earlier (and link to) effects on higher levels of
organization. High-throughput screening (HTS) is rapid, cost-effective and
specific. One way forward is to link HTS to population outcomes, targeting a
systems toxicology approach. Although the benefits of integrating various levels
of information may seem obvious, this is an even more decisive aspect when rapid
answers are needed for ENPs. Here we rank the available tools/methods, highlight
main study gaps and list priority needs and the way forward.
PMID- 26549754
TI - Reliability of stable Pb isotopes to identify Pb sources and verifying biological
fractionation of Pb isotopes in goats and chickens.
AB - Stable Pb isotope ratios (Pb-IRs) have been recognized as an efficient tool for
identifying sources. This study carried out at Kabwe mining area, Zambia, to
elucidate the presence or absence of Pb isotope fractionation in goat and
chicken, to evaluate the reliability of identifying Pb pollution sources via
analysis of Pb-IRs, and to assess whether a threshold for blood Pb levels (Pb-B)
for biological fractionation was present. The variation of Pb-IRs in goat
decreased with an increase in Pb-B and were fixed at certain values close to
those of the dominant source of Pb exposure at Pb-B > 5 MUg/dL. However, chickens
did not show a clear relationship for Pb-IRs against Pb-B, or a fractionation
threshold. Given these, the biological fractionation of Pb isotopes should not
occur in chickens but in goats, and the threshold for triggering biological
fractionation is at around 5 MUg/dL of Pb-B in goats.
PMID- 26549755
TI - Development of sintering-resistant CaO-based sorbent derived from eggshells and
bauxite tailings for cyclic CO2 capture.
AB - Carbon dioxide, one of the major greenhouse gases, are believed to be a major
contributor to global warming. As a consequence, it is imperative for us to
control and remove CO2 emissions. The CaO, a kind of effective CO2 sorbent at
high temperature, has attracted increasing attention due to some potential
advantages. The main drawback in practical application is the deterioration of
CO2 capture capacity following multiples cycles. In the present study, novel low
cost porous CaO-based sorbents with excellent CO2 absorption-desorption
performance were synthesized using bauxite tailings (BTs) and eggshells as raw
materials via solid-phase method. Effect of different BTs content on CO2
absorption-desorption properties was investigated. Phase composition and
morphologies were analyzed by XRD and SEM, and CO2 absorption properties were
investigated by the simultaneous thermogravimetric analyzer. The as-prepared CaO
based sorbent doped with 10 wt% BTs showed superior CO2 absorption stability
during multiple absorption-desorption cycles, with being >55% conversion after 40
cycles. This improved CO2 absorption performance was attributed to the particular
morphologies of the CaO-based sorbents. Additionally, during absorption
desorption cycles the occurrence of Ca12Al14O33 phase is considered to be
responsible for the excellent CO2 absorption performance of CaO-based sorbents.
In the meanwhile, the use of solid waste eggshell and BTs not only decreases the
release of solid waste, but also moderates the greenhouse effect resulted from
CO2.
PMID- 26549757
TI - Platelet - derived CD154 antigen in patients with chronic kidney disease.
AB - INTRODUCTION: CD154 is a surface glycoprotein present on activated platelets,
lymphocytes and mast cells. It mediates the transmission of information between
cells and initiates an inflammatory response. The interaction of CD154 with its
receptor CD40 leads to increase in concentrations of soluble forms of both
molecules (sCD154, sCD40), which has an important prognostic value in
cardiovascular complications. The metabolic disorders in chronic kidney disease
(CKD), chronic inflammation, increased oxidative stress and type of renal
replacement therapy may influence on the balance of sCD154/sCD40 in plasma and
blood platelets. The purpose of the reasearch was to analyse the concentrations
of sCD154 antigen and sCD40 receptor in platelet pure plasma (PPP) and platelet
rich plasma (PRP) of patients with CKD treated conservatively, haemodialysed and
on petitoneal dialysis. METHODS: The group examined comprised 141 patients with
chronic kidney disease: in pre-dialysis stage (n = 68), haemodialysed (n = 38)
and on peritoneal dialysis (n = 35). The concentrations of sCD154 and sCD40 in
PRP and PPP were determined with an ELISA method. The biochemical parameters were
obtained using colorimetric method. RESULTS: The concentrations of sCD154 and
sCD40 in PPP and PRP in examined group were significantly different depending on
the method of renal replacement therapy. The haemodialysis procedure caused a
significant increase in sCD40 concentration in PRP. The concentrations of sCD40
and sCD154 were correlated with lipid parameters. CONCLUSIONS: The type of renal
replacement therapy influences on platelet activation which may be a factor
contributing to increased cardiovascular complications in patients suffering from
CKD.
PMID- 26549756
TI - Chemostat culture systems support diverse bacteriophage communities from human
feces.
AB - BACKGROUND: Most human microbiota studies focus on bacteria inhabiting body
surfaces, but these surfaces also are home to large populations of viruses. Many
are bacteriophages, and their role in driving bacterial diversity is difficult to
decipher without the use of in vitro ecosystems that can reproduce human
microbial communities. RESULTS: We used chemostat culture systems known to harbor
diverse fecal bacteria to decipher whether these cultures also are home to phage
communities. We found that there are vast viral communities inhabiting these
ecosystems, with estimated concentrations similar to those found in human feces.
The viral communities are composed entirely of bacteriophages and likely contain
both temperate and lytic phages based on their similarities to other known
phages. We examined the cultured phage communities at five separate time points
over 24 days and found that they were highly individual-specific, suggesting that
much of the subject-specificity found in human viromes also is captured by this
culture-based system. A high proportion of the community membership is conserved
over time, but the cultured communities maintain more similarity with other intra
subject cultures than they do to human feces. In four of the five subjects,
estimated viral diversity between fecal and cultured communities was highly
similar. CONCLUSIONS: Because the diversity of phages in these cultured fecal
communities have similarities to those found in humans, we believe these
communities can serve as valuable ecosystems to help uncover the role of phages
in human microbial communities.
PMID- 26549758
TI - Ikaros mediates gene silencing in T cells through Polycomb repressive complex 2.
AB - T-cell development is accompanied by epigenetic changes that ensure the silencing
of stem cell-related genes and the activation of lymphocyte-specific programmes.
How transcription factors influence these changes remains unclear. We show that
the Ikaros transcription factor forms a complex with Polycomb repressive complex
2 (PRC2) in CD4(-)CD8(-) thymocytes and allows its binding to more than 500
developmentally regulated loci, including those normally activated in
haematopoietic stem cells and others induced by the Notch pathway. Loss of Ikaros
in CD4(-)CD8(-) cells leads to reduced histone H3 lysine 27 trimethylation and
ectopic gene expression. Furthermore, Ikaros binding triggers PRC2 recruitment
and Ikaros interacts with PRC2 independently of the nucleosome remodelling and
deacetylation complex. Our results identify Ikaros as a fundamental regulator of
PRC2 function in developing T cells.
PMID- 26549760
TI - Drug release from ordered mesoporous silicas.
AB - The state-of-the-art in the investigation of drugs release from Silica-based
ordered Mesoporous Materials (SMMs) is reviewed. First, the SMM systems used like
host matrixes are described. Then, the model drugs studied until now, including
their pharmacological action, structure and the mesoporous matrix employed for
each drug, are comprehensively listed. Next, the factors influencing the release
of drugs from SMMs and the strategies used to control the drug delivery,
specially the chemical functionalization of the silica surface, are discussed. In
addition, how all these factors were gathered in a kinetic equation that
describes the drug release from the mesoporous matrixes is explained. The new
application of molecular modeling and docking in the investigation of the drug
delivery mechanisms from SMMs is also presented. Finally, the new approaches
under investigation in this field are mentioned including the design of smart
stimuli-responsive materials and other recent proposals for a future
investigation.
PMID- 26549759
TI - Dual ligand/receptor interactions activate urothelial defenses against
uropathogenic E. coli.
AB - During urinary tract infection (UTI), the second most common bacterial infection,
dynamic interactions take place between uropathogenic E. coli (UPEC) and host
urothelial cells. While significant strides have been made in the identification
of the virulence factors of UPEC, our understanding of how the urothelial cells
mobilize innate defenses against the invading UPEC remains rudimentary. Here we
show that mouse urothelium responds to the adhesion of type 1-fimbriated UPEC by
rapidly activating the canonical NF-kappaB selectively in terminally
differentiated, superficial (umbrella) cells. This activation depends on a dual
ligand/receptor system, one between FimH adhesin and uroplakin Ia and another
between lipopolysaccharide and Toll-like receptor 4. When activated, all the
nuclei (up to 11) of a multinucleated umbrella cell are affected, leading to
significant amplification of proinflammatory signals. Intermediate and basal
cells of the urothelium undergo NF-kappaB activation only if the umbrella cells
are detached or if the UPEC persistently express type 1-fimbriae. Inhibition of
NF-kappaB prevents the urothelium from clearing the intracellular bacterial
communities, leading to prolonged bladder colonization by UPEC. Based on these
data, we propose a model of dual ligand/receptor system in innate urothelial
defenses against UPEC.
PMID- 26549761
TI - Transient transfection of macrophage migration inhibitory factor small
interfering RNA disrupts the biological behavior of oral squamous carcinoma
cells.
AB - Macrophage migration inhibitory factor (MIF) is closely associated with
tumorigenesis. The present study aimed to investigate the effects of MIF on the
proliferation, migration and colony formation of oral squamous cell carcinoma
(OSCC), and to quantify the protein expression levels of MIF in OSCC tissue
samples. Firstly, small interfering (si)RNA was used to knock down the gene
expression of MIF in Tca8113, HN5 and SCC25 OSCC cells. Secondly, proliferation,
migration and colony formation of the OSCC cells were determined by MTT,
transmigration and colony formation assays, respectively. Western blotting was
performed to detect changes in the protein expression levels of the epithelial
mesenchymal transition markers, Twist-related protein 1 (Twist1), matrix
metalloproteinase (MMP)-2 and MMP-9. Finally, immunohistochemistry was used to
examine the protein expression of MIF in OSCC tissue samples. The results
demonstrated that siRNA against MIF significantly downregulated the expression
levels of MIF in all OSCC cells, and decreased their proliferation and migration
ability. Colony formation ability was also inhibited in the OSCC cells following
transfection with MIF siRNA. Furthermore, western blotting demonstrated that the
protein expression of Twist1 was decreased similarly to those of MIF. The protein
expression of MMP-2 revealed no change, whereas that of MMP-9 decreased. The
protein expression of MIF was detected in OSCC tissue samples with staining
predominantly located in the cell membrane and cytoplasm. The present study
demonstrated that MIF may be important in the pathogenesis and progression of
OSCC, and indicated its potential therapeutic value.
PMID- 26549762
TI - Mechanical cytoprotection: A review of cytoskeleton-protection approaches for
cells.
AB - We review a class of cutting-edge approaches for cytoprotection of cells exposed
to assaults such as sustained deformations, chemotherapy, radiotherapy, or
ischemia. These approaches will enhance cell survival by mechanically protecting
the structure and dynamics of the actin cytoskeleton (CSK). Cortical actin
provides structural support to the plasma membrane (PM), protecting its
integrity. Consequently, assaults can fragment the actin cortex leading to local,
mechanical failure of the PM and poration of the cell. This disrupts normal
trafficking of biomolecules across the PM, leading to loss of homeostasis and
eventually, to cell death and tissue necrosis. Two different approaches to
cytoskeletal protection are covered in this review paper. The first is to supply
energy-related molecules to maintain and enhance the energy-consuming dynamics of
the actin CSK. The second is to stabilize newly formed actin CSK directly for
example through cross-linking or reinforcement at PM anchoring sites. Research in
this area is clearly still in its infancy. Very few studies have gone beyond
characterizing the effects of induced damage to the actin CSK (and subsequent PM
collapse). Recent work, focusing instead on sustaining the actin under non
physiological or pathophysiological conditions, has shown great promise. Such
cytoskeletal-protection may find medical applications in preventing or minimizing
tissue damage when tissues are unhealthy or at risk, or in enhancing cell
performance under stress. Here, we condense the relevant cell biology and
biomechanics background, assess candidate cytoskeletal protective agents, and
review published works that have shown potential for medical benefit in
experimental model systems.
PMID- 26549763
TI - A point-wise normalization method for development of biofidelity response
corridors.
AB - An updated technique to develop biofidelity response corridors (BRCs) is
presented. BRCs provide a representative range of time-dependent responses from
multiple experimental tests of a parameter from multiple biological surrogates
(often cadaveric). The study describes an approach for BRC development based on
previous research, but that includes two key modifications for application to
impact and accelerative loading. First, signal alignment conducted prior to
calculation of the BRC considers only the loading portion of the signal, as
opposed to the full time history. Second, a point-wise normalization (PWN)
technique is introduced to calculate correlation coefficients between signals.
The PWN equally weighs all time points within the loading portion of the signals
and as such, bypasses aspects of the response that are not controlled by the
experimentalist such as internal dynamics of the specimen, and interaction with
surrounding structures. An application of the method is presented using
previously-published thoracic loading data from 8 lateral sled PMHS tests
conducted at 8.9m/s. Using this method, the mean signals showed a peak lateral
load of 8.48kN and peak chest acceleration of 86.0g which were similar to
previously-published research (8.93kN and 100.0g respectively). The peaks
occurred at similar times in the current and previous studies, but were delayed
an average of 2.1ms in the updated method. The mean time shifts calculated with
the method ranged from 7.5% to 9.5% of the event. The method may be of use in
traditional injury biomechanics studies and emerging work on non-horizontal
accelerative loading.
PMID- 26549764
TI - Inter-lamellar shear resistance confers compressive stiffness in the
intervertebral disc: An image-based modelling study on the bovine caudal disc.
AB - The intervertebral disc withstands large compressive loads (up to nine times
bodyweight in humans) while providing flexibility to the spinal column. At a
microstructural level, the outer sheath of the disc (the annulus fibrosus)
comprises 12-20 annular layers of alternately crisscrossed collagen fibres
embedded in a soft ground matrix. The centre of the disc (the nucleus pulposus)
consists of a hydrated gel rich in proteoglycans. The disc is the largest
avascular structure in the body and is of much interest biomechanically due to
the high societal burden of disc degeneration and back pain. Although the disc
has been well characterized at the whole joint scale, it is not clear how the
disc tissue microstructure confers its overall mechanical properties. In
particular, there have been conflicting reports regarding the level of attachment
between adjacent lamellae in the annulus, and the importance of these interfaces
to the overall integrity of the disc is unknown. We used a polarized light
micrograph of the bovine tail disc in transverse cross-section to develop an
image-based finite element model incorporating sliding and separation between
layers of the annulus, and subjected the model to axial compressive loading.
Validation experiments were also performed on four bovine caudal discs.
Interlamellar shear resistance had a strong effect on disc compressive stiffness,
with a 40% drop in stiffness when the interface shear resistance was changed from
fully bonded to freely sliding. By contrast, interlamellar cohesion had no
appreciable effect on overall disc mechanics. We conclude that shear resistance
between lamellae confers disc mechanical resistance to compression, and
degradation of the interlamellar interface structure may be a precursor to
macroscopic disc degeneration.
PMID- 26549765
TI - Zone-specific integrated cartilage repair using a scaffold-free tissue engineered
construct derived from allogenic synovial mesenchymal stem cells: Biomechanical
and histological assessments.
AB - The purpose of the present study was to investigate the zone-specific integration
properties of articular cartilage defects treated in vivo with scaffold-free
three-dimensional tissue-engineered constructs (TECs) derived from allogenic
synovial mesenchymal stem cells (MSCs) in a porcine model. The TEC derived from
the synovial MSCs was implanted into chondral defects in the medial femoral
condyle of the knee. The integration boundary of repair tissue with the adjacent
host cartilage was morphologically and biomechanically evaluated at 6 months post
implantation. Histological assessments showed that the repair tissue in each zone
was well integrated with the adjacent host cartilage, with an apparent secure
continuity of the extracellular matrix. There were no significant differences in
histological scores between the integration boundary and the center of the repair
tissue at every zone. Nonetheless, in all the specimens subjected to mechanical
testing, failure occurred at the integration boundary. The average tensile
strength of the integration boundary vs normal cartilage was 0.6 vs 4.9, 3.0 vs
12.6, and 5.5 vs 12.8MPa at the superficial, middle, and deep layers,
respectively. Thus, these results indicate the most fragile point in the repair
tissue remained at the integration boundary in spite of the apparent secure
tissue continuity and equivalent histological quality with the center of the
repair tissue. Such tissue vulnerability at the surface integration boundary
could affect the long-term durability of the tissue repair, and thus, special
consideration will be needed in the post-operative rehabilitation programming to
enhance the longevity of such repair tissues in response to normal knee loading.
PMID- 26549766
TI - Fluid-flow dependent response of intervertebral discs under cyclic loading: On
the role of specimen preparation and preconditioning.
AB - In vivo during the day, intervertebral discs are loaded mainly in compression
causing fluid and height losses that are subsequently fully recovered overnight
due to fluid inflow under smaller compression. However, in vitro, fluid flow
through the endplates, in particular fluid imbibition, is hampered possibly by
blood clots formed post mortem. Despite earlier in vitro studies, it remains yet
unclear if and how fluid flow conditions in vitro could properly emulate those in
vivo. Effects of various preload magnitudes (no preload, 0.06 and 0.28 MPa) and
disc-bone preparation conditions (e.g., w/o bony endplates) on disc height and
nucleus pressure were investigated using 54 bovine specimens. Changes in specimen
height and pressure at different nucleus locations were used as surrogate
measures to assess the fluid content and flow within the discs. Under all
investigated preparation conditions and preload magnitudes, no significant
pressure recovery could be obtained during low loading phases, even without bony
endplates. On the contrary, partial to full displacement recovery were reached in
particular under 0.28 MPa preload. Results highlight the significant role of disc
preload magnitude in disc height recovery during low loading periods. Attention
should hence be given in future studies to the proper selection of preload
magnitude and duration as well as the animal models used if in vivo response is
intended to be replicated. Findings also indicate that flushing the endplates or
injection of bone cement respectively neither facilitates nor impedes fluid flow
into or out of the disc to a noticeable degree in this bovine disc model.
PMID- 26549767
TI - High-sensitivity elemental ionization for quantitative detection of halogenated
compounds.
AB - The rising importance of organohalogens in environmental, pharmaceutical, and
biological applications has drawn attention to analysis of these compounds in
recent years. Elemental mass spectrometry (MS) is particularly advantageous in
this regard because of its ability to quantify without compound-specific
standards. However, low sensitivity of conventional elemental MS for halogens has
hampered applications of this powerful method in organohalogen analyses. To this
end, we have developed a high-sensitivity elemental ion source compatible with
widely available atmospheric-sampling mass spectrometers. We utilize a helium
oxygen plasma for atomization followed by negative ion formation in plasma
afterglow, a configuration termed as plasma-assisted reaction chemical ionization
(PARCI). The effect of oxygen on in-plasma and afterglow reactions is
investigated, leading to fundamental understanding of ion generation processes as
well as optimized operating conditions. Coupled to a gas chromatograph, PARCI
shows constant ionization efficiency for F, Cl, and Br regardless of the chemical
structure of the compounds. Negative ionization in the afterglow improves halide
ion formation efficiency and eliminates isobaric interferences, offering sub
picogram elemental detection for F, Cl, and Br using low-resolution MS. Notably,
the detection limit for F is about one order of magnitude better than other
elemental MS techniques. The high sensitivity and facile adoptability of PARCI
pave the way for combined elemental-molecular characterization, a comprehensive
analytical scheme for rapid identification and quantification of organohalogens.
PMID- 26549768
TI - Effect of group size and maize silage dietary levels on behaviour, health,
carcass and meat quality of Mediterranean buffaloes.
AB - The effects of different dietary levels of maize silage (10% v. 36% DM) and group
size (7 v. 14 animals) were assessed on growth performance and in vivo
digestibility of 28 male fattening buffaloes. In addition, the effects of diet on
meat quality and group size on behaviour and immune response were separately
evaluated. Animals were weighed and assigned to three groups. The high silage -
low size group (HL) was fed a total mixed ration (TMR) containing 36% DM of maize
silage and consisted of seven animals (age 12.7+/-2.6 months; BW 382.2+/-67.7 kg
at the start of the study). The low silage - low size group (LL) was fed a TMR
containing 10% DM of maize silage and consisted of seven animals (age 13.0+/-2.7
months; BW 389.4+/-72.3 kg). The high silage - high size group (HH) was fed the
36% maize silage DM diet and consisted of 14 animals (age 13.9+/-3.25 months; BW
416.5+/-73.9 kg). Total space allowance (3.2 indoor+3.2 outdoor m2/animal) was
kept constant in the three groups, as well as the ratio of animals to drinkers
(seven animals per water bowl) and the manger space (70 cm per animal). Growth
performance, carcass characteristics and digestibility were influenced neither by
dietary treatment nor by group size, even if the group fed 36% maize silage diet
showed a higher fibre digestibility. No effect of diet was found on meat quality.
Group size did not affect the behavioural activities with the exception of
drinking (1.04+/-0.35% v. 2.60+/-0.35%; P<0.01 for groups HL and HH,
respectively) and vigilance (2.58+/-0.46% v. 1.20+/-0.46%; P<0.05 for groups HL
and HH, respectively). Immune responses were not affected by group size.
PMID- 26549769
TI - Child protection workers dealing with child abuse: The contribution of personal,
social and organizational resources to secondary traumatization.
AB - The present study compared secondary traumatization among child protection social
workers versus social workers employed at social service departments. In
addition, based on Conservation of Resources (COR) theory, the study examined the
contribution of working in the field of child protection as well as the
contribution of background variables, personal resources (mastery), and resources
in the workers' social and organizational environment (social support,
effectiveness of supervision, and role stress) to secondary traumatization. The
findings indicate that levels of mastery and years of work experience contributed
negatively to secondary traumatization, whereas exposure to child maltreatment,
trauma history, and role stress contributed positively to secondary
traumatization. However, no significant contribution was found for social support
and effectiveness of supervision. The study identifies factors that can prevent
distress among professionals such as child protection workers, who are exposed to
the trauma of child abuse victims. Recommendations are provided accordingly.
PMID- 26549770
TI - Health Care for Adolescents in Taiwan: An Emerging Role of Pediatricians.
PMID- 26549771
TI - Commercialisation of Biomarker Tests for Mental Illnesses: Advances and
Obstacles.
AB - Substantial strides have been made in the field of biomarker research for mental
illnesses over the past few decades. However, no US FDA-cleared blood-based
biomarker tests have been translated into routine clinical practice. Here, we
review the challenges associated with commercialisation of research findings and
discuss how these challenges can impede scientific impact and progress. Overall
evidence indicates that a lack of research funding and poor reproducibility of
findings were the most important obstacles to commercialization of biomarker
tests. Fraud, pre-analytical and analytical limitations, and inappropriate
statistical analysis are major contributors to poor reproducibility.
Increasingly, these issues are acknowledged and actions are being taken to
improve data validity, raising the hope that robust biomarker tests will become
available in the foreseeable future.
PMID- 26549772
TI - Hair Coloration by Gene Regulation: Fact or Fiction?
AB - The unravelling of hair pigmentation genetics and robust delivery systems to the
hair follicle (HF) will allow the development of a new class of colouring
products. The challenge will be changing hair colour from inside out by safely
regulating the activity of target genes through the specific delivery of
synthetic/natural compounds, proteins, genes, or small RNAs.
PMID- 26549773
TI - Pretreatment of biomass.
PMID- 26549774
TI - Proximate causes of the variation of the human sex ratio at birth.
AB - There is evidence that the human sex ratio (proportion males at birth) is the
result of two processes. First, the sexes of zygotes (from which the primary sex
ratio would be calculated) are thought to be partially controlled by the hormone
levels of both parents around the time of conception. Second, this primary sex
ratio is apparently modified downwards by male-sex-selective spontaneous abortion
caused by high levels of maternal stress-induced adrenal androgens, thus yielding
the sex ratio at birth (the secondary sex ratio). Since maternal stress is one
cause of spontaneous abortion (and of other forms of reproductive sub
optimality), and since some forms of pharmacological treatment of maternal stress
are deleterious to the foetus, best practice would suggest non-pharmacological
treatment (e.g. psychotherapy, hypnosis or massage) for pregnant women who have a
previous history of spontaneous abortion, preterm birth or low-birth-weight
infants.
PMID- 26549775
TI - Comparison of human gut microbiota in control subjects and patients with
colorectal carcinoma in adenoma: Terminal restriction fragment length
polymorphism and next-generation sequencing analyses.
AB - Colorectal cancer (CRC) is the third leading cause of cancer-related deaths in
Japan. The etiology of CRC has been linked to numerous factors including genetic
mutation, diet, life style, inflammation, and recently, the gut microbiota.
However, CRC-associated gut microbiota is still largely unexamined. This study
used terminal restriction fragment length polymorphism (T-RFLP) and next
generation sequencing (NGS) to analyze and compare gut microbiota of Japanese
control subjects and Japanese patients with carcinoma in adenoma. Stool samples
were collected from 49 control subjects, 50 patients with colon adenoma, and 9
patients with colorectal cancer (3/9 with invasive cancer and 6/9 with carcinoma
in adenoma) immediately before colonoscopy; DNA was extracted from each stool
sample. Based on T-RFLP analysis, 12 subjects (six control and six carcinoma in
adenoma subjects) were selected; their samples were used for NGS and species
level analysis. T-RFLP analysis showed no significant differences in bacterial
population between control, adenoma and cancer groups. However, NGS revealed that
i), control and carcinoma in adenoma subjects had different gut microbiota
compositions, ii), one bacterial genus (Slackia) was significantly associated
with the control group and four bacterial genera (Actinomyces, Atopobium,
Fusobacterium, and Haemophilus) were significantly associated with the carcinoma
in-adenoma group, and iii), several bacterial species were significantly
associated with each type (control: Eubacterium coprostanoligens; carcinoma in
adenoma: Actinomyces odontolyticus, Bacteroides fragiles, Clostridium nexile,
Fusobacterium varium, Haemophilus parainfluenzae, Prevotella stercorea,
Streptococcus gordonii, and Veillonella dispar). Gut microbial properties differ
between control subjects and carcinoma-in-adenoma patients in this Japanese
population, suggesting that gut microbiota is related to CRC prevention and
development.
PMID- 26549776
TI - Perception et receptivite des proches-aidants a l'egard de la videosurveillance
intelligente pour la detection des chutes des aines a domicile.
AB - To address the issue of falls, which are increasing as the population ages, an
intelligent video-monitoring system is being developed. The aim of the study is
to explore caregivers' perceptions of and receptiveness to a prototype of this
fall detection system. A cross-sectional mixed-method study was carried out with
individual interviews of 18 caregivers. Statistical frequencies and content
analysis were conducted (SPSS and N'Vivo). The results show that most
participants (n = 15/18) liked the intelligent video-monitoring system and were
willing to use it. They would worry less if they could be alerted if a care
recipient fell, but they were concerned about privacy and cost. Participants had
a positive perception of the system and expressed their wishes regarding the kind
of alert and the person to contact in case of a fall.
PMID- 26549777
TI - RADBIOMOD: A simple program for utilising biological modelling in radiotherapy
plan evaluation.
AB - PURPOSE: Radiotherapy plan evaluation is currently performed by assessing
physical parameters, which has many limitations. Biological modelling can
potentially allow plan evaluation that is more reflective of clinical outcomes,
however further research is required into this field before it can be used
clinically. METHODS: A simple program, RADBIOMOD, has been developed using Visual
Basic for Applications (VBA) for Microsoft Excel that incorporates multiple
different biological models for radiotherapy plan evaluation, including modified
Poisson tumour control probability (TCP), modified Zaider-Minerbo TCP, Lyman
Kutcher-Burman normal tissue complication probability (NTCP), equivalent uniform
dose (EUD), EUD-based TCP, EUD-based NTCP, and uncomplicated tumour control
probability (UTCP). RADBIOMOD was compared to existing biological modelling
calculators for 15 sample cases. RESULTS: Comparing RADBIOMOD to the existing
biological modelling calculators, all models tested had mean absolute errors and
root mean square errors less than 1%. CONCLUSIONS: RADBIOMOD produces results
that are non-significantly different from existing biological modelling
calculators for the models tested. It is hoped that this freely available, user
friendly program will aid future research into biological modelling.
PMID- 26549778
TI - A prospective evaluation of the anterior horn of the lateral meniscus as a
landmark for tibial tunnel placement in anterior cruciate ligament (ACL)
reconstruction.
AB - BACKGROUND: The goal of this study was to prospectively evaluate the accuracy and
consistency of the anterior horn of the lateral meniscus as a landmark in
achieving the desired tibial tunnel location during primary anterior cruciate
ligament (ACL) reconstruction. METHODS: One hundred consecutive adult patients
undergoing primary ACL reconstruction were enrolled in the study. One sports
fellowship trained surgeon performed all ACL reconstructions using independent
tunnel drilling with an accessory anteromedial portal for the femoral tunnel. All
guide pins for the tibial tunnel were placed using a 55-degree guide using the
posterior border of the anterior horn of the lateral meniscus as a landmark.
Following pin placement, a true lateral fluoroscopic image was obtained. These
were digitally analyzed to measure the location of the pin along the length of
the tibial plateau. RESULTS: The average anteroposterior (A-P) distance achieved
using the posterior border of the anterior horn of the lateral meniscus as a
landmark for tibial tunnel placement was 37.0%+/-5.2% (mean+/-standard deviation)
[range 26.4%-49.2%]. 66% of tibial tunnels were located between 30.0% and 39.9%
of the A-P tibial distance. Only 18% of tibial tunnels localized between 40.0%
and 44.9%, the area of the anatomic footprint described by Staubli and Rauschning
[9] 16% of patients were significant outliers, with tunnels localizing to 25.0%
29.9% (6 patients) or 45.0%-49.9% (10 patients). CONCLUSIONS: Use of the
posterior border of the anterior horn of the lateral meniscus as a landmark for
tibial tunnel placement during anatomic ACL reconstruction yields an inconsistent
tunnel location. LEVEL OF EVIDENCE: II, Prospective study.
PMID- 26549779
TI - Differences in developmental strategies between long-settled and invasion-front
populations of the cane toad in Australia.
AB - Phenotypic plasticity can enhance a species' ability to persist in a new and
stressful environment, so that reaction norms are expected to evolve as organisms
encounter novel environments. Biological invasions provide a robust system to
investigate such changes. We measured the rates of early growth and development
in tadpoles of invasive cane toads (Rhinella marina) in Australia, from a range
of locations and at different larval densities. Populations in long-colonized
areas have had the opportunity to adapt to local conditions, whereas at the
expanding range edge, the invader is likely to encounter challenges that are both
novel and unpredictable. We thus expected invasion-vanguard populations to
exhibit less phenotypic plasticity than range-core populations. Compared to
clutches from long-colonized areas, clutches from the invasion front were indeed
less plastic (i.e. rates of larval growth and development were less sensitive to
density). In contrast, those rates were highly variable in clutches from the
invasion front, even among siblings from the same clutch under standard
conditions. Clutches with highly variable rates of growth and development under
constant conditions had lower phenotypic plasticity, suggesting a trade-off
between these two strategies. Although these results reveal a strong pattern,
further investigation is needed to determine whether these different
developmental strategies are adaptive (i.e. adaptive phenotypic plasticity vs.
bet-hedging) or instead are driven by geographic variation in genetic quality or
parental effects.
PMID- 26549780
TI - Comorbidities of epilepsy: current concepts and future perspectives.
AB - The burden of comorbidity in people with epilepsy is high. Several diseases,
including depression, anxiety, dementia, migraine, heart disease, peptic ulcers,
and arthritis are up to eight times more common in people with epilepsy than in
the general population. Several mechanisms explain how epilepsy and comorbidities
are associated, including shared risk factors and bidirectional relations. There
is a pressing need for new and validated screening instruments and guidelines to
help with the early detection and treatment of comorbid conditions. Preliminary
evidence suggests that some conditions, such as depression and migraine,
negatively affect seizure outcome and quality of life. Further investigation is
needed to explore these relations and the effects of targeted interventions.
Future advances in the investigation of the comorbidities of epilepsy will
strengthen our understanding of epilepsy and could play an important part in
stratification for genetic studies.
PMID- 26549781
TI - MRI quantifies neuromuscular disease progression.
PMID- 26549782
TI - MRI biomarker assessment of neuromuscular disease progression: a prospective
observational cohort study.
AB - BACKGROUND: A substantial impediment to progress in trials of new therapies in
neuromuscular disorders is the absence of responsive outcome measures that
correlate with patient functional deficits and are sensitive to early disease
processes. Irrespective of the primary molecular defect, neuromuscular disorder
pathological processes include disturbance of intramuscular water distribution
followed by intramuscular fat accumulation, both quantifiable by MRI. In
pathologically distinct neuromuscular disorders, we aimed to determine the
comparative responsiveness of MRI outcome measures over 1 year, the validity of
MRI outcome measures by cross-sectional correlation against functionally relevant
clinical measures, and the sensitivity of specific MRI indices to early muscle
water changes before intramuscular fat accumulation beyond the healthy control
range. METHODS: We did a prospective observational cohort study of patients with
either Charcot-Marie-Tooth disease 1A or inclusion body myositis who were
attending the inherited neuropathy or muscle clinics at the Medical Research
Council (MRC) Centre for Neuromuscular Diseases, National Hospital for Neurology
and Neurosurgery, London, UK. Genetic confirmation of the chromosome 17p11.2
duplication was required for Charcot-Marie-Tooth disease 1A, and classification
as pathologically or clinically definite by MRC criteria was required for
inclusion body myositis. Exclusion criteria were concomitant diseases and safety
related MRI contraindications. Healthy age-matched and sex-matched controls were
also recruited. Assessments were done at baseline and 1 year. The MRI outcomes
fat fraction, transverse relaxation time (T2), and magnetisation transfer ratio
(MTR)-were analysed during the 12-month follow-up, by measuring correlation with
functionally relevant clinical measures, and for T2 and MTR, sensitivity in
muscles with fat fraction less than the 95th percentile of the control group.
FINDINGS: Between Jan 19, 2010, and July 7, 2011, we recruited 20 patients with
Charcot-Marie-Tooth disease 1A, 20 patients with inclusion body myositis, and 29
healthy controls (allocated to one or both of the 20-participant matched-control
subgroups). Whole muscle fat fraction increased significantly during the 12-month
follow-up at calf level (mean absolute change 1.2%, 95% CI 0.5-1.9, p=0.002) but
not thigh level (0.2%, -0.2 to 0.6, p=0.38) in patients with Charcot-Marie-Tooth
disease 1A, and at calf level (2.6%, 1.3-4.0, p=0.002) and thigh level (3.3%, 1.8
4.9, p=0.0007) in patients with inclusion body myositis. Fat fraction correlated
with the lower limb components of the inclusion body myositis functional rating
score (rho=-0.64, p=0.002) and the Charcot-Marie-Tooth examination score
(rho=0.63, p=0.003). Longitudinal T2 and MTR changed consistently with fat
fraction but more variably. In muscles with a fat fraction lower than the control
group 95th percentile, T2 was increased in patients compared with controls
(regression coefficients: inclusion body myositis thigh 4.0 ms [SE 0.5], calf 3.5
ms [0.6]; Charcot-Marie-Tooth 1A thigh 1.0 ms [0.3], calf 2.0 ms [0.3]) and MTR
reduced compared with controls (inclusion body myositis thigh -1.5 percentage
units [pu; 0.2], calf -1.1 pu [0.2]; Charcot-Marie-Tooth 1A thigh -0.3 pu [0.1],
calf -0.7 pu [0.1]). INTERPRETATION: MRI outcome measures can monitor
intramuscular fat accumulation with high responsiveness, show validity by
correlation with conventional functional measures, and detect muscle water
changes preceding marked intramuscular fat accumulation. Confirmation of our
results in further cohorts with these and other muscle-wasting disorders would
suggest that MRI biomarkers might prove valuable in experimental trials. FUNDING:
Medical Research Council UK.
PMID- 26549784
TI - Superinfection exclusion is absent during acute Junin virus infection of Vero and
A549 cells.
AB - Many viruses have evolved strategies of so-called "superinfection exclusion" to
prevent re-infection of a cell that the same virus has already infected. Although
Old World arenavirus infection results in down-regulation of its viral receptor
and thus superinfection exclusion, whether New World arenaviruses have evolved
such a mechanism remains unclear. Here we show that acute infection by the New
World Junin virus (JUNV) failed to down-regulate the transferrin receptor and did
not induce superinfection exclusion. We observed that Vero cells infected by a
first round of JUNV (Candid1 strain) preserve an ability to internalize new
incoming JUNV particles that is comparable to that of non-infected cells.
Moreover, we developed a dual infection assay with the wild-type Candid1 JUNV and
a recombinant JUNV-GFP virus to discriminate between first and second infections
at the transcriptional and translational levels. We found that Vero and A549
cells already infected by JUNV were fully competent to transcribe viral RNA from
a second round of infection. Furthermore, flow cytometry analysis of viral
protein expression indicated that viral translation was normal, regardless of
whether cells were previously infected or not. We conclude that in acutely
infected cells, Junin virus lacks a superinfection exclusion mechanism.
PMID- 26549785
TI - Faster reduction in hyperinflation and improvement in lung ventilation
inhomogeneity promoted by aclidinium compared to glycopyrronium in severe stable
COPD patients. A randomized crossover study.
AB - Standard spyrometric assessment in chronic obstructive pulmonary disease (COPD)
only evaluates bronchial obstruction. However, airflow limitation and
hyperinflation are the main pathophysiological factors responsible for dyspnoea
and reduced exercise tolerance in patients with COPD. This study evaluated the
effects of aclidinium bromide 400 MUg and glycopyrronium bromide 50 MUg on these
parameters. Patients with stable severe/very severe COPD were randomized in this
double-blind, double-dummy, crossover, Phase IV study. Patients received single
doses of each drug on separate days. Primary endpoints were changes in residual
volume (RV) and intra-thoracic gas volume (ITGV), assessed by full-body
plethysmography. Other endpoints included changes variations in lung ventilation
inhomogeneity (Phase III slope of single-breath nitrogen washout test, SBN2),
dyspnoea visual analogue scale, and pulmonary specific total airway resistances.
Assessments were performed at baseline and 5, 15, 30, 60, and 180 min post
administration. Thirty-seven patients were randomized (31 male; mean age 71
years). Aclidinium and glycopyrronium significantly improved ITGV versus baseline
at all-time points (p < 0.05). Significant improvements in RV were observed after
5 min with aclidinium and after 60 min with glycopyrronium. RV improvements were
significantly greater with aclidinium than glycopyrronium from 5 to 60 min post
administration (p < 0.05). Both treatments improved dyspnoea versus baseline at
all-time points (p < 0.05). Aclidinium significantly improved ventilation
inhomogeneity versus baseline at all-time points; no significant changes were
observed for glycopyrronium. For the first time two long-acting muscarinic
antagonists have been compared in acute conditions with body plethysmography and
SBN2 test. We demonstrated that both aclidinium and glycopyrronium significantly
reduce hyperinflation and dyspnoea in severe and very severe COPD patients.
Aclidinium however promoted a faster reduction in RV and was the only able to
reduce lung ventilation inhomogeneity. Trial Registration numbers available on
Clinicaltrials.gov: NCT02181023.
PMID- 26549787
TI - Novel presenilin 1 mutation (Ile408Thr) in an Italian family with late-onset
Alzheimer's disease.
AB - Alzheimer's disease (AD) is a neurodegenerative disease affecting over 20 million
people worldwide, mainly adult subjects in advanced age. Over 240 different fully
penetrant autosomal dominant mutations in 532 families around the world have been
described in three genes [i.e., amyloid precursor protein (APP), and presenilins
(PSEN1 and PSEN2)] causing 50% of all Familial AD. We report a new mutation
(p.Ile408Thr, c. 1223T>C) in the PSEN1 gene in one autosomal dominant Late Onset
AD patient. The genetic variation occurred in a conserved domain of the protein
and was present in the proband and in the younger sister who is likely to be
prodromal AD. Thus, we suggest that this variant will have probably a pathogenic
effect, hypothesizing a possible key role of this new mutation in the
pathogenesis of Alzheimer's disease for this family.
PMID- 26549786
TI - Role of the dorsal medulla in the neurogenesis of airway protection.
AB - The dorsal medulla encompassing the nucleus of the tractus solitarius (NTS) and
surrounding reticular formation (RF) has an important role in processing sensory
information from the upper and lower airways for the generation and control of
airway protective behaviors. These behaviors, such as cough and swallow,
historically have been studied in isolation. However, recent information
indicates that these and other airway protective behaviors are coordinated to
minimize risk of aspiration. The dorsal medullary neural circuits that include
the NTS are responsible for rhythmogenesis for repetitive swallowing, but
previous models have assigned a role for this portion of the network for coughing
that is restricted to monosynaptic sensory processing. We propose a more complex
NTS/RF circuit that controls expression of swallowing and coughing and the
coordination of these behaviors. The proposed circuit is supported by recordings
of activity patterns of selected neural elements in vivo and simulations of a
computational model of the brainstem circuit for breathing, coughing, and
swallowing. This circuit includes separate rhythmic sub-circuits for all three
behaviors. The revised NTS/RF circuit can account for the mode of action of
antitussive drugs on the cough motor pattern, as well as the unique coordination
of cough and swallow by a meta-behavioral control system for airway protection.
PMID- 26549788
TI - Aging and the complexity of center of pressure in static and dynamic postural
tasks.
AB - The experiment was set-up to investigate the hypothesis that there is an age
related bi-directional change in complexity of the center of pressure (COP)
depending on postural task demands. Healthy young (19-28 year) and old (65-74
year) adults were instructed to match, in two 25s trials for each condition, the
COP with constant and sine-wave targets at 2 levels (5 and 40%) of the maximal
COP distance (MCD). The root mean square error of COP increased with aging in
both MCD levels and tasks. Multi-scale entropy (MSE) and detrended fluctuation
analysis showed that the complexity of COP in the old adults was lower compared
to the young in the constant target, whereas it was higher in the sine-wave
target. The task dependent age-related bi-directional change of COP complexity is
counter to the hypothesis of a universal loss of complexity with aging but shows
that there is loss of adaptive change in complexity driven by the COP dynamics.
PMID- 26549789
TI - Dual-energy computed tomography for detection of coronary artery disease.
AB - Recent technological advances in computed tomography (CT) technology have
fulfilled the prerequisites for the cardiac application of dual-energy CT (DECT)
imaging. By exploiting the unique characteristics of materials when exposed to
two different x-ray energies, DECT holds great promise for the diagnosis and
management of coronary artery disease. It allows for the assessment of myocardial
perfusion to discern the hemodynamic significance of coronary disease and
possesses high accuracy for the detection and characterization of coronary
plaques, while facilitating reductions in radiation dose. As such, DECT enabled
cardiac CT to advance beyond the mere detection of coronary stenosis expanding
its role in the evaluation and management of coronary atherosclerosis.
PMID- 26549790
TI - Prediction of amino acid positions specific for functional groups in a protein
family based on local sequence similarity.
AB - The exchange of single amino acid residue in protein can substantially affect the
specificity of molecular recognition. Many protein families can be divided into
the groups based on specificity to recognized ligands. Prediction of group
discriminating residues within the certain family is extremely necessary for
theoretical studies, enzyme engineering, drug design, and so on. The most
existing methods use the multiple sequence alignment. They have the limitations
in prediction accuracy due to the family sequence divergence and ligand-based
grouping. We developed a new method SPrOS (Specificity Projection On Sequence)
for estimating the specificity of residues to user-defined groups. SPrOS compares
the sequence segments from the test protein and training proteins. Contrary to
other segment-comparison approaches extracting the string motifs, SPrOS
calculates the scores for single positions by the similarity of their
surroundings. The method was evaluated on the simulated sequences and real
protein families. The high-prediction accuracy was achieved for simulated
sequences, in which SPrOS detected specific positions not predicted with the
alignment-based method. For bacterial transcription factors (LacI/GalR) clearly
divided into functional groups, the predicted specific residues corresponded to
the published experimental data. In a more complicated case of protein kinases
classified by inhibitor specificity, the positions predicted with high
significance were located in ligand-binding areas. As the ligand specificity is
not necessary coincided with phylogeny, evolutionary-coupled mutations could
disturb the detection of ligand-specific residues. Excluding proximate homologs
of the test protein kinase from the training set, we improved the prediction of
the ligand-specific residues. The SPrOS is available at
http://www.way2drug.com/spros/
PMID- 26549791
TI - Evaluating Shortened Versions of the AUDIT as Screeners for Alcohol Use Problems
in a General Population Study.
AB - BACKGROUND: Efficient alcohol screening measures are important to prevent or
treat alcohol use disorders (AUDs). OBJECTIVES: We studied different versions of
the Alcohol Use Disorders Identification Test (AUDIT) comparing their performance
to the full AUDIT and an AUD measure as screeners for alcohol use problems in
Goa, India. METHODS: Data from a general population study on 743 male drinkers
aged 18-49 years are reported. Drinkers completed the AUDIT and an AUD measure.
We created shorter versions of the AUDIT by (a) collapsing AUDIT item responses
into three and two categories and (b) deleting two items with the lowest factor
loadings. Each version was evaluated using factor, reliability and validity, and
differential item functioning (DIF) analysis by age, education, standard of
living index (SLI), and area of residence. RESULTS: A single factor solution was
found for each version with lower factor loadings for items on guilt and concern.
There were no significant differences among the different AUDIT versions in
predicting AUD. No significant DIF was found by education, SLI or area of
residence. DIF was observed for the alcohol frequency item by age.
CONCLUSIONS/IMPORTANCE: The AUDIT may be used with dichotomized response options
without loss of predictive validity. A shortened eight-item dichotomized scale
can adequately screen for AUDs in Goa when brevity is of paramount importance,
although with lower predictive validity. Although the frequency item was endorsed
more by older men, there is no evidence that the AUDIT items perform differently
in other groups of male drinkers in Goa.
PMID- 26549792
TI - Assessment of DNA double-strand breaks induced by intravascular iodinated
contrast media following in vitro irradiation and in vivo, during paediatric
cardiac catheterization.
AB - Paediatric cardiac catheterizations may result in the administration of
substantial amounts of iodinated contrast media and ionizing radiation. The aim
of this work was to investigate the effect of iodinated contrast media in
combination with in vitro and in vivo X-ray radiation on lymphocyte DNA. Six
concentrations of iodine (15, 17.5, 30, 35, 45, and 52.5 mg of iodine per mL
blood) represented volumes of iodinated contrast media used in the clinical
setting. Blood obtained from healthy volunteers was mixed with iodinated contrast
media and exposed to radiation doses commonly used in paediatric cardiac
catheterizations (0 mGy, 70 mGy, 140 mGy, 250 mGy and 450 mGy). Control samples
contained no iodine. For in vivo experimentation, pre and post blood samples were
collected from children undergoing cardiac catheterization, receiving iodine
concentrations of up to 51 mg of iodine per mL blood and radiation doses of up to
400 mGy. Fluorescence microscopy was performed to assess gammaH2AX-foci
induction, which corresponded to the number of DNA double-strand breaks. The
presence of iodine in vitro resulted in significant increases of DNA double
strand breaks beyond that induced by radiation for >= 17.5 mg/mL iodine to blood.
The in vivo effects of contrast media on children undergoing cardiac
catheterization resulted in a 19% increase in DNA double-strand breaks in
children receiving an average concentration of 19 mg/mL iodine to blood. A larger
investigation is required to provide further information of the potential benefit
of lowering the amount of iodinated contrast media received during X-ray
radiation investigations.
PMID- 26549793
TI - Anhydrous Amorphous Calcium Oxalate Nanoparticles from Ionic Liquids: Stable
Crystallization Intermediates in the Formation of Whewellite.
AB - The mechanisms by which amorphous intermediates transform into crystalline
materials are not well understood. To test the viability and the limits of the
classical crystallization, new model systems for crystallization are needed. With
a view to elucidating the formation of an amorphous precursor and its subsequent
crystallization, the crystallization of calcium oxalate, a biomineral widely
occurring in plants, is investigated. Amorphous calcium oxalate (ACO)
precipitated from an aqueous solution is described as a hydrated metastable
phase, as often observed during low-temperature inorganic synthesis and
biomineralization. In the presence of water, ACO rapidly transforms into hydrated
whewellite (monohydrate, CaC2 O4 ?H2 O, COM). The problem of fast crystallization
kinetics is circumvented by synthesizing anhydrous ACO from a pure ionic liquid
(IL-ACO) for the first time. IL-ACO is stable in the absence of water at ambient
temperature. It is obtained as well-defined, non-agglomerated particles with
diameters of 15-20 nm. When exposed to water, it crystallizes to give (hydrated)
COM through a dissolution/recrystallization mechanism.
PMID- 26549795
TI - Prevalence of overweight/obesity and fitness level in preschool children from the
north compared with the south of Europe: an exploration with two countries.
AB - BACKGROUND: North-south differences in the prevalence of obesity and fitness
levels have been found in European adolescents, yet it is unknown if such
differences already exist in very young children. OBJECTIVES: This study aims to
compare the prevalence of overweight/obesity and fitness levels in preschool
children aged 4 years from Sweden (north of Europe) and Spain (south of Europe).
METHODS: The sample consisted of 315 Swedish and 128 Spanish preschoolers.
Anthropometry (weight, height, waist circumference) and fitness (strength, speed
agility, balance and cardiorespiratory fitness) were assessed. Analysis of
covariance adjusted for age, sex and height/body mass index (BMI) was used.
RESULTS: Preschool children from Sweden had lower prevalence of
overweight/obesity than their peers from Spain (World Obesity Federation, mean
difference, MD = -9%, P = 0.010; World Health Organization, MD = -11%, P =
0.011). Concerning fitness, preschoolers from Spain were more fit in terms of
upper-muscular strength (MD = +0.4 kg, P = 0.010), speed-agility (MD = -1.9 s, P
= 0.001), balance (MD = +4.0 s, P = 0.001) and cardiorespiratory fitness (MD =
boys = +6.6 laps, girls = +2.3 laps; P < 0.001 for all), yet they had worse lower
muscular strength (MD = -7.1, P <= 0.001) than those from Sweden. Differences in
upper-muscular strength were largely explained by differences in BMI, and
differences in cardiorespiratory fitness should be interpreted cautiously due to
some methodological deviations. CONCLUSIONS: These findings suggest that a higher
prevalence of overweight/obesity in Spain compared with Sweden is present already
at early childhood, while differences in physical fitness components showed mixed
findings.
PMID- 26549794
TI - Revisiting the reference genomes of human pathogenic Cryptosporidium species:
reannotation of C. parvum Iowa and a new C. hominis reference.
AB - Cryptosporidium parvum and C. hominis are the most relevant species of this genus
for human health. Both cause a self-limiting diarrhea in immunocompetent
individuals, but cause potentially life-threatening disease in the
immunocompromised. Despite the importance of these pathogens, only one reference
genome of each has been analyzed and published. These two reference genomes were
sequenced using automated capillary sequencing; as of yet, no next generation
sequencing technology has been applied to improve their assemblies and
annotations. For C. hominis, the main challenge that prevents a larger number of
genomes to be sequenced is its resistance to axenic culture. In the present
study, we employed next generation technology to analyse the genomic DNA and RNA
to generate a new reference genome sequence of a C. hominis strain isolated
directly from human stool and a new genome annotation of the C. parvum Iowa
reference genome.
PMID- 26549796
TI - Profile of Institutional Ethics Committees in Dental Teaching Institutions in
Kerala, India.
AB - OBJECTIVES: To assess the existence, structure, and functioning of Institutional
Ethics Committees (IECs) in dental teaching institutions in Kerala. METHODOLOGY:
A cross-sectional questionnaire-based survey was conducted by personally
approaching Heads of Institutions/Ethics Committee (EC) in-charge of all dental
colleges (23) in Kerala. The validated questionnaire consisted of two parts. The
first part pertained to details of institutions, and the second part assessed the
structure and functioning of the IEC. The data obtained was tabulated and
analyzed using descriptive statistics. RESULTS: Of the participating 17 colleges,
13 colleges had a functioning IEC. Only four of these IECs were accredited to a
central agency. Only one among the 12 colleges completely adhered to recommended
structure. Regarding the functioning of IECs, 69% of the IECs had neither a
separate application form for ethical review of proposals nor a proforma for its
evaluation. On average, more than ten proposals were reviewed in a single EC
meeting in 54% of the colleges. Nearly 40% of the IECs had no representation of a
lay person. CONCLUSION: The absence of IEC in four colleges and non-accreditation
to a central agency was a matter of concern. Enforced accreditation is the need
of the hour to ensure ethical protection to human participants.
PMID- 26549797
TI - gammadelta T cells support gut Ag-reactive colitogenic effector T-cell generation
by enhancing Ag presentation by CD11b(+) DCs in the mesenteric LN.
AB - T cells expressing the gammadelta TCR are dominant T-cell subsets in the
intestinal immune system. We previously demonstrated that gammadelta T cells play
important roles in augmenting Th17-type colitogenic immune responses in a T-cell
induced colitic inflammation model. However, its underlying mechanism remains
poorly understood. In this study, an in vitro coculture system using effector T
cells enriched in gut Ag-reactive cells was employed as a readout tool to search
for gut Ag presenting APCs. We found that the presence of gammadelta T cells
dramatically enhances gut Ag presentation within the mLN in mice. Gut Ag
presentation by CD11b(+) DC subsets was particularly controlled by gammadelta T
cells. Interestingly, gammadelta T-cell entry to the lymph nodes was essential to
improve the Ag presentation. Therefore, our results highlight that gammadelta T
cells play a previously unrecognized role to support colitogenic immunity by
regulating gut Ag presentation in the draining LN.
PMID- 26549798
TI - Does Spatial Access to Mammography Have an Effect on Early Stage of Breast Cancer
Diagnosis? A county-level Analysis for New York State.
PMID- 26549799
TI - Prognostic Impact and Late Evolution of Untreated Moderate (2/4+) Functional
Tricuspid Regurgitation in Patients Undergoing Aortic Valve Replacement.
AB - OBJECTIVES: The aim of the present study was to evaluate the prognostic impact
and late evolution of associated tricuspid regurgitation (TR) 2/4+ after aortic
valve replacement (AVR). METHODS: We evaluated 61 patients who underwent AVR
between 2003 and 2012 (35 for aortic stenosis [AS], 26 for aortic regurgitation
[AR]) with associated untreated TR 2/4+. Patients with concomitant mitral disease
were excluded. Median follow-up was 3.2 years. Serial echocardiographic and
clinical data were collected and analyzed. RESULTS: Mean age was 65 +/- 13 years;
26% of the patients were in NYHA class III-IV. Left ventricular ejection fraction
was 53 +/- 11%. Comorbidity included: chronic obstructive pulmonary disease in
5%, chronic renal failure in 13%, coronary artery disease in 20%, history of
stroke/TIA in 8%. Thirty-day mortality was 1.6%. Overall actuarial survival was
83 +/- 6% at 6.5 years, with a freedom from cardiac death of 90 +/- 5%. Freedom
from TR >=3+ was 86 +/- 6% at 6.5 years. At last follow-up, 82% of the patients
had TR 0-1/4+, 9% had TR 2/4+, 4.5% had TR 3/4+ and 4.5% had TR 4/4+. Occurrence
of TR >= 3+ at follow-up was associated with increased cardiac mortality (HR
10.5; p = 0.009). CONCLUSIONS: preoperative untreated TR 2/4+ improves or remains
stable in the majority of patients. The poor outcomes associated with TR > 2+
suggest the need for better methods to identify subjects at risk for TR
progression.
PMID- 26549802
TI - Validation of modeled pharmacoeconomic claims in formulary submissions.
AB - Modeled or simulated claims for costs and outcomes are a key element in formulary
submissions and comparative assessments of drug products and devices; however,
all too often these claims are presented in a form that is either unverifiable or
potentially verifiable but in a time frame that is of no practical use to
formulary committees and others who may be committed to ongoing disease-area and
therapeutic-class reviews. On the assumption that formulary committees are
interested in testable predictions for product performance in target populations
and ongoing disease area and therapeutic reviews, the methodological standards
that should be applied are those that are accepted in the natural sciences.
Claims should be presented in a form that is amenable to falsification. If not,
they have no scientific standing. Certainly one can follow ISPOR-SMDM standards
for validating the assumptions underpinning a model or simulation. There is
clearly an important role for simulations as an input to policy initiatives and
developing claims for healthcare interventions and testable hypotheses; however,
one would not evaluate such claims on the realism or otherwise of the model. The
only standard is one of the model's ability to predict outcomes successfully in a
time frame that is practical and useful. No other standard is acceptable. This
sets the stage for an active research agenda.
PMID- 26549800
TI - Molecular mechanisms regulating NLRP3 inflammasome activation.
AB - Inflammasomes are multi-protein signaling complexes that trigger the activation
of inflammatory caspases and the maturation of interleukin-1beta. Among various
inflammasome complexes, the NLRP3 inflammasome is best characterized and has been
linked with various human autoinflammatory and autoimmune diseases. Thus, the
NLRP3 inflammasome may be a promising target for anti-inflammatory therapies. In
this review, we summarize the current understanding of the mechanisms by which
the NLRP3 inflammasome is activated in the cytosol. We also describe the binding
partners of NLRP3 inflammasome complexes activating or inhibiting the
inflammasome assembly. Our knowledge of the mechanisms regulating NLRP3
inflammasome signaling and how these influence inflammatory responses offers
further insight into potential therapeutic strategies to treat inflammatory
diseases associated with dysregulation of the NLRP3 inflammasome.
PMID- 26549801
TI - Magnesium ion influx reduces neuroinflammation in Abeta precursor
protein/Presenilin 1 transgenic mice by suppressing the expression of interleukin
1beta.
AB - Alzheimer's disease (AD) has been associated with magnesium ion (Mg2+) deficits
and interleukin-1beta (IL-1beta) elevations in the serum or brains of AD
patients. However, the mechanisms regulating IL-1beta expression during Mg2+
dyshomeostasis in AD remain unknown. We herein studied the mechanism of IL-1beta
reduction using a recently developed compound, magnesium-L-threonate (MgT). Using
human glioblastoma A172 and mouse brain D1A glial cells as an in vitro model
system, we delineated the signaling pathways by which MgT suppressed the
expression of IL-1beta in glial cells. In detail, we found that MgT incubation
stimulated the activity of extracellular signal-regulated protein kinases 1 and 2
(ERK1/2) and peroxisome proliferator-activated receptor gamma (PPARgamma)
signaling pathways by phosphorylation, which resulted in IL-1beta suppression.
Simultaneous inhibition of the phosphorylation of ERK1/2 and PPARgamma induced IL
1beta upregulation in MgT-stimulated glial cells. In accordance with our in vitro
data, the intracerebroventricular (i.c.v) injection of MgT into the ventricles of
APP/PS1 transgenic mice and treatment of Abeta precursor protein (APP)/PS1 brain
slices suppressed the mRNA and protein expression of IL-1beta. These in vivo
observations were further supported by the oral administration of MgT for 5
months. Importantly, Mg2+ influx into the ventricles of the mice blocked the
effects of IL-1beta or amyloid beta-protein oligomers in the cerebrospinal fluid.
This reduced the stimulation of IL-1beta expression in the cerebral cortex of
APP/PS1 transgenic mice, which potentially contributed to the inhibition of
neuroinflammation.
PMID- 26549803
TI - Gingival crevicular fluid vascular endothelial cell growth factor and platelet
derived growth factor-BB release profile following the use of perforated barrier
membranes during treatment of intrabony defects: a randomized clinical trial.
AB - BACKGROUND AND OBJECTIVE: Perforated barrier membranes open channels between the
suprabony and intrabony compartments of the defect, which could allow for more
physiologic cellular interactions between different components of the
periodontium during guided tissue regeneration surgery. To test this assumption,
this study was designed to evaluate levels of vascular endothelial cell growth
factor (VEGF) and platelet-derived growth factor (PDGF)-BB in gingival crevicular
fluid during the early stages of healing of localized intrabony defects treated
with perforated membranes (PMs) or non-PMs, as compared with open flap
debridement. MATERIAL AND METHODS: Thirty non-smoking patients with severe
chronic periodontitis participated in this prospective, randomized and single
blinded trial. Each patient contributed one interproximal defect that was
randomly assigned to the PM group (n = 10), occlusive membrane (OM) group (n =
10) or open flap debridement (OFD) group (n = 10). Plaque index, gingival index,
probing depth, clinical attachment level and the intrabony depth of the defect
were measured at baseline and reassessed at 6 and 9 mo after therapy. Gingival
crevicular fluid samples were collected on days 1, 3, 7, 14, 21 and 30 d after
therapy for the changes in VEGF and PDGF-BB levels. RESULTS: During the early
stages of healing (1, 3 and 7 d), the mean VEGF and PDGF-BB concentrations at
sites treated with PMs and OFD peaked with a statistically significant difference
as compared with the OM-treated group. VEGF and PDGF-BB levels at sites treated
with PMs and OFD were not statistically different. Growth factor levels decreased
sharply in the samples obtained at days 21 and 30 with non-significant
differences between the three groups. Nine months after therapy, the PM-treated
group showed a statistically significant improvement in probing depth, clinical
attachment level and intrabony defect compared to the OM and OFD groups.
CONCLUSIONS: Within the limits of the present study, one can conclude that PM
coverage of periodontal defects is associated with initial gingival crevicular
fluid growth factor upregulation that could improve the clinical outcomes of
guided tissue regeneration surgery.
PMID- 26549804
TI - Relation of infant motor development with nonverbal intelligence, language
comprehension and neuropsychological functioning in childhood: a population-based
study.
AB - Within a population-based study of 3356 children, we investigated whether infant
neuromotor development was associated with cognition in early childhood.
Neuromotor development was examined with an adapted version of Touwen's
Neurodevelopmental Examination between 9 and 20 weeks. Parents rated their
children's executive functioning at 4 years. At age 6 years, children performed
intelligence and language comprehension tests, using Dutch test batteries. At age
6-9 years, neuropsychological functioning was assessed in 486 children using the
validated NEPSY-II-NL test battery. We showed that less optimal neurodevelopment
in infancy may predict poor mental rotation, immediate memory, shifting, and
planning; but not nonverbal intelligence or language comprehension.
PMID- 26549805
TI - CSIOVDB: a microarray gene expression database of epithelial ovarian cancer
subtype.
AB - Databases pertaining to various diseases provide valuable resources on particular
genes of interest but lack the molecular subtype and epithelial-mesenchymal
transition status. CSIOVDB is a transcriptomic microarray database of 3,431 human
ovarian cancers, including carcinoma of the ovary, fallopian tube, and
peritoneum, and metastasis to the ovary. The database also comprises stroma and
ovarian surface epithelium from normal ovary tissue, as well as over 400 early
stage ovarian cancers. This unique database presents the molecular subtype and
epithelial-mesenchymal transition status for each ovarian cancer sample, with
major ovarian cancer histologies (clear cell, endometrioid, mucinous, low-grade
serous, serous) represented. Clinico-pathological parameters available include
tumor grade, surgical debulking status, clinical response and age. The database
has 1,868 and 1,516 samples with information pertaining to overall and disease
free survival rates, respectively. The database also provides integration with
the copy number, DNA methylation and mutation data from TCGA. CSIOVDB seeks to
provide a resource for biomarker and therapeutic target exploration for ovarian
cancer research.
PMID- 26549807
TI - Integrated approach to understanding the onset and pathogenesis of black band
disease in corals.
AB - Emerging infectious diseases are contributing to global declines in coral reef
ecosystems, highlighting a growing need for aetiological knowledge to develop
effective management strategies. In this review, we focus on black band disease
(BBD), one of the most virulent diseases and the only polymicrobial disease so
far known to affect corals. A multipartite microbial consortium dominated by
Cyanobacteria, but also including sulfur-cycling bacteria, other bacterial groups
and members of the Archaea and Eukarya, forms a sulfide-rich anaerobic mat that
migrates across the surface of coral colonies, killing the underlying tissues.
The polymicrobial nature of the disease challenges classic aetiological
approaches to unravelling disease causation. Here, we synthesize current
knowledge on the range of pathogens forming the microbial consortium with recent
studies on the transmission, biogeochemistry and environmental drivers of BBD to
develop a conceptual model of BBD pathogenesis. The model illustrates how the
development of BBD virulence factors is linked to a cascade of microbial
community shifts and associated functional roles that progressively develop the
microbial consortium from comparatively benign cyanobacterial patches to virulent
BBD lesions. This review showcases how an approach that integrates multiple key
aspects of the disease provides insights essential to elucidating the aetiology
of BBD.
PMID- 26549806
TI - Glycyrrhetinic acid induces cytoprotective autophagy via the inositol-requiring
enzyme 1alpha-c-Jun N-terminal kinase cascade in non-small cell lung cancer
cells.
AB - Glycerrhetinic acid (GA), one of the main bioactive constituents of Glycyrrhiza
uralensis Fisch, exerts anti-cancer effects on various cancer cells. We confirmed
that GA inhibited cell proliferation and induced apoptosis in non-small cell lung
cancer A549 and NCI-H1299 cells. GA also induced expression of autophagy marker
phosphatidylethanolamine-modified microtubule-associated protein light-chain 3
(LC3-II) and punta formation of green fluorescent protein microtubule-associated
protein light-chain 3. We further proved that expression of GA-increased
autophagy marker was attributed to activation instead of suppression of
autophagic flux. The c-jun N-terminal kinase (JNK) pathway was activated after
incubation with GA. Pretreatment with the JNK inhibitor SP600125 or silencing of
the JNK pathway by siRNA of JNK or c-jun decreased GA-induced autophagy. The
endoplasmic reticulum (ER) stress responses were also apparently stimulated by GA
by triggering the inositol-requiring enzyme 1alpha (IRE1alpha) pathway. The GA
induced JNK pathway activation and autophagy were decreased by IRE1alpha
knockdown, and inhibition of autophagy or the JNK cascade increased GA-stimulated
IRE1alpha expression. In addition, GA-induced cell proliferative inhibition and
apoptosis were increased by inhibition of autophagy or the JNK pathway. Our study
was the first to demonstrate that GA induces cytoprotective autophagy in non
small cell lung cancer cells by activating the IRE1alpha-JNK/c-jun pathway. The
combined treatment of autophagy inhibitors markedly enhances the anti-neoplasmic
activity of GA. Such combination shows potential as a strategy for GA or GA
contained prescriptions in cancer therapy.
PMID- 26549808
TI - A Single-Center Experience of Aortic and Iliac Artery Aneurysm Treated with
Multilayer Flow Modulator.
AB - BACKGROUND: The aim of this study was to analyze our series of endovascular
treatments using a multilayer flow modulator (MFM) and to show the midterm
results. METHODS: At our institution, 8 patients were treated with an MFM. Four
patients presented with an aortic aneurysm (2 type II thoracoabdominal aortic
aneurysms [TAAAs], 1 type IV TAAA, and 1 juxtarenal abdominal aortic aneurysm)
and 4 with an aneurysm involving the common iliac artery. Mortality, rupture and
secondary intervention, major complications, patency of collateral vessels, and
volume analysis were evaluated. Treated patients were followed up with computed
tomography angiography at 1, 3, 6, and 12 months. RESULTS: Results showed no 30
day mortality or major complications; technical success was achieved in 87.5% of
patients, patency of collateral vessels was reached in all cases at
intraoperative completion angiography. Mean follow-up was 22.1 months (range, 18
30), survival rate was 87.5%, and one case of death unrelated to MFM treatment
was reported. During follow-up, MFM and collateral vessel patency were observed
in all cases. Secondary endovascular or open surgical procedures were not needed
during follow-up. Volume analysis showed a slight increase in patients with
aortic aneurysm, and an overall trend to increase in thrombosis was observed in
all cases. CONCLUSIONS: Endovascular treatment of aneurysms with MFM seems to
have encouraging midterm results. Should our results be confirmed by larger
series and longer follow-up studies, MFM may become a viable alternative to other
endovascular approaches.
PMID- 26549809
TI - Contemporary Outcomes for Open Infrainguinal Bypass in the Endovascular Era.
AB - BACKGROUND: The role of infrainguinal bypasses in this era of increasing
endovascular interventions remains the subject of significant debate. In this
study, we evaluate contemporary long-term outcomes of lower-extremity open
revascularization for peripheral arterial disease (PAD). METHODS: We evaluated
all patients who underwent infrainguinal bypass with autogenous vein conduits for
claudication or critical limb ischemia in our institution between January 1st,
2007 and July 31st, 2014. Kaplan-Meier and Cox regression analyses were used to
evaluate graft failure and identify its predictors. Outcomes were defined per the
Society for Vascular Surgery standards. RESULTS: There were 428 autogenous vein
grafts (femoro-popliteal: 32%, femoro-tibial: 39%, popliteo-tibial: 27%, and
tibio-tibial: 2%) placed in 368 patients (mean age of 67 +/- 11.4 years). Most
patients were male (59%), white (73%), and presented with critical limb ischemia
(81%). Sixty-five cases (15%) were redo bypasses. Arm veins and spliced vein
conduits were used in 15% and 14% of cases, respectively. Primary patency at 1,
3, and 5 years was 66%, 59%, and 55%, respectively. Primary-assisted patency was
78%, 69%, and 64% at 1, 3, and 5 years, respectively. Secondary patency was 88%,
84%, and 82% at 1, 3, and 5 years, respectively. Patency was higher for grafts
harvested from the lower versus upper extremities and for proximal versus distal
bypass (all P < 0.05). Limb salvage rate was 88% after a mean follow-up of 2 +/-
1.8 years. Significant predictors of graft failure were younger age, diabetes
mellitus, and hyperlipidemia (all P < 0.05). CONCLUSIONS: In this contemporary
cohort of patients, we have demonstrated that infrainguinal bypass for lower
extremity revascularization has good long-term outcomes in patients with
symptomatic PAD. Patency and limb salvage rates are optimized with careful
selection of autogenous conduits, close monitoring of high-risk groups and
management of comorbidities.
PMID- 26549810
TI - Thrombomodulin Induces a Quiescent Phenotype and Inhibits Migration in Vascular
Smooth Muscle Cells In Vitro.
AB - BACKGROUND: Loss of critical endothelial cell function and subsequent vascular
smooth muscle cell (VSMC) migration is central to the pathology of injury-induced
neointimal hyperplasia and recurrent stenosis. Thrombomodulin (TM), well known
for its function as an endothelial surface anticoagulant, may have an unknown
direct effect on VSMC physiology that would be lost after injury. Here, we
examined a novel effect of TM on VSMC by testing the hypothesis that direct
application of TM induces favorable changes to the morphology of VSMC and
inhibits their migration. METHODS: Primary human VSMC were harvested using the
explant technique and used in early passage (1-4) for all experiments. Laser
scanning confocal fluorescent imaging was performed to assess the effect of
soluble TM on VSMC morphology. In vitro, migration of VSMC was measured using:
(1) a 4-hr modified Boyden chemotaxis assay and (2) a 24-hr electric cell
substrate impedance sensing injury migration assay. Migration experiments were
conducted with VSMC exposed to increasing doses of soluble recombinant TM.
Recombinant thrombin served as a positive control and serum-free media as a
negative control for all experimentation. Data were analyzed using a Student's t
test or repeated measures analysis of variance where appropriate (alpha < 0.05).
RESULTS: VSMC exposed to TM clearly demonstrated a quiescent morphology with
organized stress fibers consistent with a quiescent, differentiated, contractile
phenotype; whereas, thrombin stimulation led to an activated, dedifferentiated,
synthetic phenotype. VSMC demonstrated a low, baseline level of migration in
unstimulated serum-free conditions. Thrombin significantly stimulated VSMC
migration as expected. TM, independent of thrombin, significantly inhibited
baseline VSMC migration in a dose-response fashion. The maximal inhibition was
observed at (5 MUg/mL) with 70% reduction (56 +/- 1.7 vs. 18 +/- 3.5 cells/5 high
power fields, P = 0.0005). CONCLUSIONS: TM has a direct effect on VSMC resulting
in a quiescent, differentiated and contractile phenotype, and inhibition of
migration. This effect is independent of the presence of thrombin. These findings
provide new knowledge in understanding the pathophysiology of vascular injury and
support a strategy focused on restoring key endothelial function to prevent
intimal hyperplasia.
PMID- 26549811
TI - Amputation Rates for Patients with Diabetes and Peripheral Arterial Disease: The
Effects of Race and Region.
AB - BACKGROUND: It remains unknown whether care of high-risk vascular patients with
both diabetes and peripheral arterial disease (PAD) is improving. We examined
national trends in care of patients with both PAD and diabetes. METHODS: A cohort
of patients diagnosed with PAD and diabetes between 2007 and 2011 undergoing open
or endovascular diagnostic or revascularization procedures was analyzed using
Medicare claims data. Main outcome measure was amputation-free survival measured
from time of initial revascularization procedure to 24 months, stratified by race
and hospital referral region (HRR). RESULTS: From 2007 to 2011, 2.3 per 1,000
patients underwent a major amputation with the higher rate among black patients
(5.5 per 1,000 vs. 1.9 per 1,000; P < 0.001) compared with nonblack. The rate
varied widely by HRR (1.2 per 1,000-6.2 per 1,000), with higher variation in
amputation rates in black patients (2.1-16.1 per 1,000). Overall, amputation-free
survival was approximately 74.6% at 2 years, 68.4% among black patients, and
75.4% among nonblack patients, with the disparity between the 2 groups increasing
over time. CONCLUSIONS: Prevalence of concurrent PAD and diabetes is increasing,
but amputation rates and amputation-free survival vary significantly by both race
and HRR. Prevention and care coordination effort should aim to limit racial
disparities in the treatment and outcomes of these high-risk patients.
PMID- 26549812
TI - Modelling the structure of sludge aggregates.
AB - The structure of sludge is closely associated with the process of wastewater
treatment. Synthetic dyestuff wastewater and sewage were coagulated using the PAX
and PIX methods, and electro-coagulated on aluminium electrodes. The processes of
wastewater treatment were supported with an organic polymer. The images of
surface structures of the investigated sludge were obtained using scanning
electron microscopy (SEM). The software image analysis permitted obtaining plots
log A vs. log P, wherein A is the surface area and P is the perimeter of the
object, for individual objects comprised in the structure of the sludge. The
resulting database confirmed the 'self-similarity' of the structural objects in
the studied groups of sludge, which enabled calculating their fractal dimension
and proposing models for these objects. A quantitative description of the sludge
aggregates permitted proposing a mechanism of the processes responsible for their
formation. In the paper, also, the impact of the structure of the investigated
sludge on the process of sedimentation, and dehydration of the thickened sludge
after sedimentation, was discussed.
PMID- 26549813
TI - Broadband and enhanced nonlinear optical response of MoS2/graphene nanocomposites
for ultrafast photonics applications.
AB - Due to their relatively high compatibility with specific photonic structures,
strong light-matter interactions and unique nonlinear optical response, two
dimensional (2D) materials, such as graphene and transition metal
dichalcogenides, are attractive for ultrafast photonics applications. Here, we
fabricate MoS2/graphene nanocomposites by a typical hydrothermal method. In
addition, we systematically investigate their nonlinear optical responses. Our
experiments indicate that the combined advantages of ultrafast relaxation, a
broadband response from graphene, and the strong light-matter interaction from
MoS2, can be integrated together by composition. The optical properties in terms
of carrier relaxation dynamics, saturation intensity and modulation depth suggest
great potential for the MoS2/graphene nanocomposites in photonics applications.
We have further fabricated 2D nanocomposites based optical saturable absorbers
and integrated them into a 1.5 MUm Erbium-doped fiber laser to demonstrate Q
switched and mode-locked pulse generation. The fabrication of 2D nanocomposites
assembled from different types of 2D materials, via this simple and scalable
growth approach, paves the way for the formation and tuning of new 2D materials
with desirable photonic properties and applications.
PMID- 26549814
TI - Comparative analysis of peptidoglycan recognition proteins in endoparasitoid wasp
Microplitis mediator.
AB - Peptidoglycan recognition proteins (PGRPs) are a family of innate immune
receptors that specifically recognize peptidoglycans (PGNs) on the surface of a
number of pathogens. Here, we have identified and characterized six PGRPs from
endoparasitoid wasp, Microplitis mediator (MmePGRPs). To understand the roles of
PGRPs in parasitoid wasps, we analyzed their evolutionary relationship and
orthology, expression profiles during different developmental stages, and
transcriptional expression following infection with Gram-positive and -negative
bacteria and a fungus. MmePGRP-S1 was significantly induced in response to
pathogenic infection. This prompted us to evaluate the effects of RNA
interference mediated gene specific knockdown of MmePGRP-S1. The knockdown of
MmePGRP-S1 (iMmePGRP-S1) dramatically affected wasps' survival following
challenge by Micrococcus luteus, indicating the involvement of this particular
PGRP in immune responses against Gram-positive bacteria. This action is likely to
be mediated by the Toll pathway, but the mechanism remains to be determined.
MmePGRP-S1 does not play a significant role in anti-fungal immunity as indicated
by the survival rate of iMmePGRP-S1 wasps. This study provides a comprehensive
characterization of PGRPs in the economically important hymenopteran species M.
mediator.
PMID- 26549815
TI - Long-term cognitive sequelae in a case of Wernicke's encephalopathy after
allogeneic stem cell transplantation.
AB - We describe the case of a non-alcoholic patient with chronic myeloid leukemia who
developed iatrogenic Wernicke's encephalopathy (WE) following stem cell
transplantation. Four years after the WE acute event, the patient's cognitive
profile was mainly characterized by moderate memory impairment, and functional
and daily-living difficulties. Our report sustains the hypothesis that a
iatrogenic form of WE may produce long-term cognitive sequelae even when thiamine
therapy is administered in the acute phase until the resolution of the
neurological signs.
PMID- 26549816
TI - Ethanol extract of Kalopanax septemlobus leaf induces caspase-dependent apoptosis
associated with activation of AMPK in human hepatocellular carcinoma cells.
AB - The Kalopanax septemlobus leaf (Thunb.) Koidz. has been used as a traditional
medicine herb for the treatment of various human diseases for hundreds of years.
In this study, we investigated the mechanism underlying the inhibitory effects of
an ethanol extract of K. septemlobus leaf (EEKS) on proliferation of HepG2
hepatocellular carcinoma cells. For this study, cell viability and apoptosis were
evaluated using the MTT [3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium
bromide] assay, DAPI (4,6-diamidino-2-phenylindole) staining, agarose gel
electrophoresis, and flow cytometry. Measurements of the mitochondrial membrane
potential (MMP), caspase activity assays and western blots were conducted to
determine whether HepG2 cell death occurred by apoptosis. Treatment of HepG2
cells with EEKS concentration-dependently reduced cell survival while
significantly increasing the ratio of apoptotic cells. EEKS treatment increased
the levels of the death receptors (DRs), DR4 and DR5, and activated caspases, as
well as promoting proteolytic degradation of poly(ADP-ribose)-polymerase
associated with the downregulation of protein expression of members of the
inhibitor of apoptosis protein family. Treatment with EEKS also caused truncation
of Bid, translocation of pro-apoptotic Bax to the mitochondria, and loss of
mitochondrial membrane permeabilization, thereby inducing the release of
cytochrome c into the cytosol. However, treatment of HepG2 cells with a pan
caspase inhibitor reversed EEKS-induced apoptosis and growth suppression,
indicating that EEKS appears to induce apoptosis though a caspase-dependent
mechanism involving both intrinsic and extrinsic apoptotic pathways. In addition,
the phosphorylation level of AMP-activated protein kinase (AMPK) was elevated
when cells were exposed to EEKS. A specific inhibitor for AMPK attenuated the
EEKS-induced activation of caspases, and consequently prevented the EEKS-induced
apoptosis and reduction in cell viability. Overall, our findings suggest that
EEKS inhibits the growth of HepG2 cells by inducing AMPK-mediated caspase
dependent apoptosis, suggesting the potential therapeutic application of EEKS in
the treatment or prevention of cancers.
PMID- 26549817
TI - Phasor Representation of Monomer-Excimer Kinetics: General Results and
Application to Pyrene.
AB - Phasor plots of the fluorescence intensity decay (plots of the Fourier sine
transform versus the Fourier cosine transform, for one or several angular
frequencies) are being increasingly used in studies of homogeneous and
heterogeneous systems. In this work, the phasor approach is applied to monomer
excimer kinetics. The results obtained allow a clear visualization of the
information contained in the decays. The monomer phasor falls inside the
universal circle, whereas the excimer phasor lies outside it, but within the
double-exponential outer boundary curve. The monomer and excimer phasors, along
with those corresponding to the two exponential components of the decays, fall on
a common straight line and obey the generalized lever rule. The clockwise
trajectories described by both phasors upon monomer concentration increase are
identified. The phasor approach allows discussing in a single graphic not only
the effect of concentration but also that of rate constants, including the
evolution from irreversible kinetics to fast excited-state equilibrium upon a
temperature increase. The obtained results are applied to the fluorescence decays
of pyrene monomer and excimer in methylcyclohexane at room temperature. A
straightforward method of monomer-excimer lifetime data analysis based on linear
plots is also introduced.
PMID- 26549818
TI - Integrin-linked kinase affects signaling pathways and migration in thyroid cancer
cells and is a potential therapeutic target.
AB - BACKGROUND: Integrin-linked kinase (ILK) is a serine-threonine kinase that
regulates interactions between the cell and the extracellular matrix. In many
cancers, overexpression of ILK leads to increased cell proliferation, motility,
and invasion. We hypothesized that ILK functions as a regulator of viability and
migration in thyroid cancer cells. METHODS: Eleven human thyroid cancer cell
lines were screened for ILK protein expression. The cell lines with the greatest
expression were treated with either ILK small interfering RNA (siRNA) or a novel
ILK inhibitor, T315, and the effects were evaluated via Western blot and
migration assay. 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyl-2H-tetrazolium bromide
assays were performed to assess cell viability. RESULTS: siRNA against ILK
decreased phosphorylation of downstream effectors Akt and MLC, as well as
decreased migration. Treatment with T315 showed a dose-related decrease in both
Akt and MLC phosphorylation, as well as decreased migration. 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyl-2H-tetrazolium bromide assays showed T315 to
have an half maximal inhibitory concentration of less than 1 MUM in cell lines
with high ILK expression. CONCLUSION: ILK is expressed differentially in thyroid
cancer cell lines. Both ILK siRNA and T315 inhibit motility of thyroid cancer
cell lines, and T315 is shown to be cytotoxic at low concentrations. Altogether,
our study suggests that ILK may represent an important kinase in aggressive
thyroid cancers.
PMID- 26549819
TI - Randomized controlled clinical trial comparing radioguided occult lesion
localization with wire-guided lesion localization to evaluate their efficacy and
accuracy in the localization of nonpalpable breast lesions.
AB - PURPOSE: The aim of this study was to compare the radioguided occult lesion
localization (ROLL) technique with the wire-guided lesion localization (WGLL)
technique to assess their efficacy and accuracy in the localization of
nonpalpable breast lesions in patients at a unique reference medical center.
These patients' reports were negative for malignancy but included highly
suspicious imaging findings. METHODS: A controlled clinical trial was designed to
compare the WGLL and ROLL techniques in women presenting with breast lesions
diagnosed by mammography or ultrasonography at the Instituto Nacional de
Cancerologia in Bogota, Colombia, from March 2006 to June 2011. RESULTS: This
study examined 129 patients; 64 (49.6%) patients were treated with ROLL, and 65
(51.4%) were treated with WGLL. The ROLL technique achieved better median lesion
centricity (ROLL = 11.7 and WGLL = 15.4; P = .038). No significant differences
were found regarding demographic variables, operative specimen characteristics,
the need to extend margins, operative complications, the degree of difficulty, or
patient or surgeon satisfaction. CONCLUSION: The ROLL technique is as effective
as WGLL for the localization of nonpalpable breast lesions. In our study, ROLL
achieved better lesion centricity. Therefore, we propose that this technique
could be used as a standard procedure in the detection of nonpalpable breast
lesions at experienced centers.
PMID- 26549820
TI - Discussion.
PMID- 26549821
TI - Poorer Subjective Sleep Quality Is Related to Higher Fantasy-Induced Sexual
Arousal in Women of Reproductive Age.
AB - Lack of sleep enhances erections and lubrication the next day. This raises the
possibility that poorer subjective sleep quality is related to sexual arousal. To
test this hypothesis, sexual arousal was elicited in 70 Portuguese women of
reproductive age by means of fantasy. The level of salivary testosterone before
and shortly after fantasy was determined by luminescence immunoassays.
Participants completed the Pittsburgh Sleep Quality Index (PSQI), reported their
sexual arousal before and during fantasy, and how anxious they were after the
fantasy. The hypothesis was confirmed. Anxiety did not explain the association,
but testosterone response (poststimulus minus baseline) had a slight explanatory
effect.
PMID- 26549822
TI - Adverse drug reactions in children: a ten-year review of reporting to the
Portuguese Pharmacovigilance System.
AB - OBJECTIVE: Adverse drug reactions (ADR) are a public health problem. They cause
significant morbidity, mortality and health costs. Less is known about pediatric
ADR. Our goal was to characterize a pediatric case series of ADR reported to the
Portuguese Pharmacovigilance System (PPS) during the past 10 years. RESEARCH
DESIGN AND METHODS: Retrospective analysis of ADR reports concerning patients
till 17 years old received by the PPS between 2003 and 2012. We evaluated
patients' demographic data and involved drugs, as well as characteristics and
seriousness of reactions, stratified by age groups. RESULTS: We found 1742
reports (50% females) corresponding to 9.7% of the total received. The age of the
patients varied from 0 to 17 years (median: 5 years, interquartile range: 10.6),
with 566 cases (32%) occurring in patients younger than 2y. Among the 1195
serious cases, 31% (370) episodes led to hospitalization. In 32 cases (2%) there
was a fatal outcome. Most of the ADR reported referred to general disorders and
administration site conditions, followed by skin and subcutaneous tissue
reactions. Vaccines were the most represented group (42%) followed by
antibacterials for systemic use (17%). CONCLUSIONS: Pediatric ADR represents
about 10% of the reports received by the PPS. Most ADR were considered serious.
Major findings varied according to age groups.
PMID- 26549823
TI - Malignant Transformation of Teratoma to Adenocarcinoma With Complete Remission
With All-Trans Retinoic Acid-Based Treatment and Surgery.
PMID- 26549824
TI - A Drug Interaction Between Cabozantinib and Warfarin in a Patient With Renal Cell
Carcinoma.
PMID- 26549825
TI - Solvent and Substituent Effects on the Aggregation Behavior of Surface-Active
Ionic Liquids with Aromatic Counterions and the Dispersion of Carbon Nanotubes in
their Hexagonal Liquid Crystalline Phase.
AB - The aggregation behavior of surface-active ionic liquids (SAILs) 1-dodecyl-3
methylimidazolium m- and p-hydroxybenzoate (m-C12mimHB and p-C12mimHB) in water
and ethylammonium nitrate (EAN) was investigated. Surface tension measurements
indicate that the cmc values of SAILs in EAN are much higher than those in water,
resulting from the weaker solvophobic effect of EAN, and the stronger stability
of SAILs/EAN complexes proven by DFT calculations. Compared to 1-dodecyl-3
methylimidazolium salicylate (C12mimSal), the effect of substituent position
leads to weaker interactions between aromatic counterions and headgroups. The
hexagonal liquid crystal (H1) phase formed by C12mimHB in water or EAN at a
higher concentration was determined by polarized optical microscopy (POM), small
angle X-ray scattering (SAXS), and rheology techniques. Structural parameters
estimated from SAXS curves suggest that the higher SAILs concentration or
temperature leads to a smaller lattice parameter (a0) and a denser arrangement of
cylinders. For C12mimHB, the formation of the H1 phase in H2O is easier than that
in EAN. Furthermore, compared to C12mimSal, C12mimHB exists over a broad region
of the hexagonal liquid crystalline (H1) phase, which is due to the different
position of the substituents on the aromatic ring of counterions. Therefore, the
H1 phase of the lypotropic liquid crystals (LLCs) formed in the C12mimHB/H2O
system exhibits excellent performance in uniformly dispersing multiwalled carbon
nanotubes (MWCNTs). Increasing the concentration of MWCNTs results in a larger
lattice parameter (a0) value, indicating the integration of MWCNTs within the
cylinders of the H1 phase. The rheological measurement results demonstrate that
MWCNTs/LLCs composites are highly viscoelastic, and the presence of MWCNTs
obviously strengthens the apparent viscosity of the H1 phase.
PMID- 26549826
TI - Spatial frequency heterodyne imaging of aqueous phase transitions inside multi
walled carbon nanotubes.
AB - The evaporation and condensation of water on multi-walled carbon nanotube (MWCNT)
surfaces was studied as a function of temperature and time using X-ray spatial
frequency heterodyne imaging (SFHI). SFHI is an imaging modality that produces an
absorption and scatter image in a single exposure, and has increased sensitivity
to variations in electron density relative to more common place X-ray imaging
techniques. Differing features exhibited in the temporal scatter intensity
profiles recorded during evaporation and condensation revealed the existence of
an absorption-desorption hysteresis. Effects on the aforementioned phenomena due
to chemical functionalization of the carbon nanotube surfaces were also
monitored. The increased interaction potential between the functionalized MWCNT
walls and water molecules altered the evaporation event time scale and increased
the temperature at which condensation could take place. Theoretical calculations
were used to correlate the shape of the observed scatter profiles during
condensation to changes in the MWCNT cross section geometry and configuration of
the contained water volume. Changes in evaporation time scales with temperature
coincided with the boiling point for confined water predicted by the Kelvin
equation, indicating that a thermodynamic description of mesoscopic confined
water is permissible in some instances.
PMID- 26549827
TI - A simple and controllable graphene-templated approach to synthesise 2D silica
based nanomaterials using water-in-oil microemulsions.
AB - Using the versatility of silica chemistry, we describe herein a simple and
controllable approach to synthesise two-dimensional (2D) silica-based
nanomaterials: the diversity and utility of the resulting structures offer
excellent platforms for many potential applications.
PMID- 26549828
TI - Characteristics of audiogram configuration in multiple-system atrophy C and
cortical cerebellar atrophy.
AB - CONCLUSION: The prevalence of low-tone hearing loss (LTHL) is significantly high
in spinocerebellar degeneration (SCD) with cerebellar predominance, including
multiple-system atrophy C (MSA-C) and cortical cerebellar atrophy (CCA).
OBJECTIVE: This study aimed to test the hypothesis that SCD with cerebellar
predominance, MSA-C and CCA may cause auditory symptoms. METHODS: The shape and
threshold of pure-tone audiograms were evaluated for MSA-C (n = 47; mean (+/- SD)
age, 61.6 +/- 8.9 years), CCA (n = 16; 62.8 +/- 9.5 years), and age-matched
controls (n = 169; 62.5 +/- 10.7 years). To differentiate specific hearing loss
for MSA-C and CCA from presbycusis, the shape of audiograms was examined based on
previously established audiological criteria. RESULTS: When audiogram shape was
defined according to audiological criteria, the odds ratio for LTHL in SCD
compared to controls was 2.492 (95% confidence interval (CI) = 1.208-5.139; p <
0.05, Pearson's Chi-square test) in MSA-C and 2.194 (95% CI = 0.709-6.795) in
CCA. When the selection of audiogram shape according to these criteria was
verified by three certified audiologists, odds ratios for LTHL in MSA-C and CCA
were 3.243 (95% CI = 1.320-7.969) and 3.692 (95% CI = 1.052-12.957),
respectively, significantly higher than in controls.
PMID- 26549829
TI - A simple cytogenetic method to detect chromosomally integrated human herpesvirus
6.
AB - Some healthy individuals carry human herpesvirus-6 (HHV-6) within a host
chromosome, which is called inherited chromosomally integrated human herpesvirus
6 (iciHHV-6). Because iciHHV-6 is generally considered a non-pathogenic
condition, it is important to distinguish iciHHV-6 from HHV-6 reactivation in
immunocompromised hosts because both conditions manifest high copy numbers of the
HHV-6 in peripheral blood mononuclear cells. Although fluorescent in situ
hybridization (FISH) is a reliable method for the diagnosis of iciHHV-6, HHV-6
specific FISH probes are not commercially available. In our present study, we
established a simple PCR-based method for producing FISH probes that can detect
the chromosomal integration site of iciHHV-6 at high sensitivity. Using these
probes, we confirmed that HHV-6 signals were consistently located at the
telomeric region in all of the 13 iciHHV-6 individuals examined. Interestingly,
in all seven Japanese iciHHV-6A patients, signals were detected exclusively on
chromosome 22q. This method provides a simple and fast approach for iciHHV-6
diagnosis in the clinical laboratory.
PMID- 26549830
TI - Phosphorproteome Changes of Myofibrillar Proteins at Early Post-mortem Time in
Relation to Pork Quality As Affected by Season.
AB - The effect of season on phosphorylation of myofibrillar proteins and meat quality
of pork longissimus muscles was investigated. Muscle samples were obtained from
40 pork carcasses (10 for each season) at 45 min and 3 and 9 h post-mortem.
Myofibrillar proteins were extracted, separated by SDS-PAGE, quantified by
phosphor-specific staining, and finally identified by LC-MS/MS. Muscle pH,
glycogen, and ATP were measured, and pale, soft, and exudative (PSE) meat was
identified by pH value at 45 min post-mortem. A total of 23 bands were detected
on SDS-PAGE gels. The phosphorylation levels of bands did not differ between PSE
and normal meat. However, the phosphorylation levels of 22 bands were
significantly changed by season. Nine of them showed different changes from 45
min to 9 h post-mortem, which were identified to be involved in energy metabolism
and sarcomere contraction. Correlation analysis indicated the regulatory progress
of these proteins during rigor mortis. These observations contribute to a better
understanding of the biochemical processes for the conversion of muscle to meat
varying with season.
PMID- 26549831
TI - The opinions of occupational physicians about maintaining healthy workers by
means of medical examinations in Japan using the Delphi method.
AB - OBJECTIVES: In Japan, employee fitness for work is determined by annual medical
examinations. It may be possible to reduce the variability in the results of work
fitness determination, particularly for situation, if there is consensus among
experts regarding consideration of limitation of work by means of a single
parameter. METHODS: Consensus building was attempted among 104 occupational
physicians by employing a 3-round Delphi method. Among the medical examination
parameters for which at least 50% of participants agreed in the 3rd round of the
survey that the parameter would independently merit consideration for limitation
of work, the values of the parameters proposed as criterion values that trigger
consideration of limitation of work were sought. Parameters, along with their
most frequently proposed criterion values, were defined in the study group
meeting as parameters for which consensus was reached. RESULTS: Consensus was
obtained for 8 parameters: systolic blood pressure 180 mmHg (86.6%), diastolic
blood pressure 110 mmHg (85.9%), postprandial plasma glucose 300 mg/dl (76.9%),
fasting plasma glucose 200 mg/dl (69.1%), Cre 2.0mg/dl (67.2%), HbA1c (JDS) 10%
(62.3%), ALT 200 U/l (61.6%), and Hb 8 g/l (58.5%). CONCLUSIONS: To support
physicians who give advice to employers about work-related measures based on the
results of general medical examinations of employees, expert consensus
information was obtained that can serve as background material for making
judgements. It is expected that the use of this information will facilitate the
ability to take appropriate measures after medical examination of employees.
PMID- 26549832
TI - An investigation of a cluster of cervical herniated discs among container truck
drivers with occupational exposure to whole-body vibration.
AB - OBJECTIVE: This study aimed to determine if occupational exposure to whole-body
vibration is associated with cervical intervertebral disc herniation among
container truck drivers. METHODS: We conducted a walk-through survey among
container truck drivers and unexposed workers. We also measured the vibration
hazard of the container truck over the driver's back and seat when the driver was
loading a container and driving the loaded truck. RESULTS AND DISCUSSION: Among
the 38 workers interviewed, 32 were container truck drivers. Four of them
reported cervical herniated discs, and all of these individuals were container
truck drivers with a job tenure of greater than 10 years. Self-reported cervical
herniated disc, nuchal pain, nocturia, arm/forearm weakness, arm/forearm
numbness, and finger numbness were significantly more prevalent as the driver's
duration of exposure increased (all p values of test for trend <0.05). The
vibration of the truck during and after loading a container was considered the
main cause of herniated disc. When a container truck was driven with a loaded
container, both the vertical and horizontal vibrating acceleration over the seat
and back sites exceeded the 8-hr exposure level based on the ISO 2631-1 criteria.
The transient vibration dose values (VDVs) measured during misaligned or unsmooth
loading operations were usually greater than the upper bounds of the health
guidance caution zone for the 8-hr VDV. CONCLUSIONS: Our investigation disclosed
a cluster of cervical intervertebral disc herniation that was associated with the
vibration hazard during long-term container truck driving under full load and
possibly aggravated by misaligned loading operations.
PMID- 26549833
TI - Relationship between shift work and peripheral total and differential leukocyte
counts in Chinese steel workers.
AB - OBJECTIVES: Even though shift work has been suspected to be a risk factor for
cardiovascular disease, little research has been done to determine the logical
underlying inflammation mechanisms. This study investigated the association
between shift work and circulating total and differential leukocyte counts among
Chinese steel workers. METHODS: The subjects were 1,654 line workers in a steel
plant, who responded to a cross-sectional survey with a questionnaire on basic
attributes, life style, and sleep. All workers in the plant received a periodic
health checkup. Total and differential leukocytes counts were also examined in
the checkup. RESULTS: Shift workers had higher rates of alcohol use, smoking,
poor sleep, poor physical exercise, and obesity than daytime workers. In further
analysis, we found that the peripheral total WBC, monocyte, neutrophil, and
lymphocyte counts were also greater in shift workers than in daytime workers.
When subjects were divided into quartiles according to total WBC, neutrophil,
monocyte, and lymphocyte counts, increased leukocyte count was associated with
shift work. Using stepwise linear regression analysis, smoking, obesity, and
shift work were independently associated with total WBC, monocyte, neutrophil,
and lymphocyte counts. CONCLUSIONS: This study indicates that peripheral total
and differential leukocyte counts are significantly higher in shift workers,
which suggests that shift work may be a risk factor of cardiovascular disease.
Applicable intervention strategies are needed for prevention of cardiovascular
disease for shift workers.
PMID- 26549834
TI - Neonicotinoid concentrations in urine from chronic kidney disease patients in the
North Central Region of Sri Lanka.
AB - OBJECTIVES: Neonicotinoid insecticides have been widely used around the world
since the 1990s. Reports have been made since the 1990s of rice paddy farmers in
the North Central Region (NCR) of Sri Lanka suffering from chronic kidney disease
with unknown etiology (CKDu). A preliminary evaluation of the exposure of local
farmers in the NCR of Sri Lanka to neonicotinoids was performed. METHODS: We
analyzed neonicotinoid and neonicotinoid metabolite concentrations in spot urine
samples. We selected 40 samples, 10 from farmers with CKDu and 10 from controls
from each of two areas, Medawachchiya and Girandurukotte. RESULTS: Imidacloprid
and desmethyl-acetamiprid were found at significantly higher concentrations in
the control samples (with medians of 51 ng/l and 340 ng/l, respectively) than in
the CKDu samples (medians of 15 ng/l and 150 ng/l, respectively) when the results
were not adjusted for the creatinine contents. None of the six compounds that
were measured in the urine samples were found at significantly higher
concentrations in the CKDu samples than in the control samples. None of the
neonicotinoid concentrations in the samples analyzed in this study exceeded the
concentrations that have been found in samples from the general population of
Japan. CONCLUSIONS: Farmers (both with and without CKDu) living in CKDu-endemic
areas in the NCR of Sri Lanka are exposed to lower neonicotinoid concentrations
than non-occupationally exposed residents of Japan.
PMID- 26549835
TI - Underlying spirituality and mental health: the role of burnout.
AB - OBJECTIVE: This study investigated the effects of burnout on the relationship
between spirituality and mental health among healthcare workers in Hong Kong.
METHODS: Using a cross-sectional design, 312 healthcare workers (mean age=38.6,
SD=9.9; 77.7% females) in a mental rehabilitation institution completed a self
administered questionnaire on anxiety, depression, burnout, and daily spiritual
experiences. Multivariate regressions were used to test the effects of burnout on
the relationships between daily spiritual experiences and anxiety and depression.
RESULTS: After adjusting for age, education level, marital status, and staff
ranking, higher levels of daily spiritual experience were associated with lower
levels of burnout (beta=-0.22, p<0.01), depression (beta=-0.68, p<0.01), and
anxiety (beta=-0.05, p<0.01). Burnout was found to have a significant partial
mediating effect on the relationship between daily spiritual experiences and
depression (z=-2.99, p<0.01), accounting for 37.8% of the variation in
depression. Burnout also completely mediated the relationship between daily
spiritual experiences and anxiety (z=-3.06, p<0.01), accounting for 73.9% of the
variation in anxiety. CONCLUSIONS: The results suggested that the association
between spirituality and mental health is influenced by the level of burnout,
thereby supporting the role of burnout as a potential mediator. Moreover, day-to
day spiritual practice was found to be potentially protective against burnout and
mental health problems. Future interventions could incorporate spirituality
training to reduce burnout so as to improve the well-being of healthcare workers.
PMID- 26549836
TI - Effects of Paraoxonase 1 gene polymorphisms on organophosphate insecticide
metabolism in Japanese pest control workers.
AB - OBJECTIVES: Paraoxonase 1 (PON1) in serum detoxifies organophosphate (OP)
insecticides by hydrolysis. The present cross-sectional study aimed to clarify
the relationship between PON1 single nucleotide polymorphisms (SNPs) and enzyme
activities or OP metabolite concentrations in urine of workers occupationally
exposed to low-level OPs. METHODS: Among 283 workers in 10 pest control companies
located in central Japan who underwent checkups, 230 subjects (male 199, female
31, average age 38.9 +/- 11.1 years old) participated in the study. Q192R and
L55M polymorphisms were determined by TaqMan assay. PON1 activity was measured
using fenitrothion (FNT) oxon, chlorpyrifos-methyl (CPM) oxon, chlorpyrifos (CP)
oxon, and phenyl acetate as substrates. Urinary OP metabolite concentrations were
measured with gas chromatography-mass spectrometry. RESULTS: The maximum
differences in enzyme activities between individuals were 64.6-, 6.3-, 7.7-, and
2.0-fold for FNT oxonase, CPM oxonase, CP oxonase, and arylesterase (ARE),
respectively. The activities of CPM oxonase and ARE in workers having the RR
genotype were 53.5% and 18.2% lower than in those with the QQ genotype,
respectively. CP oxonase activity was 15.0% lower in those having the M allele
(LM + MM compared with LL). Urinary metabolite concentrations were not associated
with PON1 polymorphisms, but negative associations were observed between the
concentrations and activities of FNT oxonase and ARE. CONCLUSIONS: While PON1
SNPs can explain differences in catalytic activities toward some OPs, differences
in urinary concentrations of OP metabolites are not attributable to PON1 SNPs but
instead are attributable to its serum activities. Its serum activities might be
more sensitive biomarkers for estimation of individual susceptibility to OP
toxicities.
PMID- 26549837
TI - Impacts of users' antisocial behaviors in an ophthalmologic emergency department-
a qualitative study.
AB - BACKGROUND: Health-care workers in emergency departments are frequently exposed
to risk of antisocial behavior and violence (ABV) by users. Underreporting of ABV
by health-care professionals has been identified. In order to understand this
phenomenon, we explored the experience of ABV in 30 health workers in an
ophthalmology emergency department in the Rhone-Alpes administrative region of
France. METHODS: A grounded theory qualitative approach was followed. Data were
collected from field observations, 30 semistructured individual interviews,
violence report forms, and 364 patient satisfaction questionnaires. Qualitative
thematic content analysis of the interviews was performed with qualitative data
analysis software. RESULTS: Third-party antisocial behaviors and violence were an
everyday occurrence, with varying levels of seriousness: impoliteness, vulgarity,
nonrecognition, insults, verbal threats, and aggressive gestures. Health-care
workers adopted various strategies to adapt to such violence: proactive and
reactive attitudes and avoidance. Several organizational factors concerning the
political and economic context, hospital work organization, and health workers'
behavior were identified as potentially contributing to ABV. Excessive waiting
times, lack of user information, and understaffing emerged as factors
contributing to users' ABV. CONCLUSIONS: Antisocial behaviors by hospital users
are underreported by professionals and under-recognized. They appear to be like
continuous occupational exposure leading to delayed adverse consequences either
on workers' health or motivation. However, violence in hospitals is not the
result of only the action of users, and it may be related to work organization
and workers' own behaviors. Only a grounded analysis of the causes of violence in
the local work context can uncover relevant solutions.
PMID- 26549838
TI - Downregulation of delta opioid receptor by RNA interference enhances the
sensitivity of BEL/FU drug-resistant human hepatocellular carcinoma cells to 5
FU.
AB - delta opioid receptor (DOR) was the first opioid receptor of the G protein
coupled receptor family to be cloned. Our previous studies demonstrated that DOR
is involved in regulating the development and progression of human hepatocellular
carcinoma (HCC), and is involved in the regulation of the processes of invasion
and metastasis of HCC cells. However, whether DOR is involved in the development
and progression of drug resistance in HCC has not been reported and requires
further elucidation. The aim of the present study was to investigate the
expression levels of DOR in the drug-resistant HCC BEL-7402/5-fluorouracil
(BEL/FU) cell line, and its effects on drug resistance, in order to preliminarily
elucidate the effects of DOR in HCC drug resistance. The results of the present
study demonstrated that DOR was expressed at high levels in the BEL/FU cells, and
the expression levels were higher, compared with those in normal liver cells.
When the expression of DOR was silenced, the proliferation of the drug-resistant
HCC cells were unaffected. However, when the cells were co-treated with a
therapeutic dose of 5-FU, the proliferation rate of the BEL/FU cells was
significantly inhibited, a large number of cells underwent apoptosis, cell cycle
progression was arrested and changes in the expression levels of drug-resistant
proteins were observed. Overall, the expression of DOR was upregulated in the
drug-resistant HCC cells, and its functional status was closely associated with
drug resistance in HCC. Therefore, DOR may become a recognized target molecule
with important roles in the clinical treatment of drug-resistant HCC.
PMID- 26549839
TI - Stretchable Random Lasers with Tunable Coherent Loops.
AB - Stretchability represents a key feature for the emerging world of realistic
applications in areas, including wearable gadgets, health monitors, and robotic
skins. Many optical and electronic technologies that can respond to large strain
deformations have been developed. Laser plays a very important role in our daily
life since it was discovered, which is highly desirable for the development of
stretchable devices. Herein, stretchable random lasers with tunable coherent
loops are designed, fabricated, and demonstrated. To illustrate our working
principle, the stretchable random laser is made possible by transferring unique
ZnO nanobrushes on top of polydimethylsiloxane (PDMS) elastomer substrate. Apart
from the traditional gain material of ZnO nanorods, ZnO nanobrushes were used as
optical gain materials so they can serve as scattering centers and provide the
Fabry-Perot cavity to enhance laser action. The stretchable PDMS substrate gives
the degree of freedom to mechanically tune the coherent loops of the random laser
action by changing the density of ZnO nanobrushes. It is found that the number of
laser modes increases with increasing external strain applied on the PDMS
substrate due to the enhanced possibility for the formation of coherent loops.
The device can be stretched by up to 30% strain and subjected to more than 100
cycles without loss in laser action. The result shows a major advance for the
further development of man-made smart stretchable devices.
PMID- 26549841
TI - Effective chemiluminogenic systems based on acridinium esters bearing
substituents of various electronic and steric properties.
AB - A series of 10-methyl-9-(phenoxycarbonyl)acridinium trifluoromethanesulfonates
(XAEs), bearing substituents of various characteristics in the lateral benzene
ring (2-halogen, 2,6-dihalogen, 2-trifluoromethyl, 2-nitro, 2-methoxy, 3-halogen
and 4-halogen) were synthesized with high yields, identified and subjected to a
physicochemical and theoretical investigation. The main task of the work was to
assess the mechanism and optimal conditions of light emission in various liquid
systems based on the above salts in order to evaluate their potential usefulness
as chemiluminescence (CL) labels and indicators in ultra-sensitive analyses.
Density functional theory (DFT) calculations were performed to investigate the
detailed mechanism of the oxidation of 9-substituted 10-methylacridinium cations
involved in XAEs by hydrogen peroxide in alkaline media. Three general pathways
were drawn, which are termed the "light path" (chemiluminogenic) and there were
two "dark paths" (non-chemiluminogenic): hydrolytic and "pseudobase". The CL time
profiles, triggered in alkaline solutions containing hydrogen peroxide, enabled
us to establish crucial physicochemical parameters, including pseudo-first order
kinetic constants of CL decay and relative efficiencies of emission. In order to
optimize the systems' luminogenic performance, different bases, such as sodium
hydroxide, tetrabutylammonium hydroxide (TBAOH) and 1,8-diazabicyclo[5.4.0]undec
7-ene (DBU), as well as enhancers, such as cationic, zwitterionic and neutral
surfactants (cetyltrimethylammonium chloride (CTAC), N,N-dimethyldodecylammonio
1,3-propane sulfonate (DDAPS) and Triton X-100, respectively) were tested. The
results revealed the optimal CL systems, which enabled us to obtain substantially
higher emissions than typical ones, based on acridinium esters or luminol. The
derived parameters, characterizing the potential utility of the acridinium
esters, such as stability in aqueous environments and usefulness (the product of
emission efficiency and stability at a given pH), enabled us to reveal the best
candidates and their practical applications. The post-reaction mixtures, analyzed
by means of chromatography (RP-HPLC) and mass spectrometry (ESI-MS), allowed us
to verify the occurrence and population of the products that were theoretically
predicted, i.e. 10-methyl-9-acridinone (NMAON), 10-methylacridinium-9-carboxylic
acid (NMACA) and substituted phenols (RPhOHs).
PMID- 26549840
TI - Multiple Intercostal Space Electrocardiogram Allows Accurate Localization of
Outflow Tract Ventricular Arrhythmia Origin.
AB - BACKGROUND: Multiple intercostal recordings were supposed to get a more
comprehensive view of the depolarization vector of the outflow tract ventricular
arrhythmia (OT-VA), which may help to identify the OT-VA more accurately. This
study was undertaken to develop a more accurate electrocardiogram (ECG) criterion
for differentiating between left and right OT-VA origins. METHODS: We studied OT
VA with a left bundle branch block pattern and inferior axis QRS morphology in 47
patients with successful catheter ablation in the right ventricular OT (RVOT; n =
37) or aortic coronary cusp (ACC; n = 10). Superior and inferior precordial leads
were taken together with the routine 12-lead ECG. The ECG during the OT-VA and
during sinus beats were analyzed. Transition ratio, transition zone (TZ) index,
R/S amplitude ratio, and R-wave duration ratio were measured in the regular,
superior, and inferior precordial leads. RESULTS: The combined TZ index, TZ index
inferior was significantly smaller, while the V2 inferior transition ratio was
significantly larger for ACC origins than RVOT origins (P < 0.05). The area under
the curve for the combined TZ index by a receiver operating characteristic
analysis was 0.974, which was significantly larger than other parameters. A
cutoff value <=0.25 predicted an ACC origin with 94% sensitivity and 100%
specificity. This advantage of the parameter over others also held true for a
subanalysis of OT-VAs with a lead V3 precordial transition or TZ index = 0.
CONCLUSIONS: The combined TZ index outperformed other ECG criteria to
differentiate left from right OT-VA origins.
PMID- 26549842
TI - Soil and Rhizosphere Associated Fungi in Gray Mangroves (Avicennia marina) from
the Red Sea--A Metagenomic Approach.
AB - Covering a quarter of the world's tropical coastlines and being one of the most
threatened ecosystems, mangroves are among the major sources of terrestrial
organic matter to oceans and harbor a wide microbial diversity. In order to
protect, restore, and better understand these ecosystems, researchers have
extensively studied their microbiology, yet few surveys have focused on their
fungal communities. Our lack of knowledge is even more pronounced for specific
fungal populations, such as the ones associated with the rhizosphere. Likewise,
the Red Sea gray mangroves (Avicennia marina) remain poorly characterized, and
understanding of their fungal communities still relies on cultivation-dependent
methods. In this study, we analyzed metagenomic datasets from gray mangrove
rhizosphere and bulk soil samples collected in the Red Sea coast, to obtain a
snapshot of their fungal communities. Our data indicated that Ascomycota was the
dominant phylum (76%-85%), while Basidiomycota was less abundant (14%-24%), yet
present in higher numbers than usually reported for such environments. Fungal
communities were more stable within the rhizosphere than within the bulk soil,
both at class and genus level. This finding is consistent with the intrinsic
patchiness in soil sediments and with the selection of specific microbial
communities by plant roots. Our study indicates the presence of several species
on this mycobiome that were not previously reported as mangrove-associated. In
particular, we detected representatives of several commercially-used fungi, e.g.,
producers of secreted cellulases and anaerobic producers of cellulosomes. These
results represent additional insights into the fungal community of the gray
mangroves of the Red Sea, and show that they are significantly richer than
previously reported.
PMID- 26549843
TI - Borane-Catalyzed Reductive alpha-Silylation of Conjugated Esters and Amides
Leaving Carbonyl Groups Intact.
AB - Described herein is the development of the B(C6F5)3-catalyzed hydrosilylation of
alpha,beta-unsaturated esters and amides to afford synthetically valuable alpha
silyl carbonyl products. The alpha-silylation occurs chemoselectively, thus
leaving the labile carbonyl groups intact. The reaction features a broad scope of
both acyclic and cyclic substrates, and the synthetic utility of the obtained
alpha-silyl carbonyl products is also demonstrated. Mechanistic studies revealed
two operative steps: fast 1,4-hydrosilylation of conjugated carbonyls and then
slow silyl group migration of a silyl ether intermediate.
PMID- 26549844
TI - Structural Determinants of the Selectivity of 3-Benzyluracil-1-acetic Acids
toward Human Enzymes Aldose Reductase and AKR1B10.
AB - The human enzymes aldose reductase (AR) and AKR1B10 have been thoroughly explored
in terms of their roles in diabetes, inflammatory disorders, and cancer. In this
study we identified two new lead compounds, 2-(3-(4-chloro-3-nitrobenzyl)-2,4
dioxo-3,4-dihydropyrimidin-1(2H)-yl)acetic acid (JF0048, 3) and 2-(2,4-dioxo-3
(2,3,4,5-tetrabromo-6-methoxybenzyl)-3,4-dihydropyrimidin-1(2H)-yl)acetic acid
(JF0049, 4), which selectively target these enzymes. Although 3 and 4 share the 3
benzyluracil-1-acetic acid scaffold, they have different substituents in their
aryl moieties. Inhibition studies along with thermodynamic and structural
characterizations of both enzymes revealed that the chloronitrobenzyl moiety of
compound 3 can open the AR specificity pocket but not that of the AKR1B10
cognate. In contrast, the larger atoms at the ortho and/or meta positions of
compound 4 prevent the AR specificity pocket from opening due to steric hindrance
and provide a tighter fit to the AKR1B10 inhibitor binding pocket, probably
enhanced by the displacement of a disordered water molecule trapped in a
hydrophobic subpocket, creating an enthalpic signature. Furthermore, this
selectivity also occurs in the cell, which enables the development of a more
efficient drug design strategy: compound 3 prevents sorbitol accumulation in
human retinal ARPE-19 cells, whereas 4 stops proliferation in human lung cancer
NCI-H460 cells.
PMID- 26549845
TI - Transdifferentiation of periodontal ligament-derived stem cells into retinal
ganglion-like cells and its microRNA signature.
AB - Retinal diseases are the leading causes of irreversible visual impairment and
blindness in the developed countries. Human retina has limited regenerative power
to replace cell loss. Stem cell replacement therapy has been proposed as a viable
option. Previously, we have induced human adult periodontal ligament stem cells
(PDLSCs) to the retinal lineage. In this study, we modified our induction
protocol to direct human adult PDLSCs into retinal ganglion-like cells and
determined the microRNA (miRNA) signature of this transdifferentiation process.
The differentiated PDLSCs demonstrated the characteristics of functional neurons
as they expressed neuronal and retinal ganglion cell markers (ATOH7, POU4F2, beta
III tubulin, MAP2, TAU, NEUROD1 and SIX3), formed synapses and showed glutamate
induced calcium responses as well as spontaneous electrical activities. The
global miRNA expression profiling identified 44 upregulated and 27 downregulated
human miRNAs after retinal induction. Gene ontology analysis of the predicted
miRNA target genes confirmed the transdifferentiation is closely related to
neuronal differentiation processes. Furthermore, the expressions of 2 miRNA
targeted candidates, VEGF and PTEN, were significantly upregulated during the
induction process. This study identified the transdifferentiation process of
human adult stem cells into retinal ganglion-like cells and revealed the
involvement of both genetic and miRNA regulatory mechanisms.
PMID- 26549846
TI - Sensitive detection of NMR for thin films.
AB - NMR can provide valuable information about thin films, but its relatively low
sensitivity allows data acquisition only from bulk samples. The sensitivity
problem is circumvented by detection schemes with higher sensitivity and/or
enhanced polarization. In most of these ingenious techniques, electrons play a
central role through hyperfine interactions with the nuclei of interest or the
conversion of the spin orientation to an electric charge. The state of the art in
NMR is the control of a single nuclear spin state, the complete form of which is
one of the ultimate goals of nanotechnology.
PMID- 26549847
TI - Generation of a High Number of Healthy Erythroid Cells from Gene-Edited Pyruvate
Kinase Deficiency Patient-Specific Induced Pluripotent Stem Cells.
AB - Pyruvate kinase deficiency (PKD) is a rare erythroid metabolic disease caused by
mutations in the PKLR gene. Erythrocytes from PKD patients show an energetic
imbalance causing chronic non-spherocytic hemolytic anemia, as pyruvate kinase
defects impair ATP production in erythrocytes. We generated PKD induced
pluripotent stem cells (PKDiPSCs) from peripheral blood mononuclear cells (PB
MNCs) of PKD patients by non-integrative Sendai viral vectors. PKDiPSCs were gene
edited to integrate a partial codon-optimized R-type pyruvate kinase cDNA in the
second intron of the PKLR gene by TALEN-mediated homologous recombination (HR).
Notably, we found allele specificity of HR led by the presence of a single
nucleotide polymorphism. High numbers of erythroid cells derived from gene-edited
PKDiPSCs showed correction of the energetic imbalance, providing an approach to
correct metabolic erythroid diseases and demonstrating the practicality of this
approach to generate the large cell numbers required for comprehensive
biochemical and metabolic erythroid analyses.
PMID- 26549848
TI - Reprogramming of Polycomb-Mediated Gene Silencing in Embryonic Stem Cells by the
miR-290 Family and the Methyltransferase Ash1l.
AB - Members of the miR-290 family are the most abundantly expressed microRNAs
(miRNAs) in mouse embryonic stem cells (ESCs). They regulate aspects of
differentiation, pluripotency, and proliferation of ESCs, but the molecular
program that they control has not been fully delineated. In the absence of Dicer,
ESCs fail to express mature miR-290 miRNAs and have selective aberrant
overexpression of Hoxa, Hoxb, Hoxc, and Hoxd genes essential for body plan
patterning during embryogenesis, but they do not undergo a full differentiation
program. Introduction of mature miR-291 into DCR(-/-) ESCs restores Hox gene
silencing. This was attributed to the unexpected regulation of Polycomb-mediated
gene targeting by miR-291. We identified the methyltransferase Ash1l as a pivotal
target of miR-291 mediating this effect. Collectively, our data shed light on the
role of Dicer in ESC homeostasis by revealing a facet of molecular regulation by
the miR-290 family.
PMID- 26549850
TI - Isolation of Human Colon Stem Cells Using Surface Expression of PTK7.
AB - Insertion of reporter cassettes into the Lgr5 locus has enabled the
characterization of mouse intestinal stem cells (ISCs). However, low cell surface
abundance of LGR5 protein and lack of high-affinity anti-LGR5 antibodies
represent a roadblock to efficiently isolate human colonic stem cells (hCoSCs).
We set out to identify stem cell markers that would allow for purification of
hCoSCs. In an unbiased approach, membrane-enriched protein fractions derived from
in vitro human colonic organoids were analyzed by quantitative mass spectrometry.
Protein tyrosine pseudokinase PTK7 specified a cell population within human
colonic organoids characterized by highest self-renewal and re-seeding capacity.
Antibodies recognizing the extracellular domain of PTK7 allowed us to isolate and
expand hCoSCs directly from patient-derived mucosa samples. Human PTK7+ cells
display features of canonical Lgr5+ ISCs and include a fraction of cells that
undergo differentiation toward enteroendocrine lineage that resemble crypt label
retaining cells (LRCs).
PMID- 26549849
TI - A Chemical Biology Study of Human Pluripotent Stem Cells Unveils HSPA8 as a Key
Regulator of Pluripotency.
AB - Chemical biology methods such as high-throughput screening (HTS) and affinity
based target identification can be used to probe biological systems on a
biomacromolecule level, providing valuable insights into the molecular mechanisms
of those systems. Here, by establishing a human embryonal carcinoma cell-based
HTS platform, we screened 171,077 small molecules for regulators of pluripotency
and identified a small molecule, Displurigen, that potently disrupts hESC
pluripotency by targeting heat shock 70-kDa protein 8 (HSPA8), the constitutively
expressed member of the 70-kDa heat shock protein family, as elucidated using
affinity-based target identification techniques and confirmed by loss-of-function
and gain-of-function assays. We demonstrated that HSPA8 maintains pluripotency by
binding to the master pluripotency regulator OCT4 and facilitating its DNA
binding activity.
PMID- 26549852
TI - Enhanced Psychosocial Support for Caregiver Burden for Patients With Chronic
Kidney Failure Choosing Not to Be Treated by Dialysis or Transplantation: A Pilot
Randomized Controlled Trial.
AB - BACKGROUND: Family caregivers of patients with chronic kidney failure have
increased burden, as reflected by their high frequency of physical and mental
disturbances. The impact of enhanced psychosocial support to caregivers of
patients with chronic kidney failure remains unclear. STUDY DESIGN: Open-label
randomized controlled trial. SETTING & PARTICIPANTS: All new patients referred to
the renal palliative clinic were screened. Caregivers of patients who met the
following criteria were recruited: (1) chronic kidney failure as defined by
creatinine clearance < 15 mL/min, (2) opted for conservative management by
nephrology team or patient, (3) never treated with dialysis or transplantation,
and (4) able to provide informed consent. INTERVENTIONS: Random assignment to
treatment with enhanced psychosocial support or standard renal care (control).
Enhanced psychosocial support included counseling and psychosocial interventions
by an on-site palliative care nurse and designated social worker. Each caregiver
was followed up at 2- to 4-week intervals for up to 6 months. OUTCOMES: Zarit
Burden Inventory (ZBI) and Hospital Anxiety and Depression Scale (HADS) in
caregivers and McGill Quality of Life scores in patients of both groups were
compared. RESULTS: 29 pairs of family caregivers/patients with chronic kidney
failure were randomly assigned (intervention, n=14; control, n=15). Mean ages of
patients and caregivers were 81.6 +/- 5.1 and 59.8 +/- 14.2 (SD) years,
respectively. The intervention group showed significantly lower ZBI scores than
the control group at 1 and 3 months (22.0 +/- 5.3 vs 31.6 +/- 9.5 and 21.3 +/-
6.6 vs 33.4 +/- 7.2; P=0.006 and P=0.009, respectively). HADS anxiety scores of
caregivers who received the intervention were significantly lower than those of
controls at 1 and 3 months (7.1 +/- 3.2 vs 10.1 +/- 2.2 and 6.5 +/- 4.5 vs 11.0
+/- 3.1; P=0.01 and P=0.03, respectively). Insignificant reductions in ZBI and
HADS scores were found at 6 months. 19 patients died (intervention, n=10;
control, n=9) during the study period. LIMITATIONS: The study is limited by a
relatively small sample size and short duration. CONCLUSIONS: Enhanced
psychosocial support program in patients with chronic kidney failure and
caregivers resulted in an early significant reduction in caregiver burden and
anxiety.
PMID- 26549851
TI - Controlling the Regional Identity of hPSC-Derived Neurons to Uncover Neuronal
Subtype Specificity of Neurological Disease Phenotypes.
AB - The CNS contains many diverse neuronal subtypes, and most neurological diseases
target specific subtypes. However, the mechanism of neuronal subtype specificity
of disease phenotypes remains elusive. Although in vitro disease models employing
human pluripotent stem cells (PSCs) have great potential to clarify the
association of neuronal subtypes with disease, it is currently difficult to
compare various PSC-derived subtypes. This is due to the limited number of
subtypes whose induction is established, and different cultivation protocols for
each subtype. Here, we report a culture system to control the regional identity
of PSC-derived neurons along the anteroposterior (A-P) and dorsoventral (D-V)
axes. This system was successfully used to obtain various neuronal subtypes based
on the same protocol. Furthermore, we reproduced subtype-specific phenotypes of
amyotrophic lateral sclerosis (ALS) and Alzheimer's disease (AD) by comparing the
obtained subtypes. Therefore, our culture system provides new opportunities for
modeling neurological diseases with PSCs.
PMID- 26549853
TI - ATPergic signalling during seizures and epilepsy.
AB - Much progress has been made over the last few decades in the identification of
new anti-epileptic drugs (AEDs). However, 30% of epilepsy patients suffer poor
seizure control. This underscores the need to identify alternative druggable
neurotransmitter systems and drugs with novel mechanisms of action. An emerging
concept is that seizure generation involves a complex interplay between neurons
and glial cells at the tripartite synapse and neuroinflammation has been proposed
as one of the main drivers of epileptogenesis. The ATP-gated purinergic receptor
family is expressed throughout the brain and is functional on neurons and glial
cells. ATP is released in high amounts into the extracellular space after
increased neuronal activity and during chronic inflammation and cell death to act
as a neuro- and gliotransmitter. Emerging work shows pharmacological targeting of
ATP-gated purinergic P2 receptors can potently modulate seizure generation,
inflammatory processes and seizure-induced brain damage. To date, work showing
the functional contribution of P2 receptors has been mainly performed in animal
models of acute seizures, in particular, by targeting the ionotropic P2X7
receptor subtype. Other ionotropic P2X and metabotropic P2Y receptor family
members have also been implicated in pathological processes following seizures
such as the P2X4 receptor and the P2Y12 receptor. However, during epilepsy, the
characterization of P2 receptors was mostly restricted to the study of
expressional changes of the different receptor subtypes. This review summarizes
the work to date on ATP-mediated signalling during seizures and the functional
impact of targeting the ATP-gated purinergic receptors on seizures and seizure
induced pathology. This article is part of the Special Issue entitled 'Purines in
Neurodegeneration and Neuroregeneration'.
PMID- 26549854
TI - Linalool reverses neuropathological and behavioral impairments in old triple
transgenic Alzheimer's mice.
AB - Alzheimer's disease (AD) is an age-related progressive neurodegenerative
disorder. Several types of treatments have been tested to block or delay the
onset of the disease, but none have been completely successful. Diet, lifestyle
and natural products are currently the main scientific focuses. Here, we evaluate
the effects of oral administration of the monoterpene linalool (25 mg/kg), every
48 h for 3 months, on aged (21-24 months old) mice with a triple transgenic model
of AD (3xTg-AD) mice. Linalool-treated 3xTg-AD mice showed improved learning and
spatial memory and greater risk assessment behavior during the elevated plus
maze. Hippocampi and amygdalae from linalool-treated 3xTg-AD mice exhibited a
significant reduction in extracellular beta-amyloidosis, tauopathy, astrogliosis
and microgliosis as well as a significant reduction in the levels of the pro
inflammatory markers p38 MAPK, NOS2, COX2 and IL-1beta. Together, our findings
suggest that linalool reverses the histopathological hallmarks of AD and restores
cognitive and emotional functions via an anti-inflammatory effect. Thus, linalool
may be an AD prevention candidate for preclinical studies.
PMID- 26549856
TI - Breathing a little bit easier ....
PMID- 26549855
TI - A potential prognostic long non-coding RNA signature to predict metastasis-free
survival of breast cancer patients.
AB - Long non-coding RNAs (lncRNAs) have been implicated in a variety of biological
processes, and dysregulated lncRNAs have demonstrated potential roles as
biomarkers and therapeutic targets for cancer prognosis and treatment. In this
study, by repurposing microarray probes, we analyzed lncRNA expression profiles
of 916 breast cancer patients from the Gene Expression Omnibus (GEO). Nine
lncRNAs were identified to be significantly associated with metastasis-free
survival (MFS) in the training dataset of 254 patients using the Cox proportional
hazards regression model. These nine lncRNAs were then combined to form a single
prognostic signature for predicting metastatic risk in breast cancer patients
that was able to classify patients in the training dataset into high- and low
risk subgroups with significantly different MFSs (median 2.4 years versus 3.0
years, log-rank test p < 0.001). This nine-lncRNA signature was similarly
effective for prognosis in a testing dataset and two independent datasets.
Further analysis showed that the predictive ability of the signature was
independent of clinical variables, including age, ER status, ESR1 status and
ERBB2 status. Our results indicated that lncRNA signature could be a useful
prognostic marker to predict metastatic risk in breast cancer patients and may
improve upon our understanding of the molecular mechanisms underlying breast
cancer metastasis.
PMID- 26549857
TI - Arm flexion during ultrasound assists localization of an intramuscular
etonogestrel contraceptive implant.
AB - A nonpalpable etonogestrel implant was identified by high-frequency ultrasound in
the biceps muscle 4-6mm below the skin. Active elbow flexion resulted in proximal
movement of the implant relative to the ultrasound probe, suggesting localization
in the muscle. This maneuver may assist in verifying intramuscular placement
prior to surgical excision.
PMID- 26549858
TI - tRNA--the golden standard in molecular biology.
AB - Transfer RNAs (tRNAs) represent a major class of RNA molecules. Their primary
function is to help decode a messenger RNA (mRNA) sequence in order to synthesize
protein and thus ensures the precise translation of genetic information that is
imprinted in DNA. The discovery of tRNA in the late 1950's provided critical
insight into a genetic machinery when little was known about the central dogma of
molecular biology. In 1965, Robert Holley determined the first nucleotide
sequence of alanine transfer RNA (tRNA(Ala)) which earned him the 1968 Nobel
Prize in Physiology or Medicine. Today, tRNA is one of the best described and
characterized biological molecules. Here we review some of the key historical
events in tRNA research which led to breakthrough discoveries and new
developments in molecular biology.
PMID- 26549860
TI - Multi-walled carbon nanotubes as solid-phase extraction sorbents for simultaneous
determination of type A trichothecenes in maize, wheat and rice by ultra-high
performance liquid chromatography-tandem mass spectrometry.
AB - A solid-phase extraction (SPE) procedure using multi-walled carbon nanotubes
(MWCNTs) as sorbents coupled with ultra-high performance liquid chromatography
tandem mass spectrometry (UHPLC-MS/MS) was developed for simultaneous
determination of four type A trichothecenes in maize, wheat and rice for the
first time. Several key parameters including the composition of sample loading
solutions, washing and elution solvents were thoroughly investigated to achieve
optimal SPE recoveries and efficiency. Performance of the MWCNTs materials was
significantly affected by pH, and after optimization, n-hexane and 5% methanol
aqueous solution as the washing solutions and methanol containing 1% formic acid
as the elution solvent presented an excellent purification efficiency for the
four targets in the different matrices. The method was validated by determining
the linearity (R(2)>=0.992), recovery (73.4-113.7%), precision (1.2-17.1%) and
sensitivity (limit of quantification in the range of 0.02-0.10MUg/kg), and was
further applied for simultaneous determination of type A trichothecenes in 30
samples. Although low contamination levels of type A trichothecenes in wheat,
maize and rice were observed revealing mitigated risks to consumers in Shanghai,
China, the developed method has proven to be a valuable tool for type A
trichothecenes monitoring in complex crop matrices.
PMID- 26549861
TI - Relationships between rabbit semen characteristics and fertilising ability after
insemination.
AB - This study aimed to analyse the relationship between rabbit semen characteristics
and semen fertilising ability after insemination, which is generally found to be
weak. Our hypothesis was that using high semen dilutions (1 : 19), non-oestrus
stimulated does, and homospermic inseminations would make it easier to predict
semen fertilising ability. Semen characteristics were evaluated on 275 ejaculates
of 128 INRA1001 bucks, distributed into five successive batches. A total of 1970
inseminations were performed. The continuous semen variables were subdivided into
three classes of similar size to account for any non-linear relationship between
semen characteristics and fertilising ability. Mass motility was divided into two
classes according to the presence or absence of waves under microscope
observation. Libido, the presence or absence of gel, volume, percentage of
progressive sperms, curvilinear velocity, beat frequency of the flagellum, and
straightness and linearity of sperm movement did not affect fertility,
prolificacy or productivity. It was confirmed that mass motility, estimated by
visual observation under the microscope, significantly influenced fertility as
well as the percentage of motile and of rapid sperms, and the amplitude of
lateral head displacement, estimated by a computer-assisted semen analysis
system. To a lesser extent, the percentage of motile cells and of rapid cells
significantly influenced prolificacy. Consequently, mass motility and the
percentage of motile cells significantly influenced rabbit doe productivity (+1
live births/AI when the semen showed at least a beginning of wave movement, or
when the percentage of motile cells was >84%). Interestingly, a gain of 1.5
rabbits was observed when the percentage of rapid cells changed from 64% to 79%,
whereas productivity significantly dropped beyond 83% of rapid cells, reflecting
a non-linear relationship.
PMID- 26549859
TI - Whole genome re-sequencing of date palms yields insights into diversification of
a fruit tree crop.
AB - Date palms (Phoenix dactylifera) are the most significant perennial crop in arid
regions of the Middle East and North Africa. Here, we present a comprehensive
catalogue of approximately seven million single nucleotide polymorphisms in date
palms based on whole genome re-sequencing of a collection of 62 cultivars.
Population structure analysis indicates a major genetic divide between North
Africa and the Middle East/South Asian date palms, with evidence of admixture in
cultivars from Egypt and Sudan. Genome-wide scans for selection suggest at least
56 genomic regions associated with selective sweeps that may underlie geographic
adaptation. We report candidate mutations for trait variation, including nonsense
polymorphisms and presence/absence variation in gene content in pathways for key
agronomic traits. We also identify a copia-like retrotransposon insertion
polymorphism in the R2R3 myb-like orthologue of the oil palm virescens gene
associated with fruit colour variation. This analysis documents patterns of post
domestication diversification and provides a genomic resource for this
economically important perennial tree crop.
PMID- 26549862
TI - Atrophy of type I and II muscle fibers is reversible in the case of grade >2
fatty degeneration of the supraspinatus muscle: an experimental study in rabbits.
AB - BACKGROUND: Although clinical investigations indicate that the limit of
reversibility of rotator cuff muscles fibers type I and II atrophy is grade 2 of
fatty degeneration (FD) according to the Goutallier computed tomography
classification, little is known about the morphometric verification of these
findings. METHODS: The supraspinatus tendon was detached from the greater
tubercle and the infraspinatus and subscapularis in 12 rabbits, and a 12-week
observation period followed. This proved to be sufficient for development of
grade >2 FD of the supraspinatus tendon. The tendon was then reinserted. The
animals were euthanized 24 weeks after tendon reconstruction. The sections of
middle part of supraspinatus were stained for adenosine triphosphatase reaction,
and morphometric measurements were taken of type I and II muscle fiber diameters.
The contralateral shoulders served as controls. RESULTS: The macroscopic
inspection of the supraspinatus tendons revealed complete healing in all cases.
No statistically significant differences were found between controls and operated
on shoulders for type I (P = .13) and type II (P = .55) muscle fibers.
CONCLUSIONS: Atrophy of type I and II muscle fibers in rabbit supraspinatus
muscle, characterized by grade >2 fatty degeneration according to the Goutallier
computed tomography classification, is reversible after 24 weeks from
reattachment of its tendon. A requirement for type I and II muscle fibers
hypertrophy is a change in the biomechanical and functional conditions of the
muscle after its tendon is reconstructed.
PMID- 26549863
TI - Reimplantation surgery in patients with implantable cardioverter defibrillators:
A qualitative study.
AB - OBJECTIVE: The purpose of this study was to examine the experience of recurrent
surgery for patients with implantable cardioverter defibrillators (ICD).
BACKGROUND: Device replacement is typically required every 4-7 years due to
battery depletion. Furthermore, recurrent surgery may be related to lead
malfunction or pocket infection. METHODS: Ethnographic methods were used for data
collection with 23 ICD reimplanted recipients, 12 men and 11 women, 26-85 years
of age. Data were analyzed using a with-in case and cross-case method. RESULTS:
Three major themes were identified: Anticipation regarding implantation with
three sub-themes of logistics and social considerations, decisions regarding
replacement, and financial considerations. Relinquishing control and somatic
changes frame perceptions related to the operative and postoperative periods.
CONCLUSIONS: The frequency of ICD reimplantation differentiates it from other
surgical interventions. Perceptions varied according to past experiences and
present day social, financial, and somatic differences. Recipients are resolved
to life-long surgery aided by their trust in health care professionals.
PMID- 26549864
TI - Depression, anxiety and stress among patients with dialysis and the association
with quality of life.
AB - Studies addressing the nature of relationship between psychological symptoms and
quality of life among dialysis patients in Malaysia are scarce. Hence, this study
is intended to investigate the association between psychological symptoms such as
depression, anxiety and stress on the quality of life in dialysis patients. A
cross sectional multicentre study was conducted from May to October 2012 at 15
centres that provide haemodialysis and/or peritoneal dialysis. Apart from socio
demographic profile data collection, WHOQOL-BREF and DASS21 questionnaires were
administered to study subjects. All three psychological symptoms had significant
impact on quality of life domains of physical health, psychological health,
social impact, perceived environment and overall quality of life. These findings
suggest that subjects with symptoms of depression, anxiety and stress had poorer
quality of life than those without, highlighting the negative impact of
psychological symptoms.
PMID- 26549865
TI - A Delphi consensus study on salvage brachytherapy for prostate cancer relapse
after radiotherapy, a Uro-GEC study.
AB - BACKGROUND AND PURPOSE: Patients treated with low radiotherapy dose or treated at
young age are at a risk of developing local relapse. Although data are
preliminary, brachytherapy seems an attractive treatment option for recurrent
prostate cancer after previous radiotherapy. Therefore, the UroGEC group of the
GEC-ESTRO conducted a Delphi study, to explore expert opinion on the management
of salvage prostate brachytherapy. MATERIAL AND METHODS: For this study, a series
of digital questionnaires were sent, which enabled data collection from an
international group of experienced participants. Consensus was defined as 80%
agreement for each question. RESULTS: Eighteen experts completed all rounds of
the Delphi study. After the final round consensus was reached on 17 out of 38
(45%) questions. Consensus was reached in 52% of questions about patient
selection, in 50% of the questions about diagnostic tests and in 22% of the
questions on performing salvage prostate brachytherapy. CONCLUSIONS: The group
was able to find consensus on less than half of the questions. Most consensus was
reached on topics involving patient selection and diagnostic tests, where
participants could build on their experience of daily practice. However, the way
to perform the salvage treatment is less established and results in more
disagreement between participants.
PMID- 26549866
TI - Innominate and Axillary Cannulation in Aortic Arch Surgery Provide Similar
Neuroprotection.
AB - BACKGROUND: Contemporaneous trends in cerebral protection during aortic arch
surgery include moderate hypothermia (22 degrees C-28 degrees C) and continuous
antegrade cerebral perfusion (ACP). Innominate artery cannulation is a
simplified, alternative route for ACP; however, clinical outcomes have yet to be
evaluated against the gold standard of axillary cannulation. METHODS: Between
2008 and 2015, 140 consecutive patients underwent hemiarch reconstruction with
moderate hypothermia and continuous ACP at 2 institutions. Axillary cannulation
was used in 74 patients (31.1% female, 64.8 +/- 12.7 years) and the remaining 66
patients (24.2% female, 60.8 +/- 10.5 years) had direct cannulation of the
innominate artery for delivery of ACP. RESULTS: Although there were no
statistically significant differences in complications, neurological events were
almost twice as frequent in innominate (19.7%) than in axillary (10.8%; P =
0.142) whereas prolonged mechanical ventilation was much more common with
axillary (17.6%) vs innominate (7.6%; P = 0.078). There were no mortalities in
the axillary group and 1 in the innominate group (0% vs 1.5%; P > 0.471) and no
statistically significant differences in any other postoperative complications or
hospital length of stay. There was a reduction in total operating room time in
the innominate group (axillary 454 +/- 115 minutes, innominate 318 +/- 125
minutes; P < 0.001), and in the matched subgroup analysis of patients who
underwent Bentall and hemiarch reconstruction (axillary 456 +/- 109 minutes,
innominate 370 +/- 106 minutes; P = 0.003). CONCLUSIONS: Axillary and innominate
artery cannulation for ACP during proximal aortic arch reconstructive surgery
resulted in similarly excellent neurological outcomes. Innominate artery
cannulation might reduce total surgical time. Possible clinically relevant
differences in neurological and respiratory complications require assessment in
randomized controlled trials.
PMID- 26549867
TI - The emerging age of endovascular treatment of acute ischaemic stroke and the role
of CT angiography in patient work-up: a guide for the radiologist.
AB - Recent trial evidence suggests that for patients suffering large-vessel occlusive
stroke, endovascular therapy based on the stent-retriever technique is associated
with superior clinical outcomes when compared to intravenous thrombolysis alone.
The challenge now is how this service is to be delivered. This may involve both
centralisation of services around large cities and development of robust networks
to receive patients from district general hospitals situated further afield. Both
diagnostic and interventional neuroradiology will need to expand. Furthermore, we
suggest that it would be advantageous for radiology departments in those
hospitals receiving hyperacute stroke patients to perform computed tomography
(CT) angiography in addition to non-contrast CT, which also has implications for
service delivery in these units. This could swiftly aid identification of
patients who might benefit from thrombectomy and improve decision-making through
demonstration of occlusive thrombus and of collateral status.
PMID- 26549868
TI - Fluoroscopically guided transforaminal epidural steroid injections at a
quaternary-care teaching institution: effect of trainee involvement and patient
body mass index on fluoroscopy time and patient dose.
AB - AIM: To investigate whether there are differences in fluoroscopy time and patient
dose for fluoroscopically guided lumbar transforaminal epidural steroid
injections (TFESIs) performed by staff radiologists versus with trainees and to
evaluate the effect of patient body mass index (BMI) on fluoroscopy time and
patient dose, including their interactions with other variables. MATERIALS AND
METHODS: Single-level lumbar TFESIs (n=1844) between 1 January 2011 and 31
December 2013 were reviewed. Fluoroscopy time, reference point air kerma (Ka,r),
and kerma area product (KAP) were recorded. BMI and trainee involvement were
examined as predictors of fluoroscopy time, Ka,r, and KAP in models adjusted for
age and gender in multivariable linear models. Stratified models of BMI groups by
trainee presence were performed. RESULTS: Increased age was the only significant
predictor of increased fluoroscopy time (p<0.0001). Ka,r and KAP were
significantly higher in patients with a higher BMI (p<0.0001 and p=0.0009). When
stratified by BMI, longer fluoroscopy time predicted increased Ka,r and KAP in
all groups (p<0.0001). Trainee involvement was not a statistically significant
predictor of fluoroscopy time or Ka,r in any BMI category. KAP was lower with
trainees in the overweight group (p=0.0009) and higher in male patients for all
BMI categories (p<0.02). CONCLUSION: Trainee involvement did not result in
increased fluoroscopy time or patient dose. BMI did not affect fluoroscopy time;
however, overweight and obese patients received significantly higher Ka,r and
KAP. Male patients received a higher KAP in all BMI categories. Limiting
fluoroscopy time and good collimation practices should be reinforced in these
patients.
PMID- 26549869
TI - Do split sleep/wake schedules reduce or increase sleepiness for continuous
operations?
AB - This study compared the impact of split and consolidated sleep/wake schedules on
subjective sleepiness during the biological day and biological night. This was
achieved using a between-group design involving two forced desynchrony protocols:
consolidated sleep/wake and split sleep/wake. Both protocols included 7*28-h days
with 9.33h in bed and 18.67h of wake each day. While the consolidated sleep/wake
protocol had 1*9.33-h sleep opportunity and 1*18.67-h wake period each day, the
split sleep/wake protocol had 2*4.67-h sleep opportunities and 2*9.33-h wake
periods each day. For both protocols, subjective sleepiness was measured using
the Karolinska Sleepiness Scale every 2.5h during wake. A total of 29 healthy
adult males participated, with 13 in the consolidated sleep/wake group (mean
age=22.5 yrs) and 16 in the split sleep/wake group (mean age=22.6 yrs). On
average, subjective sleepiness during wake periods of the split condition was
significantly higher than that during the first half of wake periods of the
consolidated condition, but was similar to the level during the second half.
These findings were observed for wake periods that occurred during both the
biological day and biological night. Previous data have shown that cognitive
impairment at night is lower for split schedules than consolidated schedules, but
the current data indicate that feelings of sleepiness are greater for split
schedules than consolidated schedules for at least half of the time awake. Thus,
it should be explained to people operating split sleep/wake schedules that
although they may perform well, they are likely to feel sleepy.
PMID- 26549870
TI - Structural design, synthesis and pharmacological evaluation of 4-thiazolidinones
against Trypanosoma cruzi.
AB - Chagas disease is an infection caused by protozoan Trypanosoma cruzi, which
affects approximately 8-10million people worldwide. Benznidazole is the only drug
approved for treatment during the acute and asymptomatic chronic phases of Chagas
disease; however, it has poor efficacy during the symptomatic chronic phase.
Therefore, the development of new pharmaceuticals is needed. Here, we employed
the bioisosterism to modify a potent antiparasitic and cruzain-inhibitor aryl
thiosemicarbazone (4) into 4-thiazolidinones (7-21). Compounds (7-21) were
prepared by using a straightforward synthesis and enabled good to excellent
yields. As a chemical elucidation tool, X-ray diffraction of compound (10)
revealed the geometry and conformation of this class compounds. The screening
against cruzain showed that 4-thiazolidinones were less active than
thiosemicarbazone (4). However, the antiparasitic activity in Y strain
trypomastigotes and host cell cytotoxicity in J774 macrophages revealed that
compounds (10 and 18-21) are stronger and more selective antiparasitic agents
than thiosemicarbazone (4). Specifically, compounds (18-20), which carry a phenyl
at position N3 of heterocyclic ring, were the most active ones, suggesting that
this is a structural determinant for activity. In infected macrophages, compounds
(18-20) reduced intracellular amastigotes, whereas Benznidazole did not. In T.
cruzi-infected mice treated orally with 100mg/kg of compound (20), a decreased of
parasitemia was observed. In conclusion, we demonstrated that the conversation of
thiosemicarbazones into 4-thiazolidinones retains pharmacological property while
enhances selectivity.
PMID- 26549871
TI - [A social-health care coordination reference in the fields of mental health and
child abuse].
AB - The intervention in families with children at risk of abuse stays as a clear
example of the need for intersectional coordination mechanisms within the socio
health care framework. Different health services (such as primary care,
paediatrics, mental health, community and social services, family support teams
and schools) create a network in order to link their main goals in the interest
of ensuring children's welfare and improving families situation. This essay aims
at describing a performance based on the mentioned guidelines, even though there
is no accepted and widespread protocol in this regard. We start our research with
a one parent family with two children. The mother suffers from a mental health
disorder and she fails to adhere to treatment. Both the father of the two
children and his family took advantage of this situation to discredit the
mother's capability of taking care of her children. This perception had a great
impact in her self-esteem and therefore in her willingness and strength to
recover. Meetings were held to share relevant information about both the family's
general situation, the children's quality of life and the mother's health. Based
on this information, the main goals were set in each professional field in order
to develop the intervention project. This example of intersectional coordination
shows the importance of its standardization for the sake of ensuring a
comprehensive attention towards situations that involve initially individuals but
that ends up affecting the whole family.
PMID- 26549872
TI - Concurrent visualization of crossing renal vessels with split-bolus magnetic
resonance urography.
PMID- 26549873
TI - High success rate with new modified endoscopic treatment for high-grade VUR: A
pilot study with preliminary report.
AB - PURPOSE: Despite the benefits of the minimally invasive endoscopic treatment for
vesicoureteral reflux (VUR) it has a major drawback which is low success rate in
high grade VUR. For overcoming this problem, we introduce a new modified
technique of endoscopic treatment called periureteral injection technique (PIT).
MATERIALS AND METHODS: In a prospective study a total of 37 ureters in 19 boys
and 14 girls were treated, including 3 bilateral cases. Of 37 units, 30 (81.1%)
had grade IV and 7 (18.9%) had grade V primary VUR (18 right, 13 left and 3
bilateral units). Subureteral injection of Vantris((r)) was done at the 5-o'clock
and 7-o'clock positions in which the direction of injecting needles were almost
parallel. Pre- and post-operative evaluation included urinalysis, urinary tract
ultrasonography, voiding cystourethrography (VCUG), dimercaptosuccinic acid scan
and urodynamic studies. RESULTS: The median age was 38 months (range 8-125). At 6
months follow up period confirmed with VCUG, the VUR has been disappeared in 34
(91.8%) units and 3 units [2 (5.4%) grade II and 1 (2.7%) had grade III)] had
downgraded VUR. Complications included early fever due to urinary tract infection
in 1 children, transient dysuria in 2 patients and low back pain in one patient
(Summary Table). CONCLUSION: The success rate of PIT for treatment of high grade
VUR is high. However, further studies with more patients and longer follow up
periods are needed to draw final conclusion.
PMID- 26549874
TI - The structure of Resuscitation promoting factor B from M. tuberculosis reveals
unexpected ubiquitin-like domains.
AB - BACKGROUND: RpfB is a key factor in resuscitation from dormancy of Mycobacterium
tuberculosis. This protein is a cell-wall glycosidase, which cleaves cell-wall
peptidoglycan. RpfB is structurally complex and is composed of three types of
domains, including a catalytic, a G5 and three DUF348 domains. Structural
information is currently limited to a portion of the protein including only the
catalytic and G5 domains. To gain insights into the structure and function of all
domains we have undertaken structural investigations on a large protein fragment
containing all three types of domains that constitute RpfB (RpfB3D). METHODS: The
structural features of RpfB3D have been investigated combining x-ray
crystallography and biophysical studies. RESULTS AND CONCLUSIONS: The crystal
structure of RpfB3D provides the first structural characterization of a DUF348
domain and revealed an unexpected structural relationship with ubiquitin. The
crystal structure also provides specific structural features of these domains
explaining their frequent association with G5 domains. GENERAL SIGNIFICANCE:
Results provided novel insights into the mechanism of peptidoglycan degradation
necessary to the resuscitation of M. tuberculosis. Features of the DUF348 domain
add structural data to a large set of proteins embedding this domain. Based on
its structural similarity to ubiquitin and frequent association to the G5 domain,
we propose to name this domain as G5-linked-Ubiquitin-like domain, UBLG5.
PMID- 26549875
TI - Circulatory changes associated with the closure of the ductus arteriosus in
hatching emu (Dromaius novaehollandiae).
AB - In developing avian embryos, the right and left ductus arteriosi (DA) allow for a
shunt of systemic venous return away from the lungs to the body and
chorioallantoic membrane (CAM). Unlike in mammals where the transition from
placental respiration to lung respiration is instantaneous, in birds the
transition from embryonic CAM respiration to lung respiration can take over 24h.
To understand the physiological consequences of this long transition we examined
circulatory changes and DA morphological changes during hatching in the emu
(Dromaius novaehollandiae), a primitive ratite bird. By tracking microspheres
injected into a CAM vein, we observed no change in DA blood flow between the pre
pipped to internally pipped stages. Two hours after external pipping, however, a
significant decrease in DA blood flow occurred, evident from a decreased systemic
blood flow and subsequent increased lung blood flow. Upon hatching, the right-to
left shunt disappeared. These physiological changes in DA blood flow correspond
with a large decrease in DA lumen diameter from the pre-pipped stages to Day 1
hatchlings. Upon hatching, the right-to-left shunt disappeared and at the same
time apoptosis of smooth muscle cells began remodeling the DA for permanent
closure. After the initial smooth muscle contraction, the lumen disappeared as
intimal cushioning formed, the internal elastic lamina degenerated, and numerous
cells underwent regulated apoptosis. The DA closed rapidly between the initiation
of external pipping and hatching, resulting in circulatory patterns similar to
the adult. This response is most likely produced by increased DA constriction in
response to increased arterial oxygen levels and the initiation of vessel
remodeling.
PMID- 26549876
TI - A comparative study of the response to repeated chasing stress in Atlantic salmon
(Salmo salar L.) parr and post-smolts.
AB - When Atlantic salmon parr migrate from fresh water towards the sea, they undergo
extensive morphological, neural, physiological and behavioural changes. Such
changes have the potential to affect their responsiveness to various
environmental factors that impose stress. In this study we compared the stress
responses in parr and post-smolt salmon following exposure to repeated chasing
stress (RCS) for three weeks. At the end of this period, all fish were challenged
with a novel stressor and sampled before (T0) and after 1h (T1). Parr had a
higher growth rate than post-smolts. Plasma cortisol declined in the RCS groups
within the first week suggesting a rapid habituation/desensitisation of the
endocrine stress axis. As a result of the desensitised HPI axis, RCS groups
showed a reduced cortisol response when exposed to the novel stressor. In
preoptic area (POA) crf mRNA levels were higher in all post-smolt groups compared
to parr. 11betahsd2 decreased by RCS and by the novel stressor in post-smolt
controls (T1), whereas no effect of either stress was seen in parr. The grs were
low in all groups except for parr controls. In pituitary, parr controls had
higher levels of crf1r mRNA than the other parr and post-smolt groups, whilst
pomcb was higher in post-smolt control groups. Overall, 11betahsd2 transcript
abundance in parr was lower than post-smolt groups; after the novel stressor
pomcs, grs and mr were up-regulated in parr control (T1). In summary, we
highlight differences in the central stress response between parr and post-smolt
salmon following RCS.
PMID- 26549878
TI - Peroxyl radical reactions with carotenoids in microemulsions: Influence of
microemulsion composition and the nature of peroxyl radical precursor.
AB - The reactions of acetylperoxyl radicals with different carotenoids (7,7'-dihydro
beta-carotene and zeta-carotene) in SDS and CTAC microemulsions of different
compositions were investigated using laser flash photolysis (LFP) coupled with
kinetic absorption spectroscopy. The primary objective of this study was to
explore the influence of microemulsion composition and the type of surfactant
used on the yields and kinetics of various transients formed from the reaction of
acetylperoxyl radicals with carotenoids. Also, the influence of the site
(hydrocarbon phases or aqueous phase) of generation of the peroxyl radical
precursor was examined by using 4-acetyl-4-phenylpiperidine hydrochloride
(APPHCl) and 1,1-diphenylacetone (11DPA) as water-soluble and lipid-soluble
peroxyl radical precursors, respectively. LFP of peroxyl radical precursors with
7,7'-dihydro-beta-carotene (77DH) in different microemulsions gives rise to the
formation of three distinct transients namely addition radical (lambdamax=460
nm), near infrared transient1 (NIR, lambdamax=700 nm) and 7,7'-dihydro-beta
carotene radical cation (77DH(*+), lambdamax=770 nm). In addition, for zeta
carotene (ZETA) two transients (near infrared transient1 (NIR1, lambdamax=660 nm)
and zeta-carotene radical cation (ZETA(*+), lambdamax=730-740 nm)) are generated
following LFP of peroxyl radical precursors in the presence of zeta-carotene
(ZETA) in different microemulsions. The results show that the composition of the
microemulsion strongly influences the observed yield and kinetics of the
transients formed from the reactions of peroxyl radicals (acetylperoxyl radicals)
with carotenoids (77DH and ZETA). Also, the type of surfactant used in the
microemulsions influences the yield of the transients formed. The dependence of
the transient yields and kinetics on microemulsion composition (or the type of
surfactant used in the microemulsion) can be attributed to the change of the
polarity of the microenvironment of the carotenoid. Furthermore, the nature of
the peroxyl radical precursor used (water-soluble or lipid-soluble peroxyl
radical precursors) has little influence on the yields and kinetics of the
transients formed from the reaction of peroxyl radicals with carotenoids. In the
context of the interest in carotenoids as radical scavenging antioxidants, the
fates of the addition radicals (formed from the reaction of carotenoid with
peroxyl radicals) and carotenoid radical cations are discussed.
PMID- 26549879
TI - Consensus for defining and reporting complications after esophagectomy: an
important new step in place for using the same language.
PMID- 26549877
TI - Age-related loss of hepatic Nrf2 protein homeostasis: Potential role for
heightened expression of miR-146a.
AB - Nrf2 regulates the expression of numerous anti-oxidant, anti-inflammatory, and
metabolic genes. We observed that, paradoxically, Nrf2 protein levels decline in
the livers of aged rats despite the inflammatory environment evident in that
organ. To examine the cause(s) of this loss, we investigated the age-related
changes in Nrf2 protein homeostasis and activation in cultured hepatocytes from
young (4-6 months) and old (24-28 months) Fischer 344 rats. While no age
dependent change in Nrf2 mRNA levels was observed (p>0.05), Nrf2 protein content,
and the basal and anetholetrithione (A3T)-induced expression of Nrf2-dependent
genes were attenuated with age. Conversely, overexpression of Nrf2 in cells from
old animals reinstated gene induction. Treatment with A3T, along with bortezomib
to inhibit degradation of existing protein, caused Nrf2 to accumulate
significantly in cells from young animals (p<0.05), but not old, indicating a
lack of new Nrf2 synthesis. We hypothesized that the loss of Nrf2 protein
synthesis with age may partly stem from an age-related increase in microRNA
inhibition of Nrf2 translation. Microarray analysis revealed that six microRNAs
significantly increase >2-fold with age (p<0.05). One of these, miRNA-146a, is
predicted to bind Nrf2 mRNA. Transfection of hepatocytes from young rats with a
miRNA-146a mimic caused a 55% attenuation of Nrf2 translation that paralleled the
age-related loss of Nrf2. Overall, these results provide novel insights for the
age-related decline in Nrf2 and identify new targets to maintain Nrf2-dependent
detoxification with age.
PMID- 26549880
TI - Effects of tertiapin-Q and ZD7288 on changes in sinoatrial pacemaker rhythm
during vagal stimulation.
AB - Heart rate slowing produced by cardiac parasympathetic (vagal) stimulation is
thought to be the result of modulation of the acetylcholine-activated K(+)
current (IK,ACh) and the pacemaker current (If) in sinoatrial (SAN) pacemaker
cells. However, the contribution of these and other ion currents to vagal slowing
is controversial. Here, we examined the contributions of IK,ACh and If to vagal
slowing in 15 isolated, vagal-innervated preparations of guinea-pig atria, using
300 nM tertiapin-Q (TQ) and 2 MUM ZD7288 to obtain full and substantial block of
these currents, respectively. Blocking IK,ACh alone reduced atrial rate responses
to 10-s trains of regular vagal stimulation (supramaximal stimulation, 2-ms
duration, 1-10 Hz) by ~50% (P<0.01; N=11); blocking If alone had no effect (N=7).
Blocking both IK,ACh and If produced ~90% reduction (P<0.01; N=4). Atrial cycle
length response to a single burst of vagal stimuli (3 stimuli at 50 Hz),
delivered at the optimum phase of the cycle was strongly suppressed by blocking
IK,ACh (reduced by 98%; P<0.01; N=9), and modestly reduced by blocking If alone
(by ~43%; P=0.20; N=6). The response was abolished by combined block of IK,ACh
and If (P=0.04; N=4). Our data show that modulation of IK,ACh and If is
sufficient to account for all the vagal slowing observed in this preparation. The
vagally-induced negative shift in activation potential for If will be opposed by
hyperpolarisation of SAN through activation of IK,ACh. Thus removal of IK,ACh by
TQ may have exaggerated the overall contribution of If to vagal slowing.
PMID- 26549881
TI - Ineffective VTA Disinhibition in Protracted Opiate Withdrawal.
AB - Recently, Kaufling and Aston-Jones showed that, under protracted opiate
withdrawal, control of dopamine activity by the tail of the ventral tegmental
area shifts from a bidirectional influence towards one-way inhibition. Beyond
dysphoric states accompanying withdrawal, these results may also impact research
on depression and individual differences in coping and affect.
PMID- 26549883
TI - Long-Range Attention Networks: Circuit Motifs Underlying Endogenously Controlled
Stimulus Selection.
AB - Attention networks comprise brain areas whose coordinated activity implements
stimulus selection. This selection is reflected in spatially referenced priority
maps across frontal-parietal-collicular areas and is controlled through
interactions with circuits representing behavioral goals, including prefrontal,
cingulate, and striatal circuits, among others. We review how these goal
providing structures control stimulus selection through long-range dynamic
projection motifs. These motifs (i) combine feature-tuned subnetworks to a
distributed priority map, (ii) establish endogenously controlled, long-range
coherent activity at 4-10 Hz theta and 12-30 Hz beta-band frequencies, and (iii)
are composed of unique cell types implementing long-range networks through
disynaptic disinhibition, dendritic gating, and feedforward inhibitory gain
control. This evidence reveals common circuit motifs used to coordinate
attentionally selected information across multi-node brain networks during goal
directed behavior.
PMID- 26549882
TI - Innate Immunity Fights Alzheimer's Disease.
AB - Alzheimer's disease (AD) is the most common age-related dementia. Pathognomonic
accumulation of cerebral beta-amyloid plaques likely results from imbalanced
production and removal of amyloid-beta (Abeta) peptides. In AD, innate immune
cells lose their ability to restrict cerebral Abeta accumulation. At least in
principle, mononuclear phagocytes can be enlisted to clear Abeta/beta-amyloid
from the brain. While the classical focus has been on dampening neuroinflammation
in the context of AD, we hypothesize that rebalancing cerebral innate immunity by
inhibiting actions of key anti-inflammatory cytokines returns the brain to a
physiological state. Recent experiments demonstrating beneficial effects of
blocking anti-inflammatory cytokine signaling in preclinical mouse models provide
supportive evidence. This concept represents an important step toward innate
immune-targeted therapy to combat AD.
PMID- 26549884
TI - Neuropeptide Y: An Anti-Aging Player?
AB - Accumulating evidence suggests that neuropeptide Y (NPY) has a role in aging and
lifespan determination. In this review, we critically discuss age-related changes
in NPY levels in the brain, together with recent findings concerning the
contribution of NPY to, and impact on, six hallmarks of aging, specifically: loss
of proteostasis, stem cell exhaustion, altered intercellular communication,
deregulated nutrient sensing, cellular senescence, and mitochondrial dysfunction.
Understanding how NPY contributes to, and counteracts, these hallmarks of aging
will open new avenues of research on limiting damage related to aging.
PMID- 26549885
TI - New Mendelian Disorders of Painlessness.
AB - Erroneous activation of the pain-sensing system, as in chronic or neuropathic
pain, represents a major health burden with insufficient treatment options.
However, the study of genetic disorders rendering individuals completely unable
to feel pain offers hope. All causes of congenital painlessness affect
nociceptors, evolutionarily conserved specialist neurons able to sense all type
of tissue damage. The discovery of new genes essential for sensing pain (SCN11A,
PRDM12, and CLTCL1) has provided unexpected insights into the biological
mechanisms that drive distinct stages of nociception. Drugs targeting two
previously discovered painlessness genes, NGF and SCN9A, are currently in late
stage clinical trials; thus, characterization of these new painlessness genes has
significant potential for the generation of new classes of analgesics.
PMID- 26549886
TI - Neural Cross-Frequency Coupling: Connecting Architectures, Mechanisms, and
Functions.
AB - Neural oscillations are ubiquitously observed in the mammalian brain, but it has
proven difficult to tie oscillatory patterns to specific cognitive operations.
Notably, the coupling between neural oscillations at different timescales has
recently received much attention, both from experimentalists and theoreticians.
We review the mechanisms underlying various forms of this cross-frequency
coupling. We show that different types of neural oscillators and cross-frequency
interactions yield distinct signatures in neural dynamics. Finally, we associate
these mechanisms with several putative functions of cross-frequency coupling,
including neural representations of multiple environmental items, communication
over distant areas, internal clocking of neural processes, and modulation of
neural processing based on temporal predictions.
PMID- 26549887
TI - Scattering attributes of one-dimensional semiconducting oxide nanomaterials
individually probed for varying light-matter interaction angles.
AB - We report the characteristic optical responses of one-dimensional semiconducting
oxide nanomaterials by examining the individual nanorods (NRs) of ZnO, SnO2,
indium tin oxide, and zinc tin oxide under precisely controlled, light-matter
interaction geometry. Scattering signals from a large set of NRs of the different
types are evaluated spatially along the NR length while varying the NR tilt
angle, incident light polarization, and analyzer rotation. Subsequently, we
identify material-indiscriminate, NR tilt angle- and incident polarization
dependent scattering behaviors exhibiting continuous, intermittent, and discrete
responses. The insight gained from this study can advance our fundamental
understanding of the optical behaviors of the technologically useful
nanomaterials and, at the same time, promote the development of highly
miniaturized, photonic and bio-optical devices utilizing the spatially
controllable, optical responses of the individual semiconducting oxide NRs.
PMID- 26549888
TI - Improving z-tracking accuracy in the two-photon single-particle tracking
microscope.
AB - Here, we present a method that can improve the z-tracking accuracy of the
recently invented TSUNAMI (Tracking of Single particles Using Nonlinear And
Multiplexed Illumination) microscope. This method utilizes a maximum likelihood
estimator (MLE) to determine the particle's 3D position that maximizes the
likelihood of the observed time-correlated photon count distribution. Our Monte
Carlo simulations show that the MLE-based tracking scheme can improve the z
tracking accuracy of TSUNAMI microscope by 1.7 fold. In addition, MLE is also
found to reduce the temporal correlation of the z-tracking error. Taking
advantage of the smaller and less temporally correlated z-tracking error, we have
precisely recovered the hybridization-melting kinetics of a DNA model system from
thousands of short single-particle trajectories in silico. Our method can be
generally applied to other 3D single-particle tracking techniques.
PMID- 26549889
TI - Influence of humic substances on electrochemical degradation of trichloroethylene
in limestone aquifers.
AB - In this study we investigate the influence of humic substances (HS) on
electrochemical transformation of trichloroethylene (TCE) in groundwater from
limestone aquifers. A laboratory flow-through column with an electrochemical
reactor that consists of a palladized iron foam cathode followed by a MMO anode
was used to induce TCE electro-reduction in groundwater. Up to 82.9% TCE removal
was achieved in the absence of HS. Presence of 1, 2, 5, and 10 mgTOC L-1 reduced
TCE removal to 70.9%, 61.4%, 51.8% and 19.5%, respectively. The inverse
correlation between HS content and TCE removal was linear. Total organic carbon
(TOC), dissolved organic carbon (DOC) and absorption properties (A=254 nm, 365 nm
and 436 nm) normalized to DOC, were monitored during treatment to understand the
behavior and impacts of HS under electrochemical processes. Changes in all
parameters occurred mainly after contact with the cathode, which implies that the
HS are reacting either directly with electrons from the cathode or with H2 formed
at the cathode surface. Since hydrodechlorination is the primary TCE reduction
mechanism in this setup, reactions of the HS with the cathode limit
transformation of TCE. The presence of limestone gravel reduced the impact of HS
on TCE removal. The study concludes that presence of humic substances adversely
affects TCE removal from contaminated groundwater by electrochemical reduction
using palladized cathodes.
PMID- 26549890
TI - Predicting the Academic Achievement of Deaf and Hard-of-Hearing Students From
Individual, Household, Communication, and Educational Factors.
AB - Research suggests that the academic achievement of deaf and hard-of-hearing (DHH)
students is the result of a complex interplay of many factors. These factors
include characteristics of the students (e.g., hearing thresholds, language
fluencies, mode of communication, and communication functioning), characteristics
of their family environments (e.g., parent education level, socioeconomic
status), and experiences inside and outside school (e.g., school placement,
having been retained at grade level). This paper examines the relative importance
of such characteristics to U.S. DHH secondary students' academic achievement as
indicated by the Woodcock-Johnson III subtests in passage comprehension,
mathematics calculation, science, and social studies. Data were obtained for
approximately 500 DHH secondary students who had attended regular secondary
schools or state-sponsored special schools designed for DHH students. Across all
subject areas, having attended regular secondary schools and having better spoken
language were associated with higher test scores. Significant negative predictors
of achievement varied by type of subtest but included having an additional
diagnosis of a learning disability, having a mild hearing loss, and being African
American or Hispanic. The findings have important implications for policy and
practice in educating DHH students as well for interpreting previous research.
PMID- 26549891
TI - The Design and Operation of Ultra-Sensitive and Tunable Radio-Frequency
Interferometers.
AB - Dielectric spectroscopy (DS) is an important technique for scientific and
technological investigations in various areas. DS sensitivity and operating
frequency ranges are critical for many applications, including lab-on-chip
development where sample volumes are small with a wide range of dynamic processes
to probe. In this work, we present the design and operation considerations of
radio-frequency (RF) interferometers that are based on power-dividers (PDs) and
quadrature-hybrids (QHs). Such interferometers are proposed to address the
sensitivity and frequency tuning challenges of current DS techniques. Verified
algorithms together with mathematical models are presented to quantify material
properties from scattering parameters for three common transmission line sensing
structures, i.e., coplanar waveguides (CPWs), conductor-backed CPWs, and
microstrip lines. A high-sensitivity and stable QH-based interferometer is
demonstrated by measuring glucose-water solution at a concentration level that is
ten times lower than some recent RF sensors while our sample volume is ~1 nL.
Composition analysis of ternary mixture solutions are also demonstrated with a PD
based interferometer. Further work is needed to address issues like system
automation, model improvement at high frequencies, and interferometer scaling.
PMID- 26549892
TI - Does Marriage Moderate Genetic Effects on Delinquency and Violence?
AB - Using data from the National Longitudinal Study of Adolescent to Adult Health (N
= 1,254), the authors investigated whether marriage can foster desistance from
delinquency and violence by moderating genetic effects. In contrast to existing
gene-environment research that typically focuses on one or a few genetic
polymorphisms, they extended a recently developed mixed linear model to consider
the collective influence of 580 single nucleotide polymorphisms in 64 genes
related to aggression and risky behavior. The mixed linear model estimates the
proportion of variance in the phenotype that is explained by the single
nucleotide polymorphisms. The authors found that the proportion of variance in
delinquency/violence explained was smaller among married individuals than
unmarried individuals. Because selection, confounding, and heterogeneity may bias
the estimate of the Gene * Marriage interaction, they conducted a series of
analyses to address these issues. The findings suggest that the Gene * Marriage
interaction results were not seriously affected by these issues.
PMID- 26549893
TI - Food Based Complementary Feeding Strategies for Breastfed Infants: What's the
Evidence that it Matters?
AB - The period of complementary feeding represents a major portion of the 1000 day
critical window and thus impacts a period of substantial and dynamic infant
development. This review highlights and synthesizes findings of several recent
studies conducted to evaluate food based strategies on outcomes related to
micronutrient status, growth and neurocognitive development. Particular emphasis
is placed on interventions using meat or fortified products to impact iron and
zinc intakes, due to the dependence of breastfed infants on complementary food
choices to meet requirements for these two critical micronutrients. Regular
consumption of modest amounts of meat or fortified cereals provides adequate
absorbed zinc to meet estimated physiologic requirements, whereas homeostatic
adaptation to lower zinc intake from unfortified cereal/plant staples is
inadequate to meet requirements. Iron fortification of cereals may be somewhat
more effective than meat to improve iron status, but neither prevents iron
deficiency in breastfed infants, even in westernized settings. Improvements in
the quality of complementary foods have had very modest effects on linear growth
in settings where stunting is prevalent. Maternal education is strongly
associated with both linear growth and with child neurodevelopment. The
determinants of early growth faltering are more complex and intractable than
'simple' dietary deficiencies of micronutrients. Solutions to growth faltering in
young children most likely need to be multi-factorial, and almost certainly will
need to start earlier than the complementary feeding period.
PMID- 26549894
TI - The Role of Organ Transplantation in Pediatrics.
PMID- 26549895
TI - Synthesis of triphenylphosphonium vitamin E derivatives as mitochondria-targeted
antioxidants.
AB - A series of mitochondria-targeted antioxidants comprising a lipophilic
triphenylphosphonium cation attached to the antioxidant chroman moiety of vitamin
E by an alkyl linker have been prepared. The synthesis of a series of
mitochondria-targeted vitamin E derivatives with a range of alkyl linkers gave
compounds of different hydrophobicities. This work will enable the dependence of
antioxidant defence on hydrophobicity to be determined in vivo.
PMID- 26549897
TI - Local innovations and country ownership for sustainable development.
PMID- 26549898
TI - Gender, health and the Sustainable Development Goals.
PMID- 26549899
TI - Public health round-up.
PMID- 26549900
TI - Averting climate change's health effects in Fiji.
AB - Pacific islanders face up to the dire health effects of global warming. Atasa
Moceituba and Monique Tsang report.
PMID- 26549901
TI - Don't wait for Paris summit to improve health.
AB - How developing countries will make the transition to sustainable clean-energy
economies is a major challenge for the United Nations summit that opens in Paris
this month. Christiana Figueres talks to Andreia Azevedo Soares.
PMID- 26549902
TI - An insecticide-treated bed-net campaign and childhood malaria in Burkina Faso.
AB - OBJECTIVE: To investigate if the first national insecticide-treated bed-net
campaign in Burkina Faso, done in 2010, was followed by a decrease in childhood
malaria in a district with high baseline transmission of the disease. METHODS: We
obtained data on the prevalence of Plasmodium falciparum parasitaemia in children
aged 2 weeks to 36 months from malaria surveys in 2009 and 2011. We assessed
morbidity in children younger than 5 years by comparing data from the Nouna
health district's health management information system before and after the
campaign in 2010. We analysed mortality data from 2008 to 2012 from Nouna's
health and demographic surveillance system. FINDINGS: The bed-net campaign was
associated with an increase in the reported use of insecticide-treated nets. In
2009, 73% (630/869) of children reportedly slept under nets. In 2011, 92%
(449/487) did. The campaign had no effect on the proportion of young children
with P. falciparum parasitaemia after the rainy season; 52% (442/858) in 2009 and
53% (263/499) in 2011. Cases of malaria increased markedly after the campaign, as
did the number of children presenting with other diseases. The campaign was not
associated with any changes in child mortality. CONCLUSION: The 2010 insecticide
treated net campaign in Burkina Faso was not associated with a decrease in care
seeking for malaria or all-cause mortality in children younger than 5 years. The
most likely explanation is the high coverage of nets in the study area before the
campaign which could have had an effect on mosquito vectors, limiting the
campaign's impact.
PMID- 26549903
TI - Facility-based active management of the third stage of labour: assessment of
quality in six countries in sub-Saharan Africa.
AB - OBJECTIVE: To assess the quality of facility-based active management of the third
stage of labour in Ethiopia, Kenya, Madagascar, Mozambique, Rwanda and the United
Republic of Tanzania. METHODS: Between 2009 and 2012, using a cross-sectional
design, 2317 women in 390 health facilities were directly observed during the
third stage of labour. Observers recorded the use of uterotonic medicines,
controlled cord traction and uterine massage. Facility infrastructure and
supplies needed for active management were audited and relevant guidelines
reviewed. FINDINGS: Most (94%; 2173) of the women observed were given oxytocin
(2043) or another uterotonic (130). The frequencies of controlled cord traction
and uterine massage and the timing of uterotonic administration showed
considerable between-country variation. Of the women given a uterotonic, 1640
(76%) received it within three minutes of the birth. Uterotonics and related
supplies were generally available onsite. Although all of the study countries had
national policies and/or guidelines that supported the active management of the
third stage of labour, the presence of guidelines in facilities varied across
countries and only 377 (36%) of 1037 investigated providers had received relevant
training in the previous three years. CONCLUSION: In the study countries, quality
and coverage of the active management of the third stage of labour were high.
However, to improve active management, there needs to be more research on
optimizing the timing of uterotonic administration. Training on the use of new
clinical guidelines and implementation research on the best methods to update
such training are also needed.
PMID- 26549904
TI - Using patient-held records to evaluate contraceptive use in Malawi.
AB - OBJECTIVE: To investigate a method of using patient-held records to collect
contraception data in Malawi, that could be used to explore contraceptive
discontinuation and method switching. METHODS: In 2012, all 7393 women aged 15 to
49 years living in the area covered by the Karonga demographic surveillance site
were offered a family planning card, which was attached to the woman's health
passport - a patient-held medical record. Health-care providers were trained to
use the cards to record details of contraception given to women. During the
study, providers underwent refresher training sessions and received motivational
text messages to improve data completeness. After one year, the family planning
cards were collected for analysis. FINDINGS: Of the 7393 eligible women, 6861
(92.8%) received a family planning card and 4678 (63.3%) returned it after one
year. Details of 87.3% (2725/3122) of contacts between health-care providers and
the women had been recorded by health-care providers on either family planning
cards or health passports. Lower-level health-care providers were more diligent
at recording data on the family planning cards than higher-level providers.
CONCLUSION: The use of family planning cards was an effective way of recording
details of contraception provided by family planning providers. The involvement
of health-care providers was key to the success of this approach. Data collected
in this way should prove helpful in producing accurate estimates of method
switching and the continuity of contraceptive use by women.
PMID- 26549905
TI - Cost-effectiveness of a comprehensive programme for drug-resistant tuberculosis
in China.
AB - OBJECTIVE: To investigate the cost-effectiveness of a comprehensive programme for
drug-resistant tuberculosis launched in four sites in China in 2011. METHODS: In
2011-2012, we reviewed the records of 172 patients with drug-resistant
tuberculosis who enrolled in the comprehensive programme and we collected
relevant administrative data from hospitals and China's public health agency. For
comparison, we examined a cohort of 81 patients who were treated for drug
resistant tuberculosis in 2006-2009. We performed a cost-effectiveness analysis,
from a societal perspective, that included probabilistic uncertainty. We measured
early treatment outcomes based on three-month culture results and modelled longer
term outcomes to facilitate estimation of the comprehensive programme's cost per
disability-adjusted life-year (DALY) averted. FINDINGS: The comprehensive
programme cost 8837 United States dollars (US$) per patient treated. Low
enrolment rates meant that some fixed costs were higher, per patient, than
expected. Although the comprehensive programme appeared 30 times more costly than
the previous one, it resulted in greater health benefits. The comprehensive
programme, which cost US$ 639 (95% credible interval: 112 to 1322) per DALY
averted, satisfied the World Health Organization's criterion for a very cost
effective intervention. CONCLUSION: The comprehensive programme, which included
rapid screening, standardized care and financial protection, improved individual
outcomes for MDR tuberculosis in a cost-effective manner. To support post-2015
global heath targets, the comprehensive programme should be expanded to non
residents and other areas of China.
PMID- 26549906
TI - Evidence on global medical travel.
AB - The potential benefits of travelling across national borders to obtain medical
treatment include improved care, decreased costs and reduced waiting times.
However, medical travel involves additional risks, compared to obtaining
treatment domestically. We review the publicly-available evidence on medical
travel. We suggest that medical travel needs to be understood in terms of its
potential risks and benefits so that it can be evaluated against alternatives by
patients who are seeking care. We propose three domains -quality standards,
informed decision-making, economic and legal protection - in which better
evidence could support the development of medical travel policies.
PMID- 26549907
TI - Tuberculosis control in China: use of modelling to develop targets and policies.
AB - It is unclear if current programmes in China can achieve the post-2015 global
targets for tuberculosis - 50% reduction in incidence and a 75% reduction in
mortality by 2025. Chinese policy-makers need to maintain the recent decline in
the prevalence of tuberculosis, while revising control policies to cope with an
epidemic of drug-resistant tuberculosis and the effects of ongoing health reform.
Health reforms are expected to shift patients from tuberculosis dispensaries to
designated hospitals. We developed a mathematical model of tuberculosis control
in China to help set appropriate targets and prioritize interventions that might
be implemented in the next 10 years. This model indicates that, even under the
most optimistic scenario - improved treatment in tuberculosis dispensaries,
introduction of a new effective regimen for the treatment of drug-susceptible
tuberculosis and optimal care of cases of multidrug-resistant tuberculosis - the
current global targets for tuberculosis are unlikely to be reached. However,
reductions in the incidence of multidrug-resistant tuberculosis should be
feasible. We conclude that a shift of patients from tuberculosis dispensaries to
designated hospitals is likely to hamper efforts at tuberculosis control if cure
rates in the designated hospitals cannot be maintained at a high level. Our
results can inform the planning of tuberculosis control in China.
PMID- 26549908
TI - Access to hepatitis C medicines.
AB - Hepatitis C is a global epidemic. Worldwide, 185 million people are estimated to
be infected, most of whom live in low- and middle-income countries. Recent
advances in the development of antiviral drugs have produced therapies that are
more effective, safer and better tolerated than existing treatments for the
disease. These therapies present an opportunity to curb the epidemic, provided
that they are affordable, that generic production of these medicines is scaled up
and that awareness and screening programmes are strengthened. Pharmaceutical
companies have a central role to play. We examined the marketed products,
pipelines and access to medicine strategies of 20 of the world's largest
pharmaceutical companies. Six of these companies are developing medicines for
hepatitis C: AbbVie, Bristol-Myers Squibb, Gilead, Johnson & Johnson, Merck & Co.
and Roche. These companies employ a range of approaches to supporting hepatitis C
treatment, including pricing strategies, voluntary licensing, capacity building
and drug donations. We give an overview of the engagement of these companies in
addressing access to hepatitis C products. We suggest actions companies can take
to play a greater role in curbing this epidemic: (i) prioritizing affordability
assessments; (ii) developing access strategies early in the product lifecycle;
and (iii) licensing to manufacturers of generic medicines.
PMID- 26549909
TI - Implementation of information and communication technologies for health in
Bangladesh.
AB - PROBLEM: Bangladesh has yet to develop a fully integrated health information
system infrastructure that is critical to guiding policy development and
planning. APPROACH: Initial pilot telemedicine and eHealth programmes were not
coordinated at national level. However, in 2011, a national eHealth policy was
implemented. LOCAL SETTING: Bangladesh has made substantial improvements to its
health system. However, the country still faces public health challenges with
limited and inequitable access to health services and lack of adequate resources
to meet the demands of the population. RELEVANT CHANGES: In 2008, eHealth
services were introduced, including computerization of health facilities at sub
district levels, internet connections, internet servers and an mHealth service
for communicating with health-care providers. Health facilities at sub-district
levels were provided with internet connections and servers. In 482 upazila health
complexes and district hospitals, an mHealth service was set-up where an on-duty
doctor is available for patients at all hours to provide consultations by mobile
phone. A government operated telemedicine service was initiated and by 2014, 43
fully equipped centres were in service. These centres provide medical
consultations by qualified physicians to patients visiting rural and remote
community clinics and union health centres. LESSONS LEARNT: Despite early pilot
interventions and successful implementation, progress in adopting eHealth
strategies in Bangladesh has been slow. There is a lack of common standards on
information technology for health, which causes difficulties in data management
and sharing among different databases. Limited internet bandwidth and the high
cost of infrastructure and software development are barriers to adoption of these
technologies.
PMID- 26549911
TI - The right to water in the slums of Mumbai, India.
PMID- 26549910
TI - Exposure to cold weather during a mass gathering in the Philippines.
AB - PROBLEM: The visit of Pope Francis to the Philippines in January 2015 coincided
with a tropical storm. For security reasons, the only road in and out of the area
was closed 14.5 hours before the Pope's arrival. This meant that people had to
wait for many hours with little shelter at the site. Medical teams in the field
reported high numbers of people with cold stress during the mass gathering.
APPROACH: To review the event from a public health perspective, we examined the
consultations made by medical teams in the field and interviewed key
stakeholders, focusing on cold stress as a public health risk. LOCAL SETTING: The
key reason for the Pope's visit to Palo and Tacloban was the devastation caused
in these cities by typhoon Haiyan in 2013. We estimated that the visit attracted
300 000 people. The medical teams were advised to consider cold stress risks two
days before the event but no other measures were taken. RELEVANT CHANGES: Of the
1051 people seeking medical care, 231 people were experiencing symptoms of cold
stress. People with cold stress ranged from 2 to 89 years of age and were more
likely to be female than male, 173 (75%) versus 57 (25%). LESSONS LEARNT:
Planning for mass gatherings should consider a wide range of public health risks,
including cold stress. Improved data collection from the field is necessary to
maximize the benefits of post-event evaluations and improve public health
preparedness. Security measures to ensure the safety of key figures must be
balanced with public health risks.
PMID- 26549912
TI - Influence of Oil Contamination on Physical and Biological Properties of Forest
Soil After Chainsaw Use.
AB - Forestry works using chainsaws result in up to 7 million liters of various
mineral oils being soaked annually into forest soils. These substances,
containing a complex mixture of polycyclic aromatic hydrocarbons (PAHs), are
highly toxic. The aim of the study was to determine the effect of oil
contamination with PAHs on the physical and biological properties of forest
soils. The study area was located in southern Poland in the Miechow forest
district. The experiment was conducted on four treatment blocks with various
amounts of oil addition. The study included the determination of PAH content,
dehydrogenase and urease activity, and biomass of earthworms. Physical properties
were determined using the dryer method and Kopecky rings of 250 cm3 volume. The
results obtained confirmed the hypothesis that oil contamination with PAHs
modified the physical properties of forest soils and oil had a negative impact on
enzyme activity in soil. Enzyme activity in the studied soils was negatively
correlated with PAH content. Earthworm population density reflected the
contamination level of oil-contaminated soils.
PMID- 26549914
TI - Social Anthropology and Social Science History.
AB - In the 1970s, when the social science history movement emerged in the United
States, leading to the founding of the Social Science History Association, a
simultaneous movement arose in which historians looked to cultural anthropology
for inspiration. Although both movements involved historians turning to social
sciences for theory and method, they reflected very different views of the nature
of the historical enterprise. Cultural anthropology, most notably as preached by
Clifford Geertz, became a means by which historians could find a theoretical
basis in the social sciences for rejecting a scientific paradigm. This article
examines this development while also exploring the complex ways cultural
anthropology has embraced-and shunned-history in recent years.
PMID- 26549913
TI - Can Visual Field Progression be Predicted by Confocal Scanning Laser
Ophthalmoscopic Imaging of the Optic Nerve Head in Glaucoma? (An American
Ophthalmological Society Thesis).
AB - PURPOSE: To determine whether confocal scanning laser ophthalmoscopic imaging
(Heidelberg retinal tomography [HRT]) can predict visual field change in
glaucoma. METHODS: The study included 561 patients with glaucoma or ocular
hypertension whose clinical course was followed at the Mount Sinai Faculty
practice. Humphrey visual fields (HVFs) and HRT images were collected on one
randomly selected eye per patient. Glaucoma progression was determined by the
presence of two sequential statistically significant negative slopes in mean
deviation (MD) or visual field index (VFI) at any point during the study period.
Trend-based analysis on HRT parameters was used to determine progressive changes
and whether these occurred before or after HVF change. Sensitivity and
specificity of HRT to predict HVF change were calculated. HVF rate of change was
correlated to the rate of change detected by HRT imaging. RESULTS: Approximately
17% of patients progressed by either MD or VFI criteria. MD and VFI correlated
highly and identified overlapping sets of patients as progressing. HRT global
parameters had poor sensitivity (~42%) and moderate specificity (~67%) to predict
HVF progression. Regional stereometric parameters were more sensitive (69%-78%)
but significantly less specific (24%-27%). Sensitivity of global stereometric
parameters in detecting HVF change was not significantly affected by the level of
visual field damage (P=.3, Fisher exact test). HVF rate of change did not
correlate with rate of change of HRT parameters. CONCLUSIONS: Trend-based
analysis of HRT parameters has poor sensitivity and specificity in predicting HVF
change. This may be related specifically to HRT imaging or may reflect the fact
that in some patients with glaucoma, functional changes precede structural
alterations.
PMID- 26549915
TI - CONDYLAR RESORPTION IN PATIENTS WITH TMD.
AB - The objective of this study is to determine the nature of the difference between
condyle morphology of osteoarthritic temporomandibular joint (TMJ) and non
osteoarthritic TMJ, using 3D surface models constructed from cone-beam CT (CBCT)
images. Three-dimensional Shape Correspondence was used to localize and quantify
condylar morphological differences of 20 patients with RDC/TMD group III
(arthralgia, arthritis, arthrosis) compared to 40 asymptomatic subjects. Three
dimensional models of right and left condyles for each subject were constructed
from CBCT images and shape analysis performed using the publicly available SPHARM
PDM software. The right and left condyles were normalized using rigid Procrustes
alignment to an overall mean condylar surface per group. The mean differences
between groups were compared using the Hotelling T2 analysis with permutation
test derived p-values, corrected for False Discovery Rate. The differences
between the group mean surfaces were visualized with color-coded magnitude and
difference vectors. The condylar morphology of the TMD group was statistically
significantly different from the asymptomatic group (p = 0.05, average surface
distance differences of 1.9 mm for the right condyles and 2.3 mm for the left
condyles). The average condylar morphology in the TMD patients showed resorption
of the anterior surface of the lateral pole and flattening of the articular
surface compared to the mean morphology in asymptomatic subjects. The condylar
morphology and condylar dimensions of the TMD patients were different, on
average, from those of the asymptomatic subjects. The preliminary findings in
this cross-sectional study will lead to future investigations to elucidate
osteoarthritic changes in TMD and their role in the pathophysiology of TMD.
Supported by NIDCR DE017727.
PMID- 26549916
TI - Tractional Forces, Work and Energy Densities in the Human TMJ.
AB - The role of mechanics in degenerative joint disease of the temporomandibular
joint (TMJ) is largely unknown. Objectives were to: 1) develop an empirical model
to relate variables of cartilage mechanics and tractional forces; and 2) use the
empirical model to estimate tractional forces for calculations of work done (mJ)
and energy densities (mJ/mm3) in living human TMJs. Sixty-four porcine discs were
statically, then dynamically loaded. Aspect ratios and velocities of stress
fields, compressive strains, and tractional forces were recorded and fit to a
quadratic equation to derive the empirical model. Aspect ratios and velocities of
stress-fields and cartilage thicknesses then were measured via dynamic
stereometry in 15 humans with healthy TMJs and 11 with TMJ disc displacement.
These data were used in the empirical model to estimate tractional forces for
each TMJ, and then mechanical work done and energy densities were calculated.
Mechanical work (mJ) was on average 20 times greater in TMJs with disc
displacement than in healthy TMJs (P<0.02). TMJs with disc displacement showed
350% more mechanical work (mJ) and 180% higher energy densities in women compared
to men (P<0.02). A power analysis (alpha=0.05, beta=0.90) indicated that 40 women
and 40 men would be required to detect a 50% difference in TMJ energy densities
between genders. Mechanical work was significantly higher (P<=0.05) in TMJs with
disc displacement compared to healthy TMJs, and mechanical work done and energy
densities were significantly higher (P<=0.05) in TMJs with disc displacement in
women compare to men.
PMID- 26549917
TI - REGISTRATION OF ORTHODONTIC DIGITAL MODELS.
AB - Current methods to assess outcomes and change in orthodontics are comparison of
photographs, cephalometric measurements and superimpositions, and
comparisons/measurements on dental casts. Digital models are a relatively new
records modality in orthodontics. They offer numerous advantages in terms of
storage space, spatial registration and superimposition. The purpose of this
chapter is to determine the reproducibility of: 1) establishing occlusion of
independently scanned digital models; and 2) registering digital models obtained
after treatment on their homologous digital model setups produced before
treatment. Reliability of both procedures was assessed with two random samples of
five patient's models. In both experiments, three replicate positionings of the
models per patient were created and variability in position was evaluated by the
maximum surface difference between replicates, and the standard deviation of the
surface distances between replicates respectively. Based on the data obtained, we
concluded that it is reliable to register independently scanned models to a
scanned surface of the models in occlusion. Surface-to-surface registration of
final orthodontic digital models to planned setup models also is reproducible.
PMID- 26549918
TI - Synthesis and characterization of ZnFe2O4 nanoparticles and its biomedical
applications.
AB - Biomedical applications of ZnFe2O4 nanoparticle are preferable among all kinds of
ferrites due to the compatibility of Zn2+ ions for human bodies. We have followed
the soft chemical route to synthesize chitosan and PEG coated ZnFe2O4
nanoparticles and also the chitosan-coated-nanoparticles encapsulated with
liposome. X-ray diffraction studies by the Mo Kalpha target, showed the formation
of single phase spinel structure. The lattice parameter turned out to be 8.48A
and grain size ~ 4.8 nm (+/- 0.1 nm). Similar particle size was observed by
transmission electron microscope analysis. HRTEM studies showed the distinct
lattice fringes thus confirming the good crystallinity of the synthesized
nanoparticles. M-H curve at room temperature showed the prepared sample was
superparamagnetic in nature, which is also confirmed by the doublets of Mossbauer
spectroscopy. Relaxivity values (r2) of Chitosan and PEG coated ZnFe2O4
nanoparticles are 68 and 76 mM-1s-1 respectively. In order to achieve further
biocompatibility the chitosan-coated-nanoparticles were encapsulated with
liposome. The r2 relaxivity was found as 54mM-1s-1. MR images obtained from the
in vitro experiments based on phantoms demonstrated good contrast enhancement.
Induction heating of bare and coated particles was investigated to reveal the
self heating temperature rising properties of ZnFe2O4 nanoparticles.
PMID- 26549919
TI - Relationship Involvement Among Young Adults: Are Asian American Men an
Exceptional Case?
AB - Asian American men and women have been largely neglected in previous studies of
romantic relationship formation and status. Using data from the first and fourth
waves of the National Longitudinal Study of Adolescent to Adult Health (Add
Health), we examine romantic and sexual involvement among young adults, most of
who were between the ages of 25 to 32 (N=11,555). Drawing from explanations that
focus on structural and cultural elements as well as racial hierarchies, we
examine the factors that promote and impede involvement in romantic/sexual
relationships. We use logistic regression to model current involvement of men and
women separately and find, with the exception of Filipino men, Asian men are
significantly less likely than white men to be currently involved with a romantic
partner, even after controlling for a wide array of characteristics. Our results
suggest that the racial hierarchy framework best explains lower likelihood of
involvement among Asian American men.
PMID- 26549920
TI - Matrix Completion Discriminant Analysis.
AB - Matrix completion discriminant analysis (MCDA) is designed for semi-supervised
learning where the rate of missingness is high and predictors vastly outnumber
cases. MCDA operates by mapping class labels to the vertices of a regular
simplex. With c classes, these vertices are arranged on the surface of the unit
sphere in c - 1 dimensional Euclidean space. Because all pairs of vertices are
equidistant, the classes are treated symmetrically. To assign unlabeled cases to
classes, the data is entered into a large matrix (cases along rows and predictors
along columns) that is augmented by vertex coordinates stored in the last c - 1
columns. Once the matrix is constructed, its missing entries can be filled in by
matrix completion. To carry out matrix completion, one minimizes a sum of squares
plus a nuclear norm penalty. The simplest solution invokes an MM algorithm and
singular value decomposition. Choice of the penalty tuning constant can be
achieved by cross validation on randomly withheld case labels. Once the matrix is
completed, an unlabeled case is assigned to the class vertex closest to the point
deposited in its last c - 1 columns. A variety of examples drawn from the
statistical literature demonstrate that MCDA is competitive on traditional
problems and outperforms alternatives on large-scale problems.
PMID- 26549922
TI - Microemulsion Synthesis of Iron Core/Iron Oxide Shell Magnetic Nanoparticles and
Their Physicochemical Properties.
AB - Iron magnetic nanoparticles were synthesized under an inert atmosphere via the
reaction between FeCl3 and NaBH4 in droplets of water in a microemulsion
consisting of octane with cetyl trimethylammonium bromide and butanol as
surfactants. A thin Fe3O4 layer was produced on the iron nanoparticles using
slow, controlled oxidation at room temperature. A silica shell was deposited on
the Fe3O4 using 3-aminopropyltrimethoxysilane following the method of Zhang et
al. [Mater. Sci. Eng. C 30 (2010) 92-97]. The structure and chemistry of the
resulting nanoparticles were studied using variety of methods and their magnetic
properties were determined. The diameter of the iron core was typically 8-16 nm,
while the thickness of the Fe3O4 shell was 2-3 nm. The presence of the silica
layer was confirmed using Fourier transform infra-red spectroscopy and the number
of NH2-groups on each nanoparticle was determined based on colorimetric tests
using ortho-phthalaldehyde.
PMID- 26549923
TI - Antibodies against C1q Are a Valuable Serological Marker for Identification of
Systemic Lupus Erythematosus Patients with Active Lupus Nephritis.
AB - OBJECTIVE: An early diagnosis of lupus nephritis (LN) has an important clinical
implication in guiding treatments of systemic lupus erythematosus (SLE) in
clinical settings. In this study, the diagnostic values of circulating
autoantibodies to C1q alone or in combination with other markers for accessing
active SLE and LN were evaluated. METHODS: The diagnostic value of anti-C1q
autoantibodies for identification of patients with active SLE disease and LN was
evaluated by analyzing the level of anti-C1q antibodies in sera from 95 SLE
patients, 40 non-SLE patients, and 34 healthy cohorts. RESULTS: The prevalence of
anti-C1q antibodies was significantly higher in patients with SLE (50/95, 52.6%),
active SLE (40/51, 78.4%), and LN (30/35, 85.7%) in comparison with non-SLE
patient controls, patients with inactive SLE, and non-LN, respectively. A
combination of anti-C1q with anti-dsDNA and/or levels of complements C3 and C4
exhibited an increased specificity but a decreased sensitivity for identification
of patients with active SLE and LN diseases relative to each of these markers
alone. CONCLUSION: Anti-C1q antibodies were strongly associated with disease
activity and LN in SLE patients, suggesting that it may be a reliable serological
marker for identification of SLE patients with active LN and active SLE disease.
PMID- 26549924
TI - Allelic Imbalance of mRNA Associated with alpha2-HS Glycoprotein (Fetuin-A)
Polymorphism.
AB - Alpha 2-HS glycoprotein (AHSG), also designated as fetuin-A, exhibits
polymorphism in population genetics consisting of two major alleles of AHSG(*) 1
and AHSG(*) 2. The serum level in the AHSG(*) 1 homozygote is significantly
higher than that of the AHSG(*) 2 homozygote. This study examined the molecular
mechanism for the cis-regulatory expression. To quantitate allele-specific mRNA
in intra-assays of the heterozygote, RT-PCR method employing primers that were
incorporated to the two closely located SNPs was developed. The respective
magnitudes of AHSG(*) 1 to AHSG(*) 2 in the liver tissues and hepatic culture
cells of PLC/PRF/5 were determined quantitatively as 2.5-fold and 6.2-fold. The
mRNA expressional difference of two major alleles was observed, which is
consistent with that in the serum level. The culture cells carried heterozygous
genotypes in rs4917 and rs4918, but homozygous one in rs2248690. It was unlikely
that the imbalance was derived from the SNP located in the promotor site.
Furthermore, to investigate the effect of mRNA degradation, RNA synthesis in the
cell culture was inhibited potently by the addition of actinomycin-D. No marked
change was apparent between the two alleles. The results indicated that the cis
regulatory expressional difference is expected to occur at the level of
transcription or splicing of mRNA.
PMID- 26549925
TI - Applying the Consensual Method of Estimating Poverty in a Low Income African
Setting.
AB - We present the first study of multidimensional poverty in Benin using the
consensual or socially perceived necessities approach. There is a remarkable
level consensus about what constitutes the necessities of life and an adequate
standard of living. Following Townsend's concept of relative deprivation, we show
how social consensus provides the basis for a reliable and valid index of
multiple deprivation, which can be used to reflect multidimensional poverty. We
discuss the issue of adaptive preferences, which has previously been used to
criticise the consensual approach, and provide evidence to contest the claim that
the poor adjust their aspirations downwards.
PMID- 26549921
TI - The ecological foundations of transmission potential and vector-borne disease in
urban landscapes.
AB - Urban transmission of arthropod-vectored disease has increased in recent decades.
Understanding and managing transmission potential in urban landscapes requires
integration of sociological and ecological processes that regulate vector
population dynamics, feeding behavior, and vector-pathogen interactions in these
unique ecosystems. Vectorial capacity is a key metric for generating predictive
understanding about transmission potential in systems with obligate vector
transmission. This review evaluates how urban conditions, specifically habitat
suitability and local temperature regimes, and the heterogeneity of urban
landscapes can influence the biologically-relevant parameters that define
vectorial capacity: vector density, survivorship, biting rate, extrinsic
incubation period, and vector competence.Urban landscapes represent unique
mosaics of habitat. Incidence of vector-borne disease in urban host populations
is rarely, if ever, evenly distributed across an urban area. The persistence and
quality of vector habitat can vary significantly across socio-economic boundaries
to influence vector species composition and abundance, often generating socio
economically distinct gradients of transmission potential across
neighborhoods.Urban regions often experience unique temperature regimes, broadly
termed urban heat islands (UHI). Arthropod vectors are ectothermic organisms and
their growth, survival, and behavior are highly sensitive to environmental
temperatures. Vector response to UHI conditions is dependent on regional
temperature profiles relative to the vector's thermal performance range. In
temperate climates UHI can facilitate increased vector development rates while
having countervailing influence on survival and feeding behavior. Understanding
how urban heat island (UHI) conditions alter thermal and moisture constraints
across the vector life cycle to influence transmission processes is an important
direction for both empirical and modeling research.There remain persistent gaps
in understanding of vital rates and drivers in mosquito-vectored disease systems,
and vast holes in understanding for other arthropod vectored diseases. Empirical
studies are needed to better understand the physiological constraints and socio
ecological processes that generate heterogeneity in critical transmission
parameters, including vector survival and fitness. Likewise, laboratory
experiments and transmission models must evaluate vector response to realistic
field conditions, including variability in sociological and environmental
conditions.
PMID- 26549926
TI - Shale Failure Mechanics and Intervention Measures in Underground Coal Mines:
Results From 50 Years of Ground Control Safety Research.
AB - Ground control research in underground coal mines has been ongoing for over 50
years. One of the most problematic issues in underground coal mines is roof
failures associated with weak shale. This paper will present a historical
narrative on the research the National Institute for Occupational Safety and
Health has conducted in relation to rock mechanics and shale. This paper begins
by first discussing how shale is classified in relation to coal mining.
Characterizing and planning for weak roof sequences is an important step in
developing an engineering solution to prevent roof failures. Next, the failure
mechanics associated with the weak characteristics of shale will be discussed.
Understanding these failure mechanics also aids in applying the correct
engineering solutions. The various solutions that have been implemented in the
underground coal mining industry to control the different modes of failure will
be summarized. Finally, a discussion on current and future research relating to
rock mechanics and shale is presented. The overall goal of the paper is to share
the collective ground control experience of controlling roof structures dominated
by shale rock in underground coal mining.
PMID- 26549927
TI - A Philosophical Concept of Deprivation and Its Use in the Attachment-Focused
Treatment of Violence.
AB - Theories in both contemporary psychotherapy and ancient philosophy associate
deprivation with wrongdoing and suffering, but operate with different under
standings of deprivation. The article will focus on two concepts of deprivation,
one psychological and the other one ontological, as advanced by Bowlby in
attachment theory, and Augustine of Hippo (354-430 CE). In attachment theory
deprivation is something one suffers as a result of the others' actions (receipt
of insensitive caregiving in early childhood); it has neuropsychological effects,
it relates to violent behaviour later in life, and it is therapeutically treated
mainly by emotional sensory work directed at attaining self-regulation.
Understanding deprivation as Augustine does (i.e., diminishment of a being's
inner unity and order caused by one's exercise of will) introduces a distinctive
philosophical view on formation and can inform a type of reflective-behavioural
work centred on forming impaired volitional and emotional capacities, and on
reclaiming agency and responsibility both for what can be called self-deprivation
and for ways to counter deprivation in offenders and victims.
PMID- 26549928
TI - A Simple Method for Quick-Freezing.
AB - In conventional freeze-fracture replicas produced from tissue cryoprotected with
glycerol, the hydrophobic inner surfaces of membranes are revealed, but
hydrophillic structures are obscured in the surrounding ice. Quick-freezing of
tissue obviates the need for glycerol, which prevents the removal of this ice by
etching or freeze-drying, but the major problem in freezing without glycerol
cryoprotection is ice crystal formation. We describe here a simple method for
quick-freezing tissue, in the absence of glycerol, on a nitrogen-cooled copper
block with a hand-held specimen holder. This method freezes samples well enough
to preserve molecular detail that can be revealed by subsequent etching. We show
some examples of the quality of this freezing with respect to the visualization
of molecular detail in isolated protein molecules such as ferritin and catalase.
Furthermore, we show examples of in situ cellular structures that are revealed by
this method, and we compare the structure seen in these replicas with structures
preserved by quick-freezing at liquid helium temperatures.
PMID- 26549929
TI - Toxic metal(loid) speciation during weathering of iron sulfide mine tailings
under semi-arid climate.
AB - Toxic metalliferous mine-tailings pose a significant health risk to ecosystems
and neighboring communities from wind and water dispersion of particulates
containing high concentrations of toxic metal(loid)s (e.g., Pb, As, Zn). Tailings
are particularly vulnerable to erosion before vegetative cover can be
reestablished, i.e., decades or longer in semi-arid environments without
intervention. Metal(loid) speciation, linked directly to bioaccessibility and
lability, is controlled by mineral weathering and is a key consideration when
assessing human and environmental health risks associated with mine sites. At the
semi-arid Iron King Mine and Humboldt Smelter Superfund site in central Arizona,
the mineral assemblage of the top 2 m of tailings has been previously
characterized. A distinct redox gradient was observed in the top 0.5 m of the
tailings and the mineral assemblage indicates progressive transformation of
ferrous iron sulfides to ferrihydrite and gypsum, which, in turn weather to form
schwertmannite and then jarosite accompanied by a progressive decrease in pH (7.3
to 2.3). Within the geochemical context of this reaction front, we examined
enriched toxic metal(loid)s As, Pb, and Zn with surficial concentrations 41.1,
10.7, 39.3 mM kg-1 (3080, 2200, and 2570 mg kg-1), respectively. The highest bulk
concentrations of As and Zn occur at the redox boundary representing a 1.7 and
4.2 fold enrichment relative to surficial concentrations, respectively,
indicating the translocation of toxic elements from the gossan zone to either the
underlying redox boundary or the surface crust. Metal speciation was also
examined as a function of depth using X-ray absorption spectroscopy (XAS). The
deepest sample (180 cm) contains sulfides (e.g., pyrite, arsenopyrite, galena,
and sphalerite). Samples from the redox transition zone (25-54 cm) contain a
mixture of sulfides, carbonates (siderite, ankerite, cerrusite, and smithsonite)
and metal(loid)s sorbed to neoformed secondary Fe phases, principally
ferrihydrite. In surface samples (0-35 cm), metal(loid)s are found as sorbed
species or incorporated into secondary Fe hydroxysulfate phases, such as
schwertmannite and jarosites. Metal-bearing efflorescent salts (e.g., ZnSO4.nH2O)
were detected in the surficial sample. Taken together, these data suggest the
bioaccessibility and lability of metal(loid)s are altered by mineral weathering,
which results in both the downward migration of metal(loid)s to the redox
boundary, as well as the precipitation of metal salts at the surface.
PMID- 26549930
TI - Multitasking With Television Among Adolescents.
AB - Using Ecological Momentary Assessment, we explored predictors of adolescents'
television (TV) multitasking behaviors. We investigated whether demographic
characteristics (age, gender, race/ethnicity, and maternal education) predict
adolescents' likelihood of multitasking with TV. We also explored whether
characteristics of the TV-multitasking moment (affect, TV genre, attention to
people, and media multitasking) predict adolescents' likelihood of paying primary
versus secondary attention to TV. Demographic characteristics do not predict TV
multitasking. In TV-multitasking moments, primary attention to TV was more likely
if adolescents experienced negative affect, watched a drama, or attended to
people; it was less likely if they used computers or video games.
PMID- 26549931
TI - Personal narratives, well-being, and gender in adolescence.
AB - Relations between narratives, especially the inclusion of internal state language
within narratives, and well-being have been found in adults. However, research
with adolescents has been sparse and the findings inconsistent. We examined
gender differences in adolescents' personal autobiographical narratives as well
as relations between internal state language and emotional well-being. Mirroring
previous research with different age groups, we found that females narrate both
positive and negative personal experiences in more emotional ways than do males.
Also, adolescent females include more cognitive processing words indicative of
self-reflection than do adolescent males. Adolescent males who told personal
narratives richer in internal state language displayed higher levels of well
being, but there were no relations between internal state language in personal
narratives and well-being for adolescent females. These results are interpreted
in terms of gender differences in emotional processing and understanding.
Directions for future research are discussed.
PMID- 26549932
TI - Enhancing understanding and improving prediction of severe weather through
spatiotemporal relational learning.
AB - Severe weather, including tornadoes, thunderstorms, wind, and hail annually cause
significant loss of life and property. We are developing spatiotemporal machine
learning techniques that will enable meteorologists to improve the prediction of
these events by improving their understanding of the fundamental causes of the
phenomena and by building skillful empirical predictive models. In this paper, we
present significant enhancements of our Spatiotemporal Relational Probability
Trees that enable autonomous discovery of spatiotemporal relationships as well as
learning with arbitrary shapes. We focus our evaluation on two real-world case
studies using our technique: predicting tornadoes in Oklahoma and predicting
aircraft turbulence in the United States. We also discuss how to evaluate success
for a machine learning algorithm in the severe weather domain, which will enable
new methods such as ours to transfer from research to operations, provide a set
of lessons learned for embedded machine learning applications, and discuss how to
field our technique.
PMID- 26549933
TI - Using random forests to diagnose aviation turbulence.
AB - Atmospheric turbulence poses a significant hazard to aviation, with severe
encounters costing airlines millions of dollars per year in compensation,
aircraft damage, and delays due to required post-event inspections and repairs.
Moreover, attempts to avoid turbulent airspace cause flight delays and en route
deviations that increase air traffic controller workload, disrupt schedules of
air crews and passengers and use extra fuel. For these reasons, the Federal
Aviation Administration and the National Aeronautics and Space Administration
have funded the development of automated turbulence detection, diagnosis and
forecasting products. This paper describes a methodology for fusing data from
diverse sources and producing a real-time diagnosis of turbulence associated with
thunderstorms, a significant cause of weather delays and turbulence encounters
that is not well-addressed by current turbulence forecasts. The data fusion
algorithm is trained using a retrospective dataset that includes objective
turbulence reports from commercial aircraft and collocated predictor data. It is
evaluated on an independent test set using several performance metrics including
receiver operating characteristic curves, which are used for FAA turbulence
product evaluations prior to their deployment. A prototype implementation fuses
data from Doppler radar, geostationary satellites, a lightning detection network
and a numerical weather prediction model to produce deterministic and
probabilistic turbulence assessments suitable for use by air traffic managers,
dispatchers and pilots. The algorithm is scheduled to be operationally
implemented at the National Weather Service's Aviation Weather Center in 2014.
PMID- 26549934
TI - Synthesis and characterization of a multi-arm poly(acrylic acid) star polymer for
application in sustained delivery of cisplatin and a nitric oxide prodrug.
AB - Functionalized polymeric nanocarriers have been recognized as drug delivery
platforms for delivering therapeutic concentrations of chemotherapies. Of this
category, star-shaped multiarm polymers are emerging candidates for targeted
delivery of anti-cancer drugs, due to their compact structure, narrow size
distribution, large surface area and high water solubility. In this study, we
synthesized a multi-arm poly(acrylic acid) star polymer via MADIX/RAFT
polymerization and characterized it using NMR and size exclusion chromatography.
The poly(acrylic acid) star polymer demonstrated excellent water solubility and
extremely low viscosity, making it highly suited for targeted drug delivery.
Subsequently, we selected a hydrophilic drug, cisplatin, and a hydrophobic nitric
oxide-donating prodrug, O2-(2,4-dinitrophenyl) 1-[4-(2-hydroxy)ethyl]-3
methylpiperazin-1-yl]diazen-1-ium-1,2-diolate, as two model compounds to evaluate
the feasibility of using poly(acrylic acid) star polymers for delivery of
chemotherapeutics. After synthesizing and characterizing two poly(acrylic acid)
star polymer-based nanoconjugates, poly(acrylic acid)-cisplatin (acid-Pt) and
poly(acrylic acid)-nitric oxide prodrug (acid-NO), the in vitro drug release
kinetics of both acid-Pt and acid-NO were determined at physiological conditions.
In summary, we have designed and evaluated a polymeric nanocarrier for sustained
delivery of chemotherapies, either as a single treatment or a combination therapy
regimen.
PMID- 26549935
TI - Porosity, permeability and 3D fracture network characterisation of dolomite
reservoir rock samples.
AB - With fractured rocks making up an important part of hydrocarbon reservoirs
worldwide, detailed analysis of fractures and fracture networks is essential.
However, common analyses on drill core and plug samples taken from such
reservoirs (including hand specimen analysis, thin section analysis and
laboratory porosity and permeability determination) however suffer from various
problems, such as having a limited resolution, providing only 2D and no internal
structure information, being destructive on the samples and/or not being
representative for full fracture networks. In this paper, we therefore explore
the use of an additional method - non-destructive 3D X-ray micro-Computed
Tomography (MUCT) - to obtain more information on such fractured samples. Seven
plug-sized samples were selected from narrowly fractured rocks of the
Hauptdolomit formation, taken from wellbores in the Vienna basin, Austria. These
samples span a range of different fault rocks in a fault zone interpretation,
from damage zone to fault core. We process the 3D MUCT data in this study by a
Hessian-based fracture filtering routine and can successfully extract porosity,
fracture aperture, fracture density and fracture orientations - in bulk as well
as locally. Additionally, thin sections made from selected plug samples provide
2D information with a much higher detail than the MUCT data. Finally, gas- and
water permeability measurements under confining pressure provide an important
link (at least in order of magnitude) towards more realistic reservoir
conditions. This study shows that 3D MUCT can be applied efficiently on plug
sized samples of naturally fractured rocks, and that although there are
limitations, several important parameters can be extracted. MUCT can therefore be
a useful addition to studies on such reservoir rocks, and provide valuable input
for modelling and simulations. Also permeability experiments under confining
pressure provide important additional insights. Combining these and other methods
can therefore be a powerful approach in microstructural analysis of reservoir
rocks, especially when applying the concepts that we present (on a small set of
samples) in a larger study, in an automated and standardised manner.
PMID- 26549937
TI - Psychological Outcome in Young Survivors of Severe TBI: A Cross-Informant
Comparison.
AB - OBJECTIVE: To investigate the psychological outcome and the agreement between
self-ratings and proxy-ratings in young individuals after severe traumatic brain
injury (TBI). METHODS: Twenty pairs of former patients who sustained a severe TBI
in their adolescence or early adulthood and their significant others (SOs) were
contacted around 66 months after injury to complete a measure of psychological
and behavioral problems. The Adult Self-Report 18-59 and the Adult Behavior
Checklist 18-59 were used. RESULTS: Results showed significant differences
compared to the normative sample in the domains withdrawal, attention, and
intrusive and internalizing problems. Good or excellent levels of agreement were
found between the self-rating and the proxy-rating in overt areas such as somatic
complaints and aggressive and intrusive behavior. Fair or poor levels of
agreement were found in nonovert areas such as anxiety and depression,
withdrawal, thought and attention problems, and personal strength. CONCLUSION:
The findings show that young patients experience psychological dysfunction. Our
study suggests that the use of either a self-rating or a proxy-rating would be
appropriate for evaluating overt domains, regarding the good to excellent levels
of agreement. However, in nonovert domains, such as withdrawal and attention, an
additional proxy-rating from a SO could provide supplementary information and
build a more complete objective assessment.
PMID- 26549936
TI - Life after Adolescent and Adult Moderate and Severe Traumatic Brain Injury: Self
Reported Executive, Emotional, and Behavioural Function 2-5 Years after Injury.
AB - Survivors of moderate-severe Traumatic Brain Injury (TBI) are at risk for long
term cognitive, emotional, and behavioural problems. This prospective cohort
study investigated self-reported executive, emotional, and behavioural problems
in the late chronic phase of moderate and severe TBI, if demographic
characteristics (i.e., age, years of education), injury characteristics (Glasgow
Coma Scale score, MRI findings such as traumatic axonal injury (TAI), or duration
of posttraumatic amnesia), symptoms of depression, or neuropsychological
variables in the first year after injury predicted long-term self-reported
function. Self-reported executive, emotional, and behavioural functioning were
assessed among individuals with moderate and severe TBI (N = 67, age range 15-65
years at time of injury) 2-5 years after TBI, compared to a healthy matched
control group (N = 72). Results revealed significantly more attentional,
emotional regulation, and psychological difficulties in the TBI group than
controls. Demographic and early clinical variables were associated with poorer
cognitive and emotional outcome. Fewer years of education and depressive symptoms
predicted greater executive dysfunction. Younger age at injury predicted more
aggressive and rule-breaking behaviour. TAI and depressive symptoms predicted
Internalizing problems and greater executive dysfunction. In conclusion, age,
education, TAI, and depression appear to elevate risk for poor long-term outcome,
emphasising the need for long-term follow-up of patients presenting with risk
factors.
PMID- 26549938
TI - Mixing in microfluidic devices and enhancement methods.
AB - Mixing in microfluidic devices presents a challenge due to laminar flows in
microchannels, which result from low Reynolds numbers determined by the channel's
hydraulic diameter, flow velocity, and solution's kinetic viscosity. To address
this challenge, novel methods of mixing enhancement within microfluidic devices
have been explored for a variety of applications. Passive mixing methods have
been created, including those using ridges or slanted wells within the
microchannels, as well as their variations with improved performance by varying
geometry and patterns, by changing the properties of channel surfaces, and by
optimization via simulations. In addition, active mixing methods including
microstirrers, acoustic mixers, and flow pulsation have been investigated and
integrated into microfluidic devices to enhance mixing in a more controllable
manner. In general, passive mixers are easy to integrate, but difficult to
control externally by users after fabrication. Active mixers usually take efforts
to integrate within a device and they require external components (e.g. power
sources) to operate. However, they can be controlled by users to a certain degree
for tuned mixing. In this article, we provide a general overview of a number of
passive and active mixers, discuss their advantages and disadvantages, and make
suggestions on choosing a mixing method for a specific need as well as advocate
possible integration of key elements of passive and active mixers to harness the
advantages of both types.
PMID- 26549939
TI - Comprehensive Screening of Gene Function and Networks by DNA Microarray Analysis
in Japanese Patients with Idiopathic Portal Hypertension.
AB - The functions of genes involved in idiopathic portal hypertension (IPH) remain
unidentified. The present study was undertaken to identify the functions of genes
expressed in blood samples from patients with IPH through comprehensive analysis
of gene expression using DNA microarrays. The data were compared with data from
healthy individuals to explore the functions of genes showing increased or
decreased expression in patients with IPH. In cluster analysis, no dominant probe
group was shown to differ between patients with IPH and healthy controls. In
functional annotation analysis using the Database for Annotation Visualization
and Integrated Discovery tool, clusters showing dysfunction in patients with IPH
involved gene terms related to the immune system. Analysis using network-based
pathways revealed decreased expression of adenosine deaminase, ectonucleoside
triphosphate diphosphohydrolase 4, ATP-binding cassette, subfamily C, member 1,
transforming growth factor-beta, and prostaglandin E receptor 2; increased
expression of cytochrome P450, family 4, subfamily F, polypeptide 3, and
glutathione peroxidase 3; and abnormalities in the immune system, nucleic acid
metabolism, arachidonic acid/leukotriene pathways, and biological processes.
These results suggested that IPH involved compromised function of immunocompetent
cells and that such dysfunction may be associated with abnormalities in nucleic
acid metabolism and arachidonic acid/leukotriene-related synthesis/metabolism.
PMID- 26549940
TI - Mediators of Inflammation: Inflammation in Cancer, Chronic Diseases, and Wound
Healing.
PMID- 26549941
TI - Association of Adipokines with Insulin Resistance, Microvascular Dysfunction, and
Endothelial Dysfunction in Healthy Young Adults.
AB - Proinflammatory adipokines (inflammation markers) from visceral adipose tissue
may initiate the development of insulin resistance (IR) and endothelial
dysfunction (ED). This study's objective was to investigate the association of
five inflammation markers (CRP and four adipokines: IL-6, TNFalpha, PAI-1, and
adiponectin) with IR (quantitative insulin resistance check index (QUICKI)),
microvascular measures (capillary density and albumin-to-creatinine ratio (ACR)),
and endothelial measures (forearm blood flow (FBF) increases from resting
baseline to maximal vasodilation). Analyses were conducted via multiple linear
regression. The 295 study participants were between 18 and 45 years of age,
without diabetes or hypertension. They included 24% African Americans and 21%
Asians with average body mass index of 25.4 kg/m(2). All five inflammation
markers were significantly associated with QUICKI. All but adiponectin were
significantly associated with capillary density, but none were associated with
ACR. Finally, IL-6 and PAI-1 were significantly associated with FBF increase. We
also identified a potential interaction between obesity and IL-6 among normal
weight and overweight participants: IL-6 appeared to be positively associated
with QUICKI and capillary density (beneficial effect), but the inverse was true
among obese individuals. These study findings suggest that inflammation measures
may be potential early markers of cardiovascular risk in young asymptomatic
individuals.
PMID- 26549944
TI - Heterotopic ossification after hemiarthroplasty of the hip - A comparison of
three common approaches.
AB - OBJECTIVE: Heterotopic ossification (HO) about the hip after total hip
arthroplasty and internal fixation of the hip, pelvis, and acetabulum has been
linked to surgical approach. However, no study has investigated surgical approach
and HO in patients undergoing hemiarthroplasty. We therefore aimed to explore the
influence of operative approach in patients undergoing hemiarthroplasty. METHODS:
Through a retrospective case series at an Urban level I trauma center, we found
80 patients over the age of 60 undergoing hemiarthroplasty for femoral neck
fractures from 2000 to 2009. Patient charts, operative notes, and radiographs
were reviewed for demographics, operative approach (anterior: A, anterior
lateral: AL, posterior: P), and any development of HO. Fisher's exact test
compared rates of HO among the three approaches. Student's t-tests compared
Brooker Classification levels of HO among the approaches. RESULTS: 82
hemiarthroplasties (26 A, 32 AL, 24 P) were included for analysis. 22 patients
(27%) had HO. There was no significant difference in the development of HO based
upon surgical approach: A: 19% (n = 5); AL: 34% (n = 11); P: 25% (n = 6). There
was a significant difference in the grade of HO based on Brooker Classification
(BC) with the posterior approach resulting in significantly lower grade of HO: A
(BC: 2.60); AL (BC: 2.64); P (BC: 1.50) (p = 0.012). CONCLUSIONS: Our data is the
first to evaluate surgical approach and HO in patients with hemiarthroplasty.
Patients have a significant risk of developing higher grade HO based on surgical
approach (A or AL). Orthopedists should be mindful of these risks when
considering A or AL approaches.
PMID- 26549943
TI - HSP90 and HSP70: Implication in Inflammation Processes and Therapeutic Approaches
for Myeloproliferative Neoplasms.
AB - Myeloproliferative neoplasms (MPN) are clonal stem cell disorders that lead to
the excessive production of one or more blood cell lineages. It has been reported
that, in most MPN, inflammatory cytokines are frequently increased, indicating
that inflammation plays a crucial role in these disorders. Heat shock proteins
(HSP) are induced in response to many stressful conditions from heat shock to
hypoxia and inflammation. Besides their chaperone and cytoprotective functions,
HSPs are key players during inflammation, hence the term "chaperokine." Through
their chaperone activity, HSP90, a stabilizer of many oncogenes (e.g., JAK2), and
HSP70, a powerful antiapoptotic chaperone, tightly regulate Nuclear Factor-kappa
B signalling, a critical pathway in mediating inflammatory responses. In light of
this potential, several HSP90 inhibitors have been generated as anticancer agents
able to degrade oncogenes. As it turns out, however, these drugs are also potent
inhibitors of the inflammatory response in various diseases. Given the chaperone
potential of HSP70 and the fact that HSP90 inhibitors induce HSP70, interest in
HSP70 inhibitors is also increasing. Here, we focus on the implication of HSP90
and HSP70 in inflammatory responses and on the emergence of new therapeutic
approaches in MPN based on HSP inhibitors.
PMID- 26549945
TI - Comparative study of fresh femoral neck fractures managed by multiple cancellous
screws with and without fibular graft in young adults.
AB - OBJECTIVES: Aim of our study was to assess the role of addition of fibular strut
graft to multiple cancellous screws in functional outcome, union and
complications associated with those managed by only multiple cancellous screws in
fresh femoral neck fractures. METHODS: A randomized control trial study was
conducted on the patients of femoral neck fractures managed with multiple
cancellous screws (group A) and multiple cancellous screws with fibular graft
(group B). Patients aged between 20 and 50 years, having Gardens type III or IV
fracture with duration of injury less than two weeks were included in the study.
RESULTS: Eighty seven cases were analysed n = 45 were in group A and n = 42 in
group B. Functional outcome (Harris hip score) was excellent in 30 patients in
group A as compared to 12 in Group B which was statistically significant
favouring group A. The time of full weight bearing, union and non union rates
showed no statistical significance (p > 0.05). On statistical grounds none of the
procedures proved to be better than other. CONCLUSIONS: Fresh femoral neck
fracture in young adults managed with multiple cancellous screws fixation with
fibular graft has no added advantage over multiple cancellous screws fixation
alone.
PMID- 26549946
TI - The clinical profile of musculoskeletal injuries in children attending a major
hospital in Delhi, India.
AB - BACKGROUND: Children are vulnerable to musculoskeletal injuries both at home and
on the street for various reasons. Morbidity and disabilities resulting from
these, mostly preventable, injuries, make them a burden to their families and
society. The role of various factors associated with injuries is often not
documented. METHODS: This prospective study, done on 100 children aged up to 12
years with musculoskeletal trauma, analysed in details, the various modes of
injuries. RESULTS: One in every five patient was a child below 12 years of age.
Boys were injured more than girls. Injuries, especially fractures, were most
common in the extremities, the upper limb more commonly injured than the lower
limb. Most of the injuries occurred at home. The most common mode of injuries was
falls that happened while playing both within and outside the home, followed by
road traffic accidents. Most injuries occurred during daytime. CONCLUSIONS:
Injuries in children were found to be preventable. Small interventions while
constructing homes can contribute tremendously to injury prevention and control
in children. Parental awareness about the various modes of injury, role of
supervised playing and their responsibility towards injury prevention can play a
key role in reducing the morbidity associated with childhood fractures.
PMID- 26549942
TI - Interleukin-1 Family Cytokines in Liver Diseases.
AB - The gene encoding IL-1 was sequenced more than 30 years ago, and many related
cytokines, such as IL-18, IL-33, IL-36, IL-37, IL-38, IL-1 receptor antagonist
(IL-1Ra), and IL-36Ra, have since been identified. IL-1 is a potent
proinflammatory cytokine and is involved in various inflammatory diseases. Other
IL-1 family ligands are critical for the development of diverse diseases,
including inflammatory and allergic diseases. Only IL-1Ra possesses the leader
peptide required for secretion from cells, and many ligands require
posttranslational processing for activation. Some require inflammasome-mediated
processing for activation and release, whereas others serve as alarmins and are
released following cell membrane rupture, for example, by pyroptosis or
necroptosis. Thus, each ligand has the proper molecular process to exert its own
biological functions. In this review, we will give a brief introduction to the IL
1 family cytokines and discuss their pivotal roles in the development of various
liver diseases in association with immune responses. For example, an excess of IL
33 causes liver fibrosis in mice via activation and expansion of group 2 innate
lymphoid cells to produce type 2 cytokines, resulting in cell conversion into pro
fibrotic M2 macrophages. Finally, we will discuss the importance of IL-1 family
cytokine-mediated molecular and cellular networks in the development of acute and
chronic liver diseases.
PMID- 26549947
TI - Revision knee arthroplasty with a rotating-hinge design in elderly patients with
instability following total knee arthroplasty.
AB - BACKGROUND: Revision knee arthroplasty with a rotating-hinge design could be an
option for the treatment of instability following total knee arthroplasty (TKA)
in elderly patients. PURPOSE: To evaluate the clinical and radiographic results
of revision arthroplasties in TKAs with instability using a rotating-hinge design
in elderly patients. METHODS: We retrospectively reviewed 96 rotating-hinge
arthroplasties. The average age of the patients was 79 years (range, 75-86
years); the minimum follow-up was 5 years (mean, 7.3 years; range, 5-10 years).
Patients were evaluated clinically (Knee Society score) and radiographically
(position of prosthetic components, signs of loosening, bone loss). RESULTS: At a
minimum followup of 5 years (mean, 7.3 years; range, 5-10 years), Knee Society
pain scores improved from 37 preoperatively to 79 postoperatively, and function
scores improved from 34 to 53. ROM improved on average from -15 degrees of
extension and 80 degrees of flexion before surgery to -5 degrees of extension
and 120 degrees of flexion at the last followup (p = 0.03). No loosening of
implants was observed. Nonprogressive radiolucent lines were identified around
the femoral and tibial components in 2 knees. One patient required reoperation
because of a periprosthetic infection. CONCLUSIONS: Revision arthroplasty with a
rotating-hinge design provided substantial improvement in function and a
reduction in pain in elderly patients with instability following TKA. LEVEL OF
EVIDENCE: Level IV, therapeutic study.
PMID- 26549949
TI - Pseudo-winging of scapula due to benign lesions of ventral surface of scapula -
Two unusual causes.
AB - Winging of the scapula due to benign lesion of ventral surface of scapula is one
of the rare cause and difficult to diagnose in first place. We present two
unusual cases of pseudo winging of scapula due to benign lesions of scapula.
First case was of 23 year old male with solitary osteochondroma of ventral
surface of scapula. Second was 38 year old female with hemangioma involving
subscapular muscles. Both the patients presented to us with dull aching pain over
right scapular and shoulder region of 6 months duration with gradually increasing
pseudo-winging of scapula. On examination there was mild tenderness over
superomedial border of scapula with scapular snapping(5) or 'clunk' on hyper
abduction of shoulder. Further radiographic evaluation of right scapular region
revealed solitary osteochondroma of ventral surface of right scapula in first
case whereas MRI revealed hemangioma of subscapular muscles in second case.
Hemangioma was initially treated by weekly injecting sclerosing agent (Inj.
Polidocanol) locally for 4 weeks. Both lesions were later treated by excision and
subsequent follow up revealed disappearance of pain and winging of scapula.
CONCLUSION: Winging of the scapula due to solitary osteochondroma and subscapular
hemangioma of the scapula may present with an initial diagnostic difficulty but
appropriate knowledge of literature and diagnostic acumen can give excellent
results.
PMID- 26549948
TI - Management of diabetic foot: Brief synopsis for busy orthopedist.
AB - According to available medical reports, over 10% of diabetic patients will
develop foot ulcers during their lifetimes. This condition still remains great
challenges to many clinicians. Various mechanisms may explain treatment-resistant
entity. Treatment varies widely, relying on the severity of the ulceration as
well as the presence of infection or ischemia. However, the most important things
to keep in mind should consist of the following: 1) appropriate debridement; 2)
off-loading of pressure; 3) effective control of infection; 4) local wound care
strategy; 5) timely reconstructive surgery. The ideal flap for diabetic foot
reconstruction should provide a well-vascularized tissue to control infection,
adequate contour for footwear, durability, and solid anchorage to resist shearing
forces. A thorough assessment of patient's general condition and voluntary
motivation of the patient should be warranted to prevent any sort of
postoperative recurrence.
PMID- 26549950
TI - An isolated dorso-medial dislocation of navicular bone: A case report.
AB - An isolated dislocation of tarsal navicular is extremely rare injury. Usually it
is associated with fracture of navicular itself or other tarsal bones of foot
along with disruption of medial or lateral column of foot. Mechanism of injury is
complex but usually a severe abduction force is required to produce such injury
in a planter flexed foot. A 30 year old male presented with isolated navicular
dislocation. Management required open reduction and fixation with k-wires. These
injuries have specific complications including avascular necrosis of navicular
and post-traumatic arthritis.
PMID- 26549951
TI - Cysticercosis of Soleus muscle presenting as isolated calf pain.
AB - CNS is the most common site of involvement by cysticercosis. Symptomatic
involvement of isolated skeletal muscle by solitary cysticercosis cyst is
extremely rare. We report a rare and unusual case of cysticercosis presenting as
acute calf pain, which is a diagnostic challenge. But the diagnosis was reached
by sero-radiological examination and patient was managed conservatively by
medical means.
PMID- 26549952
TI - Isolated volar fracture-dislocation of the base of the second metacarpal bone by
indirect injury.
AB - Isolated volar fracture-dislocation of the second carpometacarpal joint is
extremely rare, and no case of indirect injury has been reported. We are
presenting a case of indirect injury, treated by open reduction with volar
approach. Three-dimensional computed tomography was helpful for confirming and
making surgical plan for this injury.
PMID- 26549953
TI - Non-union coronal fracture femoral condyle, sandwich technique : A case report.
AB - Coronal fractures of the femoral condyle (Hoffa fracture) are rare injuries but
can be managed with satisfactory outcome if properly treated. We discuss an
unusual case of a young adult male presenting with 9 month old neglected Hoffa
fracture with pain, stiffness and limitation of knee movement, managed with
sandwich bone grafting technique.(1).
PMID- 26549954
TI - Quiz.
PMID- 26549955
TI - Errata.
AB - [This corrects the article DOI: 10.11919/j.issn.1002-0829.215026.].
PMID- 26549956
TI - Challenges to the uniqueness of psychotic experience in psychosis: insights on
research methodology and intervention.
AB - Paul Bebbington's recent Special Article on the value of psychosocial
epidemiology as a tool for understanding the symptomatology of psychosis provides
a fresh perspective on understanding the etiology of schizophrenia and related
psychotic conditions. Assessment of psychotic-like experiences in non-clinical
populations may help to clarify the role of non-psychotic symptoms such as
anxiety and depression in the onset and course of psychotic disorders. This
approach may also make it possible to expand the repertoire of interventions for
preventing the onset or ameliorating the course of psychotic conditions. There
is, however, a long road to travel before the mapping of the relationships
between brain pathology, psychological symptoms, environmental stressors, and
clinical diagnoses are sufficiently detailed to merit the creation of a new
psychiatric nosology.
PMID- 26549957
TI - Efficacy and safety of treating patients with refractory schizophrenia with
antipsychotic medication and adjunctive electroconvulsive therapy: a systematic
review and meta-analysis.
AB - BACKGROUND: The efficacy and safety of the combined treatment of refractory
schizophrenia with antipsychotic medications and electroconvulsive therapy (ECT)
remain uncertain. AIMS: Conduct systematic review and meta-analysis of available
literature in English and Chinese about ECT in the treatment of refractory
schizophrenia. METHODS: English and Chinese databases were searched for studies
published prior to May 20, 2015 regarding the efficacy and safety of the combined
treatment of refractory schizophrenia with antipsychotic medications and ECT. Two
researchers selected and evaluated studies independently using pre-defined
criteria. Review Manager 5.3 software was used for data analysis. RESULTS: A
total of 22 randomized control studies, 18 of which were conducted in mainland
China, were included in the analysis. Meta-analysis of data from 18 of the 22
studies with a pooled sample of 1394 individuals found that compared to treatment
with antipsychotic medications alone, combined treatment with antipsychotic
medications and ECT had significantly higher rates of achieving study-specific
criteria of 'clinical improvement' (RR=1.25, 95%CI=1.14-1.37). Based on the
Grades of Recommendation, Assessment, Development, and Evaluation (GRADE)
criteria, the quality of evidence for this assessment of efficacy was 'moderate'.
However, the proportion of participants who experienced headache during the
treatment was significantly higher in the combined treatment group (RR=9.10,
95%CI=3.97-20.86, based on a pooled sample of 517 from 8 studies) and the
proportion who experienced memory impairment was also higher in the combined
treatment group (RR=6.48, 95%CI=3.54-11.87, based on a pooled sample of 577 from
7 studies). The quality of evidence about these adverse events was rated as 'very
low'. CONCLUSIONS: There are very few high quality randomized controlled clinical
trials about the combination of antipsychotic medications and ECT in the
treatment of refractory schizophrenia. This meta-analysis found that the
combination of antipsychotic medications and ECT could improve psychiatric
symptoms in patients with refractory schizophrenia, but the incomplete
methodological information provided for most of the studies, publication bias
(favoring studies with better outcomes in the combined treatment group), and the
low quality of evidence about adverse outcomes, cognitive impairment, and overall
functioning raise questions about the validity of the results.
PMID- 26549958
TI - Single-blind, randomized controlled trial of effectiveness of Naikan therapy as
an adjunctive treatment for schizophrenia over a one-year follow-up period.
AB - BACKGROUND: Current treatments for schizophrenia are often only partially
effective. AIMS: Assess the possible benefit of using adjunctive Naikan therapy,
a cognitive approach based on self-reflection that originated in Japan for the
treatment of schizophrenia. METHODS: After resolution of acute psychotic
symptoms, 235 psychiatric inpatients with schizophrenia who had a middle school
education or higher were randomly assigned to a control group (n=112) that
received routine medication and inpatient rehabilitative treatment or an
intervention group (n=123) that also received adjunctive Naikan therapy for 2
hours daily, 5 days a week for 4 weeks. The patients were then discharged and
followed up for 12 months. The Positive and Negative Syndrome Scale (PANSS),
Personal and Social Performance scale (PSP), and Insight and Attitude
Questionnaire (ITAQ) were used to assess patients at enrollment, after the 1
month intervention, and after the 12-month follow-up. Evaluators were blind to
the group assignment of patients. RESULTS: Only 13 (10.6%) of the intervention
group participants relapsed over the 12-month follow-up, but 23 (20.5%) control
group participants relapsed (X(2)=4.50, p=0.034). Using a modified intention-to
treat analysis and a repeated measure analysis of variance, the PANSS, PSP, and
ITAQ total scores all showed significantly greater improvement over the 12-month
follow-up in the Naikan group than in the control group. The drop in mean
chlorpromazine-equivalent dosage from enrollment to the end of follow-up was
significantly different in the intervention group but not in the control group,
though the change in dosage over time between groups was not statistically
significant. CONCLUSIONS: This study provides robust support for the
effectiveness of Naikan therapy as an adjunctive treatment during the recovery
period of schizophrenia. Compared to treatment as usually, adjunctive Naikan
therapy can sustain the improvement in psychotic symptoms achieved during acute
treatment, improve insight about the illness, enhance social functioning, and
reduce relapse over a one-year follow-up period. Further research of this
treatment with larger and more diverse samples of patients with schizophrenia is
merited.
PMID- 26549959
TI - Treatment of major depressive disorders with generic duloxetine and paroxetine: a
multi-centered, double-blind, double-dummy, randomized controlled clinical trial.
AB - BACKGROUND: This study is a pre-registration trial of generic duloxetine that was
approved by the China Food and Drug Administration (approval number: 2006L01603).
AIMS: Compare the treatment efficacy and safety of generic duloxetine to that of
paroxetine in patients with major depressive disorders (MDD). METHODS: This was a
double-dummy, double-blind, multicenter, positive drug (paroxetine), parallel
randomized controlled clinical trial. The 299 patients with MDD recruited for the
study were randomly assigned to use duloxetine (n=149; 40-60 mg/d) or paroxetine
(n=150; 20 mg/d) for 8 weeks. The Hamilton Depression rating scale (HAMD-17) was
administered at baseline and 1, 2, 4, 6, and 8 weeks after starting treatment.
Remission was defined as a HAMD-17 score below 8 at the end of the trial, and
treatment effectiveness was defined as a decrease in baseline HAMD-17 score of at
least 50% by the end of the trial. Safety was assessed based on the reported
prevalence and severity of side effects and changes in laboratory and
electrocardiographic findings. Three patients in the duloxetine group dropped out
before starting medication, so results were analyzed using a modified intention
to-treat (ITT) method with 146 in the experimental group and 150 in the control
group. RESULTS: Both groups experienced 29 dropouts during the 8-week trial. HAMD
17 scores decreased significantly from baseline throughout the trial in both
groups. Based on the ITT analysis, at the end of the trial there was no
significant difference between the duloxetine group and the paroxetine group in
effectiveness (67.1% v. 71.3%, X(2)=0.62 p=0.433), remission rate (41.1% v.
51.3%, X(2)=3.12, p=0.077), or in the incidence of side effects (56.8% v. 54.7%,
X(2)=0.14, p=0.705). CONCLUSIONS: Generic duloxetine is as effective and safe as
paroxetine in the acute treatment of patients with MDD who seek care at
psychiatric outpatient departments in China.
PMID- 26549960
TI - Comparison of the effectiveness of duloxetine in depressed patients with and
without a family history of affective disorders in first-degree relatives.
AB - BACKGROUND: It remains unclear whether or not a positive family history of
affective disorders predicts the effectiveness of antidepressant treatment of
depression. AIMS: Assess the relationship of a family history of affective
disorders to the efficacy of duloxetine in the treatment of depressive disorder.
METHODS: Seventy-seven patients with depressive disorder (as defined by the 10th
edition of the International Classification of Diseases, ICD-10) were enrolled in
the study and treated with standard doses of duloxetine for 12 weeks. Among these
patients 37 had a family history of affective disorder in first-degree relatives
and 40 did not. The Hamilton Depression rating scale (HAMD-17), Hamilton Anxiety
rating scale (HAMA), Side Effects Rating Scale (SERS), Snaith-Hamilton Pleasure
Scale (SHAPS), and Beck Depression Inventory (BDI) were assessed at baseline and
at the end of the 2(nd), 4(th), 6(th), 8(th), and 12(th) week after enrollment.
Repeated measures analysis of variance and logistic regression were used to
analyze the association between a family history of affective disorders and the
efficacy of duloxetine. RESULTS: Patients with a positive family history of
affective disorders had an earlier age of onset, a longer duration of illness, a
higher level of psychic anxiety, and more prominent anhedonia. Repeated measures
analysis of variance showed a significant improvement in the severity of
depression over the 12 weeks but no differences in the magnitude or speed of
improvement between the two groups. Treatment was considered effective (i.e.,
drop in baseline HAMD-17 total score of >=50%) in 75.7% of those with a family
history of affective disorders and in 77.5% of those without a family history
(X(2)=0.04, p=0.850). CONCLUSIONS: Family history of affective disorders is not
associated with the effectiveness of duloxetine in the acute treatment of
depressive disorder.
PMID- 26549961
TI - Comorbid bipolar disorder and obsessive-compulsive disorder.
AB - Obsessive-compulsive symptoms are common in patients with bipolar disorders. This
comorbid condition complicates the clinical treatment of the two disorders, so
identifying these individuals is important. We discuss the comorbid occurrence of
obsessive-compulsive disorder and bipolar disorder, introduce possible
etiological mechanisms that could result in this common comorbid condition,
discuss recent research advances in the area, and propose some clinical
principles for managing such patients.
PMID- 26549962
TI - Obsessive compulsive symptoms in bipolar disorder patients: a comorbid disorder
or a subtype of bipolar disorder?
AB - Over the last decade increasing attention has been focused on individuals that
simultaneously meet the criteria of two or more mental disorders. One of these
comorbid conditions, comorbid bipolar disorder and obsessive compulsive disorder,
is relatively common among patients with a primary diagnosis of bipolar disorder.
But there is little research about the diagnosis and treatment of this comorbid
condition, particularly in China. The available studies are primarily cross
sectional studies with small samples, so they are of limited use in understanding
the etiology and course of this combined condition. A review of the limited
literature suggests that this is a relatively severe, refractory subtype of
bipolar disorder that only occasionally merits being considered a comorbid
disorder. Larger prospective studies are needed to clarify the etiology,
prognosis, and appropriate treatment for this comorbid condition.
PMID- 26549963
TI - Case report of comorbid schizophrenia and obsessive compulsive disorder in a
patient who was tube-fed for four years by family members because of his refusal
to eat.
AB - Refusal to eat is a common presentation in many psychiatric disorders including
obsessive compulsive disorder and schizophrenia. In the acute situation it may be
a medical emergency; when it becomes chronic it can become an ingrained behavior
that is difficult to change. The diagnosis of individuals who refuse to eat may
be difficult, particularly in persons with comorbid medical problems, impaired
intelligence, or lack of insight into their condition. Tube-feeding is an
effective short-term intervention that can be discontinued when the patient re
starts oral intake. However, in some situations patients may become dependent on
the use of tube-feeding. We present a case report of a patient with
schizophrenia, obsessive compulsive disorder, borderline intelligence, and
seizure disorder who was tube-fed by his family members for more than three years
because he refused to eat orally.
PMID- 26549964
TI - Introduction to longitudinal data analysis in psychiatric research.
AB - The onset, course, and management of mental health problems typically occur over
relatively long periods of time, so a substantial proportion of psychiatric
research - particularly the research that can provide clear answers about the
complex interaction of biological, psychological, and social factors - requires
multiple assessments of individuals and the environments in which they live over
time. However, many psychiatric researchers use incorrect statistical methods to
analyze this type of longitudinal data, a problem that can result in unrecognized
bias in analytic results and, thus, incorrect conclusions. This paper provides an
introduction to the topic of longitudinal data analysis. It discusses the
different dataset structures used in the analysis of longitudinal data, the
classification and management of missing data, and methods of adjusting for intra
individual correlation when developing multivariate regression models using
longitudinal data.
PMID- 26549965
TI - Convergence and Stability of a Class of Iteratively Re-weighted Least Squares
Algorithms for Sparse Signal Recovery in the Presence of Noise.
AB - In this paper, we study the theoretical properties of a class of iteratively re
weighted least squares (IRLS) algorithms for sparse signal recovery in the
presence of noise. We demonstrate a one-to-one correspondence between this class
of algorithms and a class of Expectation-Maximization (EM) algorithms for
constrained maximum likelihood estimation under a Gaussian scale mixture (GSM)
distribution. The IRLS algorithms we consider are parametrized by 0 < nu <= 1 and
epsilon > 0. The EM formalism, as well as the connection to GSMs, allow us to
establish that the IRLS(nu, epsilon) algorithms minimize epsilon-smooth versions
of the l nu 'norms'. We leverage EM theory to show that, for each 0 < nu <= 1,
the limit points of the sequence of IRLS(nu, epsilon) iterates are stationary
point of the epsilon-smooth l nu 'norm' minimization problem on the constraint
set. Finally, we employ techniques from Compressive sampling (CS) theory to show
that the class of IRLS(nu, epsilon) algorithms is stable for each 0 < nu <= 1, if
the limit point of the iterates coincides the global minimizer. For the case nu =
1, we show that the algorithm converges exponentially fast to a neighborhood of
the stationary point, and outline its generalization to super-exponential
convergence for nu < 1. We demonstrate our claims via simulation experiments. The
simplicity of IRLS, along with the theoretical guarantees provided in this
contribution, make a compelling case for its adoption as a standard tool for
sparse signal recovery.
PMID- 26549966
TI - Affective Self-Regulation Trajectories During Secondary School Predict Substance
Use Among Urban Minority Young Adults.
AB - This study explored the relationship between trajectories of affective self
regulation skills during secondary school and young adult substance use in a
large multi-ethnic, urban sample (N = 995). During secondary school, participants
completed a measure of cognitive and behavioral skills used to control negative,
unpleasant emotions or perceived stress. As young adults, participants reported
on the frequency and quantity of their alcohol, cigarette, and marijuana use in a
telephone interview. Controlling for demographic variables, self-regulation did
not significantly change over adolescence, although there was significant
variation in participants' rates of growth and decline. Lower seventh grade self
regulation and less steep increases in self-regulation were predictive of higher
young adult substance use. Male participants had significantly lower initial self
regulation and higher young adult substance use. The results suggest that
interventions that build affective self-regulation skills in adolescence may
decrease the risk of young adult substance use.
PMID- 26549967
TI - Effects of Meditation on Symptoms of Knee Osteoarthritis.
AB - OBJECTIVE: The aim of this study was to investigate changes in knee pain,
function, and related indices in older adults with osteoarthritis (OA) of the
knee, following an 8-week meditation program. METHODS: Eleven community-dwelling
adults with physician- confirmed knee OA were enrolled in the study. Core
outcomes included recommended measures of knee pain (Western Ontario and McMaster
Universities Osteoarthritis Index [WOMAC] and 11-point numeric rating scale
[NRS]), function (WOMAC), and perceived global status (patient global
assessment). Additional outcomes included: perceived stress; stress hardiness;
mood; sleep; and sympathetic activation. Following baseline assessment,
participants were trained briefly in mantra meditation and instructed to meditate
for 15-20 minutes twice daily for 8 weeks, and to record each practice session on
a daily log. Changes over time were analyzed using paired t-tests. RESULTS: Nine
participants (82%) completed the study. Participants had statistically
significant improvements in all core outcomes: knee pain (WOMAC: 47.7% +/- 25.1%
reduction, P = 0.001; NRS: 42.6% +/- 34.6% reduction, P < 0.01); function (44.8%
+/- 29.9, P = 0.001); and global status (45.7% +/- 36.5, P = 0.01); as well as
knee stiffness (P = 0.005), mood (P = 0.05), and a WOMAC proxy for sleep
disturbance (P = 0.005). CONCLUSIONS: Findings from this pilot study suggest that
a mantra meditation program may help reduce knee pain and dysfunction, as well as
improving mood and related outcomes in adults with knee OA.
PMID- 26549968
TI - Classroom Race/Ethnic Composition, Family-School Connections, and the Transition
to School.
AB - Using data from the Early Childhood Longitudinal Study-Kindergarten Cohort (N =
13,970), we examined whether two aspects of school-family connections-parental
involvement and communication quality-accounted for the association between
classroom composition and children's academic and socioemotional functioning
following the transition to elementary school. For students with more same
race/ethnic representation in their classrooms, greater classroom race/ethnic
diversity promoted more parental involvement, which in turn promoted children's
interpersonal skills and reading achievement. Classroom diversity made little
difference on parental involvement when students had fewer same-race/ethnic peers
in the classroom. Teacher-parent communication quality did not emerge as an
explanatory mechanism, and findings did not vary by the race/ethnic match between
students and their teachers.
PMID- 26549970
TI - Immunohistochemical Markers of Soft Tissue Tumors: Pathologic Diagnosis, Genetic
Contributions, and Therapeutic Options.
AB - After ~30 years of widespread usage, immunohistochemistry (IHC) has become a
standard method of diagnosis for surgical pathology. Because of the plethora of
diagnoses and often subtle nature of diagnostic criteria, IHC finds particular
utility in soft tissue tumors. The use of progressively small amounts of tissue
for diagnosis highlights the importance of this method. The sensitivity and
crispness of IHC stains have progressively improved with the advent of new
techniques. Traditionally, IHC detects cell-typic markers that characterize cell
phenotypes, such as chromogranin for neuroectodermal tissue, myogenin for
skeletal muscle, and cytokeratin for epithelium. However, the advent of genetic
discoveries have led to IHC testing for detection of fusion gene products or
overexpressed oncogenes associated with deletions and mutations. Proliferation
based markers such as Ki-67 can also be used for prognosis and grading, but more
standardization is needed. Development of monoclonal antibody-based
pharmaceuticals, such as imatinib or crizotinib, holds the promise of tailored
anticancer therapy. IHC thus has assumed importance not only for diagnosis but
also for guidance of personalized medicine.
PMID- 26549969
TI - Regeneration in the nervous system with erythropoietin.
AB - Globally, greater than 30 million individuals are afflicted with disorders of the
nervous system accompanied by tens of thousands of new cases annually with
limited, if any, treatment options. Erythropoietin (EPO) offers an exciting and
novel therapeutic strategy to address both acute and chronic neurodegenerative
disorders. EPO governs a number of critical protective and regenerative
mechanisms that can impact apoptotic and autophagic programmed cell death
pathways through protein kinase B (Akt), sirtuins, mammalian forkhead
transcription factors, and wingless signaling. Translation of the cytoprotective
pathways of EPO into clinically effective treatments for some neurodegenerative
disorders has been promising, but additional work is necessary. In particular,
development of new treatments with erythropoiesis-stimulating agents such as EPO
brings several important challenges that involve detrimental vascular outcomes
and tumorigenesis. Future work that can effectively and safely harness the
complexity of the signaling pathways of EPO will be vital for the fruitful
treatment of disorders of the nervous system.
PMID- 26549971
TI - Trends in Alcohol's Harms to Others (AHTO) and Co-occurrence of Family-Related
AHTO: The Four US National Alcohol Surveys, 2000-2015.
AB - Various harms from others' drinking have been studied individually and at single
points in time. We conducted a US population 15-year trend analysis and extend
prior research by studying associations of depression with combinations of four
harms - family/marriage difficulties, financial troubles, assault, and vandalism
attributed to partners or family members. Data come from four National Alcohol
Surveys conducted by telephone in 2000, 2005, 2010, and 2015 (analytic sample =
21,184). Weighted logistic regression models estimated time trends adjusting for
victim characteristics (gender, age, race/ethnicity, marital status, poverty,
employment, family history of alcohol problems, and drinking maximum). The 2015
survey asked the source of the harm; we used similar models to examine
characteristics, including anxiety and depression, associated with various
combinations of family/marriage, financial, and assault harms due to
partner's/spouse's/family members' drinking. A significant upward trend (P
<0.001) from 2000 to 2015 was seen for financial troubles but not for other harms
due to someone else's drinking. In 2015, depression and/or anxiety were strongly
associated with exposures to harms and combinations of harms identified as
stemming from drinking spouse/partner and/or family members. The results shed new
light on 15-year trends and associations of harms with personal characteristics.
A replicated finding is how the victim's own heavy drinking pattern is implicated
in risks for exposures to harms from someone else's drinking. Documenting risk
factors for and mental health impacts is important for interventions to reduce
alcohol's harm to others.
PMID- 26549972
TI - Overview of the Benzene and Other Toxics Exposure (BEE-TEX) Field Study.
AB - The Benzene and other Toxics Exposure (BEE-TEX) field study was an experimental
campaign designed to demonstrate novel methods for measuring ambient
concentrations of hazardous air pollutants (HAPs) in real time and to attribute
these concentrations to quantified releases from specific emission points in
industrial facilities while operating outside facility fence lines. BEE-TEX was
conducted in February 2015 at three neighboring communities in the Houston Ship
Channel of Texas, where a large number of petrochemical facilities are
concentrated. The novel technologies deployed during BEE-TEX included: (1)
tomographic remote sensing based on differential optical absorption spectroscopy;
(2) real-time broadcasting of ambient air monitoring data over the World Wide
Web; (3) real-time source attribution and quantification of HAP emissions based
on either tomographic or mobile measurement platforms; and (4) the use of
cultured human lung cells in vitro as portable indicators of HAP exposure.
PMID- 26549973
TI - Associations of the A66G Methionine Synthase Reductase Polymorphism in Colorectal
Cancer: A Systematic Review and Meta-Analysis.
AB - Inconsistency in the reported associations between the A66G polymorphism in the
methionine synthase reductase (MTRR) gene and colorectal cancer (CRC) prompted a
meta-analysis, so that we could obtain a more precise estimate. Databases
searches of the published literature yielded 20 case-control studies from 17
articles (8,371 cases and 12,574 controls). We calculated pooled odds ratios
(ORs) and 95% confidence intervals in three genetic comparisons (A allele, G
allele, and A/G genotype). We found no evidence of overall associations between
MTRR A66G and CRC risk (OR 0.96-1.05, P = 0.12-0.44). This was materially
unchanged when reanalyzed without the Hardy-Weinberg equilibrium (HWE)-deviating
studies (OR 0.97-1.06, P = 0.11-0.65). In the A allele comparison, however,
outlier treatment generated significant protection (OR 0.91, P = 0.01). Combined
removal of the outliers and HWE-deviating studies reflected this summary effect
(OR 0.90, P = 0.01) as did the pooled OR from high-quality studies (OR 0.90, P =
0.01). Only the Asian subgroup showed significant (both at P = 0.05) A allele (OR
1.13) and A/G genotype (OR 0.88) associations. In conclusion, post-outlier A
allele effects were protective. Our study also suggests ethnic-specific
associations with Asian susceptibility and protection in the A allele and A/G
genotype comparisons, respectively. Folate status showed no association of this
polymorphism with CRC.
PMID- 26549974
TI - ASCORBIC ACID - MODULATION OF ARSENIC TRIOXIDE TOXICITY: IMPLICATION FOR THE
CLINICAL TREATMENT OF ACUTE PROMYELOCYTIC LEUKEMIA.
AB - BACKGROUND: Acute Promyelocytic Leukemia (APL) is a subtype of acute leukemia
which can affect people of any age. It strikes about 1,500 patients in the United
States each year. Recent in vitro and in vivo studies have shown that arsenic
trioxide (ATO) can induce clinical remission in de-novo and APL patients that
have relapsed from conventional treatment. Ascorbic acid (AA) is an anti-oxidant
and free radical scavenger effective against peroxyl- and hydroxyl-radicals,
superoxide, singlet oxygen and peroxynitrite. Although research has shown that AA
can prevent cancer by deactivating free radicals before they can damage DNA and
initiate tumor growth, there are also published reports indicating that it may
act as a pro-oxidant that helps the body's own free radical defense mechanism
destroy tumors in their early stages. AIM: The aim of this research was to study
the modulatory effect of AA on ATO-induced oxidative stress in leukemia cells.
METHODS: In the present investigation, we performed the MTT assay and trypan blue
exclusion test for cell viability. We also performed the thiobarbituric acid test
to determine the levels of malondialdehyde (MDA) production in HL-60 cells co
exposed to ascorbic acid (AA) and ATO. RESULTS: The results of MTT assay
indicated that AA exposure potentiates the cytotoxicity of ATO in HL-60 cells, as
evidenced by a gradual increase in MDA levels with increasing doses of AA. From
these results, we concluded that the addition of the ascorbic acid to ATO-treated
HL-60 cells enhances the formation of reactive oxygen species (ROS). CONCLUSIONS:
Based on these direct in vitro findings, our study provides evidence that AA may
extend the therapeutic spectrum of ATO, and improve the clinical outcome
associated with ATO monotherapy in vivo.
PMID- 26549975
TI - N-Acetyl-cysteine Protection Against Lead-Induced Oxidative Stress and
Genotoxicity in Human Liver Carcinoma (HepG2) Cells.
AB - The human liver carcinoma (HepG2) cells as well as other cell lines are
particularly susceptible to oxidative damage, and it is therefore important to
find agents that protect against this process. N-acetyl-cysteine (NAC) is the
acetylated form of L-cysteine. It has an impressive list of protective effects
including: antioxidant activity, decrease of the biologically effective dose of
carcinogens, anti-inflammatory activity, immunological effects, inhibition of
progression to malignancy and metastasis, and protection from the adverse effects
of chemopreventive and chemotherapeutic agents. Previous studies in our
laboratory have shown that lead nitrate induces cytotoxicity and oxidative stress
to HepG2 cells in a dose-dependent manner. In this research, we hypothesized that
the antioxidant, n-acetyl-l-cysteine attenuates oxidative stress and
genotoxicity, and thereby provides cellular protection against lead toxicity. To
this hypothesis, we performed the thiobarbituric acid test for lipid peroxidation
and the microgel electrophoresis (comet) assay for genotoxicity. The results
generated from the thiobarbituric acid test showed a significant reduction of
lipid peroxidation by-product (malondialdehyde) in HepG2 cells co-exposed to NAC
and lead nitrate compared to lead nitrate alone. Incubation of HepG2 cells with
increasing concentrations of NAC decreased the amount of MDA formation
progressively in lead nitrate-treated HepG2 cells. Data obtained from the comet
assay indicated a strong dose-response relationship with regard to lead nitrate
induced genotoxic damage in HepG2 cells. However, the addition of NAC in vitro
showed a significant reduction (p < 0.05) in the comet tail length, percentage of
DNA cleavage, comet tail moment, as well as comet tail arm respectively in cells
co-treated with NAC and lead nitrate. Findings from these studies demonstrated
that NAC inhibits malondialdehyde (MDA) production and genotoxicity in lead
nitrate-treated HepG2 cells in a dose-dependent manner. Under this in vitro
condition, NAC was found to be effective in reducing MDA formation, cellular
injury, and genotoxic damage in HepG2 cells exposed to lead nitrate.
PMID- 26549976
TI - The effects of common medications on volumetric phallometry.
AB - Phallometry is a physiological measure of sexual response widely used for the
assessment of paedophilia among sexual offenders. Although many medications
decrease penile response sufficiently to interfere with sexual intercourse, it is
unknown to what extent such medications might interfere with phallometric
testing. In the current study, we utilized a naturalistic convenience sample of
1078 men who attended a clinic for assessment of sexual preferences, mostly
related to sexual offence convictions. In the present analyses, we quantified the
differences in penile response during phallometric assessment associated with
taking a range of common medications. Participants on medication typically showed
less penile output than participants not taking medications; however, differences
were largely accounted for by age rather than by medication status. Though most
medications were associated with decreases in penile responsivity during
volumetric phallometric testing, such changes were small in absolute terms and
appeared to be associated with ageing rather than with the medications
themselves.
PMID- 26549977
TI - Communication media and the dead: from the Stone Age to Facebook.
AB - This article argues as follows: (i) The presence of the dead within a society
depends in part on available communication technologies, specifically speech,
stone, sculpture, writing, printing, photography and phonography (including the
mass media), and most recently the internet. (ii) Each communication technology
affords possibilities for the dead to construct and legitimate particular social
groups and institutions - from the oral construction of kinship, to the
megalithic legitimation of the territorial rights of chiefdoms, to the written
word's construction of world religions and nations, to the photographic and
phonographic construction of celebrity-based neo-tribalism, and to the digital
reconstruction of family and friendship. (iii) Historically, concerns about the
dead have on a number of occasions aided the development of new communication
technologies - the causal connection between the two can go both ways. The
argument is based primarily on critical synthesis of existing research
literature.
PMID- 26549978
TI - Generation 3 PAMAM dendrimer TAMRA conjugates containing precise dye/dendrimer
ratios.
AB - The synthesis, isolation, and characterization of generation 3 poly(amidoamine)
(G3 PAMAM) dendrimer containing precise ratios of 5-carboxytetramethylrhodamine
succinimidyl ester (TAMRA) dye (n = 1-3) per polymer particle are reported.
Stochastic conjugation of TAMRA dye to the dendrimer was followed by separation
into precise dye-polymer ratios using rp-HPLC. The isolated materials were
characterized by rp-UPLC, MALDI-TOF-MS, and 1H NMR spectroscopy, UV-vis, and
fluorescence spectroscopies.
PMID- 26549979
TI - Enhancement effects of chelating agents on the degradation of tetrachloroethene
in Fe(III) catalyzed percarbonate system.
AB - The performance of Fe(III)-based catalyzed sodium percarbonate (SPC) for
stimulating the oxidation of tetrachloroethene (PCE) for groundwater remediation
applications was investigated. The chelating agents citric acid monohydrate
(CIT), oxalic acid (OA), and Glutamic acid (Glu) significantly enhanced the
degradation of PCE. Conversely, ethylenediaminetetraacetic acid (EDTA) had a
negative impact on PCE degradation, which may due to its strong Fe chelation and
HO* scavenging abilities. However, excessive SPC or chelating agent will retard
PCE degradation. In addition, investigations using free radical probe compounds
and radical scavengers revealed that PCE was primarily degraded by HO* radical
oxidation in both the chelated and non-chelated systems, while O2*- also
participated in the non-chelated system and the OA and Glu modified systems.
According to the electron paramagnetic resonance (EPR) studies, the presence of
HO* in the Fe(III)/SPC system was maintained much longer than that in the
Fe(II)/SPC system. The results indicated that the addition of CIT, OA or Glu
indeed enhanced the generation of HO* in the first 10 min and promoted
degradation efficiency by increasing the amount of Fe(III) and maintaining the
concentration of HO* radicals in solution. In conclusion, chelated Fe(III)-based
catalyzed SPC oxidation is a promising method for the remediation of PCE
contaminated groundwater.
PMID- 26549980
TI - Synthesis of thiol derivatives of azobenzocrown ethers. The preliminary studies
on recognition of alkali metal ions by gold nanoparticles functionalized with
azobenzocrown and lipoic acid.
AB - The article presents the synthesis of novel 13- and 16-membered azobenzocrown
derivatives with peripheral thiol moieties and preliminary studies assessing
their possible application in plasmonic sensors based on gold nanoparticles. The
effect of the length of the chain connecting the macrocycle with the thiol group
and the effect of the presence of the additional functional compound, i.e. lipoic
acid, on the sensor response was analyzed. Colloidal gold nanoparticles modified
with a 16-membered crown with a thiol group on oxyethylene (compound 12) or
oxybutylene (compound 13) linker was found to have good properties, allowing for
detection of potassium ions in aqueous solutions at concentrations 8-20 mM for
bifunctionalized nanogold and 4-26 mM for less stable, colloidal gold modified
only with thiol derivatives of azobenzocrowns. The response towards potassium
cations of bifunctionalized nanogold modified with compound 13 was more stable in
time than for the system incorporating compound 12. Compound 13, obtained with
the highest yield among all presented thiol derivatives of azobenzocrowns, was
selected for further, more detailed, studies.
PMID- 26549984
TI - Occupational Consciousness.
AB - Occupational consciousness refers to ongoing awareness of the dynamics of
hegemony and recognition that dominant practices are sustained through what
people do every day, with implications for personal and collective health. The
emergence of the construct in post-apartheid South Africa signifies the country's
ongoing struggle with negotiating long-standing dynamics of power that were laid
down during colonialism, and maintained under black majority rule. Consciousness,
a key component of the new terminology, is framed from post-colonial perspectives
- notably work by Biko and Fanon - and grounded in the philosophy of liberation,
in order to draw attention to continuing unequal intersubjective relations that
play out through human occupation. The paper also draws important links between
occupational consciousness and other related constructs, namely occupational
possibilities, occupational choice, occupational apartheid, and collective
occupation. The use of the term 'consciousness' in sociology, with related or
different meanings, is also explored. Occupational consciousness is then advanced
as a critical notion that frames everyday doing as a potentially liberating
response to oppressive social structures. This paper advances theorizing as a
scholarly practice in occupational science, and could potentially expand inter or
transdisciplinary work for critical conceptualizations of human occupation.
PMID- 26549983
TI - On the possibility of tree-level leptogenesis from Kalb-Ramond torsion
background.
AB - In this work we consider a phenomenological model for leptogenesis in the context
of a Standard Model Extension with an axial-like background coupling to fermions
that violates both Lorentz and CPT symmetries. The latter is motivated by a
background geometry of the early Universe involving a particular kind of torsion,
arising from the Kalb-Ramond antisymmetric tensor field which appears in the
gravitational multiplet of string theory, although we do not restrict ourselves
to this framework. It is shown that leptogenesis can occur even at tree level and
with only one generation of right-handed heavy Majorana neutrinos, due to
[Formula: see text] and CPT violation introduced by the background geometry.
Important issues for the model, including (a) its compatibility with a
conventional-like cosmology and (b) current-era phenomenology (characterised by
very stringent bounds on the allowed amount of torsion) are pointed out, and
potential ways of resolving them, within the framework of string-theory models,
are discussed.
PMID- 26549985
TI - Supervisors' pedagogical role at a clinical education ward - an ethnographic
study.
AB - BACKGROUND: Clinical practice is essential for health care students. The
supervisor's role and how supervision should be organized are challenging issues
for educators and clinicians. Clinical education wards have been established to
meet these challenges and they are units with a pedagogical framework
facilitating students' training in real clinical settings. Supervisors support
students to link together theoretical and practical knowledge and skills. From
students' perspectives, clinical education wards have shown potential to enhance
students' learning. Thus there is a need for deeper understanding of supervisors'
pedagogical role in this context. We explored supervisors' approaches to
students' learning at a clinical education ward where students are encouraged to
independently take care of patients. METHOD: An ethnographic approach was used to
study encounters between patients, students and supervisors. The setting was a
clinical education ward for nursing students at a university hospital. Ten
observations with ten patients, 11 students and five supervisors were included in
the study. After each observation, individual follow-up interviews with all
participants and a group interview with supervisors were conducted. Data were
analysed using an ethnographic approach. RESULTS: Supervisors' pedagogical role
has to do with balancing patient care and student learning. The students were
given independence, which created pedagogical challenges for the supervisors.
They handled these challenges by collaborating as a supervisory team and taking
different acts of supervision such as allowing students their independence, being
there for students and by applying patient-centredness. CONCLUSION: The
supervisors' pedagogical role was perceived as to facilitate students' learning
as a team. Supervisors were both patient- and student-centred by making a nursing
care plan for the patients and a learning plan for the students. The plans were
guided by clinical and pedagogical guidelines, individually adjusted and followed
up.
PMID- 26549986
TI - The perseverance time of informal carers for people with dementia: results of a
two-year longitudinal follow-up study.
AB - BACKGROUND: Given the projected increase of people with dementia over the next
few decades and the related demand for informal care, an important question for
health policy makers is to what extent and for how long informal carers can be
expected to provide care in a sustainable way. This study aimed to investigate
the perseverance time of informal carers for people with dementia. METHODS: A 2
year longitudinal cohort study was conducted. Questionnaires were used to collect
data about the care situation, the impact of caregiving on carers and their need
for support, and the anticipated and realized perseverance time of informal
carers for people with dementia living at home. The data were analysed using
bivariate and multivariate analyses. RESULTS: Two hundred twenty-three carers for
people with dementia were included in the study and 25 (11.2 %) dropped out
during the follow-up. The results show that after 1 year, 74 (37.4 %) of 198
patients were still living at home, and after 2 years, 44 (22.2 %) patients were
still living at home. The variables that were associated with this outcome were
identified. When informal carers anticipated that their perseverance time would
be less than 1 year, this was indicative of their actual perseverance time.
CONCLUSIONS: Anticipated perseverance time provides a fair indication of the
actual duration of informal care. It is most accurate when carers anticipate a
limited rather than an unlimited perseverance time. Although further research is
required to support these findings, the concept of perseverance time may be
considered a useful additional instrument in health policy and clinical practice
for monitoring carers' need for support and for planning the transition of care
from home to a nursing home.
PMID- 26549987
TI - Cyclooxygenase-2 promotes tumor growth and suppresses tumor immunity.
AB - Cyclooxygenase-2 (COX-2), an inducible form of the enzyme that catalyzes the
first step in the synthesis of prostanoids, is associated with inflammatory
diseases and carcinogenesis, which is suspected to promote angiogenesis and
tissue invasion of tumors and resistance to apoptosis. Meanwhile, COX-2
contributes to immune evasion and resistance to cancer immunotherapy, which plays
a crucial role in the innate and adaptive immune response. The activity of COX-2
PGE2-EP signal pathway can suppress Dendritic cells (DCs), natural killer (NK), T
cells, type-1 immunity excluding type-2 immunity which promote tumor immune
evasion. COX-2 and the prostaglandin cascade play important roles in the
"inflammogenesis of cancer". In addition, COX-inhibitors can inhibit tumor immune
evasion. Therefore, we can exert the COX-inhibitors to facilitate the patients to
benefit from addition of COX-inhibitors to standard cytotoxic therapy.
PMID- 26549988
TI - CFTR Knockdown induces proinflammatory changes in intestinal epithelial cells.
AB - BACKGROUND: Hyperinflammation is a hallmark feature of cystic fibrosis (CF)
airways. However, inflammation has also been documented systemically and, more
recently, in extrapulmonary CF-affected tissues such as the pancreas and
intestine. The pathogenesis of CF-related inflammation and more specifically the
role of the cystic fibrosis transmembrane conductance regulator (CFTR) in that
respect are not entirely understood. We have tested the hypothesis that genetic
depletion of CFTR will affect the inflammatory status of human intestinal
epithelial cell lines. METHODS: CFTR expression was genetically depleted from
Caco-2/15 and HT-29 cells using short hairpin RNA interference (shRNAi).
Inflammatory conditions were induced by the addition of human recombinant tumor
necrosis factor (TNF) or Interleukin-1beta (IL-1beta) for various periods of
time. Gene expression, mRNA stability and secreted levels of interleukin (IL)-6,
8 and 10 were assessed. Analysis of pro- and anti-inflammatory signaling pathways
including mitogen-activated protein kinases (p38, ERK 1/2 and JNK), nuclear
factor of kappa light polypeptide gene enhancer in B-cells inhibitor alpha
(IkappaBalpha), and nuclear factor-kappa B (NF-kappaB) was also performed.
Eosinophils were counted in the jejunal mucosa of Cftr-/- and Cftr+/+ mice.
RESULTS: CFTR gene and protein knockdown caused a significant increase in basal
secretion of IL-8 as well as in IL-1beta-induced secretion of IL-6 and -8.
Release of the anti-inflammatory cytokine, IL-10, remained unaffected by CFTR
depletion. The enhanced secretion of IL-8 stems in part from increased IL8 mRNA
levels and greater activation of ERK1/2 MAPK, IkappaBalpha and NF-kappaB in the
CFTR knockdown cells. By contrast, phosphorylation levels of p38 and JNK MAPK did
not differ between control and knockdown cells. We also found a higher number of
infiltrating eosinophils in the jejunal mucosa of Cftr -/- females, but not
males, compared to Cftr +/+ mice, thus providing in vivo support to our in vitro
findings. CONCLUSION: Collectively, these data underscore the role played by CFTR
in regulating the intestinal inflammatory responses. Such findings lend support
to the theory that CFTR exerts functions that may go beyond its role as a
chloride channel whereby its disruption may prevent cells to optimally respond to
exogenous or endogenous challenges. These observations are of particular interest
to CF patients who were found to display alterations in their intestinal
microbiota, thus predisposing them to pathogens that may elicit exaggerated
inflammatory responses.
PMID- 26549989
TI - What do women know about breast cancer prophylaxis and a healthy style of life?
AB - AIM: The aim of the study was to determine the factors influencing women's
knowledge concerning breast cancer prophylaxis and find out the sources of the
knowledge. BACKGROUND: In the Greater Poland region, breast cancer has been the
most frequently detected tumour for years. The percentage of breast cancer cases
has increased by 31% in the last decade. MATERIALS AND METHODS: The study
encompassed 337 women aged 40-59 who participated in the mammographic
examinations. An original research tool was used which assessed the level of
knowledge concerning breast cancer prophylaxis, the knowledge of health-oriented
behaviour in this regard and the influence of the medical personnel on women's
education. RESULTS: Age is a factor diversifying the knowledge of the breast self
examination method. Doctors and nurses were rarely indicated as a source of
knowledge concerning breast cancer prophylaxis. The subjects presented a high
level of knowledge of the factors increasing the risk of developing cancer.
CONCLUSIONS: A correlation between the level of education and the knowledge of
one's own breast to a degree which enables a woman to detect even a slight change
was observed. Vital findings also concern the sources of knowledge concerning
breast cancer prophylaxis. The results of the studies indicated little
informative support on the part of the medical personnel; therefore, one should
call for supplementing training courses for doctors and nurses focusing on the
issues of prophylaxis, including the method of breast self-examination.
PMID- 26549991
TI - Pattern of radiotherapy care in Bulgaria.
AB - The paper reveals the changing pattern of Bulgarian Radiotherapy (RT) care after
the successful implementation of 15 projects for 100 million euro under the
European Regional Development Fund in Operational Programme for Regional
Development 2007-2013. The project enables a total one-step modernization of 14
Bulgarian RT Centres and creation of a new one. At the end of the Programme (mid
2015), 16 new Linacs and 2 modern cobalt machines will be available together with
11 virtual CT simulators, 5 CT simulators, 1 MRI and 1 PET CT for RT planning and
all dosimetry facilities needed. Such a modernization has moved Bulgarian RT
forward, with 2.7 MV units per one million of population (MV/mln.inh) in
comparison with 0.9 MV/mln.inh in 2012. Guild of Bulgarian Radiotherapists
includes 70 doctors, 46 physicists and 10 engineers, together with 118 RTTs and
114 nurses and they all have treated 16,447 patients in 2013. Major problems are
inadequate reimbursement from the monopolistic Health Insurance Fund (900 euro
for 3D conformal RT and 1500 euro for IMRT); fragmentation of RT care with 1-2 MV
units per Centre; no payment for patient travel expenses; need for quick and
profound education of 26% of doctors and 46% of physicists without RT license,
along with continuous education for all others; and resource for 5000-9000 more
patients to be treated yearly by RT in order to reach 45-50% from current service
of 32%. After 15 years of struggle of RT experts, finally the pattern of
Bulgarian RT care at 2014-2015 is approaching the level of modern European RT.
PMID- 26549990
TI - Effect of radiotherapy delay in overall treatment time on local control and
survival in head and neck cancer: Review of the literature.
AB - Treatment delays in completing radiotherapy (RT) for many neoplasms are a major
problem affecting treatment outcome, as increasingly shown in the literature.
Overall treatment time (OTT) could be a critical predictor of local tumor control
and/or survival. In an attempt to establish a protocol for managing delays during
RT, especially for heavily overloaded units, we have extensively reviewed the
available literature on head and neck cancer. We confirmed a large deleterious
effect of prolonged OTT on both local control and survival of these patients.
PMID- 26549992
TI - Influence of the type of imaging on the delineation process during the treatment
planning.
AB - AIM: The aim of this study was to compare the intra- and interobserver contouring
variability for structures with density of organ at risk in two types of
tomography: kilovoltage computed tomography (KVCT) versus megavoltage computed
tomography (MVCT). The intra- and interobserver differences were examined on both
types of tomography for structures which simulate human tissue or organs.
MATERIALS AND METHODS: Six structures with density of the liver, bone, trachea,
lung, soft tissue and muscle were created and used. For the measurements, the
special water phantom with all structures was designed. To evaluate interobserver
variability, five observers delineated the structures in both types of computed
tomography (CT). RESULTS: Intraobserver variability was in the range of 1-14% and
was the largest for the liver. The observers segmented larger volumes on MVCT
compared with KVCT for the trachea (79.56 ccm vs.74.91 ccm), lung (87.61 vs.
82.50), soft tissue (154.24 vs. 145.47) and muscle (164.01 vs. 157.89). For the
liver (98.13 vs. 99.38) and bone (51.86 vs. 67.97), the volume on MVCT was
smaller than KVCT. The statistically significant differences between observers
were observed for structures with density of the liver, bone and soft tissue on
KVCT and for the liver, lung and soft tissue on MVCT. For the structures with
density of the trachea and muscles, there were no significant differences for
both types of tomography. CONCLUSIONS: During the contouring process the
interobserver and intraobserver contouring uncertainty was larger on MVCT,
especially for structures with HU near 80, compared with KVCT.
PMID- 26549993
TI - Dosimetric impact of different multileaf collimators on prostate intensity
modulated treatment planning.
AB - AIM: The main purpose of this study is to perform a dosimetric comparison on
target volumes and organs at risks (OARs) between prostate intensity modulated
treatment plans (IMRT) optimized with different multileaf collimators (MLCs).
BACKGROUND: The use of MLCs with a small leaf width in the IMRT optimization may
improve conformity around the tumor target whilst reducing the dose to normal
tissues. MATERIALS AND METHODS: Two linacs mounting MLCs with 5 and 10 mm leaf
width, respectively, implemented in Pinnacle(3) treatment planning system were
used for this work. Nineteen patients with prostate carcinoma undergoing a
radiotherapy treatment were enrolled. Treatment planning with different setup
arrangements (7 and 5 beams) were performed for each patient and each machine.
Dose volume histograms (DVHs) cut-off points were used in the treatment planning
comparison. RESULTS: Comparable planning target volume (PTV) coverage was
obtained with 7- and 5-beam configuration (both with 5 and 10 mm MLC leaf-width).
The comparison of bladder and rectum DVH cut-off points for the 5-beam
arrangement shows that 52.6% of the plans optimized with a larger leaf-width did
not satisfy at least one of the OARs' constraints. This percentage is reduced to
10.5% for the smaller leaf-width. If a 7-beam arrangement is used the value of
52.6% decreases to 21.1% while the value of 10.5% remains unchanged. CONCLUSION:
MLCs collimators with different widths and number of leaves lead to a comparable
prostate treatment planning if a proper adjustment is made of the number of
gantry angles.
PMID- 26549994
TI - Comparison of manual and inverse optimisation techniques in high dose rate
intracavitary brachytherapy of cervical cancer: A dosimetric study.
AB - AIMS AND OBJECTIVES: To compare dosimetrically the manual optimisation with IPSA
using dose volume histograms (DVH) among patients treated for carcinoma of cervix
with intracavitary brachytherapy. BACKGROUND: With the advent of advanced imaging
modalities, there has been a shift from conventional X-ray based planning to
three-dimensional planning. Manual optimisation is widely used across various
institutions but it is time consuming and operator dependant. Inverse planning
simulated annealing (IPSA) is now available in various brachytherapy planning
systems. But there is a paucity of studies comparing manual optimisation and IPSA
in treatment of carcinoma cervix with intracavitary brachytherapy and hence this
study. MATERIALS AND METHODS: Fifteen consecutive patients treated between
December 2013 and March 2014 with intracavitary brachytherapy for carcinoma of
cervix were selected for this study. All patients were initially treated with
external beam radiotherapy followed by intracavitary brachytherapy. The DVH was
evaluated and compared between manually optimised plans and IPSA in the same set
of patients. RESULTS: There was a significant improvement in the HRCTV coverage,
mean V100 of 87.75% and 82.37% (p = 0.001) and conformity index 0.67 and 0.6 (p =
0.007) for plans generated using IPSA and manual optimisation, respectively.
Homogeneity index and dose to the OARs remained similar between the two groups.
CONCLUSION: The use of inverse planning in intracavitary brachytherapy of cervix
has shown a significant improvement in the target volume coverage when compared
with manual planning.
PMID- 26549995
TI - SIMBOSPROST: Prevalence of metabolic syndrome and osteoporosis in prostate cancer
patients treated with radiotherapy and androgen deprivation therapy: A
multicentre, cross-sectional study.
AB - AIM: To assess the prevalence of metabolic syndrome (MetS) and osteoporosis in
patients with prostate cancer (PCa) treated with radical radiotherapy (RT) with
or without androgen deprivation therapy (ADT). BACKGROUND: Worldwide, the
prevalence of MetS is estimated to range from 20% to 25% of the adult population.
However, prevalence rates are much higher in PCa patients (pts) who undergo ADT.
MATERIALS AND METHODS: Multicentre cross-sectional study of 270 pts in Spain with
PCa. Patients were divided into 3 groups based on the duration of ADT (6, 12-18,
>=24 months) and compared to a control group without ADT. MetS was defined
according to NCEP ATP III criteria. Osteoporosis was assessed by DEXA. RESULTS: A
total of 270 pts, treated from November 2011 to October 2012, were included. Of
these, 122 pts (47%) fulfilled the criteria for MetS. The median age of this
group was significantly higher (71.3 vs. 69.38 years, p = 0.028). MetS prevalence
was 50% in the control group. In pts who received ADT, prevalence was 44.8% after
6 months of ADT, 45.3% after 12-18 months, and 50% after >=24 months (pns). Most
pts (168/270; 62%) underwent DEXA. Of those tested, 78 (46.4%) had osteopenia and
only 11 (6.5%) had osteoporosis. CONCLUSIONS: The prevalence of MetS in pts with
PCa treated with radical RT was higher (47%) than in the general population.
However, there were no significant differences in the duration of ADT
administration. The prevalence of osteoporosis was low. These findings suggest
that the prevalence of MetS in PCa patients may be higher than previously
reported.
PMID- 26549996
TI - Pancreatic cancer and SBRT: A new potential option?
AB - Local control remains a major issue for patients with unresectable, locally
advanced pancreatic cancer (LAPC). The role of radiation therapy in the
management of LAPC represents an area of some controversy. Stereotactic body
radiotherapy is an emerging treatment option for LAPC as it can provide a
therapeutic benefit with significant advantages for patients' quality of life
over standard conventional chemoradiation. The objective of this review is to
present the rationale for stereotactic body radiotherapy in LAPC, as well as to
discuss the potential limitations and caveats of the currently available studies.
PMID- 26549997
TI - Safety of adjuvant intensity-modulated postoperative radiation therapy in
endometrial cancer: Clinical data and dosimetric parameters according to the
International Commission on Radiation Units (ICRU) 83 report.
AB - AIM: To report a single-institution experience using postoperative pelvic
Intensity Modulation Radiation Therapy (IMRT) using tomotherapy accelerators (TA)
in postoperative endometrial cancer (EC) regarding ICRU 83 recommendations.
BACKGROUND: IMRT in gynecological malignancies provides excellent dosimetric
data, lower rates of adverse events and clinical data similar to historical
series. MATERIAL AND METHODS: Seventy-six patients with EC were postoperatively
treated with adjuvant IMRT using TA. The IMRT dose was 45 Gy for patients without
positive lymph nodes and Type I histology and 50.4 Gy for patients with positive
lymph nodes and/or type II histology. RESULTS: With a median follow-up of 29
months, the 12- and 24-month Overall Survival (OS) and Disease-Free Survival
(DFS) were 96%, 93%, 87%, and 74%, respectively. Age of less than 60 years was
associated with better OS (HR: 8.9; CI: 1.1-68) and DFS (HR: 3.5; CI: 1.2-10.2).
Patients with Type II and Type I Grade III histology had a worse OS (HR: 3.3; CI:
1.1-11). Five women (6.6%) presented in-field local vaginal recurrence, 2 (2.6%)
presented non-in-field vaginal recurrence, 4 (5.2%) presented pelvic node and
distant recurrence and 11 (14.4%) presented only distant metastases. One patient
stopped radiation treatment due to Grade III acute diarrhea. No Grade III late
toxicity was observed. Planning Target Volume (PTV) coverage showed mean D2, D50,
D95, and D98 of 51.64-46.23 Gy, 49.49-44.97 Gy, 48.62-43.96 Gy, and 48.47-43.58
Gy for patients who received 45 and 50.4 Gy, respectively. CONCLUSIONS: IMRT with
TA in postoperative EC shows excellent conformity and homogeneity of PTV dose.
Without Grade III late toxicity, data from this cohort demonstrated the utility
of IMRT.
PMID- 26549998
TI - Carcinoma of unknown primary in the head and neck: The evaluation of the
effectiveness of (18)F-FDG-PET/CT, own experience.
AB - AIM: The aim of the present study was to estimate the clinical effectiveness of
(18)F-FDG-PET/CT in the detection of the primary tumor in patients with
histologically proven squamous cell carcinoma cervical lymph nodes metastasis
from an unknown primary. BACKGROUND: (18)F-fluorodeoxyglucose positron emission
tomography combined with CT ((18)F-FDG-PET/CT) is believed to be very helpful in
localization of primary tumor in CUP Syndrome patients. MATERIAL AND METHOD: 41
patients referred to Poznan Medical University Department of Head and Neck
Surgery from January 2010 to December 2013 with CUP Syndrome were included in the
study. All patients presented fine-needle biopsy proven squamous cell carcinoma
metastasis of the upper-, or mid neck lymph nodes. The final results were
obtained from the histopathologic reports of tissue samples from anatomical
regions suspected for primary tumor, additional imaging exams as well as clinical
follow-up data. RESULTS: The (18)F-FDG-PET/CT successfully detected primary tumor
in 7 out of 41 patients (17%). In two more cases the primary tumor was indicated
in the lung. 24 of 41 patients (58.5%) analyzed in our study remained without
evidence of a primary tumor. In 4 cases (9.75%) we did not reveal any pathology
within the localizations indicated by PET/CT on panendoscopy. In 4 cases we
obtained histological confirmation of neoplasm on panendoscopy despite the
negative results of PET/CT examinations. CONCLUSION: We may suppose a relatively
high usefulness of (18)F-FDG-PET/CT in the diagnosis process of CUP Syndrome
patients. High NPV may indicate patients with no symptoms of primary tumor, which
allows to avoid extensive resection or extra imaging.
PMID- 26549999
TI - Volumetric modulated arc therapy for synchronous bilateral whole breast
irradiation - A case study.
AB - PURPOSE: The treatment planning of bilateral breast irradiation (BBI) is a
challenging task. The overlapping of tangential fields is usually unavoidable
without compromising the target coverage. The purpose of this study was to
investigate the technical feasibility and benefits of a single isocentre
volumetric modulated arc therapy (VMAT) in BBI. METHODS AND MATERIALS: Two women
with bilateral breast cancer were included in this case study. The first patient
(Pat#1) underwent a bilateral breast-conserving surgery and sentinel lymph node
biopsy. The second patient (Pat#2) underwent a bilateral ablation and axillary
lymph node dissection. Planning target volumes (PTV) and organs at risk were
delineated on CT images. VMAT plans were created with four (two for both sides,
Pat#1) or two (one for each breast, Pat#2) separate VMAT fields. Subsequently,
traditional tangential field plans were generated for each patient and the
dosimetric parameters were compared. RESULTS: The treatment times of the patients
with VMAT were less than 15 min with daily CBCT imaging. When compared to the
standard tangential field technique, the VMAT plans improved the PTV dose
coverage and dose homogeneity with improved sparing of lungs and heart. With
traditional field arrangement, the overlapping of the tangential fields was
inevitable without significantly compromising the target coverage, whereas with
VMAT the hotspots were avoided. The patients were treated with the VMAT technique
and no acute skin toxicity was observed with either of the patients. CONCLUSIONS:
A single isocentre VMAT technique has been implemented clinically for BBI. With
the VMAT techniques, the dose delivery was quick and the hotspots in the field
overlapping areas were avoided. The PTV dose coverage was superior in VMAT plans
when compared with conventional tangential technique plans.
PMID- 26550000
TI - The Effects of Respondents' Consent to be Recorded on Interview Length and Data
Quality in a National Panel Study.
AB - Recording interviews is a key feature of quality control protocols for most
survey organizations. We examine the effects on interview length and data quality
of a new protocol adopted by a national panel study. The protocol recorded a
randomly chosen one-third of all interviews digitally, although all respondents
were asked for permission to record their interview, and interviewers were blind
to whether or not interviews were recorded. We find that the recording software
slowed the interview slightly. Interviewer knowledge that the interview may be
recorded improved data quality, but this knowledge also increased the length of
the interview. Interviewers with higher education and performance ratings were
less reactive to the new recording protocol. Survey managers may face a trade-off
between higher data quality and longer interviews when determining recording
protocols.
PMID- 26550001
TI - Web-Based Couple Interventions: Do They Have a Future?
AB - To examine the current and potential future impact of formal and informal
resources to enhance romantic relationships, 1,160 individuals were surveyed.
When asked about resources previously utilized, participants reported that
numerous forms of relationship help, including talking to a
friend/coworker/family member, an individual therapist, and reading self-help
materials had a larger impact than attending couple therapy. When asked about
potential resources they would be likely to use in the future for relationship
problems, participants indicated a strong preference for online self-help
resources that included detailed feedback paired with a comprehensive, structured
program. Implications for future development and dissemination are discussed.
PMID- 26550002
TI - Knowledge gaps among public librarians seeking vaccination information: A
qualitative study.
AB - Public libraries have been called the "first responders" to the specialized
health information needs of the general public. The challenges inherent in
consumer health information (CHI) service are centered around the Patron, the
Librarian, the Information Resources, and the Library itself. The pilot study
involved interviews with nine individual library workers in eight public
libraries in four library systems: the District of Columbia, Montgomery and
Prince George's Counties in Maryland, and Fairfax County in Virginia. Library
workers were asked about common consumer health information requests, the nature
of their collections, and the role of public libraries in meeting these
information needs. The subjects were also presented with a hypothetical scenario,
and their responses suggest knowledge gaps. The findings point to the increasing
necessity and importance of training and support for public librarians, as well
as the importance of understanding where the medical knowledge gaps exist. Public
librarians need to commit to formal evaluation of their skill sets and knowledge
gaps, in order to identify areas to which libraries can devote limited resources.
PMID- 26550003
TI - A robust and effective time-independent route to the calculation of Resonance
Raman spectra of large molecules in condensed phases with the inclusion of
Duschinsky, Herzberg-Teller, anharmonic, and environmental effects.
AB - We present an effective time-independent implementation to model vibrational
resonance Raman (RR) spectra of medium-large molecular systems with the inclusion
of Franck-Condon (FC) and Herzberg-Teller (HT) effects and a full account of the
possible differences between the harmonic potential energy surfaces of the ground
and resonant electronic states. Thanks to a number of algorithmic improvements
and very effective parallelization, the full computations of fundamentals,
overtones, and combination bands can be routinely performed for large systems
possibly involving more than two electronic states. In order to improve the
accuracy of the results, an effective inclusion of the leading anharmonic effects
is also possible, together with environmental contributions under different
solvation regimes. Reduced-dimensionality approaches can further enlarge the
range of applications of this new tool. Applications to imidazole, pyrene, and
chlorophyll a1 in solution are reported, as well as comparisons with available
experimental data.
PMID- 26550004
TI - Stereo-electronic, vibrational, and environmental contributions to
polarizabilities of large molecular systems: a feasible anharmonic protocol.
AB - Reliable computations of linear and non-linear optical properties of molecular
systems in condensed phases require a proper account of stereo-electronic,
vibrational, and environmental effects. In the framework of density functional
theory, these effects can be accurately introduced using second-order vibrational
perturbation theory in conjunction with polarizable continuum models. We
illustrate the combination of an anharmonic description of the ground-state
potential energy surface with solvation effects treated with the polarizable
continuum model (PCM) in the calculation of the electronic, zero-point, and pure
vibrational polarizabilities of selected systems. The description of the
solvation environment is enriched by taking into account the dynamical aspects of
the solute-solvent interactions through the inclusion of both electronic and
vibrational non-equilbrium effects, as well as the direct effect of the solvent
on the electric field that generates the molecular response (local field effect).
This treatment yields accurate results which can be directly compared with
experimental findings without the need of empirical corrections.
PMID- 26550005
TI - Teen Pregnancy Prevention Program Recommendations from Urban and Reservation
Northern Plains American Indian Community Members.
AB - Despite declines over the past few decades, the United States has one of the
highest rates of teen pregnancy compared to other industrialized nations.
American Indian youth have experienced higher rates of teen pregnancy compared to
the overall population for decades. Although it's known that community and
cultural adaptation enhance program effectiveness, few teen pregnancy prevention
programs have published on recommendations for adapting these programs to address
the specific needs of Northern Plains American Indian youth. We employed a mixed
methods analysis of 24 focus groups and 20 interviews with a combined total of
185 urban and reservation-based American Indian youth and elders, local health
care providers, and local school personnel to detail recommendations for the
cultural adaptation, content, and implementation of a teen pregnancy prevention
program specific to this population. Gender differences and urban /reservation
site differences in the types of recommendations offered and the potential
reasons for these differences are discussed.
PMID- 26550006
TI - Trench Safety-Using a Qualitative Approach to Understand Barriers and Develop
Strategies to Improve Trenching Practices.
AB - Despite efforts to ensure workplace safety and health, injuries and fatalities
related to trenching and excavation remain alarmingly high in the construction
industry. Because properly installed trenching protective systems can potentially
reduce the significant number of trenching fatalities, there is clearly a need to
identify the barriers to the use of these systems and to develop strategies to
ensure these systems are utilized consistently. The current study reports on the
results of focus groups with construction workers and safety management personnel
to better understand these barriers and to identify solutions. The results
suggest several factors, from poor planning to pressures from experienced workers
and supervisors, which present barriers to safe trenching practices. Based on the
results, it is recommended that safety trainings incorporate unique messages for
new workers, experienced workers and management in an effort to motivate each
group to work safely as well as provide them with solutions to overcome the
identified barriers.
PMID- 26550007
TI - A novel nonparametric measure of explained variation for survival data with an
easy graphical interpretation.
AB - INTRODUCTION: For survival data the coefficient of determination cannot be used
to describe how good a model fits to the data. Therefore, several measures of
explained variation for survival data have been proposed in recent years.
METHODS: We analyse an existing measure of explained variation with regard to
minimisation aspects and demonstrate that these are not fulfilled for the
measure. RESULTS: In analogy to the least squares method from linear regression
analysis we develop a novel measure for categorical covariates which is based
only on the Kaplan-Meier estimator. Hence, the novel measure is a completely
nonparametric measure with an easy graphical interpretation. For the novel
measure different weighting possibilities are available and a statistical test of
significance can be performed. Eventually, we apply the novel measure and further
measures of explained variation to a dataset comprising persons with a
histopathological papillary thyroid carcinoma. CONCLUSION: We propose a novel
measure of explained variation with a comprehensible derivation as well as a
graphical interpretation, which may be used in further analyses with survival
data.
PMID- 26550008
TI - Can we resist another person's gaze?
AB - Adaptive adjustments of strategies are needed to optimize behavior in a dynamic
and uncertain world. A key function in implementing flexible behavior and
exerting self-control is represented by the ability to stop the execution of an
action when it is no longer appropriate for the environmental requests.
Importantly, stimuli in our environment are not equally relevant and some are
more valuable than others. One example is the gaze of other people, which is
known to convey important social information about their direction of attention
and their emotional and mental states. Indeed, gaze direction has a significant
impact on the execution of voluntary saccades of an observer since it is capable
of inducing in the observer an automatic gaze-following behavior: a phenomenon
named social or joint attention. Nevertheless, people can exert volitional
inhibitory control on saccadic eye movements during their planning. Little is
known about the interaction between gaze direction signals and volitional
inhibition of saccades. To fill this gap, we administered a countermanding task
to 15 healthy participants in which they were asked to observe the eye region of
a face with the eyes shut appearing at central fixation. In one condition,
participants were required to suppress a saccade, that was previously instructed
by a gaze shift toward one of two peripheral targets, when the eyes were suddenly
shut down (social condition, SC). In a second condition, participants were asked
to inhibit a saccade, that was previously instructed by a change in color of one
of the two same targets, when a change of color of a central picture occurred
(non-social condition, N-SC). We found that inhibitory control was more impaired
in the SC, suggesting that actions initiated and stopped by social cues conveyed
by the eyes are more difficult to withhold. This is probably due to the social
value intrinsically linked to these cues and the many uses we make of them.
PMID- 26550009
TI - The epidemiology of scorpion stings in tropical areas of Kermanshah province,
Iran, during 2008 and 2009.
AB - BACKGROUND: Scorpion stings are an acute health problem in tropical regions.
Awareness of this problem is fundamental for establishing preventive
interventions, thus prompting the present study to determine the scorpion-sting
incidence in tropical areas of Kermanshah province during 2008 and 2009. METHODS:
In a retrospective study, all records related to scorpion sting patients from the
health centers of tropical areas of Kermanshah were studied by a census and
checklist. Data were analyzed by the software SPSS-16 using descriptive and
inferential tests. RESULTS: The incidence of scorpion stings was 334.37/100,000
inhabitants in 2008 and 339.07/100000 in 2009. Mean and standard deviation of age
were 30.55 +/- 16.99. Scorpion stings were more common in rural areas (59.6 %)
and occurred more often in summer (52.9 %). Nearly 48 % of bites were to
patients' hands and 47.5 % of patients were injured between midnight and 6 a.m.
While 92.9 % of patients had mild symptoms, scorpion antivenom was prescribed to
88.8 % of victims, 94.5 % of whom were discharged after outpatient treatment. The
relationship between antivenom therapy and clinical symptoms was not significant.
CONCLUSIONS: Due to the relatively high incidence of scorpion stings in tropical
areas of Kermanshah, it is recommended that the inhabitants be educated through
the mass media about how to prevent the stings and apply preliminary treatment.
PMID- 26550010
TI - Two Different Points of View through Artificial Intelligence and Vector
Autoregressive Models for Ex Post and Ex Ante Forecasting.
AB - The ANN method has been applied by means of multilayered feedforward neural
networks (MLFNs) by using different macroeconomic variables such as the exchange
rate of USD/TRY, gold prices, and the Borsa Istanbul (BIST) 100 index based on
monthly data over the period of January 2000 and September 2014 for Turkey.
Vector autoregressive (VAR) method has also been applied with the same variables
for the same period of time. In this study, different from other studies
conducted up to the present, ENCOG machine learning framework has been used along
with JAVA programming language in order to constitute the ANN. The training of
network has been done by resilient propagation method. The ex post and ex ante
estimates obtained by the ANN method have been compared with the results obtained
by the econometric forecasting method of VAR. Strikingly, our findings based on
the ANN method reveal that there is a possibility of financial distress or a
financial crisis in Turkey starting from October 2017. The results which were
obtained with the method of VAR also support the results of ANN method.
Additionally, our results indicate that the ANN approach has more superior
prediction performance than the VAR method.
PMID- 26550012
TI - The Role of Interferon in the Management of BCG Refractory Nonmuscle Invasive
Bladder Cancer.
AB - Background. Thirty to forty percent of patients with high grade nonmuscle
invasive bladder cancer (NMIBC) fail to respond to intravesical therapy with
bacillus Calmette-Guerin (BCG). Interferon-alpha2B plus BCG has been shown to be
effective in a subset of patients with NMIBC BCG refractory disease. Here we
present a contemporary series on the effectiveness and safety of intravesical BCG
plus interferon-alpha2B therapy in patients with BCG refractory NMIBC. Methods.
From January of 2005 to April of 2014 we retrospectively found 44 patients who
underwent induction with combination IFN/BCG for the management of BCG refractory
NMIBC. A chart review was performed to assess initial pathological stage/grade,
pathological stage/grade at the time of induction, time to IFN/BCG failure,
pathological stage/grade at failure, postfailure therapy, and current disease
state. Results. Of the 44 patients who met criteria for the analysis. High risk
disease was found in 88.6% of patients at induction. The 12-month and 24-month
recurrence-free survival were 38.6% and 18.2%, respectively. 25 (56.8%)
ultimately had disease recurrence. Radical cystectomy was performed in 16 (36.4%)
patients. Conclusion. Combination BCG plus interferon-alpha2B remains a
reasonably safe alternative treatment for select patients with BCG refractory
disease prior to proceeding to radical cystectomy.
PMID- 26550013
TI - Evaluating the Efficacy of Treatment with a GnRH Analogue in Patients with
Central Precocious Puberty.
AB - Objective. GnRH analogues (GnRHa) are used in the treatment of central precocious
puberty (CPP). The purpose of this study was to evaluate the efficacy of
treatment with a GnRHa (leuprolide acetate) in patients with CPP. Subjects and
Methods. A total of 62 female child patients who had been diagnosed with CPP,
rapidly progressive precocious puberty (RP-PP), or advanced puberty (AP) and
started on GnRHa treatment (leuprolide acetate, Lucrin depot, 3.75 mg once every
28 days) were included in the study. The efficacy of treatment was evaluated with
anthropometric data obtained, progression of pubertal symptoms observed, as well
as GnRHa tests, and, when necessary, intravenous GnRH tests carried out in
physical examinations that were performed once every 3 months. Results. In the
current study, treatment of early/advanced puberty at a dose of 3.75 mg once
every 28 days resulted in the suppression of the HHG axis in 85.5% of the
patients. Conclusion. The findings of this study revealed that a high starting
dose of leuprolide acetate may not be necessary in every patient for the
treatment of CPP. Starting at a dose of 3.75 mg once every 28 days and increasing
it with regard to findings in follow-ups would be a better approach.
PMID- 26550011
TI - Exploring the Physiological Link between Psoriasis and Mood Disorders.
AB - Psoriasis is a chronic, immune-mediated skin condition with a high rate of
psychiatric comorbidity, which often goes unrecognized. Beyond the negative
consequences of mood disorders like depression and anxiety on patient quality of
life, evidence suggests that these conditions can worsen the severity of
psoriatic disease. The mechanisms behind this relationship are not entirely
understood, but inflammation seems to be a key feature linking psoriasis with
mood disorders, and physiologic modulators of this inflammation, including the
hypothalamic-pituitary-adrenal axis and sympathetic nervous system, demonstrate
changes with psychopathology that may be contributory. Cyclical disruptions in
the secretion of the sleep hormone, melatonin, are also observed in both
depression and psoriasis, and with well-recognized anti-inflammatory and
antioxidant activity, this aberration may represent a shared contributor to both
conditions as well as common comorbidities like diabetes and cardiovascular
disease. While understanding the complexities of the biological mechanisms at
play will be key in optimizing the management of patients with comorbid psoriasis
and depression/anxiety, one thing is certain: recognition of psychiatric
comorbidity is an imperative first step in effectively treating these patients as
a whole. Evidence that improvement in mood decreases psoriasis severity
underscores how psychological awareness can be critical to clinicians in their
practice.
PMID- 26550014
TI - The Effects of Reduction Mammaplasty on Serum Leptin Levels and Insulin
Resistance.
AB - Background. The reduction mammaplasty has been a well-executed and known
procedure in which considerable amount of fatty tissue is removed from the body.
The authors aimed to show the effects of the reduction mammaplasty on serum
leptin levels and insulin resistance. Methods. 42 obese female patients who had
gigantomastia were operated on. We recorded patients' demographic and
preoperative data, including age, weight, height, and body mass index. Fasting
serum leptin, glucose, and insulin levels were noted. Homeostasis model
assessment scores were calculated. At the postoperative 8th week, patients were
reevaluated in terms of above parameters assessing the presence of any
difference. Results. Serum leptin levels were decreased postoperatively and the
decrease was statistically significant. We were able to show a decrease in
homeostasis model assessment score, which indicated an increase in insulin
sensitivity, and this change was statistically significant. A significant
correlation between body mass index and leptin change was found postoperatively.
Conclusion. Reduction mammaplasty is not solely an aesthetic procedure but it
decreases serum leptin levels and increases insulin sensitivity, which may help
obese women to reduce their cardiovascular risk.
PMID- 26550015
TI - The Iron Status of Sickle Cell Anaemia Patients in Ilorin, North Central Nigeria.
AB - Objectives. Sickle cell anaemia (SCA) is one of the commonest genetic disorders
in the world. It is characterized by anaemia, periodic attacks of thrombotic
pain, and chronic systemic organ damage. Recent studies have suggested that
individuals with SCA especially from developing countries are more likely to be
iron deficient rather than have iron overload. The study aims to determine the
iron status of SCA patients in Ilorin, Nigeria. Methods. A cross-sectional study
of 45 SCA patients in steady state and 45 non-SCA controls was undertaken. FBC,
blood film, sFC, sTfR, and sTfR/log sFC index were done on all subjects. Results.
The mean patients' serum ferritin (589.33 +/- 427.61 ng/mL) was significantly
higher than the mean serum ferritin of the controls (184.53 +/- 119.74 ng/mL).
The mean serum transferrin receptor of the patients (4.24 +/- 0.17 MUg/mL) was
higher than that of the controls (3.96 +/- 0.17 MUg/mL) (p = 0.290). The mean
serum transferrin receptor (sTfR)/log serum ferritin index of the patients (1.65
+/- 0.27 MUg/mL) was significantly lower than that of the control (1.82 +/- 0.18
MUg/mL) (p = 0.031). Conclusion. Iron deficiency is uncommon in SCA patients and
periodic monitoring of the haematological, biochemical, and clinical features for
iron status in SCA patients is advised.
PMID- 26550016
TI - Markedly Elevated Carbamazepine-10,11-epoxide/Carbamazepine Ratio in a Fatal
Carbamazepine Ingestion.
AB - Carbamazepine is a widely used anticonvulsant. Its metabolite, carbamazepine
10,11-epoxide, has been found to display similar anticonvulsant and neurotoxic
properties. While the ratio of parent to metabolite concentration varies
significantly, at therapeutic doses the epoxide concentration is generally about
20% of the parent. We report a case of fatal carbamazepine overdose in which the
epoxide metabolite concentration was found to be 450% higher than the parent
compound, suggesting a potential role for metabolite quantification in severe
toxicity.
PMID- 26550017
TI - Ongoing Pregnancies following Cosmetic Micromanipulation of Preimplantation
Embryos in Patients with Implantation Failure.
AB - Cosmetic micromanipulation is defined as fragment and coarse granulation removal
from preimplantation embryos. We report two cases of pregnancies in patients with
implantation failure following cosmetic micromanipulation.
PMID- 26550018
TI - Arctigenin from Fructus Arctii (Seed of Burdock) Reinforces Intestinal Barrier
Function in Caco-2 Cell Monolayers.
AB - Fructus Arctii is used as a traditional herbal medicine to treat inflammatory
diseases in oriental countries. This study aimed to investigate effect of F.
Arctii extract on intestinal barrier function in human intestinal epithelial Caco
2 cells and to reveal the active component of F. Arctii. We measured
transepithelial electrical resistance (TEER) value (as an index of barrier
function) and ovalbumin (OVA) permeation (as an index of permeability) to observe
the changes of intestinal barrier function. The treatment of F. Arctii increased
TEER value and decreased OVA influx on Caco-2 cell monolayers. Furthermore, we
found that arctigenin as an active component of F. Arctii increased TEER value
and reduced permeability of OVA from apical to the basolateral side but not
arctiin. In the present study, we revealed that F. Arctii could enhance
intestinal barrier function, and its active component was an arctigenin on the
functionality. We expect that the arctigenin from F. Arctii could contribute to
prevention of inflammatory, allergic, and infectious diseases by reinforcing
intestinal barrier function.
PMID- 26550020
TI - Moxibustion Reduces Ovarian Granulosa Cell Apoptosis Associated with
Perimenopause in a Natural Aging Rat Model.
AB - In recent years, concerns about the adverse effects of hormone replacement
therapy have increased interest in alternative therapies for the management of
the symptoms of perimenopause. Here, we investigated the effects of moxibustion,
a traditional Chinese practice that is involved in heated Artemisia vulgaris
(mugwort) stimulation, on hormonal imbalance and ovarian granulosa cell (GC)
apoptosis in a rat model of perimenopause. Our results showed that mild warm
moxibustion (MWM) modulated the circulating levels of estradiol and follicle
stimulating hormone and their receptors and inhibited apoptosis in the ovaries of
perimenopausal rats, similar to the effect of estrogen. Further investigation
revealed that the effects of MWM on ovary tissues and cultured GCs were mediated
by the modulation of the activity of Forkhead box protein O1 and involved the
JAK2/STAT3 pathway. Our results provide information on the factors and pathways
modulated by MWM and shed light on the mechanism underlying the beneficial effect
of moxibustion on the symptoms of perimenopause.
PMID- 26550019
TI - Plumbagin Ameliorates CCl 4 -Induced Hepatic Fibrosis in Rats via the Epidermal
Growth Factor Receptor Signaling Pathway.
AB - Epidermal growth factor (EGF) and its signaling molecules, EGFreceptor (EGFR) and
signal transducer and activator of transcription factor 3 (STAT3), have been
considered to play a role in liver fibrosis and cirrhosis. Plumbagin (PL) is an
extracted component from the plant and has been used to treat different kinds of
cancer. However, its role in regulation of EGFR and STAT3 during liver fibrosis
has not been investigated. In this study, the effects of PL on the regulation of
EGFR and STAT3 were investigated in carbon tetrachloride (CCl4) induced liver
fibrosis and hepatic stellate cells (HSC-T6). PL significantly attenuated liver
injury and fibrosis in CCl4 treated rats. At concentrations of 2 to 6 MUM, PL did
not induce significant cytotoxicity of HSC-T6 cells. Moreover, PL reduced
phosphorylation of EGFR and STAT3 in both fibrotic liver and heparin-binding EGF
like growth factor (HB-EGF) treated HSC-T6 cells. Furthermore, PL reduced the
expression of alpha-SMA, EGFR, and STAT3 in both fibrotic liver and HB-EGF
treated HSC-T6 cells. In conclusion, plumbagin could ameliorate the development
of hepatic fibrosis through its downregulation of EGFR and STAT3 in the liver,
especially in hepatic stellate cells.
PMID- 26550021
TI - An Improved PID Algorithm Based on Insulin-on-Board Estimate for Blood Glucose
Control with Type 1 Diabetes.
AB - Automated closed-loop insulin infusion therapy has been studied for many years.
In closed-loop system, the control algorithm is the key technique of precise
insulin infusion. The control algorithm needs to be designed and validated. In
this paper, an improved PID algorithm based on insulin-on-board estimate is
proposed and computer simulations are done using a combinational mathematical
model of the dynamics of blood glucose-insulin regulation in the blood system.
The simulation results demonstrate that the improved PID algorithm can perform
well in different carbohydrate ingestion and different insulin sensitivity
situations. Compared with the traditional PID algorithm, the control performance
is improved obviously and hypoglycemia can be avoided. To verify the
effectiveness of the proposed control algorithm, in silico testing is done using
the UVa/Padova virtual patient software.
PMID- 26550022
TI - Nonlinear Time Domain Relation between Respiratory Phase and Timing of the First
Heart Sound.
AB - The previous studies on respiratory physiology have indicated that inspiration
and expiration have opposite effects on heart hemodynamics. The basic reason why
these opposite hemodynamic changes cause regular timing variations in heart
sounds is the heart sound generation mechanism that the acoustic vibration is
triggered by heart hemodynamics. It is observed that the timing of the first
heart sound has nonlinear relation with respiratory phase; that is, the timing
delay with respect to the R-wave increases with inspiration and oppositely
decreases with expiration. This paper models the nonlinear relation by a
Hammerstein-Wiener model where the respiratory phase is the input and the timing
is the output. The parameter estimation for the model is presented. The model is
tested by the data collected from 12 healthy subjects in terms of mean square
error and model fitness. The results show that the model can approximate the
nonlinear relation very well. The average square error and the average fitness
for all the subjects are about 0.01 and 0.94, respectively. The timing of the
first heart sound related to respiratory phase can be accurately predicted by the
model. The model has potential applications in fast and easy monitoring of
respiration and heart hemodynamics induced by respiration.
PMID- 26550023
TI - Enhanced Z-LDA for Small Sample Size Training in Brain-Computer Interface
Systems.
AB - BACKGROUND: Usually the training set of online brain-computer interface (BCI)
experiment is small. For the small training set, it lacks enough information to
deeply train the classifier, resulting in the poor classification performance
during online testing. METHODS: In this paper, on the basis of Z-LDA, we further
calculate the classification probability of Z-LDA and then use it to select the
reliable samples from the testing set to enlarge the training set, aiming to mine
the additional information from testing set to adjust the biased classification
boundary obtained from the small training set. The proposed approach is an
extension of previous Z-LDA and is named enhanced Z-LDA (EZ-LDA). RESULTS: We
evaluated the classification performance of LDA, Z-LDA, and EZ-LDA on simulation
and real BCI datasets with different sizes of training samples, and
classification results showed EZ-LDA achieved the best classification
performance. CONCLUSIONS: EZ-LDA is promising to deal with the small sample size
training problem usually existing in online BCI system.
PMID- 26550024
TI - A Model of Regularization Parameter Determination in Low-Dose X-Ray CT
Reconstruction Based on Dictionary Learning.
AB - In recent years, X-ray computed tomography (CT) is becoming widely used to reveal
patient's anatomical information. However, the side effect of radiation, relating
to genetic or cancerous diseases, has caused great public concern. The problem is
how to minimize radiation dose significantly while maintaining image quality. As
a practical application of compressed sensing theory, one category of methods
takes total variation (TV) minimization as the sparse constraint, which makes it
possible and effective to get a reconstruction image of high quality in the
undersampling situation. On the other hand, a preliminary attempt of low-dose CT
reconstruction based on dictionary learning seems to be another effective choice.
But some critical parameters, such as the regularization parameter, cannot be
determined by detecting datasets. In this paper, we propose a reweighted
objective function that contributes to a numerical calculation model of the
regularization parameter. A number of experiments demonstrate that this strategy
performs well with better reconstruction images and saving of a large amount of
time.
PMID- 26550025
TI - Metallothionein ameliorates burn sepsis partly via activation of Akt signaling
pathway in mice: a randomized animal study.
AB - INTRODUCTION: Metallothioneins (MTs) are a family of cysteine-rich and low
molecular-weight proteins that can regulate metal metabolism and act as
antioxidants. Recent studies showed that MTs played a protective role in
excessive inflammation and sepsis. However, the role of MTs in burn sepsis
remains unclear. This study is designed to investigate the role of MTs in burn
sepsis in an experimental mouse model. METHODS: MT-I/II knockout (-/-) mice on a
C57BL/6 background and their wild-type (WT) littermates were randomly divided
into sham burn, burn, burn sepsis, Zn treated and Zn-MT-2 treated groups. Levels
of inflammatory cytokines were measured by enzyme-linked immunosorbent assay
(ELISA). Myeloperoxidase (MPO) activity was detected by spectrophotometry. In in
vitro study, exogenous MT was added to macrophages that stimulated with serum
from burn sepsis mice with or without Akt inhibitor LY294002. The IL-1 beta and
IL-6 mRNA expression were detected by quantitative real-time polymerase chain
reaction. The levels of Akt expression were determined by western blot. RESULTS:
Burn sepsis induced significantly elevated levels of inflammatory cytokines in
serum and increased inflammatory infiltration in the liver and lung. These
effects were more prominent in MT (-/-) mice than in WT mice. Furthermore,
exogenous MT-2 inhibited these elevated inflammatory response in both WT and MT (
/-) mice. MT-2 up-regulated Akt phosphorylation and abrogated the increase of IL
1beta and IL-6 mRNA expression from macrophages that stimulated with burn sepsis
serum. These effects of MT-2 were abolished in the presence of LY294002.
CONCLUSION: MT-2 ameliorates burn sepsis by attenuating inflammatory response and
diminishing inflammatory organ damage, which is at least partly mediated by
activation of Akt signaling pathway.
PMID- 26550026
TI - The impact of early surgical intervention in free intestinal perforation: a time
to-intervention pilot study.
AB - PURPOSES: An abdominal inflammatory focus is the second most often source of
sepsis with a high risk of death in surgical intensive care units. By
establishing evidence-based bundled strategies the surviving sepsis campaign
provided an optimized rapid and continuous treatment of these emergency patients.
Hereby the hospital mortality decreased from 35 to 30 %. Sepsis treatment is
based on three major therapeutic elements: surgical treatment (source control),
antiinfective treatment, and supportive care. The international guidelines of the
surviving sepsis campaign were updated recently and recommend rapid diagnosis of
the infection and source control within the first 12 h after the diagnosis (grade
1c). Interestingly this recommendation is mainly based on studies on soft tissue
infections. METHODS: In this retrospective analysis 76 septic patients with an
intraabdominal inflammatory focus were included. All patients underwent surgery
at different time-points after diagnosis. RESULTS: With 80 % patients of the
early intervention group had an improved overall survival (vs. 73 % in the late
intervention group). CONCLUSIONS: Literature on the time dependency of early
source control is rare and in part contradicting. Results of this pilot study
reveal that immediate surgical intervention might be of advantage for septic
emergency patients. Further multi-center approaches will be necessary to
evaluate, whether the TTI has any impact on the outcome of septic patients with
intestinal perforation.
PMID- 26550027
TI - Erratum to: Psychosomatic complaints and sense of coherence among adolescents in
a county in Sweden: a cross-sectional school survey.
PMID- 26550028
TI - Stress-induced cortisol is associated with generation of non-negative
interpretations during cognitive reappraisal.
AB - BACKGROUND: Enhanced processing of emotional stimuli after stress exposure is
reported to be associated with stress-induced cortisol. Because enhanced
emotional information processing could make cognitive emotion regulation more
difficult, it was hypothesized that stress-induced cortisol would be associated
with non-negative interpretation generation associated with the cognitive
reappraisal processes. METHODS: A total of 36 participants (Mean age = 21.3
years, SD = 1.8) watched video clips of depression-related stressful situations
before and after the administration of a stress induction task. They were then
asked to generate as many non-negative interpretations as possible to reduce the
depressive mood. Saliva samples were obtained before and after the stress
induction task to measure change in the cortisol level. RESULTS: Participants
were allocated post-hoc to either a responder (n = 19) or non-responder group (n
= 17) based on the cortisol response to the stress induction task. The number of
non-negative interpretations generated following the stress induction task was
reduced only in the cortisol responders. The number of post-stress non-negative
interpretations was fewer in the responder group when compared by sex, baseline
cortisol level, and the number of pre-stress non-negative interpretations,
statistically controlled. CONCLUSIONS: Although baseline cortisol and sex may
have impacted the results, the results suggest that stress-induced cortisol is
associated with difficulty in non-negative interpretation generation during the
cognitive reappraisal process.
PMID- 26550029
TI - Identification of structural alerts for liver and kidney toxicity using repeated
dose toxicity data.
AB - BACKGROUND: The potential for a compound to cause hepatotoxicity and
nephrotoxicity is a matter of extreme interest for human health risk assessment.
To assess liver and kidney toxicity, repeated-dose toxicity (RDT) studies are
conducted mainly on rodents. However, these tests are expensive, time-consuming
and require large numbers of animals. For early toxicity screening, in silico
models can be applied, reducing the costs, time and animals used. Among in silico
approaches, structure-activity relationship (SAR) methods, based on the
identification of chemical substructures (structural alerts, SAs) related to a
particular activity (toxicity), are widely employed. RESULTS: We identified and
evaluated some SAs related to liver and kidney toxicity, using RDT data on rats
taken from the hazard evaluation support system (HESS) database. We considered
only SAs that gave the best percentages of true positives (TP). CONCLUSIONS: It
was not possible to assign an unambiguous mode of action for all the SAs, but a
mechanistic explanation is provided for some of them. Such achievements may help
in the early identification of liver and renal toxicity of substances.
PMID- 26550030
TI - What is "colonial" about medieval colonial medicine? Iberian health in global
context.
AB - Colonial medicine is a thriving field of study in the history of nineteenth- and
twentieth-century medicine. Medicine can be used as a lens to view colonialism in
action and as a way to critique colonialism. This article argues that key debates
and ideas from that modern field can fruitfully be applied to the Middle Ages,
especially for the early empires of Spain and Portugal (mid-fourteenth to mid
sixteenth centuries). The article identifies key modern debates, explores
approaches to colonization and colonialism in the Middle Ages and discusses how
medieval and modern medicine and healthcare could be compared using colonial and
postcolonial discourses. The article ends with three case studies of healthcare
encounters in Madeira, Granada and Hispaniola at the end of the fifteenth
century.
PMID- 26550031
TI - Lipid production in association of filamentous fungi with genetically modified
cyanobacterial cells.
AB - BACKGROUND: Numerous strategies have evolved recently for the generation of
genetically modified or synthetic microalgae and cyanobacteria designed for
production of ethanol, biodiesel and other fuels. In spite of their obvious
attractiveness there are still a number of challenges that can affect their
economic viability: the high costs associated with (1) harvesting, which can
account for up to 50 % of the total biofuel's cost, (2) nutrients supply and (3)
oil extraction. Fungal-assisted bio-flocculation of microalgae is gaining
increasing attention due to its high efficiency, no need for added chemicals and
low energy inputs. The implementation of renewable alternative carbon, nitrogen
and phosphorus sources from agricultural wastes and wastewaters for growing algae
and fungi makes this strategy economically attractive. RESULTS: This work
demonstrates that the filamentous fungi, Aspergillus fumigatus can efficiently
flocculate the unicellular cyanobacteria Synechocystis PCC 6803 and its
genetically modified derivatives that have been altered to enable secretion of
free fatty acids into growth media. Secreted free fatty acids are potentially
used by fungal cells as a carbon source for growth and ex-novo production of
lipids. For most of genetically modified strains the total lipid yields extracted
from the fungal-cyanobacterial pellets were found to be higher than additive
yields of lipids and total free fatty acids produced by fungal and Synechocystis
components when grown in mono-cultures. The synergistic effect observed in fungal
Synechocystis associations was also found in bioremediation rates when animal
husbandry wastewater was used an alternative source of nitrogen and phosphorus.
CONCLUSION: Fungal assisted flocculation can complement and assist in large scale
biofuel production from wild-type and genetically modified Synechocystis PCC 6803
strains by (1) efficient harvesting of cyanobacterial cells and (2) producing of
high yields of lipids accumulated in fungal-cyanobacterial pellets.
PMID- 26550032
TI - First insights on the retroelement Rex1 in the cytogenetics of frogs.
AB - BACKGROUND: While some transposable elements (TEs) have been found in the
sequenced genomes of frog species, detailed studies of these elements have been
lacking. In this work, we investigated the occurrence of the Rex1 element, which
is widespread in fish, in anurans of the genus Physalaemus. We isolated and
characterized the reverse transcriptase (RT)-coding sequences of Rex1 elements of
five species of this genus. RESULTS: The amino acid sequences deduced from the
nucleotide sequences of the isolated fragments allowed us to unambiguously
identify regions corresponding to domains 3-7 of RT. Some of the nucleotide
sequences isolated from Physlaemus ephippifer and P. albonotatus had internal
deletions, suggesting that these fragments are likely not active TEs, despite
being derived from a Rex1 element. When hybridized with metaphase chromosomes,
Rex1 probes were revealed at the pericentromeric heterochromatic region of the
short arm of chromosome 3 of the P. ephippifer karyotype. Neither other
heterochromatin sites of the P. ephippifer karyotype nor any chromosomal regions
of the karyotypes of P. albonotatus, P. spiniger and P. albifrons were detected
with these probes. CONCLUSIONS: Rex1 elements were found in the genomes of five
species of Physalaemus but clustered in only the P. ephippifer karyotype, in
contrast to observations in some species of fish, where large chromosomal sites
with Rex1 elements are typically present.
PMID- 26550033
TI - Thrombocytopenia-absent radius (TAR) syndrome due to compound inheritance for a
1q21.1 microdeletion and a low-frequency noncoding RBM8A SNP: a new familial
case.
AB - BACKGROUND: Thrombocytopenia-absent radius syndrome (TAR; MIM 274000) is a rare
autosomal recessive disorder combining specific skeletal abnormalities with a
reduced platelet count. TAR syndrome has been associated with the compound
inheritance of an interstitial microdeletion in 1q21.1 and a low frequency
noncoding RBM8A SNP. RESULTS: Here, we report on a patient with scapulo-humeral
hypoplasia, bilateral radio-ulnar agenesis with intact thumbs, bilateral proximal
positioning of the first metacarpal, bilateral fifth finger clinodactyly,
bilateral radial deviation of the hands, and thrombocytopenia. Molecular studies
showed compound heterozygosity for the 1q21.1 microdeletion and the RBM8A
rs139428292 variant in hemizygous state, inherited from the father and the
mother, respectively. A second aborted fetus presented TAR features and 1q21.1
microdeletion. DISCUSSION: The complex inheritance pattern resulted in reduced
expression of Y14, the protein encoded by RBM8A, and a component of the core exon
junction complex (EJC) in platelets. Further studies are needed to explain how
Y14 insufficiency and subsequent defects of the EJC could cause the skeletal,
haematological and additional features of TAR syndrome. In this study, we discuss
other factors that could influence the overall phenotype of patients affected by
TAR syndrome. CONCLUSION: In this study, we discuss other factors that could
influence the overall phenotype of patients affected by TAR syndrome.
PMID- 26550035
TI - Editorial.
PMID- 26550034
TI - Tip60 complex binds to active Pol II promoters and a subset of enhancers and co
regulates the c-Myc network in mouse embryonic stem cells.
AB - BACKGROUND: Tip60 (KAT5) is the histone acetyltransferase (HAT) of the mammalian
Tip60/NuA4 complex. While Tip60 is important for early mouse development and
mouse embryonic stem cell (mESC) pluripotency, the function of Tip60 as reflected
in a genome-wide context is not yet well understood. RESULTS: Gel filtration of
nuclear mESCs extracts indicate incorporation of Tip60 into large molecular
complexes and exclude the existence of large quantities of "free" Tip60 within
the nuclei of ESCs. Thus, monitoring of Tip60 binding to the genome should
reflect the behaviour of Tip60-containing complexes. The genome-wide mapping of
Tip60 binding in mESCs by chromatin immunoprecipitation (ChIP) coupled with high
throughput sequencing (ChIP-seq) shows that the Tip60 complex is present at
promoter regions of predominantly active genes that are bound by RNA polymerase
II (Pol II) and contain the H3K4me3 histone mark. The coactivator HAT complexes,
Tip60- and Mof (KAT8)-containing (NSL and MSL), show a global overlap at
promoters, whereas distinct binding profiles at enhancers suggest different
regulatory functions of each essential HAT complex. Interestingly, Tip60
enrichment peaks at about 200 bp downstream of the transcription start sites
suggesting a function for the Tip60 complexes in addition to histone acetylation.
The comparison of genome-wide binding profiles of Tip60 and c-Myc, a somatic cell
reprogramming factor that binds predominantly to active genes in mESCs,
demonstrate that Tip60 and c-Myc co-bind at 50-60 % of their binding sites. We
also show that the Tip60 complex binds to a subset of bivalent developmental
genes and defines a set of mESC-specific enhancer as well as super-enhancer
regions. CONCLUSIONS: Our study suggests that the Tip60 complex functions as a
global transcriptional co-activator at most active Pol II promoters, co-regulates
the ESC-specific c-Myc network, important for ESC self-renewal and cell
metabolism and acts at a subset of active distal regulatory elements, or super
enhancers, in mESCs.
PMID- 26550036
TI - Community-oriented integrated care and health promotion - views from the street.
AB - On the 1st and 2nd May 2015, participants at the RCGP London City Health
Conference debated practical ways to achieve integrated care at community level.
In five connected workshops, participants reviewed current work and identified
ways to overcome some of the problems that had become apparent. In this paper, we
summarise the conclusions of each workshop, and provide an overall comment. There
are layers of complexity in community-oriented integrated care that are not
apparent at first sight. The difficult thing is not persuading people that it
matters, but finding ways to do it that are practical and sustainable. The
dynamic and complex nature of the territory is bewildering. The expectation of
silo-operating and linear thinking, and the language and models that encourage
it, pervade health and social care. Comprehensive integration is possible, but
the theory and practice are unfamiliar to many. Images, theories and models are
needed to help people from all parts of the system to see big pictures and
focused detail at the same time and oscillate between them to envision-integrated
whole systems. Infrastructure needs to enable this, with coordination hubs,
locality-based multidisciplinary meetings and cycles of inter-organisational
improvement to nurture relationships across organisational boundaries.
PMID- 26550037
TI - Singling out the double effect - sexual health advice and contraception are
ethically distinct.
AB - This article is a response to an article previously published in LJPC, which
employed the doctrine of double effect to explain the Gillick judgement and
exculpate health care workers who provide contraceptives and sexual health advice
to under-16s. In this analysis, the two acts: provision of contraceptives and
provision of sexual health advice are examined separately against the four
criteria of the doctrine of double effect. In conclusion, whilst sexual health
advice provision fits into the doctrine reasonably well, in the case of
contraceptive provision, the validity of the doctrine of double effect is more
doubtful.
PMID- 26550038
TI - Singling out the double effect - some further comment.
AB - We comment on a paper published in the same issue of the London Journal of
Primary Care. We applaud Bow's engagement with the ethical issues in a previous
LJPC paper but argue that further work is needed to establish the everyday moral
concerns of health care workers in primary care. We also suggest that the ethical
distinction between advice and medication and devices may be artificial if both
have an effect on a patient.
PMID- 26550039
TI - Steroid-induced hyperglycaemia in primary care.
PMID- 26550040
TI - Genome-wide DNA methylation profiling of CD8+ T cells shows a distinct epigenetic
signature to CD4+ T cells in multiple sclerosis patients.
AB - BACKGROUND: Multiple sclerosis (MS) is thought to be a T cell-mediated autoimmune
disorder. MS pathogenesis is likely due to a genetic predisposition triggered by
a variety of environmental factors. Epigenetics, particularly DNA methylation,
provide a logical interface for environmental factors to influence the genome. In
this study we aim to identify DNA methylation changes associated with MS in CD8+
T cells in 30 relapsing remitting MS patients and 28 healthy blood donors using
Illumina 450K methylation arrays. FINDINGS: Seventy-nine differentially
methylated CpGs were associated with MS. The methylation profile of CD8+ T cells
was distinctive from our previously published data on CD4+ T cells in the same
cohort. Most notably, there was no major CpG effect at the MS risk gene HLA-DRB1
locus in the CD8+ T cells. CONCLUSION: CD8+ T cells and CD4+ T cells have
distinct DNA methylation profiles. This case-control study highlights the
importance of distinctive cell subtypes when investigating epigenetic changes in
MS and other complex diseases.
PMID- 26550042
TI - Innovative Physics and Engineering Research in Nuclear Medicine and Molecular
Imaging: A Message from the Associate Editor.
PMID- 26550043
TI - PET Radioligands for Imaging of Tau Pathology: Current Status.
AB - The incidence of Alzheimer's disease (AD), a progressive neurodegenerative
disorder, continues to soar with the rapid growth of the elderly population, thus
creating an enormous social and economic burden. Although disease-modifying drugs
to treat AD are not yet available, several candidate drugs are in clinical
trials. Most of these drugs are expected to be effective at the early stages of
the disease, and therefore the early and accurate diagnosis of AD will be a
critical factor in efforts to improve the prognosis of patients with AD. This
review focuses on lead radioligands developed to date and their preclinical data
in order to facilitate the development of tau-specific positron emission
tomography radioligands that are of great interest to the scientific community.
PMID- 26550041
TI - Real-time monitoring efficiency and toxicity of chemotherapy in patients with
advanced lung cancer.
AB - BACKGROUND: The Response Evaluation Criteria in Solid Tumors (RECIST) guideline
and Common Terminology Criteria for Adverse Events (CTCAE) criteria are used to
assess chemotherapy efficiency and toxicity in patients with advanced lung
cancer. However, no real-time, synchronous indicators that can evaluate
chemotherapy outcomes are available. We wanted to evaluate tumor response and
toxicity in advanced lung cancer chemotherapy by using a novel synchronous
strategy. RESULTS: We enrolled 316 patients with advanced lung cancer who were
treated with cisplatin-based therapy and followed up them for 3 years. Plasma was
obtained before and after every chemotherapy cycle. We quantitative assayed total
plasma DNA and methylation of the APC/RASSF1A genes. Four parameters were
assessed: methylation level before chemotherapy (meth0 h), methylation level 24 h
after chemotherapy (meth24 h), total plasma DNA concentration before chemotherapy
(DNA0 h), and total plasma DNA concentration 24 h after chemotherapy (DNA24 h).
When meth24 h > meth0 h of at least one gene was used to predict tumor response,
the correct prediction rate was 82.4 %. Additionally, patients for whom DNA24
h/DNA0 h <= 2 had mild toxicities. Therefore, meth24 h > meth0 h and DNA24 h/DNA0
h <= 2 were defined as criteria for better tumor response and fewer adverse
events with a high correct prediction rate (84.7 %). CONCLUSIONS: Quantitative
analysis of total plasma DNA and plasma APC/RASSF1A methylation provide a real
time synchronous rapid monitoring indicator for therapeutic outcomes of advanced
lung cancer, which could be a reference or supplementary guidelines in evaluating
chemotherapy effects.
PMID- 26550044
TI - Click Reaction: An Applicable Radiolabeling Method for Molecular Imaging.
AB - In recent years, the click reaction has found rapidly growing applications in the
field of radiochemistry, ranging from a practical labeling method to molecular
imaging of biomacromolecules. This present review details the development of
highly reliable, powerful and selective click chemistry reactions for the rapid
synthesis of new radiotracers for molecular imaging.
PMID- 26550045
TI - Analysis of Clinical Factors for the Determination of Optimal Serum Level of
Thyrotropin After Recombinant Human Thyroid-Stimulating Hormone Administration.
AB - PURPOSE: To determine the optimal levels of thyroid-stimulating hormone (TSH)
levels after administration of recombinant human TSH (rhTSH) to patients with
differentiated thyroid cancer (DTC), we have analyzed the clinical parameters
that affected the degree of the increase in serum levels of TSH. METHODS: We
retrospectively analyzed 276 patients with differentiated thyroid cancer (DTC),
post-thyroidectomy and remnant ablation. Pearson's correlation coefficient test
was used to evaluate the correlation between serum levels of TSH after rhTSH
stimulation and various clinical factors, including age, sex, height, weight,
body mass index (BMI), body surface area (BSA), serum blood urea nitrogen,
creatinine, and estimated glomerular filtration rate (GFR). Linear regression
analysis was used to determine the predictors of the degree of increase in serum
TSH level after rhTSH stimulation. RESULTS: After the rhTSH injections, all
subjects achieved TSH levels of >30 MUU/mL, with a mean of 203.8 +/- 83.4 MUU/mL.
On univariate analysis, age (r = 0.255) and serum creatinine (r = 0.169) level
were positive predictors for higher levels of serum TSH after rhTSH stimulation,
while weight (r = -0.239), BMI (r = -0.223), BSA (r = -0.217), and estimated GFR
(r = -0.199) were negative predictors. Multiple linear regression analysis
revealed that serum creatinine was the most powerful independent predictor for
serum levels of TSH, followed by age, BSA, and BMI. CONCLUSIONS: An increment in
serum TSH after rhTSH stimulation was significantly affected by age, BSA, BMI,
and creatinine, with creatinine being the most powerful predictor. By
understanding the difference in the increased levels of TSH in various subjects,
their dose of rhTSH can be adjusted during scheduling for radioiodine ablation,
or during follow-up (recurrence surveillance) after surgery and ablation.
PMID- 26550047
TI - Comparison of Diagnostic Sensitivity and Quantitative Indices Between (68)Ga
DOTATOC PET/CT and (111)In-Pentetreotide SPECT/CT in Neuroendocrine Tumors: a
Preliminary Report.
AB - PURPOSE: In-pentetreotide has been used for neuroendocrine tumors expressing
somatostatin receptors. Recently, (68)Ga-DOTATOC PET has been used with the
advantage of high image quality. In this study, we compared quantitative indices
between (111)In-pentetreotide SPECT/CT and (68)Ga-DOTATOC PET/CT. METHODS:
Thirteen patients diagnosed with neuroendocrine tumors were prospectively
recruited. Patients underwent (111)In-pentetreotide scans with SPECT/CT and
(68)Ga-DOTATOC PET/CT before treatment. The number and location of lesions were
analyzed on both imaging techniques to compare lesion detectability.
Additionally, the maximal uptake count of each lesion and mean uptake count of
the lungs were measured on both imagings, and target-to-normal lung ratios (TNR)
were calculated as quantitative indices. RESULTS: Among 13 patients, 10 exhibited
lesions with increased uptake on (111)In-pentetreotide SPECT/CT and/or (68)Ga
DOTATOC PET/CT. Scans with SPECT/CT detected 19 lesions, all of which were also
detected on PET/CT. Moreover, 16 additional lesions were detected on PET/CT (6 in
the liver, 9 in the pancreas and 1 in the spleen). PET/CT exhibited a
significantly higher sensitivity than SPECT/CT (100 % vs. 54 %, P < 0.001). TNR
was significantly higher on PET/CT than on SPECT/CT (99.9 +/- 84.3 vs. 71.1 +/-
114.9, P < 0.001) in spite of a significant correlation (r = 0.692, P = 0.01).
CONCLUSION: Ga-DOTATOC PET/CT has a higher diagnostic sensitivity than (111)In
pentetreotide scans with SPECT/CT. The TNR on PET/CT is higher than that of
SPECT/CT, which also suggests the higher sensitivity of PET/CT. (111)In
pentetreotide SPECT/CT should be used carefully if it is used instead of (68)Ga
DOTATOC PET/CT.
PMID- 26550046
TI - Correlation of Consecutive Serum Thyroglobulin Levels During Hormone Withdrawal
and Failure of Initial Radioiodine Ablation in Thyroid Cancer Patients.
AB - OBJECTIVE: The aim of this study was to evaluate the value of thyroglobulin (Tg)
kinetics during preparation of radioiodine ablation for prediction of initial
radioiodine ablation failure in thyroid cancer patients. METHODS: Thyroid cancer
patients after total thyroidectomy who underwent radioiodine ablation with 3-4
weeks of hormone withdrawal between May 2011 and January 2012 were included.
Consecutive serum Tg levels 5-10 days before ablation (Tg1) and on the day of
ablation (Tg2) were obtained. The difference between Tg1 and Tg2 (DeltaTg), daily
change rate of Tg (DeltaTg/day) and Tg doubling time (Tg-DT) were calculated.
Success of initial ablation was determined by the results of the follow-up
ultrasonography, diagnostic radioiodine scan and stimulated Tg level after 6 to
20 months. RESULTS: A total of 143 patients were included. Failed ablation was
reported in 52 patients. Tg2 higher than 5.6 ng/ml and Tg-DT shorter than 4.2
days were significantly related to a high risk of ablation failure. DeltaTg and
DeltaTg/day did not show significant correlation with ablation failure.
CONCLUSIONS: Thyroglobulin kinetics on consecutive blood sampling during hormone
withdrawal may be helpful in predicting patients with higher risk of treatment
failure of initial radioiodine ablation therapy in thyroid cancer patients.
PMID- 26550048
TI - Prognostic Value of Metabolic Tumor Volume on (11)C-Methionine PET in Predicting
Progression-Free Survival in High-Grade Glioma.
AB - PURPOSE: C-11 methionine (MET) PET is commonly used for diagnosing high-grade
glioma (HGG). Recently, volumetric analysis has been widely applied to oncologic
PET imaging. In this study, we investigated the prognostic value of metabolic
tumor volume (MTV) on MET PET in HGG. METHODS: A total of 30 patients with
anaplastic astrocytoma (n = 12) and glioblastoma multiforme (n = 18) who
underwent MET PET before treatment (surgery followed by chemo-radiotherapy) were
retrospectively enrolled. Maximal tumor-to-normal brain ratio (TNRmax, maximum
tumor activity divided by mean of normal tissue) and MTV (volume of tumor tissue
that shows uptake >1.3-fold of mean uptake in normal tissue) were measured on MET
PET. Adult patients were classified into two subgroups according to Radiation
Therapy Oncology Group Recursive Partitioning Analysis (RTOG RPA) classification.
Prognostic values of TNRmax, MTV and clinicopathologic factors were evaluated
with regard to progression-free survival (PFS). RESULTS: Median PFS of all
patients was 7.9 months (range 1.0-53.8 months). In univariate analysis, MTV
(cutoff 35 cm(3)) was a significant prognostic factor for PFS (P = 0.01), whereas
TNRmax (cutoff 3.3) and RTOG RPA class were not (P = 0.80 and 0.61,
respectively). Treatment of surgical resection exhibited a borderline
significance (P = 0.06). In multivariate analysis, MTV was the only independent
prognostic factor for PFS (P = 0.03). CONCLUSION: MTV on MET PET is a significant
and independent prognostic factor for PFS in HGG patients, whereas TNRmax is not.
Thus, performing volumetric analysis of MET PET is recommended in HGG for better
prognostication.
PMID- 26550049
TI - The Utility of Segmental Analysis in Cardiac I-123 MIBG SPECT in Parkinson's
Disease.
AB - PURPOSE: Cardiac images using I-123 metaiodobenzylguanidine (MIBG) are widely
used to evaluate cardiac sympathetic denervation in Parkinson's disease (PD). The
aim of this study was to evaluate the utility of segmental analysis on cardiac
MIBG SPECT in PD patients. MATERIALS AND METHODS: In total, 36 patients with PD
(n = 26) or essential tremor (ET, n = 10) who underwent MIBG cardiac SPECT were
enrolled. The heart-to-mediastinum (H/M) ratios of MIBG uptake were acquired on
planar images. For the segmental analysis of SPECT images, we evaluated the
summed defect score (SDS) using a 17-segment model. The diagnostic abilities of
H/M ratios and segmental parameters on MIBG SPECT were assessed by ROC curve
analysis. RESULTS: The H/M ratios were significantly lower in PD than in ET
patients (p < 0.05). On segmental analysis, SDS was significantly higher in PD
patients than in the ET group (7.04 +/- 4.09 vs. 2.90 +/- 2.80; p = 0.006). The
defect score of the anteroseptal region showed a significant difference between
the groups (p = 0.002). The ROC analysis suggested only SDS (AUC = 0.785, p =
0.0003) and defect scores in the anteroseptal (AUC = 0.800, p < 0.0001) and
inferior (AUC = 0.667, p = 0.013) regions showed significant diagnostic ability
to differentiate PD from ET. CONCLUSIONS: Segmental parameters from cardiac MIBG
SPECT images can provide additional information to differentiate PD from ET
patients. Beyond H/M ratios from planar images, we recommend an MIBG SPECT study
to evaluate sympathetic denervation in PD.
PMID- 26550050
TI - Software-Based Hybrid Perfusion SPECT/CT Provides Diagnostic Accuracy When Other
Pulmonary Embolism Imaging Is Indeterminate.
AB - PURPOSE: To investigate the diagnostic performance of perfusion single-photon
emission computed tomography/computed tomography (Q-SPECT/CT) in patients
suspected to have pulmonary embolism (PE) but with indeterminate computed
tomographic pulmonary angiography (CTPA) or planar ventilation/perfusion (V/Q)
scans. METHODS: This retrospective study included two groups of patients. Group I
consisted of 49 patients with nondiagnostic CTPA. These 49 patients underwent
subsequent V/Q scans. Further Q-SPECTs were obtained in patients with
indeterminate planar images and fused with existing CTPA. Group II consisted of
182 non-CTPA patients with indeterminate V/Q scans. These 182 patients underwent
further Q-SPECT and separate noncontrast low-dose CT chest. Fusion Q-SPECT/CT
scans were obtained through FDA-approved software and interpreted according to
published criteria as positive, negative, or indeterminate for PE. Upon
retrospective analyses, the final diagnosis was made using composite reference
standards including all available clinical and imaging information for at least 6
month follow-up. RESULTS: In group I patients, 1 was positive, 24 were negative,
and another 24 (49 %, 24/49) were indeterminate. In the subsequent 24 Q
SPECT/CTPAs, 4 were positive, 19 were negative, and 1 was indeterminate (4.2 %,
1/24). In group II patients, 9 (4.9 %, 9/182) were indeterminate, 33 were
positive, and 140 were negative. The combined nondiagnostic rate for Q-SPECT/CT
was only 4.9 % (10/206). There was six false-negative and one false-positive Q
SPECT/CT examinations. The sensitivity, specificity, and positive and negative
predictive value of Q-SPECT/CT were 85.7 % (36/42), 99.4 % (153/154), 97.3 %
(36/37) and 96.2 % (153/159), respectively. CONCLUSIONS: Q-SPECT/CT improves the
diagnostic rate with promising accuracy in diagnosing PE that yields a
satisfactory clinical verdict, especially when the CTPA and planar V/Q scan are
indeterminate.
PMID- 26550051
TI - The Alginate Layer for Improving Doxorubicin Release and Radiolabeling Stability
of Chitosan Hydrogels.
AB - PURPOSE: Chitosan hydrogels (CSH) formed through ionic interaction with an
anionic molecule are suitable as a drug carrier and a tissue engineering
scaffold. However, the initial burst release of drugs from the CSH due to rapid
swelling after immersing in a biofluid limits their wide application as a drug
delivery carrier. In this study, alginate layering on the surface of the
doxorubicin (Dox)-loaded and I-131-labeled CSH (DI-CSH) was performed. The effect
of the alginate layering on drug release behavior and radiolabeling stability was
investigated. METHODS: Chitosan was chemically modified using a chelator for I
131 labeling. After labeling of I-131 and mixing of Dox, the chitosan solution
was dropped into tripolyphosphate (TPP) solution using an electrospinning system
to prepare spherical microhydrogels. The DI-CSH were immersed into alginate
solution for 30 min to form the crosslinking layer on their surface. The
formation of alginate layer on the DI-CSH was confirmed by Fourier transform
infrared spectroscopy (FT-IR) and zeta potential analysis. In order to
investigate the effect of alginate layer, studies of in vitro Dox release from
the hydrogels were performed in phosphate buffered in saline (PBS, pH 7.4) at 37
degrees C for 12 days. The radiolabeling stability of the hydrogels was evaluated
using ITLC under different experimental condition (human serum, normal saline,
and PBS) at 37 degrees C for 12 days. RESULTS: Formatting the alginate
crosslinked layer on the CSH surface did not change the spherical morphology and
the mean diameter (150 +/- 10 MUm). FT-IR spectra and zeta potential values
indicate that alginate layer was formed successfully on the surface of the DI
CSH. In in vitro Dox release studies, the total percentage of the released Dox
from the DI-CSH for 12 days were 60.9 +/- 0.8, 67.3 +/- 1.4, and 71.8 +/- 2.5 %
for 0.25, 0.50, and 1.00 mg Dox used to load into the hydrogels, respectively. On
the other hand, after formatting alginate layer, the percentage of the released
Dox for 12 days was decreased to 47.6 +/- 1.4, 51.1 +/- 1.4, and 57.5 +/- 1.6 %
for 0.25, 0.50, and 1.00 mg Dox used, respectively. The radiolabeling stability
of DI-CSH in human serum was improved by alginate layer. CONCLUSIONS: The
formation of alginate layer on the surface of the DI-CSH is useful for improving
the drug release behavior and radiolabeling stability.
PMID- 26550052
TI - The Utility of Intraoperative Handheld Gamma Camera for Detection of Sentinel
Lymph Nodes in Melanoma.
AB - Accurate identification of the sentinel lymph node (SLN) is an important
prognostic factor for melanoma. In a minority of cases drainage to interval nodal
basins, such as the epitrochlear region, are possible. Intraoperative handheld
gamma cameras have been used to detect SLNs which are located in different
anatomical localizations. In this case we report the utility of an intraoperative
handheld gamma camera in the localization of epitrochlear drainage of distal
upper extremity melanoma and its impact on surgical procedure.
PMID- 26550053
TI - Multicentric Primary Angiosarcoma of Bone Mimicking Metastasis on (18)F-FDG
PET/CT in a Patient with a History of Sigmoid Colon Cancer: a Case Report.
AB - Primary angiosarcoma of the bone (PAB) is a rare and fatal high-grade malignant
vascular bone tumor. We report a rare case of multicentric PAB mimicking bone
metastasis in a 59-year-old female patient with a history of sigmoid colon
cancer. This patient complained of lower back and pelvic pain and presented with
multiple osteolytic bone lesions on plain radiography and pelvic computed
tomography. First, bone metastasis of sigmoid colon cancer was suspected.
However, on the (18)F-fluorodeoxyglucose ((18)F-FDG) positron emission
tomography/computed tomography (PET/CT) scan, the patient presented unusual
multiple hypermetabolic osteolytic bone lesions involving contiguous bones of the
lower half of the body. After bone biopsy, these lesions were confirmed to be
multicentric PAB. To the best of our knowledge, this is the first case report of
an (18)F-FDG PET/CT scan in a patient with multicentric primary bone
angiosarcoma.
PMID- 26550054
TI - Malignant Peritoneal Mesothelioma Masquerades as Peritoneal Metastasis on (18)F
FDG PET/CT Scans; a Rare Diagnosis that Should Not Be Missed.
AB - Malignant peritoneal mesothelioma (MPM) is a rare but fatal tumor. The clinical
presentations and imaging findings are nonspecific and resemble various diseases,
including peritoneal metastasis. Imaging findings of MPH on (18)F-(18)F
fluorodeoxyglucose ((18)F-FDG) positron emission tomography (PET)/computed
tomography (CT) are diverse and not well described. We report the two cases of
biopsy-proven MPH using (18)F-FDG PET/CT. In our cases, interesting disease
patterns-including MPH arising from visceral peritoneal lining of kidney that
suffer from polycystic disease and from the parietal peritoneum beneath the
appendectomy scar-were presented. One case showed classical metastases localized
within the abdominal cavity; while the other case exhibited the rare pattern of
extensive multi-organ metastases. By knowing the possible variations and
diagnostic pitfalls of (18)F-FDG PET/CT findings in MPM, more accurate
interpretation of such mysterious cancer is attainable.
PMID- 26550055
TI - Isolated Central Nervous System Relapse After 10 Years in a Case of Primary
Testicular Lymphoma Detected on (18)F-FDG PET/CT.
PMID- 26550056
TI - NaF18-PET/CT Imaging of Secondary Hyperparathyroidism.
PMID- 26550057
TI - Tc-99m-HMPAO-Labeled Leukocyte SPECT/CT in Pediatrics: Detecting Candida albicans
Tricuspid Endocarditis.
PMID- 26550058
TI - Erratum to: Changes in Skeletal Tumor Activity on (18)F-choline PET/CT in
Patients Receiving (223)Radium Radionuclide Therapy for Metastatic Prostate
Cancer.
AB - [This corrects the article DOI: 10.1007/s13139-014-0314-0.].
PMID- 26550061
TI - Hydrochemical Characterization of Groundwater Quality for Drinking and
Agricultural Purposes: A Case Study in Rafsanjan Plain, Iran.
AB - One of the important purposes of hydrology is to ensure water supply in
accordance with the quality criteria for agricultural, industrial, and drinking
water uses. The groundwater is the main source of water supply in arid and semi
arid regions. This study was conducted to evaluate factors regulating groundwater
quality in Rafsanjan plain. A total of 1040 groundwater samples randomly were
collected from different areas of Rafsanjan. Then, each sample was analyzed for
the major ions based on standard methods. The pH, SAR, EC, and TDS parameters and
concentrations of Ca2+, Mg2+, and Na+ cations, and Cl-, [Formula: see text],
[Formula: see text] and [Formula: see text] anions were measured. Also boron
concentration in each sample was determined. Although, maximum and minimum values
of EC and TDS linked to the Anar-Beyaz area and Eastern Urban, respectively,
irrigation water EC condition, however, was critical in the study areas. The pH
value in Western Urban was higher than the other areas, and its value for Anar
Beyaz area was lower than the other areas, but pH value is at the optimal level
in all the study areas. The results showed that hazard state with respect to Mg
was critical except in Koshkoueiyeh and Anar-Beyaz areas, that these areas are
marginal for irrigation use with little harm with reference to Mg. From the
results, it was concluded that the status of boron concentration in study areas
was critical. According to the hydrochemistry diagrams, the main groundwater type
in different study areas was NaCl. Groundwater quality was not appropriate for
drinking usage, and its status for agricultural practices was unsuitable in these
areas.
PMID- 26550059
TI - Role of gammadelta T Cells in Lung Inflammation.
AB - The resident population of gammadelta T cells in the normal lung is small but
during lung inflammation, gammadelta T cells can increase dramatically.
Histological analysis reveals diverse interactions between gammadelta T cells and
other pulmonary leukocytes. Studies in animal models show that gammadelta T cells
play a role in allergic lung inflammation where they can protect normal lung
function, that they also are capable of resolving infection-induced pulmonary
inflammation, and that they can help preventing pulmonary fibrosis. Lung
inflammation threatens vital lung functions. Protection of the lung tissues and
their functions during inflammation is the net-effect of opposing influences of
specialized subsets of gammadelta T cells as well as interactions of these cells
with other pulmonary leukocytes.
PMID- 26550060
TI - A Systematic Review of Loneliness and Common Chronic Physical Conditions in
Adults.
AB - Loneliness is a prevalent and global problem for adult populations and has been
linked to multiple chronic conditions in quantitative studies. This paper
presents a systematic review of quantitative studies that examined the links
between loneliness and common chronic conditions including: heart disease,
hypertension, stroke, lung disease, and metabolic disorders. A comprehensive
literature search process guided by the PRISMA statement led to the inclusion of
33 articles that measure loneliness in chronic illness populations. Loneliness is
a significant biopsychosocial stressor that is prevalent in adults with heart
disease, hypertension, stroke, and lung disease. The relationships among
loneliness, obesity, and metabolic disorders are understudied but current
research indicates that loneliness is associated with obesity and with
psychological stress in obese persons. Limited interventions have demonstrated
long-term effectiveness for reducing loneliness in adults with these same chronic
conditions. Future longitudinal randomized trials that enhance knowledge of how
diminishing loneliness can lead to improved health outcomes in persons with
common chronic conditions would continue to build evidence to support the
translation of findings to recommendations for clinical care.
PMID- 26550062
TI - Proposal of ecographic classification for seroma after laparoscopic ventral
hernia repair.
AB - INTRODUCTION: Seroma is one of the most common complications after laparoscopic
ventral hernia repair (LVHR), even if the incidence brought in literature is
varying because definition and criterions of evaluation employed in the different
studies are not always the same. This study proposes a classification for seroma
after LVHR based on ultrasound findings, useful for an assessment of this
complication. MATERIALS AND METHODS: On 93 patients submitted to LVHR an
ultrasound of the abdominal wall after 3, 7, 15, 21 and 28 days and subsequently
at a distance of 3 and 6 months was performed postoperatively. At each control
site, sonomorphology characteristics and size of seroma (if present) were noted.
RESULTS: At the end of the study using ultrasound findings obtained, a
classification scheme for seroma articulated into three groups based on the
parameters detected (site, sonomorphology character and volume) was developed,
each of which is subdivided into five different classes to which a precise score
is assigned. From the sum of the scores assigned, a value (between 3 and 15) that
represents a prognostic index (PI) is obtained. A low PI is typical of small
asymptomatic seroma that resolves spontaneously in a short time and without the
need for invasive therapies; a high PI is typical of more or less symptomatic
voluminous seroma that tends to persist for long periods and which often requires
an interventional therapeutic approach. CONCLUSIONS: This proposed classification
helps to perform a precise nosological assessment of seroma after LVHR, allowing
the surgeon to predict the clinical and temporal evolution of this complication
and to plan appropriate therapy from time to time. Furthermore this
classification can represent a tool to assess the uniqueness of seroma formation
in relation to surgical technique used, to the type of material employed and to
the method of mesh fixing.
PMID- 26550063
TI - Ultrasound in obstructive lung diseases: the effect of airway obstruction on
diaphragm kinetics. A short pictorial essay.
AB - The ultrasound study of the chest is showing a continuous development. This
technique could be helpful in managing several chest diseases, but it is limited
to the acoustic windows provided by intercostal spaces and by the inability to
study healthy lung parenchyma and all intra-parenchymal diseases such as chronic
obstructive lung disease (COPD), because the interaction between ventilated lung
and ultrasound generates only artifacts. Currently, there are few applications of
ultrasound that are useful in COPD, with recent studies providing some innovation
potentially useful in clinical practice. The similarity of the trend between the
time/volume curve of spirometry and the M-mode representation of diaphragm during
forced breath allowed to identify the M-mode Index of Obstruction (MIO), an index
obtained from the ratio between forced diaphragmatic excursion in the first
second (FEDE1, cm) and the maximal expiratory diaphragmatic excursion (EDEMax,
cm). MIO has shown a linear correlation with the ratio between forced expiratory
volume in the first second (FEV1) and vital capacity (VC), used in spirometry to
identify airways obstruction. The value of MIO seems to be lower in patients
affected by airways obstruction as showed by a recent study. The technique is
easy to learn and fast to perform and the analysis could be provided with any
ultrasound machine equipped with M-mode. In conclusion, these findings, if
confirmed by other studies, could suggest a new add-on screening tool for
obstructive lung diseases, in particular COPD, that could be performed during a
routine abdominal ultrasound exam.
PMID- 26550064
TI - Ultrasound in newborns and children suffering from non-traumatic acute abdominal
pain: imaging with clinical and surgical correlation.
AB - The purpose of this article is to review ultrasonographic appearance of the most
common causes of non-traumatic acute abdominal pain in pediatric patients and to
understand the applications and limitations of this technique giving a practical
approach showing different clinical cases. A pictorial review of cases was made
presenting the most common causes of neonatal and pediatric non-traumatic acute
abdominal pain; sonographic features are discussed. Ultrasound in conjunction
with Color Doppler imaging is a valuable tool in the evaluation of neonatal and
pediatric non-traumatic acute abdominal pain; causes of acute abdomen in children
could vary depending on the ages of the children.
PMID- 26550065
TI - Neck schwannoma diagnosed by core needle biopsy: a case report.
AB - Here we present a case of a 58 year old man referred to our hospital to undergo
neck and thyroid ultrasonography (US) following palpable neck mass. US revealed a
solid hypoechoic nodule in right thyroid lobe, and a solid lesion on the right
laterocervical neck region with ultrasound suspicious features of neoplastic
lymph node. In order to achieve a diagnosis of the neck mass and to get a proper
evaluation of the thyroid nodule, we decided to perform a fine-needle aspiration
(FNA) of both lesions. At cytopathologic examination the thyroid nodule appeared
as benign, while cytologic sampling of the neck lesion was inadequate for a
proper evaluation. Thus, we performed core needle biopsy (CNB) of the neck lesion
like recently proposed for thyroid lesions; also, to definitively exclude
malignancy of thyroid nodule, this also underwent CNB. Histologic report of CNB
confirmed benign thyroid nodule, while the neck lesion revealed a proliferation
of neuronal type consistent with schwannoma. The patient has been addressed to
clinical and ultrasonographic follow-up. CNB appears as a safe and minimally
invasive approach to diagnose indeterminate neck masses and avoid unnecessary
diagnostic surgery.
PMID- 26550066
TI - A rare case of sigmoid colon obstruction in patient with ulcerative colitis: role
of transabdominal ultrasound-guided biopsy.
AB - INTRODUCTION: Endometriosis is a common chronic gynaecological disease affecting
10 % of women of reproductive age. Of these 5-12 % may present bowel
endometriosis that may be asymptomatic or associated with aspecific symptoms even
bowel obstruction. CASE PRESENTATION: The case of a 41-year-old woman with
history of ulcerative colitis, previous diagnosis of ovarian endometriosis,
recurrent abdominal pain not related to the menstrual cycle, with abdominal pain
and obstinate constipation for 2 weeks was referred. The patient underwent
colonoscopy, transabdominal ultrasound and ultrasound-guided fine-needle biopsy
to have a diagnosis. DISCUSSION: Endometriosis should be considered in the
differential diagnosis of every woman of childbearing age who presents with
gastrointestinal or abdominal symptoms. As demonstrated in our case and by the
burgeoning literature in this field, we believe that the role of transabdominal
ultrasound should be reconsidered in the management of abdominal diseases because
this examination associated with ultrasound-guided fine-needle biopsy allows, in
expert hands, to obtain adequate histological samples avoiding patients to
undergo more invasive tests to get a diagnosis.
PMID- 26550067
TI - Accidental ultrasound finding of a big asymptomatic intestinal leiomyoma in an
anticoagulated patient with macrohematuria.
PMID- 26550068
TI - Ultrasound-guided percutaneous cholecystostomy in acute cholecystitis: case
vignette and review of the technique.
AB - Acute cholecystitis is a frequent condition. Although cholecystectomy is the
indicated treatment of this entity, it cannot be performed in some high-risk
surgery patients, such as critically ill or those with multiple comorbidities. In
these non-uncommon scenarios, percutaneous cholecystostomy is the recommended
alternative treatment, which allows immediate decompression and drainage of the
acutely inflamed gallbladder and thus reducing the patient's symptoms and the
systemic inflammatory response. Ultrasound is the imaging method of choice to
guide the percutaneous cholecystostomy procedure due to its real-time guidance,
lack of ionizing radiation and portability, avoiding the need to transfer
unhealthy patients to the radiology department. We will review the ultrasound
guided percutaneous cholecystostomy procedure, of special interest for
radiologists, surgeons, and also intensive care and emergency physicians.
PMID- 26550069
TI - Acute acalculous cholecystitis and cardiovascular disease: a land of confusion.
AB - Acute acalculous cholecystitis (AAC) can be defined as acute inflammatory disease
of the gallbladder without evidence of gallstones. The first case was reported in
1844 by Duncan et al.; however, some cases may have been missed previously in
view of the complexity of the diagnosis. Several risk factors have been
identified, and cardiovascular disease (CVD), in view of its multiple mechanisms
of action, seems to play a key role. Atypical clinical onset, paucity of
symptoms, overlap with comorbidities, and lack of robust, controlled trials
result often in under or misdiagnosed cases. Moreover, laboratory results may be
negative or not specific in the late stage of the disease, when a surgical
treatment cannot be longer helpful if complications arise. A rapid diagnosis is
therefore essential to achieve a prompt treatment and to avoid further clinical
deterioration. In this short review, we would present the current evidence
regarding epidemiology, pathophysiology, and clinical presentation of the complex
relation between AAC and CVD. Then, we fully emphasize the role of ultrasound to
achieve an early diagnosis and an appropriate treatment in suspected cases,
reducing mortality and complications rates.
PMID- 26550070
TI - Positive impact of elastography in breast cancer diagnosis: an institutional
experience.
AB - Elastography (ES) is a technique that, when associated with traditional B mode
ultrasound (US), allows the degree of elasticity of tissue to be evaluated
according to a color scale system. The aims of the study were to compare the
diagnostic characteristics of two widely used techniques adopted in breast cancer
screening; US and color Doppler (CD), with those of the same two techniques plus
ES, and assessment of the same diagnostic characteristics when the three methods
were applied to lesions < or >1 cm. Methods used included subjecting 212 women to
investigations aimed at the early diagnosis of breast cancer outside the
screening model, whereby 395 lesions were detected by US, ES, and CD, with a
definitive diagnosis proved by histological exam. The diagnostic performance of
US, ES, CD, and their combinations was calculated. The results showed that
comparing the diagnostic characteristics of the three methods with reference to
the definitive histological results for malignant breast lesions, the best
diagnostic accuracy was obtained when US, ES, and CD were combined (0.837). For
lesions <1 cm, diagnostic accuracy was 0.782, and for those >1 cm, it was 0.886.
In the lesions <1 cm, which were more difficult to study, a positive ES score
(>4) appeared to be sufficient to deepen the diagnosis, even though 35 % of the
ES or US positive lesions were not malignant. By contrast, in lesions >1 cm, the
probability of having a malignant lesion when all three tests were positive was
very high (97 %). It was concluded that early diagnosis is a key factor in breast
cancer, so an economically sustainable, non-invasive pathway is the target of
diagnostic breast imaging.
PMID- 26550071
TI - Role of US in evaluating breast implant integrity.
AB - PURPOSE: To assess the diagnostic accuracy and inter-observer variability of
ultrasound (US) in recognizing signs of intra or extra-capsular rupture of
silicone breast implants by using the magnetic resonance imaging (MRI) findings
as the reference standard. METHODS: 150 patients for a total of 300 implants
underwent breast US and subsequently MR examination searching for signs of intra
or extra-capsular rupture. Sensitivity, specificity, positive predictive value
(PPV), negative predictive value (NPV), and diagnostic accuracy were calculated
for breast US having MRI findings as the reference standard. Cohen's kappa
statistics was used in order to assess inter-observer agreement for US. RESULTS:
170/300 (57 %) implant ruptures were detected at US (intra-capsular n = 110,
extra-capsular n = 60). By comparing US findings with MR results, overall
sensitivity, specificity, accuracy, PPV, and NPV of 79, 63, 70, 65, and 77 %,
respectively, were found for breast US. In case of intra-capsular rupture,
sensitivity, specificity, accuracy, PPV, and NPV of 63, 63, 63, 45, and 77 %,
respectively, were obtained; 100 % values were found for extra-capsular rupture
US diagnosis. CONCLUSION: US can be used as the first examination in patients
with breast implants. US intra-capsular rupture detection requires further
evaluation by MRI; in case of extra-capsular rupture US diagnosis, surgical
implant removal could be proposed without further investigations.
PMID- 26550072
TI - Core needle biopsy of soft tissue tumors, CEUS vs US guided: a pilot study.
AB - PURPOSE: The purpose of this study was to evaluate the usefulness of contrast
enhanced ultrasonography (CEUS) in the bioptic sampling of soft tissue tumors
(STT) compared with unenhanced ultrasonography alone. METHODS: This is a
prospective longitudinal study of 40 patients subjected to ultrasonography (US)
guided core needle biopsy (CNB) to characterize a suspected STT. Three series of
bioptic samplings were carried out on each patient, respectively using unenhanced
US alone and CEUS in both the areas of the tumor enhanced or not by the contrast
medium. All bioptic samples underwent a histological evaluation and the results
were analyzed by comparing the histology of the biopsy with the definitive
diagnosis in 15 surgically excised samples. RESULTS: 27 (67.5 %) of the 40
patients completed the entire study procedure; in 19 cases (70.3 %) the three
bioptic samplings gave unanimous results, also when compared to the surgical
specimen; in seven cases (25.9 %) use of CEUS allowed to obtain additional or
more accurate information about the mass in question, compared to simple US
guidance without contrast; in one patient (3.7 %) sampling obtained using
unenhanced ultrasonography guidance and in the areas enhanced by the contrast
agent had precisely the same results of the surgical specimen. CONCLUSIONS: CEUS,
due to its ability to evaluate microvascular areas, has proven to be a promising
method in guiding bioptic sampling of soft tissue tumor, directing the needle to
the most significant areas of the tumor. Given the small number of patients
evaluated in our study, to achieve statistically significant results, it would be
appropriate to obtain a larger sample size, since the very first results seem to
be encouraging and to justify the increase of the population.
PMID- 26550074
TI - Joint effusion of the knee: potentialities and limitations of ultrasonography.
AB - PURPOSE: This study aimed at comparing the diagnostic accuracy of ultrasonography
(US) and magnetic resonance imaging (MRI) for the detection of joint effusion of
the knee. METHODS: For this retrospective study, approbation by the institutional
review board was not required, and written informed consent from the patients was
waived. One hundred and fifty-eight patients (83 men and 75 women; median age
41.2 years; age range 13-81 years) who underwent US and MRI of the knee were
included in the study. The sensitivity and specificity of US with respect to MRI
in the evaluation of the effusion of the knee and in each recess were compared.
RESULTS: In evaluating joint effusion of the knee, compared with MRI, US
correctly identified 78 of 96 patients with joint effusion, showing a sensitivity
of 81.3 % and a specificity of 100 %, with a positive predictive value (PPV) of
100 % and a negative predictive value (NPV) of 77.5 % (p value = 0.001). Various
results were obtained comparing ultrasound with MRI, regarding the various
recesses. CONCLUSION: US showed high specificity and sensitivity in diagnosing
knee joint effusion and could be used in patients who cannot undergo MRI.
PMID- 26550073
TI - Inferior vena cava collapsibility loses correlation with internal jugular vein
collapsibility during increased thoracic or intra-abdominal pressure.
AB - PURPOSE: Point-of-care ultrasound evaluates inferior vena cava (IVC) and internal
jugular vein (IJV) measurements to estimate intravascular volume status. The
reliability of the IVC and IJV collapsibility index during increased thoracic or
intra-abdominal pressure remains unclear. METHODS: Three phases of sonographic
scanning were performed: spontaneous breathing phase, increased thoracic pressure
phase via positive pressure ventilation (PPV) phase, and increased intra
abdominal pressure (IAP) phase via laparoscopic insufflation to 15 mmHg. IVC
measurements were done at 1-2 cm below the diaphragm and IJV measurements were
done at the level of the cricoid cartilage during a complete respiratory cycle.
Collapsibility index was calculated by (max diameter - min diameter)/max diameter
* 100 %. Chi square, t test, correlation procedure (CORR) and Fisher's exact
analyses were completed. RESULTS: A total of 144 scans of the IVC and IJV were
completed in 16 patients who underwent laparoscopic surgery. Mean age was 46 +/-
15 years, with 75 % female and 69 % African-American. IVC and IJV collapsibility
correlated in the setting of spontaneous breathing (r (2) = 0.86, p < 0.01). IVC
collapsibility had no correlation with the IJV in the setting of PPV (r (2) =
0.21, p = 0.52) or IAP (r (2) = 0.26, p = 0.42). Maximal IVC diameter was
significantly smaller during increased IAP (16.5 mm +/- 4.9) compared to
spontaneous breathing (20.6 mm +/- 4.8, p = 0.04) and PPV (21.8 mm +/- 5.6, p =
0.01). CONCLUSION: IJV and IVC collapsibility correlated during spontaneous
breathing but there was no statistically significant correlation during increased
thoracic or intra-abdominal pressure. Increased intra-abdominal pressure was
associated with a significant smaller maximal IVC diameter and cautions the
reliability of IVC diameter in clinical settings that are associated with intra
abdominal hypertension or abdominal compartment syndrome.
PMID- 26550075
TI - Breast hamartoma: ultrasound, elastosonographic, and mammographic features. Mini
pictorial essay.
AB - Hamartomas, also known as fibroadenolipomas, are rare, benign formations that can
develop in various organs, including the breast. They present clinically as a
soft, mobile nodular lesions and are generally asymptomatic. They may be
discovered incidentally during imaging studies performed for other reasons. Owing
to the increasingly widespread use of mammographic screening, the diagnosis of
breast hamartomas is on the rise. The masses are associated with specific
mammographic and sonographic features that reflect their diverse tissue
components. They also appear to present reproducible features on elastography.
This article reviews the typical features of breast hamartomas seen on these
three imaging modalities.
PMID- 26550076
TI - Fracture of the sesamoid bones of the thumb associated with volar plate injury:
ultrasound diagnosis.
AB - The fractures of sesamoid bones of the hand are rare, sometimes the diagnosis is
missed. We report a case of fracture of both the ulnar and radial sesamoids of
the metacarpophalangeal (MCP) joint of the thumb. The trauma (hyperextension of
the thumb during a soccer match) also caused a lesion of the volar plate. The
diagnosis was made by ultrasound (US) and confirmed by CT scan.
PMID- 26550077
TI - Muscle and fat mapping of the trunk: a case study.
AB - The following case study examines the muscle and fat thickness of the trunk in a
25-year-old, former collegiate gymnast. Previous studies have quantified total
and regional skeletal muscle mass using magnetic resonance imaging and muscle
volume and distribution using ultrasound. However, to the best of our knowledge,
the distribution and symmetry of skeletal muscle and subcutaneous adipose tissue
(AT) of the anterior and posterior trunk have never been investigated. Ultrasound
was used to identify skeletal muscle and AT thickness of 143 data points on the
anterior portion of the trunk and 140 data points on the posterior portion of the
trunk. Muscle thickness values in the anterior trunk ranged from 0.5 to 5.6 cm,
and muscle thickness of the posterior trunk ranged from 0.6 to 6.6 cm. Total
muscle volume of the trunk was 2935 and 4195 cm(3) for the anterior and posterior
portions, respectively. The total predicted muscle mass in the anterior and
posterior trunk was 7.4 kg. This case study begins to provide a picture of the
distribution and symmetry of skeletal muscle and AT of the trunk. Future studies
are necessary to confirm these findings and examine relationships among different
populations.
PMID- 26550078
TI - Diagnosis of abdominal mural aortic thrombus following discovery of common
femoral artery and vein thrombosis by point-of-care ultrasound.
AB - Acute limb ischemia (ALI) is a limb-threatening and life-threatening disease
process. Mural aortic thrombosis (MAT) is a rare cause of ALI. While there is
limited evidence on the use of bedside ultrasound for the detection of ALI or
MAT, duplex ultrasound remains the standard in the diagnosis and ultimate medical
decision-making in patients with acute and chronic limb ischemia. Point-of-care
ultrasound may be used in the evaluation of patients with signs and symptoms of
this disease entity. This is a case of a 79-year-old female with a complicated
medical history, who presented with a pulseless right leg and abdominal
tenderness. The patient quickly decompensated requiring intubation for airway
protection. A post-intubation arterial blood gas (ABG) was unsuccessfully
attempted in the right femoral artery, prompting an ultrasound-guided ABG. On B
mode ultrasound evaluation, echogenic material was visualized in the right common
femoral artery without evidence of Doppler flow signal. Additionally, a partially
obstructing echogenic material was also noted at the femoro-saphenous vein
junction with only partial compressibility by compression sonography. A computed
tomography angiography of the aorta was performed indicating extensive infrarenal
aortic thrombosis. The patient expired despite the relatively prompt diagnosis,
highlighting the importance of early identification of acute arterial occlusion.
PMID- 26550080
TI - Electrophysiological and anatomical background of the fusion configuration of
diastolic and presystolic Purkinje potentials in patients with verapamil
sensitive idiopathic left ventricular tachycardia.
AB - BACKGROUND: It is unclear whether false tendons (FTs) are a substantial part of
the reentry circuit of verapamil-sensitive idiopathic left ventricular
tachycardia (ILVT). This study aimed to prove the association between FTs and the
slow conduction zone by evaluating the electro-anatomical relationship between
the so-called diastolic Purkinje (Pd) potentials and FTs using an electro
anatomical mapping (EAM) system (CARTO). METHODS: The 1st protocol evaluated the
spatial distribution of Pd and presystolic Purkinje (Pp) potentials in 6 IVLT
patients using a conventional CARTO system. In the remaining 2 patients (2nd
protocol), the electro-anatomical relationship between the Pd-Pp fusion potential
and the septal connection of the FT was evaluated using an EAM system
incorporating an intra-cardiac echo (CARTO-Sound). RESULTS: Pd potentials were
observed in the posterior-posteroseptal region of the LV and had a slow
conduction property, whereas Pp potentials were widely distributed in the
interventricular (IV) septum. At the intersection of the 2 regions, which was
located in the mid-posteroseptal area, both Pd and Pp potentials were closely
spaced and often had a fused configuration. In the latter 2 patients (2nd
protocol), it was confirmed that the intra-cardiac points at which the Pd-Pp
fusion potential was recorded were located in the vicinity of the attachment site
of the FT to the IV septum. In all patients, ILVTs were successfully eliminated
by the application of radiofrequency at those points. CONCLUSION: FTs may at
least partly contribute to the formation of the Pd potential, and thus form a
critical part of the reentry circuit of ILVT.
PMID- 26550079
TI - Radiofrequency ablation for thyroid nodules: which indications? The first Italian
opinion statement.
PMID- 26550082
TI - Pace mapping in the atrium using bipolar electrograms from widely spaced
electrodes.
AB - BACKGROUND: Pace mapping is a useful tool but is of limited utility for the
atrium because of poor spatial resolution. We investigated the use of bipolar
electrograms recorded from widely spaced electrodes in order to improve the
resolution of pace mapping. METHODS: This prospective study included patients
undergoing a clinical electrophysiology study. Unipolar pacing from either the
superior or inferior lateral right atrium was performed to simulate atrial
tachycardia. Twelve-lead electrocardiograms were recorded during pacing as a
template. In addition, three intracardiac bipolar electrograms from a set of
widely spaced electrodes were also recorded. Subsequently, unipolar pacing was
performed from electrodes at known distances from the initial pacing site, and
the morphology of P waves in the electrocardiogram and bipolar electrograms were
compared with that of the template. Morphological comparison was performed by a
cardiologist and by automated computerized matching. Spatial resolution was
calculated as the minimum distance at which there was no match. RESULTS: Fifteen
patients participated in the study. Distance at which differences in morphology
were noted was smaller in the bipolar electrograms compared to that indicated by
P waves in the electrocardiogram, when matched by the cardiologist (6.1+/-3.8 mm
vs. 9.9+/-5.2 mm, p=0.012) or by automated analysis (4+/-0 mm vs. 9.9+/-4 mm,
p<0.001). CONCLUSIONS: Use of three bipolar electrograms recorded from a set of
widely spaced electrodes in the right atrium improves the resolution of pace
mapping compared to that using P waves from surface electrocardiograms alone.
PMID- 26550081
TI - Seasonal, weekly, and circadian distribution of ventricular fibrillation in
patients with J-wave syndrome from the J-PREVENT registry.
AB - BACKGROUND: Ventricular fibrillation (VF) in Brugada syndrome (BrS) is known to
occur more frequently during nighttime and from spring to early summer. In this
study, we investigated whether early repolarization syndrome (ERS) has the same
seasonal, weekly, and circadian distribution of VF events as BrS using data from
the "J-wave associated with prior cardiac event" (J-PREVENT) registry. METHODS:
The study included 90 consecutive patients with BrS and 31 patients with ERS
during a mean follow-up of 49+/-37 months. Follow-up data from implantable
cardioverter-defibrillators were evaluated in all cases. RESULTS: In patients
with ERS, the circadian distribution of VF episodes differed among the four 6-h
periods, with a significant peak from midnight to 6:00 am (p<0.01) similar to
that observed in BrS patients. However, VF occurred more frequently on weekends
in patients with ERS, whereas on weekdays in patients with BrS (p<0.01). The
months of peak VF occurrence also differed between the groups, with the frequency
of VF episodes at peak between December and March in ERS patients and between
March and June in BrS patients. In ERS patients, VF events had an inverse
correlation with air temperature (r=-0.726, p<0.01). CONCLUSIONS: ERS and BrS
patients show similar nighttime increases in the occurrence of VF, but different
seasonal and weekly distributions, suggesting a pathophysiological difference
between the two syndromes.
PMID- 26550083
TI - Prevention of immediate recurrence of atrial fibrillation with low-dose landiolol
after radiofrequency catheter ablation.
AB - BACKGROUND: Immediate recurrence of atrial fibrillation (AF) after radiofrequency
(RF) catheter ablation is commonly observed within 3 d after the procedure. The
mechanism and pharmacological management of immediate AF recurrence remain
unclear. METHODS: A total of 50 consecutive patients with paroxysmal AF were
randomized to receive either low-dose landiolol (landiolol group) or a placebo
(placebo group). In the landiolol group, intravenous landiolol (0.5 MUg kg(-1)
min(-1)) was administered for 3 d after AF ablation. RESULTS: No serious adverse
event associated with RF catheter ablation or landiolol administration was
observed. The prevalence of immediate AF recurrence (<=3 d after RF catheter
ablation) was significantly lower in the landiolol group than in the placebo
group (16% vs. 48%, p=0.015). Although the postprocedural change in heart rate
was significantly lower in the landiolol group compared to that in the placebo
group, the changes in blood pressure and body temperature were not different
between the two groups. Multiple logistic regression analysis revealed that
landiolol treatment was the only independent predictor of immediate AF recurrence
after ablation (odds ratio: 0.180; 95% confidence interval: 0.044-0.729;
p=0.016). CONCLUSIONS: Prophylactic administration of low-dose landiolol after AF
ablation may be effective and safe for preventing immediate AF recurrence within
3 d after AF ablation.
PMID- 26550085
TI - Usefulness of the wearable cardioverter defibrillator in patients in the early
post-myocardial infarction phase with high risk of sudden cardiac death: A single
center European experience.
AB - BACKGROUND: The effectiveness of the wearable cardioverter defibrillator (WCD)
therapy in early post-myocardial infarction (MI) patients remains uncertain.
METHODS: We analyzed the characteristics and outcomes of patients who received a
WCD in the early post-MI phase. RESULTS: Twenty-four patients were followed-up
for 8 months (range, 4-16 months). Two patients (8.3%) received appropriate
shocks. Left ventricular ejection fraction improved after the WCD therapy
(P<0.01). Fourteen patients (58%) received an implantable cardioverter
defibrillator at the end of the follow-up period. CONCLUSION: Early post-MI
patients at high risk of sudden cardiac death may benefit from WCD therapy.
PMID- 26550084
TI - Topographic variability of the left atrium and pulmonary veins assessed by 3D-CT
predicts the recurrence of atrial fibrillation after catheter ablation.
AB - BACKGROUND: Catheter ablation (CA) is an established therapy for atrial
fibrillation (AF). However, the assessment of anatomical information and
predictors of AF recurrence remain unclear. We investigated the relationship
between anatomical information on the left atrium (LA) and pulmonary veins (PVs)
from three-dimensional computed tomography images and the recurrence of AF after
CA. METHODS: Sixty-seven consecutive AF patients (mean age: 62+/-10 years, median
AF history: 42 (12; 60) months, mean LA size: 41+/-7 mm, paroxysmal: 56%)
underwent CA and were followed for 19+/-10 months. The segmented surface areas
(antral, posterior, septal, and lateral) and dimensions (between the anterior and
posterior walls, the right inferior PV and mitral annulus [MA], the right
superior PV and MA, the left superior PV and MA, and the mitral isthmus) of the
LA were evaluated three dimensionally using the NavX system. The cross-sectional
areas of the PVs were also evaluated. RESULTS: After the follow-up period, 49
patients (73%) remained free from AF. A multivariate analysis showed that the
diameter of the mitral isthmus and cross-sectional area of the right upper PV
were associated with AF recurrence (odds ratio: 1.070, CI: 1.02-1.12, p=0.001;
odds ratio: 0.41, CI: 0.21-0.77, p=0.006). CONCLUSION: Enlargement of the mitral
isthmus and a smaller right superior PV cross-sectional area were associated with
AF recurrence.
PMID- 26550086
TI - Clinical presentation and course of long QT syndrome in Thai children.
AB - BACKGROUND: Congenital long QT syndrome (LQTS) is a genetically transmitted
cardiac channelopathy that can lead to lethal arrhythmia and sudden cardiac death
in healthy young people. The clinical characteristics of LQTS are variable and
depend on the subtype of long QT syndrome, which differ among populations. This
single hospital-based case review study examined the clinical presentation of
long QT syndrome and the outcomes of its treatment in 20 Thai children at King
Chulalongkorn Memorial Hospital in Bangkok, Thailand. METHODS: Inpatient and
outpatient records of children (aged 0-14 years) diagnosed with long QT syndrome
from January 1, 1998, to September 30, 2013, were retrospectively reviewed.
Presentation at diagnosis, treatments, and clinical courses were collected and
analyzed. In the 20 subjects, total Schwartz scores totaled 5.2+/-0.9 points, and
mean age at diagnosis was 7.6+/-4.4 years (range, 1 day-13.8 years). The patients
were assigned to one of 3 groups based on trigger events: 50% of patients had
events at rest (sleep or at rest), 35% experienced adrenergic-mediated events
(e.g., stress, exercise, startle), and 15% were asymptomatic. Excluding the 3
patients who died at first presentation, 100% of patients received a beta
blocker, and 47.1% were treated with an automatic implantable cardioverter
defibrillator (AICD). RESULTS: At follow-up (median=959 days; range, 1-4170
days), 4 patients (20%) were known to have died, 3 of whom died shortly after the
diagnosis. Among patients who survived the initial event, 52.9% (9 of 17)
experienced cardiac events (appropriate AICD shock, death, and/or syncope) during
the follow-up period. The mean duration from diagnosis to cardiac event was
1420+/-759 days (range, 497-2499 days). CONCLUSIONS: All 20 patients with LQTS
were mostly symptomatic at presentation. Owing to the geographical region and
ethnicity of the Thai population, we conclude that the ratio of patients who
develop cardiac symptoms at rest or during sleep might be higher than in other
Asian countries.
PMID- 26550087
TI - Comparison of the effects of bepridil and aprindine for the prevention of atrial
fibrillation after cardiac and aortic surgery: A prospective randomized study.
AB - BACKGROUND: Approximately one-third of the patients undergoing cardiovascular
surgery reportedly experience paroxysmal atrial fibrillation (AF) during the
postoperative period. However, the usefulness of antiarrhythmic drugs for
preventing postoperative AF recurrence in the Japanese population has not been
extensively studied. METHODS: From a total of 118 patients who developed
postoperative paroxysmal AF between April 2009 and March 2011, 72 patients (45
men, mean age 68+/-8 years) requiring treatment for postoperative AF due to
symptoms lasting >=30 min were enrolled to prospectively investigate the efficacy
of oral bepridil (100 mg/day, n=37) or aprindine (40 mg/day, n=35). RESULT: The
AF recurrence-free survival rates at 1, 3, 7, and 14 days were 100%, 94%, 57%,
and 49%, respectively, in the aprindine group, and 100%, 97%, 86%, and 76%,
respectively, in the bepridil group (P=0.028, aprindine vs. bepridil).
CONCLUSION: Bepridil, at a fixed dose of 100 mg/day, was considered to be more
effective than a routine dose of aprindine for the prevention of postoperative AF
recurrence.
PMID- 26550088
TI - Usefulness of brain natriuretic peptide for predicting left atrial appendage
thrombus in patients with unanticoagulated nonvalvular persistent atrial
fibrillation.
AB - BACKGROUND: The CHADS2 scoring system is simple and widely accepted for
predicting thromboembolism in patients with nonvalvular atrial fibrillation
(NVAF). Although congestive heart failure (CHF) is a component of the CHADS2
score, the definition of CHF remains unclear. We previously reported that the
presence of CHF was a strong predictor of left atrial appendage (LAA) thrombus.
Therefore, the present study aimed to elucidate the relationship between LAA
thrombus and the brain natriuretic peptide (BNP) level in patients with
unanticoagulated NVAF. METHODS: The study included 524 consecutive patients with
NVAF who had undergone transesophageal echocardiography to detect intracardiac
thrombus before cardioversion between January 2006 and December 2008, at
Hiroshima City Asa Hospital. The exclusion criteria were as follows: paroxysmal
atrial fibrillation, unknown BNP levels, prothrombin time international
normalized ratio >=2.0, and hospitalization for systemic thromboembolism.
RESULTS: Receiver operating characteristic analysis yielded optimal plasma BNP
cut-off levels of 157.1 pg/mL (area under the curve, 0.91; p<0.01) and 251.2
pg/mL (area under the curve, 0.70; p<0.01) for identifying CHF and detecting LAA
thrombus, respectively. Multivariate analyses demonstrated that a BNP level
>251.2 pg/mL was an independent predictor of LAA thrombus (odds ratio, 3.51; 95%
confidence interval, 1.08-10.7; p=0.046). CONCLUSIONS: In patients with
unanticoagulated NVAF, a BNP level >251.2 pg/mL may be helpful for predicting the
incidence of LAA thrombus and may be used as a surrogate marker of CHF. The BNP
level is clinically useful for the risk stratification of systemic
thromboembolism in patients with unanticoagulated NVAF.
PMID- 26550090
TI - Coved-type ST-elevation during ablation of ischemic ventricular tachycardia.
AB - A coved-type electrocardiogram (ECG) change in Brugada syndrome is suggested to
be the result of abnormally delayed depolarization over the right ventricular
outflow tract; however, ischemia of the conus branch of the right coronary artery
presents the same ECG change. A 63-year-old man with a history of myocardial
infarction demonstrated a transient coved-type ECG change during catheter
ablation of ventricular tachycardia. The ECG change appeared during left
ventricular mapping without any chest symptoms, and recovered spontaneously. A
pilsicainide test was negative and a coved-type ECG did not appear during the
perioperative or follow-up period.
PMID- 26550089
TI - Right coronary artery perforation by an active-fixation atrial pacing lead
resulting in life-threatening tamponade.
AB - Cardiac tamponade resulting from perforation of a cardiac chamber is a relatively
rare complication of pacemaker implantation. We report the first case of
perforation of the right coronary artery related to the implantation of a screw
in atrial pacing lead, presenting as life-threatening cardiac tamponade. We
report the case of a 72-year-old woman with complete atrioventricular block and
dyspnea on exertion. A permanent pacemaker was implanted with bipolar Medtronic
active-fixation leads positioned in the right atrial appendage and at the right
ventricular basal septum without any difficulty. Approximately 3.5 h after the
procedure, the patient complained of nausea, and the systolic blood pressure
decreased to less than 60 mmHg. Echocardiography revealed a large pericardial
effusion. Because the effects of pericardiocentesis lasted for less than an hour,
the patient underwent a thoracotomy. After evacuation of a massive
hemopericardium, bright red blood was seen gushing out from the right coronary
artery, which was located on the opposite site of the right atrial appendage
where a small portion of the screw tip was observed to be penetrating the atrial
wall. The right coronary artery perforation was repaired using autologous
pericardium-reinforced 7-0 prolene mattress sutures. Perforation of the right
coronary artery is a potential complication and should be part of the
differential diagnosis of cardiac tamponade after pacemaker implantation.
PMID- 26550091
TI - A case of paroxysmal atrial fibrillation with a non-pulmonary vein trigger
identified by intravenous adenosine triphosphate infusion.
AB - A 54-year-old woman was referred to our institution with frequent chest
discomfort and was diagnosed with drug-refractory paroxysmal atrial fibrillation.
Radiofrequency catheter ablation (RFCA) was performed using a three-dimensional
electroanatomic mapping system. After completion of left and right
circumferential pulmonary vein isolation (CPVI), an intravenous bolus of
adenosine triphosphate (ATP, 20 mg) was administered to evaluate the electric
reconduction between the pulmonary vein (PV) and left atrium (LA). Although no PV
LA reconduction was observed, atrial fibrillation (AF) was reproducibly induced.
As the duration of AF was very short (<20 s), no further RFCA to the LA was
performed. One month later, the patient presented with frequent atrial
tachyarrhythmias (ATs), and RFCA was repeated. Although no electric reconduction
was observed in the left- or right-sided PVs, incessant ATs and AF were induced
after an intravenous bolus administration of ATP. The earliest atrial activation
site initiating ATs was consistently identified from electrodes positioned in the
superior vena cava (SVC), and both ATs and AF were no longer inducible after
electric isolation of the SVC. ATP-induced PV/non-PV ectopy may be a marker of
increased susceptibility to autonomic triggers of AF and could potentially
predict recurrent AF after CPVI.
PMID- 26550092
TI - Ablation of an atriofascicular accessory pathway with a zero-fluoroscopy
procedure.
AB - A 16-year-old patient with recurrent palpitations and documented left bundle
branch block superior axis wide complex tachycardia underwent an
electrophysiological study and ablation with a zero-fluoroscopy procedure. The
electrophysiological study showed a decremental antegrade conducting
atriofascicular pathway. Three-dimensional CARTO-guided mapping of the tricuspid
annulus in sinus rhythm was performed, and a distinct signal corresponding to the
accessory pathway potential of the atriofascicular pathway was found in the
posterolateral region. By using an SR0 sheath and a 4-mm-tip catheter,
radiofrequency application was delivered at this point on the annulus and
successfully eliminated conduction through the accessory pathway.
PMID- 26550093
TI - Permanent cardiac pacing in a patient with persistent left superior vena cava and
concomitant agenesis of the right-sided superior vena cava.
AB - Persistent left superior vena cava (PLSVC) can be incidentally detected during
pacemaker implantation from the left pectoral side. Optimal site pacing is
technically difficult, and lead stability of the right ventricle (RV) can lead to
such a situation. We describe a case of successful single-chamber pacemaker
implantation in a 76-year-old woman with a PLSVC and concomitant agenesis of the
right-sided superior vena cava, after failed attempts with the conventional
procedure. The pacemaker had been working well after 12 months of follow-up.
PMID- 26550094
TI - A case of dual atrioventricular nodal nonreentrant tachycardia: An unusual cause
of tachycardia-induced cardiomyopathy.
AB - We report on a 45-year-old female who developed cardiomyopathy due to incessant
dual atrioventricular nodal nonreentrant tachycardia. Her condition was
completely resolved by performing radiofrequency ablation of the slow pathway.
PMID- 26550095
TI - Successful implantable cardioverter-defibrillator implantation through a
communicating branch of the persistent left superior vena cava.
AB - A left pectoral dual chamber implantable cardioverter-defibrillator (ICD) was
successfully implanted through a small branch communicating between a persistent
left superior vena cava (PLSVC) and right-sided venous drainage with long
sheaths. Postprocedural computed tomography identified the communicating branch.
ICD lead implantation through a PLSVC is challenging and sometimes unsuccessful.
This case illustrates an alternative approach for ICD lead implantation in
patients with a PLSVC. A PLSVC system should be carefully inspected for any
communicating branches that can be utilized for lead implantation in order to
increase the chance of success and minimize the risk of complications.
PMID- 26550096
TI - Q wave and ST segment elevation in inferior leads: What is the diagnosis?
PMID- 26549982
TI - Measurements of the [Formula: see text][Formula: see text] production cross
sections in the [Formula: see text] channel in proton-proton collisions at
[Formula: see text] and [Formula: see text] and combined constraints on triple
gauge couplings.
AB - Measurements of the [Formula: see text][Formula: see text] production cross
sections in proton-proton collisions at center-of-mass energies of 7 and
8[Formula: see text] are presented. Candidate events for the leptonic decay mode
[Formula: see text], where [Formula: see text] denotes an electron or a muon, are
reconstructed and selected from data corresponding to an integrated luminosity of
5.1 (19.6)[Formula: see text] at 7 (8)[Formula: see text] collected with the CMS
experiment. The measured cross sections, [Formula: see text] at 7[Formula: see
text], and [Formula: see text] at 8[Formula: see text], are in good agreement
with the standard model predictions with next-to-leading-order accuracy. The
selected data are analyzed to search for anomalous triple gauge couplings
involving the [Formula: see text][Formula: see text] final state. In the absence
of any deviation from the standard model predictions, limits are set on the
relevant parameters. These limits are then combined with the previously published
CMS results for [Formula: see text][Formula: see text] in 4[Formula: see text]
final states, yielding the most stringent constraints on the anomalous couplings.
PMID- 26550097
TI - Preventing Relapse Following Smoking Cessation.
AB - Cigarette smoking is the leading cause of preventable deaths worldwide. Long-term
smoking cessation can drastically reduce people's risk for developing smoking
related disease. The research literature points to a need for clearer
operationalization and differentiation between smoking cessation and relapse
prevention interventions and outcomes. That said, extensive meta-analyses and
research studies have indicated that there are various efficacious smoking
interventions that can both support smoking cessation and relapse prevention
efforts. Specifically, behavioral treatments, relapse prevention psychotherapy,
pharmacologic interventions, motivational enhancement, smoking reduction to quit,
brief advice, alternative intervention modes (telephone, Internet, computer),
self-help, and tailored treatments can help prepare smokers for longer-term
abstinence. Although these methods vary on reach, they are relatively
efficacious, particularly in combined formats.
PMID- 26550098
TI - Seasonal variation in the distribution of daily stepping in 11-13 year old school
children.
AB - PURPOSE: Seasonality studies in adolescent's physical activity (PA) tend to
report total PA (e.g. steps/day) rather than more specific detail such as
steps/hour. This study compared the detailed changes in PA between seasons.
METHODS: Thirty three adolescents (baseline age 12.2 +/- 0.3y) wore the activPAL
activity monitor for 8 days on two occasions. RESULTS: Steps/day were higher in
summer (Mdn = 12,879) than winter (Mdn = 10,512), p<.001. Steps/hour were
significantly higher in summer compared to winter between 17:00 and 21:00 (p<.
044). No steps/day differences were found between boys and girls at either time
point (p>.05), however, boys had significantly higher step counts in summer
between '13:00-14:00' (p=.023), '19:00-20:00' (p=.032) and '20:00-21:00'
(p=.023). CONCLUSION: Total steps/day masked sex differences within specific
hours of the day, particularly evening times. Detailed daily patterns of PA are
required to fully understand differences between sexes and across seasons.
PMID- 26550101
TI - Fusion of a supernumerary tooth to right mandibular second molar: a case report
and literature review.
AB - Gemination or fusion is a rare occurrence in the mandibular posterior teeth. The
aim of this article is to describe the problems encountered and the strategy
employed in treating such cases. A 34 years old patient came with the complaint
of spontaneous and radiating pain in the right mandibular posterior region. The
tooth in concern was an anomalous 'double' second mandibular molar diagnosed as
having necrotic pulp with chronic apical abscess of endodontic origin. The
present case emphasizes the importance of identifying anatomical anomalies during
treatment of fused teeth with supernumerary tooth, and the need for the use of
advanced imaging modalities like CBCT which is a critical aid in the diagnosis of
such cases. Fused teeth can be managed quite efficiently by an overall combined
treatment including both endodontic and periodontal therapy.
PMID- 26550099
TI - Association between X-ray repair cross-complementing group 1 gene polymorphisms
and glioma risk: a systematic review and meta-analysis based on 22 case-control
studies.
AB - OBJECTIVES: Glioma is the most common central nervous system tumor. This
systematic review and meta-analysis is aimed to systematically assess the
association of XRCC1 polymorphisms with the risk of glioma. METHODS: Such
databases as EMbase, PubMed, The Cochrane Library, the China National Knowledge
Infrastructure (CNKI) platforms, VIP and WanFang were searched up to April 2015
to collect case-control studies of association between XRCC1 polymorphisms and
glioma. Data were extracted and meta-analysis was conducted by using Stata 12.0
softwares. RESULTS: A total of 22 studies were included in the meta-analysis,
including 18503 glioma patients and 24367 controls. The overall data indicated
that XRCC1 Arg194Trp (C>T) polymorphism significantly increased glioma risk
(allele C versus T: OR=0.72, 95% CI=0.55-0.93, CC versus TT: OR=0.55, 95% CI=0.46
0.67; CC versus CT+TT: OR=0.64, 95% CI=0.45-0.91 and CC+CT vs. TT: OR=0.61, 95%
CI=0.51-0.74), especially in Asia ethnicity. XRCC1 Arg280His (G>A) polymorphism
has no association with glioma (allele G versus A: OR=1.01, 95% CI=0.83-1.22; GG
versus AA: OR=1.07, 95% CI=0.66-1.75; GA versus AA: OR=1.01, 95% CI=0.77-1.32; GG
versus GA+AA: OR=1.01, 95% CI=0.84-1.22 and GG+GT versus AA: OR=1.06, 95% CI=0.67
1.69). XRCC1 Arg399Gln (G>A) polymorphism will significantly increase glioma risk
in Asian (allele G versus A: OR=0.78, 95% CI= 0.72-0.84; GG versus AA: OR=0.56,
95% CI=0.47-0.66; GA versus AA OR=0.71, 95% CI=0.59-0.84; GG versus GA+AA:
OR=0.76, 95% CI=0.68-0.84 and GG+GA vs. AA: OR=0.62, 95% CI=0.53-0.73) but not
Caucasian ethnicity. XRCC1 Pro161Leu (C>T), Leu387Leu (G>A), Pro602Thr (C>A),
Ser593Arg (C>G) and Glu491Lys (G>A) polymorphisms increased glioma risk in
different degrees. CONCLUSION: This meta-analysis suggested that XRCC1 Arg194Trp
and XRCC1 Arg399Gln (G>A) polymorphisms led to susceptibility to glioma in Asian
but not Caucasian population. XRCC1 Glu491Lys (G>A), Pro161Leu (C>T), Leu387Leu
(G>A), Pro602Thr (C>A), Thr304Ala (A>G) and Ser593Arg (C>G) polymorphisms will
increase glioma risk. However, XRCC1 Arg280His (G>A) is irrelevant to the
increased or decreased glioma risk.
PMID- 26550100
TI - Clinical efficacy of dexmedetomidine versus propofol in children undergoing
magnetic resonance imaging: a meta-analysis.
AB - Dexmedetomidine, as a sole or combinable sedative, has served in pediatric
sedation undergoing MRI. However, clinical effects of dexmedetomidine are still
controversial. This meta-analysis was to assess the effects between
dexmedetomidine and propofol in children undergoing MRI, especially outcomes and
adverse events of patients. Multiple Electronic Database searched including
MEDLINE, Embase and the Cochrane library, and updated to April 2015. All
statistical analysis utilized review manager to perform, the Cochrane
collaboration's software preparation and maintenance of Cochrane systematic
reviews. Five trials with a total of 337 patients were included. Compared with
propofol group, dexmedetomidine significantly increased the recovery time (WMD:
10.70 min; 95% CI: 4.26-17.13; P = 0.001). The duration of sedation did not
appear to decrease for the patients who received dexmedetomidine than for those
who received propofol (WMD: 19.96 min; 95% CI: -4.12-44.04; P = 0.1). There were
statistically significant increased in the pediatric anesthesia emergence
Delirium scores of 5-min after awakening (WMD: 2.40; 95% CI: 1.00 to 3.81; P =
0.0008) and 10-min after awakening (WMD: 3.06; 95% CI: 1.81 to 4.31; P < 0.00001)
in patients who were treated with dexmedetomidine than propofol. Improved the
prognosis of patients, nonetheless, dexmedetomidine must have an indispensable
role to undergoing pediatric MRI scanning. Compared with propofol, however,
dexmedetomidine did not induce the duration of sedation and might lead to a
longer recovery time.
PMID- 26550102
TI - Association between MMP-12-82A/G polymorphism and cancer risk: a meta-analysis.
AB - BACKGROUND: Numerous studies have focused on the association between MMP-12-82A>G
polymorphism and cancer risk, but produced inconsistent results. Therefore, we
performed a meta-analysis of case-control study to evaluate the association of
MMP-12-82A>G polymorphism and cancer risk. METHODS: A systematic literature
search was conducted among PubMed, Web of Science, Science Direct, China National
Knowledge Infrastructure (CNKI) and Wangfang databases updated on May 1st, 2015.
Crude odds ratios (ORs) with 95% confidence intervals (CIs) were used to evaluate
the strength of association between this polymorphism and cancer risk. RESULTS: A
total of seventeen case-control studies with 7,450 cases and 7,348 controls were
identified and analyzed. Overall, there was no statistically significant
association between MMP-12-82A>G polymorphism and increased risk of cancer under
all genetic models. Subgroup analysis by ethnicity observed that there is no
strong relationship between MMP-12-82A>G polymorphism and cancer risk among Asian
and European populations. Furthermore, stratified analysis based on the source of
control revealed no statistically significant association between MMP-12-82A>G
polymorphism and cancer risk either in hospital-based or population-based
studies. However, when we stratified analysis based on cancer type, significant
association was found in ovarian cancer, but not in other types of cancer.
CONCLUSION: This meta-analysis suggests that MMP-12-82A>G polymorphism is not
significantly associated with overall cancer risk. However, MMP-12-82A>G
polymorphism may increase the susceptibility to ovarian cancer.
PMID- 26550103
TI - CYP1A1 MspI polymorphism and susceptibility to lung cancer in the Chinese
population: an updated meta-analysis and review.
AB - BACKGROUND: Although many epidemiologic studies have investigated the CYP1A1 MspI
gene polymorphisms and their associations with lung cancer (LC), definite
conclusions cannot be drawn. OBJECTIVE: To clarify the effects of CYP1A1 MspI
polymorphisms on the risk of LC, an update meta-analysis was performed in only
Chinese population. METHODS: Related studies were identified from PubMed,
Springer Link, Ovid, Chinese Wanfang Data Knowledge Service Platform, Chinese
National Knowledge Infrastructure (CNKI), and Chinese Biology Medicine (CBM) till
October 2014. Pooled ORs and 95% CIs were used to assess the strength of the
associations. RESULTS: A total of 22 studies including 3016 LC cases and 3932
controls were involved in this meta-analysis. Overall, significant association
was found between CYP1A1 MspI polymorphism and LC risk when all studies in the
Chinese population pooled into this meta-analysis (CC vs. TT: OR = 1.42, 95% CI =
1.11-1.80; CT + CC vs. TT: OR = 1.26, 95% CI = 1.06-1.50; CC vs. CT + TT: OR =
1.30, 95% CI = 1.04-1.61; C vs. T: OR = 1.21, 95% CI = 1.07-1.37). In subgroup
analyses stratified by ethnicity and source of controls, significantly increased
risk was found in Chinese Han people and in population-based studies.
CONCLUSIONS: This meta-analysis provides the evidence that CYP1A1 MspI
polymorphism may contribute to the LC development in the Chinese population and
studies with large sample size and wider spectrum of population are warranted to
verify this finding.
PMID- 26550104
TI - Sphingosylphosphorylcholine in cancer progress.
AB - Sphingosylphosphorylcholine (SPC) is a naturally occurring bioactive sphingolipid
in blood plasma, metabolizing from the hydrolysis of the membrane sphingolipid.
It has been shown to exert multifunctional role in cell physiological regulation
either as an intracellular second messenger or as an extracellular agent through
G protein coupled receptors (GPCRs). Because of elevated levels of SPC in
malicious ascites of patients with cancer, the role of SPC in tumor progression
has prompted wide interest. The factor was reported to affect the proliferation
and/or migration of many cancer cells, including pancreatic cancer cells,
epithelial ovarian carcinoma cells, rat C6 glioma cells, neuroblastoma cells,
melanoma cells, and human leukemia cells. This review covers current knowledge of
the role of SPC in tumor.
PMID- 26550105
TI - Roles of antibody against oxygenized low density lipoprotein in atherosclerosis:
recent advances.
AB - Atherosclerosis is a chronic immune inflammatory disease. Atherosclerosis and
relevant disease are threatening human life and health. Oxygenized low density
lipoprotein (oxLDL) is a molecular basis in the pathogenesis of atherosclerosis
and able to induce inflammation, stimulate immune system and interfere with lipid
metabolism in the occurrence and development of atherosclerosis. Antibody against
oxLDL has been an important molecule in the immune related pathogenesis of
atherosclerosis. In available studies on atherosclerosis, antibody against oxLDL
has been a focus, but how oxLDL acts to affect the atherosclerosis and relevant
diseases, whether oxLDL is protective or detrimental, and whether oxLDL acts in
different ways at different stages of atherosclerosis are still unclear. This
paper focuses on the role of antibody against oxLDL in the atherosclerosis and
relevant diseases, and summarizes the advances in this field, aiming to provide
new clue and new methods for the therapy of atherosclerosis.
PMID- 26550106
TI - Sphingosine kinase 1/sphingosine 1-phosphate signalling pathway as a potential
therapeutic target of pulmonary hypertension.
AB - Pulmonary hypertension is characterized by extensive vascular remodelling,
leading to increased pulmonary vascular resistance and eventual death due to
right heart failure. The pathogenesis of pulmonary hypertension involves vascular
endothelial dysfunction and disordered vascular smooth muscle cell (VSMC)
proliferation and migration, but the exact processes remain unknown. Sphingosine
1-phosphate (S1P) is a bioactive lysophospholipid involved in a wide spectrum of
biological processes. S1P has been shown to regulate VSMC proliferation and
migration and vascular tension via a family of five S1P G-protein-coupled
receptors (S1P1-SIP5). S1P has been shown to have both a vasoconstrictive and
vasodilating effect. The S1P receptors S1P1 and S1P3 promote, while S1P2 inhibits
VSMC proliferation and migration in vitro in response to S1P. Moreover, it has
been reported recently that sphingosine kinase 1 and S1P2 inhibitors might be
useful therapeutic agents in the treatment of empirical pulmonary hypertension.
The sphingosine kinase 1/S1P signalling pathways may play a role in the
pathogenesis of pulmonary hypertension. Modulation of this pathway may offer
novel therapeutic strategies.
PMID- 26550107
TI - Effect of chewing gum on the postoperative recovery of gastrointestinal function.
AB - Postoperative gastrointestinal dysfunction remains a source of morbidity and the
major determinant of length of stay after abdominal operation. There are many
different reasons for postoperative gastrointestinal dysfunction such as stress
response, perioperative interventions, bowel manipulation and so on. The
mechanism of enhanced recovery from postoperative gastrointestinal dysfunction
with the help of chewing gum is believed to be the cephalic-vagal stimulation of
digestion which increases the promotability of neural and humoral factors that
act on different parts of the gastrointestinal tract. Recently, there were a
series of randomized controlled trials to confirm the role of chewing gum in the
recovery of gastrointestinal function. The results suggested that chewing gum
enhanced early recovery of bowel function following abdominal surgery expect the
gastrointestinal surgery. However, the effect of chewing gum in gastrointestinal
surgery was controversial.
PMID- 26550108
TI - Accidental infusion leakage at subgalea in infants: report of 6 cases.
AB - Infiltration remains the commonest iatrogenic injury within infants care. We
report a series of 6 infants affected by accidental infusion leakage occurring in
subgalea. They were applied wet-hot compresses by sterile gauze, and topically
administrated mucopolysaccharide polysulfate (MPS) cream following hot compress.
There was no skin impairment in all cases. Early recognition and appropriate care
for topical skin are essential to minimize the extent of accidental infusion
leakage.
PMID- 26550109
TI - Meta-analysis of magnetic resonance imaging for the differential diagnosis of
spinal degeneration.
AB - To systematically evaluate the clinical significance of magnetic resonance
imaging for the identification and diagnosis of spinal degenerative changes. We
searched Cochrane Library, PubMed, EMbase, CNKI, WanFang Data, Medalink, VIP and
CBM databases for clinical studies on the significance of magnetic resonance
imaging for the differential diagnosis of spinal degeneration; retrieval time was
from database building to October 2014. Two reviewers independently screened the
literature, extracted data and evaluated methodological quality according to the
inclusion and exclusion criteria. Meta-DiSc 1.4 software was used for meta
analysis. The study included six documents, 10 independent results and a total of
505 individuals. Meta-analysis showed that: In the present study, the efficacy of
magnetic resonance imaging in the differential diagnosis of cervical and lumbar
degeneration was firstly analyzed and discussed using the Meta-Disc 1.4 software.
SPE: chi(2) = 77.59, P = 0.000, I(2) = 88.4%; SEN: chi(2) = 167.25, P = 0.000,
I(2) = 94.6%; DOR: Cochran-Q = 71.64, P = 0.000. Meta-analysis of random effect
model showed that: SEN merge = 0.849 [95% CI (0.816,0.878)], SPE merge = 0.745
[95% CI (0.695, 0.792)], + LR = 2.735 [95% CI (1.600, -4.676)], - LR = 0.245 [95%
CI (0.122, -0.493)], DOR merge = 21.158 [95% CI (5.234, -85.529)], SROC AUC =
0.8698; the results had good stability. Then the efficacy of magnetic resonance
imaging in the differential diagnosis of cervical degeneration was analyzed and
the results showed that: SPE: chi(2) = 6.92, P = 0.075, I(2) = 56.6%; SEN: chi(2)
= 81.73, P = 0.000, I(2) = 96.3%; DOR: Cochran-Q = 12.71, P = 0.005. Meta
analysis of random effect model showed that: SEN merge = 0.799 [95% CI (0.741,
0.850)], SPE merge = 0.769 [95% CI (0.683, -0.840)], + LR = 2.506 [95% CI (1.399,
-4.489)], - LR = 0.363 [95% CI (0.149, -0.882)], DOR merge = 11.949 [95% CI
(2.195, -65.036)], SROC AUC = 0.8210. The stability was good. Finally, analysis
of six independent studies on the efficacy of magnetic resonance imaging in the
differential diagnosis of lumbar degeneration was performed: SPE: chi(2) = 70.13,
P = 0.000, I(2) = 92.9%; SEN: chi(2) = 78.35, P = 0.000, I(2) = 93.6%; DOR:
Cochran-Q = 58.04, P = 0.000. Meta-analysis of random effect model showed that:
SEN merge = 0.732 [95% CI (0.667, -0.791)] SPE merge = 0.883 [95% CI (0.843,
0.916)], + LR = 3.072 [95% CI (1.330, -7.091)], - LR = 0.190 [95% CI (0.063,
0.572)], DOR merge = 30.252 [95% CI (3.060, -299.13)], SROC AUC = 0.8994.
Sensitivity analysis was performed by excluding each study individually and the
results showed no significant changes in SEN and SPE merge, indicating good
stability of the meta-analysis. Existing studies confirm that MRI had good
sensitivity and specificity for the differential diagnosis of cervical and lumbar
degeneration; the positive ratio in cervical and lumbar degeneration group was 3
to 10 times of that in non-degeneration control group; the efficacy for
differential diagnosis was good; combined with the good maneuverability in
clinical diagnosis of spinal degeneration, it can be used as effective and
feasible method for clinical differential diagnosis of spinal degenerative
diseases.
PMID- 26550110
TI - The role of gene variants of the inflammatory markers CRP and TNF-alpha in
cardiovascular heart disease: systematic review and meta-analysis.
AB - It is widely acknowledged that cardiovascular heart disease (CHD) has a genetic
influence. Several studies have investigated the role of inflammatory markers
like C-reactive protein (CRP) and tumor necrosis factor alpha (TNF-alpha) in the
causation of cardiovascular diseases. Although there have been several positive
studies associating CRP and TNF-alpha genes with CHD, the evidence is not
entirely consistent. Therefore, we performed a meta-analysis to gain a better
understanding into this issue. The meta-analysis was conducted with 22 articles
of genetic association studies of CRP (G1059C rs1800947, C1444T rs1130864, C717T
rs2794521 and G3872A rs1205) and TNF-alpha (C857T rs1799724, C863A rs1800630 and
T1031C rs1799964) genes. To analyze the association of these variants with CHD we
used the following models: allelic, additive, dominant and recessive. In
addition, we performed a sub-group analysis by Caucasian population using the
same four models. CRP and TNF-alpha gene polymorphisms showed a positive
significant association with CHD. This study provides evidence that rs2794521 of
the CRP gene and rs1799724, rs1800630 and rs1799964 of the TNF-alpha gene
polymorphisms may be risk factors to manifest CHD. The analysis of rs1800947 and
rs1205 of the CRP gene yielded a protective effect in the pathogenesis of this
disease. Only the analysis of the rs1130864 polymorphism showed a lack of
association with CHD. To have conclusive outcomes it is necessary to integrate
more studies to confirm our findings.
PMID- 26550111
TI - Anticoagulant therapies versus heparin for the prevention of hemodialysis
catheter-related complications: systematic review and meta-analysis of
prospective randomized controlled trials.
AB - Locking of central venous catheters with heparin is an accepted practice to
maintain catheter patency between dialysis sessions. However, this practice may
cause other adverse reactions. Although many studies suggest benefits of other
catheter lock solutions over heparin on these grounds, no consensus has been
reached for clinical practice. A systematic review and meta-analysis was
performed of randomized controlled trials (RCT) that compared antimicrobial
containing or citrate-alone catheter lock solutions with heparin alone in
patients undergoing hemodialysis with central venous catheters. Medline, Cochrane
Central Register of Controlled Trials from EMBASE, and PubMed were searched for
articles published through June 2014. The primary outcomes were catheter-related
bacteremia (CRB) and catheter malfunction (CM). The secondary outcomes were
bleeding, exit-site infection (ESI), clinical sepsis, and all-cause mortality.
Seventeen RCTs met the inclusion criteria. The meta-analysis showed that
antimicrobial-containing and citrate-alone lock solutions were superior to
heparin for preventing CRB (both P < 0.01). Although antimicrobial-containing
lock solutions significantly affected clinical sepsis (P < 0.01), they did not
affect ESI, bleeding, or all-cause mortality. Incidence of CM episodes was lower
in patients receiving antibiotics + heparin and gentamicin + citrate (both P <
0.05), while other antimicrobial-containing and citrate-alone lock solutions
showed no difference. Only citrate-alone lock solutions significantly decreased
bleeding and ESI episodes (both P < 0.05). Compared with heparin, antimicrobial
containing lock solutions more effectively prevent CRB and clinical sepsis.
Antibiotics + heparin and gentamicin + citrate solutions showing better
prevention of CM. Citrate-alone lock solutions result in fewer CRB, bleeding and
ESI episodes.
PMID- 26550112
TI - Polymorphisms in the intercellular adhesion molecule 1 gene and cancer risk: a
meta-analysis.
AB - OBJECTIVES: The correlation between intercellular adhesion molecule 1 (ICAM-1)
common polymorphisms (rs5498 A>G and rs3093030 C>T) and cancer susceptibility has
been explored in various ethnic groups and different cancer types; however, these
investigations have yielded contradictory results. To address the relationship
more precisely, we performed this meta-analysis. DESIGN AND METHODS: EmBase,
PubMed and China National Knowledge Infrastructure (CNKI) databases were searched
by two authors independently for eligible publications before April 8, 2015.
Random-effects or fixed-effects model was harnessed to calculate the pooled odds
ratios (ORs) and 95% confidence intervals (CIs) when appropriate. RESULTS: The
result suggested that the ICAM-1 rs5498 A>G polymorphism is not associated with
cancer susceptibility in overall cancer. In a stratified analysis by ethnicity, a
significant increased cancer risk was identified among Asians, but the inverse
association was found among Caucasians. In a stratified analysis by cancer type,
ICAM-1 rs5498 A>G polymorphism was associated with a significantly increased risk
of oral cancer, but with protection from colorectal cancer and melanoma. ICAM-1
rs3093030 C>T polymorphism is not correlated with cancer susceptibility.
CONCLUSIONS: In summary, this meta-analysis highlights that the ICAM-1 rs5498 A>G
polymorphism probably contributes to decreased susceptibility to cancer,
especially in Caucasians, in melanoma and colorectal cancer subgroup, but it may
be a risk factor for oral cancer and Asians.
PMID- 26550113
TI - IL-6/STAT3/SOCS3 signaling pathway playing a regulatory role in ulcerative
colitis carcinogenesis.
AB - OBJECTIVE: Large-scale clinical studies have shown that ulcerative colities were
related with colorectal cancer. In this study, animal model was established by
AOM/DSS method to explore the activation of IL-6-STAT3-SOCS3 signaling pathway
and the expression of pathway-related proteins in ulcerative colitis
carcinogenesis, in order to lay a foundation for exploring the regulation
mechanism of IL-6/STAT3/SOCS3 signaling pathway in ulcerative colitis
carcinogenesis. METHOD: AOM/DSS modeling method was used to establish animal
models of ulcerative colitis carcinogenesis; colonic mucosa specimens were
collected at different time points for pathological examination.
Immunohistochemical method and western blot were used to detect the expression of
IL6, STAT3 and SOCS3 protein in the control group, UC model + empty vector group
and UC model + STAT3 knockout group. RESULTS: In UC model + empty vector group,
IL6 and STAT3 expression was increased as lesion degree increased (P < 0.05). The
expression of SOCS3 was weakened and the degree of activation decreased (P <
0.05). IL6 expression increased in UC model + STAT3 knockout group (P < 0.05)
while the expression of SOCS3 decreased; compared with the UC model + empty
vector group, there was a significant difference (P < 0.05). CONCLUSION: The
expression and activation of IL6 and STAT3 expression were enhanced in ulcerative
colitis carcinogenesis, and their expression increased with the lesion degree
increased, reflecting the disease progression to a certain extent. The expression
and activation of SOCS3 expression decreased. STAT3 had a certain effect on the
expression of SOCS3, playing a certain regulatory role in ulcerative colitis
carcinogenesis.
PMID- 26550114
TI - Up-regulation of miR-506 inhibits cell growth and disrupt the cell cycle by
targeting YAP in breast cancer cells.
AB - MicroRNAs (miRNAs) are a small class of non-coding RNAs that are extensively
deregulated in various cancers. They can act as either oncogenes or tumor
suppressor genes in human cancer. The purpose of this study was to investigate
the crucial role of miR-506 in breast cancer and to validate whether miR-506
could regulate proliferation of breast cancer cells by targeting YAP (Yes
associated protein) gene. Quantitative reverse transcription-polymerase chain
reaction (qRT-PCR) was used to quantify the expression levels of miR-506 in
breast cancer and adjacent non-cancerous breast tissues. To characterize the miR
506 function, MTT assays, colony formation assays, cell migration assays, cell
invasion assays and cell cycle assays were used. Finally, luciferase reporter
assays were performed to validate the regulation of a putative target of miR-506,
in corroboration with western blot assays. We found that expression of miR-506
was commonly down-regulated in breast cancer cells and breast cancer specimens
when compared with that in non-malignant breast epithelial cells and adjacent
normal tissues. Up-regulation of miR-506 inhibited cellular proliferation,
migration and invasion as well as disrupt the cell cycle of breast cancer cells.
Luciferase assays revealed that miR-506 directly bound to the 3'-untranslated
region (3'-UTR) of YAP. Western blot analysis verified that miR-506 regulated the
expression of YAP at the protein levels. These findings suggest that miR-506
exerts as a tumor suppressor in breast cancer and up-regulation of miR-506
expression inhibits cellular growth, cell migration and invasion as well as
disrupt the cell cycle by targeting YAP. Our study demonstrates that the miR
506/YAP axis may help us better understand the molecular mechanisms of breast
cancer progression.
PMID- 26550115
TI - Effects of interleukin 10 polymorphisms on the development of hepatitis B virus
infection: a systemic review and meta-analysis.
AB - Current opinion varies in the roles of the IL-10 polymorphisms in the process of
hepatitis B virus (HBV) infection. We have performed a systemic review and up
dated meta-analysis including 37 eligible case-control studies to summarize all
the available data on the association between IL-10 polymorphisms and development
of HBV infection. In the present study, we found that the IL-10-1082 G/A, -592
C/A polymorphisms were associated with a significantly decreased risk of chronic
HBV infection (AA + GA vs. GG: P = 0.003, OR = 0.55, 95% CI = 0.37-0.82; AA vs.
CA + CC: P = 0.03, OR = 0.83, 95% CI = 0.71-0.98). While the -819 C/T TT carriers
were associated with a borderline significantly decreased risk of chronic HBV
infection (TT vs. CT + CC: P = 0.05, OR = 0.82, 95% CI = 0.68-1.00). Significant
result was observed in the association between IL-10-1082 G/A polymorphism and
HBV clearance (AA vs. GG: P = 0.04, OR = 1.33, 95% CI = 1.01-1.75). In addition,
significant association was found between the -1082 G/A, -819 C/T polymorphisms
and an increased risk of progression of HBV infection from asymptomatic carrier
to chronic hepatitis B (AA + GA vs. GG: P = 0.0003, OR = 2.13, 95% CI = 1.41
3.22; TT + CT vs. CC: P = 0.005, OR = 1.53, 95% CI = 1.13-2.07), whereas the -592
C/A polymorphism was associated with a significantly decreased risk of
progression from asymptomatic carrier to hepatocellular carcinoma (AA vs. CC: P =
0.02, OR = 0.63, 95% CI = 0.43-0.92). Our meta-analysis suggested that the IL-10
polymorphisms might be associated with a decreased risk of chronic HBV infection,
while the -1082 AA carriers might be more likely to clear HBV following acute
infection. In addition, these three polymorphisms might cast in roles of the
progression of HBV infection.
PMID- 26550116
TI - Evaluation of activity of an estrogen-derivative as cardioprotector drug using an
ischemia-reperfusion injury model.
AB - Myocardial ischemia/reperfusion injury is a serious problem involved in
cardiovascular diseases. There data which indicate that some steroids induce
cardioprotective effects on myocardial ischemia-reperfusion injury; however their
activity and the molecular mechanism involved on myocardial ischemia-reperfusion
injury are very confusing. Therefore, in this study some estrogen derivatives
(compound 3 to 7) were synthesized with the objective of evaluating its activity
on myocardial ischemia/reperfusion injury using an isolated heart model.
Additionally, molecular mechanism involved in the activity exerted by the
compounds 3 to 7 on perfusion pressure and coronary resistance was evaluated by
measuring left ventricular pressure in absence or presence of following
compounds; prazosin, metoprolol, indomethacin and nifedipine. The results showed
that 7 reduce infarct size compared with the estrone and other estrogen
derivatives (compounds 3, 4, 5, and 6). Other results showed that 7 significantly
increase the perfusion pressure and coronary resistance in isolated heart in
comparison with estrone, 3, 4, 5, and 6. Finally, other data indicate that 7
increased the left ventricular pressure in a dose-dependent manner; however, this
phenomenon was significantly inhibited by nifedipine. In conclusion, all these
data suggest that 7 exert a cardioprotective effect through calcium channels
activation and consequently induce changes in the left ventricular pressure
levels. This phenomenon results in decrease of myocardial necrosis after ischemia
and reperfusion.
PMID- 26550117
TI - Prognostic value of melanoma cell adhesion molecule expression in cancers: a meta
analysis.
AB - Melanoma cell adhesion molecule (MACM) has been reported in many studies as a
novel bio-marker for its prognosis value in cancers. But the prognosis
significance of MACM expression in cancer remains inconclusive. Therefore, we
conducted a system review and meta-analysis to assess its prognosis value in
cancers. A systematic search through Pubmed, EMBASE and Cochran Library database
was conducted. Hazard Ratios (HRs) and 95% confidence intervals (CIs) were used
to evaluate the prognosis value of MACM expression. Eleven studies with 2657
cases were included after sorting out 462 articles for this meta-analysis. The
results of the fixed-model depending on the heterogeneity in studies demonstrated
that MACM expression was significantly associated with overall survival (OS) in
cancer (HR=2.84, 95% CI: 1.10-7.31, P<0.00001). Furthermore, subgroup analysis
indicated that high expressed MACM predicted a poor OS in both Asian (HR=2.52,
95% CI: 1.80-3.52, P<0.00001) and Caucasian (HR=2.40, 95% CI: 2.01-2.88,
P<0.00001). In conclusion, high expression of MACM was significantly associated
with a poor prognostic outcome in cancer. MACM can be regarded as a novel bio
marker in different types of cancers and can be used to evaluate the prognosis of
therapeutic effect during clinical practices.
PMID- 26550118
TI - Busulfan plus fludarabine compared with busulfan plus cyclophosphamide as a
conditioning regimen prior to hematopoietic stem cell transplantation in patients
with hematologic neoplasms: a meta-analysis.
AB - OBJECTIVES: The aim of the article is to critically appraise and synthesize
available evidence regarding the efficacy and regimen-related toxicity (RRT) of
Busulfan plus fludarabine (BuFlu) compared to busulfan plus cyclophosphamide
(BuCy) as a conditioning regimen, prior to allogeneic hematopoietic stem cell
transplantation (HSCT) in patients with hematologic neoplasms. METHODS: A meta
analysis was attempted on clinical controlled trials (CCTs), randomized or non
randomized controlled trials (RCTs or non-RCTs), comparing BuCy with BuFlu. We
did a systematic search of the indexed medical literature using appropriate
keywords to identify potentially relevant articles. The primary outcome of
interest was efficacy measured by overall survival (OS) and event-free survival
(EFS), acute graft-versus-host-disease (aGVHD). Chronic GVHD (extensive) and
other toxicity were secondary endpoints. A relative risk or risk ratio (RR) and
95% confidence interval (CI) was calculated for each outcome in the meta
analysis. RESULTS: Nine clinical controlled trials were included, of which 4
tries were RCTs involving 584 patients and the other 5 were non-RCTs involving
571 patients. The cumulative incidences of OS, EFS, acute graft-versus-host
disease (aGVHD) were not significantly different between the two regimens. The
non-relapse mortality was higher in BuCy but non-significant increment (RR=1.48,
95% CI: [0.97-2.26]). Liver related toxicity was significantly higher with BuCy
compared to BuFlu (RR=1.90, 95% CI: [1.00-3.61]). CONCLUSION: Liver related
toxicity is significantly lesser with BuFlu, but BuFlu regimen has no significant
benefits compared with BuCy in OS, EFS, aGVHD. For all this, the weight of
evidence favors BuFlu over BuCy as a first choice-conditioning regimen for
patients with hematologic neoplasms, especially for people who have poor liver
function.
PMID- 26550119
TI - Epithelial-mesenchymal transition and mesenchymal-epithelial transition response
during differentiation of growth-plate chondrocytes in endochondral ossification.
AB - For linear longitudinal bone elongation, the stem-like progenitor chondrocytes
distributed in resting zone (RZ) of growth plate have a capacity to differentiate
towards the spindle chondrocytes in proliferative zone (PZ), then towards the
columnar and tightly adjacent chondrocytes in hypertrophic zone (HZ). We
hypothesized this process of endochondral ossification with cells morphological
change was occurred along with the inter-conversion between epithelial to
mesenchymal cell types. Consistent with this hypothesis, our study demonstrated
the chondrocytes highly expressed mesenchymal-like biomarkers and loss of
epithelial surface markers in PZ, while converse in RZ and HZ of the growth plate
in mice distal tibia in vivo. To further determine these process and correlation
regulatory pathway, the 4-week old male and female mice were treated with
estradiol cypionate or oxandrolone, then investigated the response of epithelial-
and mesenchymal biomarkers, and demonstrated that estrogen blocked the EMT
process from RZ to PZ while androgen promoted MET from PZ to HZ. Our observations
supported the hypotheses that the growth plate firstly go through EMT from RZ to
PZ, then MET process from PZ to HZ during the epiphyseal fusion. Our results
could interpret the different roles of estrogen and androgen in growth plate
cartilage when endochondral ossification.
PMID- 26550120
TI - Angiogenesis and proliferation of bile duct enhances ischemic tolerance in rats
with cirrhosis.
AB - BACKGROUND/AIMS: Primary biliary cirrhosis (PBC), an autoimmune disease of the
liver, is marked by slow progressive destruction of bile ducts. These patients
with PBC often undergo orthotopic liver transplantation (OLT). Ischemic bile duct
lesion (IBDL) is a major source of morbidity and even mortality after OLT.
Cirrhosis of the liver has a higher tolerance to ischemia than a normal liver,
but the mechanism remains unknown. Angiogenesis and proliferation of bile duct
often responses in bile duct ischemia, which may enhance ischemic tolerance in
patients with cirrhosis. METHODOLOGY: To test the hypothesis, a rat model with
cirrhosis was established. Biochemical indexes of ischemic severity were measured
including total bilirubin (TBIL) and direct bilirubin (DBIL). Immunohistochemical
assay was performed for Ki67 (a biomarker for the proliferation of bile duct) and
CD34 (a biomarker of angiogenesis). RESULTS: The levels were lower for TBIL and
DBIL in the bile duct from rat model with cirrhosis than that from a normal rat
after ischemic surgery (P < 0.05). The levels were higher for Ki67 and CD34 from
a rat model with cirrhosis than that from a normal rat after ischemic surgery (P
< 0.05). CONCLUSIONS: The results suggest that a liver with cirrhosis has a
better ischemic tolerance than a normal liver. Angiogenesis and proliferation of
bile duct enhances ischemic tolerance in rats with cirrhosis. More research on
the pathogenesis of IBDLs is needed for developing more specific preventive or
therapeutic strategies.
PMID- 26550121
TI - Diagnostic performance of ADCs in different ROIs for breast lesions.
AB - OBJECTIVE: The purpose of this study was to explore the diagnostic performance of
apparent diffusion coefficient (ADC) values for breast lesions by different
measuring methods and find out the optimum measuring method. METHODS: ADCW-mean
and ADCW-min were obtained by whole-measurement method, while ADCmean and ADCmin
were extracted by spot-measurement method. Four ADCs were analyzed by One-way
ANOVA and Independent T-test. The diagnostic performances of these four ADCs were
calculated by receiver operating characteristics (ROC) curves and the area under
the curves (AUC) were compared through Z-test. RESULTS: For the whole-measurement
method, there were significant differences between malignant and benign lesions
(ADCW-mean=1.014+/-0.197 for malignant, ADCW-mean=1.650+/-0.348 for benign,
F=37.511, P<0.001; ADCW-min=0.627+/-0.144 for malignant, ADCW-min=1.245+/-0.290
for benign, F=41.446, P<0.001), as well as the spot-measurement method
(ADCmean=1.010+/-0.234 for malignant, ADCmean=1.648+/-0.392 for benign, F=34.580,
P<0.001; ADCmin=0.817+/-0.203 for malignant, ADCmin=1.411+/-0.357 for benign,
F=40.039, P<0.001). The optimal diagnostic threshold of ADCW-mean, ADCW-min,
ADCmean, and ADCmin values were 1.223*10(-3) mm(2)/s, 0.897*10(-3) mm(2)/s,
1.315*10(-3) mm(2)/s, and 1.111*10(-3) mm(2)/s, respectively. ROC curves
indicated that the AUC for ADCW-min (0.969) was statistically significant higher
than the AUC for ADCW-mean (0.940; Z=2.473, p=0.013), ADCmean (0.919; Z=3.691,
P=0.000), and ADCmin (0.928; Z=3.634, P=0.000). The AUC for ADCW-mean was also
significantly higher than the AUC for ADCmean (Z=2.863, P=0.004). CONCLUSION: The
results provided evidence that the most reliable and accurate value in
demonstrating the limitation of diffusion may be ADCW-min, and it has the highest
diagnostic value in distinguishing breast lesions from malignant to benign.
PMID- 26550122
TI - Protective effect of neovibsanin B on spatial cognitive functions of rats with
cerebrovascular hypoperfusion.
AB - Neovibsanins are believed to be promising candidates for the development of novel
therapeutic agents to treat neurological diseases like Alzheimer's disease. It
has been shown that chronic cerebral hypoperfusion is linked to neurodegenerative
disorders and their subsequent cognitive impairment. In the present study effect
of neovibsanin B (NVB) on spatial cognitive functions of rats with lobal
cerebrovascular hypoperfusion was investigated. The cerebrovascular hypoperfusion
rat model was prepared by bilateral common carotid arteries occlusion (2VO).
Morris water maze (MWM) test was employed to examine the effect of NVB on spatial
cognitive function before and after 2VO intervention. The animals were divided
into two groups; long-term memory (LTM) and short-term memory (STM) groups. Each
of the groups was subdivided into 3 subgroups: control, untreated and NVB treated
groups. After ten weeks of the surgery, all the subgroups were tested with MWM.
The results of working memory test for both control and NVB treated groups
revealed that escape latency time and total distance travelled were significantly
lower compared to untreated group. Similarly, the maze test performance was
observed to be significantly improved for control and NVB treated groups.
Moreover, the probe memory test performance for control and NVB treated groups
was markedly better than untreated group. Thus NVB has a significant effect on
the spatial cognitive preservation in rats with chronic cerebral hypoperfusion.
Thus NVB can be a promising agent for the spatial cognitive functions
improvement.
PMID- 26550124
TI - Comparison of clinical and radiological outcomes after automated open lumbar
discectomy and conventional microdiscectomy: a prospective randomized trial.
AB - OBJECTIVE: Microdiscectomy (MD) is the gold standard for surgical discectomy. As
a minimally invasive discectomy, automated open lumbar discectomy (AOLD) is
designed to preserve annular integrity and disc height as well as effectively
remove herniated disc and degenerated disc material. However, there have been no
prospective clinical studies comparing their effectiveness. The study was
designed to compare clinical and radiological outcomes after AOLD with those of
MD. METHODS: Seventy-eight patients were evaluated for unilateral leg pain with
the presence of disc herniation on magnetic resonance imaging (MRI) scans at a
single attributable level. Sixty-two patients were enrolled; 33 patients (53%)
were randomly assigned to the AOLD group and the remaining 29 patients (47%) were
assigned to the MD group. Follow-up assessment was performed for 19 of the AOLD
patients and 17 of the MD patients. The average follow-up period was 20 months.
Clinical and functional outcomes were assessed using VAS and ODI scores. Change
of disc height (DH), instability, and disc degeneration were assessed from
radiographs, while Modic change and reherniation were assessed using MRI scans.
RESULTS: Postoperative VAS scores for leg pain and ODI scores for function were
significantly improved in both groups. Postoperative VAS for back pain tended to
decrease in the MD group but the decrease was statistically insignificant (P =
0.081). The postoperative VAS for back pain was significantly reduced in the AOLD
group (P = 0.012). Patients from the MD group showed greater DH reduction than
the AOLD group (P = 0.049). The MD group experienced greater disc degeneration
and Modic change than the AOLD group. Follow-up MRI revealed 2 cases of
reherniation in the AOLD group; 1 case was symptomatic, the other was
asymptomatic. CONCLUSIONS: AOLD showed comparable clinical and radiological
outcomes to conventional MD. AOLD preserves the central disc and removes only the
loose degenerative disc fragments that are the main cause of reherniation by
small annulotomy. Our results suggest that preservation of the central disc
prevents loss of disc height and segmental instability, which is related to
postdiscectomy back pain.
PMID- 26550125
TI - CD14 gene-159C/T polymorphism and coronary artery disease: a meta-analysis
involving 4467 subjects.
AB - BACKGROUND: The cluster of differentiation antigen 14 (CD14) gene-159C/T
polymorphism has been implied to be associated with coronary artery disease (CAD)
susceptibility. However, the separate studies results are still conflicting
between each other. OBJECTIVE AND METHODS: To investigate the relationship
between CD14 gene-159C/T polymorphism and CAD, a meta-analysis including 4467
subjects from 7 individual studies was performed. The random or fixed effect
models were used to evaluate the pooled odds ratios (ORs) and their corresponding
95% confidence intervals. RESULTS: There was a significant association between
CD14 gene -159C/T polymorphism and CAD in the whole population under allelic (OR:
1.280, 95% CI: 1.000-1.630, P=0.05), recessive (OR: 1.760, 95% CI: 1.120-2.750,
P=0.01), homozygous (OR: 1.693, 95% CI: 1.008-2.843, P=0.046), and additive
genetic models (OR: 1.278, 95% CI: 1.000-1.633, P=0.050). No significant
association was found between them under dominant (OR: 0.580, 95% CI: 0.310
1.110, P=0.10) and heterozygous genetic models (OR: 1.334, 95% CI: 0.870-2.045,
P=0.186). In the subgroup analysis, a significant association was detected in
Chinese population (P<0.05), while there was no significant association in the
Caucasian subgroup (P>0.05). CONCLUSIONS: CD14 gene -159C/T polymorphism was
significantly associated with CAD susceptibility, particularly in the Chinese
population. The person with T allele of CD14 gene -159C/T polymorphism might
predispose to CAD. There was no distinct association between them in the
Caucasian subgroup.
PMID- 26550123
TI - Efficacy of dexmedetomidine on postoperative nausea and vomiting: a meta-analysis
of randomized controlled trials.
AB - PURPOSE: Postoperative nausea and vomiting (PONV) is a frequent complication in
postoperative period. The aim of the current meta-analysis was to assess the
efficacy of dexmedetomidine on PONV. METHODS: Two researchers independently
searched PubMed, Embase and Cochrane Central Register of Controlled Trials for
randomized controlled trials (RCTs). The meta-analysis was performed with Review
Manager. RESULTS: Eighty-two trials with 6,480 patients were included in this
meta-analysis. Dexmedetomidine reduced postoperative nausea (Risk Ratio (RR) =
0.61, 95% confidence interval (CI): 0.50 to 0.73) and vomiting (RR = 0.48, 95%
CI: 0.36 to 0.64) compared with placebo, with an effective dose of 0.5 ug/kg (RR
= 0.46, 95% CI: 0.34 to 0.62) and 1.0 ug/kg (RR = 0.29, 95% CI: 0.12 to 0.75),
respectively. The antiemetic effect can only be achieved intravenously, not
epidurally or intrathecally. The efficacy of dexmedetomidine was similar to that
of widely used agents, such as propofol, midazolam etc., but better than opioid
analgesics. Moreover, application of dexmedetomidine reduced intraoperative
requirement of fentanyl (Standard Mean Difference = -1.91, 95% CI: -3.20 to
0.62). CONCLUSIONS: The present meta-analysis indicates that dexmedetomidine
shows superiority to placebo, but not to all other anesthetic agents on PONV. And
this efficacy may be related to a reduced consumption of intraoperative opioids.
PMID- 26550126
TI - Screening feature genes of lung carcinoma with DNA microarray analysis.
AB - Lung carcinoma is the most common and aggressive malignant tumor with poor
clinical outcome. Identification of new marker of lung cancer is essential for
the diagnosis and prognosis of the disease. To identify differentially expressed
genes (DEGs) and find associated pathways that may function as targets of lung
cancer. Gene expression profiling of GSE40791 were downloaded from GEO (Gene
Expression Omnibus), including 100 normal specimens and 94 lung cancer samples.
The DEGs were screened out by LIMMA package in R language. Besides, novel genes
associated with lung cancer were identified by co-expression analysis. Then, GO
enrichment and transcription binding site analysis were performed on these DEGs,
and novel genes were predicted using DAVID. Finally, PPI network was constructed
by String software in order to get the hub codes involved in cancer carcinoma. A
total of 541 DEGs were filtered out between normal samples and patients with lung
carcinoma, including 155 up-regulated genes and 386 down-regulated genes.
Additionally, nine novel genes, CA4, CDC20, CHRDL1, DLGAP5, EMCN, GPM6A, NUSAP1,
S1PR1 and TCF21, were figured out. The transcription biding site analysis showed
that these genes were regulated by LHX3, HNF3B, CDP, HFH1, FOXO4, STAT, SOX5,
MEF2, FOXO3 and SRY. Hub codes as BUB1B, MAD2L and TOP2A may play as target genes
in lung carcinoma in the result of PPI network analysis. Newly predicted genes
and hub codes can perform as target genes for diagnose and clinical therapy of
lung cancer.
PMID- 26550127
TI - Impacts of fluorescent superparamagnetic iron oxide (SPIO)-labeled materials on
biological characteristics and osteogenesis of bone marrow mesenchymal stem cells
(BMSCs).
AB - The aim of this study was to investigate the impacts of fluorescent
superparamagnetic iron oxide particles (Molday ION Rhodamine B, MIRB) on
bioactivities and osteogenetic differentiation of rat bone marrow mesenchymal
stem cells (BMSCs). The Cell Counting Kit-8 (CCK-8) method was used to detect the
proliferation of superparamagnetic iron oxide (SPIO)-labeled BMSCs and observed
the distribution of MIRB in cells; real time -polymerase chain reaction (RT-PCR)
method was used to analyze the expressions of such osteogenesis-related genes as
bone sialoprotein, alkaline phosphatase (ALP), RUNX2, bonemorphogeneticprotein-2
(BMP-2), type 1 collagen (COL-1) and type 3 collagen (COL-3); ALP-Alizarin red
staining and poly-biochemical analyzer were used to qualitatively and
quantitatively analyze the osteogenetic metabolites. The labeled MIRB particles
distributed in the cytoplasm of BMSCs, the diameter of larger particles could be
up to several hundred nanometers, and concentrated around the nuclei, the
particles far away from the nuclei were smaller, but the labeled-cells' skeletons
and adherent morphology did not change significantly; under the concentration of
25 MUg Fe/mL of, MIRB did not affect cellular viabilities of BMSCs, but the gene
expressions of bone sialoprotein, ALP, RUNX2 and BMP-2 were decreased, and the
secretion amount of ALP and osteocalcin were also declined. MIRB would not affect
the proliferation and cell structures of BMSCs, but the SPIO particles aggregated
and formed larger granules around the nuclei, which might affect the osteogenesis
of BMSCs.
PMID- 26550129
TI - Accuracy and efficacy of osteotomy in total knee arthroplasty with patient
specific navigational template.
AB - This study develops and validates a novel patient-specific navigational template
for total knee arthroplasty (TKA). A total of 70 patients who underwent TKA were
randomized and divided into conventional method group and navigational template
group. In the navigational template group, the patient-specific navigational
templates were designed and used intraoperatively to assist 35 patients with knee
arthroplasty. Information on operation time and blood loss was recorded. After
surgery, the positions of the prosthesis were evaluated using CT scan and X-rays.
Analysis showed significant differences in errors between the two techniques. In
addition, mean operation time and mean blood loss were statistically and
significantly lower in the navigational template group than in the conventional
group. Overall, the navigational template method showed a high degree of accuracy
and efficacy.
PMID- 26550128
TI - Anti-tumor effect of RGD modified PTX loaded liposome on prostatic cancer.
AB - In this study, we report an active targeting liposomal formulation directed by a
novel peptide (RGD) that specifically binds to the integrins receptors
overexpressed on prostatic cancer cells. The objectives of this study were to
evaluate the in vitro and in vivo tumor drug targeting delivery of RGD modified
liposomes on PC-3 cells and DU145 cells. The uptake efficiency of RGD-LP was 5.2
times higher than that of LP on PC-3 cells. The uptake efficiency of RGD-LP was
3.2 times higher than that of LP on DU145 cells. The anti-proliferative activity
of RGD-LP-PTX against PC-3 cells and DU145 cells were much stronger compared to
that of LP-PTX and free PTX, respectively. The tumor spheroids experiment
revealed that RGD-LP-PTX was more efficaciously internalized into tumor spheroids
than LP in both PC-3 cells and DU145 cells. Compared to LP-PTX and free PTX, RGD
LP-PTX showed the greatest tumor growth inhibitory effect in vivo. In brief, the
RGD-LP may be an efficient targeting drug delivery system for prostatic cancer.
PMID- 26550130
TI - Single-agent bortezomib or bortezomib-based regimens as consolidation therapy
after autologous hematopoietic stem cell transplantation in multiple myeloma: a
meta-analysis of randomized controlled trials.
AB - The efficacy and safety of single-agent bortezomib or bortezomib-based regimens
as consolidation therapy after autologous hematopoietic stem cell transplantation
(ASCT) in patients with multiple myeloma (MM) has been in question. To address
the issue, we conducted a meta-analysis of two randomized double-blind placebo
controlled studies involving a total of 691 patients. The primary outcomes of
interest were progression-free survival (PFS) and response rate. Secondary
outcomes included overall survival (OS) and adverse events. There was a marked
benefit in 3-year PFS with bortezomib (Odds Ratio [OR] = 1.52, 95% confidence
interval [CI] = 1.11 to 2.08), whereas there was no difference in 3-year overall
survival (OS; OR = 0.91, 95% CI = 0.60 to 1.37). More bortezomib-treated paitents
achieved at least a very good partial response (>= VGPR) (OR = 1.73, 95% CI =
1.19 to 2.51). The rate of complete response or near-complete response (CR/nCR)
was significantly higher with bortezomib consolidation therapy (OR = 1.62, 95% CI
= 1.18 to 2.22). For adverse events, more patients in the bortezomib
consolidation therapy arm experienced peripheral neuropathy (OR = 4.03, 95% CI =
2.72 to 5.96). Significant differences were also seen with those experiencing
peripheral neuropathy greater than grade 2 (OR = 4.26, 95% CI = 1.06 to 17.11).
Based on these results, we conclude that single-agent bortezomib or bortezomib
based regimens as consolidation therapy after ASCT in patients with MM was
effective in the improvement of PFS and response rate. However, peripheral
neuropathy must be closely monitored.
PMID- 26550131
TI - Glucocorticoids offer protection against myocardial injury in a murine model of
sepsis.
AB - Sepsis is a serious infection-related complication that, in causing significant
inflammation, often leads to myocardial injury. Severe inflammation, including in
sepsis, is sometimes treated with exogenous glucocorticoids (GCs). Here, to
explore the potential effect of GCs to protect against myocardial injury, we
created a model of sepsis in rats by performing cecal ligation and puncture (CLP)
in 96 rats randomly divided into sham-operated control (N=32), untreated sepsis
(CLP, N=32), and GC-treated sepsis (N=32) groups. At 3, 6, 12, and 24 h after
surgery, the changes in cardiac hemodynamic indexes, serum inflammatory response
factor levels, and myocardial enzymes were measured, along with mitochondrial
membrane potential in myocardial cells, apoptosis of myocardial cells, and the
expression of nuclear factor kappa B (NF-kappaB p65) in myocardial tissues.
Pathological changes in myocardial cells were also observed. Compared to the sham
operated group, CLP rats experienced deterioration of left ventricular systolic
pressure (LVSP), left ventricular end-diastolic pressure (LVEDP), maximum rate of
left ventricular pressure rise (+dP/dtmax), and the maximum rate of left
ventricular pressure drop (-dP/dtmax). CLP rats also had a rise in serum tumor
necrosis factor-alpha (TNF-alpha), interleukin-6 (IL-6), C-reactive protein
(CRP), cardiac troponin I (cTnI), creatine kinase (CK), lactate dehydrogenase
(LDH), aspartate aminotransferase (AST), and NF-kappaB p65 in myocardial tissues.
The GCs-treated group had lower levels of these inflammatory response molecules
than the CLP group, with the exception of anti-inflammatory cytokine interleukin
10 (IL-10), which was higher in the GC-treated rats than the CLP group at each
time point post-surgery. Compared to the sham group, CLP rats had a rise in
myocardial cell apoptosis and a drop in mitochondrial membrane potential in
myocardial cells. In addition, GCs-treated rats had a marked drop in the
myocardial cell apoptosis rate and a rise in the mitochondrial membrane potential
compared to CLP rats. After intervention with GCs, the pathological changes in
heart tissues were also reduced compared to those in the sepsis group. Based on
these results, we conclude that exogenous GCs can inhibit a drop in myocardial
mitochondrial membrane potential and inhibit myocardial cell apoptosis by
blocking the activation of NF-kappaB, decreasing the generation of
proinflammatory cytokines, and relieving inflammatory injury in heart tissues.
PMID- 26550132
TI - Application research on three-dimensional ultrasonic skeletal imaging mode in
detecting fetal upper jaw bone.
AB - OBJECTIVE: To detect three-dimensional (3D) ultrasound appearance of fetal normal
and abnormal supermaxilla bone's anatomy using skeletal rendering mode, and to
compare the success rate of 3D images in different gestational age groups.
METHODS: Using three-dimensional ultrasound skeletal rendering mode of voluson
730 and voluson E8 ultrasound systems, the fetal supermaxilla bones were
reconstructed, the supermaxilla bones include two hundred and sixty-one cases
with the range from 12 to 40 gestaional weeks that were normal supermaxilla
proved by 2D ultrasound exam, three cases that were the specimens of fetal normal
supermaxilla, and eight cases that were abnormal supermaxilla. The normal
supermaxilla's imaging success rates of different gestational ages were
contrasted. RESULTS: The success rate of normal fetal supermaxilla bone's
formation and structure with the 3D image was 97.9% during the gestation of
12~15(+6) weeks, 96.0% of 16~21(+6) weeks, 98.4% of 22~27(+6) weeks, 68.6% of
28~35(+6) weeks, 27.5% of 36~40 weeks. Through the X(2) test, there was no
significant difference in the success rate of displaying among the gestation of
12~15(+6) weeks, 16~21(+6) weeks and 22~27(+6) weeks. The success rate during the
gestation of 36~40 weeks was the lowest among all the gestation. Big anatomic
structures of fetal supermaxilla in 3D images can be shown, but detail cannot.
The success rate of cleft palate with 3D image was 100% (8 cases). CONCLUSIONS:
3D ultrasound can supply more detailed and comprehensive information of fetal
supermaxilla bone. The better fit examine weeks for obtaining 3D images are
within 12~35(+6) weeks, the best fit examine weeks are within 16~27(+6) weeks.
The function of 3D skeletal rendering mode image can display cleft palate
clearly.
PMID- 26550133
TI - Peroxisome proliferator-activated receptor gamma (PPARG) polymorphisms and breast
cancer susceptibility: a meta-analysis.
AB - BACKGROUND: Peroxisome proliferator-activated receptor gamma (PPARG), a nuclear
hormone receptor, plays a critical role in the lipid and glucose homeostasis,
adipocyte differentiation, as well as intracellular insulin-signaling events.
Several studies have been conducted to explore the associations of PPARG
polymorphisms with breast cancer (BC), yet the findings are inconsistent.
METHODS: Databases of Pubmed and Embase were searched until October 5, 2014. The
association between PPARG polymorphisms and BC risk was determined by crude odds
ratios (ORs) with their 95% confidence intervals (CIs). RESULTS: Finally, there
are nine publications involving 3,931 BC cases and 5,382 controls included in
this meta-analysis. No significant association was observed between PPARG
rs1801282 C>G variants and overall BC risk in all genetic comparison models.
However, in a subgroup analysis by ethnicity, significant association was
observed between PPARG rs1801282 C>G variants and decreased BC risk in three
genetic models: GG+CG vs. CC (OR, 0.83; 95% CI, 0.71-0.96; P = 0.011), CG vs. CC
(OR, 0.82; 95% CI, 0.71-0.96; P = 0.011) and G vs. C (OR, 0.85; 95% CI, 0.75
0.97; P = 0.016) in Caucasians and in a subgroup analysis by menopausal status,
significantly decreased BC risk was also found in two genetic models: GG+CG vs.
CC (OR, 0.79; 95% CI, 0.67-0.95; P = 0.011) and CG vs. CC (OR, 0.77; 95% CI, 0.64
0.92; P = 0.005) in post-menopause subgroup. For PPARG rs3856806 C>T, we found no
significant association between PPARG rs3856806 C>T polymorphism and breast
cancer. CONCLUSIONS: In summary, despite some limitations, the results suggest
that PPARG rs1801282 C>G polymorphism may be a protective factor for BC in
Caucasians and in post-menopause women.
PMID- 26550134
TI - Mesenchymal status of lymphatic endothelial cell: enlightening treatment of
lymphatic malformation.
AB - In contrast to blood capillaries, lymphatic capillaries in peripheral tissues are
composed of a single-cell layer of lymphatic endothelial cells (LECs) without a
covering of mural cells. However, in lymphatic malformations, the enlarged
lymphatic vessels were covered with mural cells. This study aimed to understand
the molecular mechanism of differences between human dermal lymphatic endothelial
cells (HDLECs) and human umbilical vein endothelial cells (HUVECs) and to
determine the changes of LECs in the pathological condition of lymphatic
malformation. Results showed that HDLECs exhibited lower expression of
endothelial proteins, including VE-cadherin and CD31, than HUVECs; HDLECs also
showed higher expression of mesenchymal proteins, including alpha-SMA, SM22alpha,
calponin, and epithelial mesenchymal transition-related transcription factor
Slug, than HUVECs. Likewise, HDLECs displayed higher permeability and weaker
recruitment of SMCs than HUVECs; HDLECs also exhibited low PDGF-BB expression.
TGF-beta2 treatment and FGF2 depletion enhanced mesenchymal marker expression
with increased permeability and reduced SMC recruitment. By contrast, Slug
depletion in HDLECs enhanced VE-cadherin expression, inhibited alpha-SMA
expression, decreased permeability, and enhanced PDGF-BB expression. These
results suggested that HDLECs were in a mesenchymal status, which contributed to
their functions and might determine their identities. Our data also revealed that
miR143/145 was implicated in the mesenchymal status of HDLECs. In lymphatic
malformations (LMs) treated with OK-432 sclerotherapy, immunohistochemistry
results showed that Prox1 expression was reduced and mural cell investment was
increased; these results indicated that LECs lost their mesenchymal status after
OK-432 treatment was administered. The decreased mesenchymal status of LECs in
LMs may induce dilated vessel constriction, which could be the mechanism of OK
432 sclerotherapy.
PMID- 26550135
TI - Herbal compound Naoshuantong capsule attenuates retinal injury in
ischemia/reperfusion rat model by inhibiting apoptosis.
AB - OBJECTIVES: Ischemic ophthalmopathy threatens people's lives and health. The
herbal compound medication, Naoshuantong capsule, plays a critical role in the
treatment of cardiac-cerebral vascular diseases; however, the roles and
mechanisms of action of Naoshuantong capsule in ischemic ophthalmopathy is
unknown. The objective of the present study was to determine the effect and
mechanism of action of Naoshuantong capsule on ischemic ophthalmopathy in rats.
METHODS: In this study a rat model of ischemic ophthalmopathy was constructed
using a high intra-ocular pressure-induced ischemia/reperfusion model. The
effects of Naoshuantong capsule on ischemic ophthalmopathy were detected using
electroretinography, and changes in retinal ultrastructure were examined by HE
staining and electron microscopy. The mechanism of action of Naoshuantong capsule
on ischemic ophthalmopathy was explored by immunofluorescence and real-time PCR.
RESULTS: Rat models of ischemic ophthalmopathy were successfully constructed by
intra-ocular hypertension, which presented decreased amplitudes of the
electroretinogram (ERG-b) wave and total retinal thickness, intracellular damage,
increased expression of Bax and caspase 3, and decreased expression of Bcl-2.
Treatment with Naoshuantong capsule attenuated the changes and damage to the
ischemic retina in the rat model, inhibited the over-expression of Bax and
caspase 3, and increased the expression of Bcl-2. CONCLUSION: Our study indicated
that Naoshuantong capsule attenuates retinal damage in rat models of ischemic
ophthalmopathy, possibly by inhibiting apoptosis.
PMID- 26550136
TI - The association of three BACE1 gene polymorphisms (exon5 C/G, intron 5 T/G and
3'UTR T/A) with sporadic Alzheimer's disease susceptibility: a meta-analysis.
AB - Despite biological support for a role of Beta-site APP-cleaving enzyme 1 (BACE1)
in sporadic Alzheimer's disease (SAD), studies about the BACE1 genetic
polymorphisms in SAD are inconsistent. To explore whether the BACE1 polymorphisms
confers susceptibility to SAD, the current meta-analysis was conducted to
evaluate the gene-disease association in relevant studies. The serious databases
were researched to identify studies. The association between BACE1 (exon5 C/G,
intron 5 T/G or 3'UTR T/A) polymorphism and SAD risk was evaluated by odds ratios
(ORs) together with their 95% confidence intervals (CIs). The combined results
showed no significant difference in all models on the basis of all studies for
BACE1 (exon5 C/G, intron 5 T/G or 3'UTR T/A) polymorphisms. When subgroup
analysis was performed based on ethnicity and the epsilon 4 allele of
apolipoprotein E (APOEepsilon4) carriers status, significant associations were
demonstrated (CC versus CG+GG: OR=1.37, 95% CI=1.04-1.82, P=0.03<0.05 and CC
versus CG: OR=1.49, 95% CI=1.11-2.01, P=0.01<0.05) for APOEepsilon4 carriers
status. The pooled results suggest the BACE1 (exon5 C/G, intron 5 T/G or 3'UTR
T/A) polymorphism could be not a risk factor for SAD. However, individuals with
CC genotype have higher risk of SAD with APOEepsilon4 carrier status, and gene
gene interaction might affect on the association. Further studies with large
sample size, especially in subgroup analysis, should be done to confirm these
findings.
PMID- 26550137
TI - In-vitro rescue and recovery studies of human melanoma (BLM) cell growth,
adhesion and migration functions after treatment with progesterone.
AB - Treatment of human melanoma (BLM) cells for 48 hrs with progesterone resulted in
a significant inhibition of cell growth. The mechanism of growth inhibition was
due to autophagy and this action of progesterone was not mediated through
progesterone receptor. As cells were floating during treatment, adhesion assay
was performed, which showed complete loss of adhesion. When cells were allowed to
recover after treatment by culturing in growth medium without progesterone, there
was recovery in cell growth. Preliminary experiments on adhesion and recovery
cell growth prompted us to suppress autophagic lysosomal degradation with 3
methyladenine (3-MA), which resulted in partial rescue of cell growth, adhesion
and migration functions. The above experimental design gave rise to two
experimental groups viz., progesterone treated and 3-MA rescued. Since, recovery
studies also showed improvement in cell growth, progesterone treated and 3-MA
rescued groups were allowed to recover on their own for first 48 hrs and then a
second 48 hrs. Comparison of in-vitro cell growth, adhesion and migration
functions of progesterone treated, 3-MA rescued and recovered human melanoma
cells revealed that the recovery of 3-MA rescued cells was better than the
recovery of progesterone treated cells in terms of cell growth and adhesion
functions. These in-vitro experiments not only provided the scientific basis for
epidemiological findings that menstruating females were better protected in
melanoma, but also showed the potential of progesterone to act as an anti-cancer
agent for melanoma treatment.
PMID- 26550138
TI - MicroRNA-18a as a promising biomarker for cancer detection: a meta-analysis.
AB - Patients with cancer discovered at an early stage have relatively high survival
rates. Increasing researches have shown the potential of detecting dysregulated
microRNA-18a (miR-18a) to diagnose cancer. However, non-uniform results in
previous studies were found. Thus, this meta-analysis was conducted to further
explore the clinical applicability of miR-18a as an ideal biomarker for cancer
detection. Suitable articles were obtained from online databases like PubMed,
Embase, Cochrane, CBM and Wanfang. The Quality Assessment of Diagnostic Accuracy
Studies-2 (QUADAS-2) tool was used to evaluate the quality of our meta-analysis.
The pooled diagnostic parameters like specificity, sensitivity, diagnostic odds
ratio (DOR), positive and negative likelihood ratios (PLR and NLR) and area under
the summary receiver operator characteristic curve (SROC) were pooled to assess
the entire test accuracy. Overall, 10 studies from 9 articles, including 979
patients with cancer and 713 healthy controls were involved in our meta-analysis.
The pooled sensitivity was 0.78 (95% CI: 0.70-0.84) and the corresponding
specificity was 0.82 (95% CI: 0.73-0.89). The merged PLR was 4.3 (95% CI: 2.8
6.8), NLR was 0.27 (95% CI: 0.20-0.37), and DOR was 16 (95% CI: 8-31). The pooled
AUC was 0.86 (95% CI: 0.83-0.89). Our meta-analysis suggested that miR-18a might
open up a new field for novel clinical cancer screening with the merits of high
accuracy, non-invasiveness, convenience and cheap cost. However, more reliable
studies in larger cohort should be conducted before it is used.
PMID- 26550139
TI - Expansion of CD14(+)CD16(+) monocytes is related to acute leukemia.
AB - BACKGROUND: OBJECTIVE: Aim to investigate the proportion of CD14(+)CD16(+)
monocytes and understand the pathogenesis of this monocyte subset in acute
leukemia. METHODS: Flow cytometry was utilized to study the phenotype expression
of CD14(+)CD16(+) monocytes and CD3(+) T lymphocytes in peripheral blood derived
from patients with acute leukemia. All the data were analyzed by SPSS 13.0
software. RESULTS: The proportion of CD14(+)CD16(+) monocytes including both
intermediate and non-classical monocytes, increased significantly in patients
with acute leukemia and changed negatively or positively according to the disease
process. Meanwhile, the proportion of CD14(+)CD16(+) monocytes was inversely
correlated with absolute number of CD4(+) T lymphocytes, ratio of CD4(+)/CD8(+) T
cells, and positively correlated with the proportion of neutrophil granulocytes.
CONCLUSIONS: The proportion of CD14(+)CD16(+) monocytes (especially the
intermediate subpopulation) is related to the progression of acute leukemia, and
the expansion of this monocyte subset could indicate the severity of the disease.
PMID- 26550140
TI - Aqueous extracts of Fructus Ligustri Lucide induce gastric carcinoma cell
apoptosis and G2/M cycle arrest.
AB - OBJECTIVE: Previous studies have shown that Fructus Ligustri Lucide (FLL) can be
used to anti-cancer. However, the mechanism by which FLL mediate this effect is
unclear. In the present study, aqueous extracts of FLL induced cell apoptosis in
human gastric carcinoma cell was investigated. METHODS: The cell viability was
detected by the CCK8 assay. The cell apoptosis was assessed by annexin V-PI
double-labeling staining and hoechst 33342 staining. The protein expression of
cell cycle regulators and tumor suppressors were analyzed by western blotting.
RESULTS: Treatment of human gastric carcinoma cells with FLL induced cell death
in a dose- and time-dependent manner by using CCK8 assay. Consistent with the
CCK8 assay, the flow cytometry results showed that the proportion of the early
and terminal phase of apoptosis cells had gained after FLL treatment as compared
to untreated group. Moreover, human gastric carcinoma cells were exposed to the
aqueous extracts of FLL for 48 h, which resulted in an accumulation of cells in
G2/M phase. Apoptotic bodies were clearly observed in human gastric carcinoma
that had been treated with FLL for 48 h and then stained with Hochest 33342.
Treatment of gastric carcinoma cells with increasing doses of FLL and increasing
durations significantly increased the protein expression of Bax and Caspase3,
decreased the anti-apoptotic Bcl-2 level. The expression of CDC2 and cdc25C were
downregulated upon FLL treatment in human gastric carcinoma. In contrast, p53 and
p21 were obviously upregulated by FLL treatment in a concentration-dependent
manner. CONCLUSIONS: These results confirmed that FLL could induce apoptosis in
human gastric carcinoma, the underlying molecular mechanisms, at least partially,
through activation p21/p53 and suppression CDC2/cdc25C signaling in vitro.
PMID- 26550141
TI - MicroRNAs level as an initial screening method for early-stage lung cancer: a
bivariate diagnostic random-effects meta-analysis.
AB - Accumulating studies suggested that microRNAs (miRNAs) can have high diagnostic
value as a non-invasive and cost-effective procedure with high sensitivity and
specificity in the detection of early-stage lung cancer. However, there is
inconsistency observed in the results of relevant studies. Therefore, we
performed this meta-analysis to evaluate diagnostic value of miRNAs based on all
related studies. A total of 38 studies from 13 included articles were used for
the analysis, consisting of 510 patients and 465 healthy controls. All analyses
were performed on the R 3.2.0 software. The bivariate random-effects meta
analysis model was applied to obtain the following pooled parameters:
sensitivity, 0.797 (95% CI: 0.756-0.832); false positive rate, 0.296 (95% CI:
0.250-0.346); and AUC, 0.818. In addition, subgroup analyses were conducted,
showing not only that a combination of multiple miRNAs as biomarkers have greater
diagnostic value for early-stage lung cancer (sensitivity, false positive rate
and AUC of 83%, 25.2% and 0.858, respectively) had a higher diagnostic accuracy
than single miRNA (sensitivity, false positive rate and AUC of 78.3%, 31.6% and
0.799, respectively), but also that specimen from circulating system
(sensitivity, false positive rate and AUC of 82.5%, 30.5% and 0.836,
respectively) provide better biomarkers than specimen from non-circulating system
(sensitivity, false positive rate and AUC of 73.8%, 26.5% and 0.796,
respectively). In summary, the current meta-analysis suggests that miRNAs as
biomarkers, particularly a combination of multiple tumor-specific miRNAs from
circulating system, have moderately high clinical diagnostic value in the
detection of early-stage lung cancer. However, the clinical diagnostic
utilization and additional improvements of miRNAs as biomarkers for early-stage
lung cancer detection still remain to be further validated by more future
studies.
PMID- 26550142
TI - The study of energy metabolism in bladder cancer cells in co-culture conditions
using a microfluidic chip.
AB - OBJECTIVES: This study aimed to systematically analyze changes in mitochondrial
related protein expression in bladder cancer cells and tumor-associated
fibroblasts and to investigate the characteristics of bladder cancer cell energy
metabolism. METHODS: In this study, we utilized the following techniques to
achieve the objectives: (1) a co-culture system of bladder tumor cells and
fibroblasts was built using a microfluidic chip as a three-dimensional culture
system; (2) the concentration of lactic acid in the medium from the different
groups was determined using an automatic micro-plate reader; (3) a qualitative
analysis of mitochondria-related protein expression was performed by
immunofluorescent staining; and (4) a quantitative analysis of mitochondrial
associated protein expression was conducted via Western blot. SPSS software was
utilized to analyze the data. RESULTS: (1) Determination of lactic acid
concentration: The lactic acid concentration was determined to be highest in the
experimental group, followed by the T24 cell control group and then the
fibroblast control group. (2) Qualitative results: In the control group, the
mitochondrial-related protein fluorescence intensity was higher in the
fibroblasts compared with the cancer cells, and the fluorescence intensity of the
fibroblasts was reduced compared with the experimental group. The mitochondrial
related protein fluorescence intensity of the cancer cells was higher in the
experimental group compared with the control group, and the opposite results were
obtained with the fibroblasts. (3) Quantitative results: The expression of
mitochondria-related proteins was higher in fibroblasts compared with cancer
cells in the control group, and the opposite results were obtained in the
experimental group (P<0.05). The expression of mitochondria-related proteins was
increased in cancer cells in the experimental group compared with the control
group; the opposite results were observed for the fibroblasts (P<0.05).
CONCLUSIONS: The energy metabolism of bladder tumor cells does not parallel the
"Warburg effect" because even under sufficient oxygen conditions, cancer cells
still undergo glycolysis. Bladder cancer cells also have an efficient oxidative
phosphorylation process wherein cancer cells promote glycolysis in adjacent
interstitial cells, thereby causing increased formation of nutritional
precursors. These high-energy metabolites are transferred to adjacent tumor cells
in a specified direction and enter the Krebs Cycle. Ultimately, oxidative
phosphorylation increases, and sufficient ATP is produced.
PMID- 26550143
TI - Curculigoside regulates proliferation, differentiation, and pro-inflammatory
cytokines levels in dexamethasone-induced rat calvarial osteoblasts.
AB - BACKGROUND: Curculigoside (CCG), one of the main bioactive phenolic compounds
isolated from the rhizome of Curculigo orchioides Gaertn., is reported to prevent
bone loss in ovariectomized rats. However, the underlying molecular mechanisms
are largely unknown. Therefore, we investigated the effects of CCG on
proliferation and differentiation of calvarial osteoblasts and discussed the
related mechanisms. MATERIALS AND METHODS: Osteoblasts were incubated with
dexamethasone (DEX) in the absence or presence of CCG concentrations for 24-72 h.
Cell proliferation was evaluated by Cell Counting Kit-8 assay. Mitochondria
membrane potential (MMP) and reactive oxygen species (ROS) were assessed by flow
cytometry. We assessed the anti-inflammatory responses of CCG on DEX-induced
osteoblasts by an enzyme-linked immunosorbent assay (ELISA). Relative protein
expression of BMP-2, b-catenin, RANKL, OPG and RANK was measured using Western
blotting. RESULTS: It was found that osteoblasts proliferation decreased
significantly after treated with 1 MUM of dexamethasone (DEX), compared with
untreated osteoblasts and the cytotoxic effect of DEX was reversed remarkably
when pretreatment with 25-100 MUg/ml of CCG. Pretreatment with 25-100 MUg/ml of
CCG increased MMP level and decreased ROS production in osteoblasts induced by
DEX. In addition, DEX-induced inhibition of differentiation markers such as
alkaline phosphatase (ALP), OPG, BMP-2, beta-catenin, IGF-1 and M-CSF level, and
promotion of differentiation markers such as RANKL and RANK was significantly
reversed in the presence of CCG. CCG also reversed DEX-induced production of pro
inflammatory cytokines. CONCLUSIONS: These results provide new insights into the
osteoblast-protective mechanisms of CCG through inducing proliferation and
differentiation and reducing the inflammatory responses, indicating that CCG may
be developed as an agent for the prevention and treatment of osteoporosis.
PMID- 26549981
TI - ATLAS Run 1 searches for direct pair production of third-generation squarks at
the Large Hadron Collider.
AB - This paper reviews and extends searches for the direct pair production of the
scalar supersymmetric partners of the top and bottom quarks in proton-proton
collisions collected by the ATLAS collaboration during the LHC Run 1. Most of the
analyses use 20 [Formula: see text] of collisions at a centre-of-mass energy of
[Formula: see text] TeV, although in some case an additional [Formula: see text]
of collision data at [Formula: see text] TeV are used. New analyses are
introduced to improve the sensitivity to specific regions of the model parameter
space. Since no evidence of third-generation squarks is found, exclusion limits
are derived by combining several analyses and are presented in both a simplified
model framework, assuming simple decay chains, as well as within the context of
more elaborate phenomenological supersymmetric models.
PMID- 26550144
TI - Lowering blood ammonia prevents hepatocyte injury and apoptosis.
AB - To study hepatocyte injure through establishing the rat model of acute hepatic
failure (ALF). ALF rat model was established by administration with D
galactosamine and LPS, and then giving lowering blood ammonia (LBA) treatment.
Besides, the intervention groups were injected with ornithine and aspartate. The
control groups were injected saline. Blood ammonia, ALT, AST, TNF-alpha and IL-6
in blood samples were test at 12 hrs and 24 hrs after treatment with LBA.
Hepatocyte apoptosis were tested by TUNEL and DNA Ladder. Expression of P53 and
SPP1 were detected by RT-PCR. RESULTS: showed that blood ammonia in hepatic
failure group and intervention group compared with blank control group was
significantly increased at 12 h, 24 h; intervention group compared with hepatic
failure group was significantly reduced (P<0.05). Serum ALT, AST in 24 h group
were higher than 12 h. 12 h intervention group was decreased compared with
hepatic failure group, but there was no significant statistically difference
(P>0.05). 24 h intervention group compared with hepatic failure group was
significantly reduced (P<0.05). Except the control group, DNA ladder and the
TUNEL results showed hepatocyte apoptosis rate increased in 24 h compared with 12
h. Intervention group compared with hepatic failure group was significantly
reducing (P<0.05). IL-6, TNF-alpha, p53 expression levels were increased with
time (24 h>12 h). The hepatic failure and intervention group compared with blank
control group was significantly increased; Intervention group compared with
hepatic failure group was significantly reducing (P<0.05). SPP1 gene was high
expression in ALF rat model. SPP1 level in hepatic failure and intervention group
compared with control group was significantly increased, and intervention group
compared with hepatic failure group was significantly reducing (P<0.05). In
conclusion, hepatocyte apoptosis is an important pathological change in ALF rat
mode, and lowing ammonia can reduce liver injury and apoptosis. Blood TNF-alpha,
IL-6 and SPP1 may be more sensitive injure indicators.
PMID- 26550145
TI - Modified and systematically-designed installation procedure for spinal cord
stimulation in the decubitus position under local anesthesia: a introductory
technical case report.
AB - INTRODUCTION: Spinal cord stimulation (SCS) is sometimes preferable in some
refractory chronic lower back pain (LBP) pathologies. SCS involves an insertion
of electrode leads into the epidural space in the prone position under local
anesthesia, followed by neurostimulator implantation under local/general
anesthesia. These continuous procedures can cause transient post-operative LBP
exacerbation and to make temporary pockets that will store redundant leads in it
with some risk of subcutaneous irritation and infection in addition to making
extra incisions. We introduce a modified simpler method for SCS implantation,
systematically designed to be performed only under local anesthesia in a
decubitus, non-prone position. MATERIALS AND METHODS: An 81-year-old patient with
FBSS was treated. A physician was able to insert SCS leads with ease while the
patient was in a decubitus position. The patient was comfortable, under totally
local anesthesia, and the procedure produced no extra subcutaneous pockets.
RESULT: The patient felt almost no LBP and reported no pain exacerbation during
the operation. The SCS installation provided the patient with great improvement
in both her lower back (NRS from 8 to 0-1) and leg (from 7 to 2) pain with a
great improvement in her daily life activities. No adverse events were observed
during the perioperative period. CONCLUSION: The modified SCS insertion method
enabled us to achieve both intraoperative pain relief and complete SCS
implantation in a minimally invasive manner.
PMID- 26550146
TI - Arachidonic acid attenuates learning and memory dysfunction induced by repeated
isoflurane anesthesia in rats.
AB - This study aims to explore the effects of arachidonic acid (ARA) on learning and
memory dysfunction in rats exposed to repeated isoflurane anesthesia and the
underlying mechanisms. Fifty rats were randomly divided into five groups: sham
control group, isoflurane group, low dose ARA + isoflurane group, moderate dose
ARA + isoflurane group, high dose ARA + isoflurane group. The Morris water maze
test was performed to assess learning and memory function and the hippocampus
tissues were obtained for biochemical analysis. The results showed that
administration of ARA improved learning and memory deficit induced by repeated
isofluane anesthesia in Morris water maze test and in a dose-dependent manner.
Additionally, ARA increased the activities of choline acetyl transferase (ChAT),
superoxide dismutase (SOD), glutathione peroxidase (GSH-Px) and the levels of
acetycholine (Ach) and gamma-amino-butyric acid (GABA), whereas decreased the
activity of acetylcholine esterase (AchE), the content of glutamate (Glu) and
malondialdehyde (MDA), and the radio of Glu/GABA. Meanwhile, ARA elevated the
ratio of Bcl-2/Bax and inhibited the activity of caspase-3. In conclusion, ARA
has potential therapeutic value in alleviating isoflurane-induced learning and
memory impairment. The mechanism might be involved in regulating the cholinergic
and Glu/GABA regulatory system, decreasing oxidative damage and inhibiting cell
apoptosis.
PMID- 26550147
TI - Andrographolide plays an important role in bleomycin-induced pulmonary fibrosis
treatment.
AB - Pulmonary fibrosis (PF) leads to chronic inflammation and accumulation of
macrophages, neutrophils, and lymphocytes in the alveoli. The factors involved in
the development of PF include reactive oxygen species and tissue remodelling
regulators. The present study demonstrates the effect of andrographolide on
bleomycin (BLM)-induced PF in Sprague-Dawley rats. We investigated the total
bronchoalveolar lavage fluid protein (BALF) and hydroxyproline (HYP) content
along with the level of oxidative stress markers like malondialdehyde (MDA) and
GSH/GSSG ratio. In addition, the levels of MMP-1 and TIMP-1 were also analysed.
The results revealed an increase in BALF protein, HYP, and MDA contents and
decrease in GSH/GSSG ratio of the lungs in animals treated with BLM. However,
andrographolide treatment caused a reversal of the BLM induced changes after 20
or 40 days. Treatment with andrographolide suppressed oxidative stress with the
decrease of MDA and the increase of the GSH/GSSG ratio. Andrographolide also
improved the BLM mediated changes in the MMP-1/TIMP-1 ratio. Therefore,
andrographolide has a potential therapeutic effect in the prevention of PF.
PMID- 26550151
TI - Study on encapsulation of chlorine dioxide in gelatin microsphere for reducing
release rate.
AB - OBJECTIVE: This study aims to explore the effects of encapsulation of chlorine
dioxide in a hydrophilic biodegradable polymer gelatin to reduce its release
rate. METHODS: An emulsification-coacervation method was adopted. The
characterizations of chlorine dioxide-gelatin microspheres were described. Using
UV-vis spectrophotometer the lambdamax of chlorine dioxide was observed at 358
nm. The particle size and distribution of chlorine oxide-gelatin microspheres was
measured by a dynamic light scattering (DLS) method, the diameter was (1400~1900)
nm. The entrapment of chlorine dioxide-gelatin microspheres was confirmed by IR.
The surface morphology, size, and shape of chlorine dioxide-gelatin microspheres
were analyzed using Scanning electron microscope (SEM). RESULTS: It showed that
the encapsulated microspheres size was around 2000 nm with uniform distribution.
The percentage entrapment of chlorine dioxide in the encapsulated samples was
about 80~85%. A slow release study of chlorine dioxide from the encapsulated
biopolymer (gelatin) in air was also carried out, which showed continuous release
up to ten days. CONCLUSIONS: It can be concluded that it is possible to make a
slow release formulation of ClO2 by entrapped in a hydrophilic biodegradable
polymer gelatin. ClO2-gelatin microspheres can stable release low concentration
ClO2 gas over an extended period.
PMID- 26550148
TI - Anti-inflammatory effect of emodin on lipopolysaccharide-induced keratitis in
Wistar rats.
AB - Emodin, a major bioactive extract of several Chinese herbs, has been shown to
have a number of biological activities including antiviral, anti-inflammatory,
anti-tumor, anti-fibrosis etc. In the present study, we investigated the effects
of emodin as an anti-inflammatory agent on lipopolysaccharide (LPS) induced
keratitis in Wistar rats. Clinical score, slit-lamp microscope were used to
determine corneal inflammatory response. Corneal structure was observed by
hematoxylin-eosin staining and transmission electron microscopy. Messenger
ribonucleic acid levels of tight junction protein and cytokines were determined
by reverse transcription- polymerase chain reaction. The activation of nuclear
factor-kappa B (NF-kappaB) was detected with Western blot. We found that
disorganized corneal tissue and cellular structures were observed in keratitis
rats and emodin could deduce inflammatory response and improve corneal structure.
Pretreated with emodin could up-regulate and down-regulate the mRNA expression of
occludin and Interleukin-6. The activation of NF-kappaB could be inhibited partly
after emodin treatment. In conclusion, emodin reduced corneal inflammation in LPS
induced keratitis in Wistar rats due to its capability of inhibition in NF-kappaB
activation.
PMID- 26550149
TI - Effects of calcitriol on structural changes of kidney in C57BL/6J mouse model.
AB - Thisaim of the studyisto investigate the effects of calcitriol (vitamin D) on
mouse kidneys under obese conditions. Male C57BL/6J mice were maintained on
either low fat diet (LFD) or high fat diet (HFD) with/without calcitriol
treatment (150 IU/kg/day) for 16 consecutive weeks. Results of HFD fed mice
demonstrated more weight gain and showed numerous structural abnormalities in the
corticomedullary region compared to those under control and LFD conditions. Near
nephropathy condition in HFD mice were characterized by damage in renal tubules,
including dilatation of interstitial cells and blood vessels. Furthermore,
exfoliation and shedding of proximal tubular cells takes place. The conditions
further worsen by thickening the basement membrane and interstitial inflammation,
as evidenced by abundant interstitial debris. Additionally, a large number of
degenerated mitochondria, fat droplets, lysosomal bodies' mesangial expansion,
and cellular debris were found throughout the kidney. Sustained cell hypertrophy
was also evident by transmission electron microscope confirming a marked increase
in degeneration of cells within renal areas. No significant variances were
detected in the glomerulus' area and diameter in both low and high fat diets
with/without calcitriol treatment as well as inner and outer diameters of both
distal and proximal tubule in all groups. Evidently, calcitriolcould act as a
protective agent to normalize kidney structure in obese condition. This study
suggests that calcitriol could normalize the function of kidney and protect its
structural integrity in obesity.
PMID- 26550150
TI - MicroRNA 192 regulates chemo-resistance of lung adenocarcinoma for gemcitabine
and cisplatin combined therapy by targeting Bcl-2.
AB - Lung cancer is the most leading cause of cancer-related death worldwide, with non
small-cell lung cancer (NSCLC) accounting for over 80% of all lung cancer cases.
Patients with NSCLC are mostly treated with platinum-based chemotherapy.
Chemoresistance is a leading cause of chemo-therapy failure in NSCLC treatment.
Recent studies have shown that dysregulation of microRNAs might modulate the
resistance of cancer cells to anti-cancer drugs, yet the modulation mechanism is
not fully understood. In this paper, we try to test whether miR-192 regulates
chemo-resistance in human carcinoma A549 mice model by targeting Bcl-2. Mice
model of human lung adenocarcinoma was built up, and was used for gemcitabine and
cisplatin combined chemotherapy. MTT assay, real-time RT-PCR, western blotting
assay were used to investigate miR-192 expression levels, cell viability ratio
and Bcl-2 protein expression levels. MiR-192 expression level in A549 cells is
significantly higher than in normal human bronchial epithelial cells. MiR-192
inhibitor treated tumor exhibits sensitivity to cisplatin and gemcitabine
therapy. Bcl-2 mRNA and protein expression levels up-regulated in miR-192
inhibitor treated tumor. Bcl-2 is a key regulator for miR-192 related
chemotherapy resistance. In this study, we demonstrate that miR-192 regulates
chemoresistance for gemcitabine and cisplatin combined chemotherapy in human
adenocarcinoma lung cancer A549 cells, and Bcl-2 is the target of miR-192.
PMID- 26550152
TI - VEGF silencing inhibits human osteosarcoma angiogenesis and promotes cell
apoptosis via PI3K/AKT signaling pathway.
AB - Vascular endothelial growth factor (VEGF) is one of the most potently angiogenic
factors which promotes generation of tumor vasculature. VEGF is usually up
regulated in multiple cancers include osteosarcoma and gliomas. To further
explore the potential molecular mechanism that inhibits tumor growth induced by
interference of VEGF expression, we constructed an Lv-shVEGF vector and assessed
the efficiency of VEGF silencing and its influence on U2OS cells. Our data
demonstrated that Lv-shVEGF has high inhibition efficiency on VEGF expression,
which inhibits proliferation and promotes apoptosis of U2OS cells in vitro. Our
results also indicated that inhibition of VEGF expression suppresses osteosarcoma
tumor growth in vivo, VEGF inhibition reduces osteosarcoma angiogenesis. We also
found that the phosphoinositide 3-kinase (PI3K) and protein kinase B (AKT)
activation was considerably reduced after osteosarcoma cells were treated with Lv
shVEGF. Taken together, our data demonstrated that VEGF silencing suppresses
cells proliferation, promotes cells apoptosis and reduces osteosarcoma
angiogenesis through inactivation of PI3K/AKT signaling pathway.
PMID- 26550153
TI - A comparison of oral squamous cell carcinoma between young and old patients in a
single medical center in China.
AB - PURPOSE: There is no consensus regarding the clinical course and prognosis for
oral squamous cell carcinoma (SCC) in young patients. In this study, we report
our experience with the management of oral squamous cell carcinoma, with a
special focus on patients younger than 40 years old. METHODS: From 2005 to 2012,
all patients were treated for oral (gingiva, the anterior 2/3 of tongue, floor of
the mouth and buccal) SCC in our medical center were enrolled. RESULTS: We
enrolled 430 patients, of whom 31 (7.2%) patients (19 male and 12 female) were
younger than 40 years. Among the patients under 40, tumors were most commonly
found on the tongue (15; 48.4%); there was recurrence in 10 (52.6%) male
patients, and six (31.6%) male patients died of disease; and there was recurrence
in seven (58.3%) female patients, while three (25.0%) female patients died of
disease. In young patients with tongue carcinoma, five (33.3%) died of the
disease and four (25%) young patients with carcinoma at other sites died of the
disease. The older (>40 years old) patient group had similar rates of recurrence
free survival and disease-specific survival to the young (<40 years old) patient
group. CONCLUSION: In the young group, patients with tongue carcinoma tended to
have a poorer prognosis than patients with carcinoma at other sites. The clinical
course and prognosis were similar between the two groups, but younger patients
were more likely to have a recurrence.
PMID- 26550154
TI - Inhibitory effect of trichostatin on allograft rejection of corneal
transplantation in rats.
AB - BACKGROUND: Using a rat penetrating keratoplasty model, this study aims to
explore the inhibitory effect of hachimycin on corneal graft rejection, to
provide new basis for its clinical application. MATERIALS AND METHODS: Female
adult Sprague-Dawley (SD) rats weighing between 220-250 g were used as acceptors
and male or female Wistar rats weighing between 220-250 g were used as donors.
The rats with a successful keratoplasty were randomly divided into 3 groups with
10 rats in each group. Group A: penetrating keratoplasty group; Group B:
penetrating keratoplasty followed by the application of control eye drops
containing eye drops matrix dissolved in 20 g/L DMSO and 900 mL/L artificial
tear; Group C: penetrating keratoplasty followed by the application of 0.5 g/L
hachimycin eye drops. Hachimycin was dissolved in vitamin E to obtain an eye
solution with a pH value of 6~7, and stored at 4 degrees C. The local application
of hachimycin eye drops started 5 days after the keratoplasty surgery, 5 times
per day until the onset of rejection response. At 4 days after the keratoplasty
surgery, slit-lamp microscope was used to observe the transplanted cornea once
every two days, and a rejection index (RI) of 0-12 was obtained according to the
three graft components represented by corneal transparency, edema, and corneal
neovascularization. RESULTS: Penetrating keratoplasty was successfully performed
on all the 3 groups of rats. Five days after the keratoplasty, both the
transparency and the implant edema showed a score of 1-2 degrees in group A and
B. Two weeks later, both these two grafts components increased to a score of 2-3
degrees in group A and B, with an active neovascularization. The group C also
showed a transparency and implant edema of 1-2 degrees five days after the
keratoplasty surgery. However, a transparent implant without edema was observed
in group C two weeks after the keratoplasty surgery. In addition, the newly
formed blood vessels disappeared and the retina appeared in a good status and in
the correct position. The corneal transparency, edema, corneal
neovascularization, and total RI scores of the 3 groups clearly indicated that
the group B showed an improvement compared to the group A (P < 0.05), since in
group B the new vessels were only distributed in the corneal limbus at five days
after the surgery. However, at two weeks after the surgery no statistically
significant difference in neovascularization degree was observed in group B when
compared with group A, while a statistically significant decrease of
neovascularization was observed in group C (P < 0.05). CONCLUSIONS: Hachimycin
may inhibit the rejection responses after penetrating keratoplasty by the
reduction of corneal edema, transparency and neovascularization.
PMID- 26550155
TI - Association of glutathione S-transferase T1, M1 and P1 polymorphisms in the
breast cancer risk: a meta-analysis in Asian population.
AB - BACKGROUND: Published data regarding the associations between glutathione S
transferase (GST) T1, M1 and P1 polymorphisms and breast cancer risk are
inconclusive. The aim of this study is to comprehensively evaluate the genetic
risk of GST genes for breast cancer. MATERIALS AND METHODS: A systematic
literature search was carried out in Pubmed, Medline (Ovid), Embase, CBM, CNKI,
Weipu, and Wanfang database, covering all publications (last search was performed
on May 20, 2015). Statistical analysis was performed using Revman 5.2 and STATA
12.0 softwares. RESULTS: A total of 12,035 cases and 13,911 controls in 34 case
control studies were included in this meta-analysis. The results suggested that
the GSTM1 and GSTP1 polymorphisms can obviously increase the risk of breast
cancer in Asian population (odds ratio (OR) = 1.18, 95% confidence interval (CI)
= 1.04-1.33, P = 0.008 and OR = 1.23, 95% CI = 1.07-1.41, P = 0.003,
respectively), especially in East Asian (OR = 1.14, 95% CI = 1.01-1.27, P = 0.03
and OR = 1.15, 95% CI = 1.03-1.28, P = 0.01, respectively) and hospital-based
case-control study (HCC) group (OR = 1.32, 95% CI = 1.11-1.56, P = 0.001 and OR =
1.38, 95% CI = 1.03-1.84, P = 0.03, respectively), while the association between
GSTT1 null genotype and breast cancer risk is not significant (OR = 1.08, 95% CI
= 0.93-1.25, P = 0.3). CONCLUSIONS: This meta-analysis indicated that the GSTM1
and GSTP1 polymorphisms might significantly contribute to breast cancer
susceptibility in Asian population, especially in East Asian, while the GSTT1
polymorphism might not be associated with breast cancer.
PMID- 26550156
TI - Cyclooxygenase-2 -1195G>A (rs689466) polymorphism and cancer susceptibility: an
updated meta-analysis involving 50,672 subjects.
AB - The association between cyclooxygenase-2 (COX-2) -1195G>A (rs689466) polymorphism
and cancer risk has been extensively explored. However, the results of previous
studies remain controversial. To address this gap, we performed an updated meta
analysis of fifty-eight studies involving a total of 50,672 subjects. Searching
of PubMed and Embase databases was performed for publications on the association
between COX-2 -1195G>A polymorphism and the risk of cancer. Statistical
correlation was identified between COX-2 -1195G>A variants and overall cancer
risk in five genetic models. In a sub-group analysis based on cancer type,
significant association between COX-2 -1195G>A polymorphism and increased risk of
gastric cancer, pancreatic cancer, hepatocellular carcinoma and other cancers was
found. In a sub-group analysis by ethnicity, increased cancer risk was observed
among Asians instead of Caucasians, Africans and mixed populations. Furthermore,
in a sub-group analysis based on cancer system, increased cancer risk was found
in digestive system cancer and other system cancer. Non-parametric "trim-and
fill" method was harnessed as a sensitivity analysis method and the results
suggested our findings reliable. In summary, the results of our meta-analysis
highlight that COX-2 -1195G>A polymorphism may be a risk factor for cancer.
PMID- 26550157
TI - Severe pneumonia in the elderly: a multivariate analysis of risk factors.
AB - Pneumonia is the second leading reason for hospitalization of medicare
beneficiaries. The mortality rate is high, especially in the elderly. In this
study, we aimed to determine the risk factors associated with severe pneumonia in
the elderly. Retrospective study was conducted and data of old patients with
severe pneumonia were collected. They were divided into two groups: the
experiment group (death group) and the control (living group). The general
situation, underlying diseases, laboratory tests, types of etiology, imaging
analysis and treatment situation of patients were analyzed and compared.
Univariate analysis and logistic multivariate regression analysis were used to
screen the related and independent risk factors for the diagnosis of severe
pneumonia in the elderly. In univariate analysis, there were many factors had
statistical significance including chronic kidney disease, electrolyte
disturbance, low phosphorus and so on. Result of logistic multivariate regression
analysis showed pro-BNP level and serum prealbumin were independent risk factors.
In sputum culture, the relevance ratio of acinetobacter baumannii was the highest
in gram negative bacteria followed by klebsiella pneumoniae. In gram positive
bacteria, the relevance ratio of staphylococcus aureus was the highest. In
conclusion, the analysis on risk factors for severe pneumonia has great clinical
significance on improving the prognosis.
PMID- 26550158
TI - Clusterin silencing sensitizes pancreatic cancer MIA-PaCa-2 cells to gmcitabine
via regulation of NF-kB/Bcl-2 signaling.
AB - Clusterin (CLU) is known as a multifunctional protein involved in a variety of
physiological processes including lipid transport, epithelial cell
differentiation, tumorigenesis, and apoptosis. Our recent study has demonstrated
that knockdown of clusterin sensitizes pancreatic cancer cell lines to gmcitabine
treatment. However the details of this survival mechanism remain undefined. Of
the various downstream targets of CLU, we examined activation of the NF-kB
transcription factor and subsequent transcriptional regulation of BCL-2 gene in
pancreatic cancer cell MIA-PaCa-2. The MIA-PaCa-2 cells were transfected with an
antisense oligonucleotide (ASO) against clusterin, which led to a decreased
protein level of the antiapoptotic gene BCL-2. Furthermore, inhibition of CLU
decreased the function of NF-kB, which is capable of transcriptional regulation
of the BCL-2 gene. Inhibiting this pathway increased the apoptotic effect of
gmcitabine chemotherapy. Re-activated NF-kB resulted in attenuation of ASO
induced effects, followed by the bcl-2 upregulation, and bcl-2 re-inhibition
resulted in attenuation of Re-activated NF-kB -induced effects. Animals injected
with ASO CLU in MIA-PaCa-2 cells combined with gmcitabine treatment had fewer
tumors than gmcitabine or ASO CLU alone. These findings suggest that knockdown of
CLU sensitized MIA-PaCa-2 cells to gmcitabine chemotherapy through modulating NF
Kb/bcl-2 pathway.
PMID- 26550159
TI - The radioprotective effects of Moringa oleifera against mobile phone
electromagnetic radiation-induced infertility in rats.
AB - The present study has investigated the effects of mobile phone electromagnetic
radiation (EMR) on fertility in rats. The purpose of this study was to explore
the capability of polyphenolic-rich Moringa oleifera leaf extract in protecting
rat testis against EMR-induced impairments based on evaluation of sperm count,
viability, motility, sperm cell morphology, anti-oxidants (SOD & CAT), oxidative
stress marker, testis tissue histopathology and PCNA immunohistochemistry. The
sample consisted of sixty male Wistar rats which were divided into four equal
groups. The first group (the control) received only standard diet while the
second group was supplemented daily and for eight weeks with 200 mg/kg aqueous
extract of Moringa leaves. The third group was exposed to 900 MHz fields for one
hour a day and for (7) days a week. As for the fourth group, it was exposed to
mobile phone radiation and received the Moringa extract. The results showed that
the EMR treated group exhibited a significantly decrease sperm parameters.
Furthermore, concurrent exposure to EMR and treated with MOE significantly
enhanced the sperm parameters. However, histological results in EMR group showed
irregular seminiferous tubules, few spermatogonia, giant multinucleated cells,
degenerated spermatozoa and the number of Leydig cells was significantly reduced.
PCNA labeling indices were significant in EMR group versus the control group.
Also, EMR affects spermatogenesis and causes to apoptosis due to the heat and
other stress-related EMR in testis tissue. This study concludes that chronic
exposure to EMR marked testicular injury which can be prevented by Moringa
oleifera leaf extract.
PMID- 26550160
TI - IL-8 up-regulates proliferative angiogenesis in ischemic myocardium in rabbits
through phosphorylation of Akt/GSK-3beta(ser9) dependent pathways.
AB - BACKGROUND: Therapeutic myocardial angiogenesis is an important compensatory
mechanism in severely coronary stenosis. Previous studies demonstrated that
interleukin-8 (IL-8) not only plays an important role in inflammation, but also a
potent angiogenic factor through p38 mitogen-activated protein kinase (p38MAPK),
nuclear factor-kappaB (NK-kappaB)-dependent pathway in carcinoma. Our study
sought to investigate the effects of IL-8 on the angiogenesis and the underlying
mechanism in the ischemic myocardium. METHODS: Acute myocardial infarction animal
model was established with male rabbits by directly suturing the left anterior
descending branch, then lentivirus-mediated IL-8 was quarterly injected into the
borderline of infarction area immediately. We employed CoCl2 induced hypoxic
HUVECs for in vitro ischemia study. Left ventricular end-diastolic diameter
(LVEDd) and ejection fraction (EF) were measured by echocardiography in pre
operation and at 6(th) week after operation. CD34 was detected with
immunohistochemisty to analyse angiogenesis. Western blot was performed with
regard to IL-8, protein kinase B (PKB/Akt) and Glycogen synthase kinase
3beta(ser9) (GSK-3beta(ser9)). For the HUVECs' proliferation and apoptosis,
multiscan spectrum reader at A570 nm and annexin V-FITC/PI staining method were
used respectively. RESULTS: The levels of IL-8, phosphorylated Akt and GSK
3beta(ser9) in focal myocardium significantly increased, and the over expression
of IL-8 led to an increasing in angiogenesis in rabbits. Hypoxia inhibited cell
proliferation and promoted apoptosis. IL-8 induced cell proliferation,
phosphorylation of Akt and GSK-3beta(ser9), inhibited apoptosis and Caspase3
expression in HUVECs, which were attenuated by anti-IL-8 or the Akt inhibitor
LY294002. CONCLUSIONS: The present results indicate that IL-8 can increase
angiogenesis in myocardial infarction, which maybe through enhancing Akt and GSK
3beta(ser9) expression, and inhibiting myocardial apoptosis.
PMID- 26550161
TI - Adenovirus expressing IFN-lambda (Ad/hIFN-lambda) produced anti-tumor effects
through inducing apoptosis in human tongue squamous cell carcinoma cell.
AB - OBJECTIVE: To investigate the potential therapeutic effects of adenovirus
expressing IFN-lambda1 and IFN-lambda2 (Ad/hIFN-lambda) in treating squamous cell
carcinoma of the oral tongue (SCCOT) and to explore the underlying mechanisms.
METHODS: Two SCCOT cell lines HSC-3 and Tca8113 were adopted as study objects.
Cell Counting Kit-8 (CCK-8) cell proliferation and viability assay was performed
to evaluate the antiproliferative effects of Ad/hIFN-lambda and IFN-lambda
treatments at different dosages. Flow cytometry (FCM) was performed to
investigate the apoptosis rate induced by Ad/hIFN-lambda. In vivo study was
performed through evaluating tumorigenicity and tumor volume on BALB/c nu/nu mice
inoculated with HSC-3 cells with or without infection of Ad/hIFN-lambda. qPCR was
used to screen important apoptosis related genes expression and western blot (WB)
was performed to verify the results. WB was also used to test the phosphorylation
of STATs protein in the JAK/STAT signaling pathways. RESULTS: Our results
indicated an obvious antiproliferative effect of Ad/hIFN-lambda in vitro on
infected HSC-3 and Tca8113 cells. The antiproliferative effects started to appear
at 48 h (day 2) after infection. IFN-lambdas alone treating HSC-3 and Tca8113
cells also showed a dose-dependent inhibitory manner. Though the
antiproliferative effects did not show on 24 h (day 1), early apoptosis rate
already increased significantly in cells infected with Ad/hIFN-lambda (P<0.05)
detected by FCM. The underlying mechanisms of antiproliferative activity rely on
the IFN-lambda signaling by phosphorylation of STATs protein. Expression of Bax,
Bcl-2 and Caspase-3 were promoted by Ad/hIFN-lambda leading to higher apoptosis
rate. Upper stream of p21 and Rb dephosphorylation explained the Caspase-3
activation. Animal study showed that HSC-3 cells infected with Ad/hIFN-lambda
significantly promoted the survival rate and decreased mean tumor volume
comparing to HSC-3 cells group. CONCLUSION: Ad/hIFN-lambda injection had obvious
antiproliferative effects on HSC-3 and Tca8113 cells. Ad/hIFN-lambda induced
apoptosis in SCCOT cells through increasing Bcl-2, Bax and Caspase-3 expression.
Ad/hIFN-lambda is a potential therapeutic strategy in treating oral tongue
carcinoma.
PMID- 26550162
TI - NOD1 agonist iE-DAP reverses effects of cigarette smoke extract on NOD1 signal
pathway in human oral mucosal epithelial cells.
AB - Smoking is a well-known risk factor for many systemic diseases and oral
disorders. Smoking has been recognized to cause diminished defense, persistent
inflammation and result in disease development. Nucleotide binding
oligomerization domain 1 (NOD1) signal pathway plays a key role in innate immune
and tissue homeostasis. Our recent studies confirmed that cigarette smoke extract
(CSE) could inhibit NOD1 expression and affect expression levels of crucial
molecules of NOD1 signaling in oral mucosal epithelial cells. In the present
study, immortalized human oral mucosal epithelial (Leuk-1) cells were treated
with CSE, iE-DAP (NOD1 agonist), CSE + iE-DAP, respectively. Western blotting
analysis demonstrated that iE-DAP triggered NOD1 expression of leuk-1 cells in a
dose-dependent manner. iE-DAP also reversed the suppressive effect of CSE on NOD1
expression and prevented the overactivation of RIP2 and P-NF-kappaB following CSE
exposure. Real-time PCR and ELISA results confirmed that iE-DAP reversed CSE
mediated effects on the mRNA levels and releases of IL-6, IL-8, TNF-alpha and IFN
gamma by Leuk-1 cells. Taken together, our results indicated that NOD1 activation
with iE-DAP could reverse CSE-mediated effects on NOD1 signaling in human oral
mucosal epithelial cells.
PMID- 26550163
TI - Association of homocysteine with type 1 diabetes mellitus: a meta-analysis.
AB - PURPOSE: To figure out the association between plasma Hcy status and type 1
diabetes mellitus (T1DM). METHODS: We searched the PubMed Web of Science, and The
Cochrane Library to identify eligible studies. The Newcastle-Ottawa Quality
Assessment Scale was used to assess the quality of selected studies. All analyses
were performed using the STATA, version 12 software. RESULTS: 15 studies were
included in this investigation. Our meta-analysis indicated that plasma Hcy
concentrations in T1DM patients without any complications were normal compared
with healthy people [13 studies, SMD: -0.08, 95% confidence interval (CI): -0.44
to 0.28, P=0.67]. However, a significant elevation of plasma Hcy concentrations
was observed in T1DM patients with only diabetic retinopathy (DR) (5 studies,
SMD: 0.34, 95% CI: 0.13 to 0.55, P=0.002), only diabetic nephropathy (DN) (4
studies, SMD: 0.76, 95% CI: 0.18 to 1.33, P=0.01) and both the two complications
(3 studies, SMD: 1.05, 95% CI: 0.03 to 2.07, P=0.043) compared with T1DM patients
without any complications. CONCLUSIONS: Homocysteine levels elevate in T1DM
patients with DR and DN, but don't elevate in T1DM without any complications.
PMID- 26550164
TI - Notch1/3 and p53/p21 are a potential therapeutic target for APS-induced apoptosis
in non-small cell lung carcinoma cell lines.
AB - OBJECTIVE: Previous studies have shown that Astragalus polysaccharide (APS) can
be applied to anti-cancer. However, the mechanism by which APS mediate this
effect is unclear. In the present study, APS-mediated NSCLC cell apoptosis was
investigated through the regulation of the notch signaling pathway. METHODS: The
cell viability was detected by the CCK8 assay. The mRNA and protein expression of
notch1/3 and tumor suppressors were analyzed by RT-PCR and western blotting,
respectively. RESULTS: The mRNA and protein of notch1 and notch3 were
significantly up-regulated in tumor tissues as compared to non-tumor adjacent
tissues. Treatment of human NSCLC cells with APS induced cell death in a dose-and
time-dependent manner by using CCK8 assay. The mRNA and protein expression of
notch1 and notch3 were significantly lower in NSCLC cells with APS treatment than
that in control group. Moreover, western blotting analysis showed that treatment
of H460 cells with APS significantly increased the pro-apoptotic Bax and caspase
8 levels, decreased the anti-apoptotic Bcl-2 level. Furthermore, p53, p21 and p16
were obviously up-regulated by APS treatment in H460 cell. CONCLUSIONS: This
study demonstrated that APS-treated could inhibit proliferation and promote cell
apoptosis, at least partially, through suppressing the expression of notch1 and
notch3 and up-regulating the expression of tumor suppressors in H460 NSCLC cell
lines.
PMID- 26550165
TI - Comparisons of negative pressure wound therapy and ultrasonic debridement for
diabetic foot ulcers: a network meta-analysis.
AB - OBJECTIVE: a network meta-analysis was performed to compare the strength and
weakness of negative pressure wound therapy (NPWT) with ultrasound debridement
(UD) as for diabetic foot ulcers (DFU). METHODS: PubMed, Ovid EMBASE, Web of
Science, Cochrane library databases, and Chinese Biomedical Literature Database
were searched till February 2015. Clinical compared studies of negative pressure
wound therapy and ultrasound debridement were enrolled. The primary efficacy
outcomes included healed ulcers, reduction of ulcer areas and time to closure.
Secondary amputation including major and minor amputations was used to assess the
safety profile. RESULTS: Out of 715 studies, 32 were selected which enrolled 2880
diabetic patients. The pooled analysis revealed that NPWT including vacuum
assisted closure (VAC) and vacuum sealing drainage (VSD) were as efficacious as
ultrasound debridement improving healed ulcers, odds ratio, 0.86; 95% CI 0.28 to
2.6 and 1.2; 95% CI 0.38 to 4, respectively. However, both were better to
standard wound care in wound healing patients. Compared with the standard wound
care treated diabetic foot ulcers, NPWT and UD resulted in a significantly
superior efficacy in time to wound closure and decrement in area of wound. No
significances were observed between NPWT and UD groups in both indicators. Fewer
patients tended to receive amputation in NPWT and UD groups compared to standard
wound care group. CONCLUSIONS: The results of the network meta-analysis indicated
that negative pressure wound therapy was similar to ultrasound debridement for
diabetic foot ulcers, but better than standard wound care both in efficacy and
safety profile.
PMID- 26550166
TI - Lack of association between interleukin-2 (IL-2) gene rs2069762 polymorphism and
cancer risk: a meta-analysis.
AB - Interleukin-2 (IL-2) is an important member of the cytokines that play critical
roles in carcinogenesis. Many studies have investigated the association between
IL-2 rs2069762 polymorphism and cancer risk; however, the results remain
controversial. The aim of this study is to assess the correlation between IL-2
rs2069762 polymorphism and cancer risk. All eligible case-control studies
accorded with criteria published up to March 30, 2015 were identified by
searching Embase and PubMed databases. Association between IL-2 rs2069762
polymorphism and cancer risk was assessed by crude odds ratios (ORs) with 95%
confidence intervals (CIs), respectively. Ten case-control studies from nine
publications with 3095 cases and 4480 controls were included. Overall, IL-2
rs2069762 polymorphism was not associated with cancer risk in five genetic models
(G vs. T: OR = 1.07, 95% CI = 0.95-1.21, P = 0.278; GG vs. TT: OR = 1.16, 95% CI
= 0.86-1.57, P = 0.317; GG + TG vs. TT: OR = 1.09, 95% CI = 0.93-1.28, P = 0.273;
GG vs. TT + TG: OR = 1.11, 95% CI = 0.85-1.44, P = 0.451; TG vs. TT: OR = 1.08,
95% CI = 0.92-1.28, P = 0.339, respectively). Similar results were also obtained
after stratified by ethnicity and cancer type. This meta-analysis indicates that
IL-2 rs2069762 T>G polymorphism is not associated with cancer risk. And the same
conclusion is drawn after stratified by cancer type and ethnicity.
PMID- 26550167
TI - The association between DVWA polymorphisms and osteoarthritis susceptibility: a
genetic meta-analysis.
AB - It has been reported that the double von Willebrandfactor domain A (DVWA) gene
polymorphisms may be associated with osteoarthritis (OA) risk. However, some
studies yielded conflicting results. Therefore, we conducted a comprehensive meta
analysis to identify the association of DVWA gene polymorphisms and the
susceptibility to OA. We conducted a systematic search in PubMed, Embase (Ovid),
China National Knowledge Internet (CNKI) and Wangfang databases up to May 15,
2015. Odds ratio (OR) and 95% confidence interval (CI) were used to pool the
effect size. Statistical analyses were performed with STATA 11.0 software. In
total, 11 studies from five articles with 13,579 subjectswere included in this
meta-analysis. The overall results indicated that there was a significant
association between DVWA rs7639618 polymorphism and OA susceptibility observed in
dominant and co-dominant models. In the subgroup analysis, we found that DVWA
gene rs7639618 and rs11718863 polymorphism was associated with OA risk in Asians
(GG+GA vs. AA: OR=1.34, P<0.001; G vs. A: OR=1.29, P=0.019). Furthermore, for
rs7639618, the dominant (GG+GA) and heterozygote (GA) may strongly increase the
knee OA susceptibility (GG+GA vs. AA: OR=1.27, P<0.001), especially in Asians. In
addition, as for rs11718863, a trend of increased knee OA risk was found in
Asians (TT+TA vs. AA: OR=1.54, P<0.001). In conclusion, the meta-analysis results
suggested that DVWArs7639618 and rs11718863 polymorphisms may increase the risk
of knee OA susceptibility in Asians, but not in Caucasians. However, no
significant association between SNP rs7639618 and rs11718863 and hip OA risk was
identified.
PMID- 26550169
TI - Captopril, an angiotensin-converting enzyme inhibitor, possesses
chondroprotective efficacy in a rat model of osteoarthritis through suppression
local renin-angiotensin system.
AB - OBJECTIVE: A local tissue-specific renin-angiotensin system (local RAS) has
emerged as a regulator of cartilage development and homeostasis. However, no
report has described the chondroprotective efficacy of RAS inhibitor. Therefore,
we studied the pharmacological function of captopril on hypertrophic
differentiation of chondrocytes, cartilaginous degeneration and RAS components
expression in a rat model of osteoarthritis (OA). METHODS: OA was surgically
induced in the right knee of male rats. Animal groups included age matched sham
control (sham group), OA placebo (OA group), and OA treated with captopril (CAP
group). Eight weeks after the induction of OA, the tibias were isolated and the
sagittal sections were stained with Safranin O and Masson-Trichrome. The mRNA and
protein expression of RAS components were measured by qRT-PCR and western
blotting respectively. RESULTS: The thickness of articular cartilage was reduced
in the proximal tibia of the OA group, and decreased thickness of articular
cartilage of the OA mice was effectively reversed by captopril treatment.
Histological analyses revealed remarkable chondrocytes abnormality in OA rats,
which were characterized by a marked expansion of hypertrophic zone and
inhibition of proliferative zone of chondrocytes in the epiphyseal growth plate
of tibia. However, captopril-treated could reverse chondrocytes abnormality in OA
rats. Furthermore, the mRNA and protein expression of RAS components, renin, ACE,
Ang II AT1R were upregulated in the proximal tibia of OA rats, however, the AT2R
expression was suppressed. Intriguingly, captopril-treated could inhibit the
activation of RAS in OA rats. CONCLUSIONS: The present study demonstrated that
captopril could attenuate OA-induced osteoarticular injury, at least partially,
through suppression local RAS.
PMID- 26550168
TI - Protective effects of remote ischemic preconditioning in isolated rat hearts.
AB - To use Langendorff model to investigate whether remote ischemic preconditioning
(RIPC) attenuates post-ischemic mechanical dysfunction on isolated rat heart and
to explore possible mechanisms. SD rats were randomly divided into RIPC group,
RIPC + norepinephrine (NE) depletion group, RIPC + pertussis toxin (PTX)
pretreatment group, ischemia/reperfusion group without treatment (ischemia group)
and time control (TC) group. RIPC was achieved through interrupted occlusion of
anterior mesenteric artery. Then, Langendorff model was established using routine
methods. Heart function was tested; immunohistochemistry and ELISA methods were
used to detect various indices related to myocardial injury. Compared with
ischemia group in which the hemodynamic parameters deteriorated significantly,
heart function recovered to a certain degree among the RIPC, RIPC + NE depletion,
and RIPC + PTX groups (P<0.05). More apoptotic nuclei were observed in ischemia
group than in the other three groups (P<0.05); more apoptotic nuclei were
detected in NE depletion and PTX groups than in RIPC group (P<0.05). While, there
was no significant difference between NE depletion and PTX groups. In conclusion,
RIPC protection on I/R myocardium extends to the period after hearts are
isolated. NE and PTX-sensitive inhibitory G protein might have a role in the
protection process.
PMID- 26550170
TI - Use an alginate scaffold-bone marrow stromal cell (BMSC) complex for the
treatment of acute liver failure in rats.
AB - To evaluate the effects of alginate scaffold-bone marrow stromal cell (BMSC) in
the treatment of acute liver failure in rats and provide a basis for in vivo
application of artificial liver tissue. CM-DiI-labeled BMSCs were planted and
grown on alginate scaffolds to form alginate scaffold-BMSC complex. Alginate
scaffold-BMSC complex (the experimental group) or alginate scaffolds (the control
group) were placed onto the surface of liver wound of rats after 70% of
hepatectomy. The scaffold-BMSC complex and alginate scaffolds were removed after
4 weeks and fluorescence microscopy was used to track the growth and distribution
of CM-DiI-labeled BMSCs. The liver tissues were stained for albumin and glycogen
to investigate the differentiation of BMSCs on alginate scaffolds. The survival
rate and liver function were also compared between the two groups of rats. BMSCs
on alginate scaffolds and liver tissues were clearly demonstrated by CM-DiI
labeling. BMSCs on alginate scaffolds secreted albumin and produced glycogen. The
survival rate and liver function of the rats of the experimental group were
significantly higher than that the control group rats. Alginate scaffold-BMSC
complex promotes the regeneration of liver tissues in rats of acute liver
failure.
PMID- 26550171
TI - Interleukin-6 gene -174G/C polymorphism and bronchial asthma risk: a meta
analysis.
AB - The Interleukin-6 (IL-6) genetic polymorphism is associated with bronchial
asthma, a number of studies have been conducted to investigate the association
between IL-6 gene -174G/C polymorphism and bronchial asthma risk. However, the
results are inconclusive. This meta-analysis aims to investigate whether -174G/C
polymorphism is a potential risk factor for bronchial asthma. We searched Web of
Science, PubMed, Google Scholar, China National Knowledge Infrastructure (CNKI)
and Wanfang Database from inception through December 1st, 2014. Meta-analysis was
performed using the STATA 12.0. Overall, a significantly reduced risk for asthma
was found in IL-6 -174 CC genotype (CC vs. GG: OR = 0. 51, 95% CI = 0.27-0.96, P
= 0.038). Furthermore, analysis by ethnicity indicated that there was a markedly
reduced risk for asthma in IL-6 -174 CC genotype in Caucasian (CC vs. GG: OR =
0.51, 95% CI = 0.27-0.96, P = 0.038). Analysis by age indicated that there was a
significantly reduced risk for asthma in IL-6 -174 CC genotype in adults (CC vs.
GG: OR = 0.47, 95% CI = 0.23-0.97, P = 0.042). In conclusion, the current meta
analysis indicates that IL-6 -174 CC genotype may be a protective factor against
asthma in Caucasian and adults.
PMID- 26550172
TI - Long non-coding RNA UCA1 contributes to the progression of prostate cancer and
regulates proliferation through KLF4-KRT6/13 signaling pathway.
AB - Long non-coding RNAs (lncRNAs) UCA1 have been shown to paly an important
regulatory roles in cancer biology, and UCA1 dysfunction is related to TNM stage,
metastasis and postoperative survival in several cancers. However, the biological
role and clinical significance of UCA1 in the carcinogenesis of prostate cancer
(PC) remain largely unclear. Herein, we found that UCA1 was abnormally
upregulated in tumor tissues from PC patients, and patients with high UCA1 levels
had a significantly poorer prognosis. Intriguingly, the mRNA and protein levels
of KLF4 were significantly increased in tumor tissues, which was highly
correlated to UCA1 levels. Moreover, UCA1 depletion inhibited the growth and
induced apoptosis in PC3 and LNCaP cell lines. In addition, UCA1 loss-of-function
could decrease KLF4 expression, subsequently, the downregulation of KRT6 and
KRT13. Taken together, our study indicated that UCA1 had a crucial role in the
tumorigenesis of PC. Moreover, UCA1 loss-of-function inhibited cell proliferation
and induced cell apoptosis, at least partially, through inactivation KLF4-KRT6/13
cascade.
PMID- 26550173
TI - Effects of cryptotanshinone on the expression levels of inflammatory factors in
myocardial cells caused by Ang II and its mechanism.
AB - OBJECTIVE: This study aims to explore the effects of the traditional Chinese
medicine monomer cryptotanshinone (CTS) on the expression levels of inflammatory
factors in myocardial cells caused by Ang II and its mechanism. METHODS: The
neonatal rat myocardial cells were cultured in vitro in this study. Their
purities were identified by immunocytochemical method. The cellular viability in
different groups was determined by MTT assay. The levels of TNF-alpha and IL-6 in
the supernatant of cell culture were detected with ELISA method. The levels of
intracellular reactive oxygen species (ROS) were detected by Dihydrogen ethidium
(DHE) staining method. The location changes of NF-kappaB in cells were detected
by immunofluorescence method. RESULTS: The purity of primary cultured neonatal
rat myocardial cells was over 95%, CTS had no obvious effect on the viability of
cells while it inhibited the increased levels of TNF-alpha, IL-6 and ROS caused
by Ang II with dose dependent. NF-kappaB mainly distributed in the cytoplasmic
region in normal cells, it translocated to the nucleus after Ang II stimulation
while CTS inhibited the translocation. CONCLUSIONS: CTS could inhibit the
inflammatory factors such as TNF-alpha and IL-6 in myocardial cells induced by
Ang II with dose dependent, its mechanism may be related with that CTS could
decrease the levels of ROS in myocardial cells and inhibit NF-kappaB
translocation into the nucleus.
PMID- 26550174
TI - Correlation between single nucleotide polymorphism of FCRL-3 gene and Graves'
disease in Han population of northern Anhui province, China.
AB - OBJECTIVE: The frequency distribution of A/G genotype at position-169 in promoter
of FCRL3 gene (Fc receptor-like 3) was identified in Han population of northern
Anhui Province. The correlation between single nucleotide polymorphism (SNP) at
this site and genetic susceptibility of Graves disease (GD) was discussed. How
the genotype at this position correlated to age, gender, severity of goiter,
presence or absence of exophthalmos, levels of thyrotrophin receptor antibody
(TRab), thyroid peroxidase antibody (TpoAb) and anti-thyroglobulin antibody
(TgAb) and thyroid function was analyzed in details. METHOD: Peripheral venous
blood was collected for DNA extraction. SNP at position-169 in the promoter of
FCRL3 gene was determined by using PCR-RELP among 180 GD cases and 146 normal
subjects. Thyroid function tests and antibody detection were performed. RESULTS:
The frequency of GG genotype of position-169 in promoter of FCRL3 gene was higher
in GD group than in control group. The frequency was 28.9% and 13.8%,
respectively, showing significant differences in intergroup comparison
(chi(2)=6.618, P=0.046). The G allele frequency of GD group and control group was
49.4% and 40.4%, respectively, also showing significant differences between the
groups (chi(2)=5.308, P=0.021). GD cases with AA, AG and GG genotypes at position
169 in FCRL3 promoter had significant differences in serum level of TRAb
(chi(2)=7.319, P=0.026). However, no significant differences in gender, severity
of goiter, TpoAb and TgAb level, presence or absence of exophthalmos and thyroid
function (FT3, FT4, TSH) were found between the three genotypes (P>0.05).
CONCLUSION: A/G SNP at position-169 in promoter of FCRL3 gene was correlated with
susceptibility to GD among Han population in northern Anhui Province. G allele
may contribute to the susceptibility to GD and correlate to positive TRAb result
in thyroid diseases, but not to age of onset, gender, presence or absence of
exophthalmos, thyroid function, TpoAb and TgAb level or severity of goiter.
PMID- 26550175
TI - Percutaneous transapical access for pulmonary vein mapping and ablation in a
porcine model with a new high-density electroanatomical mapping system.
AB - INTRODUCTION: The porcine model is generally accepted for the development and
testing of new forms oftherapy including ablation of atrial fibrillation (AF).
However, the challenging left atrial (LA) and pulmonary vein (PV) anatomy enables
only limited percutaneous catheter-based PV access. RESULTS: Here we present I)
an alternative percutaneous transapical access, which enables easy and safe
retrograde transmitral LA and PV mapping and ablation; II) early experience of LA
mapping and successful circumferential PV isolation with novel mapping system
(Rhythmia(TM)) and new generation of ablation catheter equipped with micro
electrodes (IntellaTip MiFi). CONCLUSION: Although the experience with the
transapical approach is limited, the initial results are promising as this may
offer an alternative approach for tasting new technologies and translational
research.
PMID- 26550176
TI - Accuracy of bronchoalveolar lavage enzyme-linked immunospot assay to diagnose
smear-negative tuberculosis: a meta-analysis.
AB - PURPOSE: While the bronchoalveolar lavage enzyme-linked immunospot assay (BAL
ELISPOT) shows promise for diagnosing smear-negative tuberculosis, its accuracy
remains controversial. We meta-analyzed the available evidence to obtain a
clearer understanding of the diagnostic accuracy. METHODS: Studies of the
diagnostic performance of ELI-SPOT on smear-negative tuberculosis were identified
through systematic searches of the PubMed and EMBASE databases. Pooled data on
sensitivity, specificity and other measures of accuracy were meta-analyzed using
a random-effects model. Summary receiver operating characteristic curves were
used to assess overall test performance. RESULTS: A total of 7 studies were
included in the meta-analysis. Diagnostic performance was as follows:
sensitivity, 0.89 (95% CI 0.84 to 0.93); specificity, 0.78 (95% CI 0.74 to 0.81);
positive likelihood ratio, 4.2 (95% CI 2.42 to 7.28); negative likelihood ratio,
0.14 (95% CI 0.06 to 0.33); diagnostic odds ratio, 36.16 (95% CI 9.70 to 134.73);
and area under the curve, 0.9605 (SEM 0.0247). CONCLUSIONS: Available evidence
suggests that BAL-ELISPOT may perform better than blood-ELISPOT for both
screening and confirming a diagnosis of smear-negative tuberculosis.
Nevertheless, BAL-ELISPOT should be not used alone but rather in parallel with
clinical manifestations and conventional tests to ensure reliable diagnosis.
PMID- 26550177
TI - Wnt/beta-catenin up-regulates Midkine expression in glioma cells.
AB - Midkine, also known as neurite growth-promoting factor 2 (NEGF2), plays an
important role in cell proliferation, apoptosis and differentiation. Recent
studies have shown that Midkine is up-regulated in several types of human
cancers. However, the molecular mechanism for its up-regulation remains poorly
understood. Activation of Wnt/beta-catenin signaling is viewed as crucial for
multiple tumor growth and metastasis, including glioma. In the present study, we
found that Wnt3a administration or transfection of a constitutively activated
beta-catenin promoted Midkine expression in glioma cells. We further identified a
TCF/LEF binding site, with which beta-catenin interacts, on the proximal promoter
region of Midkine gene, by luciferase reporter and chromatin immunoprecipitation
assays. Thus, our results suggest a previously unknown Wnt/beta-catenin/Midkine
molecular network controlling glioma development.
PMID- 26550178
TI - Effect of hypoxia inducible factor-1 antisense oligonucleotide on liver cancer.
AB - Hepatocellular carcinoma (HCC) is one of the most frequent primary malignancies
of the liver and is resistant to anticancer drugs. Hypoxia is a master cause of
tumor resistance to chemotherapy. Hypoxia-inducible factor-one alpha (HIF-1alpha)
plays a key role in the adaptive responses to hypoxic environments. HIF-1alpha is
constitutively up-regulated in several tumor types might thus be implicated in
tumor therapy resistance. We hypothesized that disruption of HIF-1alpha pathway
could reverse the hypoxia-induced resistance to chemotherapy. In this report, we
prepared DOTAP (a liposome formulation of a mono-cationic lipid N-[1-(2,3
Dioleoyloxy)]- N,N,N-trimethylammonium propane methylsulfate in sterile water)
cationic liposomes containing an antisense oligonucleotide (AsODN) against HIF
1alpha. Gene transfer of antisense HIF-1alpha was effective in suppressing tumor
growth, angiogenesis, and cell proliferation, and inducing cell apoptosis. Our
results suggested that antisense HIF-1alpha therapy could be a therapeutic
strategy for treating HCC.
PMID- 26550179
TI - Angiotensin receptor blockers (ARBs) reduce the risk of lung cancer: a systematic
review and meta-analysis.
AB - Angiotensin receptor blockers (ARBs) are the most commonly used blood pressure
lowering drugs in the world. However, the preventive value of ARBs on lung cancer
is still controversial. Therefore, it was necessary for us to perform a meta
analysis to evaluate the value of ARBs on lung cancer risk. We searched the
PubMed database as well as the Web of Science database. The overall effect was
measured by odds ratio (OR) and corresponding 95% confidence intervals (CI). The
significance of the pooled ORs was determined by the Z test with a P value less
than 0.05 considering statistically significant. In this meta-analysis, we found
ARBs could decrease the lung cancer risk (OR=0.81, 95% CI 0.69-0.54). The
stability of the results was tested by sensitivity analysis. The result was not a
significant change, suggesting that the result of our meta-analysis was stable.
In conclusion, our meta-analysis demonstrated that ARBs was significantly
associated with lower lung cancer.
PMID- 26550180
TI - Peroxisome proliferator-activated receptor gamma (PPARG) rs1801282 C>G
polymorphism is associated with cancer susceptibility in asians: an updated meta
analysis.
AB - Peroxisome proliferator-activated receptor gamma (PPARG) is related to
inflammation and plays an important role in the development of cancer. PPARG
rs1801282 C>G polymorphism might influence the risk of cancer by regulating
production of PPARG gene. Hence, a comprehensive meta-analysis was conducted to
explore the association of PPARG rs1801282 C>G polymorphism with cancer
susceptibility. An extensive search of PubMed and Embase databases for all
relevant publications was carried out. A total of 38 publications with 16,844
cancer cases and 23,736 controls for PPARG rs1801282 C>G polymorphism were
recruited in our study. Our results indicated that PPARG rs1801282 C>G variants
were associated with an increased cancer risk in Asian populations and gastric
cancer. In summary, the findings suggest that PPARG rs1801282 C>G polymorphism
may play a crucial role in malignant transformation and the development of
cancer.
PMID- 26550181
TI - RNA interference-mediated NOTCH3 knockdown induces phenotype switching of
vascular smooth muscle cells in vitro.
AB - Notch3 plays an important role in differentiation, migration and signal
transduction of vascular smooth muscle cells (VSMCs). In this study, we used RNA
interference (RNAi) technique to investigate the effect of knocking down the
expression of the NOTCH3 gene in VSMCs on the phenotype determination under
pathologic status. Real-time PCR and Western Blot experiments verified the
expression levels of Notch3 mRNA and protein were reduced more than 40% and 50%
in the NOTCH3 siRNA group. When the expression of Notch3 was decreased, the
proliferation, apoptosis and immigration of VSMCs were enhanced compared to
control groups (P < 0.01). NOTCH3 siRNA VSMCs observed using confocal microscopy
showed abnormal nuclear configuration, a disorganized actin filament system,
polygonal cell shapes, and decreasing cell sizes. Additionally, knocking down the
expression of NOTCH3 may evoke the CASR and FAK expression. In Conclusion,
interfering with the expression of NOTCH3 causes VSMCs to exhibit an intermediate
phenotype. CaSR and FAK may be involved in the Notch3 signaling pathway.
PMID- 26550182
TI - Lack of association between cyclin D1 A870G (rs9344) polymorphism and esophageal
squamous cell carcinoma risk: case-control study and meta-analysis.
AB - Studies examining the association between the cyclin D1 (CCND1) A870G (rs9344
G>A) polymorphism and esophageal squamous cell carcinoma (ESCC) have yielded
inconsistent results. Here, we conducted a hospital-based case-control study in a
Chinese Han population to assess the association between the CCND1 A870G
polymorphism and ESCC. We then performed a meta-analysis to further investigate
this association. We recruited 629 patients with ESCC and 686 cancer-free
controls. Genotyping was performed with the polymerase chain reaction-ligase
detection reactions (PCR-LDR) method. The meta-analysis was performed with the
STATA 12.0 software. The case-control study showed no significant difference
between the ESCC cases and controls in the allele frequencies or genotype
distributions of the CCND1 A870G polymorphism. To obtain a more precise estimate
of this relationship, we performed a meta-analysis of seven case-control studies
involving a total of 2080 ESCC cases and 2833 controls. The meta-analysis
suggested that the CCND1 A870G polymorphism is not associated with a risk of
ESCC. A further subgroup analysis based on ethnicity also detected no
association. This study suggests that the CCND1 A870G polymorphism is not
associated with the risk of ESCC.
PMID- 26550183
TI - Estrogen receptor alpha (ESR1) IVS1-397T>C polymorphism lowers risk of fracture.
AB - BACKGROUND: Genetic factors are reported to affect fracture incidence. Many
groups have explored the correlation of fracture risk with ESR1 IVS1-397T>C. The
observed associations, however, are largely inconsistent. This meta-analysis of
data from early-released studies was performed in an effort to determine the role
of IVS1-397T>C in fracture. METHODS: Relevant studies were searched through
Pubmed, Embase, ScienceDirect, and Wiley Online Library databases. 16 studies
meeting all selection criteria were finally identified. We calculated ORs with
95% CIs to assess risk of fracture. Subgroup analyses were performed by subtype,
ethnicity and gender. RESULTS: Data on 2916 cases and 19170 controls were
analyzed in the meta-analysis. Overall, we found moderately decreased risk in
association with IVS1-397 CC genotype (OR = 0.82, 95% CI = 0.73-0.92; OR = 0.84,
95% CI = 0.76-0.94). The decrease persisted in both hip fracture (OR = 0.82, 95%
CI = 0.71-0.94; OR = 0.83, 95% CI = 0.73-0.94) and vertebral fracture (OR = 0.67,
95% CI = 0.50-0.91; OR = 0.78, 95% CI = 0.64-0.97; OR = 0.82, 95% CI = 0.68-0.98)
when data were stratified by subtype. We also found a significant trend of
decreasing risk in relation to the CC genotype in Caucasian, male and female. All
fixed-effects meta-analysis results were homogeneous. CONCLUSION: The meta
analysis demonstrates that risk of fracture seems likely to be decreased due to
IVS1-397 CC or CT genotype.
PMID- 26550184
TI - Effects of parasagittal meningiomas on intracranial venous circulation assessed
by the virtual reality technology.
AB - OBJECTIVE: This study is to investigate the compensatory intracranial venous
pathways in parasagittal meningiomas (PSM) patients by virtual reality
technology. METHODS: A total of 48 PSM patients (tumor group) and 20 patients
with trigeminal neuralgia and hemifacial spasm but without intracranial venous
diseases (control group) were enrolled. All patients underwent 3D CE-MRV
examination. The 3D reconstructed images by virtual reality technology were used
for assessment of diameter and number of intracranial veins, tumor location,
venous sinus invasion degree and collateral circulation formation. RESULTS:
Diameter of bridging veins in posterior 1/3 superior sagittal sinus (SSS) in
tumor group was significantly smaller than that of the control group (P < 0.05).
For tumors located in mid 1/3 SSS, diameter of bridging veins and vein of Labbe
(VL) in posterior 1/3 SSS decreased significantly (P < 0.05). For tumors located
in posterior 1/3 SSS, bridging vein number and transverse sinus (TS) diameter
significantly decreased while superficial Sylvian vein (SSV) diameter increased
significantly (P < 0.05). Compared with tumor in posterior 1/3 SSS subgroup,
number of bridging veins in the tumor in mid 1/3 SSS subgroup increased
significantly (P < 0.05). Compared with control group, only the bridging vein
number in anterior 1/3 SSS segment in invasion Type 3-4 tumor subgroup decreased
significantly (P < 0.05). Diameter of TS and bridging veins in posterior 1/3 SSS
segment in sinus invasion Type 5-6 tumor subgroup decreased significantly (P <
0.05). Compared with control group, only the diameter of VL and TS of collateral
circulation Grade 1 tumor subgroup decreased significantly (P < 0.05) while in
Grade 3 tumor subgroup, TS diameter decreased and SSV diameter increased
significantly (P < 0.05). CONCLUSIONS: The intracranial blood flow is mainly
drained through SSV drainage after SSS occlusion by PSM.
PMID- 26550185
TI - Xiangqing anodyne spray (XQAS): a combination of ethanol extracts of Cynanchum
paniculatum and Illicium henryi for treating soft-tissue injury.
AB - PURPOSE: To compare the pharmacodynamic effects of an anodyne spray (XQAS)
containing extracts of two herbs, Cynanchum paniculatum (CP) and Illicium henryi
(IH), with those of spray containing the vehicle alone, CP alone (CPS) or IH
alone (IHS), when applied topically acute soft tissue injury (STI) in an animal
model. METHODS: Acute closed STI was modeled by hammer blow in the hind leg
muscle of rat. In the acute test, XQAS, vehicle and normal saline (NS) were
applied topically with instantly and repeatedly every 2 h for 8 h after modeling.
In the chronic test, XQAS, vehicle, NS, CPS and IHS were applied topically
respectively with instantly and repeatedly every 8 h for 96 h after modeling.
RESULTS: XQAS (150 MUl/time) rapidly suppressed STI-caused muscle swelling, high
contents of inflammatory mediators such as prostaglandin-E2, interleukin-lbeta,
nitric oxide and so on. XQAS (100 and 250 MUl/time) also showed chronic effects
with dose-dependent suppressions of muscle swelling, up-regulated mRNA
expressions of nuclear factor-kappaB p65 (NF-kappaB p65), cyclooxygenase-2 and
interleukin-lbeta, high contents of inflammatory mediators, and muscle cells
impairment and necrosis induced by STI, while XQAS was more effective than CPS or
HIS on treating STI. CONCLUSION: XQAS can suppress STI-caused increased gene
expressions of NF-kappaB p65 and its downstream genes which mediate biosyntheses
of inflammatory mediators, resulting in suppressed swelling, inflammatory
reaction and cell impairment in the injured muscle. There is a synergistic effect
between CPS and IHS on curing STI.
PMID- 26550186
TI - Prognostic significance of placenta growth factor expression in patients with
multiple cancers: a meta-analysis.
AB - BACKGROUND: Placenta growth factor (PLGF) is a member of the vascular endothelial
growth factor (VEGF) family which is associated with the progression and
metastasis of cancer. However, whether it can be used to predict prognosis in
multiple cancer is still inconsistent. METHODS: A meta-analysis was performed by
searching electronic databases updated to December 2014. Eligible studies which
evaluated the relationship between PLGF expression level and survival of patients
with multiple cancers were conducted. Overall survival (OS), progression-free
survival (PFS), hazard ratio (HR), and 95% confidence intervals (CI) were
calculated. RESULTS: Nineteen studies with a variety of cancers were included for
the meta-analysis. Combined HR suggested that high expression of PLGF
significantly associated with a poor OS (HR=1.69, 95% CI, 1.32-2.16), and PFS
(HR=1.8, 95% CI, 1.33-2.44) in patients with different cancers. Moreover, a
subgroup analysis based on cancer type demonstrated that high expression level of
PLGF predict poor OS in both digestive system carcinoma (HR=1.63, 95% CI, 1.21
2.19; I(2)=80.7%, P<0.001) and respiratory system tumor (HR=1.75, 95% CI, 1.28
2.41; I(2)=0.0%, P=0.394). For PFS, the similar result was found in respiratory
system tumor (HR=1.64, 95% CI, 1.23-2.19; I(2)=0.0%, P=0.807), but not in
digestive system carcinoma (HR=1.81, 95% CI, 0.93-3.52; I(2)=80.2%, P<0.001).
CONCLUSION: Our meta-analysis demonstrates that PLGF might be regarded as a poor
prognostic fact for multiple cancers. More large-scale and well-designed studies
are still needed to strengthen our findings.
PMID- 26550187
TI - Involvement of AQP 1 in the cardio-protective effect of remifentanil post
conditioning in ischemia/reperfusion rats.
AB - BACKGROUND: our research aim to study the role of AQP1 in the cardioprotective
effect of remifentanil post-conditioning for myocardial ischemia/reperfusion
injury. METHODS: Ninety Sprague-Dawley (SD) rats were divided into 6 groups: sham
operation group (Sham group), myocardial ischemia and reperfusion group (I/R
group), postconditioning of remifentanil group (R-post), postconditioning of
remifentanil plus AQP1 inhibitor acetazolamide group (R-post +Ace),
postconditioning of remifentanil plus opioid-receptor antagonist compounds (R
post +AC), postconditioning of remifentanil plus AQP1 enhancer arginine
vasopressin (R-post +AV). All groups except the sham operation group were given
30 min ischemia in left anterior descending (LAD) coronary arteries. All groups
were then given 120 min reperfusion to the LAD. Before reperfusion, the R-post, R
post +Ace, R-post +AC, R-post +AV groups were given 10 min remifentanil post
conditioning. Hemodynamic data were measured every 30 min after initiation of
ischemia. The rats' hearts were exercised for detecting infarct size and water
content in the left ventricle, and AQP1 expression were also detected. RESULTS:
The R-post group showed a significant reduction of the infarct size compared to
the I/R group. The effect of R-post for reducing infarct size was slightly
enhanced by adding acetazolamide to R-post, so significant differences could
still be found when compared R-post+Ace group to the I/R group. The effect of
infarct size reduction brought by R-post was blocked by the opioid-receptor
antagonist compounds. This effect was also blocked by the AQP1 enhancer. Similar
outcomes were found considering the water content of the left ventricle and the
AQP1 expression. CONCLUSION: Cardioprotective effect of remifentanil post
conditioning may initiate through inhibiting the function of AQP1.
PMID- 26550188
TI - Correlation of secreted protein acidic and rich in cysteine with diabetic
nephropathy.
AB - To detect the serum concentrations of secreted protein acidic and rich in
cysteine (SPARC) in patients with diabetic nephropathy and SPARC mRNA and protein
expressions in renal tissue of db/db mice (C57BL/KsJ, diabetic nephropathy mice),
thus preliminary exploration on the role of secreted protein acidic riches in
cysteine in the development of diabetic nephropathy were carried out. Serum SPARC
levels in normal subjects, patients with type 2 diabetes mellitus (without
diabetic nephropathy), chronic renal failure (without diabetes mellitus), and
diabetic nephropathy were determined with enzyme-linked immunosorbent assay. 12
week-old db/db mice (db/db group) and its littermate wild-type control mice (NC
group) were selected with 6 from each group, and the kidney tissue were taken. RT
PCR, Western blot, and immunofluorescence were used to detect the mRNA, targeted
protein expressions of SPARC and the staining of renal tissue. The serum level of
SPARC in diabetic nephropathy group was significantly higher than those in normal
group, type 2 diabetes mellitus, and chronic renal failure group (P < 0.05 or P <
0.01). The SPARC level in the type 2 diabetes mellitus group was higher than that
in normal group (P < 0.05), but there was no difference between normal group and
chronic renal failure. SPARC mRNA and protein levels in renal tissue of db/db
mice were higher compared with the normal control group (P < 0.05). The long term
hyperglycemic state in patients with diabetic nephropathy causes pathological
change of renal tissue. Simultaneously, increased secretion of SPARC from renal
tissue results in elevation of serum SPARC level. SPARC correlates with the
occurrence and progression of diabetes, and it may play a role in pathological
change of diabetic nephropathy.
PMID- 26550189
TI - Anxiolytic effect of essential oils of Salvia miltiorrhiza in rats.
AB - This study aims to investigate the anxiolytic effects of essential oil from S.
miltiorrhiza in rats. The elevated plus maze test and the social interaction test
were performed to evaluate the anxiolytic effects of essential oil. The levels of
noradrenaline (NE), dopamine (DA) and serotonin (5-HT) in cerebral cortex of rats
as well as the plasma corticosterone (CORT) level were examined in the rats with
the treatment of essential oil. The rota-rod test was carried out to exclude any
false positive results in experimental procedures related to anxiety disorders.
The catalepsy test was carried out to investigate whether essential oil induces
the catalepsy. Our results showed that oral administration of essential oil
increased the percentage of time spent in the open arms and increased the number
of entries to the open arms in the elevated plus maze test. Oral administration
of essential oil also increased the time for social interaction in rats. No
apparent extrapyramidal symptom (EPS) was observed in the animals with essential
oil treatment. The effect of essential oil in the intracellular chloride (Cl(-))
concentration in the cultured human neuroblastoma cells was assessed. Treatment
with essential oil (50-100 mg/kg) increased intracellular Cl(-) concentration in
the cell culture in a dose-dependent manner, suggesting the involvement of GABAA
receptor-Cl(-) ion channel. Together, our data indicate an anxiolytic effect
induced by the essential oil from S. miltiorrhiza.
PMID- 26550190
TI - Prognostic significance of osteopontin in patients with non-small cell lung
cancer: results from a meta-analysis.
AB - BACKGROUNDS: Non-small cell lung cancer (NSCLC) is one of the most common
malignancies with a high mortality level. Recently, a variety of studies explored
the role of osteopontin (OPN) expression in the prognosis of NSCLC, but the
results were controversial. METHODS: We performed a meta-analysis of eligible
studies to evaluate the prognostic significance of OPN expression in NSCLC
patients. In order to assess the association between OPN and OS and DFS/PFS,
hazard ratio (HR) with 95% confidence interval (CI) was calculated. RESULTS: A
total of ten studies comprising 1420 patients were included in the meta-analysis.
The summary results indicated that high OPN expression was a poor predictor for
OS (HR = 2.19, 95% CI: 1.6-2.98), and DFS/PFS (HR = 2, 95% CI: 1.66-2.41).
Subgroup analysis revealed that high OPN expression was a negative prognostic
marker for OS and DFS/PFS regardless of ethnicity background, treatment and OPN
detection method. CONCLUSION: Our results showed that increased OPN expression
significantly correlated with poor OS and DPS/PFS in NSCLC patients.
PMID- 26550191
TI - Bone mesenchymal stem cells overexpressing FGF4 contribute to liver regeneration
in an animal model of liver cirrhosis.
AB - It is recognized that Fibroblast Growth Factor 4 (FGF-4) could not only increase
the proliferation of bone marrow mesenchymal stem cells (BMSCs), but also induce
BMSCs into hepatocyte-like cells in vitro. However, the role of FGF4 played in
liver regeneration in vivo is unclear. This study constructed FGF4 overexpressing
BMSCs and then transplanted them into cirrhotic rats to investigate the role of
FGF4 played in liver regeneration. The results showed that FGF4 promoted the
location of the BMSCs only at the early stage, and more proliferating cell
nuclear antigen (PCNA), epithelial cell adhesion molecule (EpCAM) and Jagged-1
positive hepatocytes were found in the cirrhotic rats. This study indicated that
FGF4 transduced BMSCs contributed to liver regeneration might by the transplanted
microenvironment.
PMID- 26550192
TI - The effect of ozone on blood pressure in DOCA-salt-induced hypertensive rats.
AB - BACKGROUND: Hypertension is a risk factor for the cardiovascular diseases. Ozone
as a therapeutic agent for the treatment of several disorders. We aimed to
observe the effects of ozone on the blood pressure in DOCA-salt hypertensive
rats. METHODS: Twenty three young Sprague Dawley male rats were divided into
three groups; Control (C), Hypertension (H) and Hypertension + Ozone (HO).
Hypertension was induced by injection of DOCA-salt (25 mg/kg, s.c.) twice weekly,
4 weeks, whereas intraperitoneal ozone was administered (1.1 mg/kg) for 10 days.
Serum endothelin-1, nitric oxide and renin levels were measured with ELISA. Blood
pressures were monitored using a tail cuff system. Endothelin-1, ET receptor A
and ET receptor B mRNA expression in heart and vascular tissue were assessed by
quantitative reverse transcription polymerase chain reaction. RESULTS: Blood
pressure, serum endothelin-1 and ET receptor A mRNA expression levels were
increased in H group, whereas serum renin, nitric oxide and ET receptor B mRNA
expression levels in the heart and vascular tissue decreased compared with C and
HO groups, which were counteracted by ozone treatment. CONCLUSION: Ozone
treatment decreases blood pressure and is effective in preventing the progression
of hypertensive disease, the mechanisms of which are associated with anti
vasoconstrictor effects through reducing the levels of serum endothelin-1 and ET
receptor A mRNA expression in the heart and vascular tissue.
PMID- 26550193
TI - Role of WISP3 siRNA in proliferation, apoptosis and invasion of bladder cancer
cells.
AB - Bladder cancer (BC) is the most common cancers of the urinary tract worldwide,
killing thousands of people a year. WISP3 is a cysteine-rich protein that belongs
to the CCN (Cyr61, CTGF, Nov) family of proteins. Increasing evidences have
linked abnormal levels of CCN family members to tumorigenic effects. In the
present study, we found that WISP3 was overexpressed in BC. Knockdown of WISP3by
RNA interference in two BC cell lines (5367 and SCaBER cells) significantly
inhibited cell proliferation, which may be mediated by cell cycle arrest in G1
phase. Moreover, silencing of WISP3 also induced cell apoptosis via increasing
the expression of caspase 3 and caspase 9. Depletion of WISP3 notably inhibited
the invasion of BC cells. Our data suggests that inhibition of WISP3 may be a
therapeutic strategy for BC.
PMID- 26550194
TI - Survival implications of pretreatment pelvic CT in rectal cancer patients after
neoadjuvant chemoradiotherapy and surgery.
AB - PURPOSE: To determine the correlation between pretreatment computed tomography
(CT) data and survival duration after neoadjuvant chemoradiotherapy and surgery
for locally advanced rectal cancer. MATERIALS AND METHODS: 122 consecutive
patients with advanced rectal cancer were assessed retrospectively. Pretreatment
imaging and postoperative data were evaluated through Kaplan-Meier and Cox
proportional hazard regression analyses. RESULTS: Pretreatment CT identified 557
metastatic lymph nodes (mean, 4.55 per patient; median 4). Survival durations
were measured during the period between the application of CT and death or the
last follow-up examination. Univariate analysis showed that the following factors
had a significant impact on survival: maximum tumor diameter (P = 0.019),
distance from inferior tumor margin to anorectal ring (P <0.0001), number of
lymph nodes involved in patients with short-axis, lymph node diameter >=8 mm (P
<0.0001) in pretreatment CT, distance from the anorectal ring (P = 0.027), ypN
stage (P = 0.0008), ypM stage (P = 0.046) and number of metastatic lymph nodes (P
<0.0001) in clinical assessment. Multivariate analysis showed that the following
factors were significant: number of lymph nodes in patients with short-axis lymph
node diameter >=5 mm but <8 mm (P = 0.044) and in those with this diameter >=8 mm
(P = 0.028; pretreatment CT) and number of metastatic lymph nodes (assessed in
histopathological examination). CONCLUSION: Pretreatment lymph node size and
number can predict survival duration after treatment for locally advanced rectal
cancer. For patients with lymph nodes >8 mm (short-axis diameter) and/or >1, such
lymph nodes tend to have a poor performance for prognosis.
PMID- 26550195
TI - Impact of MDM2 309T>G polymorphism on sarcomagenesis.
AB - BACKGROUND: A series of epidemiological studies have attempted to evaluate the
impact of 309T>G polymorphism in MDM2 gene frequently identified as a
susceptibility loci for various cancers on malignant sarcomas, however the
reported conclusions remain inconsistent and elusive. We pooled all usable data
sets in order to systematically assess the association between 309T>G
polymorphism and sarcoma risk. METHODS: To identify as many informative studies
with complete data as possible, we searched a number of databases (PubMed, EBSCO,
BIOSIS, the Cochrane Library, ISI Web of Science, Wiley Online Library and
Embase). Inclusion criteria were defined to select the eligible studies. The
fixed effects meta-analysis was properly used to calculate the pooled ORs and 95%
CIs. MAJOR FINDINGS: We eventually identified six studies evaluating the
association of sarcoma risk with 309T>G polymorphism. People with 309-GG were
found to have 43% greater risk of sarcoma relative to people with 309-TT (OR,
1.43; 95% CI, 1.01~2.03; Pheterogeneity, 0.45). In the G vs. T genetic model, the
risk reduced to 19% (OR, 1.19; 95% CI, 1.01~1.40; Pheterogeneity, 0.50).
Statistical data showed no significant heterogeneity or publication bias in the
meta-analysis. CONCLUSION: These data demonstrate that 309T>G polymorphism
located within the MDM2 gene may act as modifier factor for sarcomas. A weakness
of this analysis is that the findings cannot be explainable when the subtypes are
separated and additional larger investigations are needed to identify the role of
309T>G polymorphism in each form of sarcoma.
PMID- 26550196
TI - Bioinformatics analysis of the squalene synthase gene and the amino acid sequence
in ginseng species.
AB - The cDNA sequence, their structure, physical properties, signal peptide,
hydrophobicity, hydrophilicity, subcellular localization domain of transmembrane
domain and evolutionary relationship of encoded amino acid sequences were
analyzed in squalene synthase of 9 species of ginseng plant using bioinformatics
methods on GenBank. The results showed that the averaged similarity of squalene
synthase cDNA sequence structure in Ginseng species was 96.245%, the similarity
of the amino acid encoding sequence was 95.5%. The secondary structure prediction
results showed that the amino acid sequence of 9 squalene synthase had alpha
helix and random coil as the main components. After the phylogenetic analysis in
9 kinds of ginseng species, we found that they can be divided into two
subfamilies. The analysis showed that plants, animals, yeasts belonged to
different species, the homology was high within plant species and animal species.
By analyzing the ginseng species squalene synthase and their encoding gene
bioinformatics features, we can provide the theoretical reference for the
squalene synthase gene cloning and the genetic manipulation.
PMID- 26550197
TI - Lipoxin A4 ameliorates ischemia/reperfusion induced spinal cord injury in rabbit
model.
AB - Ischemia/reperfusion (I/R) induced spinal cord injury is an important pathologic
mechanism leading to the paraplegia observed after surgery to repairaortic
aneurysms. This study aims to investigate the neuroprotective effects of Lipoxin
A4 and its potential mechanism in a rabbit model with I/R spinal cord injury.
Forty-five rabbits were randomly divided into three groups: sham group, I/R group
and Lipoxin A4 group. Rabbits were subject to 30 min aortic occlusion to induce
transient spinal cord ischemia. All animals were sacrificed after neurological
evaluation with modified Tarlov criteria at the 48th hour after reperfusion, and
the spinal cord segments (L4-6) were harvested for histopathological examination,
as well as local malondialdehyde (MDA) and total superoxide dismutase (SOD)
activity analysis. All animals in the I/R group became paraplegic. While after 48
hour treatment, compared with I/R group, Lipoxin A4 significantly improved
neurological function, reduced cell apoptosis and MDA levels as well as increased
SOD activity (P < 0.05). These results suggest that Lipoxin A4 can ameliorate I/R
induced spinal cord injury in Rabbit through its antiapoptosis and antioxidant
activity.
PMID- 26550198
TI - A novel method to derive and expand mice neural stem cells efficiently without
neuro-sphere formation.
AB - Neural stem cells (NSCs) are multi-potent stem cells able to self-renew and
generate immature and differentiated cell populations by asymmetric division. The
NSCs are of considerable interest for cell replacement in neuro-degenerative
diseases. NSCs are usually identified and expanded by their ability to generate
free-floating aggregates termed neurospheres. However, neurospheres are not a
pure population of NSCs with as little as 1% population in primary spheres.
Neurospheres also contain neurons, astrocytes and oligodendrocytes. The
heterogeneity of these cells may hinder their repopulation potential when used in
cell transplantation. Furthermore, to obtain 1 million NSCs by the neurosphere
protocol usually takes one month, which is inconvenient for future clinical
trials. In this study, we tried to derive the NSCs from mice embryo
neuroepithelium without neurosphere formation. Three different protocols were
compared. We generated a direct and efficient NSCs generation, expanding and
freezing protocol. This protocol can provide sufficient amount of the NSCs from
first a few passages for cell transplantation.
PMID- 26550199
TI - MRI research of diaphragma sellae in patients with pituitary adenoma.
AB - This study is to investigate the clinical significance of diaphragma sellae in
patients with pituitary adenoma by MR images. A total of 47 cases of pituitary
adenoma patients were enrolled in this study. Preoperative and postoperative MR
scanning together with preoperative 3D-GE sequential scanning were performed. A
series of parameters of diaphragma sellae were measured and compared. Tumor
height was greater in patients with convex diaphragma sellae than that in
patients with concave diaphragma sellae. The width and height of diaphragmal
opening were positively related to tumor height. Diaphragmal opening width in the
invasive group was greater than that of the non invasive group. Diaphragmal
opening width in the non total resection group was significantly greater than
that in the total resection group. Tumor resection rate was negatively correlated
to diaphragmal opening width in the non total resection group. Lift angle of
bilateral epidural around diaphragmal opening was positively related to tumor
height. Enhanced 3D-GE images can perfectly display diaphragma sellae and
parameters of tumor height and tumor invasiveness are related to diaphragmal
opening diameter.
PMID- 26550200
TI - Serum thymidine kinase 1 levels correlate with clinical characteristics of
esophageal squamous cell carcinoma.
AB - Patients with esophageal cancer are often diagnosed at advanced stages, leading
to poor prognosis. Biomarkers are needed to enable earlier detection as well as
to aid in the prediction of prognosis, but to date these tools remain scarce.
Thymidine kinase (TK1) has been shown to exhibit altered expression levels in
esophageal tumor cells, therefore this study sought to determine whether serum
TK1 levels are also altered and, if so, to assess the utility of TK1 as a
biomarker in esophageal squamous cell carcinoma. Eighty patients with esophageal
squamous cell carcinoma were included as the case group and 80 healthy persons
were selected as the control group. Serum TK1 levels, postoperatively for cancer
patients, were detected by chemiluminescence. Follow-up was performed for cancer
patients to determine the progression free survival (PFS) and overall survival
(OS). Serum TK1 levels were significantly higher in cases of esophageal cancer
than in healthy control individuals (t=7.235, P<0.05). When cancer cases were sub
divided into lower and higher serum TK1 levels, based on the mean level of 3.38
pmol/L, statistically significant differences in TNM stage, tumor
differentiation, and lymph node metastasis were observed between patients with
>=3.38 pmol/L and <3.38 pmol/L (chi(2)=28.134, 3.187, 7.234, P<0.05). The average
OS of all esophageal cancer patients was 30.13 months, and the average PFS was
24.73 months. However, when the cases were divided by serum TK1 level, average OS
of those with higher serum TK1 (>=3.38 pmol/L) was significantly lower (23.98 mo)
than those with lower serum TK1 (32.96 mo) (chi(2)=5.439, P<0.05). Similarly,
average PFS was significantly lower in patients with higher serum TK1 (17.65 mo
versus 27.62) (chi(2)=4.640, P<0.05). OS was correlated with TNM stage (hazard
ratio, HR=3.116), degree of tumor differentiation (HR=0.427), lymph node
metastasis (HR=0.535), and serum TK1 level (HR=1.913) (Wald chi(2)=6.782, 6.228,
4.562, 5.681, P<0.05). Similarly, PFS was correlated with TMN stage (HR=2.153),
degree of tumor differentiation (HR=0.627), and serum TK1 level (HR=1.632) (Wald
chi(2)=7.035, 5.335, 4.887, P<0.05). Thus, patients with esophageal squamous cell
carcinoma exhibit higher circulating TK1 levels, consistent with findings of
increased TK1 expression in tumor cells. Further, the correlation of serum TK1
levels with clinical features of esophageal cancer and with patient survival
suggest that serum TK1 may serve as a valuable biomarker for predicting patient
prognosis.
PMID- 26550201
TI - Effects of calcium-sensing receptors on apoptosis in rat hippocampus during
hypoxia/re-oxygenation through the ERK1/2 pathway.
AB - OBJECTIVES: To explore the effects of calcium-sensing receptors (CaSR) on
apoptosis in rat hippocampus during hypoxia/re-oxygenation (H/R). METHODS: After
post-culturing of isolated rat hippocampus, the cultures were subjected to H/R,
meanwhile gadolinium chloride (GdCl3, agonist of CaSR) and NPS 2390 (antagonists
of CaSR) was added to reperfusion solution. The number of hippocampal neuron,
cell proliferation assay and apoptosis rate was determined by inverted
microscope, 3-(4, 5-dimethylthiazol-2-yl)-2, 5-diphenyltetrazolium bromide (MTT)
and flow cytometer (FCM). Besides, caspase-3, Bax, cytochrome C (Cyt-c),
extracellular signal-regulated protein kinase (ERK) 1/2, pERK1/2, P38 and pP38
were analyzed by western blotting. RESULTS: The hippocampal neuron number and
cell viability were significantly decreased after H/R treatment, and were further
significantly reduced when co-treatment with CaSR agonist GdCl3. But the effects
of GdCl3 were attenuated by NPS-2390. Whereas, apoptosis rate, the expression
level of caspase-3, Bax and Cyt-c were all significantly increased under H/R
condition, and was further significantly increased by GdCl3, but were reversed by
NPS-2390 (P < 0.05). Moreover, there were no significant differences in
expression of ERK1/2, P38 and pP38 among different groups. However, the
expression of pERK1/2 was significantly increased after H/R treatment, but was
significantly reduced by NPS 2390 (P < 0.05). CONCLUSION: The results suggest
that CaSR might play significant roles in the induction of hippocampus apoptosis
in rat during H/R through phosphorylation of ERK1/2.
PMID- 26550202
TI - Presurgical orthodontic decompensation alters alveolar bone condition around
mandibular incisors in adults with skeletal Class III malocclusion.
AB - This study is to use cone beam computed tomography (CBCT) to acquire accurate
radiographic images for alveolar bone in lower incisors and the change after
presurgical orthodontic treatment. Seventeen patients with skeletal Class III
malocclusion, ten normal occlusion subjects, and fifteen patients treated with
orthodontic treatment and orthognathic surgery were included. CBCT images were
obtained. The labial and lingual inclinations of mandibular incisors, the
thickness of alveolar bone, the vertical alveolar height and root length were
measured. Alveolar bone thickness at the apex in patients with skeletal Class III
malocclusion was thinner than normal subjects. The vertical alveolar bone heights
at labial and lingual sides in patients with skeletal Class III malocclusion were
both reduced compared with normal subjects, especially at the labial side. There
were statistically significant correlations between lower incisor inclination and
alveolar bone morphology. After orthodontics, the incisors root apex was closer
to the lingual side of alveolar bone. The alveolar bone thickness at apex was not
statistically changed. The vertical alveolar bone heights at the labial and
lingual sides were both significantly reduced especially the lingual side after
presurgical orthodontic treatment. The root length was not significantly changed.
In conclusion, the alveolar bone thickness at apex is thinner and the vertical
alveolar height is reduced at the labial side. Forward movement of lower incisors
during presurgical orthodontic treatment can render the lower incisors root apex
closer to the lingual side and the vertical alveolar height is reduced.
PMID- 26550203
TI - A simple and stable galactosemic cataract model for rats.
AB - Rat galactosemic cataract is commonly used in the investigation of sugar
cataract. In current study, 21-day sprague-dawley (SD) rats were randomly divided
into two groups (n=42), which were fed by normal water and galactose solution
(12.5%-10%) for 18 days respectively. Every 3 days, lens opacity was observed by
a slit lamp, and 6 rats of each group were executed for the analysis of aldose
reductase (AR) activity, galactitol level and AR mRNA expression. Morphological
results showed that small vacuoles initially appeared in the equatorial area
before the 6th day, then subsequently extended to the whole anterior capsule, and
eventually developed to mature cataract on the 18th day. AR of galactosemic
lenses was significantly activated in the first stage and then slowly dropped to
the end accompanied by the related changes of galactitol. AR mRNA expression also
was upregulated and reached the peak at the 6th day. This study appears to
confirm that galactosemic cataract can be induced for 21-day SD rats by only
drinking 12.5% to 10% galactose solution, and this model is simple, economical
and stable as to meet the research needs.
PMID- 26550204
TI - Accuracy of contrast-enhanced ultrasound in the identification of thyroid
nodules: a meta-analysis.
AB - This meta-analysis aimed to identify the accuracy of contrast-enhanced
ultrasonography (CEUS) on the diagnosis of thyroid nodules. PubMed, Chinese
Biomedical Medical databases (CNKI), Wan Fang (Chinese), and EBSCO database were
searched from inception through April 15, 2015 without language and geographic
restrictions. MetaDisc version 1.4 software was applied for this meta-analysis.
We calculated the summary statistics for sensitivity (Sen), specificity (Spe),
positive and negative likelihood ratio (LR+/LR-), diagnostic odds ratio (DOR),
and receiver operating characteristic (SROC) curve. Twenty-five eligible studies
were included in this meta-analysis. A total of 424 in 1154 nodules is malignant
thyroid tumors. After all thyroid lesions were histologically confirmed by CEUS,
the pooled Sen was 0.88 (95% confidence interval [CI] 0.85-0.91); the pooled Spe
was 0.90 (95% CI 0.88-0.92). The pooled positive LR+ was 8.69(95% CI 5.78-13.09);
the pooled negative LR- was 0.15 (95% CI 0.12-0.19). The pooled DOR of CEUS in
the diagnosis of thyroid nodules was 63.18 (95% CI 37.82-105.53). The area under
the SROC curve was 0.946 (standard error [SE] = 0.010). Our meta-analysis
indicates that CEUS may have high accuracy in diagnosis the difference between
benign and malignant thyroid nodules. US is a traditional tool in the diagnosis
thyroid nodules. However, with the development of science and technology, the
emerging of CEUS significantly improve accuracy in the diagnosis thyroid nodules.
PMID- 26550205
TI - A canine model of osteonecrosis of the femoral head induced by MRI guided argon
helium cryotherapy system.
AB - OBJECTIVE: This study is to identify the reliability of osteonecrosis of the
femoral head (ONFH) modeling established by MRI guided argon helium cryotherapy
system in beagles. METHODS: A total of 15 beagles were used to establish the ONFH
model. The left femoral heads of the beagles received two cycles of argon helium
freezing-thawing under MRI guidance and were considered as experimental group
while the right femoral heads received only one cycle of argon helium freezing
thawing and were considered as the control group. X-ray, MRI, general shape and
histological examinations were performed so as to identify the effect of
modeling. RESULTS: At 4 week after modeling, MRI showed obvious bilateral hip
joint effusion and marked femoral head bone marrow high signal. At 8 week after
surgery, abnormal signal appeared in bilateral femoral heads. T1WI showed
irregular patchy low signal, T2WI showed irregular mixed signals and the joint
capsule effusion showed long T1 and T2 changes. Twelve weeks after operation,
T1WI showed a low signal strip with clear boundary and T2WI showed intermediate
signal. The changes of the left femoral heads were significant while compared
with those of the right sides. The lacunae rates of femoral heads in the
experimental group at 4, 8, and 12 week after surgery (40.75 +/- 3.77, 57.46 +/-
4.01, 50.27 +/- 2.98) were higher than those in control group (30.08 +/- 3.61,
49.43 +/- 2.82, 40.56 +/- 2.73). CONCLUSION: Canine model of ONFH was
successfully established using an argon helium cryotherapy system.
PMID- 26550206
TI - Relationship between pituitary adenoma texture and collagen content revealed by
comparative study of MRI and pathology analysis.
AB - This study is to reveal the relationship between pituitary adenomas and tumor
texture by comparing MRI and pathologic results. Preoperative imaging data of 38
cases of pituitary adenoma patients and collagen content of tumor specimens
measured by histopathological were analyzed and compared. T2WI and diffusion
coefficient assessment were used to reveal the relationship between tumor texture
and collagen content. There were 13 cases of soft texture, 17 cases of medium
texture and 8 cases of tough texture tumors. Signal intensity of different
texture Pituitary adenomas had significant difference on T2WI and ADC map (P <
0.05). The signal intensity ratio of tumor and pons on T2WI had high consistency
with tumor texture. Mean collagen contents of soft, medium and tough texture
group were 1.51% +/- 0.91%, 7.35% +/- 2.99% and 18.10% +/- 8.24%, respectively.
There were significant differences in collagen content of different texture
tumors (P < 0.01). The signal intensity of T2WI and ADC images have prediction
value for pituitary adenomas texture and T2WI is more reliable.
PMID- 26550207
TI - RhoA activity increased in myocardium of arrhythmogenic cardiomyopathy patients
and affected connexin 43 protein expression in HL-1 cells.
AB - Arrhythmogenic cardiomyopathy (AC) is a familial heart muscle disease with
mutations of desmosomal gene and its pathogenesis is related with mutations of
desmosomal gene and abnormality of connexin43 (Cx43). One of Rho GTPase, RhoA
involves in many pathological processes and is regulated by desmosomal gene PKP2.
We aim to identify if PKP2 regulate RhoA activity in myocardium of AC patients,
the activity change of RhoA in patients' myocardium and to investigate the effect
of active RhoA on the protein expression of Cx43 in myocardial cells. The protein
expression level was assessed by western blot and the activity of RhoA was
assessed by RhoA protein activation assay. Our results showed that the expression
of PKP2 was decreased in myocardium of three patients, one of which carried PKP2
mutations. The activity of RhoA in myocardium was increased in myocardium of AC
as compared with healthy control except for the patient with PKP2 mutation, the
expression of Cx43 was also increased in those patients with increased activity
of RhoA. The results in vitro demonstrated that the increase of active RhoA can
cause the change of protein expression of Cx43 in HL-1 cardiomyocytes. In
conclusion, regulation of RhoA activity is complex in the myocardium of AC and
the activity of RhoA is increased in AC patients without PKP2 mutations. What's
more, the active RhoA affects the protein expression of Cx43 in vivo and in
vitro, this may be the possible disease mechanism of AC.
PMID- 26550208
TI - Angiotensinogen polymorphism and ischemic stroke risk.
AB - The angiotensinogen M235T polymorphism was associated with ischemic stroke risk.
However, the results were controversial. Thus, a meta-analysis was conducted.
NCBI, Medline, Web of Science and Embase databases were systematically searched.
Summary odds ratios (ORs) and corresponding 95% confidence intervals (CIs) were
estimated using random-effects models. There was a significant association
between angiotensinogen M235T polymorphism and ischemic stroke risk (OR = 1.69;
95% CI, 1.35-2.11; P < 0.001). In the stratified analysis by ethnicity, we found
that this polymorphism was significantly associated with ischemic stroke in Asian
(OR = 1.85; 95% CI, 1.45-2.35; P < 0.001). In the age subgroup, we found that
angiotensinogen M235T polymorphism could increase both early-onset ischemic
stroke risk (OR = 1.88; 95% CI, 1.33-2.43; P < 0.001) and late-onset ischemic
stroke risk (OR = 1.20; 95% CI, 1.01-1.39; P = 0.04). This meta-analysis
suggested that angiotensinogen M235T polymorphism was associated with ischemic
stroke.
PMID- 26550209
TI - Prognostic role of microRNA-25 in cancers: evidence from a meta-analysis.
AB - BACKGROUND: miRNAs have been participated in human carcinogenesis as tumor
oncogenes or suppressors, and have a prognostic significant for patients with
cancers. In recent years, miR-25 was found associated with clinical value of
cancerous patients. Meanwhile the miR-25 showed a different expression in related
studies. Therefore, we summarize the results from a variety of studies using a
meta-analysis, in order to explain the prognostic value of miR-25 in many human
cancers. METHODS: The articles were retrieved from the on-line databases, PubMed,
EMBASE and CNKI. We extracted and estimated the hazard ratios (HRs) for overall
survival (OS), which compared the high and low expression levels of miR-25 in
patients with a different of cancers. Pooled HRs and 95% confidence intervals
(CIs) were calculated. RESULTS: Eight studies of 868 patients were selected into
the final meta-analysis after a strict filtering and qualifying process. Fixed
model or random model method was chosen depending on the heterogeneity between
the studies. For OS, higher miR-25 expression could significantly predict worse
outcome with the pooled HR of 2.434 (95% CI 1.330-3.539, P=0.000). The subgroup
analysis showed that high expressed miR-25 could worsen OS in Chinese patients
with pooled HR of 1.895 (95% CI 1.096-2.693, P=0.007). The sensitive analysis
showed that removing the document one by one, there no obvious change of HR of
0.823 (95% CI 0.385-1.260). No bias existed in the group. CONCLUSION: Our study
indicates that high expression level of miR-25 corresponds with poor survival in
cancerous patients, and the expression of miR-25 could be a promising prognostic
biomarker in the future.
PMID- 26550210
TI - Association between IL-17F rs763780 polymorphism and susceptibility of asthma: a
meta-analysis.
AB - Published data on the association between interleukin-17F (IL-17F) rs763780
polymorphism and asthma susceptibility are inconclusive. To derive a more precise
estimation of this association, a meta-analysis was performed. A literature
search was conducted in PubMed, Web of Science, Elsevier, Wanfang, and China
National Knowledge Infrastructure (CNKI) databases to identify eligible studies.
The pooled odds ratios (ORs) and corresponding 95% confidence intervals (CIs)
were used to calculate the strength of association. Sensitivity analysis was
performed to evaluate the influence of individual studies on the overall effect
estimates and funnel plots were inspected for indication of publication bias.
Seven studies with a total of 4200 subjects were finally identified. Overall, we
found no significant association between IL-17F rs763780 polymorphism and asthma
susceptibility (G vs. A: OR = 1.08, 95% CI = 0.81-1.44, P = 0.62; GA vs. AA: OR =
1.11, 95% CI = 0.84-1.47, P = 0.47; GG + GA vs. AA: OR = 1.07, 95% CI = 0.79
1.44, P = 0.65). After categorizing studies into different subgroups on the basis
of ethnicity and age, there remained no significant association (all P > 0.05).
Sensitivity analysis demonstrated the stability of our results and publication
bias was not evident. The present meta-analysis, combining all currently
available data, suggests that IL-17F rs763780 polymorphism is not associated with
the susceptibility of asthma.
PMID- 26550211
TI - Effects of combined alendronate and alfacalcidol on prevention of fractures in
osteoporosis patients: a network meta-analysis.
AB - BACKGROUND: Published literatures report controversial results about the effect
of combined treatment with alendronate and alfacalcidol for the prevention of
fractures in osteoporosis patients. METHODS: Seven common databases were searched
for related randomized controlled trials published up to April, 2015. Bayesian
random effects network meta-analysis was used to assess the pairwise odds ratios
(OR), 95% credible intervals (CI). RESULTS: Thirteen randomized controlled trials
were identified (3710 patients). The network meta-analysis results indicated that
combining treatment with alendronate and alfacalcidol was significantly better to
prevent bone fractures in osteoporosis patients than alendronate (OR=0.53, 95%
CI: 0.19-0.95) and alfacalcidol (OR=0.25, 95% CI: 0.08-0.49). In addition, there
was no significant difference for adverse events among the three therapeutic
regimen. CONCLUSIONS: Combined treatment with alendronate and alfacalcidol was
more active than the monotherapies in preventing bone fractures in osteoporosis
patients. Large-scale randomized, controlled trials are recommended to confirm
the result.
PMID- 26550212
TI - Comparison of dietary energy and macronutrient intake at different levels of
glucose metabolism.
AB - The aim of this study was to evaluate energy and glycolipid metabolism by
determining the intake of energy and macronutrients in persons with differing
glucose metabolisms. In total, 147 patients who were newly diagnosed with pre
diabetes, 177 patients with diabetes, 139 patients who were previously diagnosed
with diabetes, and 140 patients with normal blood sugar were selected from the
103rd Regiment of Xinjiang. All patients had Han nationality and were over 30
years old. Their energy and macronutrient intakes were analyzed from data
obtained from a 3-day food weighing household investigation. Compared to the
normal group, the patients in the previously and newly diagnosed diabetic groups
were older, less educated, and had a greater prevalence of hypertension (P<0.05).
Compared to the normal group, patients with abnormal glucose metabolism had
larger waist circumferences; higher systolic and diastolic blood pressure; higher
postprandial glucose; higher total cholesterol; lower high-density lipoprotein
cholesterol (HDL-C; P<0.05); higher intakes of energy, carbohydrates, and fat;
and lower intakes of protein and fiber. In addition, the newly and previously
diagnosed patients had higher fasting glucose levels (P<0.05). Compared to the
normal group, patients with abnormal glucose metabolism in each sex subgroup also
had larger waist circumferences, and more men had abdominal obesity (P<0.05).
Diabetes or pre-diabetes patients had a higher intake of energy, carbohydrates,
and fat, but a lower intake of proteins and fiber. They had severe abdominal
obesity, a greater prevalence of hypertension, higher total cholesterol levels,
lower HDL-C, and poor blood glucose and glycosylated hemoglobin levels,
especially postprandial plasma glucose levels.
PMID- 26550213
TI - Biocompatibility and drug release behavior of chitosan/poly (vinyl alcohol)
corneal shield in vivo.
AB - Backgound: Chitosan/polyvinyl alcohol corneal cap has good biocompatibility and
drug slow release characteristics, which provided new treatment method for
anterior segment disease. Our study was to evaluate biocompatibility of poly
(vinyl alcohol)/chitosan corneal shield's intraocular and investigate its
feasibility to treat ocular surface disorders. METHODS: Thirty-six white rabbits
were randomly divided into four groups. Slit lamp observation were conducted at
1, 3, 7 and 10 days after operation. Corneal and conjunctiva tissue harvested
from the experimental groups was observed by HE staining 10 days after operation.
The aqueous humor was aspirated from the anterior chamber at each designated time
point (1, 3, 7 and 10 days). The cornea and conjunctive were collected at 10
days. The concentration of each tissue was analyzed by ultra-performance liquid
chromatography and microscope observation. RESULTS: In all groups, mild hyperemia
was observed 1 day after operation, and there was no obvious inflammatory
reaction occurring on the seventh and tenth day. No corneal edema and
inflammatory reaction of anterior chamber occurred till the tenth day. For
histopathology, there was no obviously mild chronic and inflammatory reaction
occurred, and no significant difference between the corneal shield with-in groups
and with-out groups. The drug concentrations in corneal and conjunctival in group
(A, B) were significantly lower than eye drops in the control group (C, D), and
blank corneal cover in group C was significantly sham operation in group D.
CONCLUSION: The results indicated that the proposed membrane combined with
ophthalmic solution has substantial potential as ocular delivery system.
PMID- 26550214
TI - Molecular mechanism of HEIH and HULC in the proliferation and invasion of
hepatoma cells.
AB - OBJECTIVE: To study the expression and molecular mechanism of long noncoding RNAs
(lncRNA) including HEIH and HULC in proliferation and invasion of hepatoma cells.
METHODS: We detected the expression of HEIH and HULC in hepatocellular carcinoma
cell line (MHCC97L and HepG2), as well as in human normal hepatocyte line (cHL
7702) by real-time PCR. Using MTT and transwell, we investigated the effect of
HEIH and HULC on proliferation and invasion of hepatoma cells with siRNA and
expression plasmid. To explore the molecular mechanism, we use western blot to
reveal the role of HEIH and HULC in tumor invasion related gene expression.
RESULTS: The expression of HEIH and HULC in hepatocellular carcinoma cell line
was significantly increased compared with human normal hepatocyte line (P<0.05).
The expression of HULC in HepG2 was higher than that in MHCC97L. The over
expression of HULC could enhance proliferation of MHCC97L and HepG2, however, the
over-expression of HEIH could not. The over-expression of HULC and HEIH could
promote invasion of MHCC97L and HepG2. Invasion of MHCC97L and HepG2 did not have
significant change after down-regulating of HEIH and HULC by siRNA. Over
expression of HULC up-regulated the expression of Snail in HepG2. CONCLUSIONS:
The expression of HEIH and HULC increased significantly in hepatocellular
carcinoma cell line compared with that in human normal hepatocyte line. HULC
could promote proliferation of hepatoma cells. HEIH and HULC play an important
role in the invasion of hepatocellular carcinoma cell.
PMID- 26550215
TI - Comparison of the efficacy and safety of laparoscopic-assisted operations and
open operations for Hirschsprung's disease: evidence from a meta-analysis.
AB - PURPOSE: To determine the efficacy and safety of laparoscopic-assisted operations
compared with open operations, used for the treatment of Hirschsprung's disease
(HD). METHODS: Pertinent studies were identified by searching of PubMed and Web
of Science. We analyzed dichotomous variables by estimating odds ratios (OR) with
their 95% confidence interval (CI) and continuous variables using the weighted
mean difference (WMD) with the 95% CI. The random effect model was used to
combine the results. RESULTS: Nine articles involving a total of 421 patients
were included in this meta-analysis. For operation time of patients with HD,
pooled data demonstrated a significantly shorter time in the laparoscopic
operations group compared with open operations group (WMD = -0.27, 95% CI =
0.49, -0.05). The intraoperative blood loss was fewer in the laparoscopic
operations group than open operations group (WMD = -1.05, 95% CI = -1.56, -0.54).
The length of postoperative hospital was significantly shorter in the
laparoscopic operations group. The number of complications was significantly
lower in laparoscopic operations group than open operations group. CONCLUSIONS:
Our results suggested that laparoscopic-assisted operation is generally safer and
more reliable than open operation for patients with HD.
PMID- 26550216
TI - The role of great auricular-facial nerve neurorrhaphy in facial nerve damage.
AB - BACKGROUND: Facial nerve is easy to be damaged, and there are many reconstructive
methods for facial nerve reconstructive, such as facial nerve end to end
anastomosis, the great auricular nerve graft, the sural nerve graft, or
hypoglossal-facial nerve anastomosis. However, there is still little study about
great auricular-facial nerve neurorrhaphy. The aim of the present study was to
identify the role of great auricular-facial nerve neurorrhaphy and the mechanism.
METHODS: Rat models of facial nerve cut (FC), facial nerve end to end anastomosis
(FF), facial-great auricular neurorrhaphy (FG), and control (Ctrl) were
established. Apex nasi amesiality observation, electrophysiology and
immunofluorescence assays were employed to investigate the function and
mechanism. RESULTS: In apex nasi amesiality observation, it was found apex nasi
amesiality of FG group was partly recovered. Additionally, electrophysiology and
immunofluorescence assays revealed that facial-great auricular neurorrhaphy could
transfer nerve impulse and express AChR which was better than facial nerve cut
and worse than facial nerve end to end anastomosis. CONCLUSIONS: The present
study indicated that great auricular-facial nerve neurorrhaphy is a substantial
solution for facial lesion repair, as it is efficiently preventing facial muscles
atrophy by generating neurotransmitter like ACh.
PMID- 26550217
TI - Cytotoxic effects of beta-carboline alkaloids on human gastric cancer SGC-7901
cells.
AB - To investigate the cytotoxic effects of beta-carboline alkaloids on human gastric
cancer SGC-7901 cells. Human gastric cancer SGC-790s1 cells were treated with
beta-carboline alkaloids at the concentration of 0, 10, 20, 30 and 40 MUg/ml for
48 hr. Cell viability was measured by Cell Counting Kit-8 assay. Cell apoptosis
was detected by Hoechst 33258 staining and DNA fragmentation analysis. The
expression of phosphatase and tensin homolog (PTEN) and extracellular signal
regulated kinase (ERK) was examined by quantitative real-time PCR (qRT-PCR) assay
and western blot analysis. beta-carboline alkaloids inhibited the growth of SGC
7901 cells concentration dependently. beta-carboline alkaloids treated SGC-7901
cells displayed apoptotic nuclei as detected using Hoechst 33258 staining. beta
carboline alkaloids also induced DNA ladder, indicative of apoptosis in SGC-7901
cells concentration-dependently. Furthermore, beta-carboline alkaloids increased
PTEN and decreased ERK mRNA expression in SGC-7901 cells in a concentration
dependent manner. They also increased PTEN and decreased ERK protein expression.
beta-carboline alkaloids inhibit the growth and induce apoptosis of SGC-7901
cells. The cytotoxic effects of beta-carboline alkaloids might correlate with
increased PTEN expression and decreased ERK expression in SGC-7901 cells.
PMID- 26550218
TI - Association of CCND1 gene polymorphism with cervical cancer susceptibility in
Caucasian population: a meta-analysis.
AB - OBJECTIVE: To study G870A polymorphism in CCND1 gene and the risk of cervical
cancer susceptibility in Caucasian population by meta-analysis. METHODS: Search
the correlative study of G870A polymorphism in CCND1 gene and cervical cancer
susceptibility in PubMed and EMBASE database, and extract the reference data
according to the including criteria. We used RevMan 5.2 software to merge the OR
value and 95% confidence interval and to perform meta-analysis. RESULTS: Five
case-control studies were enrolled into the analysis, including 1665 patients
with cervical cancer and 2511 healthy people as control. It was revealed by meta
analysis that, in the Caucasian population, there was no significant correlation
between G870A polymorphism in CCND1 gene and the risk of cervical cancer (G
allele vs. A: OR = 1.01, 95% CI = 0.80-1.27, P = 0.95; AA vs. GA + GG: OR = 1.13,
95% CI = 0.98-1.30, P = 0.10; (GA + AA) vs. GG: OR = 1.15, 95% CI = 0.72-1.85, P
= 0.55). CONCLUSION: G870A polymorphism in CCND1 gene may be uncorrelated with
the development of cervical cancer in Caucasian population.
PMID- 26550219
TI - Effects of combined thymosin and hydrocortisone on immune response in septic
mice.
AB - This study is to investigate the effects of the thymosin alpha1 (Talpha1) and
hydrocortisone (HC) combination treatment on the immune responses in septic mice.
According to different treatments, mice were divided into the control group (n =
18), the sepsis model group (n = 18), the Talpha1 group (n = 18), the HC group (n
= 18), and the Talpha1+HC group (n = 18). Septic mouse model was established by
the intraperitoneal injection of lipopolysaccharide (LPS). At 72 h after
modeling, flow cytometry was used to analyze the dendritic cell (DC) numbers in
peripheral blood and the expressions of MHC II and CD86. Tumor necrosis factor
alpha (TNF-alpha) level was measured by ELISA. Treatments of Talpha1 and/or HC
dramatically increased the survival rates of LPS-induced septic mice. Flow
cytometry showed that, the DC numbers in peripheral blood were significantly
decreased in the sepsis model group, which could be dramatically elevated by
Talpha1 treatment alone and in combination with HC (Talpha1+HC). However, the DCs
were undetectable in the HC group. In addition, the MHC II expression level was
decreased in the sepsis model group, which was further declined in the Talpha1
and Talpha1+HC groups. The expression level of CD86 was elevated in the model
group, which could be significantly down-regulated by the treatments of Talpha1
and Talpha1+HC. ELISA showed that, the peripheral blood TNF-alpha level in the HC
group was lower than in the sepsis model group. Compared with the sepsis model
group, the TNF-alpha levels were significantly elevated in the Talpha1 and
Talpha1+HC groups. Talpha1 and HC combination treatment could improve the immune
function and regulate the inflammatory response to increase the survival rates of
LPS-induced septic mice.
PMID- 26550220
TI - Protection effect of survivin protein overexpression on acute myocardial
infarction in rats.
AB - To investigate the protective effect of adenovirus mediated Survivin protein
overexpression on acute myocardial infarction in rats. 45 acute myocardial
infarction rat models were constructed by suture method and were randomly divided
into sham group, model group and treatment group. The treatment group was
injected with Survivin gene packed virus via ventricle. The model group was
injected with equal titer of adenovirus packed empty vector. The sham group was
not ligated. These rats were killed in 96 h after treatment. The levels of
Survivin, Caspase-3, caspase-7 mRNA and protein in myocardial tissues were
detected by real-time fluorescence quantitative PCR and Western blot. Myocardium
tissue cell apoptosis were analyzed by TUNEL staining, the immunology of
myocardial infarction tissue was analyzed by TTC staining. Compared with model
group and sham group, the level of survivin protein in myocardium tissue of rats
in treatment group was significantly increased (P<0.05). Compared with sham
group, the levels of caspase-3 and caspase-7 mRNA and protein in myocardial
tissue of rats in model group and treatment group were significantly increased,
but the treatment group were significantly lower than those of model group
(P<0.05). The myocardium cell apoptosis index and myocardial infarction areas of
rats in model group and treatment group were significantly higher than those of
sham group, but the treatment group were significantly lower than those of model
group (P<0.05). In conclusion, Survivin protein overexpression in myocardial
tissue can significantly inhibit the expression of apoptosis promoting factor in
myocardial tissue of acute myocardial infarction rats, reduce the apoptosis index
of myocardial cells and the myocardial infarct size, which has great significance
for protecting myocardial function.
PMID- 26550221
TI - Abductor digiti minimi muscle flap transfer to prevent wound healing
complications after ORIF of calcaneal fractures.
AB - OBJECTIVES: To examine the transfer of abductor digiti minimi (ADM) muscle flaps
as a method for preventing wound healing complications in cases of closed
calcaneal fractures treated with open reduction and internal fixation (ORIF).
METHOD: DESIGN: Retrospective review. PATIENTS: Twenty-six cases of acute closed
calcaneal fracture in patients at risk for serious wound complications or with
serious fractures. INTERVENTION: During the ORIF surgery, an ADM muscle flap was
removed and used to cover the plate, filling the gap between the plate and skin.
MAIN OUTCOME MEASURES: Wound healing rates, postoperative complications, and time
to heal. RESULTS: All wounds healed uneventfully, except for one case of minor
superficial epithelial necrosis during the early postoperative period, which was
treated conservatively. All patients regained ambulatory status with regular foot
apparel. At last follow-up, the patients presented no clinical, laboratory, or
radiological signs of complications. CONCLUSIONS: This ADM muscle flap transfer
technique appeared to successfully prevent wound healing complications among
patients undergoing ORIF for closed calcaneal fractures. This method offers a
promising treatment option for calcaneal fractures in patients at high risk for
serious wound complications, and future studies with greater numbers of cases are
needed to further investigate its clinical application.
PMID- 26550222
TI - Short interfering RNA directed against the GOLPH3 gene enhances the effect of
chemotherapy against oral squamous cell carcinoma by regulating Caspase3, Bcl2
and cytochrome-c expression.
AB - Growing evidence reported that Golgi phosphoprotein 3 (GOLPH3) was involved in
the progression of several human cancers. To determine whether knockout of GOLPH3
enhances the effect of Chemotherapy against cell growth of oral squamous cell
carcinoma in vitro. OSCC cells were transfected with Golph3 plasmid, Golph3-RNAi
and the relative control plasmids. Transfected Tca-8113 cells treated with cis
Dichlorodiamineplatinum (DDP; 0, 0.05, 0.25, 1.25, 6.25 and 31.25 ug/ml) or
Paclitaxe (0, 2, 10, 50, 250 and 1250 nM) or Adriamycin (0, 0.25, 0.5, 1, 2 and 4
ug/ml) for 24 h, respectively, was determined using MTT assay. Apoptosis-related
protein expression Cytochrome-C, Caspase3 and Bcl-2 was analyzed by RT-PCR and
western blots. Result of MTT showed that Golph3-RNAi transfected Tca-8113 cells
enhanced the effect of chemotherapy, and the effect was strengthened with the
increasing concentration of drugs, and the Golph3 plasmid transfected Tca-8113
cells showed the opposite effect. RT-PCR and western blots assays revealed that
expression of cytochrome-C and caspase3 were up-regulated, while Bcl-2 expression
was down-regulated in Golph3-RNAi transfected Tca-8113 cells. Taken together,
this study demonstrated that GOLPH3 had potent pro-tumor growth and decreased the
effect of Chemotherapy, and its mechanism is primarily via cell anti-apoptosis,
down-regulating the expression of cytochrome-C and caspase3, up-regulating Bcl-2
expression.
PMID- 26550223
TI - Laparoscopic surgery for removal of choledochal cysts and Roux-en-Y anastomosis.
AB - Choledochal cyst is prevalent in female than male. Diagnosis and management of
choledochal cyst is important in clinical practice, or else, patients may develop
cholangiocarcinoma. Currently, complete resection is the standard method for the
treatment of choledochal cyst. However, traditional open surgery is usually
needed in these procedures, which may induce postoperative injuries. In this
case, we present our experiences for the management of choledochal cyst through
radical removal combined with Roux-en-Y anastomosis under laparoscope. Our
procedures are minimally invasive and the outcomes are satisfactory during the
follow up.
PMID- 26550224
TI - The serum levels of MMP-9, MMP-2 and vWF in patients with low doses of urokinase
peritoneal dialysis decreased uremia complicated with cerebral infarction.
AB - To investigate the effect of MMP-9, MMP-2 and vWF in patients with low doses of
urokinase peritoneal dialysis decreased uremia complicated with cerebral
infarction. 112 cases of uremia complicated with cerebral infarction were
randomly divided into the peritoneal dialysate with urokinase treatment group (66
cases) and the conventional treatment group (46 cases). At the same time, 50
cases of healthy people who were more than 45 years old were enrolled in the
control group. The basic treatment in both treatment groups was the same. In
urokinase therapy group based on the conventional treatment, urokinase was added
into peritoneal dialysis fluid, and changes of serum MMP-9, MMP-2 and vWF were
observed by drawing blood at different time points within 8 weeks. The changes of
serum MMP-2, MMP-9 and vWF were detected by enzyme-linked immunosorbent assay. At
the time of the onset of uremia complicated with cerebral infarction patients the
serum MMP-9, MMP-2, vWF were significantly higher (P<0.05, P<0.05, P<0.01).
Conventional antiplatelet therapy in brain protection only reduce MMP-9 to the
normal range (P>0.05) within 8 weeks. But the MMP-2 and vWF cannot be reduced to
the normal range (P<0.01, P<0.01). Low doses of urokinase can reduce MMP-9 (7 d)
and MMP-2 (14 d) to the normal range (P>0.05, P>0.05) at the early stage and
decrease the vWF to a normal range within 8 weeks (P>0.05). At the time of the
onset of uremia complicated with cerebral infarction patients the serum MMP-9,
MMP-2 and vWF increased significantly. Low doses of urokinase dialysis can reduce
serum MMP-9, MMP-2, and vWF in acute uremia complicated with cerebral infarction
without recurrence of cerebral infarction and cerebral hemorrhagic
transformation, indicating that low dose of urokinase peritoneal dialysis may
have a certain effect on the early treatment of this disease.
PMID- 26550225
TI - Association of DNMT3B -283 T > C and -579 G > T polymorphisms with decreased
cancer risk: evidence from a meta-analysis.
AB - Numerous studies have explored the association of polymorphisms in the DNA
methyltransferase 3b (DNMT3B) gene with the risk of different types of cancer,
but yielded controversial results. Therefore, we performed a meta-analysis to
derive a more precise estimation of the association between three widely-studied
DNMT3B polymorphisms and overall cancer susceptibility. Totally, 4 studies with
1234 cases and 1337 controls were eligible for DNMT3B -283 T > C (rs6087990), 19
studies with 5332 cases and 7407 controls for DNMT3B -149 C > T (rs2424913), and
14 studies with 3933 cases and 4436 controls for DNMT3B -579 G > T (rs1569686).
Overall, DNMT3B -283 T > C was associated with a significantly reduced risk of
overall cancer (T vs. C: OR = 0.84, 95% CI = 0.71-0.99, P = 0.039). Likewise, the
association of DNMT3B -579 G > T with a decreased overall cancer risk was also
observed (heterozygous: OR = 0.77, 95% CI = 0.65-0.91, P = 0.003 and dominant: OR
= 0.80, 95% CI = 0.66-0.98, P = 0.029); in the subgroup analysis, the protective
association was found for lung and colorectal cancer, but not for head and neck
cancer. Finally, the pooled analysis showed no significant association between
DNMT3B -149 C > T and overall cancer susceptibility, but stratification analysis
indicated that this polymorphism decreased the risk of developing head and neck
cancer (heterozygous: OR = 0.73, 95% CI = 0.59-0.90, P = 0.003 and dominant: OR =
0.76, 95% CI = 0.61-0.93, P = 0.009). In conclusion, our results suggested that
DNMT3B -283 T > C and DNMT3B -579 G > T but DNMT3B -149 C > T might confer
protection against overall cancer risk. In the future, large and well-designed
case-control studies are needed to validate our findings.
PMID- 26550226
TI - Evaluation of three-dimensional printing for internal fixation of unstable pelvic
fracture from minimal invasive para-rectus abdominis approach: a preliminary
report.
AB - OBJECTIVE: The aim of this study is to evaluate the efficacy and feasibility of
three-dimensional printing (3D printing) assisted internal fixation of unstable
pelvic fracture from minimal invasive para-rectus abdominis approach. METHODS: A
total of 38 patients with unstable pelvic fractures were analyzed retrospectively
from August 2012 to February 2014. All cases were treated operatively with
internal fixation assisted by three-dimensional printing from minimal invasive
para-rectus abdominis approach. Both preoperative CT and three-dimensional
reconstruction were performed. Pelvic model was created by 3D printing. Data
including the best entry points, plate position and direction and length of screw
were obtained from simulated operation based on 3D printing pelvic model. The
diaplasis and internal fixation were performed by minimal invasive para-rectus
abdominis approach according to the optimized dada in real surgical procedure.
Matta and Majeed score were used to evaluate currative effects after operation.
RESULTS: According to the Matta standard, the outcome of the diaplasis achieved
97.37% with excellent and good. Majeed assessment showed 94.4% with excellent and
good. The imageological examination showed consistency of internal fixation and
simulated operation. The mean operation time was 110 minutes, mean intraoperative
blood loss 320 ml, and mean incision length 6.5 cm. All patients have achieved
clinical healing, with mean healing time of 8 weeks. CONCLUSION: Three
dimensional printing assisted internal fixation of unstable pelvic fracture from
minimal invasive para-rectus abdominis approach is feasible and effective. This
method has the advantages of trauma minimally, bleeding less, healing rapidly and
satisfactory reduction, and worthwhile for spreading in clinical practice.
PMID- 26550228
TI - Sonographic assessment of placental location: a mere notional description or an
important key to improve both pregnancy and perinatal obstetrical care? A large
cohort study.
AB - During a standard obstetrical sonogram, the assessment of placental location (PL)
is often limited to a mere notional description without formulating any
association to possible implications on pregnancy and childbirth. The aim of the
study was to speculate if different sites of PL may have a role in influencing
fetal presentation-(FP) at birth and if certain pregnancy-complications may be
more closely associated with one rather than with another PL. We conducted an
observational-prospective-cohort study on pregnant women referred to the Ob/Gyn
Unit of Padua University for routine third-trimester ultrasound scan. For all
eligible patients we evaluated the correlation between sites of PL and perinatal
maternal/fetal outcomes. Non-cephalic presentation was found in 1.4% of anterior,
8.9% of posterior, 6.2% of fundal and 7.2% of lateral insertions. FP at the
beginning of the third trimester as opposed to presentation at birth was
concordant in 90.3% of anterior, 63.3% of posterior and 76.5% of lateral
insertions. Considering only non-cephalic fetuses we observed a decreasing
probability for spontaneous rotation in the following lies: 88% anterior-PL, 80%
posterior-PL, 77% lateral-PL, and 70% fundal-PL. Patients with posterior-PL
(significantly associated with previous-CS) had a significantly higher CS-rate
(due to previous-CS and breech-presentation). Significant differences were found
in terms of gestational-hypertension and fresh-placental-weight between different
sites of PL. In conclusion our data showed that an understanding of the role that
PL plays in influencing the incidence of certain maternal-fetal conditions may
assist Clinicians in improving perinatal maternal/fetal outcomes.
PMID- 26550229
TI - Investigation of relationship of visceral body fat and inflammatory markers with
metabolic syndrome and its components among apparently healthy individuals.
AB - Metabolic syndrome is a cluster of disorders and great risk for cardiovascular
diseases. We aimed to investigate association between severity of metabolic
syndrome (MetS) and anthropometric measurements, and to evaluate correlation of
MetS and its components with metabolic deterioration and inflammatory indexes.
The cross-sectional study enrolled 1474 patients with obesity and overweight. The
patients were grouped as MetS and Non-MetS, and were sub-grouped as group 1
(three criteria), 2 (four criteria) and 3 (>= five criteria) according to NCEP
ATP III. Mean age was 38.7 +/- 11.9 years and BMI was 35.1 +/- 6.3 kg/m(2). Lipid
profile, anthropometric and blood pressure measurements, liver function tests,
bioelectric impedance body fat compositions, insulin resistance and HbA1c, and
spot urinary albumin-creatinine ratio were significantly different between groups
of MetS and Non-MetS. Age, lipid profile, bioelectric impedance fat analyses,
BMI, blood pressure values, glucose, insulin resistance, uric acid and hs-CRP
levels were significantly different between groups of MetS component groups. ROC
analysis revealed that hs-CRP was found to be more predictive for severity of
metabolic syndrome components 3 and 4 (P=0.030); uric acid and visceral fat were
more actual to predict severity of metabolic syndrome between 3 and 5 MetS
components, (P=0.006) and uric acid was detected as more actual to predict
severity of MetS between 4 and 5 components (P=0.023). In conclusion, uric acid,
hs-CRP and visceral body fat composition were useful to predict to severity of
MetS in primary care.
PMID- 26550227
TI - Diverting stoma with anterior resection for rectal cancer: does it reduce overall
anastomotic leakage and leaks requiring laparotomy?
AB - Anastomotic leakage (AL) after resection for rectal carcinoma accelerates
morbidity and mortality rates, extends hospital stay, and increases treatment
costs, particularly when requiring laparotomy. The role of a protective diverting
stoma (DS) in avoiding leakage has repeatedly been discussed, but prospective
randomized studies on this subject are rare and their results contradictory. The
MEDLINE database was searched for studies of AL requiring laparotomy and of the
associated rate of protective DSs in initial anterior resection (AR) to review
these studies systematically. The collected data were used to determine the
average rate of AL requiring laparotomy after rectal cancer surgery in the DS
group compared with that in the non-DS group. A total of 930 abstracts were
retrieved from MEDLINE; 15 articles on AR and 22 on low/ultralow AR (LAR) were
included in the review and analysis. The overall rate of AL requiring laparotomy
was 6.57% (813/12, 376) in the AR studies and 4.13% (157/3, 802) in the LAR
studies. In the AR studies, the pooled AL rate in the DS group was higher than
that in the non-DS group (12.30% vs. 9.16%, P < 0.001). However, the pooled rate
of AL requiring laparotomy in the DS group was lower than that in the non-DS
group (3.69% vs. 7.42%, P < 0.001). In the LAR studies, the pooled AL rate in the
DS group was lower than that in the non-DS group (7.74% vs. 9.64%, P = 0.045).
The pooled rate of AL requiring laparotomy in the DS group was also lower than
that in the non-DS group (2.67% vs. 5.21%, P < 0.001). By contrast, the pooled
rate of definitive stomas and mortality caused by AL did not have any statistical
difference between the DS and non-DS groups in both AR studies (definitive
stomas: 0% vs. 0.65%; mortality: 0.95% vs. 1.19%) and LAR studies (definitive
stomas: 1.03% vs. 1.01%; mortality: 0.35% vs. 0.36%). Protective DSs
significantly decrease the rate of AL in LAR. AL requiring surgical correction
was significantly reduced in the DS group in both AR and LAR studies. Protective
DSs did not affect the definitive stomas and mortality rate; this lack of an
effect warrants further high-quality clinical trials.
PMID- 26550230
TI - The management of filter-related caval thrombosis complicated by heparin-induced
thrombocytopenia and thrombosis.
AB - This report evaluates the efficiency and safety of catheter-directed thrombolysis
(CDT) using tissue plasminogen activator (tPA) and argatroban for the treatment
of IVC filter thrombosis complicated by heparin-induced thrombocytopenia (HIT).
From October 2012 to December 2014, 19 patients with unilateral lower extremity
deep venous thrombosis were treated with standard anticoagulation, filter
placement and urokinase-based CDT, all of whom developed IVC filter thrombosis
and HIT. A revised protocol (tPA-based CDT and argatroban-based anticoagulation)
was performed to treat IVC thrombosis. The extent of lysis was graded from I to
III. Technical and clinical outcomes and complications were monitored. A total of
22 filters were implanted, 20 of which were retrieved later. The technical
success rate of revised CDT for IVC thrombosis was 100%. On evaluating IVC
thrombus, thirteen cases (68.4%, 13/19) were identified as grade III (complete
resolution of thrombus) and six (31.6%, 6/19) as grade II (50-99% resolution of
thrombus). No major bleeding related to CDT occurred. HIT in all patients was
successfully treated with argatroban. Two patients with malignant tumor died
during the follow-up. For patients with IVC filter thrombosis complicated by HIT,
it seems tPA-based CDT and argatroban is an alternative regimen.
PMID- 26550231
TI - Metformin therapy and prostate cancer risk: a meta-analysis of observational
studies.
AB - OBJECTIVE: Several observational studies have shown that metformin therapy may
modify the risk of prostate cancer. We carried out a meta-analysis of relevant
studies evaluating the effect of metformin therapy on prostate cancer risk.
METHODS: We searched pubmed database (January 1966-February 2014) for case
control and cohort studies that assessed metformin therapy and prostate cancer
risk. Two authors independently assessed eligibility and extracted data. Summary
RRs was calculated using fixed-effects model or random-effects model.
Heterogeneity among studies was examined using Q and I(2) statistics. RESULTS: We
included six cohort studies and four case-control studies in the present meta
analysis, comprising 863,769 participants and 39,073 prostate cancer cases. The
pooled RR of prostate cancer in relation to metformin therapy was 0.92 (95% CI:
0.84-1.02, P = 0.112). When we stratified the various studies by study type, we
found that metformin therapy was associated with a significant reduced risk of
prostate cancer among cohort studies (RR = 0.92, 95% CI [0.87, 0.96], P<0.001);
however, no significant association was detected among case-control studies (RR =
0.95, 95% CI [0.78, 1.16], P = 0.632). There was also no indication of
publication bias as suggested by Begg's test (P = 0.421) and Egger's test (P =
0.627). CONCLUSION: Our findings indicate that metformin therapy is not
significantly associated with lower prostate cancer risk.
PMID- 26550232
TI - Echocardiographic evaluation of pulmonary venous blood flow and cardiac function
changes during one-lung ventilation.
AB - OBJECTIVES: The intra-pulmonary shunt induced by one-lung ventilation (OLV), is
alleviated by increased pulmonary blood flow by gravitational redistribution and
hypoxic pulmonary vasoconstriction. We investigated the changes of pulmonary
venous blood flow (PVBF) and biventricular function during OLV with
echocardiography. And the correlation between PVBF and intra-pulmonary shunt
fraction (Qs/Qt) was evaluated. METHODS: PVBF of the left upper pulmonary vein
and cardiac function were measured with echocardiography in twenty-five patients
who underwent elective thoracic surgery in left lateral decubitus. Qs/Qt and PaO2
were measured with blood gas analysis. Data was obtained at 10 min after two-lung
ventilation in supine (TLV-S) and lateral decubitus position (TLV-L), and at 10,
20 and 30 min after OLV in lateral decubitus position (OLV-10, -20 and -30).
RESULTS: There were significant changes in PVBF among TLV-S, TLV-L and OLV-10
(959.5+/-280.8, 1416.9+/-489.7 and 1999.9+/-670.5 ml/min; P<0.05, respectively).
There were not differences in PVBF, Qs/Qt and PaO2 among OLV-10, -20 and -30.
There were an inverse correlation between percent change of PVBF and change of
Qs/Qt (r(2) = 0.5; P<0.0001) and positive correlations between the percent change
of PVBF and change of PaO2 (r(2) = 0.4; P<0.0001) during OLV over TLV-L. No
significant changes in biventricular systolic and diastolic function were
observed during positional change and OLV. CONCLUSIONS: A remarkable change of
PVBF relevant to gravitational distribution and hypoxic pulmonary
vasoconstriction was proved by echocardiography. And PVBF changes could represent
the changes of Qs/Qt and PaO2 during OLV. However, biventricular function was not
impaired during OLV.
PMID- 26550233
TI - Co-transplantation of hippocampal neural stem cells and astrocytes and
microvascular endothelial cells improve the memory in ischemic stroke rat.
AB - BACKGROUND: Neural stem cells (NSCs) are promising for ischemia stroke because
they can replace damaged or lost cells. However, the adult central nervous system
(CNS) does not provide an optimal microenvironment for exogenous NSCs to survive,
proliferation and differentiation. We established a co-transplantation system
with NSCs and astrocyte and brain microvascular endothelial cells (BMECs) to
explore whether it can improve the memory ability in ischemic stroke rat.
METHODS: After building the ischemic stroke in 50 rats by middle cerebral artery
occlusion and reperfusion (MCAO/R), transplantation of NSCs and astrocyte and
BMECs were performed with different combination. RESULTS: Laser doppler flowmetry
and MRI were used to detect the ischemia of the model and 42 rats survived for
the Morris water-maze test. The test shows that co-transplantation with the three
different cells together can improve memory deficits in MCAO/R rat and it is the
most effect group. Grafting with two cells have more effect in memory improving
than one cell while transplanting NSC alone has no obvious effect on memory
improving. CONCLUSIONS: In NSC niche, astrocytes and BMECs are the most important
cells to regulate and interaction with NSCs. Co-transplantation NSCs with
astrocyte and BMECs can improve the memory ability in ischemia rat, which maybe
the result of microenvironment improve by the astrocyte and BMECs.
PMID- 26550234
TI - Diagnostic value of virtual touch tissue imaging quantification for benign and
malignant breast lesions with different sizes.
AB - The study was to explore diagnostic value of the virtual touch tissue imaging
quantification (VTIQ) in distinguishing benign and malignant breast lesions of
variable sizes. We performed conventional ultrasound and VTIQ in 139 breast
lesions. The lesions were categorized into three groups according to size (group
1, <= 10 mm; group 2, 10-20 mm; and group 3, > 20 mm), and their mean, min, and
max shear wave velocities (SWVs) were measured. Diagnoses were confirmed by
pathological examination after surgery or needle biopsy. Receiver-operating
characteristic curves (ROC) were constructed to determine the optimum cut-off
values, calculate the area under curve (AUC), the sensitivity, specificity and
accuracy for each velocity. For all groups, the mean, min, and max SWVs of
malignant lesions were significantly higher than those of benign lesions (P <
0.05). The cut-off values of mean, min, and max SWVs were not significantly
different among the three groups. In addition, the diagnostic performance of
mean, min, and max SWV values is analogous, regardless of lesion size. In
conclusion, VTIQ is a strong complement to conventional ultrasound, which is a
promising method in the differential diagnosis of the breast lesions with
different sizes. Further studies validate our results as well as reduce the
number of unnecessary biopsies, regardless of size is warranted.
PMID- 26550235
TI - Lentivirus-Mediated knockdown of tectonic family member 1 inhibits
medulloblastoma cell proliferation.
AB - Tectonic family member 1 (TCTN1) encodes a member of the tectonic family which
are evolutionarily conserved secreted and transmembrane proteins, involving in a
diverse variety of developmental processes. It has been demonstrated that
tectonics expressed in regions that participate in Hedgehog (Hh) signaling during
mouse embryonic development and was imperative for Hh-mediated patterning of the
ventral neural tube. However, the expression and regulation of tectonics in human
tumor is still not clear. In this study, shRNA-expressing lentivirus was
constructed to knockdown TCTN1 in medulloblastoma cell line Daoy. The results
showed that knockdown of TCTN1 inhibited cell proliferation and colony formation
in Daoy cell line, also caused cell cycle arrest at the G2/M boundary. Taken all
together, our data suggest that TCTN1 might play an important role in the
progression of medulloblastoma.
PMID- 26550236
TI - Vibration exercise decreases insulin resistance and modulates the insulin
signaling pathway in a type 2 diabetic rat model.
AB - Vibration exercise (VE) is a new type of physical training, but little is known
about its effects on insulin resistance at the molecular level. A Sprague-Dawley
rat model of type 2 diabetes with insulin resistance was induced with a high-fat
diet and low-dose streptozotocin. Animals were then subjected to 8 wk of VE
consisting of placing the rats on a vibration stand bracket (8 cm * 8 cm * 20 cm)
with a maximum vertical vibration displacement of 52 mm for 15 min twice a day, 6
d each week. Various metabolic markers and the phosphorylation and expression of
proteins within the PI3K/AKT insulin signaling pathway were assessed. The high
fat diet and low-dose streptozotocin increased food intake, body weight, and
levels of blood glucose, triglycerides, total cholesterol, and free fatty acids,
while Kitt rate, 2-deoxyglucose uptake, and glycogen levels were decreased. These
effects were ameliorated in animals receiving VE. VE treatment activated the
PI3K/AKT insulin-signaling pathway, and also increased the expression of GLUT4.
In conclusion, VE improved the metabolic issues associated with the diabetic
state by suppressing the reduction of IRS1, AKT2, and GLUT4 in the diabetic
condition, indicating that VE could be used as a therapeutic intervention for
insulin resistance and type 2 diabetes.
PMID- 26550237
TI - Imaging findings of bile duct hamartomas: a case report and literature review.
AB - Bile duct hamartomas (BHs), also called von Meyenburg complex (VMC), are benign
biliary malformations that originate from disorganization of the small
intrahepatic bile ducts. This disorganization is often associated with the
abnormal involution of embryonic ductal end plates in the liver. This is
clinically significant, as the development of BHs can cause diagnostic confusion
with liver metastases and small hepatocellular carcinoma (SHCC). Currently, we
report a specific case of BHs and review the literature to better define and
diagnose BHs. In the following case, a 37 year-old male bearing a lesion in his
liver is presented and undergoes both radiological and pathological diagnosis.
The lesion is preliminarily suspected to be a hepatic hemangioma by examination
of conventional ultrasound (US), contrast enhanced ultrasound (CEUS),
computerized tomographic scanning (CT) and magnetic resonance imaging (MRI).
However, SHCC is suspected by follow-up analysis of US and CEUS, due to the
patient's background history of hepatitis B and growth of the lesion and a tumor
feeding vessel in BHs via CEUS. However, BHs are finally diagnosed by biopsy
pathology under the guidance of ultrasound. Therefore, we believe pathology is
imperative for correct diagnosis of BHs over other similar diseases when the
imaging findings are atypical. Here we report the novel and unique detection of a
tumor-feeding vessel, which mimicked SHCC strongly, during the course of CEUS. We
also present a comprehensive review of the previous reported radiological
examination related to BHs.
PMID- 26550238
TI - Osteogenic differentiation of CD271(+) cells from rabbit bone marrow cultured on
three phase PCL/TZ-HA bioactive scaffolds: comparative study with mesenchymal
stem cells (MSCs).
AB - Tissue engineering is one of the major challenges of orthopedics and trauma
surgery for bone regeneration. Biomaterials filled with mesenchymal stem cells
(MSCs) are considered the most promising approach in bone tissue engineering.
Furthermore, our previous study showed that the multi-phase poly [epsilon
caprolactone]/thermoplastic zein-hydroxyapatite (PCL/TZ-HA) biomaterials improved
rabbit (r) MSCs adhesion and osteoblast differentiation, thus demonstrating high
potential of this bioengineered scaffold for bone regeneration. In the recent
past, CD271 has been applied as a specific selective marker for the enrichment of
MSCs from bone marrow (BM-MSCs). In the present study, we aimed at establishing
whether CD271-based enrichment could be an efficient method for the selection of
rBM-MSCs, displaying higher ability in osteogenic differentiation than non
selected rBM-MSCs in an in vitro system. CD271(+) cells were isolated from rabbit
bone marrow and were compared with rMSCs in their proliferation rate and
osteogenic differentiation capability. Furthermore, rCD271(+) cells were tested
in their ability to adhere, proliferate and differentiate into osteogenic
lineage, while growing on PCL/TZ-HA scaffolds, in comparison to rMSCs. Our result
demonstrate that rCD271(+) cells were able to adhere, proliferate and
differentiate into osteoblasts when cultured on PCL/TZ-HA scaffolds in
significantly higher levels as compared to rMSCs. Based on these findings, CD271
marker might serve as an optimal alternative MSCs selection method for the
potential preclinical and clinical application of these cells in bone tissue
regeneration.
PMID- 26550239
TI - Comparison of photosynthesis and fluorescent parameters between Dendrobium
officinale and Dendrobium loddigesii.
AB - OBJECTIVE: To investigate the photosynthesis and fluorescent parameters between
Dendrobium officinale and Dendrobium loddigesii, based on which to provide
helpful information for the artificial cultivation of these cultivars. METHODS:
Seeds were placed on the MS medium supplemented with 0.2 mg/L NAA, 2% (w/v)
sucrose, 15% (v/v) potato extracts and powered agar (pH 5.8). Two months after
germination, seedlings (n = 10) were transferred onto rooting medium containing
MS medium supplemented with 0.5 mg/L NAA, 3% (w/v) sucrose, 20% (v/v) potato
extracts and 10/00 (w/v) activated carbon (pH 5.8) in a glass bottle (6.5 cm in
diameter and 9.5 cm in height) with a white transparent plastic cap. Chlorophyll
content was determined using the UV-Vis spectrophotometric method. In addition,
rates of oxygen evolution and uptake were measured. The chlorophyll fluorescence
was determined at room temperature using PAM 2000 chlorophyll fluorometer (Heinz
Walz GmbH, Germany). RESULTS: From month 5 to month 10, the overall contents of
both chlorophyll a and chlorophyll b were higher in D. loddigesii compared with
those in D. officinale. No statistical differences were observed in the apparent
photosynthetic rate (APR) between D. loddigesii and D. officinale. No statistical
difference was noticed in the Fo, Fm and Fv between D. loddigesii and D.
officinale (P > 0.05). Significant increase was noticed in the oxygen consuming
in PSI in month-8 and month-10 compared with that of month-6 in D. loddigesii.
Nevertheless, in the D. officinale, the oxygen consuming in PSI in month-6 was
remarkably increased with those of month-8 and month-10, respectively.
CONCLUSIONS: The photosynthesis and fluorescence parameters varied in the
seedling of D. loddigesii and D. officinale. Such information could contribute to
the artificial cultivation of these cultivars.
PMID- 26550240
TI - Genes associated with sodium fluoride-induced human osteoblast apoptosis.
AB - This study aims to explore the potential pathways and molecular characteristics
of fluorine-induced osteoblast apoptosis. In vitro fluorine-induced model was
established with an osteogenesis sarcoma cell line Saos-2. Then flow cytometry
was used to determine the mitochondrial membrane potential at 24 h after the
intervention. 84 apoptosis-related genes in the cells were determined using the
functional polymerase chain reaction (PCR) chip and part of the differentially
expressed genes was verified with immune blotting. When the stimulated
concentration of sodium fluoride were 20 mg/L, 40 mg/L and 80 mg/L, the
mitochondrial membrane potential of the osteoblast cells were 27.0%, 28.8% and
38.6%, respectively, significantly higher than that in the blank control group
(P<0.05). The PCR chip detection found 13 up-regulating genes and 15 down
regulating genes, among which the expression of Bim, Caspase 9, Caspase 14, B
cell lymphoma-2 (BCL2) and BAX increased with the doses of sodium fluoride, while
the expression of Caspase 3 down-regulated in 5 mg/L sodium fluoride but up
regulated at the concentration of sodium fluoride more than 10 mg/L. Caspase 7
expression showed no obvious difference between the different concentration
groups. However, Caspase 10 decreased with the increasing doses of sodium
fluoride. Fluoride-induced osteoblast apoptosis may be through the mitochondrial
pathway (including endoplasmic reticulum stress pathway) and death receptor
pathway.
PMID- 26550241
TI - Effect of laryngeal mask airway placement on the optimal site and success rate of
venipuncture via the right internal jugular vein.
AB - The placement of a laryngeal mask airway (LMA) changes the relative positions of
the common carotid artery (CCA) and right internal jugular vein (IJV), thereby
affecting venipuncture via the right IJV. Therefore, we went on to determine the
optimal site for puncturing the IJV after LMA-SupremeTM placement. In this study,
forty-six patients were placed with a LMA-SupremeTM (size 3 or 4), and the right
IJV was punctured at either of the three points (anterior, middle or posterior
point). The CCA diameters and overlap between the right IJV and CCA were recorded
before and after the LMA-SupremeTM placement. Finally, the success rates of IJV
puncturing at the three aforementioned points were compared. We found that the
size of the LMA-SupremeTM had no effect on patient respiration during the
procedure. Overlap between the right IJV and CCA at the anterior and middle
points was significantly increased after size 3 LMA-SupremeTM placements; Size 4
masks decreased the CCA diameters at the middle and posterior points, and
significantly increased overlap between the right IJV and CCA at all the three
points; IJV punctures performed after placement of size 3 LMA-SupremeTM had
higher success rate than those performed after placement of size 4 masks, and
were less likely to result in accidental arterial puncture. In conclusion, our
study demonstrated that placement of size 3 LMA-SupremeTM caused little change in
overlapping between the right IJV and CCA and the incidence of accidental
arterial puncture; particularly for punctures performed at the posterior point.
Therefore, we recommend venipuncture at the posterior point after placement of a
LMA-SupremeTM.
PMID- 26550242
TI - Association between IL-10 polymorphisms (-1082A/G, -592A/C and -819T/C) and oral
cancer risk.
AB - Interleukin-10 (IL-10) is likely to be closely correlated with the outbreak and
progression of cancers though aiding tumors to free from the immune response. In
previous studies, several polymorphisms sites including -1082A/G, -592A/C and
819T/C in the promoter region of IL-10 gene were proved to be involved in oral
cancer. The purpose of this study was to further explore this association via a
meta-analysis. There were four publications with 3783 cases and 4245 controls
retrieved though electronic databases. The association among three IL-10
polymorphisms sites was estimated by summary odds ratios (ORs) and 95% confidence
intervals (95% CIs) which were calculated using fixed-effect model. Subgroup
analysis by ethnicity (Asian or Caucasian) was also performed for the analysis of
IL-10-1082A/G polymorphism (three studies in Asians and one study in Caucasian).
As a result, we found a moderately increased risk which was related to IL-10
1082A/G polymorphism in oral cancer under all the five contrasts [GG vs. AA: OR
(95% CI)=2.95 (1.94-4.48); GG+AG vs. AA: OR (95% CI)=1.59 (1.35-1.86); GG vs.
AG+AA: OR (95% CI)=2.59 (1.71-3.94); Allele G vs. Allele A: OR (95% CI)=1.68
(1.46-1.94); AG vs. AA: OR (95% CI)=1.53 (1.29-1.81)]. Additionally, the
increased risk of oral cancer was also observed in Asians and Caucasians.
However, the pooled data indicated that IL-10 -592A/C and -819T/C polymorphisms
sites had no relationship with oral cancer risk. Taken together, the IL-10
1082A/G polymorphism site may act as a risk factor in oral cancer, and this issue
still needs to be further verified.
PMID- 26550243
TI - Plasma fibrinogen lever and risk of coronary heart disease among Chinese
population: a systematic review and meta-analysis.
AB - Coronary heart disease (CHD) remains the leading causes of death and disability
for men and women in most developed countries. It may soon become the leading
cause of death in developing countries. Several studies have examined the role of
fibrinogen levels in the prediction of atherosclerosis and CHD events. The aim of
this study was to explore the effects of plasma fibrinogen levels in Chinese
patients with CHD and to examine the relationship of fibrinogen. We performed
this meta-analysis of prospective studies of plasma fibrinogen level in relation
to CHD risk in electronic database of Medline, EMBase, the Cochrane Library and
CNKI (China National Knowledge Infrastructure). Plasma fibrinogen levels were
calculated by mean difference with 95% confidence intervals (CI) in patients with
CHD and related controls without CHD. The selected 23 studies included 2984 CHD
cases and 2279 controls. Our results found that plasma fibrinogen levels of
patients were significantly higher than control group (P<0.0001). The predicted
odds ratio (OR) for a 1 g/L higher plasma fibrinogen level was 0.94 (95% CI=0.78
1.10). Furthermore, fibrinogen levels were slightly related to age-related CHD
patients. The plasma fibrinogen lever was correlated with CHD in the Chinese
population, and may be a risk factor and predictor of CHD. Further studies
assessing any causal relevance of fibrinogen levels to disease are required.
PMID- 26550244
TI - Expression of purinergic receptor P2Y4 in Schwann cell following nerve
regeneration.
AB - OBJECTIVE: Emerging evidences suggested an important role of purinergic receptor
P2Y4 in nerve system. The present study aims to investigate the localization and
possible function of P2Y4 receptor in recurrent laryngeal nerve (RLN) following
regeneration. METHODS: Right RLN of fifty Sprague-Dawley rats was cut and
immediately repaired with PLGA/chitosan nerve conduit. Immunofluorescence, real
time qPCR and Western blot were used to detect the expression alterations of P2Y4
receptor. RESULTS: Weak immunostaining signals of P2Y4 receptor were located on
the plasmalemma of Schwann cell (SC) with regular arrangement of axons in normal
RLN. On the post-injury 4th day, the sprouting axons regrowed along the
degenerated SCs intensively expressing P2Y4 receptor. On the post-injury 7th day,
the regenerating axons existed in multicellular cords of P2Y4 receptor-positive
SCs occupying the nerve gap. On the post-injury 14th day, the axons grew along
the bands of P2Y4 receptor-positive SCs exhibiting the regularly parallel
distribution. On the post-injury 30th day, mild immunostaining signals of P2Y4
receptor still existed on SC surface, and the regenerated axons were located
inside the remodeled endoneurium tube. In accordance with immunofluorescence
findings, the transcription and protein expression levels of P2Y4 were
significantly increased after the injury and the peak value appeared on the post
injury 7th day, compared to control group (P < 0.05). CONCLUSION: Data from the
present study suggested a potential role of P2Y4 receptors in functional
modulation of SCs in the regeneration of RLN.
PMID- 26550245
TI - Chemokine (C-C motif) ligand 5 -28C>G is significantly associated with an
increased risk of tuberculosis: a meta-analysis.
AB - OBJECTIVE: Chemokine (C-C motif) ligand 5 (CCL5) has been shown to play an
important role in antimycobacterial immune responses. Previous studies have
extensively reported that the CCL5 -28C>G gene polymorphism is associated with
susceptibility to tuberculosis (TB). However, the results of these studies have
been inconsistent. To investigate the relationship between the CCL5 -28C>G and
the risk of TB, we performed a meta-analysis. METHODS: We searched articles
published before June 6, 2014 from PubMed, CNKI, and Wanfang databases. Data were
extracted from all eligible publications independently by two investigators and
statistically analyzed. Odds ratios (OR) with 95% confidence intervals (CI) were
calculated to assess the strength of the association between CCL5 polymorphism
and TB. RESULTS: Four case-control studies including 647 TB cases and 726
controls were involved in the meta-analysis. Our meta-analysis indicated the CCL5
-28C>G gene polymorphism was significantly associated with increased risk of TB
(G vs. C: 3.75, 95% CI = 1.76-7.99; GG vs. CC: OR = 30.26, 95% CI = 14.28-64.12).
CONCLUSION: Our results suggested that the -28C>G polymorphism is significantly
associated with higher TB risk, which is opposite from previously published
reports. However, the number of the study is limited, additional well-designed
studies are required to elucidate the association between the CCL5 -28C>G gene
polymorphism and TB.
PMID- 26550246
TI - Reduction of alpha1GABAA receptor mediated by tyrosine kinase C (PKC)
phosphorylation in a mouse model of fragile X syndrome.
AB - Fragile X syndrome (FXS) caused by lack of fragile X mental retardation protein
(Fmr1) is the most common cause of inherited intellectual disability and
characterized by many cognitive disturbances like attention deficit, autistic
behavior, and audiogenic seizure and have region-specific altered expression of
some gamma-aminobutyric acid (GABAA) receptor subunits. Quantitative real-time
polymerase chain reaction and western blot experiments were performed in the
cultured cortical neurons and forebrain obtained from wild-type (WT) and Fmr1 KO
mice demonstrate the reduction in the expression of alpha1 gamma-aminobutyric
acid (alpha1GABAA) receptor, phospho-alpha1GABAA receptor, PKC and phosphor-PKC
in Fmr1 KO mice comparing with WT mice, both in vivo and in vitro. Furthermore,
we found that the phosphorylation of the alpha1GABAA receptor was mediated by
PKC. Our results elucidate that the lower phosphorylation of the alpha1GABAA
receptor mediated by PKC neutralizes the seizure-promoting effects in Fmr1 KO
mice and point to the potential therapeutic targets of alpha1GABAA agonists for
the treatment of fragile X syndrome.
PMID- 26550247
TI - BAMBI inhibits skin fibrosis in keloid through suppressing TGF-beta1-induced
hypernomic fibroblast cell proliferation and excessive accumulation of collagen
I.
AB - Keloids are scars characterized by pathologically excessive dermal fibrosis and
aberrant wound healing. Hypernomic growth of fibroblast cells and excessive
accumulation of collagens, especially collagen I, made important contribution to
keloid formation. Transforming growth factor-beta 1 (TGF-beta1) was a key ruler
for the dermal fibrosis. Here, we found that BMP and activin membrane-bound
inhibitor (BAMBI), a pseudo-receptor of TGF-beta1, was being decreased during the
human keloid development and in vitro keloid cell growth. To investigate the
effect of BAMBI on keloid development, pcDNA-BAMBI expression vector were
transfected into the human primary keloid cells. Then the cell proliferation and
viability were detected with EdU and MTT methods and expression of TGF-beta1 and
collagen I/III were examined by Western blotting analysis. The results showed
that, compared to the control, BAMBI overexpression suppressed the cell
proliferation and expression of TGF-beta1 and collagen I (P < 0.05), whereas,
TGFbeta1 overexpression rescued the suppression. Finally, pcDNA-BAMBI expression
vector was subcutaneously injected into transplanted human keloid in nude mice.
During the 6 weeks of in vivo experiment, pcDNA-BAMBI injection significantly
suppressed the growth of the implanted keloids and the ratio of collagen I in the
keloids. Therefore, BAMBI had an effect on inhibition of keloid growth through
suppressing TGF-beta1-induced fibroblast cell proliferation and excessive
accumulation of collagen I.
PMID- 26550248
TI - Correlation between expression of NF-E2-related factor 2 and progression of
gastric cancer.
AB - OBJECTIVE: Nuclear factor E2-related factor 2 (Nrf2) plays a part in antioxidant
and phase II detoxification enzymes in cells by the up regulation of many
antioxidant response elements (ARE) related gene transcription. Nrf2 not only
protect the normal cells, but also can protect cancer cells from the effect of
cell stress, which is helpful to the survival of cancer cell. Some studies show
that the expression of Nrf2 has important clinical significance in cancer
patients, but the analysis of gastrointestinal tumor Nrf2 comprehensive
expression has not been reported. The aim of this study is to evaluate the
expression of Nrf2 in gastric cancer by immunohistochemistry and analyze its
related clinical significance. METHODS: 180 cases of gastric cancer patients
receive the gastrectomy and lymphadenectomy, and the resection of tissue is
expressesd in paraffin embedded sections by immunohistochemical analysis of Nrf2.
And the difference between groups use chi(2) (chi-square criterion) test, and
will be analyzed by Fisher's exact test and Mann-Whitney U test. Use univariate
and multivariate analysis, Kaplan-Meier curve and log-rank to test and evaluate
the correlation between the expression of Nrf2 and the clinical pathological
features. RESULTS: The immune reaction of Nrf2 is mainly found in gastric cancer
cell nucleus, which positive expression is closely related to the tumor size,
depth of invasion, lymph node metastasis, lymphatic invasion and histological
analysis (all P<0.05). The log-rank test shows that the survival rate of Nrf2
positive expression group is significantly lower than that of the negative
expression group (P<0.01). The Nrf2 positive expression is closely related to the
drug resistance of adjuvant chemotherapy on the basis of 5FU (P=0.022).
CONCLUSION: There is a positive correlation between the expression of Nrf2 and
the invasion of gastric cancer, which can be used as a potential indicator of
patients' poor prognosis.
PMID- 26550249
TI - Role of dendritic cell-mediated abnormal immune response in visceral
hypersensitivity.
AB - The role of dendritic cells (DCs) in irritable bowel syndrome (IBS) is unclear.
This study tested the hypothesis that intestinal DCs induced visceral
hypersensitivity in IBS rats through mast cell (MC) activation. The IBS rat model
was established by combining colorectal distension with restraint stress. The
number of CD103-positive cells in colon was higher in the IBS group. Expression
of PAR-2, IL-4 and IL-9 in the colonic mucosa was higher in the IBS group.
Mesenteric lymph node DCs (MLNDCs) and splenic CD4(+)/CD8(+) T cells were
isolated and purified by a magnetic labeling-based technique; they were cultured
alone or co-cultured (T4+DC/T8+DC). The coculture of MLNDCs and CD4(+) T cells
had the highest IL-4 secretion in the IBS group, while IL-9 expression was higher
in the cultures containing CD8(+) T cells. Our findings indicate that an
increased number of DCs in the colon stimulated CD4(+) T cells to secrete high
levels of IL-4, which led to the activation of MCs and subsequently resulted in
visceral hypersensitivity.
PMID- 26550250
TI - Relationships between genetic polymorphisms of E670G in PCSK9 gene and coronary
artery disease: a meta-analysis.
AB - OBJECTIVE: Proprotein convertase subtilisin-like kexin type 9 (PCSK9) gene E670G
Polymorphism has been reported to be associated with coronary artery disease
(CAD) and risk factors. However, the results remain controversial. We sought to
perform a meta-analysis to investigate the relationships between genetic
polymorphisms of E670G in PCSK9 gene and the risk of CAD. METHODS: Literature
searches were performed to identify all published relevant case-control studies
without any language restrictions. Meta-analysis was conducted using the Review
Manager software (version 5.2). Heterogeneity was investigated and measured using
Cochran's Q-statistic and the inconsistency index (I(2)) test; Crude odds ratios
(OR) with their corresponding 95% confidence interval (CI) were calculated.
RESULTS: A total of 5 case-control studies among 871 patients with CAD and 1144
control subjects were included in the meta-analysis. we found a correlation
between PCSK9 genetic polymorphisms and increased risk for CAD under all of the
genetic model (allele model: OR: 1.56, 95% CI: 1.21-2.01, P < 0.001; dominant
model: OR: 1.46, 95% CI: 1.14-1.88, P = 0.003; recessive model: OR: 3.46, 95% CI:
1.19-10.10, P = 0.02; homozygous model: OR: 3.89, 95% CI: 1.35-11.20, P = 0.01;
Heterozygous model: OR: 1.43, 95% CI: 1.08-1.92, P = 0.01; respectively).
CONCLUSION: The results of the meta-analysis indicated that genetic polymorphism
of E670G in PCSK9 gene might be involved in pathogenesis of CAD; the 670G
carriers may be closely related to the risk of CAD.
PMID- 26550251
TI - Prostate stem cell antigen variation rs2294008 associated with the risk of
bladder cancer.
AB - Several studies reported Prostate stem cell antigen (PSCA) rs2294008 was
susceptibly associated with bladder cancer (BC) risk. However, the results were
not entirely consistent. The aim of this study was to investigate the association
between rs2294008 and BC risk. Comprehensive meta-analysis was preformed to
provide a more precise assessment of the association between rs2294008 and BC
risk. Twenty five studies involving 14,244 BC patients and 53,963 controls were
included in our meta-analysis. The crude odds ratios (ORs) and the 95% confidence
intervals (95% CIs) were used to evaluate the strength of the association. Pooled
results indicated that the PSCA variant rs2294008-T was significantly connected
with an increased risk of BC (OR = 1.15, 95% CI = 1.12-1.18, P(z) < 0.0001).
Moreover, stratified analyses showed that rs2294008 significantly increased BC
risk in European (OR = 1.10, 95% CI = 1.05-1.15, P(z) < 0.0001), North American
(OR = 1.18, 95% CI = 1.12-1.24, P(z) < 0.0001), and Asian (OR = 1.17, 95% CI =
1.13-1.22, P(z) < 0.0001). In conclusion, our meta-analysis demonstrated that the
PSCA rs2294008 is a risk factor for BC in European, Asian and North American.
Further large case-control studies are needed to assess the relationship in other
populations. Biologically functional studies are needed to verify the molecular
mechanisms in the pathogenesis of BC.
PMID- 26550252
TI - Perineural invasion is an independent predictor of biochemical recurrence of
prostate cancer after local treatment: a meta-analysis.
AB - Controversy still existed regarding the role of perineural invasion (PNI) in
prostate cancer. The present meta-analysis aimed to investigate the association
between PNI and biochemical recurrence (BCR) of prostate cancer after local
treatment. A systematic search of Medline, Embase and CENTRAL was performed for
eligible studies. Pooled estimates of hazard ratios (HRs) and their corresponding
95% confidence intervals (CIs) were acquired by using the generic inverse
variance method. Subgroup analyses were performed by the method treating prostate
cancer including radical prostatectomy (RP) and radiotherapy (RT) as well as the
specimens which were acquired from RP and biopsy. A total of 12 studies
incorporating 5188 patients were included in the meta-analysis. Overall, PNI was
significantly associated with BCR (HR 1.59, 95% CI 1.37-1.84). Similarly, a
significant correlation between PNI and BCR was also found in RP series (HR 1.51,
95% CI 1.25-1.83) and RT series (HR 1.70, 95% CI 1.35-2.13). PNI predicted BCR of
prostate cancer in both RP (HR 1.51, 95% CI 1.23-1.85) and biopsy specimens (HR
1.68, 95% CI 1.36-2.09). PNI was demonstrated to be associated with higher risk
for BCR of prostate cancer after local treatment. Therefore, PNI should be
considered when assessing the risk of BCR in prostate cancer, thereby to achieve
the best treatment.
PMID- 26550253
TI - Protective effects of low-dose rapamycin combined with valsartan on podocytes of
diabetic rats.
AB - The aim of this study was to study the impacts and the mechanisms of low-dose
rapamycin combined with valsartan on the renal functions of diabetic nephropathy
(DN) rats. 50 SD rats were randomly divided into the normal control group (group
A, n=10) and the DN model group (n=40), the DN model group was intraperitoneally
injected streptozocin (STZ) for the modeling, which were then equally divided
into the DN group (group B), the rapamycin group (group C, orally administrated
rapamycin 1 mg/kg/d), the valsartan group (group D, orally administrated
valsartan 30 mg/kg/d) and the combined therapy group (group E, orally
administrated rapamycin 1 mg/kg/d + valsartan 30 mg/kg/d). Group A and group B
were orally administrated the same amount of 0.5% carboxymethylcellulose. After 8
week treatment, the rats of each group were killed for the renal functional and
pathological detection, as well as the expression detection of nephrin and
podocin of kidney tissues. Compared with group A, the renal functions of the DN
model groups were all decreased, and the pathological changes were significant.
Meanwhile, the expressions of nephrin/podocin were reduced (P<0.05); among which
group B exhibited the most serious changes, while the situations of group E were
improved after the combined treatment, the expressions of nephrin/podocin were
increased. Low-dose rapamycin and valsartan could enhance the expressions of
nephrin and podocin, reduce kidney damages, thus achieving the protective effects
towards the kidneys, and the effects of the combined therapy were superior to
those of monotherapy.
PMID- 26550254
TI - Association of programmed death-1 gene polymorphism rs2227981 with tumor:
evidence from a meta analysis.
AB - To investigate the association of programmed death-1 gene polymorphism rs2227981
with tumor risk. The PubMed, Medline, Ovid Medline, EMBASE, Web of Knowledge were
searched. Meta-analyses were conducted using RevMan 5.2.2 software. Total six
researches involving in a total of 1427 tumor patients and 1811 healthy control
people were included into this meta analysis. There was no association of PD-1
gene polymorphism with total tumor risk under four genetic models. (CT+TT vs CC,
OR=1.09, 95% CI=0.80-1.49, P=0.59; CT+CC vs TT, OR=0.93, 95% CI=0.52-1.66,
P=0.61; TT vs CC, OR=0.99, 95% CI=0.57-1.72, P=0.97; CT vs CC, OR=1.16, 95%
CI=0.80-1.70, P=0.43). The sub-group analysis shown there were a significantly
difference on association of PD-1 gene polymorphism with digestive system tumor
risk between tumor patients and healthy control people, except recessive model.
(CT+TT vs CC, OR=1.57, 95% CI=1.20-2.07, P=0.001; TT vs CC, OR=1.67, 95% CI=1.12
2.49, P=0.01; CT vs CC, OR=1.51, 95% CI=1.13-2.01, P=0.005). Moreover, the meta
analysis results shown that there were association of PD-1 gene polymorphism with
tumor risk under two models for the tumor specific occurring only in women.
(CT+TT vs CC, OR=0.80, 95% CI=0.67-0.95, P=0.01; TT vs CC, OR=0.61, 95% CI=0.44
0.83, P=0.002). This study suggests that PD-1 gene polymorphism rs2227981 is
associated with specific tumor types, including digestive system tumor and tumor
specific occurring in woman.
PMID- 26550255
TI - A comprehensive expression profile of micrornas in rat's pituitary.
AB - MicroRNAs (miRNAs) are a class of small, non-coding RNA molecules that act as a
negative regulator of most mRNAs. miRNAs influence the gene expression as
transcriptional regulators and play an important role in many fundamental
biological processes. It is generally acknowledged that miRNAs have a very
important affection on mammalian pituitary. However, the answers of which role
miRNAs play in the development of sexual function or how much they contribute to
the pituitary function are not exactly. In our study, we used three female 21-day
old rats and three female 12-month-old rats to analysis the function of miRNAs.
By the analyses of microarray data, we finished the stem-loop real-time RT-PCR
for the differentially expressed miRNAs. We detected a total of 93 differentially
expressed miRNAs between 21-day-old rats' pituitary and 12-month-old rats'. Stem
loop real-time RT-PCR suggests that the obtained data is of high credibility.
Among these miRNAs, 7 miRNAs' expression (rno-miR-880, rno-miR-503, rno-miR-125a
3p, rno-miR-3596b, rno-miR-30e, rno-miR-214 and rno-miR-22) are significant
different (P<=0.05). In a word, this study identified a number of specific
changes in the expression of miRNAs, in rats by detecting the expression profile
of miRNAs in rat's pituitary, and all of that lay the foundation for elucidating
the regulatory mechanisms of miRNAs in rat's reproduction process. These
differentially expressed miRNAs may play a very important role in rat's
reproduction process.
PMID- 26550256
TI - Up-regulation of serum miR-744 predicts poor prognosis in patients with
nasopharyngeal carcinoma.
AB - BACKGROUND: MiRNAs has been shown to be implicated in the pathogenesis of many
human diseases including cancer. Dysregulation of miR-744 is common in a number
of cancers, indicating miR-774 might be closely correlated with the tumorigenesis
process. However, the role and clinical significance of miR-774 in nasopharyngeal
carcinoma (NPC) is poorly known. Thus the aim of this study is to investigate
whether there was any clinical value of serum miR-744 in detecting and predicting
the prognosis of NPC. MATERIALS AND METHODS: Real-time PCR was used to examine
the expression level of serum miR-744 in patients with NPC and the healthy
volunteers. The changes in serum miR-744 expression level of NPC patients after
receiving chemo-radiotherapy were also evaluated. The association between pre
treatment serum miR-744 expression level and NPC clinicopathological parameters
was investigated. Finally we employed Kaplan-Meier method and Cox proportional
hazards model to evaluate the clinical value of serum miR-744 in predicting the
prognosis of NPC. RESULTS: Our study showed the expression level of serum miR-744
was significant higher in patients with NPC in comparison with healthy controls
(P<0.01). The serum miR-744 expression level was down-regulated significantly in
NPC patients after receiving chemo-radiotherapy (P<0.01). The Pre-treatment Serum
miR-744 expression level was correlated with various important NPC
clinicopathological parameters including N stage, clinical stage and grade. In
addition, NPC patients with higher serum miR-744 expression had poorer 5 year
overall survival rate and relapse-free survival rate. What was more, serum miR
744 was showed to be an independent factor for predicting the prognosis of NPC.
CONCLUSION: Serum miR-744 was up-regulated in NPC patients. Higher expression
level of serum miR-744 was closely correlated with was associated with poor
prognosis in NPC and it might be employed as a potential biomarker for predicting
the clinical outcome of NPC patients.
PMID- 26550257
TI - The protective effect of intraperitoneal medical ozone preconditioning and
treatment on hepatotoxicity induced by methotrexate.
AB - The aim of this study is to determine the effects of medical ozone
preconditioning and treatment on the methotrexate acute induced hepatotoxicity in
rats that has not reports elsewhere. Eighteen rats were randomly assigned into
three equal groups; control, Mtx and Mtx with ozone. Hepatotoxicity was performed
with a single dose of 20 mg/kg Mtx to group 2 and group 3 at the fifteenth day.
The medical ozone preconditioning was administered intraperitonealy in group 3
for fifteen days and more five days after inducing Mtx. The other rats of the
group 1 and 2 received saline injection. At the twentyfirst day the blood and the
liver tissue samples were obtained to measure the levels of liver enzymes ALT and
AST, proinflamatory cytokines TNF-alpha, IL-1beta, malondialdehyde, glutathione
and myeloperoxidase. And the histolopatological examination was evaluated for
injury score. In our study Mtx administration caused a significant increase on
the liver enzymes ALT and AST, the tissue MDA and MPO activity and significant
decrease in the tissue GSH. Moreover the both pro-inflammatory cytokines were
significantly increased in the Mtx group. Medical ozone preconditioning and
treatment reversed all these biochemical parameters and histopathological changes
of the hepatotoxicity induced by Mtx. We conclude that medical ozone ameliorates
Mtx induced hepatotoxicity in rats.
PMID- 26550258
TI - A randomized controlled trial to assess the efficacy and safety of doubling dose
clopidogrel versus ticagrelor for the treatment of acute coronary syndrome in
patients with CYP2C19*2 homozygotes.
AB - BACKGROUND: Compared with non-reversible, indirect P2Y12 inhibitor clopidogrel,
ticagrelor is a reversible, direct acting inhibitor. The CYP2C19*2 allele is a
common genetic variant in individuals that need given higher clopidogrel in acute
coronary syndrome patients. OBJECTIVE: We aimed to assess a pharmacogenetic
approach of doubling dose clopidogrel compare with standard dose of ticagrelor
among carriers with the CYP2C19*2 homozygotes. MATERIALS AND METHODS: We compared
ticagrelor (180 mg loading dose, 90 mg twice daily thereafter) with clopidogrel
(600 mg loading dose, 150 mg daily thereafter) for the prevention of
cardiovascular events in CYP2C19*2 homozygotes patients admitted to the hospital
with an acute coronary syndrome, with or without ST-segment elevation. RESULTS:
After genetic test to identify carriers of the CYP2C19*2 allele from 2295
patients, 224 cases with CYP2C19*2 homozygotes were enrolled into our
prospective, randomized trial. Patients were random assignment with colpidogrel
group (n = 112) and ticagrelor group (n = 112). The two groups were similar in
terms of baseline characteristics. After the first 600 mg loading dose of
clopidogrel, patients carrying two CYP2C19*2 allele had weaker PRU inhibition
(39.8+/-37.4 vs 27.9+/-12.4; P = 0.001) and more bleeding adverse events (20.5%
vs. 7.1%; hazard ratio = 2.88; 95% [CI], 1.34-6.15; P = 0.001) compared to those
taking standard dose of ticagrelor. CONCLUSIONS: In CYP2C19*2 carriers with ACS,
ticagrlor is as effective as high clopidogrel in reducing platelet reactivity,
particularly in first days. This study suggests that ticagrelor may be much
better than doubling dose clopidogrel in patients with CYP2C19*2 in according to
platelet reactivity monitoring. Use of ticagrelor instead of clopidogrel may
eliminate the need for genetic testing and lead to less mild bleeding adverse.
PMID- 26550259
TI - Association between serum ferritin levels and metabolic syndrome: an updated meta
analysis.
AB - It is definite that the serum iron level has a positive correlation with the risk
of obesity. However, the association between increased serum ferritin levels and
the metabolic syndrome still remains controversial. The purpose of this meta
analysis is to confirm the association between serum ferritin levels and
metabolic syndrome. We searched PubMed and the China National Knowledge
Infrastructure (CNKI) for relevant articles that assessed the association between
serum ferritin levels and metabolic syndrome and were published between 2006 and
2014. Review Manage 5.3 software was used to collect and analysis the data cited
in the ultimately selected papers. The variance was exhibited using the forest
plot and the heterogeneity among studies was examined using the I(2) index. We
use the funnel plot to evaluate the publication bias. Cross-sectional study, case
control study and prospective cohort study met our inclusion criteria including
data from a total of 4,797 participants. The pooled odds ratio (OR) for the
metabolic syndrome comparing the highest and lowest category of ferritin levels
was 1.20 (95% CI: 0.69, 1.71; I(2)=96%). The meta-analysis demonstrates that
elevated ferritin levels are positive aassociated with metabolic syndrome.
PMID- 26550260
TI - Effects of high mobility group box 1 and nuclear factor kappaB on neointimal
hyperplasia after common carotid artery balloon injury.
AB - This study is to investigate the effects of high mobility group box 1 (HMGB-1)
and nuclear factor kappaB (NF-kappaB) on intimal hyperplasia after carotid artery
balloon injury. A total of 28 male SD rats were subjected to balloon catheter
injury at left side carotid artery and this side was considered as experimental
group. The right side was taken as control group. Common carotid arteries were
harvested at 6 h, 3 d, 7 d and 14 d after balloon injury. The intimal thickness
and lumen area were analyzed by HE staining and computerized method. HMGB-1
expression was tested by RT-PCR and NF-kappaB was detected by EMSA. Among the 28
rats, 4 were excluded due to failed modeling or death and the other 24 rats were
included for analysis. Carotid endarterectomy, intimal hyperplasia and restenosis
were found after balloon injury. Intimal hyperplasia appeared on 3 d and was
significant on 14 d after injury. The ratio of intima/media in the experimental
group increased significantly compared with the control group (P < 0.05). HMGB-1
was scarcely expressed in control group. It increased 6 h and peaked on 14 d
after injury, with significant difference compared with the control group (P <
0.01). Similarly to HMGB-1, NF-kappaB was rarely expressed in control group, and
its level increased since 6 h and peaked on 14 d after injury. Intimal
hyperplasia after carotid artery balloon injury was found in rat model and this
might be induced by enhanced expression of HMGB-1 and NF-kappaB.
PMID- 26550261
TI - Molecular mechanism of increased sensitivity of cisplatin to ovarian cancer by
inhibition of microRNA-23a expression.
AB - OBJECTIVE: The aim of this study is to investigate the sensitivity change and the
preliminary mechanism of ovarian cancer cells on the resistant to
chemotherapeutic drugs by inhibiting miR-23a expression. METHODS: The ovarian
cancer cell lines A2780 was administrated with antagomir-23a and platinum, and
then the cell proliferation inhibition rate was determined by MTT assay. The cell
cycle distribution was detected by flow cytometric analysis. The apoptotic
morphological changes were analyzed by Hoechst33258 staining. The glycoprotein P
gp expression changes were detected by Western blot analysis. RESULTS: The cell
proliferation inhibition rate increased significantly after the administration of
miR-23a and platinum (P<0.01). The middle concentration of drug efficacy IC50 in
experimental group decreased by 83.76% compared with that in control group, which
was 17.89 MUmol/L vs 110.18 MUmol/L (P<0.01). The cell lines A2780 were arrested
in G0/G1 phase and apoptosis rate kept increasing (P<0.05). The cell nuclei
stained by Hoechst33258 were obviously enhanced and demonstrated apoptosis
morphology, such as condense, pyknosis. Compared with control group, the levels
of P-gp protein expression in experimental group decreased along with the
increase of the cisplatin concentration (P<0.05). CONCLUSION: The inhibition of
miR-23a expression could significantly increase the sensitivity of cisplatin
towards tumor cells, and it was probably because the negative regulatory factors
of miR-23a target genes was released, and as a result, the expression of P-gp
protein was inhibited.
PMID- 26550262
TI - The relationship between glycated hemoglobin and blood glucose levels of 75 and
100 gram oral glucose tolerance test during gestational diabetes diagnosis.
AB - OBJECTIVE: The diagnosis of gestational diabetes mellitus (GDM) is an important
issue in terms of prevention of maternal and fetal complications. In our study we
aimed to evaluate the relation of HbA1c and blood glucose levels of 75 and 50-100
gram oral glucose tolerance test (OGTT) in pregnant patients who were screened
for GDM. MATERIALS AND METHODS: The parameters of 913 pregnant women screened for
GDM are evaluated retrospectively. The two steps screening with 50-100 gram OGTT
were used in 576 patients. The remaining 337 patients were screened with 75 gram
OGTT. RESULTS: The HbA1c levels of patients having high blood glucose (>=153
mg/dl) levels at 2(nd) hour in 75 gram OGTT were significantly higher than
patients having normal blood glucose levels at 2(nd) hour of 75 gram OGTT
(P=0.038). Correlation analyses showed no significant relation between any blood
glucose level of 100 gram OGTT and HbA1c level. Whereas in 75 gram OGTT 1(st) and
2(nd) hour blood glucose levels were found to have a significant relation with
A1c levels (P=0.001, P=0.001 respectively). CONCLUSION: HbA1c may be used as an
important tool in the diagnosis of GDM. But due to the variation of HbA1c in
pregnant women and there is not an absolute cut-off level for A1c, it may be more
reliable to evaluate HbA1c level together with the blood glucose levels in OGTT.
PMID- 26550263
TI - The role of mitochondrial tRNA mutations in lung cancer.
AB - Alternations in mitochondrial genome resulting in mitochondrial dysfunction have
long been hypothesized to be involved in tumorigenesis. Mitochondrial tRNA (mt
tRNA) is known for its high frequencies of polymorphisms and mutations, however,
the roles of these mutations and polymorphisms in lung cancer are among heated
debates. To evaluate the possible roles of reported mt-tRNA mutations in lung
cancer, we examine recent published paper concerning three mt-tRNA mutations with
lung cancer: A7460G in tRNA(Ser (UCN)) gene, G5563A in tRNA(Trp) gene and A12172G
in tRNA(His) gene. We perform the phylogenetic approach to investigate the
deleterious roles of these mutations in lung cancer, moreover, we use
bioinformatics tool to predict the secondary structure of mt-tRNAs with and
without these mutations. In addition, through the application of pathogenicity
scoring system, we find that only the A12172G mutation is regarded as a
pathogenic mutation, whereas other mutations may act as neutral polymorphisms in
human population. Thus, our study provides the novel insight into the molecular
pathogenesis of mt-tRNA mutations in lung cancer.
PMID- 26550265
TI - Detection of stably expressed piRNAs in human blood.
AB - BACKGROUND: Circulating microRNAs are potential markers for disease detection. A
novel class of small non-coding RNAs called Piwi-interacting RNAs (piRNAs) has
been recently reported to participate in the epigenetic regulation of cancers and
other diseases. This study aims to discover blood-based piRNAs which can be used
as markers for disease detection and monitoring. MATERIALS AND METHODS: We
selected five piRNAs for detection, namely, has-piR-651, has-piR-823, has-piR
36707, has-piR-36741 and has-piR-57125. Serum or plasma samples were used to
isolate small RNAs, including the piRNAs. The extracted small RNAs were reverse
transcribed in the presence of a poly-A polymerase with an oligo-dT adaptor, and
quantitative real-time PCR (qRT-PCR) was applied to measure the levels of piRNAs.
Room-temperature incubation and repetitive freeze-thaw cycles were performed to
measure the stability of the piRNAs. RESULTS: Unlike the four other piRNAs, has
piR-57125 was present in both the serum and plasma samples. Regardless of the
serum or plasma samples, qRT-PCR analysis indicated that the Ct values showed no
remarkable variation with prolonged incubation time (P > 0.05). We also detected
the Ct values of the samples with repetitive freeze-thaw cycles and observed a
similar trend (P > 0.05) among the samples with diverse freeze-thaw cycles.
CONCLUSION: This study is the first to report that piRNAs are stably expressed in
human serum or plasma samples. Therefore, piRNAs can serve as valuable blood
based biomarkers for disease detection and monitoring.
PMID- 26550264
TI - Decorin protects human hepatoma HepG2 cells against oxygen-glucose deprivation
via modulating autophagy.
AB - This study is to investigate the effects of decorin (DCN) on human hepatoma HepG2
cells under oxygen-glucose deprivation (OGD) condition. HepG2 cells were cultured
under OGD condition. CCK-8 assay was used to assess the cell survival, and flow
cytometry was performed to detect the apoptosis. Protein expression levels were
detected with Western blot analysis. Transfection was performed with liposome,
and cells were screened with G418. The cell survival rates were significantly
decreased in the OGD groups. When treated with autophagy inhibitor 3-MA, the
survival rates were further declined in these cells. Moreover, flow cytometry
indicated that apoptosis occurred in the HepG2 cells under OGD condition, and the
apoptosis rates were significantly increased by the 3-MA treatment. Western blot
analysis showed that, the expression levels of DCN were significantly elevated in
OGD-preconditioned HepG2 cells. Meanwhile, the expression level of Beclin1 and
the LC3BI/LC3BII ratio were significantly increased, while the expression level
of P62 was significantly decreased, in HepG2 cells under OGD condition. Over
expression of DCN significantly increased the expression level of Beclin1 and the
LC3BI/LC3BII ratio, while no significant changes were observed in the P62
expression level, in HepG2 cells. Under the OGD condition, the apoptosis rate was
also significantly decreased in DCN-transfected HepG2 cells. DCN protects HepG2
cells against OGD-induced injury, via regulating autophagy. These results might
contribute to a better understanding of the roles of DCN and autophagy in
hepatocellular carcinoma, and the potential treatment for the disease.
PMID- 26550266
TI - Prediction of liver injury using the BP-ANN model with metabolic parameters in
overweight and obese Chinese subjects.
AB - Nonalcoholic fatty liver disease (NAFLD) is often associated with dyslipidemia.
Metabolic disequilibrium, resulting from being overweight and obesity, increases
risk to cardiovascular system and chronic liver disease. Alanine aminotransferase
(ALT), aspartate aminotransferase (AST) and gamma-glutamyl transferase (GGT) are
standard clinical markers for liver injury. In this study, we examined
association of body mass index (BMI) and metabolic markers with serum ALT, AST
and GGT activity in an overweight and obese Chinese population. A total of 421
overweight and obese Chinese adults (211 males and 210 females) from The First
Affiliated Hospital of Wenzhou Medical University were recruited in this study in
2014. All participants underwent anthropometric measures and phlebotomy after an
overnight fast. Elevated ALT, AST and GGT levels were found in 17%, 5% and 24%,
respectively. There were significant correlations between ALT and BMI, plasma
triglycerides (TG), cholesterol, HDL and glucose, and between AST and plasma TG
and cholesterol. GGT also correlated with plasma TG, cholesterol and glucose. The
levels of ALT, AST and GGT could be predicted by BMI, plasma TG, cholesterol, HDL
and glucose using the back propagation artificial neural network model (BP-ANN).
These data suggest that abnormal metabolic markers could be used to monitor liver
function to determine whether liver damage has occurred in overweight and obese
individuals. This approach has clinical utility with respect to early scanning of
liver injury or NAFLD based on routinely available metabolic data in overweight
and obese population.
PMID- 26550267
TI - The lower expression of gonadotropin-releasing hormone receptor associated with
poor prognosis in gastric cancer.
AB - AIMS: Expression of gonadotropin-releasing hormone receptor (GnRHR) has been
demonstrated in a number of malignancies. The aim is to investigate the
expression of GnRHR and prognosis in gastric cancer. METHODS AND MATERIALS: GnRHR
mRNA was examined in tumor and non-tumor tissues from 48 gastric cancer patients
by Real-time PCR. The GnRHR protein expression was performed by
immunohistochemical analysis. RESULTS: The expression of GnRHR mRNA was higher
(mean +/- SD, -10.06 +/- 1.28) in gastric tumor tissues than matched non-tumor
tissues (mean +/- SD, -12.43 +/- 1.33). GnRHR mRNA expression was associated with
lymph node metastasis, distant metastasis, and TNM stage. We found the decreased
expression of GnRHR mRNA were significantly correlated with poor overall survival
(P = 0.003). Immunocytochemical staining of GnRHR in tumor tissues showed mainly
weak staining (43.48%, 10/23) and moderate staining (21.74%, 5/23) in high GnRHR
mRNA patients, and mainly negative staining in low GnRHR mRNA patients. And the
staining of GnRHR was not detection in tumor tissues for more than half of
gastric patients (52.08%, 25/48). These results implied that the loss of GnRHR
protein could be a main event in gastric cancer. CONCLUSION: The GnRHR expression
is very low in gastric cancer, and the loss of GnRHR expression could be a poor
prognostic factor, which implied that GnRHR could play an important role in the
development of gastric cancer.
PMID- 26550268
TI - RAGE/NF-kappaB signaling mediates lipopolysaccharide induced acute lung injury in
neonate rat model.
AB - Lipopolysaccharide (LPS) is known to induce acute lung injury (ALI) and acute
respiratory distress syndrome (ARDS). Accumulating data suggest the crucial role
of RAGE in the pathogenesis of ALI/ARDS. However, the mechanism by which RAGE
mediates inflammatory lung injury in the neonates remains elusive. In this study
we established LPS-induced ALI model in neonate rats, and investigated the role
of RAGE/NF-kappaB signaling in mediating ALI. We found that RAGE antibody or
bortezomib reduced LPS-induced histopathological abnormalities in the lung and
lung damage score. RAGE antibody or bortezomib also reduced TNF-alpha level in
both serum and BALF of the rats. Furthermore, RAGE antibody or bortezomib
significantly reduced LPS-induced upregulation of RAGE and NF-kappaB expression
in the lung. In conclusion, we established ALI model in neonate rats to
demonstrate that LPS induced inflammatory lung injury via RAGE/NF-kappaB
signaling. Interference with RAGE/NF-kappaB signaling is a potential approach to
prevent and treat sepsis-related ALI/ARDS.
PMID- 26550269
TI - Fasudil alleviates traumatic optic neuropathy by inhibiting Rho signaling
pathway.
AB - OBJECTIVES: The present study is to investigate the pathological changes in
rabbits with traumatic optic neuropathy (TON), as well as the effect of fasudil
on the lesions. METHODS: A total of 144 New Zealand rabbits were successfully
established as TON models. Twelve hours after surgery, the rabbits in control,
dexamethasone, and fasudil groups were administrated with saline, dexamethasone,
and fasudil via ear veins, respectively. Then, retinas of the rabbits were
obtained at 72 h and on days 7, 14 and 21 after surgery. The pathological changes
in retina and optic nerves were observed by hematoxylin and eosin staining and
transmission electron microscopy. The expression levels of Rho-associated genes
were measured using quantitative real-time polymerase chain reaction. RESULTS: In
control group, the axons were swelling, and mitochondria showed vacuolation after
optic nerve crush. Mitochondria were swelled slightly in dexamethasone group. By
contrast, nerves in fasudil group were repaired. Retinal ganglion cells in
control group were reduced significantly due to optic nerve crush. The loss of
retinal ganglion cells was alleviated in fasudil group. Quantitative real-time
polymerase chain reaction showed that the expression of Rho-associated genes were
down-regulated. CONCLUSIONS: The present study demonstrates that fasudil inhibits
the apoptosis of retinal ganglion cells and ameliorates damages of optic nerves
in traumatic optic neuropathy.
PMID- 26550270
TI - Morphology of mouse sinoatrial node and its expression of NF-160 and HCN4.
AB - OBJECTIVE: To explore the morphology and cell component of the sinoatrial node in
adult mice and to observe the expressions of neurofilament protein (NF-160) and
hyperpolarization-activated cyclic nucleotide regulation of cation channel 4
(HCN4) in sinus node cells. METHODS: The right and left atria were collected from
10 adult mice. After paraffin embedding and serial section, these sections
underwent Masson trichrome staining and NF-160 immunohistochemical staining,
respectively, followed by observation under a light microscope. The right and
left atria from another 5 mice were used for immunofluorescence staining using NF
160 and HCN4 antibodies, respectively, followed by observation under a confocal
microscope. RESULTS: The mouse sinoatrial node was close to the superior vena
cava and below the epicardium. The shape of the mouse sinoatrial node was ovoid
or irregular in the horizontal sections. We clearly saw a sinus node artery
passing through the sinoatrial node, so the artery can be used as a location
marker of the sinoatrial node. Immunofluorescence displayed the expression of NF
160 and HCN4 in both pacemaker cells and transitional cells of the sinoatrial
node. Finally, sinoatrial node migrated along with Purkinje fibers of the right
atrium. CONCLUSION: The position of mouse sinoatrial node is more stable. The
distal end of sinoatrial node extends to the right subendocardial layer and
migrates with Purkinje fibers. In mouse sinoatrial node, both pacemaker cells and
transitional cells are regularly distributed, and all have HCN4 and NF-160
expression.
PMID- 26550271
TI - Expression of FOXC2 in renal cell carcinoma and its relationship to clinical
pathological features.
AB - OBJECTIVE: This study aimed to investigate expression level of FOXC2 and its
relationship to clinical pathological features of renal cell carcinoma (RCC).
METHODS: The expression levels of FOXC2 in RCC tissues and normal renal tissues
(62 samples, respectively) were detected by immunohistochemistry and PCR Array.
Statistics analyses were done with SPSS to compare the differences between RCC
tissues and normal renal tissue, and to explore the relationship between the
expression level of FOXC2 and the clinical pathological features of RCC. RESULTS:
Expression level of FOXC2 in RCC tissues was significantly higher than in normal
renal tissues, and other related cancer genes also highly expressed in RCC
tissues. FOXC2 expression was positively associated with clinical stage and
pathological grade (P < 0.05), but not significantly related to the gender and
age (P > 0.05). CONCLUSION: The expression of FOXC2 in renal cell carcinoma was
significantly higher than that in normal renal tissues. It is suggested that
FOXC2 might play a crucial role in the occurrence and development of RCC and
could be an important prognostic indicator for clinical therapy.
PMID- 26550272
TI - Finite element analysis of the stability of combined plate internal fixation in
posterior wall fractures of acetabulum.
AB - OBJECTIVE: This study aims to explore the mechanical stability of combined plate
internal fixation in posterior wall fractures of the acetabulum. METHODS: The
fracture and internal fixation models were established in this study and they
were divided into four kinds of internal fixation models, finite element analysis
was performed. The four groups were 2 mini-plates and 1 reconstruction plate
fixation (A), Reconstruction plate internal fixation group (B), 2 screws internal
fixation group (C) and mini-plates internal fixation group (D). The displacement
of each node was measured and evaluated. RESULTS: There was no distortion in the
geometric shape of the finite element model. The results of stress showed that it
was less in the anterior pelvic ring and distributed uniform in labrum
acetabulare; the stress was bigger in the upper and middle of sacroiliac joint
and sciatic notch in sitting position. CONCLUSIONS: Combined plate internal
fixation for posterior wall fractures of acetabular were stable and reliable, it
is better than the other three methods.
PMID- 26550273
TI - Lower serum bilirubin concentration in patients with migraine.
AB - BACKGROUND: Bilirubin has been seen as a toxic waste product since it is product
of heme metabolism. It's the latest in a series of studies showed that the
concentration of serum bilirubin is associated with various diseases such as
multiple sclerosis, hypertension and cardiovascular diseases (CVD). However, no
study to investigate the association between serum bilirubin and migraine, thus,
our aim is to investigate the association between serum bilirubin and migraine.
METHODS: Serum samples were collected from 120 patients with migraine and 128
healthy individuals, serum total bilirubin (Tbil), serum direct bilirubin (Dbil)
and serum indirect bilirubin (Ibil) concentration were measured to this study.
RESULTS: Tbil, Dbil and Ibil concentration were significantly lower in patients
with migraine than healthy controls. Tbil, Dbil and Ibil concentration also were
lower in patients with migraine compared with healthy controls when serum
bilirubin concentration further was grouped by gender. CONCLUSIONS: We found
evidence that lower serum bilirubin in patients with migraine, serum bilirubin
may be useful markers to estimate neurogenic inflammation in patients with
migraine.
PMID- 26550274
TI - Surgical treatment for a paraplegic patient induced by congenital factor X
deficiency.
AB - Congenital factor X (FX) deficiency is a rare disease which usually leads to
coagulation disorders. We reported a case of paraplegic patient induced by
traumatic spinal epidural hematoma which was associated with congenital FX
deficiency. The treatments of this patient included elevating FX activity (FX: C)
by adding fresh-frozen plasma (FFP) or prothrombin complex concentration (PCC) to
improve his coagulation function, and doing operation to remove his spinal
hematoma. Symptoms started to resolve after operation. Besides, we found one of
his elder brother had the same disease as the patient himself via family follow
up. They can survive because their FX: C are relatively high enough to keep them
away from fatal bleeding.
PMID- 26550275
TI - Regional tissue immune responses after sciatic nerve injury in rats.
AB - Inflammatory cells play a critical role during nerve regeneration following
peripheral nerve injury. In this study, we investigated immune responses in rat
sciatic nerve after injury. Wistar rats were randomly divided into the sciatic
nerve injury (model) group and control group. The right sciatic nerve of rats in
the model group was transected and sutured end-to-end. Our results showed that
rats in the model group functionally recovered following sciatic nerve injury. We
detected inflammatory cell infiltration in the remaining sciatic nerves following
injury. In addition, expression of interferon-gamma (INF-gamma), interleukin-10
(IL-10), and the INF-gamma/IL-10 ratio was significantly elevated one week
following nerve injury, but gradually decreased thereafter. Our findings
demonstrate that immune responses and inflammatory cell activation are involved
during recovery from sciatic nerve injury.
PMID- 26550276
TI - MDM2 T309G polymorphism and esophageal cancer risk: a meta-analysis.
AB - Murine double minute 2 (MDM2) has suggested to play an important role in
esophageal cancer. The association between MDM2 T309G polymorphism and esophageal
cancer risk was inconclusive. To clarify the possible association, we conducted a
meta-analysis. We searched in the PubMed, Embase, and Wanfang databases. Odds
ratios (ORs) with 95% confidence intervals (CIs) were used to assess the strength
of association. A total of 6 studies with 4909 cases and controls were included
based on the search criteria. The MDM2 T309G polymorphism was associated with a
significantly decreased risk of esophageal cancer (OR=0.88; 95% CI, 0.81-0.96;
I(2)=22%). When stratified by type of race, a significantly decreased esophageal
cancer risk were observed in Asians (OR=0.85; 95% CI, 0.78-0.93; I(2)=0%). In
conclusion, this meta-analysis suggested that MDM2 T309G polymorphism was
associated with a significantly decreased risk of esophageal cancer.
PMID- 26550277
TI - Three different methods for treating multiple enchondromatosis in one hand.
AB - Ollier's disease remains comparatively rare, and is a non-hereditary cartilage
dysplasia of bone. It is usually associated with problems such as deformity and
fracture. Three different methods were used in a one-hand of 15-year-old boy
reporting his pain in the left hand and swellings. After the curettage of tumor,
regarding as the differences of all parts of the bone structure reconstruction in
the patient's hand, we chose three following methods for this boy, i.e. fixed by
the locking plate with calcium phosphate cement, filled with allograft bone,
curetted the tumor without any bone graft. After the surgery, the patient was
able to perform full motion of the operated hand. No evidence of recurrence was
noted four years after surgery. To choose the different ways with bone grafts or
not that relies on the patients' conditions for bone structure reconstruction.
However, patients with large osseous defects or pathological fracture, we demand
full bone graft and reliable internal fixation. After surgery, early exercises
can reach a desirable result and functional recovery.
PMID- 26550278
TI - The use of latex foley catheter in repair of hypospadias the most common seen
congenital penile anomaly.
AB - OBJECTIVE: Hypospadias is the most common congenital penile anomaly occurring in
1/300 live births. Various surgery techniques are used in repair of hypospadias.
Infant and children with hypospadias are usually admitted to emergency services
by worried their parents for the first time. TIP urethroplasty is widely used in
the repair of hypospadias, but the use of urethral catheters is still a matter
for discussion. Herein, we described our experiences with the use of an unsutured
latex foley catheter placed in the glans for 24 to 48 hours. METHODS: A
retrospective chart review was performed on 38 patients who underwent Tubularized
incised plate (TIP) hypospadias repair from 2009 to 2011. Of these, 35 patients
who had two-way latex Foley catheters placed for 24 h to 48 hands were followed
for at least 12 month. RESULTS: Excellent cosmetic results were obtained in all
patients. Urinary tract infection developed in two patients (5.7%). one patient
(2.8%) who had mild urethral repair breakdown was repaired in the office
environment. CONCLUSION: We observed very low complication rates in application
of a two-way latex Foley catheter in hypospadias surgery and found that this
method can be used safely. Moreover, the catheter can be used for traction
purposes during the procedure. At the end of the 24 to 48 h period, removal of
the two-way latex urethral catheter with balloon does not harm the urethral
repair. To reach a definite conclusion, larger studies are needed.
PMID- 26550279
TI - Gait modification strategies of trunk over left stance phase in patients with
right anterior cruciate ligament deficiency.
AB - PURPOSE: To investigate the gait modification strategies of trunk over left
stance phase in patients with right anterior cruciate ligament deficiency (ACL
D). METHODS: Thirty-six patients with right ACL-D and thirty-six health subjects
(control) were recruited to undergo a 3-dimensional (3D) gait analysis.
Coordinate data from 26 reflective markers positioned on the body surface of
participants were recorded with a 3D optical video motion capture system, as they
walked on the ground, ascended and descended a custom-built staircase. Angle
changes in the 3-planes under different walking conditions were analyzed.
RESULTS: There were statistically significant differences between the two groups
in the trunk at the transverse plane angle in most measurements. With the walk
pattern of stair descent, the trunk at all 3-plane angles, at the maximum value
of the left knee sagittal/coronal/transverse plane moment, was significantly
different between the two groups (P <= 0.03). CONCLUSIONS: Our findings suggested
that special gait modification of trunk is apparent over stance of left (healthy)
side in patients with right ACL-D. The results of this study may supply more
insight with respect to improving the diagnosis and rehabilitation of ACL-D. This
information may also be helpful for a better use of walk and stair tasks as part
of a rehabilitation program and provide a safe guideline for the patients.
PMID- 26550280
TI - The effect of prediagnostic aspirin use on the prognosis of stage III colorectal
cancer.
AB - BACKGROUND: Many studies have suggested that the regular use of non-steroidal
anti-inflammatory drugs (NSAIDs), including aspirin, has a protective effect and
survival benefit on colorectal cancer (CRC). However, recent data suggest that
CRCs have different responses to NSAIDs depending on the timing of NSAID
initiation, duration of NSAID use, and molecular characteristics of the tumor.
The aim of this study was to evaluate the effect of long-term prediagnostic
aspirin use on the prognosis of stage III CRC. METHODS: From 2007 to 2009,
patients who were diagnosed with stage III CRC were recruited, and their medical
records were retrospectively analyzed. Patients were divided into prediagnostic
aspirin users (who used aspirin for more than three months continuously before
CRC diagnosis) and non-users (who did not use of aspirin and NSAIDs). The two
groups were compared in terms of recurrence, cancer-specific mortality, disease
free survival (DFS), and cancer-specific survival. In an experimental study,
three CRC cell lines (Caco2, SW480, and DLD-1) were pretreated with aspirin (1
mM) for four days or 28 days to make aspirin-resistant cells, treated with 5
fluorouracil (5-FU; 2 uM), and apoptosis was measured with flow cytometry using
Annexin-V and propidium iodide double staining. RESULTS: Compared with the
aspirin non-users (N=565), the prediagnostic aspirin users (N=121) were not
different in terms of baseline characteristics including tumor characteristics,
except for comorbidities and diabetes medication and statin use, which were
higher in the prediagnostic aspirin users. Recurrence and cancer-specific
mortality in stage III CRC were significantly higher in prediagnostic aspirin
users than non-users (46.7% vs. 32.3%, P=0.003 and 32.2% vs. 19.8%, P=0.003,
respectively). Survival analysis using Cox proportional hazards modeling
demonstrated that DFS was significantly worse in prediagnostic aspirin users than
non-users (HR, 1.525 (1.018-2.286); P=0.041). In cell line experiments, long-term
aspirin pretreatment induced an increase in 5-FU-induced apoptosis in SW480 cells
compared with control treatment without aspirin pretreatment. However, Caco2
cells showed a significant decrease of apoptosis in the same experiments and no
change in DLD1 cells. CONCLUSION: Prediagnostic long-term aspirin use in stage
III CRC could be a negative prognostic factor depending on the characteristics of
the CRC.
PMID- 26550281
TI - Genetic effects of common polymorphisms in estrogen receptor alpha gene on
osteoarthritis: a meta-analysis.
AB - OBJECTIVE: The estrogen receptor alpha (ESR1) gene has been implicated in the
etiology of osteoarthritis (OA). However, the results are conflicting. We
assessed the association of three common ESR1 polymorphisms, rs2234693, rs9340799
and rs2228480, with OA in this meta-analysis. METHODS: A comprehensive search was
performed to identify related studies. Pooled odds ratio (OR) with 95% confidence
interval (CI) was calculated using fixed or random effects model. RESULTS: 15
studies (7036 cases and 9669 controls) for rs2234693 polymorphism, 14 studies
(3904 cases and 6991 controls) for rs9340799 and 3 studies (331 cases and 619
controls) for rs2228480 polymorphism were identified. The final results indicated
that the G allele in ESR1 rs9340799 was associated with decreased OA risk (GG+GA
vs. AA: OR=0.878, 95% CI=0.792-0.972, P=0.012; G vs. A: OR=0.902, 95% CI=0.836
0.975, P=0.009). The A allele in rs2228480 might be associated with increased OA
risk. But no significant association of rs2234693 polymorphism with OA
susceptibility was observed. CONCLUSIONS: This meta-analysis indicates rs9340799
and rs2228480 rather than rs2234693 polymorphisms are associated with the
incidence of OA. Some stable associations should be further confirmed in future.
PMID- 26550282
TI - A useful surgical strategy for proximal tibial fractures (AO/OTA type 41-C) with
diaphyseal involvement.
AB - Relatively few studies have addressed surgical strategy for complex proximal
tibial fractures by now. The purpose of this study was to assess the results of a
single lateral locking plate using minimally invasive plate osteosynthesis (MIPO)
for proximal tibia fractures (AO/OTA type 41-C) with diaphyseal involvement. From
Jun 2009 to Jun 2014, 20 patients (fifteen women and five men, mean age 35.8
years) were managed for proximal tibial fractures which extend into the
diaphyseal region of the bone, including three 41-C1, eleven 41-C2, and six 41
C3. Twelve patients were open fractures. A single lateral locking plate
characterized by percutaneous technology was used with or without additional lag
screws. Mobilization was started immediately after the procedure, and non-weight
bearing was maintained for at least 6 weeks, then progressively weight bearing
depends on both clinical and x-ray findings. Primary union was achieved by 16 of
the 20 study subjects. Early bone grafting was performed in 4 cases with a
massive initial bone defect and staged bone grafting was used in one to treat
nonunion. The mean articular step off was 1.0 mm (range, 0-3 mm). No patient had
misalignment greater than 10 degrees . Acceptable range of knee motion of >=120
degrees was achieved in sixteen, and the mean knee Hass score was 87.4 at final
follow-up visits. The complications included superficial infection in one
patient. In conclusion, the surgical strategy can provide favorable results in
the treatment of proximal tibial fractures (AO/OTA type 41-C) with diaphyseal
involvement.
PMID- 26550283
TI - Predictive accuracy comparison of MELD and Child-Turcotte-Pugh scores for
survival in patients underwent TIPS placement: a systematic meta-analytic review.
AB - BACKGROUND: Child-Turcotte-Pugh (CTP) and the model for end-stage liver disease
(MELD) scores have been used commonly to predict the survival in the patients
with liver diseases underwent transjugular intrahepatic portosystemic shunt
(TIPS). However, a debate has continued for years whether CTP could be replaced
by MELD score. We performed a systematic meta-analytic review to compare the
prediction capability of both scores in survival among patients with TIPS.
METHODS: Retrospective cohort studies among patients with TIPS were published as
of May 2013 were identified by systematically searching four electronic
literature database, such as Ovid Medline, PubMed, EMBASE, and ISI Web of
Science. The difference of standardized mean difference (SMD) of c-statistics for
the predictive accuracy of 1-, 3-, 6-, and 12-month survival for both MELD and CP
scores, defined as effect size (ES), was calculated for each individual study and
then pooled across studies using standard meta-analyses with a random effects
model. Publication bias was evaluated using funnel plots and Kendall's rank
correlation tests. RESULTS: 174 researches articles or conference abstracts were
searched and reviewed using the combination of relevant terms in the articles.
Finally, 11 articles were defined as eligible studies to evaluate simultaneously
the predictive accuracy of MELD and CTP scores. In the meta-analyses, MELD score
was superior to CP score in predicting 3-month survival after TIPS (mean ES,
0.63; 95% confidence interval [CI], 0.13-1.14; P=0.01), but the predictive
capability in 1-month, 6-month, and 12-month survival was not significant (1
month: mean ES, 0.79; 95% CI, -0.24-1.83; P=0.13; 6-month: mean ES, 0.46; 95% CI,
-2.46-3.37; P=0.76; 12-month: mean ES, 0.36; 95% CI, -0.25-0.96; P=0.25).
CONCLUSIONS: No enough evidence are confirmed so far that MELD score is better
than CTP score to assess the overall prognosis after TIPS, especially long-term
predictions, but 3-month predictive capability of MELD score significantly
outperform CTP score.
PMID- 26550284
TI - The clinical effect of percutaneous kyphoplasty for the treatment of multiple
osteoporotic vertebral compression fractures and the prevention of new vertebral
fractures.
AB - This study aimed to investigate the clinical effect of percutaneous kyphoplasty
and the precautions against adjacent vertebral refractures in the treatment of
multiple osteoporotic vertebral compression fractures. 54 cases (128 vertebrae)
with multiple osteoporotic vertebral compression fractures from July 2007 to
December 2013 treated with percutaneous kyphoplasty were retrospectively
reviewed. 36 cases of them suffered from bi-segment vertebral fractures, 16 cases
with tri-segment vertebral fractures and 2 cases with quadri-segment vertebral
fractures. The operative effect was evaluated by visual analogue scale (VAS)
score and oswestry disability index (ODI) score. Then the reasons for adjacent
vertebral refractures were analyzed and the precautions were proposed. 54 cases
(128 vertebrae) were admitted with percutaneous kyphoplasty successfully. No
pulmonary embolism, spinal cord injury and other serious complications were
found. The follow-up took 3-33 months with the average of 12 months. There was
significant difference of VAS scores and ODI scores between pre-operation and
post-operation (P<0.05). Bone cement leakage occurred in 23 vertebrae, and the
incidence rate was 18.0%. 8 cases sustained adjacent vertebral refractures
including 3 cases in the contiguous vertebral bodies and 5 cases in the interval
vertebral bodies, and the incidence rate was 14.8%. 5 cases gained fracture
healing after additional percutaneous kyphoplasty procedures while the other 3
cases were healed basically after conservative treatment for three months. In
conclusion, percutaneous kyphoplasty is safe and effective to treat multiple
osteoporotic vertebral compression fractures. However, the risk of new adjacent
vertebral fractures in the multiple osteoporotic vertebral compression fractures
is higher than that in the single osteoporotic vertebral compression fracture.
Timely and proper treatment can reduce refractures.
PMID- 26550285
TI - The clinical characteristic and risk of capsule incomplete and retention in
Crohn's disease.
AB - OBJECTIVE: To evaluate capsule endoscopy in terms of incomplete examinations and
capsule retentions, to describe the characteristic of these events and to find
risk factors for these events. METHODS: This retrospective and consecutive case
control study includes data of 204 capsule enteroscopy examinations in patients
with Crohn's Disease, performed at the first hospital affiliated to zhejiang
university medical school from June 2003 to April 2014. RESULTS: The frequency of
complete examinations was 56.9%. Male gender (OR=2.48, P=0.026), abdominal pain
(OR=2.88, P=0.002), melena/bloody stools/OB+ (OR=3.34, P=0.009) were risk factors
for an incomplete examination. Capsule retention occurred in 8.33% (n=17). The
ratio of male and female was 12:5. While the average age of these patients was
42.2+/-16.2, and the average course of disease was 52.5+/-46.6 months. Of the
seventeen cases of retained capsules, four patients chose to undergo surgery to
remove the capsule for occurring symptoms of intestinal obstruction, spontaneous
passage occurred in twelve patients after medical treatment, and one patient
still have the capsule retained after 16 months of expectation. The longest
capsule retained time in patients was four years. Risk factors for capsule
retention was abdominal distention (OR=8.45, P=0.006). CONCLUSIONS: The majority
of capsule endoscopy retention develops into spontaneous passage after medical
treatment. Therefore capsule endoscopy is considered a safe procedure, although
obstructive symptoms and serious complications due to capsule retention can be
found in patients with known Crohn's disease.
PMID- 26550287
TI - Two types of isolated epileptic nystagmus: case report.
AB - Epileptic nystagmus (EN) is a quick, repetitive jerky movement of the eyeball
caused by seizure activity, unaccompanied by other ictal phenomena rare. Here, we
described two cases, one characterized by binocular and the other by monocular
isolated epileptic nystagmus (IEN), and we identified the characteristics of the
etiology, clinical manifestations, electroencephalogram, imaging, treatment and
prognosis in epileptic nystagmus through reviewing literature. We found IEN
occurs more frequently in children than in adults. Etiological factors included
trauma, cerebral vascular disease, tumor, and anoxia. The frequency of IEN was
high, which varied from several to hundreds of times per day, and the duration of
it was usually less than 1 minute. EN and its subtypes, such as epileptic
monocular nystagmus, vertical epileptic nystagmus, epileptic skew deviation,
periodic alternating nystagmus, and partial oculo-clonic status, are rare. The
fast phase of the nystagmus was contralateral to the epileptogenic zone in most
cases. Periodic lateralized epileptiform discharges (PLEDs) is a distinct EEG
pattern in EN. Our findings suggested that the occipital lobe may plays a key
role in the origin of EN.
PMID- 26550286
TI - Association between IL-6 and related risk factors of metabolic syndrome and
cardiovascular disease in young rats.
AB - OBJECTIVE: Metabolic syndrome (MS) is conceived as the pathogenic basis of an
increased cardiovascular burden. We investigate the correlation between
interleukin-6 (IL-6) and the risk factors of MS and cardiovascular disease (CVD)
in diet-induced model of MS and determined whether IL-6 was associated with the
prevalence of MS and cardiovascular disease. METHODS: A total of 40 Spague-Dawley
(SD) rats were randomly divided into high-fat and high salt (FSC) group, high-fat
(FC) group and normal control (NC) group. After feeding for 7 weeks, fasting
blood glucose (FBG) and fasting insulin (FIN) were measured at the 60 min, 120
min and 180 min after the glucose administration. Blood pressure, body weight,
height, waist circumference (WC), liver weight, visceral fat weight as well as
blood lipid profile were determined at the end of 7-week. Furthermore, IL-6
levels from adipose tissues were analyzed using ELISA, and the correlation
between IL-6 and the risk factors of MS and cardiovascular disease was
investigated. RESULTS: After treatment with different diets, significant
difference was noted in the WC, body mass index (BMI), visceral fat weight and
liver weight of FSC group compared with those of NC group (P<0.05). The levels of
systolic blood pressure (SBP), diastolic blood pressure (DBP), total cholesterol
(TC), triacylglycerol (TG) and low density lipoprotein (LDL) were markedly
elevated in FSC group compared with those in NC group, while the level of high
density lipoprotein (HDL) was remarkable lower in FSC group (P<0.05). After
glucose administration, the concentrations of blood glucose and insulin were
significant higher in FSC group than those in NC group at different time points
(P<0.05). Moreover, high-fat and high salt diet brought about significant
elevation of IL-6 compared with that with normal or high-fat diet in SD rats.
Furthermore, IL-6 was significantly associated with FIN, HOMA-IR, LDL, TC, TG,
HDL, visceral fat mass and body weight in FSC group, while IL-6 was markedly
correlated with TC, LDL, TG, visceral fat mass and body weight (P<0.05).
CONCLUSION: A characteristic rat model of MS may be induced by the high-fat and
high-salt diet. IL-6 may be considered as an early and representative marker in
the pathogenesis of MS and related cardiovascular burden.
PMID- 26550288
TI - Guidance value of intracranial venous circulation evaluation to parasagittal
meningioma operation.
AB - OBJECTIVE: This study is to investigate the value of intracranial venous
circulation evaluation in guiding the surgery for parasagittal meningioma.
METHODS: A total of 44 parasagittal meningioma (PSM) patients received 3D CE-MRV
scanning. The obtained images were reconstructed by virtual reality (VR)
technology. Venous collateral circulation was evaluated. Postoperative follow-up
was carried out. RESULTS: Among 44 PSM patients, 41 cases were with resection of
Simpson grade I/II (93.18%) and 6 cases were with permanent neurological
dysfunction (13.64%). Venous sinus thrombosis occurred in the remaining 3
patients (6.82%), with 2 cases cured and 1 case died. The mortality rate was
2.27%. Recurrence occurred in one case (2.27%) after discharge. In 9 cases, tumor
adjacent SSS was transected and the poor prognosis rate was 33.33%. SSS was
opened in 3 cases and after removal of the tumor the broken end was sutured
directly. The poor prognosis occurred in one case (33.33%). Electrocoagulation
was carried out to the SSS wall in 32 cases without opening venous sinus and 2
cases were with poor prognosis (6.25%). The three different venous sinus
treatment methods had no significantly difference on the prognosis of patients (P
> 0.05). CONCLUSIONS: Preoperative evaluation of intracranial venous circulation
with VR technology and 3D CE-MRV may help making individual surgical plans,
reduce venous injury and improve the prognosis of PSM patients.
PMID- 26550289
TI - Case-matched analysis of combined thoracoscopic-laparoscopic versus open
esophagectomy for esophageal squamous cell carcinoma.
AB - The aim of this study is to evaluate surgical results and long-term survival of
combined thoracoscopic-laparoscopic esophagectomy (TLE) performed for esophageal
squamous cell carcinoma. Data of 59 patients with esophageal squamous cell
carcinoma, undergoing TLE from January 2007 to January 2015, were compared to a
control group of 59 patients who underwent open esophagectomy (OE) during the
same period. The two groups were matched in terms of age, sex, American Society
of Anesthesiology (ASA) score and clinical TNM stage. Laparoscopic approach
resulted in longer operating time (P=0.003) and lower blood loss (P=0.000). There
was no difference in perioperative morbidity and mortality rate; TLE approach was
associated with a shorter hospital stay (P=0.000). After a mean follow up of 38
months, 5-year disease free survival and 5-year overall survival were 38% and 50%
for TLE group, and 36% and 45% for OE group (P>0.05). TLE for esophageal squamous
cell carcinoma is feasible and safe in selected patients and can result in good
surgical results, with similar outcomes in terms of long-term outcomes.
PMID- 26550290
TI - Baseline quantitative hepatitis B core antibody could strongly predict survival
for patients with hepatocellular carcinoma undergoing transarterial
chemoembolization.
AB - The investigation regarding the clinical significance of quantitative hepatitis B
core antibody (anti-HBc) in HCC patients after transarterial chemoembolization
(TACE) is rare. The aim of this study was to determine the prognostic
significance of anti-HBc in HCC patients after TACE. A total of 66 HCC patients
with complete data and treated with TACE in Nanfang Hospital, Southern Medical
University were retrospectively analyzed. Univariate analyses were performed for
20 pretreatment variables and those with a P value less than 0.05 by univariate
analysis were subjected to Cox proportional hazards model. Among the 66 HCC
patients, the 0.5-, 1-, and 2-year overall survival rates were 92.42%, 50.00%,
and 21.21%, respectively. We defined 11.88 S/CO, with a maximum sum of
sensitivity and specificity, as the optimal cut-off value of baseline anti-HBc
level to predict the OS rate in HCC patients after TACE. Univariate and
multivariate analyses revealed that baseline anti-HBc level, AFP level and
vascular invasion were the only three independent significant prognostic factors
of overall survival (P = 0.001, 0.020 and 0.010, respectively). We demonstrated
that baseline anti-HBc level, combining AFP level and vascular invasion, might be
a novel biomarker for predicting the survival of HCC patients after TACE.
PMID- 26550291
TI - Correlation of TLR2 and TLR4 expressions in peripheral blood mononuclear cells to
Th1- and Th2-type immune responses in children with henoch-schonlein purpura.
AB - We discussed the correlation of TLR2 (Toll-like receptor) and TLR4 expressions in
peripheral blood mononuclear cells (PBMCs) to Th1- and Th2-type immune responses
in children with Henoch-Schonlein Purpura (HSP). The role of TLR2 and TLR4 in the
pathogenesis of HSP was analyzed. Sixty-four HSP children treated at our hospital
from October 2011 to November 2012 were enrolled and divided into NHSPN group
(complicated by renal impairment, 36 cases) and HSPN group (not complicated by
renal impairment, 28 cases). In the meantime, 30 normal children receiving
physical examination at our hospital were recruited as controls. Peripheral blood
T cell subgroups and TLR2 and TLR4 expressions in PBMCs were detected by using
flow cytometry; relative expression levels of TLR2 and TLR4 mRNA in PBMCs by real
time quantitative fluorescence PCR, and plasma levels of IFN-gamma, IL-4 and IL-6
by ELISA method. Relative expression levels of TLR2 and TLR4 mRNAs in PBMCs and
TLR2 and TLR4 protein expressions in children with HSP were significantly higher
than those of the controls (P<0.01). The relative expression levels of TLR2 and
TLR4 mRNAs in PBMCs and TLR2 and TLR4 protein expressions in HSPN group were
obviously higher than those in NHSPN group (P<0.05; P<0.01; P<0.01; P<0.01);
CD3(+) T cells and CD3(+)CD4(+) T cells in HSP group were significantly
decreased, while CD3(+)CD8(+) T cells and CD3(+)HLADR(+) T activated cells were
considerably increased (P<0.01); The plasma levels of IL-4 and IL-6 in HSP group
were significantly higher than those of the normal controls (P<0.01, P<0.01); IFN
gamma level in the former was much lower than in the control group (P<0.05); IFN
gamma/IL-4 ratio in the former was also lower than that in the control (P<0.01);
TLR2 and TLR4 expressions in HSP group showed significantly positive correlation
with the plasma levels of IL-4 and IL-6 (P<0.01, P<0.05; P<0.01, P<0.01) and
significantly negative correlation with IFN-gamma/IL-4 ratio (P<0.01; P<0.01).
TLR2 and TLR4 activation may be involved in the pathogenesis of HSP. TLR2 and
TLR4 overactivation may induce HSP-related renal impairment; Children with HSP
showed T-cell disorders and Th1/Th2 imbalance. Activated TLR2 and TLR4 possibly
mediate the pathogenesis of HSP by upregulating Th2-type immune responses.
PMID- 26550292
TI - Effect of disulfiram on ketamine-induced cardiotoxicity in rats.
AB - It is known that ketamine increases the production of catecholamines, causing
oxidative damage to the heart. Suppression of the production of catecholamines by
disulfiram, a drug with antioxidant properties, indicates that disulfiram may
decrease ketamine-induced cardiotoxicity. The objective of the present study was
to investigate the effect of disulfiram on ketamine-induced cardiotoxicity in
rats. Disulfiram was administered by oral gavage in doses of 25 mg/kg to rats in
the DK-25 group and 50 mg/kg to rats in the DK-50 group. Distilled water was
applied in the ketamine control (KC) and healthy (HG) rat groups. At one hour
after drug administration and subsequently at ten-minute intervals, a 60 mg/kg
dose of ketamine was intraperitoneally injected in the rats in all groups other
than HG, and anesthesia was maintained for three hours. Disulfiram prevented both
increase in the levels of parameters indicating oxidative and myocardial damage
and decrease of antioxidant levels in the heart tissue with ketamine in a dose
dependent manner. Disulfiram better prevented occurrence of cardiotoxicity with
ketamine in the 50 mg/kg dose than in the 25 mg/kg dose. It is concluded that
disulfiram may usefully be applied in clinical practice in the prevention of
cardiotoxicity as observed during anesthesia with ketamine.
PMID- 26550293
TI - Effects of vitamin D on kidney histology and trpv1 channels in doxorubicin
induced nephropathy.
AB - Doxorubicin (DXR) is an antineoplastic agent of the anthracycline group, and may
show nephrotoxic effects in animal models and humans. We investigated changes in
kidney tissue following doxorubicin treatment and the effects of vitamin D on
kidney tissue and TRPV1 channels. In this study, 24 adult male Wistar Albino rats
were used. The animals were divided into four groups of six animals. During the
14-day experiment period, Group I did not have any application. 200 IU/day
cholecalciferol was administered orally to Group II. Group III received 10 mg/kg
single dose of DXR intraperitoneally (IP); and Group IV had a single 10 mg/kg
dose of IP DXR and 200 IU/day of oral cholecalciferol. At the end of the
experiment, the rats were decapitated, and their kidney tissues were removed.
TRPV1 expression and apoptosis were detected in the tissue section by using
immunohistochemical, TUNEL and real time-PCR (RT-PCR) techniques. The findings
were examined and photographed with BH2 Olympus photomicroscope. As result of
immunohistochemical staining, RT-PCR and examination with light microscope, it
was found that the TRPV 1 immunoreactivity of the DXR group decreased in
comparison with the control group, and the vitamin D application did not reverse
this effect. Apoptosis detected by the TUNEL method tended to increase in the
doxorubicin group and was relatively reversed with the administration of vitamin
D. Tissue malondialdehyde (MDA) levels were observed to correlate with the
findings of apoptosis. This study showed that vitamin D has anti- apoptotic and
antioxidant effects on kidney tissue after DXR-induced injury.
PMID- 26550294
TI - Effect of subarachnoid hemorrhage on voltage-dependence calcium channel current
in cerebral artery smooth muscle cells.
AB - OBJECTIVE: To investigate the effect of subarachnoid hemorrhage (SAH) on voltage
dependent calcium channel (VDCC) current in cerebral artery smooth muscle cells
(SMCs), oxyhemoglobins (OxyHb) concentration and vasospasm. METHOD: Thirty-six
clean SD rats were used to establish SAH model by injecting autologous arterial
blood into suprasellar cistern with the aid of stereotaxic instrument. They were
divided into arterial SAH group (14 rats), venous SAH group (13 rats) and sham
operation group (9 rats), and OxyHb concentrations were measured in the first two
groups. Relative membrane surface area of cerebral artery SMCs, resting potential
and VDCC current were measured using a patch clamp at day 3 after modeling;
cerebral blood flow (CBF) was measured by using fluorescent microsphere-based
lateral flow assay. RESULTS: OxyHb concentration of arterial SAH group (127+/-4
g/L) was higher than that of venous SAH group (54+/-6 g/L) and sham operation
group (50+/-5 g/L), with significant difference (P<0.05); The maximum VDCC
current (3.22+/-0.31 pA/pF) of the arterial SAH group was obviously higher than
that of venous SAH group (2.19+/-0.27 pA/pF) and sham operation group (2.18+/
0.29 pA/pF), also showing a significant difference (P<0.05). For arterial SAH
group, VDCC current consisted of L- and R-type calcium current, and for venous
SAH group the VDCC current consisted of L-type calcium current; CBF of arterial
SAH group (0.83+/-0.14 ml/g/min) was significantly higher than that of venous SAH
group (1.28+/-0.28 ml/g/min) and sham operation group (1.35+/-0.19 ml/g/min)
(P<0.05). CONCLUSION: The effect of arterial SAH was greater on the expression
and function of VDCCs in cerebral artery SMCs than venous SAH. This may be
explained by the differences in the concentration and composition of pathogenic
agents for vasospasm in the arterial and venous blood, such as OxyHb.
PMID- 26550295
TI - Long-term follow-up after laparoscopic versus open distal gastrectomy for
advanced gastric cancer.
AB - This study aimed to compare the long-term outcomes of laparoscopic and open
distal gastrectomy for advanced gastric cancer. Between January 2007 and December
2014, patients with advanced gastric cancer underwent distal gastrectomy by
laparoscopic or open approach were identified. Patients in both groups were
selected after being matched by age, gender, American Society of
Anesthesiologists (ASA) class and clinical TNM stage using propensity score
method, to create two comparable groups: laparoscopy and open groups, and
prognosis were compared between these two groups. After the patients were
matched, 86 patients in each group were selected for analysis. There were no
significant differences in the clinicopathological features between the two
groups. There were significant differences between the laparoscopy and open
groups in terms of blood loss, duration of surgery, and hospital stay. The 5-year
overall survival rate was 59% in laparoscopy group, and 56% in open group
(P=0.523). The 5-year disease-free survival rate was 52% and 46%, respectively
(P=0.362). According to the univariate and multivariate analysis, this type of
surgical approach was not a prognostic factor for long-term outcomes. The current
results indicated that laparoscopic distal gastrectomy is associated with similar
overall survival and disease-free survival for advanced gastric cancer.
PMID- 26550296
TI - Video-assisted thoracoscopic surgery versus open resection of lung metastases
from colorectal cancer.
AB - This study aimed to compare the short and long-term survival outcomes between
video-assisted thoracoscopic surgery (VATS) and open resection of lung metastases
from colorectal cancer. Between January 2006 and January 2013, 57 patients
underwent VATS of lung metastases from colorectal cancer. These patients were
compared with a consecutive matched group of 57 patients who underwent open
resection within the same period. The two groups were similar in terms of age,
gender, tumor size, number of tumors, tumor laterality and type of pulmonary
resections. The operative time was longer in the VATS group, but the estimated
blood loss was less in the VATS group than in the open group. Postoperative 30
day mortality, 30-day complications were similar between the groups. More
complications were classified as major in patients underwent open resection,
though the difference was not significant (P = 0.297). The 5-year overall
survival rate was 50% for VATS and 46% for open resection (P = 0.251). The 5-year
overall disease-free survival time was similar in two groups (P = 0.457). The
findings suggest that VATS is associated with less blood loss than open resection
for lung metastases of colorectal cancer. According to our results, VATS for lung
metastases from colorectal cancer is equivalent to open resection in terms of
long-term survival outcomes.
PMID- 26550297
TI - Short and long-term outcomes after gastrectomy for gastric carcinoma in elderly
patients.
AB - As worldwide life expectancy rises, the number of candidates for surgical
treatment of gastric carcinoma over 70 years will increase. This study aims to
examine outcomes after gastric carcinoma in elderly patients. This study is a
retrospective review of 697 patients undergoing gastrectomy with radical intent
for gastric carcinoma during January 2007 to January 2013. A total of 534
patients were less than 70 years old (group A), and 163 patients 70 years or
greater (group B). We analyzed the effect of age on short and long-term variables
including overall survival and disease-free survival. Major morbidity was
observed to occur in 19 patients of group A, and 15 of group B. Mortality, both
30-day and 90-day was observed in 1 and 3 of group A, and 3 and 6 of group B.
Five-year overall survival and disease-free survival was 61% and 60% for group A,
50% and 43% for group B respectively. Gastrectomy should be carefully considered
in patients 70 years old and can be justified with low mortality and acceptable
long-term outcomes.
PMID- 26550299
TI - Relationship between lipoprotein lipase gene polymorphism and hemorrhagic stroke
in a Chinese population.
AB - OBJECTIVE: To investigate the relationship between lipoprotein lipase (LPL) gene
polymorphism and cerebral hemorrhage in a Chinese population. METHOD: This study
was based on the case-control study, PCR-RELP and sequencing method were utilized
for genotyping. LPL gene Hind III polymorphism was detected both in 300 patients
with cerebral hemorrhage (CH group) and in 300 healthy control subjects (control
group). Blood lipid level and blood glucose were detected at the same time.
RESULT: Our results showed that G allele frequency was significant lower in the
CH group than that in the control group (OR=0.611; 95% CI: 0.427-0.876, P=0.001).
We also found both GG (OR=0.543, 95% CI: 0.233-0.988; P=0.041) and TG (OR=0.609,
95% CI: 0.387-0.959, P=0.032) genotype were frequent in the control group than
that in the CH group. TG level of the groups who carry TT genotype were much
higher than that of the groups carrying TG+GG genotype (P<0.05). By means of
adjusting age, hypertension and hyperglycemia, logistic multivariate regression
analysis revealed that LPL Hind III G allele might be a protective factor
(OR=0.601, 95% CI: 0.231-0.876; P=0.001) in the present study. CONCLUSION: It is
suggested that LPL Hind III G allelic mutation might be a protective factor
against cerebral hemorrhage disease in Chinese population.
PMID- 26550298
TI - Creative arts program as an intervention for PTSD: a randomized clinical trial
with motor vehicle accident survivors.
AB - The aim of this study is to determine whether the creative arts program (HA) is
effective in preventing the onset of Posttraumatic stress disorder (PTSD). PTSD
develops in 10-20% of motor vehicle accident survivors (MVAs). MVAs in the
initial months after the accident were randomly assigned to receive 8-week HA
intervention (n = 26) or wait the list (WL, n = 26). The arts program consisted
of writing and drawing. PTSD severity was assessed at 2, 6, and 12 months post
injury with a clinical interview (Clinician-Administered PTSD Scale, CAPS) and
self-report instrument (Impact of Event Scale-Revised, IES-R). Secondary outcomes
were post-traumatic growth (PTG), depression and anxiety symptoms. Repeated
measures analysis of variance indicated that both HA and WL group exhibited a
significant effect of time (P < 0.01) on CAPS, but no significant group
differences over time. There were no group differences on depression or anxiety
over time. Pessimists did not benefit more from attending the HA than they did
from attending the WL. Our results fail to support the hypothesis that the
creative arts program is effect in avoiding MVA-related PTSD symptoms. But it
only seems to be a short-term, rather than a long-term effect.
PMID- 26550300
TI - Clinical value of microRNA-23a upregulation in non-small cell lung cancer.
AB - BACKGROUND: MiR-23a function as an oncogene in several human cancers, however,
its clinical value has not been investigated in NSCLC. METHODS: Tissue samples
were obtained from 127 NSCLC patients who underwent complete resection at
Yantaishan Hospital from March 2008 to January 2014. The expression level of miR
23a was detected in NSCLC tissues and the matched adjacent lung tissues by qRT
PCR. The survival analysis was estimated by the Kaplan-Meier method and was
compared by using the log-rank test. Multivariate analysis was performed using
the Cox proportional hazard model. RESULTS: The expression level of miR-23a was
significantly up-regulated in NSCLC tissues compared with matched adjacent lung
tissues (P<0.001). The expression of miR-23a in NSCLC tissues was significantly
associated with the smoking status (P=0.001), tumor size (P=0.002), lymphnode
metastasis (P<0.001), TNM stage (P=0.001), and tumor differentiation (P=0.004).
The overall survival was significantly lower in patients with higher miR-23a
expression than in patients with lower miR-23a expression (P=0.02). In addition,
multivariate analysis demonstrated that high miR-23a expression (HR=3.558, 95%
CI: 2.982-6.635, P=0.011) was significant prognostic factor for NSCLC patients.
CONCLUSIONS: miR-23a might play an oncogenic role in NSCLC and is a poor
prognostic factor. Our results must be verified by large-scale prospective
studies with standardized methodology.
PMID- 26550301
TI - Video-assisted thoracoscopic surgery for non-small-cell lung cancer is beneficial
to elderly patients.
AB - The aim of this study was to explore whether video-assisted thoracoscopic surgery
(VATS) has short or long-term benefits in elderly patients with non-small-cell
lung cancer compared with open surgery. Between June 2007 and December 2014, 579
patients older than 70 years underwent radical pulmonary resection for non-small
cell lung cancer, including 138 who received VATS and 441 who received open
surgery. A retrospective pair-matched study was performed to compare 194 patients
(97 pairs) who underwent either VATS or open resection. Patients were matched by
age, sex, comorbidity, American Society of Anesthesiologists (ASA) score, tumor
location, clinical TNM stage, and extent of pulmonary resection. Short and long
term outcomes were compared between the two groups. The overall incidence of
postoperative 30-day complications was significantly lower in the VATS group than
in the open surgery group. The major postoperative 30-day complication trended
lower in the VATS group but was not significantly different. The length of
postoperative hospital stay was significantly shorter. Kaplan-Meier analysis
showed that 5-year disease-free survival and overall survival was similar between
the two groups. In summary, in surgical management of elderly patients with non
small-cell lung cancer, VATS is associated with lower rates of morbidity as well
as comparable disease-free survival and overall survival outcomes.
PMID- 26550302
TI - Allopregnanolone attenuates Abeta25-35-induced neurotoxicity in PC12 cells by
reducing oxidative stress.
AB - Massive accumulation of amyloid beta (Abeta) has been implicated as a pivotal
event in the pathogenesis of Alzheimer's disease. The underlying mechanisms of
Abeta-induced neurotoxicity include generation of reactive oxidative species
(ROS), inflammation, and neurons loss. Allopregnano-lone (APalpha), a
neurosteroid derive from neuroactive progesterone, has been demonstrated to have
neuroprotective properties in vivo and vitro. In the present study, the effects
of APalpha on oxidative damage in Abeta25-35-treated pheochromocytoma (PC12)
cells were investigated. Pretreatment of APalpha significantly attenuated Abeta25
35-induced neuronal death. APalpha decreased the intracellular ROS generation and
reduced lipid peroxidation induced by Abeta25-35. In addition, APalpha treatment
enhanced antioxidant enzyme superoxide dismutase (SOD) activity. This study
demonstrates that APalpha exerts a protective effect against Abeta25-35-induced
neurotoxicity in PC12 cells. The protective role of APalpha likely results from
inhibition of oxidative stress.
PMID- 26550303
TI - Effect of low dose rocuronium in preventing ventilation leak for flexible
laryngeal mask airway during radical mastectomy.
AB - The flexible laryngeal mask airway (FLMA) is becoming more and more popular in
general anesthesia during surgery of head, neck and upper chest. But very limited
information has been published about whether muscle relaxant was necessary or not
for anesthesia with FLMA. To investigate whether low-dose muscle relaxant is
necessary in preventing ventilation leak of FLMA in radical mastectomy, forty
eight female patients undergoing radical mastectomy were enrolled in the study.
They were randomly divided into low-dose muscle relaxant (LD-MR) group and non
muscle relaxant (non-MR) group. All the included patients received total
intravenous anesthesia (with propofol, fentanyl and remifentanil) and controlled
mechanical ventilation with FLMA during the surgery. Patients in LD-MR group
received 0.4 mg/kg rocuronium during anesthesia induction, while patients in non
MR group received equivalent volumes of physiological saline. Insertion time was
shorter in LD-MR group than that in non-MR group (P < 0.05). Peak airway
pressures and ventilation leak volumes at 10, 20 and 30 minutes were lower in LD
MR group than those in non-MR group (P < 0.05). No difference was found between
LD-MR and non-MR group in terms of emergence time, FLMA extraction time, and
maximum tidal volumes before FLMA extraction. The results show that low-dose
rocuronium could reduce the ventilation leak for mechanical ventilation with FLMA
during radical mastectomy without prolonging the emergence time.
PMID- 26550304
TI - Does transcutaneous electric acupoint stimulation improve the quality of recovery
after thyroidectomy? A prospective randomized controlled trial.
AB - BACKGROUND: We evaluated the effects of transcutaneous electric acupoint
stimulation (TEAS) on the postoperative quality of recovery after thyroidectomy
with general anesthesia in this prospective, randomized, double-blind, placebo
controlled study. METHODS: Eight-four American Society of Anesthesiologists
physical status (ASA) I or II patients undergoing thyroidectomy were randomly
allocated to TEAS or control groups. The primary outcome was the quality of
recovery, which was assessed on the day before surgery and 24 h after surgery
using the Quality of Recovery 40 questionnaire (QoR-40). Secondary outcomes
included the incidence of postoperative nausea and vomiting (PONV), postoperative
pain intensity, duration of post anesthesia care unit (PACU) stay and patient's
satisfaction. RESULTS: Global QoR-40 score at 24 h after surgery was higher in
the TEAS group (median [interquartile range], 183 [172-190]) compared with the
control group (168 [154-183]) (P < 0.001). Compared with the control group,
postoperative pain intensity and the cumulative number of opioids administered
was lower in the TEAS group patients (P < 0.001). TEAS reduced the incidence of
PONV and dizziness (P = 0.001), as well as the duration of PACU stay (P < 0.001).
Simultaneously, the patient's satisfaction scores were higher in the TEAS group
(P = 0.002). CONCLUSION: Preoperative TEAS enhances the quality of recovery,
postoperative analgesia and patient's satisfaction, alleviates postoperative side
effects and accelerates discharge after general anesthesia for thyroidectomy.
PMID- 26550305
TI - Pre-miR-149 rs71428439 polymorphism is associated with increased cancer risk and
AKT1/cyclinD1 signaling in hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) is one of the most common lethal malignancies in
the world, and the current knowledge on the molecular and genetic basis of HCC is
still limited. Previous study has shown miR-149 plays a tumor suppressive role in
HCC, here we aimed to investigate the association between rs71428439
polymorphism, which located in the pre-miR-149, and the risk of HCC in a Chinese
Han population. A total of 177 HCC patients and 103 healthy controls were
genotyped, by a multivariate logistic regression, we found that individuals with
GG genotype have significantly higher risk of HCC (adjusted OR=3.397, 95%
CI=1.565-7.375, P=0.002) compared with those with AA genotype, similar results
were also observed in recessive model (adjusted OR=2.563, 95% CI=1.300-5.054,
P=0.007) and dominant model (adjusted OR=2.074, 95% CI=1.147-3.752, P=0.016). We
further observed that tumor tissues in patients with GG genotype expressed lower
level of miR-149 compared with those with AA or AG genotype, and consequently,
AKT1, a pre-validated miR-149 target in vitro, was found to have higher
expression level in tumors with GG genotype. In summary, our data indicated that
rs71428439 may be a genetic risk factor of HCC in the Chinese Han population, and
its mechanism possibly involves downregulated miR-149 expression and upregulated
AKT1 expression.
PMID- 26550306
TI - Effects of sevoflurane preconditioning on lung injury during one lung
ventilation.
AB - BACKGROUND: To study the lung protective effects of heme oxygenase-1 (HO-1)
expression and sevoflurane preconditioning in patients with lobectomy. METHODS:
30 patients receiving lobectomy were divided into two groups: propofol
intravenous anesthesia group (Pro group) and sevoflurane preconditioning group
(Sev group). In Pro group, propofol was used for intravenous anesthetic. In Sev
group, 1%-2% sevoflurane was used during anesthesia induction to one lung
ventilation (OLV). Venous blood was taken before OLV (T1), at the end of OLV (T2)
and at 30 min after lung ventilation (T3) to measure the concentration of serum
malondialdehyde (MDA) in two groups. HO-1 protein and mRNA expression in resected
lung tissue were measured with PT-PCR and Western blot technique. Oxygenation
index was detected at 2 hours after operation. RESULTS: HO-1 protein (2.88+/-0.23
ng/ml) and mRNA expression in Sev group were significantly higher compared to
protein (1.89+/-0.12 ng/ml)and mRNA expression in Pro group (P<0.05). Difference
was not found in MDA concentration at T1 compared to T2 (P>0.05), however, at T3,
MDA concentration was higher in Pro group than that in Sev group (P<0.05).
oxygenation index in Sev group was 380+/-67 mmHg, which was significantly
different from that in Pro group (290+/-56 mmHg) (P<0.05). CONCLUSION:
Sevoflurane preconditioning can reduce oxidative stress injury induced by OLV and
protect lung tissue by increasing HO-1 expression in lung tissue.
PMID- 26550307
TI - Correlation of axial length and corneal curvature with diopter in eyes of adults
with anisometropia.
AB - PURPOSE: To analyze the causes of anisometropia. METHODS: Between June 2011 and
November 2012 101 participants were divided into three groups. The refraction
comprising the degree of refractive errors in the presence of astigmatism which
was converted into the degree of spherical equivalent as well as ocular axial
length (AL), corneal curvature (CR), average corneal power (ave K) and diopters
were measured. RESULTS: The differences of ocular AL/CR and CR between two eyes
were statistically significant among the three groups (P < 0.05). Standardized
regression coefficients obtained by a multiple linear regression analysis
indicated that AL/CR, AL and ave K differences correlated with anisometropia in
descending order. CONCLUSION: The difference of AL/CR ratios between the eyes was
the main cause for anisometropia, followed by AL and ave K values.
PMID- 26550308
TI - Correlation of NGX6 expression with clinicopathologic features and prognosis in
colon cancer.
AB - OBJECTIVE: The aim was to explore the correlation of NGX6 expression with
clinicopathological features and prognosis in colon cancer. METHODS:
Clinicopathological feature of 145 patients with colon cancer were analyzed.NGX6
expression was measured using immunohistochemistry methods. The correlation of
NGX6 expression with clinicopathological features and prognosis were assessed.
RESULTS: Among 145 cases of colon cancer, NGX6 positive expression were found in
76 (52.4%) cases and NGX6 negative expression were found in 69 (47.6%) cases. The
expression of NGX6 was closely associated with size tumor, lymph node metastasis
and TNM stage (P=0.002, 0.012, and 0.039, respectively). Kaplan-Meier analysis
showed that NGX6 negative expression was associated with shorter disease-free
survival (DFS) (P=0.029) and overall survival (OS) (P=0.015). Multivariate
survival analysis demonstrated that NGX6 expression was the important independent
prognostic factor for colon cancer (P=0.022). CONCLUSION: NGX6 is involved in the
invasion and metastasis activity of colon cancer. NGX6 could may be applied as a
novel and promising prognostic marker for colon cancer.
PMID- 26550309
TI - Incidence of abdominal incisional hernia in developing country: a retrospective
cohort study.
AB - OBJECTIVE: To estimate the incidence of abdominal incisional hernia in developing
countries. METHODS: This population-based retrospective cohort study identified
all patients with abdominal surgery between 2006 to 2011 in Aden Public Hospital,
Aden, Yemen and the Second Hospital Affiliated with Dalian Medical University,
Dalian, China. The cohort was followed from their first until 1 year after their
last abdominal surgery within the inclusion period or until the first of the
following events: hernia repair, death, emigration, second abdominal surgery. For
patients who had a hernia repair, hospital records regarding the surgery and
previous abdominal surgery were tracked and manually analyzed to confirm the
relationship between hernia repair and abdominal surgery. RESULTS: We identified
2096 patients who had abdominal surgery during the inclusion period and 51 cases
were excluded. During follow-up, 80 of these patients who had a hernia requiring
repair were analyzed. Of these 20 had infected incision and 80 had non-infected
incision. The incidence is significantly higher in infected incision (20/202)
than that in non-infected incision (60/1843). There were no any differences in
the incidence between Aden and Dalian. CONCLUSIONS: The overall incidence of
abdominal incisional hernia requiring surgical repair within 1 year after
abdominal surgery was 80/2045 in a population from Aden and Dalian. Infected
incision is prone to occur incisional hernia during the follow-up period.
PMID- 26550310
TI - A new technique for immediate endoscopic realignment of post-traumatic bulbar
urethral rupture.
AB - OBJECTIVES: Urethral injury with partial or complete disruption of urethral
integrity can lead to voiding problems and serious infections. We report a new
management technique involving immediate endoscopic realignment with drainage via
peel-away sheath for post-traumatic bulbar urethral rupture. METHODS: Thirteen
patients presenting with post-traumatic bulbar urethral rupture between July 2010
and May 2013 were treated. An 18F peel-away sheath was inserted into the anterior
urethra, then a ureteroscope or nephroscope was inserted into the peel-away
sheath with continuous normal saline infusion and drainage through the cavity
between ureteroscope and sheath to maintain operative field clarity. A guide wire
was negotiated across the disruption to the bladder and an 18F Foley catheter
inserted for 2-4 weeks. Rupture severity was evaluated by urethroscopy during
operation. Two patients had partial urethral rupture and 11 complete rupture.
Before Foley catheter removal, pericatheter urethrography was performed to
determine if urinary extravasation had occurred. Urethroscopy was performed after
catheter removal. Follow-up uroflowmetry was conducted monthly for 1 year.
RESULTS: This technique was successful in all patients and none experienced
urinary extravasation or required open surgery. Mean operation time was 4.9+/-1.6
min (3-8 min) and the mean Foley catheter indwelling time was 25.8+/-5.3 days.
During follow-up (18.4+/-5.4 months, 12-26 months), 6 patients developed urethral
strictures (8.7+/-10.5 weeks, 1-28 weeks post-treatment). Strictures were managed
by internal urethrotomy (1 patient) or urethral sound dilation (5) without open
urethroplasty. CONCLUSIONS: Immediate endoscopic realignment with drainage via
peel-away sheath is a fast, effective, and safe technique for bulbar urethral
rupture.
PMID- 26550311
TI - Surgical interventions for gastric cancer: a review of systematic reviews.
AB - AIM: To evaluate methodological quality and the extent of concordance among meta
analysis and/or systematic reviews on surgical interventions for gastric cancer
(GC). METHODS: A comprehensive search of PubMed, Medline, EMBASE, the Cochrane
library and the DARE database was conducted to identify the reviews comparing
different surgical interventions for GC prior to April 2014. After applying
included criteria, available data were summarized and appraised by the Oxman and
Guyatt scale. RESULTS: Fifty six reviews were included. Forty five reviews
(80.4%) were well conducted, with scores of adapted Oxman and Guyatt scale >= 14.
The reviews differed in criteria for avoiding bias and assessing the validity of
the primary studies. Many primary studies displayed major methodological flaws,
such as randomization, allocation concealment, and dropouts and withdrawals.
According to the concordance assessment, laparoscopy-assisted gastrectomy (LAG)
was superior to open gastrectomy, and laparoscopy-assisted distal gastrectomy was
superior to open distal gastrectomy in short-term outcomes. However, the
concordance regarding other surgical interventions, such as D1 vs. D2
lymphadenectomy, and robotic gastrectomy vs. LAG were absent. CONCLUSION:
Systematic reviews on surgical interventions for GC displayed relatively high
methodological quality. The improvement of methodological quality and reporting
was necessary for primary studies. The superiority of laparoscopic over open
surgery was demonstrated. But concordance on other surgical interventions was
rare, which needed more well-designed RCTs and systematic reviews.
PMID- 26550312
TI - A single-center experience of hemofiltration treatment for acute aortic
dissection (Stanford type A) complicated with postoperative acute renal failure.
AB - OBJECTIVE: To investigate the effect of continuous venovenous hemofiltration
(CVVH) for aortic dissection patients with acute renal failure after surgery in
retrospective manner. METHODS: A total of thirty-seven aortic dissection patients
with postoperative acute renal failure accepted CVVH therapy. The effect of CVVH
was evaluated by analyzing clinical condition changes and laboratory examination
results. RESULTS: After treatment of CVVH, renal function and clinical symptoms
were significantly improved in thirty patients. Eight of the thirty patients got
completely renal function recovery within two weeks after CVVH therapy; and
twenty-two of the thirty patients got completely renal function recovery within
four weeks after CVVH therapy. Nevertheless, seven patients got no benefit from
CVVH therapy with poor prognosis. CONCLUSION: CVVH is an effective treatment to
most aortic dissection patients with postoperative acute renal failure. The
effect of CVVH was correlated with original renal function, early CVVH therapy,
and continuous intensive care.
PMID- 26550313
TI - Predictive and prognostic molecular markers for cholangiocarcinoma in Han Chinese
population.
AB - Cholangiocarcinoma (CCA) is the most common malignant heterogeneous polygenetic
carcinoma with a high incidence in Asia. Most patients would die within 1 year
after diagnosis and the 5 year survival rate is less than 10-20% worldwide.
Single nucleotide polymorphisms (SNPs) in genes regulate telomere maintenance,
mitosis, and inflammation, and may help predict individual susceptibility to
certain drugs, environmental factor, and risks to particular diseases. The gene
gene interaction and the regulation of SNPs have not been assessed extensively in
CCA. According to our previous study, the GRB2-associated-binding protein (Gab1)
gene rs3805246 (X(2) =5.015, P=0.025, OR=0.531, 95% CI 0.304-0.928) and epidermal
growth factor receptor (EGFR) gene rs2007000 (X(2) =7.934, P=0.005, OR=2.148, 95%
CI 1.255-3.675) presented significant difference between CCA patients and
controls. This study conducted a population-based analysis using 225 CCA cases
(153 biliary tract cancer patients and 72 gall bladder cancer patients) to assess
the association between SNPs and progression of CCA patients, including the
overall survival and the prognosis analysis. Results showed that an increased
susceptibility of BTC was significantly associated with SNP loci distribution
frequency in EGFR rs2107000 (X(2) =7.934, P=0.005, OR=2.148, 95% CI 1.255-3.675).
Furthermore, multivariate factor regression analysis represented cholelithiasis
medical history of BTC patients can be an effective evaluation criteria of BTC
susceptibility in early stage. This study also assessed the relationship between
these genotypic polymorphisms and clinicopathologic data, including tumor
differentiation stage and overall survival. This is the first study identifying
that EGFR polymorphisms are associated with BTC and EGFR rs2017000 polymorphisms
may be an important survival predictor in BTC patients.
PMID- 26550314
TI - Clinical efficacy and safety of paclitaxel plus carboplatin as neoadjuvant
chemotherapy prior to radical hysterectomy and pelvic lymphadenectomy for Stage
IB2-IIB cervical cancer.
AB - OBJECTIVE: To assess the efficacy and toxicity of the combination of paclitaxel
plus carboplatin as neoadjuvant chemotherapy (NACT) for locally advanced cervical
cancer (LACC) prior to radical hysterectomy and pelvic lymphadenectomy. METHODS:
We reviewed patients with cervical cancer of the International Federation of
Gynecology and Obstetrics (FIGO) stage IB2-IIB who underwent neoadjuvant
chemotherapy (NACT) with paclitaxel plus carboplatin followed by radical
hysterectomy (NACT group) or only received primary radical surgery (PRS group) in
our hospital between Jan 2007 and Jan 2012. Toxicity, NACT response, surgery
pathological factors and survival data were collected and analyzed. RESULTS: In
the NACT group, the overall response rate was 71.3% (82/115). Eighteen (15.7%)
patients achieved complete remission. Well differentiated tumors showed a more
favorable response to NACT (P=0.011). Myelosuppression was the most common
adverse effect (51.7%) and serious adverse effects were rare (3.4%). The median
follow-up period was 44 months (range, 6-75). The NACT responders had
significantly longer OS and PFS when compared to the non-NACT responders and
patients in the PRS group. CONCLUSION: Patients with LACC can benefit from
neoadjuvant chemotherapy with paclitaxel plus carboplatin when they have response
to the chemotherapeutic agents.
PMID- 26550315
TI - Estimating the burden of invasive Group B Streptococcal disease in young infants
in southern mainland China: an observational study.
AB - OBJECTIVES: To estimate the incidence, case fatality ratio and serotypes
associated with early-onset (EOD) and late-onset (LOD) invasive GBS disease in
infants in southern mainland China. METHODS: During the six-month study period,
infants aged <= 90 days with culture-confirmed GBS disease born in the study
hospitals or elsewhere, but presenting to a study hospital, were enrolled. GBS
positive cultures were genotyped, serotyped and sequence typed. The incidence
rate was calculated for infants born in the study hospitals, and case fatality
ratio and causative serotypes identified for all enrolled GBS cases. RESULTS: Ten
cases were enrolled: 2 EOD cases born in the study hospitals and 8 LOD cases born
elsewhere. Incidence rate was 0.28 (95% confidence interval: 0.08-1.03, n =
2/7061 successfully followed-up consenting subjects); no cases resulted in
fatality. In the 8 GBS isolates available for typing, 4 serotypes (Ia, Ib, III
and V) and 5 multi-locus sequence types (1, 10, 12, 17 and 23) were identified.
CONCLUSIONS: This is the first study specifically investigating the incidence of
GBS invasive disease in infants in southern mainland China. Incidence and case
fatality were low but further research is needed in larger, more diverse cohorts
to estimate disease burden for the broader Chinese population.
PMID- 26550316
TI - Extended anterolateral approach for treatment of posterolateral tibial plateau
fractures improves operative procedure and patient prognosis.
AB - PURPOSE: The treatment of posterolateral tibial plateau fracture remains
controversial and challenging. Several approaches for this fracture have been
applied for direct exposure and support plate fixation. However, several
structures are to be at risk via posterior approach, which may affect exposure
and plate application. To solve this problem, an extended anterolateral approach
was developed and reported. METHODS: 15 patients with posterolateral tibial
plateau fractures treated with this approach were reviewed. The primary outcomes,
such as Rasmussen functional score, and the secondary outcomes, such as knee
deformity, postoperative infection, as well as complications were evaluated.
RESULTS: All 15 cases have been followed up for 12 to 30 months (19.7 months at
average). Rasmussen functional score after surgeries was 25.0 +/- 2.8 points. A
score >= 27 points was considered as excellent (ten patients), a score of 20-26
points (four patients) was considered as good; and a score of 10-19 points (one
patient) was considered as fair. Anatomic reductions were obtained in 14
patients, but a 3 mm gap was found in one patient. For all patients, there were
no wound complications, nonunion, valgus knee deformities, plate loosening or
breakages, or fracture re-displacements. No vascular or neural injuries occurred
in any patient. CONCLUSION: The extended anterolateral approach provides
excellent visualization, which can facilitate the internal fixation and reduction
of posterolateral tibial plateau fractures, and shows encouraging results.
PMID- 26550317
TI - Efficacies and adverse reactions of modified vitamin supplement programs before
pemetrexed chemotherapy as a second-line treatment against epidermal growth
factor receptor (EGFR) mutant wild-type lung adenocarcinoma.
AB - OBJECTIVE: This study aims to observe the efficacies and adverse reactions of
modified vitamin programs before pemetrexed chemotherapy (second-line treatment)
against epidermal growth factor receptor (EGFR) mutant wild-type lung
adenocarcinoma. METHODS: 477 patients with IIIB, phase IV glomerular filtration
rate (GFR) mutant-negative lung adenocarcinomas and performed pemetrexed
chemotherapy were collected and divided into group A (167 cases, with modified
program) and group B (310 cases, with traditional program). The modified program
was: orally administrated 400 MUg folic acid once per day and 1 day before the
first-round pemetrexed chemotherapy, until the 21st day of the final
administration of pemetrexed, and intramuscularly injected 500 MUg vitamin B12 1
day before the first-round pemetrexed chemotherapy, and injected once 1 day
before every round pemetrexed treatment. RESULTS: Comparison between group A and
group B: mean chemotherapy cycles (4.08 vs 3.98); effectiveness rate (22.16% vs
22.90%); disease control rate (56.51% vs 55.00%); without significant difference
(P > 0.05). Two groups currently all reached the median overall survival (OS).
The median progression-free survival (PFS): 4.2 vs 4.1 months; OS: 12.9 vs 13.2
months, without statistical difference (P > 0.05). Such side effects between the
two groups as leukopenia, neutropenia, thrombocytopenia, anemia, nausea,
vomiting, diarrhea, fatigue, creatinine increasing, alanine transaminase (ALT)
increasing, stomatitis, peripheral neuropathy, alopecia and rash had no
significant difference (P > 0.05). CONCLUSIONS: The modified vitamin supportive
treatment could ensure the efficacy, significantly simplify, facilitate the
clinical application, and increase the associated toxicities, indicating that the
pemetrexed-based chemotherapy did not need to be delayed because applying the
vitamin supportive treatment.
PMID- 26550318
TI - Comparison of functional magnetic resonance imaging in cerebral activation
between normal Uygur and Mandarin participants in semantic identification task.
AB - PURPOSE: This study utilized blood oxygenation level-dependent functional
magnetic resonance imaging (BOLD-fMRI) technology to study the activated cerebral
regions in normal participants whose native language was Uyghur or Chinese.
METHODS: We collected the fMRI data from 15 Uyghur-speaking volunteers and 15
Mandarin-speaking volunteers when executing the semantic identification task and
compared the results of two groups. RESULTS: Statistically significant difference
of brain activation was found primarily in the left anterior cingulate gyrus
(BA23) and the midline precuneus (P<0.05). When performing the semantic
identification task, the Uyghur group exhibited significant activation in these
two regions, whereas the Chinese group demonstrated relatively weak activation in
these areas. CONCLUSION: The cerebral regions activated by Uyghur and Chinese
semantic identification are not identical, the dominant hemisphere for both
languages is the left cerebral hemisphere. The left anterior cingulate gyrus
might have a language function in Uyghur semantic processing.
PMID- 26550319
TI - Correlation of macrophage inflammatory protein-1alpha single gene polymorphisms
with the susceptibility to pigeon breeder's lung in chinese uygur population.
AB - OBJECTIVE: To investigate the correlation of macrophage inflammatory protein
1alpha (MIP-1alpha) gene single nucleotide polymorphisms (SNP) with the
susceptibility to pigeon breeder's lung (PBL) in Chinese Uygur population.
METHODS: A total of 92 Uygur from Xinjiang, China were enrolled in the study.
Among them, there were 32 patients with PBL, 30 negative controls with history of
exposure to pigeons and 30 normal controls without pigeons contact. SNP
genotyping for 24 SNPs of MIP-1alpha were performed. RESULTS: Genotype
distribution of MIP-1alpha SNPs rs1049191, rs1049195, rs3210166, rs1130374 and
rs5029407 were significantly different among the three groups (P<0.05).
CONCLUSION: MIP-1alpha SNPs rs1049191, rs1049195, rs3210166, rs1130374 and
rs5029407 might have correlation with the susceptibility to pigeon breeder's lung
in Chinese Uygur population.
PMID- 26550320
TI - Does uterine gauze packing increase the risk of puerperal morbidity in the
management of postpartum hemorrhage during caesarean section: a retrospective
cohort study.
AB - BACKGROUND: To compare the outcomes especially the puerperal morbidity of uterine
gauze packing (UGP) with those of uterine balloon tamponade (UBT) in the
management of postpartum hemorrhage (PPH) during caesarean section (c-section).
METHODS: It was considered success as no requirement for either a further therapy
or hysterectomy for PPH. The postpartum infection risk was pragmatically measured
as puerperal morbidity. RESULTS: The identified PPH subjects were subdivided into
two groups for comparison, in which UGP or UBT was used as second-line therapy
for women undergoing c-sections between January 2010 and September 2014. Of the
318 c-section subjects initially treated by basic managements for expected PPH,
99 cases underwent UGP and 66 UBT as the second-line therapies to stop persistent
bleeding. The success rates of the UGP and UBT groups were 90.91 and 87.88%,
respectively. Only one patient in UBT group resorted to hysterectomy. The
respective rates of puerperal morbidity were 10.10 and 13.64%, with risk ratio of
0.74 (95% CI: 0.32, 1.72). There were no significant differences between the two
groups even after the adjustment for potential confounding factors. CONCLUSION:
UGP appears to be effective in treating PPH during c-section without an observed
increase in the risk of potential postpartum infection when compared with UBT.
UGP could be recommended as routine for patients who are not responding to
conventional basic therapies in addressing PPH, along with the provision of
appropriate training.
PMID- 26550321
TI - Interference of strength training can obviously increase the effect of
moxibustion treatment on patients with knee joints injuries.
AB - OBJECTIVE: Observe the effects of Acupoint moxibustion combined with muscle
training in treating the patients with knee joints strain. METHODS: The 36
patients with knee joint strains were divided into the experimental group and
control group with the method of random number table, each group including 18
cases. The control group was treated with Acupoint moxibustion, while the
experimental groups were treated with Acupoint moxibustion combined with muscle
training. Before the treatment and after the treatment lasting 12 weeks, the
therapy effects and improvement of the knee-joint muscle force for the 2 groups
of patients were respectively evaluated. RESULTS: Through the treatment of 12
weeks, the clinical symptoms of control group were evidently improved than prior
treatment , but the improvement effects of the knee-joint muscle force (the peak
torques of bend and stretch respectively were (32.8 +/- 8.8) N.m and (35.0 +/-
11.2) N.m were not significant (P > 0.05); while the clinical symptoms and knee
joint muscle force of experimental group (the peak torques of bend and stretch
respectively were (40.3 +/- 9.3) N.m and (42.3 +/- 10.6) N.m were evidently
improved than prior-treatment, and the improvement range was also evidently
better than the control group (P < 0.05). CONCLUSION: The Acupoint moxibustion
combined with muscle force training had synergistic effects in treating the
patients with elderly knee-joint strain, could further relieve the pain on knee
joints, and improve the joint' s movement, such therapy was worthy to promote and
apply in clinic.
PMID- 26550322
TI - Neuropsychological profile in Chinese patients with Parkinson's disease and
normal global cognition according to Mini-Mental State Examination Score.
AB - OBJECT: Cognitive impairments have been reported to be more common in non
demented patients with Parkinson's disease (PD) and education levels play an
important role in intelligence. The studies on cognitive impairments in Chinese
PD patients with higher education levels and normal global cognition according to
Mini-Mental State Examination Score (MMSE) have not been reported. METHODS: We
enrolled 69 consecutive PD patients with over 6 years education levels and a MMSE
score above 24 (of 30) and performed a battery of neuropsychological scales.
RESULTS: There are extensive cognitive domain impairments in PD patients with
"normal" global cognitive according to MMSE. Montreal Cognitive Assessment (MoCA)
is a highly sensitive scale to screen cognitive impairments in PD. CONCLUSION:
The cutoff score of 28 on the MMSE screening for cognitive impairment in Chinese
PD patients with high education levels may be more appropriate.
PMID- 26550323
TI - Predictors of slow flow in angiographically normal coronary arteries.
AB - INTRODUCTION: Slow coronary flow (SCF) is a well-known angiographic finding;
however, the pathophysiology of SCF remains only partially understood. In this
study, we have examined the risk factors of slow coronary flow. METHODS: Seventy
patients with angiographically proven SCF were studied along with 60 control
participants. Patients were divided into 2 groups based on the angiographic
findings as with or without SCF. In both groups, clinical information was
collected and laboratory parameters were measured and compared. RESULTS: Patients
with SCF had higher serum uric acid, creatinine and hemoglobin levels. They also
more commonly had a history of smoking. On the other hand, C-reactive protein and
hematologic parameters such as mean platelet volume (MPV), red cell distribution
width (RDW), and neutrophil to lymphocyte (N/L) ratio did not differ
significantly between the two groups. In the logistic regression analysis, only
uric acid (odds ratio [OR]=1.583, 95% confidence interval [CI]=1.011-2.349,
P=0.034) was found as an independent correlate of SCF. CONCLUSIONS: This study
demonstrates that serum uric acid level is significantly correlated with SCF and
may play a role in the development of the condition. These findings provide
impetus for additional studies to confirm these results and treatment of SCF.
PMID- 26550324
TI - Influences of anterior capsule polishing on effective lens position after
cataract surgery: a randomized controlled trial.
AB - To evaluate the effects of anterior capsule polishing on effective lens position
(ELP) and the actual axial movements of IOLs by measuring the anterior chamber
depth (ACD). This prospective randomized double-blind controlled clinical trial
included patients who underwent bilateral uneventful cataract surgeries and were
implanted the same IOLs (SN60WF). Extensive polishing was performed randomly in
the anterior capsule of one eye with Whitman Shepherd double-ended capsule
polisher, and the opposite unpolished capsule was used as the control. The ACD
was measured 1 day, 1 week, 1 month, 3 months and 6 months after surgery with the
anterior segment optical coherence tomography (AS-OCT). The actual axial movement
of IOL was defined as the root mean square (RMS) of the change in ELP at each
visit. A total of 40 eyes of 20 patients were included, and 10 patients (50%)
were men. All the patients underwent uneventful surgeries without intraoperative
or postoperative complications, and returned on time for measurements. The mean
age of them was 70.5+/-7.6 years (range 56 to 79 years). No significant
differences were observed between the mean ELP of the control group and the
polished group (P>0.05). Nevertheless, the ELPRMS of the polished group was
significantly smaller than that of the control group (P=0.005). Polishing
anterior capsule intraoperatively improved the axial position stability of the
IOL in the long term.
PMID- 26550325
TI - Influence of dexmedetomidine on incidence of adverse reactions introduced by
hemabate in postpartum hemorrhage during cesarean section.
AB - OBJECTIVE: The purpose of our study was to observe the influence of
dexmedetomidine on complications caused by hemabate in patients undergoing
caesarean section. METHODS: A total of 120 females (age range, 20-40 years) at 35
40 weeks gestation who delivered by cesarean between September, 2014 and
December, 2014 were enrolled in our study. Patients were randomly allocated into
three groups that received intravenously physiological saline 20 mL (placebo
group), lower dose (0.5 MUg kg(-1)) of dexmedetomidine (low-dex gruop) and higher
dose (1 MUg kg(-1)) of dexmedetomidine (high-dex group) during cesarean section,
following the delivery of the infant and intramuscular hemabate injection.
RESULTS: Nausea, vomiting, chest congestion and elevated blood pressure were the
most common adverse events of placebo group. Compared with placebo group, the
above mentioned adverse reactions decreased significantly in both low-dex group
and high-dex group (P<0.05), whereas there were no significant difference between
low-dex group and high-dex group (P>0.05). As to patient satisfaction score, low
dex group and high-dex group were all higher than placebo group (P<0.05).
Furthermore, there were more patients satisfied with high-dex group than low-dex
group (P<0.05). CONCLUSION: Dexmedetomidine (0.5 MUg kg(-1) and 1 MUg kg(-1))
were all effective in preventing adverse reactions introduced by hemabate and
improve parturients' satisfaction in patients undergoing cesarean delivery. And
higher dose (1 MUg kg(-1)) of dexmedetomidine is superior to lower dose (0.5 MUg
kg(-1)) in patient satisfaction.
PMID- 26550326
TI - Efficacy of surfactant at different gestational ages for infants with respiratory
distress syndrome.
AB - Since exogenous surfactant replacement therapy was first used to prevent
respiratory distress syndrome (RDS), it has become the main method for treatment
of RDS. However, in some infants, death is inevitable despite intensive care and
surfactant replacement therapy, especially in near-term and term infants. The
main purpose of this study was to compare the therapeutic effect of pulmonary
surfactant for infants at different gestational ages and to investigate whether
exogenous surfactant replacement therapy is effective for all newborns with RDS.
Data on surfactant replacement therapy, including blood gas, oxygenation function
parameters and therapy results, were collected from 135 infants who were
diagnosed with RDS during three years at a tertiary neonatal intensive care unit.
According to gestational age, the subjects were classified into three groups as
follows: group 1: gestational age <35 weeks (n=54); group 2: 35 weeks <=
gestational age <37 weeks (n=35); group 3: gestational age >=37 weeks (n=46). Six
hours after surfactant was given, there were significantly better blood gas
results in group 1 and worse results in groups 2 and 3. Similar oxygenation
function parameter results were observed in the three groups. In addition, there
was a trend toward an increased rate of repeated surfactant administration with
increasing gestational age. For near-term and term infants, the efficacy of
surfactant therapy was not as good as it was for preterm infants. The causes of
RDS in near-term and term infants might be different from those in preterm
infants and should be studied further.
PMID- 26550327
TI - Low levels of serum 25-hydroxyvitamin D and risk of metabolic syndrome in China.
AB - Recent evidence indicates the potential role of vitamin D in the prevention of
Metabolic syndrome (MetSyn). This is an analytical cross sectional study. A total
of 3275 subjects were investigated. 25-hydroxyvitamin D(25[OH]D) was detected by
electrochemiluminescence immunoassay (ECLIA) technology. Metabolic syndrome was
defined according to the definition of International Diabetes Federation (IDF).
Among the participants, the prevalence of the MetSyn was 6.0%. The prevalence of
vitamin D deficiency and insufficiency was 50.1% and 25.0% respectively. Subjects
with MetSyn presented with significantly lower 25(OH)Vit D serum levels compared
with non-MetSyn group. The results shows that vitamin D deficiency is common in
Chinese adults, and subjects with lower serum 25(OH)D have a higher risk of the
MetSyn. The cut-off value of serum 25(OH)D that reflected MetSyn in Chinese
adluts was 15.655 ng/mL.
PMID- 26550328
TI - Treatment of tibial eminence fractures with arthroscopic suture fixation
technique: a retrospective study.
AB - AIMS: The present study aims to investigate the clinical outcomes of arthroscopic
suture fixation in treating tibial eminence fracture with a retrospective study
design of two years' follow-up. METHODS: A total of 33 patients with imaging
evidence of tibial eminence avulsion fractures who underwent arthroscopic surgery
between 2008 and 2012 were included in this study. The inclusion criteria for the
study were a displaced tibial eminence avulsion fracture and anterior knee
instability of grade II or higher inskeletally mature patients. These patients
were treated with arthroscopic suture fixation and followed with a mean period of
24 months. Anteroposterior and lateral radiographs were obtained 3 months
postoperatively to assess fracture healing. At 24 months after surgery, all
patients were evaluated by an independent orthopaedic professor with clinical
examination like anteroposterior laxity (Lachman-Noulis and anterior drawer
tests) and Rolimeter knee tester (Aircast, Vista, CA). Knee range of motion was
evaluated actively and passively with a goniometer. Knee function was evaluated
by the Lysholm and International Knee Documentation Committee (IKDC) scores. Knee
radiographs in standing anteroposterior, standing lateral, and Merchant views
were examined for alignment, joint space narrowing, and degenerative knee
changes. RESULTS: No major complication like infection, deep venous thrombosis,
or neurovascular deficit happened peri-operatively. At the final follow-up, there
were no symptoms of instability and no clinical signs of ACL deficiency.
Radiographs showed that all fractures healed 3 months post-operative, but at the
last follow-up, there was one person with degenerative changes like joint space
narrowing in radiographs. Anterior translation of the tibia was 0.47 mm on
average (0 to 2.5 mm) compared with the uninjured side. Range-of-motion
measurement showed a mean extension deficit of 1.5 degrees (0 degrees to 5
degrees ) and a mean flexion deficit of 2.7 degrees (0 degrees to 10 degrees )
compared with the unaffected side. The mean Lysholm score was 96 (85 to 100), and
the mean IKDC score was 94 (80 to 100). Overall, the IKDC grade was A (normal) in
24 patients (58%), B (nearly normal) in 8 patients (33%), and C (abnormal) in 1
patient (8%). CONCLUSION: The present study demonstrated tibial eminence
fractures in adults can be effectively treated with arthroscopic suture fixation.
PMID- 26550329
TI - Fertility-conservation combined therapy with hysteroscopic resection and oral
progesterone for local early stage endometrial carcinoma in young women.
AB - OBJECTS: This paper explored the suitable population for the combined therapy of
hysteroscopic resection and oral megestrol acetate (MA) to treat local stage I
endometrial cancer. Therapeutic effectiveness, safety, as well as pregnancy rate
and relapse rate after treatment were also examined. The aim was to provide
guidance for the treating similar cases in the future. METHODS: This perspective
study analyzed the clinical data of early stage endometrial cancer patients who
have received combined therapy of hysteroscopic resection of local endometrial
lesion and oral administration of MA at the Obstetrics and Gynecology Hospital of
Fudan University, Shanghai. RESULTS: A total of six patients met the entry
criteria and were enrolled into the trial. All of them achieved a pathologic
complete response to hysteroscopic resection of local lesion combined with oral
administration of MA for 3 months to 6 months. Among the patients, three became
pregnant after natural conception and had healthy infants delivered vaginally at
full term without assistance. No relapse occurred in the follow-up study over
48.5 months on average. CONCLUSIONS: In early-stage endometrial cancer, young
patients who had already given birth demand may receive hysteroscopic resection
combined with oral administration of MA as conservative treatment. The patients
can consider natural conception after complete remission, but a close follow-up
was crucial to ensuring that the patients were free from other factors affecting
childbearing ability.
PMID- 26550330
TI - Does intraperitoneal medical ozone preconditioning and treatment ameliorate the
methotrexate induced nephrotoxicity in rats?
AB - Methotrexate is a chemotherapeutic agent used for many cancer treatments. It
leads to toxicity with its oxidative injury. The purpose of our study is
investigating the medical ozone preconditioning and treatment has any effect on
the methotrexate-induced kidneys by activating antioxidant enzymes in rats.
Eighteen rats were divided into three equal groups; control, Mtx without and with
medical ozone. Nephrotoxicity was performed with a single dose of 20 mg/kg Mtx
intraperitoneally at the fifteenth day of experiment on groups 2 and 3. Medical
ozone preconditioning was performed at a dose of 25 mcg/ml (5 ml)
intraperitoneally everyday in the group 3 and treated with medical ozone for five
more days while group 2 was received only 5 ml of saline everyday for twenty
days. All rats were sacrificed at the end of third week and the blood and kidney
tissue samples were obtained to measure the levels of TNF-alpha, IL-1beta,
malondialdehyde, glutathione and myeloperoxidase. Kidney injury score was
evaluated histolopatologically. Medical ozone preconditioning and treatment
ameliorated the biochemical parameters and kidney injury induced by Mtx. There
was significant increase in tissue MDA, MPO activity, TNF-alpha and IL-1beta
(P<0.05) and significant decrease in tissue GSH and histopathology (P<0.05) after
Mtx administration. The preconditioning and treatment with medical ozone
ameliorated the nephrotoxicity induced by Mtx in rats by activating antioxidant
enzymes and prevented renal tissue.
PMID- 26550331
TI - Protective effects against hepatic ischemia-reperfusion injury after rat
orthotopic liver transplantation because of BCL-2 overexpression.
AB - This study aims to investigate the protective effects and mechanism of
recombinant adenovirus Ad.VSG-hBCL-2 towards ischemia/reperfusion injury in rat
liver graft. Recombinant adenovirus Ad.VSG-hBCL-2 was injected into the donor rat
liver of the experiment group through the portal vein, the laparotomy was
performed for liver 36 h later, and the liver was save in lactated Ringer's
solution at 4 degrees C for 4 h, "two-cuff method" was used to perform the
orthotopic liver transplantation. The bile secretion situations of two groups
were observed 6 h after the portal vein reflow; the recipient rats were killed to
detect the plasma levels of AST, ALT and LDH. And the expressions of Bcl-2 and
TNF-alpha in liver tissue, and TUNEL assay was used to detect the apoptosis of
liver tissue cells, electron microscopy was used to observe the changes of
subcellular structures of liver tissue. 6 h after the surgery, the
immunohistochemistry and Western Blot test showed that the Bcl-2 expression in
the liver of the experiment group significantly increased than the control group,
the bile secretion increased, the levels of AST, ALT and LDH were significantly
lower, and the TNF-alpha expression increased significantly. The changes of
cellular morphology of the experiment group were milder, and the apoptotic index
was significantly lower than the control group. The portal vein-transfected
recombinant adenovirus Ad.VSG-hBCL-2 could be effectively expressed in rat liver,
and the high expressed Bcl-2 could reduce the ischemia/reperfusion injury in the
transplanted liver.
PMID- 26550332
TI - Comparison of bupivacaine and parecoxib for postoperative pain relief after
laparoscopic cholecystectomy: a randomized controlled trial.
AB - BACKGROUND: Pain is the most common complaint of patients on the first day after
laparoscopic cholecystectomy (LC). The aim of this study was to compare the
efficacy of local anesthesia with bupivacaine and intravenous parecoxib on
postoperative abdominal pain relief up to 24 h after surgery. METHODS: One
hundred and eighty patients who underwent LC were randomized to one of three
groups with sixty patients each: Group A received 50 mg 0.5% bupivacaine
subcutaneously at trocar sites before incision closure; Group B received
intravenous parecoxib (40 mg) after entering the recovery room; Group C did not
receive postoperative analgesia unless needed and was served as control. The
postoperative pain at 1, 2, 4, 8, 12, and 24 h after the operation was assessed
using a visual analog scale (VAS). Secondary outcomes, including intraoperative
and postoperative complications, the incidence of shoulder pain, pethidine
requirements, postoperative nausea and vomiting, and hospital stay were also
recorded. RESULTS: At 1, 2, and 4 hours after surgery, VAS pain scores were
significantly lower in group A and B compared with group C (P < 0.05 for all).
There was no significant difference among the three groups at 8, 12, and 24 hours
after the procedure (P > 0.05 for all). A repeated-measures ANOVA analysis
revealed that VAS pain scores over the first 24 hours after LC were significantly
lower in group A and B compared with group C (P = 0.014 and P = 0.029 for between
group comparison, respectively). Furthermore, the percentage of patients
requiring postoperative rescue analgesics was significantly higher in group C as
compared with group A and group B (P = 0.018). CONCLUSION: Local anesthesia with
bupivacaine and intravenous parecoxib are both effective at decreasing
postoperative pain and pethidine requirements after LC.
PMID- 26550333
TI - Different regulation of Toll-like receptor 4 expression on blood CD14(+)
monocytes by simvastatin in patients with sepsis and severe sepsis.
AB - We have demonstrated that regulation of Toll-like receptor 4 (TLR4) surface
expression levels on blood CD14(+) monocytes by simvastatin treatment in patient
with sepsis is different from that in patients with severe sepsis. In patients
with sepsis simvastatin treatment statistically significantly decreased TLR4
surface expression level on blood CD14(+) monocytes, while in patients with
severe sepsis simvastatin treatment had no significant influence on TLR4 surface
expression level on blood CD14(+) monocytes. The changes of plasma interleukin-6
(IL-6) induced by simvastatin in patients with sepsis and severe sepsis were
similar with that of TLR4. Our results indicated simvastatin treatment
differently influenced inflammation process in patients with sepsis and severe
sepsis, which might partially explain the discrepancy, presented by previous
trials, about the therapeutic effects of simvastatin treatment in patients with
sepsis and severe sepsis.
PMID- 26550334
TI - Diffusion-weighted magnetic resonance imaging in predicting the radiosensitivity
of cervical cancer.
AB - This study investigates the application value of diffusion-weighted magnetic
resonance imaging in predicting cervical cancer radiosensitivity. Twenty-five
patients who were newly diagnosed as cervical cancer and accepted simple
radiotherapy were included in this study. Before external irradiation, 20 GY and
at the end of irradiation, routine 1.5 T MRI and diffusion-weighted magnetic
resonance imaging scanning were carried. Apparent diffusion coefficient (ADC)
value of primary tumor was measured. Its correlation with tumor regression rate
was analyzed. ADC values of before irradiation, 20 GY and at the end of
irradiation was (0.93 +/- 0.14) * 10(-3) mm(2)/s, (1.25 +/- 0.17) * 10(-3)
mm(2)/s and (1.55 +/- 0.13) * 10(-3) mm(2)/s, respectively. There were
statistical significant differences (P< 0.01). D-value of ADC values between
before and 20 GY external irradiation was (0.33 +/- 0.16) mm(2)/s. The tumor
volume before and at the end of external irradiation were (37.48 +/- 26.83) cm(3)
and (4.41 +/- 3.72) cm(3) respectively, with tumor regression rate of before and
after external irradiation of (0.86 +/- 0.11). ADC values of before irradiation,
20 GY and at the end of irradiation did not correlate with tumor regression rate.
D-value of ADC values between before and 20 GY external irradiation positively
correlated with tumor regression rate (r = 0.423, P = 0.035). ADC value of
cervical cancer increased after radiotherapy and early changes of ADC value was
positively correlated with tumor regression rate, thus, ADC value could be used
as a potential prediction factor for cervical cancer radiosensitivity.
PMID- 26550335
TI - Effect of nucleoprotein factor-kB (NF-kappaB) in endothelial cells during high
blood flow-associated pulmonary vascular remodeling on vasoactive substances
adrenomedullin and prostacyclin.
AB - The aim of this study was to investigate the role of nucleoprotein factor-kB (NF
kappaB) on the production and secretion of vasoactive substances adrenomedullin
(ADM) and prostacyclin (PGI2) by endothelial cells in a high blood flow,
pulmonary hypertension in vivo model. Fifty male Wistar rats were randomly
divided into four groups: 15 rats received shunt surgery (Tn group); 15 rats
received shunt surgery + NF-kappaB inhibitor [pyrrolidine dithiocarbamate (PDTC)]
(Ti group); 10 rats received sham surgery (Co group); and 10 rats were negative
controls (Cn group). A left to right shunt pulmonary hypertension model was
established in groups Tn and Ti. Rats in the Ti group received an intraperitoneal
injection of PDTC (120 mg/kg.d) one hour before the operation for 2 weeks, and
rats in the Co group were processed in the same fashion as that of the
experimental groups, except that they did not undergo surgery. After 12 weeks,
pulmonary artery systolic pressure was measured by cardiac catheterization,
pulmonary arterial endothelial cells were isolated, and NF-kappaB, ADM and PGI2
protein expressions were measured in the endothelium using immunohistochemistry.
ADM and PGI2 expressions were significantly lower in the Tn group relative to
those of the Cn group (P<0.01) but no difference in the Ti group (P>0.05).
Expressions in the Co and Cn groups were not significantly different (P>0.05).
Heightened NF-kappaB activity in pulmonary arterial endothelial cells during high
blood flow can suppress the synthesis and secretion of ADM and PGI2, potentially
leading to vascular remodeling and pulmonary hypertension.
PMID- 26550336
TI - Can red cell distribution width be a marker of disease activity in ulcerative
colitis?
AB - AIM: The current study aimed to investigate the association between disease
activity and red cell distribution width (RDW) levels in ulcerative colitis and
to determine whether RDW can be used as a marker of disease activity in non
anemic ulcerative colitis. METHODS: The RDW levels of 310 ulcerative colitis
patients who underwent colonoscopy were analyzed retrospectively. The patients
were divided into two groups (active disease and remission) according to the
endoscopic activity index. In addition, the accuracy of RDW in determining
disease activity in non-anemic patients was assessed. The efficacy of RDW in
determining disease activity was compared to that of white blood cell count,
platelet count, C-reactive protein, and erythrocyte sedimentation rate. RESULTS:
Two hundred and six (66.5%) patients had active disease, and 104 (33.5%) were in
remission. The mean RDW levels in patients with active ulcerative colitis and in
those in remission were 16.8+/-2.9 and 15.5+/-1.4, respectively (P<0.001). Ninety
six (46.6%) patients in the active disease group and 89 (85.6%) in the remission
group were non-anemic, and their respective RDW levels were 15.4+/-1.2 and 15.3+/
1.1 (P=0.267). The sensitivity and specificity of RDW in determining inflammation
were 41% and 91%, respectively (AUC 0.65, P<0.001). CONCLUSIONS: This study
demonstrated that RDW can be used as a marker for disease activity in ulcerative
colitis, but it did not have the same efficacy in the non-anemic group.
PMID- 26550337
TI - Clinical comparison of Zero-profile interbody fusion device and anterior cervical
plate interbody fusion in treating cervical spondylosis.
AB - OBJECTIVE: the aim of the study was to compare the clinical effect of Zero
profile interbody fusion device (Zero-P) with anterior cervical plate interbody
fusion system (PCB) in treating cervical spondylosis. METHODS: a total of 98
patients with cervical spondylosis (110 segments) in February 2011 to January
2013 were included in our hospital. All participants were randomly divided into
observation group and control group with 49 cases in each group. The observation
group was treated with Zero-P, while the control group received PCB treatment.
Comparison of the two groups in neurological function score (JOA), pain visual
analogue scale (VAS), the neck disability index (NDI), quality of life score (SF
36) and cervical curvature (Cobb angle) change were recorded and analyzed before
and after treatment. RESULTS: The observation group was found with 90% excellent
and good rate, which was higher than that of the control group (80%). Dysphagia
rate in observational group was 16.33% (8/49), which was significantly less than
that in control group (46.94%). Operation time and bleeding volume in the
observation group was less than those in control group. Postoperative
improvements of JOA score, VAS score, and NDI in observational group were also
significantly better than that in control group (P<0.05). CONCLUSION: The
clinical effect of Zero-P and PCB for the treatment of cervical spondylosis was
quite fair, but Zero-P showed a better therapeutic effect with improvement of
life quality.
PMID- 26550338
TI - Drug-resistant gene of blaOXA-23, blaOXA-24, blaOXA-51 and blaOXA-58 in
Acinetobacter baumannii.
AB - We distinguished the four alleles of OXA subgroups from 339 strains of
Acinetobacter baumannii using Polymerase Chain Reaction, and investigated
distributions of OXA subgroups in clinical isolated strains. A total of 196
Acinetobacter baumannii were isolated from the Central Hospital of Zhumadian
between 2010 and 2014. Amplification of OXA genes, blaOXA-23, blaOXA-24, blaOXA
51 and blaOXA-58, were performed by PCR. Patients with Acinetobacter baumannii
were selected from ICU, pneumology, emergency and cerebral surgery, accounting
for 33.67%, 17.86%, 16.33% and 32.14%, respectively. Most strains showed
resistance to different classes of agents, especially in ceftazidime,
piperacillin, cefepime, nitrofurantoin and ertapenem. Multiplex PCR results
showed, out of the 339 isolated strains, 164 (48.38%) were blaOXA-51, 157
(46.31%) were blaOXA-23, 18 (5.31%) were blaOXA-58, and no strain for blaOXA-24.
143 (47.67%) strains of blaOXA-51, 143 (47.67%) strains of blaOXA-23, and 14
(4.66%) strains of blaOXA-58 showed multidrug-resistant. In conclusion, our study
found that OXA-51 and OXA-23 were the main mechanisms of resistant or sensitivity
to carbapenems.
PMID- 26550339
TI - Magnesium sulfate inhibits sufentanil-induced cough during anesthetic induction.
AB - Sufentanil-induced cough is a common phenomenon during the induction of
anesthesia. This double-blind, randomized, and placebo-controlled study was
designed to investigate the effects of prophylactic magnesium sulfate (MgSO4) on
the incidence and severity of sufentanil-induced cough. A total of 165 patients
who were scheduled for elective surgery under general anesthesia were allocated
into three groups (I, II, and III; n = 55 each) that were injected with either 50
ml of normal saline, 30 or 50 mg/kg of MgSO4 (diluted with normal saline into 50
ml). One minute following the injection, all patients were injected with 1.0
MUg/kg of sufentanil within 5 s. The incidence and severity of cough were
recorded 30 s after the sufentanil injection. The hemodynamic parameters and
plasma magnesium concentration of the patients were also noted. Three patients
dropped out the study due to an obvious burning sensation during the injection of
50 mg/kg of MgSO4. Although the injection of 50 mg/kg of MgSO4 increased the
plasma magnesium level, the increase remained within the therapeutic range (2-4
mmol/L). The incidence of cough was much higher in group I than in groups II and
III (47.1% vs. 16.4% and 7.6%, respectively, P < 0.05). Compared with group I,
group III had the lowest incidence of mild cough and both groups II and III had
lower incidence of moderate and severe cough (P < 0.05). There were no
differences in the hemodynamic data at three timepoints among the three groups.
In conclusion, sufentanil-induced cough may be suppressed effectively and safely
by prophylactic use of 30 mg/kg of MgSO4 during anesthetic induction.
PMID- 26550340
TI - Association study between SMPD1 p.L302P and sporadic Parkinson's disease in
ethnic Chinese population.
AB - PURPOSE: The protein encoded by sphingomyelin phosphodiesterase 1, acid lysosomal
(SMPD1) is a lysosomal acid sphingomyelinase. While there are increasing
evidences to suggest that lysosomal enzyme defects and Parkinson's disease (PD)
have strong associations, and recently, SMPD1 p.L302P (c.T911C, NM_000543) was
found to be a risk factor for PD in Ashkenazi Jewish ancestry population, we try
to investigate the possible association between SMPD1 p.L302P and sporadic PD in
ethnic Chinese population. METHODS: 455 sporadic PD and 476 health controls were
included in our study. SMPD1 p.L302P (c.T911C) was genotyped by matrix-assisted
laser desorption/ionization-time-of-flight mass spectrometry (MALDI-TOF MS) and
the results were confirmed by Sanger sequencing. RESULTS: Our results showed that
none of 455 sporadic PD and 476 health controls carried p.L302P. All of the 931
subjects' genotypes were wild type TT. Our data indicated that in an ethnic
Chinese population, p.L302P did not appear to be enriched in sporadic PD, and
p.L302P may not be a risk factor for Chinese sporadic PD. And combine our data
with the results from previous studies, we found that all of the 2,268
participants of Chinese population carrying no p.L302P. CONCLUSIONS: We could
make a conclusion that p.L302P may not be common events for Chinese population.
Sequencing of SMPD1 gene to find additional novel rare variants in the SMPD1 gene
in diverse populations is needed.
PMID- 26550341
TI - A meta-analysis of XPD/ERCC2 Lys751Gln polymorphism and melanoma susceptibility.
AB - We performed a comprehensive meta-analysis to determine the association between
XPD/ERCC2 Lys751Gln polymorphism and melanoma susceptibility. Based on
comprehensive searches of the MEDLINE, EMBASE and ISI Web of knowledge, China
National Knowledge Infrastructure (CNKI) and Wanfang Database, we identified
eligible studies about the association between XPD/ERCC2 Lys751Gln polymorphism
and melanoma risk. A total of 5,961 cases and 8,669 controls in studies were
included in this meta-analysis. All studies were conducted in Caucasian
populations. Allele model (Lys vs. Gln: P = 0.53; OR = 0.98, 95% CI = 0.91-1.05),
and homozygous model (Lys/ Lys vs. Gln/Gln: P = 0.32; OR = 0.93, 95% CI = 0.81 to
1.07) did not show increased risk of developing melanoma. Similarly, dominant
model (Lys/ Lys+Lys/Gln vs. Gln/Gln: P = 0.18; OR = 0.93, 95% CI = 0.83 to 1.03)
and recessive model (Lys/ Lys vs. Lys/Gln+Gln/Gln: P = 0.73; OR = 0.98, 95% CI =
0.88 to 1.09) failed to show increased risk of developing melanoma. Our pooled
data suggest that there was no evidence for a major role of XPD/ERCC2 Lys751Gln
polymorphism in the pathogenesis of melanoma among Caucasian populations.
PMID- 26550342
TI - Klotho protein lowered in senile patients with brady sinus arrhythmia.
AB - OBJECTIVE: To explore the correlationship between brady sinus arrhythmia and the
levels of serum klotho protein in aged. METHODS: 104 patients over 75 years old
with brady sinus arrhythmia (experiment group) were enrolled, including 34 cases
of sinus arrest, 43 cases of sinus bradycardia and 25 cases of atrioventricular
block. 109 patients over 75 years old without brady sinus arrhymia were chosen as
control group. All subjects were monitored by Holter. The levels of serum klotho
protein were detected and compared among three groups. The correlation between
the frequency of sinus arrest and the levels of serum klotho protein was analyzed
simultaneously. RESULTS: The levels of serum klotho protein in experiment group
were lower than that in control group (P<0.01); the sinus arrest frequency was
negatively correlated with the levels of serum klotho protien. The levels of
serum klotho protein in patients with sinus arrest were lower than that with
sinus bradycardia and atrioventricularblock (P<0.05). But there was no
significant difference between sinus bradycardia group and atrioventricular block
group. CONCLUSION: The levels of serum klotho protein may reflect the function of
sinoatrial node and could be used as an index to estimate the function of
sinoatrial node.
PMID- 26550343
TI - Polymorphisms in checkpoint kinase 2 may contribute to lymph node metastasis from
esophageal cancer.
AB - Esophageal cancer, which is commonly accompanied by lymph node metastasis, is
among the deadliest of cancers and carries a grim prognosis. We investigated the
association between genetic variation in checkpoint kinase 2 (CHEK2), which has
been linked to metastasis in other cancers, and the risk of developing lymph node
metastasis from esophageal cancer. CHEK2-122 G/C genotypes were determined by
matrix-assisted laser desorption ionization time-of-flight mass spectrometry
(MALDI-TOF-MS) in 296 subjects with esophageal cancer (67 cases with and 229
cases without lymph node metastasis). The associations between CHEK2 genotypes
and the risk of lymph node metastasis from esophageal cancer were estimated by
computing odds ratios (OR) and their 95% confidence intervals (CI). The CHEK2 GG,
GC, and CC genotype frequencies in patients with and without lymph node
metastasis were 47.8%, 40.3%, and 11.9% and 31.0%, 50.7%, and 18.3% respectively,
and were statistically significant (chi(2) =6.591, P=0.037). Logistic regression
analyses revealed that the CHEK2-122 GC genotype significantly reduced the risk
of lymph node metastasis (adjusted OR=0.54, 95% CI=0.29-0.93, P=0.028) compared
to the GG genotype. Subsequently, we propose that the CHEK2-122 G/C polymorphism
may play a protective role in preventing lymph node metastasis from esophageal
cancer, and may also provide insight toward determining patient prognosis without
the use of surgery.
PMID- 26550344
TI - Clinical significance of C-reactive protein levels in the determination of
pathological type of acute appendicitis.
AB - OBJECTIVE: To investigate the significance of C-reactive protein (CRP) in the
discrimination of acute appendicitis pathology and its guiding role in the timing
of surgery. METHODS: 307 patients in our hospital from July 2012 to December 2013
were selected, who received appendectomy and simultaneous detections of WBC,
neutrophil percentage and CRP; and Logistic regression analysis and Roc analysis
of these indicators were conducted; Roc curve was drawn. RESULTS: Logistic
regression analysis showed that the proportion of neutrophils and CRP were the
risk factors to determine gangrenous appendicitis, but the box chart and Roc
curves showed that C-reactive protein was superior to neutrophil classification
in determining gangrenous appendicitis (areas under the curve were 0.882 and
0.667 respectively), and the best diagnostic cutoff value was 44.42 mg/L
(sensitivity 73.1%, specificity 89.5%). CONCLUSION: C-reactive protein levels can
help distinguish pathological types of acute appendicitis, which can be used as a
reference index for surgery determination.
PMID- 26550345
TI - Mild cognitive impairment risk factor survey of the Xinjiang Uyghur and Han
elderly.
AB - To understand risk factors of the Xinjiang Uyghur, Han two ethnic elderly with
mild cognitive impairment (mild cognitive impairment, MCI), and provide evidence
for in-depth study of the causes and prevention of MCI. The MCI epidemiological
survey was based on Xinjiang Uyghur and Han residents with 60 years of age or
older. The total number of participants is 5398, including 3931 Uyghur residents,
and 1467 Han residents. There are 456 participants with MMSE score 2 points above
the demarcation points, excluded from the survey for dementia, cerebrovascular
disease and other central nervous system disorders, according to case-control
study method of random selection in epidemiological survey. In accordance with
the clinical diagnostic criteria of MCI, which is from Disorder Diagnostic and
Statistical Manual (the revised version of the fourth edition (DSM-IV) from of
the American Psychiatric Association, there are 305 cases of MCI, including 159
cases of Han, 146 cases of Uyghur. In the Han groups: univariate analysis showed
a correlation (P < 0.05) between sex, age, blood pressure, triglyceride (TG), low
density lipoprotein (LDL-ch) and MCI. Multivariate Logistic regression analysis
showed: age, hypertension, TG, LDL-ch (increased) may increase the risk of MCI
(OR values were: 1.115, 1.981, 1.315, 1.495, with P < 0.05). In the Uyghur
groups: univariate analysis showed a correlation (P < 0.05) between age, gender,
hypertension, abnormal glucose metabolism, TG, TC, LDL-ch and MCI. Multivariate
Logistic regression analysis showed: age, hypertension, abnormal glucose
metabolism, TG, TC, LDL-ch (increased), women have a higher risk of MCI (OR
values were: 1.063, 2.145, 2.879, 2.078, 1.429, 1.485, 0.462, P < 0.05). Age,
hypertension, TG and LDL-ch are risk factors of MCI for Han population, while
age, hypertension, abnormal glucose metabolism, TG, TC and LDL-ch are risk
factors of MCI for Uyghur population.
PMID- 26550346
TI - The prevalence of erectile dysfunction among subjects with late-onset
hypogonadism: a population-based study in China.
AB - INTRODUCTION: The concurrence of chronic diseases and some well-defined risk
factors significantly impacts the prevalence of erectile dysfunction (ED). AIM:
To determine whether late-onset hypogonadism (LOH) impacts the prevalence of ED
using investigation reproductive health data of middle-aged and aging males in
China. METHODS: The reproductive health status of 1498 males, aged 40-69 years,
was evaluated using questionnaires of LOH based on the Androgen Deficiency in
Aging Males (ADAM) and Aging Male Symptoms scale (AMS), as well as the
International Index of Erectile Function-5 (IIEF-5) assessment. The 10th
percentile of serum total testosterone (TT) and calculated free testosterone
(cFT) levels of controls were set as cut-off levels of AD. The main outcome
measures were used to assess the prevalence of LOH and ED according to different
subject characteristics. RESULTS: Of the 1472 subjects who completed the
questionnaires who supplied hormone measurements, the prevalence of self-reported
ED and identified by the IIEF-5 assessment were 11.28% and 77.85%, respectively.
The IIEF-5 assessment revealed a prevalence of ED of 55.34%, 88.20%, and 91.77%,
respectively, among those aged 40-49, 50-59, and 60-69 years. AD rates of ED
subjects were 13.73% and 40.69% according to the TT and cFT cut-off levels. The
prevalence of ED among subjects positive for LOH (ADAM+ and AMS+) were 88.81% and
95.80%, respectively. The prevalence of ED among the AD subjects (TT and cFT cut
off levels) with LOH (ADAM+ and AMS+) were 86.67%/81.82%. And the prevalence of
ED among clinical LOH subjects (ADAM+ and AMS+) were 89.51%/98.48%. CONCLUSIONS:
We found that middle-aged and aging Chinese males were at a relatively high risk
of ED. The prevalence of ED among subjects with LOH symptoms was greater than in
all recruited subjects. The effect of LOH on the prevalence of ED far outweighed
the risk of decreased testosterone levels.
PMID- 26550347
TI - Genetic polymorphisms in the PDZK1 gene and susceptibility to gout in male Han
Chinese: a case-control study.
AB - PDZK1 acts as a scaffolding protein for a large variety of transporter and
regulatory proteins, and has been identified in the kidney. The PDZK1 locus has
been determined to be associated with the serum urate concentration. However, the
evidence supporting this protein's association with gout is equivocal. In the
current study, we investigated the association between two single nucleotide
polymorphisms (SNPs) (rs12129861 and rs1967017) in the PDZK1 gene with gout in a
male Chinese Han population. A total of 824 subjects were enrolled in this case
control study (400 gout cases and 424 controls). PDZK1 genotyping was carried out
by polymerase chain reaction (PCR) and ligase detection reaction (LDR) assays
methods. The relationships were evaluated using the pooled odds ratios (ORs) and
their 95 % confidence intervals (CI). The results of our case-control study
demonstrated that the gout and control groups exhibited significant differences
in the distribution of genotypes at rs12129861 (OR = 0.727, P = 0.015) and
rs1967017 (OR = 0.705, P = 0.016), suggesting that PDZK1 genetic polymorphisms
were associated with increased risks of gout in male Han Chinese. However, there
were no differences in the distribution of genotypes at rs12129861 (odds ratio
(OR) = 0.744, P > 0.05) and rs1967017 (OR = 0.706, P > 0.05) in patients with
gout with kidney stones and without kidney stones.
PMID- 26550348
TI - Effect of cigarette smoking and alcohol consumption on disease activity and
physical functioning in ankylosing spondylitis: a cross-sectional study.
AB - The effect of cigarette smoking and alcohol consumption on the disease activity
and physical functioning in ankylosing spondylitis (AS) is currently understated.
Present study aims to investigate the relationship between them. A total of 425
patients with AS were recruited in the study and their smoking and drinking habit
were investigated with a semi-quantitative food frequency questionnaire. Bath
Ankylosing Spondylitis Disease Activity Index (BASDAI), Bath Ankylosing
Spondylitis Functional Index (BASFI), and Metrology Index (BASMI) were evaluated.
Parameters including fingertip-to-floor distance, overall assessment of health,
nocturnal pain, total back pain and morning stiffness were analyzed as well.
Blood erythrocyte sedimentation rate (ESR) and C-reactive protein (CRP) were
determined. For 118 (27.8%) AS patients with smoking habit, the scorings of
BASDAI, BASFI, BASMI and other physical parameters (including fingertip-to-floor,
overall assessment of health, nocturnal pain and total back pain) were higher
than those in patients without smoking. 101 (23.8%) AS patients with alcohol
consumption demonstrated significantly higher scores in BASMI (P < 0.05). In
hierarchical multiple regression analysis, the cigarette smoking and alcohol
consumption variables contributed to the variance in BASDAI scores, adding an
additional 1.6% to the overall R-square, resulting in a final R-square of 5.1%.
Smoking has a negative effect on disease activity of patients with AS and the
patients' physical functioning. Alcohol consumption would aggravate the overall
physical functioning of AS patient. The results indicated the potential benefit
of quitting smoking and drinking for AS patients.
PMID- 26550349
TI - Serum soluble major histocompatibility complex class I-related chain A/B
expression in patients with alcoholic liver disease in Hainan Li community.
AB - BACKGROUND/AIMS: To study the expression and clinical significance of serum
soluble major histocompatibility complex class I-related chain A/B (sMICA/B), and
its correlation with percentage of CD4(+), CD8(+), and NK cells, Liver fibrosis
screening test, and liver enzymes in alcoholic liver disease (ALD). METHODS:
Hainan Li ALD patients (n = 141) and healthy Li subjects (n = 100) were enrolled
for the study. Liver enzymes were measured using automatic biochemical analyzer
and Liver fibrosis screening test was used to study the correlation. In addition,
sMICA/B expression in serum and percentage of CD4(+), CD8(+), and NK cells were
determined using ELISA and flow cytometry respectively. RESULTS: Liver fibrosis
screening test results and liver enzymes concentration were significantly higher
(both P < 0.01), whereas the expression of sMICA and sMICB was significantly
indifferent (P > 0.01) between ALD patients and healthy controls. However,
percentage of CD4(+), CD8(+), and NK cells were statistically lower in ALD
patients than in healthy controls. The Kendall's tau-b correlation coefficient
for sMICA and sMICB/sMICA and LV was 0.561 and 0.120 respectively (P < 0.01).
Pearson correlation coefficient of sMICA with the percentage of CD4(+), CD8(+)%,
and NK cells was -0.587, -0.525, and -0.232 respectively, whereas the coefficient
of sMICB was -0.590, -0.554, and -0.292 respectively (P < 0.01). CONCLUSION: 1.
Liver fibrosis screening test is an excellent non-invasive approach for the
diagnosis of hepatic fibrosis and shows significant correlation with liver
enzymes. 2. sMICA and sMICB failed to assess the degree of hepatic fibrosis. 3.
Decreased percentage of CD4(+), CD8(+), and NK cells were attributed as one of
the risk factors for ALD.
PMID- 26550350
TI - Early enteral nutrition in combination with parenteral nutrition in elderly
patients after surgery due to gastrointestinal cancer.
AB - OBJECTIVE: To evaluate the therapeutic effects of nutritional support via
different routes in elderly patients after surgery for gastrointestinal (GI)
cancer. METHODS: 105 patients with GI cancer were randomly divided into early
enteral nutrition (EEN) group (n = 35), total parenteral nutrition (TPN) group (n
= 35) and EN+PN group (n = 35). RESULTS: The nutrition status and immunity were
significantly compromised in all patients, while the liver function was improved
at 3 days after surgery as compared to those before surgery. At 7 days after
surgery, they returned to preoperative level. The nutrition status was comparable
among 3 groups at 3 and 7 days after surgery (P > 0.05). ALT, AST, ALP and GGT in
TNP group were significantly higher than those in EEN group and EN+PN group (P <
0.05), whereas there was no significant difference in the liver function between
EEN group and EN+PN group (P > 0.05). The CD3+ cells, CD4+ cells and CD4/CD8 in
EEN group and EN+PN group were significantly higher than those in TPN group (P <
0.05), but significant difference was not observed between EEN group and EN+PN
group (P > 0.05). The NK cells in EN+PN group were significantly higher than in
TPN group (P < 0.01). The incidence of diarrhea in EEN group was significantly
higher than in TPN group and EN+PN group (P < 0.05). CONCLUSION: EN+PN is
superior to EEN alone and TPN alone in the old patients with GI cancer in
reducing the postoperative complications, improving the immunity and decreasing
the hospital stay.
PMID- 26550351
TI - Acute hypotension after total knee arthroplasty and its nursing strategy.
AB - OBJECTIVE: To determine the factors affecting postoperative acute hypotension
after total knee arthroplasty (TKA) and provide a basis for guiding the clinical
prevention. METHODS: Between May 2001 and May 2013, a total of 495 patients
undergoing routine TKA were analyzed retrospectively. Independent risk factors
related to postoperative acute hypotension after TKA were determined by
univariate and multivariate analysis. RESULTS: Of the 495 patients undergoing
TKA, 61 (12.32%) developed postoperative acute hypotension after surgery.
Univariate analysis showed that preoperative Neu, time of surgery, time of
anesthesia, pressure of tourniquet, time of using tourniquet, preoperative
hypertension, age and type of surgery were significant influencing factors,
whereas by multivariate analysis, only age, pressure of tourniquet and type of
surgery were significant influencing factors. CONCLUSION: Factors those were
associated with a significantly increased postoperative acute hypotension after
TKA included age, pressure of tourniquet and type of surgery. Achieving a good
preoperative and postoperative evaluation and monitoring vital signs and disease
change contribute to the detection, intervention and salvage for the acute
hypotension.
PMID- 26550352
TI - A novel insight in exploring the positive end expiratory pressure for sustained
ventilation after lung recruitment in a porcine model of acute respiratory
distress syndrome.
AB - The aim of the present study was to explore a novel insight to determine the
positive end expiratory pressure (PEEP) for sustained ventilation after lung
recruitment in an acute respiratory distress syndrome (ARDS) model. Continuous
infusion of oleic acid was performed to establish a ARDS model. Pressure control
ventilation (PCV) was applied for lung recruitment with PEEP of 20 cm H2O. After
lung recruitment, maneuver was changed to volume-controlled ventilation and PEEP
titration were performed by decreasing PEEP gradually starting from the level of
20 cm H2O. The optimal level of PEEP for sustained ventilation was set as the
lowest PEEP until oxygen partial pressure (PaO2) plus carbon dioxide partial
pressure (PaCO2) >=400 mmHg. Hemodynamic and respiratory parameters at basal
level, ARDS state and different levels of PEEP around the optimal PEEP were
recorded. The defined optimal PEEP was 13.14 +/- 1.35 cm H2O. Respiratory
parameters including intrapulmonary shunt (Qs/Qt) and arterial oxygen saturation
(SaO2) were significantly improved by various levels of PEEP for sustained
ventilation after lung recruitment (P<0.05). Static compliance (Cst) and dynamic
compliance (Cdyn) were also significantly increased after application of
different levels of PEEP ventilation after lung recruitment (P<0.05). There was
no significant statistic difference on most hemodynamic parameters (P>0.05)
between various levels of PEEP. The application of different PEEP levels around
the defined optimal PEEP had an obvious improvement on respiratory mechanics and
gas exchange for collapsed lung tissue without influencing the hemodynamics.
PMID- 26550353
TI - Infective endocarditis: a tertiary referral centre experience from Turkey.
AB - INTRODUCTION: We aimed to define the current characteristics of infective
endocarditis (IE) in a part of Turkey. METHODS: All patients who were
hospitalized in our hospital with a diagnosis of IE between 2009 and 2014 were
included in the study. Data were collected from archives records of all patients.
Modified Duke criteria were used for diagnosis. RESULTS: There were 85 IE cases
during the study period. The mean age of patients was 52 years. Fourty eight of
patients were males. Native valves involved in 47%, prostetic valves involved in
40% and pacemaker or ICD lead IE in 13% of patients. Mitral valve was the most
common site of vegetationb (38%). The most common valvular pathology was mitral
regurgitation. The most common predisposing factor was prosthetic valve disease
(40%). Positive culture rate was 68%. Staphylococci were the most frequent
causative microorganisms isolated (27%) followed by Streptococcus spp. (11%). In
hospital mortality rate was 36%. CONCLUSION: In Turkey, IE occurs in relatively
young patients. In high developed part of Turkey, prosthetic and dejenerative
valve disease is taking the place of rheumatic valve disease as a predisposing
factor. Surgery is an important factor for preventing mortality.
PMID- 26550354
TI - Diagnosis of children's attention deficit hyperactivity disorder (ADHD) and its
association with cytomegalovirus infection with ADHD: a historical review.
AB - As the most common mental disorder identified in children and teenagers,
attention deficit hyperactivity disorder (ADHD) affects millions of children and
their families, making it a critical health issue worldwide. This article
reviewed the historical opinions about the diagnosis of ADHD and defined
different subtypes of this disorder. It also summarized the current diagnostic
criteria and available medications. After re-visiting the etiology of ADHD in the
sense of both genetic and environment factors, it was further hypothesized that
viral infection might be involved in ADHD pathogenesis. Human cytomegalovirus
(HCMV) infection may be associated with ADHD, although both clinical observations
and animal studies need to be performed for validation.
PMID- 26550355
TI - Application of ultrasound-guided subcostal transversus abdominis plane block in
gastric cancer patients undergoing open gastrectomy.
AB - BACKGROUND: To observe intraoperative and postoperative analgesic effect of
ultrasound-guided subcostal transversus abdominis plane (TAP) block in gastric
cancer patients undergoing open gastrectomy. MATERIAL AND METHODS: Forty patients
with gastric cancer underwent open gastrectomy were randomly assigned into groups
R and S. All patients received ultrasound-guided subcostal bilateral TAP under
general anesthesia, and then were injected with 40 ml of 0.375% ropivacaine
(group R) or equivalent amount of normal saline (group S). The surgery was
performed in 30 min following the blocking. Intraoperatively, BIS value was
maintained between 45 and 65. Patient-controlled intravenous analgesia pump was
properly connected after the operation. Intraoperative changes in systolic blood
pressure (SBP), diastolic blood pressure (DBP) and heart rate (HR) were observed
and the dosage of sufentanil and alternative drugs was closely monitored during
the surgery. Visual analogue scale (VAS) scores and related surgical
complications were recorded at 2, 4, 6, 12, 24 and 48 h following the operation.
RESULTS: The SBP, DBP and HR changes in the group R were significantly decreased
compared with those in the group S (all P<0.01). In both groups, desirable
analgesic effect was obtained. The VAS scores at postoperative 2, 4, 6 and 12 h
after the surgery in the group R were significantly lower than those in the group
S (all P<0.05). No TAP puncture-induced adverse reaction was observed in both
groups. CONCLUSION: Ultrasound-guided subcostal transversus abdominis plane block
has the advantages of accurate localization and high success rate. Clinical
application of this technique in open gastrectomy can significantly decrease
intraoperative and postoperative dosage of analgesics and exert desirable
analgesic effect.
PMID- 26550356
TI - Effects of simvastatin and atorvastatin on biochemical and hematological markers
in patients with risk of cardiovascular diseases.
AB - OBJECTIVE: This study aimed to investigate the effects of simvastatin (SVS) and
atorvastatin (AVS) on the biochemical and hematological markers in patients with
risk of cardiovascular diseases. METHODS: One hundred and fifty outpatients were
enrolled from the Department of Cardiology. Patients were treated with AVS or
SVS. The lipids and hematological parameters were measured at baseline and after
4-week treatment, and the risk factors of cardiovascular diseases were recorded.
RESULTS: After 4-week treatment, the lipids significantly changed. However, for
hematological parameters, only mean platelet volume (MPV) significantly decreased
after statins treatment (SVS: t = 68.748, P = 0.000; AVS: t = 39.472, P = 0.000),
and the extent of decline was similar between SVS group and AVS group (t = 1.063,
P = 0.289). There were no correlations between MPV and lipids. SVS and AVS had
comparable effects on the lipid parameters after 4-week treatment, and there were
no significant correlations of DeltaMPV with the Deltatotal cholesterol,
Deltahigh density lipoprotein-cholesterol, Deltalow density lipoprotein
cholesterol, Deltatriglyceride, Deltaapolipoproteint A1, Deltaapolipoproteint B
and Deltalipoproteint (a) after treatments (all P > 0.05). CONCLUSION: After
statins treatment, the lipids significantly change; only MPV significantly
decreases among hematological parameters, but it has no relationship with lipids
reduction. The synthetic atorvastatin has similar effects to native simvastatin
in the management of patients with risk for cardiovascular diseases.
PMID- 26550357
TI - Preoperative risk factors for prolonged postoperative ventilation following
thymectomy in myasthenia gravis.
AB - Adequate preoperative evaluation and preparation for surgery are required to
prevent prolonged mechanical ventilation after thymectomy, and facilitate the
recovery of patients with myasthenia gravis (MG). The objective of this study was
to identify the preoperative risk factors for extubation failure after thymectomy
in patients with MG. METHODS: A retrospective study was conducted on 61 patients
with MG who underwent extended thymectomy. Several factors were evaluated
including patients' demographic data, preoperative medical therapies, medical
history, and comorbidities. Multivariate logistic regression analysis was used to
identify the predictors of late extubation after thymectomy for MG. RESULTS:
Fourteen patients (22.95%) required breathing support after anesthesia or
endotracheal re-intubation within 48 h. Univariate analysis illustrated that the
quantitative MG (QMG) grade (odds ratio [OR] = 1.368, P = 0.000), preoperative
muscle strength (OR = 0.279, P = 0.000), use of pyridostigmine (OR = 1.011, P =
0.024) and prednisone (OR = 1.059, P = 0.022), preoperative lung function (OR =
4.875, P = 0.016), low preoperative cholinesterase levels (OR = 0.999, P =
0.014), impaired preoperative swallowing muscle activity (OR = 7.619, P = 0.003),
and positivity for acetylcholine receptor antibodies (OR = 14.143, P = 0.001)
were significant predictors of prolonged postoperative intubation. Multivariate
logistic regression analysis revealed that the QMG score (OR = 3.408, P = 0.000)
and Myasthenia Gravis Foundation of America (MGFA) classification (OR = 28.683, P
= 0.002) were independent risk factors for prolonged postoperative intubation.
CONCLUSION: The preoperative MGFA clinical classification and QMG score were
independent risk factors for prolonged postoperative intubation in patients with
MG.
PMID- 26550358
TI - Three pro-nuclei (3PN) incidence factors and clinical outcomes: a retrospective
study from the fresh embryo transfer of in vitro fertilization with donor sperm
(IVF-D).
AB - OBJECTIVES: The aim of this study was to explore the main factors of 3PN
incidence and determine whether the presence of 3PN could lead to a worse
pregnancy outcome. METHODS: This study included 508 IVF-D (in vitro fertilization
with donor sperm) cycles from January 2013 to September 2014. The patients were
divided into three groups as follows: group 1 included patients with no 3PN
zygotes, group 2 included patients with 1%-25% 3PN zygotes and group 3 included
patients with > 25% 3PN zygotes. RESULTS: We observed that more retrieved oocytes
and higher HCG day peak E2 value could result in 3PN incidence more easily. When
the 3PN zygotes rate was > 25%, the percentages of normal fertilization (68.4%
and 66.3% and 46.4%, P < 0.001), day 3 grade I+II embryos (41.2% and 38.6% and
25.8%, P < 0.001), day 3 grade I+II+III embryos (68.7% and 65.2% and 61.4%, P =
0.032) and implantation rates (52.1% and 50.8% and 45.4%, P = 0.026) were
significantly lower than that in the other two groups respectively. The pregnancy
rate was lower in 3PN > 25% group than that in the other two groups but there was
no significant difference (65.2% and 66.7% and 55.6%, P = 0.266). The cleavage
(98.3% and 97.2% and 98.2%, P = 0.063) and early abortion (7.1% and 8.0% and
8.6%, P = 0.930) rate were identical among three groups. CONCLUSIONS: More
retrieved oocytes and higher HCG day peak E2 value could result in 3PN incidence
more easily. Interestingly, normal fertilization rate, day-3 grade I+II embryos
rate, day-3 grade I+II+III embryos rate and implantation rate were significantly
lower in IVF-D cycles with a 3PN incidence of > 25%. The number of day-3 grade
I+II embryos might be a key factor for pregnancy in IVF-D cycles with a 3PN
incidence of > 25%.
PMID- 26550359
TI - Association between clusterin polymorphisms and esophageal squamous cell
carcinoma risk in Han Chinese population.
AB - Genetic susceptibility plays an essential role in an individual's risk of
esophageal squamous cell carcinoma (ESCC). The aim of this study is to
investigate the associations between clusterin (CLU) gene polymorphisms and ESCC
risk. We undertook a case-control study to analyze three CLU polymorphisms (gene
rs9331888 C>G, rs17466684 A>G and rs1532278 T>C) in an Han Chinese population, by
extraction of genomic DNA from the peripheral blood of 642 patients with ESCC and
658 control participants, and performed CLU genotyping using DNA sequencing. The
obtained results indicated that overall, no statistically significant association
was observed in rs17466684 and rs1532278. However, gene rs9331888 C>G genotype
was at increased risk of ESCCs (P=0.037; odds ratio (OR)=1.089, 95% CI: 1.006
1.175). Moreover, rs9331888 G/G genotype ESCCs were more significantly common in
patients with tumor size of >5 cm than T allele ESCC and in cases of poor
differentiation and lower advanced pathological stage. In conclusion,
polymorphism in rs9331888 C>G was observed to be associated with susceptibility
of ESCC. Nevertheless, further investigation with a larger sample size is needed
to support our results.
PMID- 26550360
TI - Early interventional therapy for acute massive pulmonary embolism guided by
minimally invasive hemodynamic monitoring.
AB - AIM: The aim of this study was to investigate the clinical significance of
minimally invasive hemodynamic monitoring in the early catheter-based
intervention for acute massive pulmonary embolism (PE). METHODS: A total of 40
cases with acute massive PE were randomized into experimental and control group
with 20 cases in each group. In the experimental group, the hemodynamics was
monitored via Vigileo/FloTrac system, while echocardiography was used in the
control group. Twelve hours after systemic thrombolysis, catheter-based clot
fragmentation and local thrombolysis were employed in the experimental group if
Vigileo/FloTrac system revealed hemodynamic abnormality. For the control group,
the application of catheter was determined by the findings in echocardiography at
24 hours after systemic thrombolysis. RESULTS: A total of 12 cases in the
experimental group underwent catheter therapy successfully while 4 cases in the
control group received the same treatment. Compared to the control group, 12
hours after catheter intervention the experimental group had higher PaO2/FIO2 and
right ventricular ejection fraction (RVEF) but lower pulmonary artery systolic
pressure (PASP), indicating the effectiveness of Vigileo/FloTrac monitoring. The
28-day survival rates were identical between the groups although one patent in
the control group died. Both the RVEF and PASP were significantly improved in the
experimental group in 6 months compared to the control group. CONCLUSIONS: In
massive PE, hemodynamic monitoring via Vigileo/FloTrac system might be useful in
the decision making for catheter intervention after systemic thrombolysis and
might improve the outcomes for patients.
PMID- 26550362
TI - Application of transanal ileus tube in acute obstructive left-sided colorectal
cancer.
AB - OBJECTIVE: The efficacy of transanal ileus tube in acute obstructive left-sided
colorectal cancer was discussed. METHOD: A total of 101 cases of acute
obstructive left-sided colorectal cancer were divided into two treatment groups.
For the experimental group consisting of 52 cases, decompression was performed
using transanal ileus tube along with drainage and flushing as preparations for
one-stage resection and anastomosis. For the control group consisting of 49
cases, the traditional preoperative preparation including fasting and water
deprivation, gastrointestinal (GI) decompression, enema and nutritional therapy
was performed. RESULTS: After the ileus tube was indwelled for 3 days, the
abdominal circumference, GI decompression amount, and maximum transverse diameter
of colon proximal to obstruction changed significantly in the experimental group.
The response rate within 24 h and the operation rate of one-stage resection and
anastomosis were higher. The incidence and mortality rate after surgery were
reduced, the hospitalization time was shorter, and less expenditures were
incurred. CONCLUSION: Decompression using transanal ileus tube along with
drainage and flushing as preparations for one-stage resection and anastomosis is
a safe and effective method for treating acute obstructive left-sided colorectal
cancer.
PMID- 26550361
TI - Impact of Xuebijing and ulinastatin as assistance for hemoperfusion in treating
acute paraquat poisoning.
AB - OBJECTIVE: As the effect of Xuebijing as combined treatment in hemoperfusion (HP)
toward acute paraquat (PQ) poisoning is not clear. We retrospectively analyzed
119 cases of acute paraquat poisoning in Tianjin first central hospital; the
patients were divided into 3 groups based on treatment. Control (group A)
patients underwent standard hemoperfusion with conventional treatment, while the
experimental groups combined hemoperfusion with Xuebijing (group B) or
ulinastatin (group C). Standard biomedical indicators, such as organ dysfunction
and mortality were recorded and compiled, both in short (<7 days) and long (7-28
days) terms. Then, the effect of Xuebijing in combination to the standard (HP)
treatment was evaluated by direct comparison. The results showed that using
either Xuebijing or ulinastatin as additional treatment to standard HP
significantly helped the overall outcomes, as evidenced by lower organ
dysfunction and mortality. In addition, Xuebijing (group B) yielded a more
pronounced improvement compared with ulinastatin (group C) in combination with HP
(All P<0.05). Our findings indicated that both Xuebijing and ulinastatin provided
positive impacts on HP treatment toward acute paraquat poisoning, with better
outcomes observed with Xuebijing, which should be considered for more frequent
use in clinical practice.
PMID- 26550363
TI - Astragalus on the anti-fatigue effect in hypoxic mice.
AB - OBJECTIVE: Astragalus is a traditional Chinese medicine to improve the function
of the body. The purpose of this study is to investigate the effect of astragalus
on improvement of anti-fatigue capacity in mice under simulated plateau
environment. METHODS: Male Kunming mice were randomly divided into the following
groups: the control group, astragalus treatment groups in low dosage (LD) (1.0
g/kg.d), mid dosage (MD) (3.0 g/kg.d), and high dosage (HD) (30 g/kg.d). The
control group were fed under normoxia environment, and hypoxic mice were fed at a
stimulated elevation of 5000 meters. After continuous intragastric administration
for 10 days, exhaustive swimming experiment was conducted in the anoxic
environment. The swimming time, glucose and lactic acid concentration in blood,
glycogen contents in liver, SOD and MDA were determined. RESULTS: Compared with
the control group, the swimming time of each astragalus treated group was
evidently prolonged (P < 0.05), and the area under the blood lactic acid curve
was significantly decreased (P < 0.05). In the high and middle dose of astragalus
group, liver glycogen was obviously increased. After exhausted swimming, glycogen
contents in blood and SOD were significantly increased, while MDA was evidently
reduced (P < 0.05). CONCLUSION: Astragalus can alleviate physical fatigue in mice
under simulated plateau environment. It has an obvious anti-fatigue effect and
it's worthy of further study.
PMID- 26550364
TI - Therapeutic effects and complications of simplified pericardial devascularization
for patients with portal hypertension.
AB - OBJECTIVES: To evaluate the therapeutic effects and complications of simplified
pericardial devascularization for patients with portal hypertension. METHODS: By
means of prospective study, 212 patients who underwent simplified pericardial
devascularization (Group A) and 309 patients who underwent traditional
pericardial devascularization (Group B) were followed up from 2003' to 2011'.
Results were performed with the general condition of the patients and the
incidence of complications to assess the value of the two operating methods.
RESULTS: The operating time was 1.0-3.83 hours (mean 1.94 +/- 0.32 hours) in
Group A versus 1.67-4.50 hours (mean 2.86 +/- 0.40 hours) in Group B. The amount
of bleeding, postoperative hospital stay and hospitalization expenses were 110
500 ml (mean 224.81 +/- 78.44 ml), 7-22 days (mean 10.41 +/- 4.01 days) and 15700
27500 yuan with an average of 19300 +/- 1600 yuan in Group A and 200-700 ml (mean
423.50 +/- 85.19 ml), 9-32 days (mean 14.76 +/- 4.52 days) and 18700-44500 yuan
with an average of 23400 +/- 2200 yuan in Group B. In September 2012', successful
follow-up was completed for 438 patients, of which, 181 underwent the simplified
devascularization with 31 patients lost (follow-up rate 85.4%). Meanwhile, 257
patients in Group B were followed up completely and 52 patients were lost (follow
up rate 83.2%). The follow-up time ranged from 1 to 9.5 years and the average
time was 5.03 +/- 2.13 years. The mortality, rebleeding rate, rate of hepatic
encephalopathy, rate of ascites and the incidence of gastric fistula and (or)
esophageal fistula were 6.1%, 6.1%, 1.7%, 8.3% and 0 in Group A versus 14.0%,
15.2%, 4.3%, 17.7% and 3.1% in Group B. CONCLUSIONS: The final results suggested
that simplified pericardial devascularization performed more effectively and
conveniently than the traditional method, depending on the mitigated operative
wound and the shortened operation time. We concluded that simplified pericardial
devascularization was better in treatment of portal hypertension compared than
the traditional method.
PMID- 26550365
TI - Assessment of tracheal intubation in adults after induction with sevoflurane and
different doses of propofol: a randomly controlled trial.
AB - Intubation without prior administration of muscle relaxants is a common practice
in children and adults with potential difficult airways. We aimed to investigate
the effects of adding different doses of propofol on tracheal intubation and the
time to return of spontaneous breathing during inhalation induction of patients.
150 patients undergoing operations were randomly given propofol IV at 1.0, 1.5
and 2.0 mg/kg (namely 1.0-propofol, 1.5-propofol and 2.0-propofol, respectively)
after inhalational induction with sevoflurane. Tracheal intubating conditions,
time to return of spontaneous breathing, postoperative hoarseness, end-tidal
carbon dioxide concentration (PETCO2), and pulse oxygen saturations (SpO2) were
assessed. Tracheal intubation was successful in all patients. Intubating
conditions were acceptable in 31/50, 42/50 and 47/50 in those subjects given
propofol 1.0, 1.5 or 2.0 mg/kg, respectively. Intubation scores were similar in
groups 1.5-propofol and 2.0-propofol, and were significantly higher than in group
1.0-propofol (P = 0.013). Time to return of spontaneous breathing in group 2.0
propofol was significantly prolonged compared with groups 1.5-propofol and 1.0
propofol (197.0 +/- 49.4 sec vs. 130.4 +/- 32.7 sec, P < 0.001; 197.0 +/- 49.4
sec vs. 104.8 +/- 22.6 sec, P < 0.001, respectively). SpO2 in group 2.0-propofol
was significantly lower than group 1.0-propofol and 1.5-propofol. However, PETCO2
in group 2.0-propofol was significantly higher than in groups 1.0-propofol or 1.5
propofol. Propofol at a dose of 1.5 mg/kg provides intubating conditions similar
to propofol at 2.0 mg/kg in patients. Time to return of spontaneous breathing
followed by a dose of 1.5 mg/kg propofol was significantly shorter than that
followed by a dose of 2.0 mg/kg propofol.
PMID- 26550366
TI - Analysis of the serum reproductive system related autoantibodies of infertility
patients in Tianjin region of China.
AB - OBJECT: Reproductive system related autoantibodies have been proposed to be
associated with natural infertility. However, large scale systematic analysis of
these of antibodies has not been conducted. The aim of this study is to analyze
the positive rate of antisperm antibody (ASAb), anti-endometrium antibody (EMAb),
anti-ovary antibody (AOAb), anti-zona pellucida antibody (AZP) and
anticardiolipin antibody (ACA) in infertility patients in Tianjin region of
China. METHODS: 1305 male and 1711 female primary infertility patients and 1100
female secondary infertility patients were included in this study, as well as 627
healthy female controls. The above autoantibodies were tested and the positive
rates in each group were calculated. RESULTS: the positive rate of ASAb were
significantly higher in primary infertility female than that in male, further
analysis revealed that primary infertility population all exhibit significant
higher positive rate of EMAb, AOAb, AZP and ACA compared with control group.
Furthermore, the positive rates of all the antibodies in primary infertility
female were significantly higher than those in secondary infertility female.
CONCLUSIONS: Our study thus indicates that these autoantibodies might be
associated with immunological related primary infertility and may have clinical
significance in its diagnosis and treatment.
PMID- 26550367
TI - The influence of hypoglycemic drugs on exercise-mediated hypoglycemic effects in
elderly type 2 diabetic patients.
AB - BACKGROUND: To evaluate the impact of different hypoglycemic drugs on exercise
mediated blood glucose (BG) reduction. METHODS: One-hundred and five retirees who
were diagnosed with type 2 diabetes mellitus (T2DM) within a two-year period were
included in this study. The participants were instructed to walk for 20 to 30
minutes at a moderate-speed (4.0 to 4.5 km/h) after breakfast. Blood pressure and
fingertip BG were measured before and after walking. RESULTS: The rate of BG
reduction was significantly higher in all exercise groups when compared to that
of non-exercised patients. Among all groups, BG declined the most in the un
medicated group, while the lowest BG reduction was observed in the acarbose
group. Surprisingly, the BG reduction in acarbose group was significantly lower
when compared with non-acarbose groups (P<0.0001). Interestingly, after further
correcting for sex, age, BMI, diabetes history, walking time, walking speed and
walking distance, only age was found to be an influencing factor (t=-3.304,
P=0.001). Pearson correlation of age and BG reduction showed that correlation
coefficient of age was only 0.183 and revealed no statistical significance.
CONCLUSIONS: Walking at a moderate speed for 20 to30 minutes after breakfast
provided a beneficial BG reduction effect in elderly T2DM patients. Among the
medicated groups, the smallest BG reduction rate was observed in patients taking
acarbose. We suggest that acarbose might influence hypoglycemic effects of
exercise. The results of this study will be helpful for determining the best
clinical usage of hypoglycemic medications in elderly T2DM patients.
PMID- 26550368
TI - Relationship between chronic tonsillitis and Henoch-Schonlein purpura.
AB - The aim of this study was to explore the relationship between children's chronic
tonsillitis and Henoch-Schonlein purpura (HSP). We randomly selected 56 cases of
HSP children with chronic tonsillitis during December 2009 to December 2012, 26
cases for surgery group and 30 cases for non-surgery. The duration of abdominal
pain and rash, 24 hours urine protein quantity, urine red blood cell count, titre
of anti streptolysin O (ASO) and complement C3 (C3) were compared and analyzed
with statistical method. Compared with the non-surgery group, the duration of
abdominal pain and rash, overcast days of urine protein and occult blood in the
surgery group were improved significantly (P < 0.05). 24 hours urine protein
quantity and urine red blood cell count of the surgery group were improved
significantly after surgery (P < 0.01). Chronic tonsillitis was one of the
important factors leading to recurrent rash and inducing Henoch-Schonlein purpura
nephritis. Tonsillectomy was an alternative mean to treat HSP children with
chronic tonsillitis.
PMID- 26550369
TI - The association between SDF-1 G801A polymorphism and non-small cell lung cancer
risk in a Chinese Han population.
AB - BACKGROUND: SDF-1 G801A polymorphism is reported to correlate with cancer
susceptibility. However, the association between SDF-1 G801A polymorphism and non
small cell lung cancer (NSCLC) risk in Chinese populations remains unknown.
MATERIAL AND METHODS: A total of 408 NSCLC patients and 303 health controls
included in this study. Restriction length fragment polymorphism (RFLP) analysis
was used to assess the frequencies of SDF-1 G801A polymorphic variant. RESULTS:
No significant association was found between SDF-1 G801A polymorphism and NSCLC
risk (OR=1.268, 95% CI 0.811-2.583, P=0.361). Furthermore, SDF-1 G801A
polymorphism was not correlated with histological type (P=0.697) and TNM stage
(P=0.276). CONCLUSION: SDF-1 G801A polymorphism was not a risk factor for NSCLC
in Chinese Han population.
PMID- 26550370
TI - Liver kinase B1 promoter CpG island methylation is related to lung cancer and
smoking.
AB - The aim of this study was to explore the association of CpG islands methylation
of liver kinase B1 (LKB1) with primary lung cancer and smoking, providing a
theoretical basis for the demethylating drug to treat lung cancer by detecting
the LKB1 promoter CpG methylation. mRNA expression of LKB1 were detected by in
situ hybridization and methylation status on Hap II locus of the promoter of LKB1
was analyzed by methylation-specific polymerase chain reaction (PCR). 7 of 80
LKB1 positive cases had methylation on CpG islands while 18 of 44 LKB1 negative
cases had methylation on CpG islands. The difference was significant between CpG
island methylation and LKB1 expression. 8 of 54 cases of early and middle lung
cancer were detected LKB1 promoter CpG island methylation while 30 controls were
not detected, the difference was significant. 5 of 64 more-than-5-year cases had
methylation on CpG islands while 20 of 60 less-than-5-year cases had methylation.
The difference was significant between of 5-year survival and CpG island
methylation of LKB1. 22 of 74 smoking cases of lung cancer had methylation on CpG
islands of LKB1 while only 3 of 50 non-smoking cases had methylation. The
difference of smoking and CpG island methylation of LKB1 was significant. LKB1
promoter CpG islands aberrant methylation is closely associated with the
occurrence, development and prognosis of lung cancer, especially with smoking
history including clinical early diagnosis and prognosis. CpG islands methylation
in the promoter of LKB1 is likely important one of the mechanism of smoking
associated lung cancer.
PMID- 26550371
TI - Distribution of monocyte chemoattractant protein-1 (MCP-1 A-2518G) and chemokine
receptor (CCR2-V64Iota) gene variants in hyperbilirubinemic newborns.
AB - Hyperbilirubinemia is one of the most crucial syndromes, which is characterized
by high levels of bilirubin, especially when it occurs in newborns. Bilirubin has
cytoprotective properties with an antioxidant function and plays several major
roles in the inflammation process with its members such as chemokines. The
monocyte chemoattractant protein-1 (MCP-1) is a member of the C-C chemokine
family and it has been associated with the inflammatory process. There are no
data on the chemokine and its receptor genotypes in hyperbilirubinemic newborns
to show their distribution. The aim of this study is to investigate the genotypic
relationship of MCP-1 and its receptor CCR2-V64Iota with hyperbilirubinemia in
Turkish newborns. A total of 85 newborns were included in the study: 20 infants
with hyperbilirubinemia (hyperbilirubinemic group) and 65 infants without
hyperbilirubinemia (non-hyperbilirubinemic group). Genotyping of MCP-1 A-2518G
and CCR2-V64Iota gene polymorphisms were detected by PCR-RFLP, respectively. MCP
1 GG genotype in patients was higher than the controls and this genotype had 2.69
times higher risk for hyperbilirubinemic neonates (P: 0.20). The frequency of MCP
1 A-2518G G+ genotype in patients was higher than the controls (55.0% and 38.5%,
respectively). The results of our preliminary study suggest that MCP-1 G+
genotype has the ability to increase the hyperbilirubinemia risk of newborns.
These results should be focused on to research on a larger scale to confirm the
findings.
PMID- 26550372
TI - Efficiency of treatment with rituximab in platelet transfusion refractoriness: a
study of 7 cases.
AB - OBJECTIVE: The purpose of our study was to evaluate the efficacy and safety of
rituximab in treatment of immune PR. METHODS: We retrospective analysis 7
paitents (5 aplastic anemia, 2 myelodysplastic syndrome) with immune PR who
received at least 3 weekly infusions of rituximab (375 mg/m(2)). RESULTS: All
enrolled patients acquired improvement of platelets transfusion more than 2
months (CCI >= 4.5 * 10(9)/L). We first found that there were 2 patterns of
response to rituximab treatment in patients with immune PR, which the early but
transient after the first rituximab administration and the late but continuous
beginning to appear at 3 weeks from the start of treatment. CONCLUSION: Rituximab
is a promising treatment in patients with immune PR and giving the opportunity
and time for cure the disease.
PMID- 26550373
TI - Traditional Chinese medical herbs staged therapy in infertile women with
endometriosis: a clinical study.
AB - BACKGROUND: Endometriosis is a common gynecological disease defined as the
presence of endometrioid tissue (glands and stroma) outside the uterus. About 30
to 40% patients with endometriosis are infertile. In traditional Chinese medical
system, endometriosis associated infertility is mostly caused by kidney
deficiency and blood stasis. The herb of reinforcing kidney and removing blood
stasis is designed to treat the disease. MATERIAL AND METHODS: All the 80 up-to
standard patients were divided into two different groups exactly according to the
random principle. They were treated with hormone and traditional Chinese medical
herb separately. After half year's therapy, all the patients received one year's
follow-up. Their transvaginal ultrasonographic changes, serum hormone levels and
pregnancy rate were recorded to analysis the effect. RESULTS: No significant
difference happened in two groups' demographic and clinical characteristics (P >
0.05). After the treatment, the effect on serum hormone levels and specific
markers are significant (P < 0.05). The transvaginal ultrasonographic changes
were positive, too. The text on hepatic and renal function confirmed to the
safety of the herb. Compared to hormone therapy, the traditional Chinese medical
herb is safe and effective for endometriosis patients with infertility.
CONCLUSION: Compared with hormone therapy, traditional Chinese medical herb's two
staged therapy is effective and safe for endometriosis patients with infertility.
PMID- 26550374
TI - Tongue acupuncture in treatment of post-stroke dysphagia.
AB - Tongue acupuncture is a technique that treats illness through acupuncture applied
to the tongue. This study was designed to assess its therapeutic effects in the
treatment of post-stroke dysphagia. A clinical control study was conducted with
randomly selected 180 patients with post-stroke dysphagia. The patients were
assigned into 2 groups: 90 in the Tongue acupuncture group received tongue
acupuncture on the basis of conventional medication, 90 in the conventional
acupuncture group received acupuncture on the neck and wrist. Acupoints in the
tongue are Juanquan (EX-HN10) (at the midpoint of dorsal raphe of the tongue) and
Haiquan (EX-HN11) (Sublingual frenulum midpoint). Acupoits on the body are
Fengchi (GB20) and Neiguan (PC6). The effective rate, the national institutes of
health stroke scale (NIHSS), TV X-ray fluoroscopy swallowing function (VFSS), the
incidence rate of pneumonia were used to evaluate the efficacy after 4 weeks
treatment. The NIHSS and VFSS of tongue acupuncture group were improved
significantly than that of the conventional group (P < 0.01, respectively). The
incidence rate of pneumonia decreased (P < 0. 01). The effective rate of the
tongue acupuncture group was higher than that of conventional group (96.67% vs.
66.67%, P < 0. 01). On the basis of the conventional medication, tongue
acupuncture would effectively improve the swallow functions, decrease the
neurological deficit and reduce the incidence of pneumonia in patients with post
stroke dysphagia.
PMID- 26550375
TI - Glycididazole sodium combined with radioiodine therapy for patients with
differentiated thyroid carcinoma (DTC).
AB - The aim of the present study was to evaluate efficacy and side effects of
glycididazole sodium (CMNa) combined with radioiodine therapy for patients with
DTC cervical metastases. 53 patients of DTC cervical lymph node metastasis were
randomly divided into 2 groups, where 24 cases were treated with 4.44 GBq of
(131)I alone, 29 cases were treated with 800 mg/m(2) of CMNa combined with 4.44
GBq of (131)I. Peripheral blood samples were collected before and after treatment
to perform measurements of routine blood test, liver function, renal function,
parathyroid hormone (PTH), lymphocyte micronucleus rates and chromosome mutation.
The results showed that rates of complete response (CR) in CMNa combined with
radioiodine group (65.5%) were significantly higher than that in radioiodine
monotherapy group (37.5%). Furthermore, CMNa combined with adioiodine treatment
significantly increased the percentage of thyroglobulin (Tg) reduction at 12
weeks after treatment (P<0.05). There is no significant difference in blood
routine, liver function, renal function, PTH, lymphocyte micronucleus rates and
chromosome mutation rates before and 12 weeks after treatment (P>0.05). These
results indicate 4.44 GBq of (131)I treatment combined with 800 mg/m(2) of CMNa
could significantly improve clinical efficacy of DTC patients without increasing
side effects.
PMID- 26550376
TI - Factors associated with pancreatic infection in patients with severe acute
pancreatisis.
AB - OBJECTIVE: To identify potential risk factors associated with pancreatic
infection in severe acute pancreatisis (SAP) patients, thus providing evidence
for clinical prediction and treatment. METHODS: A total of 42 patients with SAP
collected in our hospital from January 2013 to July 2014 were divided into two
groups according to the presence or absence of pancreatic infection and
retrospectively analyzed. Clinical characteristics and laboratory examine results
of the two groups including age, sex, APACHE II score, serum amylase, serum
calcium, blood glucose, ALT, AST, hyoxemia, serum albumin, serum creatinine and
blood urea nitrogen were investigated for their relevance to pancreatic
infection. RESULTS: The overall occurrence of secondary pancreatic infection of
the 42 patients was 52.38%. A significantly positive correlation was revealed
between the incidence rate of the secondary pancreatic infection and the factors
including hyoxemia, blood creatinine and urea nitrogen in SAP patients (P < 0.05
or P < 0.01). Meanwhile, the level of serum albumin was negatively correlated
with the rate of secondary infection in SAP patients (P < 0.01). The rest factors
showed no significant correlation (P > 0.05). CONCLUSION: Hyoxemia, blood
creatinine and urea nitrogen are potential factors leading to pancreatic
infection in SAP patients, while an increase of serum albumin may reduce the
incidence of infection.
PMID- 26550377
TI - Preoperative risk factors for early postoperative urinary continence recovery
after non-nerve-sparing radical prostatectomy in Chinese patients: a single
institute retrospective analysis.
AB - Urinary incontinence (UI) remained a significant complication after radical
prostatectomy and led to impaired quality of life. Early continence is a goal to
take into consideration for better patient satisfaction after radical
prostatectomy. To identify the independent preoperative risk factors associated
with UI after radical prostatectomy (RP), we evaluated 446 patients treated with
non-nerve-sparing RP between 2010 and 2013 at our institution. The incontinence
rate was 98.7% and 46.6% after catheter removal and 3 months after surgery,
respectively. We examined several preoperative factors including age, smoking,
body mass index (BMI), comorbidities such as hypertension and diabetes,
preoperative prostate-specific antigen (PSA) level, Gleason score, and
preoperative pelvic floor muscle exercise (PFME). The results suggested
preoperative PFME was the only independent protective risk factor for immediate
continence after catheter removal. At 3 month following surgery, age at surgery
represented a risk factor for delayed continence, while BMI and preoperative PFME
were the protective risk factors for postoperative UI. Our results supported that
age and preoperative PFME were predictive factors for early continence after RP.
These findings could help clinicians to counsel men and their partners about
postoperative incontinence.
PMID- 26550378
TI - Efficacy of methylprednisolone sodium succinate for injection (postotic
injection) on the auditory threshold and speech recognition rate of sudden
deafness patients.
AB - OBJECTIVE: To investigate the effect of injecting the methylprednisolone in the
ear for the sudden deafness and the improvement of speech discrimination test.
METHODS: 50 inpatients with a sudden hearing loss were recruited. Inject the
methylprednisolone in the subperiosteal of the ear which is 0.5 cm distance to
the ear ditch every three days. Methylprednisolone was placed for fifteen days.
Simultaneously vasodilation, neurotrophic, thrombolysis and insulin hypoglycemia
were administered in all patients. Pure tone test and speech discrimination test
were conducted at Days 7 & 14 after intervention. RESULTS: The outcome was as
follows: cure (n = 8), efficacy (n = 9), effect (n = 18) and no effect (n = 15)
respectively. The overall effective rate of 70%. The improvement of pure tone
threshold and speech discrimination had significant statistical difference (P <
0.05). CONCLUSION: The therapy of postaural methylprednisolone injection can
decrease pure tone threshold effectively and increased speech discrimination with
a sudden hearing loss.
PMID- 26550379
TI - Effect of preemptive analgesia with parecoxib sodium in patients undergoing
radical resection of lung cancer.
AB - OBJECTIVE: To discuss the effect of preemptive analgesia with parecoxib sodium in
patients undergoing radical resection of lung cancer. METHODS: 115 cases of lung
cancer patients with American society of anesthesiologists class (ASA) grade I~II
who received selective operation were randomly divided into the research group
and the control group. The research group patients were given preoperative
parecoxib sodium 40 mg plus postoperative normal saline 2 ml, while the control
group patients were treated with preoperative normal saline 2 ml plus
postoperative parecoxib sodium 40 mg. The pain condition at postoperative 1, 2,
4, 8, 12, 24 and 48 h were evaluated by visual analogue scale (VAS), and
emergence agitation was tested by agitation score. RESULTS: Finally there were 56
cases and 57 cases can be used for evaluation in the research group and control
group. The VAS scores after 1, 2, 4, 8, 12, 24 and 48 h in the research group and
control group were [2.23+/-0.45, 2.35+/-0.48, 2.51+/-0.51, 2.41+/-0.45, 2.28+/
0.42, 2.16+/-0.39, 2.11+/-0.40] and [3.80+/-0.62, 4.01+/-0.64, 4.31+/-0.67,
4.10+/-0.64, 3.65+/-0.70, 3.12+/-0.66, 2.46+/-0.53], respectively. The research
group were obviously lower than the control group, the difference were
statistically significant (P<0.05). The rate of agitation was 24.44% (11/56) in
the research group, significantly lower than the control group of 59.65% (34/57)
(P<0.05). CONCLUSION: Preemptive analgesia with parecoxib sodium can obviously
relieve acute pain using in patients undergoing radical resection of lung cancer,
and is helpful to reduce the incidence of emergence agitation.
PMID- 26550380
TI - Analysis on correlation of white matter lesion and lacunar infarction with
vascular cognitive impairment.
AB - OBJECTIVE: To investigate the correlation of white matter lesion (WML) and
lacunar infarction (LI) with vascular cognitive impairment. To investigate the
correlation of cognitive changes of vascular dementia (VD) patients with lacunar
infarction (LI) and white matter lesion (WML). METHODS: The clinical data of 60
cases of VD patients were evaluated and analyzed by combining with imageological
findings and cognitive function assessment. RESULTS: Multiple LI and WML were
negatively correlated with both mini-mental state examination (MMSE) scale scores
(r = -0.401, P = 0.036) and clock drawing test (CDT) scale scores (r = -0.482, P
= 0.028); the LI number in occipital lobe was negatively correlated with MMSE
scores (r = 0.338, P = 0.048), the LI number in temporal lobe was negatively
correlated with CDT scores (r = -0.235, P = 0.047), and the LI number in frontal
lobe was negatively correlated with MoCA scores (r = -0.450, P = 0.039).
CONCLUSION: All of LI location and number as well as WML are independent
influencing factors of cognitive impairment of VD patients.
PMID- 26550381
TI - Effects of epidural analgesia with different concentrations of bupivacaine plus
fentanyl on pain in patients undergoing thoracic surgery.
AB - OBJECTIVE: To investigate and compared the efficacy and safety of epidural
analgesia with different concentrations of bupivacaine plus fentanyl on pain in
patients undergoing thoracic surgery. METHODS: 120 cases undergoing elective
thoracic surgery were randomly divided into A, B, C and D four groups each with
30 cases, and they were treated with 0.25% (A group), 0.375% (B group), 0.50% (C
group) and 0.75% (D group) bupivacaine plus fentanyl 0.4 mg. The pain conditions
postoperative 4 h, 8 h, 12 h, 24 h and 48 h were evaluated by visual analogue
scale (VAS). The PCA pressing numbers and incidence of adverse reactions were
compared between the four groups. RESULTS: By postoperative 4 h, the VAS in D
group were obviously lower than those in the other three groups (P all <0.05),
and the other three groups showed no significances (P>0.05). However, the four
groups showed no significant differences in VAS by postoperative 8 h, 12 h, 24 h
and 48 h (P all >0.05). The incidences of respiratory depression in C and D
groups were markedly higher than those in A and B groups (P all <0.05).
CONCLUSIONS: 0.25%~0.375% bupivacaine plus fentanyl 0.4 mg using in epidural
analgesia in patients undergoing thoracic surgery can lead to safe and effective
analgesic effect.
PMID- 26550382
TI - Evaluating ECG-aided tip localization of peripherally inserted central catheter
in patients with cancer.
AB - OBJECTIVE: To evaluate ECG-aided tip localization of peripherally inserted
central catheter (PICC) in the patients with cancer. METHODS: Between September
and December 2014, 170 patients undergoing PICC were divided into observation
group and control group (each group with 85 patients). In observation group,
patients received ECG-aided tip localization of PICC. In control group, PICC was
performed with conventional method. After PICC was performed, all patients took
orthophoria chest radiograph (OCR) to check whether the tip position of PICC was
appropriate. Finally, successful rate of the first PICC was compared between the
two groups. RESULTS: In observation group, OCR showed that the tip of PICC was
located in middle and low one-third of superior vena cava in 85 patients. In
control group, OCR showed that the tip of PICC was located between superior vena
cava and right atrium in 75 patients. The successful rate of the first PICC was
significantly higher in observation group than in control group (P < 0.05).
CONCLUSION: ECG-aided tip localization of PICC is accurate and safe, and is worth
clinically recommending.
PMID- 26550383
TI - Treatment of renal uric acid stone by extracorporeal shock wave lithotripsy
combined with sodium bicarbonate: 2 case reports.
AB - Uric acid stone is the most comment radiolucent renal stone with high recurrence
rate, which would further cause acute upper urinary tract obstruction and kidney
failure. Here we report two cases of renal uric acid stone from December 2012 to
April 2013. One 43-year-old male patient suffered from chronic uric acid
nephrolithiasis caused by the long-term indwelling of bilateral double-J stent.
Another 69-year-old patient was also diagnosed with uric acid nephrolithiasis at
the right kidney. Both patients were first treated with extracorporeal shock wave
lithotripsy (ESWL), followed by 1.5% sodium bicarbonate dissolution therapy.
After a week of the treatment, the uric acid stones in both patients were
completely dissolved without retrograde infection. In summary, the use of ESWL
and sodium bicarbonate dissolution therapy as a combined modality is a safe,
effective, inexpensive treatment for uric acid nephrolithiasis.
PMID- 26550384
TI - The application of temporo-occipital fascial flap in the wound of medium scalp
defect with bone exposure.
AB - We are aimed to observe the effect of applying the combined temporo-occipital
fascial flap in the medium scalp defect with bone exposure. Three cases of
moderate scalp defect with bone exposure were admitted by The 89th Hospital of
PLA and China-Japan Friendship Hospital from October 2009 to March 2014, and the
wounds were repaired by application of the temporo-occipital fascial flap with
medium-thickness skin grafting. And then these 3 patients were followed up after
the operation, and the wound repair was observed. These 3 cases of fascial flaps
all survived well with good appearance and covered the wound completely.
Fibrosarcoma of one case had a relapse 3 months after operation, and the other
two cases were followed up from 6 months to 3 years. Meanwhile, the appearance
and function were satisfactory. The communicating branches between superficial
temporal artery and occipital artery are rich. Therefore we designed and utilized
the long temporo-occipital fascial flap containing the ipsilateral occipital
superficial fascia to repair the scalp defect with bone exposure, and the
curative effect is satisfactory.
PMID- 26550385
TI - Application of MSCTA combined with VRT in the operation of cervical dumbbell
tumors.
AB - Cervical dumbbell tumor poses great difficulties for neurosurgical treatment and
incurs remarkable local recurrence rate as the formidable problem for
neurosurgery. However, as the routine preoperative evaluation scheme, MRI and CT
failed to reveal the mutual three-dimensional relationships between tumor and
adjacent structures. Here, we report the clinical application of MSCTA and VRT in
three-dimensional reconstruction of cervical dumbbell tumors. From January 2012
to July 2014, 24 patients diagnosed with cervical dumbbell tumor were
retrospectively analyzed. All patients enrolled were indicated for preoperative
MSCTA/VRT image reconstruction to explore the three-dimensional stereoscopic
anatomical relationships among neuroma, spinal cord and vertebral artery to
achieve optimal surgical approach from multiple configurations and surgical
practice. Three-dimensional mutual anatomical relationships among tumor, adjacent
vessels and vertebrae were vividly reconstructed by MSCTA/VRT in all patients in
accordance with intraoperative findings. Multiple configurations for optimal
surgical approach contribute to total resection of tumor, minimal damage to
vessels and nerves, and maximal maintenance of cervical spine stability.
Preoperative MSCTA/VRT contributes to reconstruction of three-dimensional
stereoscopic anatomical relationships between cervical dumbbell tumor and
adjacent structures for optimal surgical approach by multiple configurations and
reduction of intraoperative damages and postoperative complications.
PMID- 26550386
TI - A case of methylprednisolone treatment for interstitial pneumonia induced by
gefitinibin.
AB - In this case, an old man was diagnosed as lung cancer, clinical stage IV. In
order to alleviate cancer, this patient was treated with gefitinib. Three months
later, symptoms such as a significant weakness, chest tightness and shortness of
breath after sports arised and intensifying. Implosive therapy with high dose
methylprednisolone is used to control the weakness caused by gefitinib. Eight
days after treatment, patient's condition significantly improved. The use of
methylprednisolone can effectively treat interstitial pneumonia induced by
gefitinibin, help patients get better from critical condition such as type I
respiratory failure. This new discovery is a good guidance for clinical treatment
of gefitinibin caused interstitial pneumonia.
PMID- 26550387
TI - Single transverse-orientation cage via MIS-TLIF approach for the treatment of
degenerative lumbar disease: a technical note.
AB - BACKGROUND: Single transverse cage placed in the anterior vertebral column can
better maintain lumbar lordosis and sagittal alignment and is frequently used via
the lateral transpsoas approach. However, there is no clear description in the
literature of the steps required to place the single transverse cage during the
instrumented transforaminal lumbar interbody fusion (TLIF) procedure for the
treatment of degenerative lumbar disease. The objective of this study is to
describe the technique using single transverse-orientation cage when performing
TLIF procedures. MATERIALS AND METHODS: We present 18 illustrative cases in which
single transverse-orientation cage was placed according to a step-by-step
technique that can be used during the TLIF procedure. Information acquired
included procedure time, intraoperative blood loss and postoperative
complications. The preoperative and postoperative Oswestry Disability Index (ODI)
and the visual analogue scale (VAS) scores were recorded. Changes in disc height
and segmental lordosis were measured at radiographs. RESULTS: The single
transverse-orientation cage was successfully placed in 18 patients in a stepwise
technique to achieve lumbar fusion. Using this technique, the patients
significantly improved clinically and radiographically at postoperative visits.
CONCLUSIONS: This is the first report demonstrating the safety and efficacy of
instrumented TLIF with single transverse-orientation cage for the treatment of
degenerative lumbar disease. Single transverse-orientation cage via MIS-TLIF
approach can maintain greater lumbar lordosis and avoid the unique complications
of lateral transpsoas approach. Understanding the options for cage placement is
important for surgeons considering the use of this technique.
PMID- 26550388
TI - Anesthetic management of a parturient with mirror syndrome: a case report.
AB - Mirror syndrome is a rare clinical entity consisting of fetal and placental
hydrops with maternal edema. It is associated with an increase in fetal mortality
and maternal morbility. We describe the anesthetic management of a parturient
with Mirror syndrome complicated by HELLP syndrome and massive postpartum
hemorrhage, who required general anesthesia for cesarean delivery.
PMID- 26550389
TI - Comparison of the efficacy of recombinant human brain natriuretic peptide with
saline hydration in preventing contrast-induced nephropathy in patients
undergoing coronary angiography with or without concomitant percutaneous coronary
intervention.
AB - The incidence of contrast-induced nephropathy has an increasing trend as a result
of increased use of contrast media during coronary interventional procedures.
Contrast-induced nephropathy is one of the major causes for hospital acquired
renal failure after coronary interventional procedures. In this study, a total of
126 enrolled patients undergoing elective coronary angiography and/or
percutaneous coronary intervention were randomly divided into two groups to
investigate the efficacy of recombinant human brain natriuretic peptide in
preventing contrast-induced nephropathy in patients undergoing elective coronary
angiography and/or percutaneous coronary intervention. Our results showed that
there was no statistically significant difference in the primary end points, with
similar incidence of contrast-induced nephropathy in the two groups (P=0.770). In
compared with the hydration group, the elevation of serum creatinine in the
recombinant human brain natriuretic peptide group was less, especially at 48
hours (P=0.047) and at 72 hours (P=0.048) after the procedure. The creatinine
clearance from baseline to 72 hours after the procedure was higher in the BNP
group than in the hydration group. There were significant differences in
creatinine clearance at 48 hours (P=0.016) and at 72 hours (P=0.019) between the
two groups. In spite of similar incidence of contrast-induced nephropathy,
recombinant human brain natriuretic peptide has its advantages for the protection
of the renal function associated with better protection of renal function in
patients undergoing elective coronary angiography and/or percutaneous coronary
intervention, compared with saline hydration.
PMID- 26550390
TI - A giant symptomatic cardiac lipoma recurring at the fifth year.
AB - Cardiac lipomas are extremely rare in the heart diseases and only few present
with a wide spectrum of clinical signs, including life-threatening arrhythmias
and sudden death. We report a 48-year-old woman who with a 2-year history of
recurrent dyspnea with mild anemia was admitted to our hospital as a huge mass
was found in her mediastinum. After complete surgical tumor resection, she was
recurred at the fifth year. This case underlines the giant cardiac lipomas had a
slightly higher risk of recurrence over the next five years.
PMID- 26550391
TI - Dangerous blind tracheal intubation attempt due to fiberscope non-availability in
a pediatric patient with retropharyngeal abscess caused by a large fish bone.
AB - In China, foods containing bones are sometimes fed to young infants.
Occasionally, this practice results in bone aspiration and retropharyngeal
abscess, a potentially life-threatening infection in the deep space of the neck
that can compromise the airway. The main concern in managing patients with
retropharyngeal abscess is airway management. In China, not all hospitals and
operating rooms are equipped with fiberscopes, particularly pediatric-size
fiberscopes. Emergency airway management can be dangerous when a fiberscope is
unavailable. We present the case of a 21-month-old baby girl with a
retropharyngeal abscess secondary to fish bone ingestion. During an attempted
blind tracheal intubation due to fiberscope non-availability, the abscess
ruptured, and the pus released from it obstructed the airway. The patient was
successfully treated despite the inadequate resources and dangerous complication.
We recommend a detailed preoperative airway assessment and preparation for
fiberscopic tracheal intubation in such patients to prevent this dangerous
complication.
PMID- 26550392
TI - Mixed epithelial and stromal tumor of the kidney: a rare case report and review
of the literatures.
AB - Mixed epithelial and stromal tumor of the kidney (MESTK) is a rare complex renal
neoplasm composed of a mixture of cystic and solid components. Until date only
few cases of MESTK have been reported. We present here a rare case of MESTK that
was diagnosed in a 56-year-old female. The patients were referred to our hospital
due to a mass on the right kidney identified incidentally in a routine physical
examination. A pre-operative diagnosis of cystic renal cell carcinoma was made
and a right radical nephrectomy was carried out. Macroscopically, a cystic tumor
was noticed in the upper portion of the right kidney. Various-sized cysts
accompanied by multiple cysts and few solid areas were observed.
Immunohistochemically, various epithelial markers as well as stromal markers were
identified. Taken together with all the immunohistochemical results and
morphological pattern of the tumor, a diagnosis of MESTK was made. MESTK is
relatively rare and generally benign. However, it is difficult to distinguish
between benign or malignant tumors according to the current radiological method.
Therefore a complete resection of the tumor by partial or radical nephrectomy is
suggested.
PMID- 26550393
TI - Adding dexmedetomidine to ropivacaine for lumbar plexus and sciatic nerve block
for amputation of lower limb in high-risk patient-a case report.
AB - The ischemia necrosis of limb frequently requires surgery of amputation. Lumbar
plexus and sciatic nerve block is an ideal intra-operative anesthetic and post
operative antalgic technique for patients of amputation, especially for high-risk
patients who have severe cardio-cerebrovascular diseases. However, the duration
of analgesia of peripheral nerve block is hardly sufficient to avoid the
postoperative pain and the usage of opioids. In this case, a 79-year-old man,
with multiple cerebral infarcts, congestive heart failure, atrial flutter and
syncope, was treated with an above knee amputation because of ischemia necrosis
of his left lower limb. Dexmedetomidine 1 MUg/kg was added to 0.33% ropivacaine
for lumbar plexus and sciatic nerve block in this case for intra-operative
anesthesia and post-operative analgesia. The sensory function was blocked fully
for surgery and the duration of analgesia maintained 26 hours with haemodynamic
stability and moderate sedation. The patient did not complain pain and require
any supplementary analgesics after surgery. This case showed that adding 1 MUg/kg
dexmedetomidine to ropivacaine for lumbar plexus and sciatic nerve block may be a
feasible and safe technique for high-risk patients for lower limb surgery of
amputation.
PMID- 26550394
TI - Double-level osteotomy and one-stage reconstruction with long intramedullary
femoral nail to correct a severe proximal and diaphyseal femur deformity in a
patient with polyostotic fibrous dysplasia: case report and literatures review.
AB - Proximal femur is often involved with varus and retroversion deformity in
polyostotic fibrous dysplasia (PFD). Multiple corrective osteotomies with
intramedullary nails in two stages is recommended procedure as some authors
described. We report a case using double-level osteotomy and one-stage
reconstruction with intramedullary nail in a patient with painful proximal femur
and diaphysis varus deformity, the neck-shaft angle was corrected from 95 degrees
pre-operatively to 125 degrees post-operatively, the patient was free of pain
and no evidence of recurrence at the 24-month follow up. The operative design and
method were described, and a review of related literatures about the treatment
alteration for PFD and relevant operative selection were also performed.
PMID- 26550395
TI - Uterine artery embolization for hemorrhage resulting from second-trimester
abortion in women with scarred uterus: report of two cases.
AB - This study was conducted to investigate the effect of uterine artery embolization
for the treatment of hemorrhage following second-trimester labor induction for
women with scarred uterus. Two cases of second-trimester abortion were
retrospectively reviewed, both of which had a history of caesarean delivery and
were complicated by gestational anemia. One was at 18 weeks' gestation and
presented with persistent vaginal bleeding for two months resulting in relatively
large area of blood clot in uterine cavity. The other was at 25 weeks' gestation
with partial hydatidiform mole and presented with intermittent vaginal bleeding.
Both patients presented with continuous and heavy vaginal bleeding after oral
administration of mifepristone for labor induction, with one cervix left
unopened, while the other cervix 3 cm left dilatation, yet felt obstructed by
pregnant tissue. Both patients were immediately treated with uterine artery
embolization (UAE). Both patients presented with alleviated hemorrhage and
regular uterine contraction after UAE, followed by smooth induction of labor. No
hemorrhage occurred since then during the follow-up. The results suggest that UAE
is safe and effective for the treatment of massive hemorrhage of second-trimester
abortion in women with scarred uterus. It can reduce time period of labor
induction and alleviate hemorrhage, which not only rescues patients but also
avoids cesarean sections and retains fertility for the pregnant.
PMID- 26550396
TI - Common variable immune deficiency associated Hodgkin's lymphoma complicated with
EBV-linked hemophagocytic lymphohistiocytosis: a case report.
AB - Hemophagocytic syndrome (HPS) is described by an increase in macrophages
accountable for extensive phagocytosis of hematopoietic cells. Secondary HPS
arises commonly in the presence of infections, neoplasia, autoimmune disorders
and immune disorders. Here, we reported a patient with common variable immune
deficiency (CVID) and Hodgkin's lymphoma (HL) who later developed EBV linked
hemophagocytic lymphohistiocytosis. 42 year old men underwent check-up because of
back pain in July 2012. He had known CVID disease. In physical examination he had
no lymphadenopathies however his spleen was palpable 3 cm under arcus costa. He
had hypogammaglobulinemia with IgG levels around 500 mg/dl. In abdominal computed
tomography (CT) multiple lymphadenopathies reaching maximum 26*17 cm size were
seen so, PET-CT was performed. Involvement in thorax, abdomen, and bone was
detected with maximum SUV max 11.5. He had undergone tru-cut biopsy from lymph
node in November 2012 which revealed HL. Bone marrow investigation favored with
mix cell type. His cytogenetic analysis was reported as 46 XY. He was considered
as stage 4 disease and ABVD (Adriamycin, bleomycin, vincristine and
dexamethasone). He was given six cycles of chemotherapy in May 2013 and complete
remission was observed in control CT screening in July 2013. However pancytopenia
evolved in August 2013. Bone marrow investigation revealed suspicious
lymphohistiocytic infiltration. Treatment was planned to apply autologous stem
cell transplantation (SCT) after salvage chemotherapy. Control bone marrow
investigation again revealed the lymphohistiocytic aggregates with
hemophagocytosis. Our patient showed 5 criteria of hemophagocytic syndrome. He
had ferritin elevation (>5000 MUg/dl), splenomegaly (13 cm) cytopenia,
triglyceride elevation and hemophagocytosis. He had unrelated SCT transplantation
however he died from transplant related toxicity. The primary and secondary
immune deficiency caused by chemotherapy are the major causes for our patient
inability to control his EBV infection which eventually lead to hemophagocytic
lymphohistiocytosis. To conclude, rare simultaneous manifestation of primary
immune deficiencies (PID), Hodgkin's lymphoma and EBV-HLH occurred in our patient
which have concordant immunological mechanism that eventually lead poor prognosis
in our patient.
PMID- 26550397
TI - A T-cell prolymphocytic leukemia case with central nervous system involvement.
AB - T-cell prolymphocytic leukemia (T-PLL) is an aggressive mature T cell neoplasm
that typically involves peripheral blood, bone marrow, lymph nodes and spleen. It
is a rare disease that comprises 2-5% of mature lymphocytic leukemia in adults.
Here we present a T-PLL patient with CNS involvement. A 74-year-old man admitted
to a hospital in April 2014 with vomiting. He was diagnosed as chronic
lymphocytic leukemia (CLL) and R-CVP (Rituximab, cyclophosphamide, vincristine
and prednisolone) chemotherapy protocol was started. After the first two cycles
of chemotherapy, the patient's mental functions improved. However after the 3(rd)
cycle of chemotherapy was given in July 2014 the general situation of the patient
deteriorated and ptosis of the left eye and facial paralysis developed. Then the
patient was referred to our medical center. An MR of the brain revealed linear
contrast enhancement around the bilateral 3(rd), 7(th) and 8(th) cranial nerves
which indicated cranial involvement by the lymphoproliferative process (Figure
1). Cerebrospinal fluid cytological examination confirmed the diagnosis. Based on
these and bone marrow aspiration and biopsy findings a diagnosis of T-PLL was
rendered (Figure 3). In September 2014 the patient died suddenly due to a cardiac
arrest. Differential diagnosis is very important in T-PLL. Both T-PLL and chronic
lymphocytic leukemia (CLL) may present with splenomegaly and lymphocytosis as
well as circulating prolymphocytes in blood. Typical CLL cells are like mature
lymphocytes with dense nucleus and aggregated chromatin. To conclude, CNS
involvement in T-PLL is a rare finding and differential diagnosis of T-PLL is
very important.
PMID- 26550398
TI - Acute myocardial/cerebral infarction as first/relapse manifestation in one acute
promyelocytic leukemia patient.
AB - In the clinical setting, bleeding is a common manifestation of acute
promyelocytic leukemia (APL), whereas thrombosis is relatively rare, especially
as an initial symptom. Here, we report an unusual case of APL with acute
myocardial infarction as the first manifestation and cerebral infarction as the
relapse manifestation in a healthy young woman. This unique case emphasizes that
a thrombotic event could be the first manifestation of an underlying
hematological disorder such as APL and could also be a sign of relapse. Rapid
detection of the underlying disorder and the timely use of anticoagulation
therapy and ATRA are crucial for preventing further deterioration of the disease
and saving the patient's life.
PMID- 26550399
TI - Severe injury of bilateral elbow joints with unilateral terrible triad of the
elbow and unilateral suspected terrible triad of the elbow complicated with
olecranon fracture: one case report.
AB - Terrible triad of the elbow is characterized as posterior dislocation of the
elbow joint accompanied by the fractures of the radial head and coronoid process
of the ulna, which is rarely seen in clinical practice, especially because the
mild fracture is barely detected by imaging method In this study, we reported one
case of serious complex bilateral elbow injury, presenting with unilateral
typical terrible triad of the elbow and suspected terrible triad of the elbow
complicated with olecranon fracture on the other side. Clinical experience was
obtained during the diagnosis and treatment procedures.
PMID- 26550400
TI - The first calcified acoustic neurinoma identified in China: a case report and
literature review.
AB - Here we reported the first case of left cerebellopontine angle acoustic neurinoma
with calcification in our department. The patient was 65 year-old, suffering from
progressive loss of hearing in the left ear for about 30 years and headache with
unsteady gait for approximately 6 months. Head CT & MRI scan identified an
intracranial lesion located on left cerebellopontine angle. Left suboccipital
retrosigmoid approach was applied to perform the operation after patient consent.
The tumor was completely resected without complication and the patient recovered
well. Histological findings revealed Spindle-shaped tumor cells tightly compacted
to form the Antoni A region, while loosely arranged to form the Antoni B region.
Hyaline degeneration and calcification formation were observed across the
majority of the tumor.
PMID- 26550401
TI - Invasive fungal infection caused by geotrichum capitatum in patients with acute
lymphoblastic leukemia: a case study and literature review.
AB - Geotrichum capitatum infection has a very low incidence rate with atypical
clinical symptoms, making diagnosis difficult, and it has a poor prognosis. The
incidence is even more rare in China. This paper reports the first case of
infection caused by G. capitatum during bone marrow suppression after
chemotherapy in a Chinese patient with acute lymphoblastic leukemia. In addition,
it reports a systematic literature review of diagnosis and treatment. The patient
with acute lymphoblastic leukemia was confirmed to be infected with G. capitatum,
involving lung, liver and skin, through a blood culture test. Caspofungin,
amphotericin B loposome, and a combination therapy of amphotericin B liposome and
voriconazole were used in succession for treatment. Despite normal body
temperature and a slight improvement of clinical symptoms with the combination
therapy treatment, the patient died 40 days after chemotherapy due to heart and
lung failure.
PMID- 26550402
TI - Stent implantation technique through PEG-like pathway for treatment of malignant
gastroduodenal obstruction.
AB - OBJECTIVE: To investigate feasibility and safety of stent implantation technique
through percutaneous endoscopic gastrostomy (PEG)-like pathway for treatment of
malignant gastroduodenal obstructions. METHODS: Twelve patients with malignant
gastroduodenal obstructions accepted PEG-like operations. A stent implantation
pathway was established in abdominal wall under endoscopic guide. A guide wire
and a stent release device were introduced through this pathway followed by an
intestinal stent implantation. After operation, efficacy and safety of this
technique were assessed by collecting data such as operation time, complications,
postoperative medication, and hospitalization time during postoperative 2-12
months of follow-up. RESULTS: Twelve patients were successfully treated with
stent implantation technique through PEG-like pathway for the first time. The
average operation time was 31 minutes. No severe complications occurred during
treatment. On the fourth days after operation, patents were give liquid diet and
treatment of rehydration, acid suppression, hemostasis and anti-inflammation. The
average hospitalization time was 5 days. The follow-up time was 2-12 months.
Twelve (100%) patients achieved complete remissions. The stent related
complications and obstruction did not appear within 2 months after operations.
The quality of life improved significantly. CONCLUSION: The stent implantation
technique through PEG-like pathway for treatment of malignant gastroduodenal
obstruction is a feasible, effective, and safe choice.
PMID- 26550403
TI - A hepatic cancer patient with Guillain-Barre syndrome during the perioperative
period of partial hepatectomy: a case report.
AB - We reported a case of hepatic cancer patient with Guillain-Barre syndrome during
the perioperative period of partial hepatectomy in the present study. We analyzed
the clinical data and described the characteristics of this patient.
PMID- 26550404
TI - Restricted diffusion in the splenium of the corpus callosum in organophosphate
induced delayed neuropathy: case report and review of literatures.
AB - We described a 35 year-old female who developed organophosphate induced delayed
neuropathy (OPIDN) with an unusal clinical manifestation and neuroradiological
presentation. CASE REPORT: A 35-year-old woman came into contact with
organophosphate pesticide by remissly inhalation. She got transient
unconsciousness lasting for nearly 2 hours and developed transient hematuria and
hyperhidrotic subsequently. She received atropine as treatment and got a
satisfying recovery and was hospital discharged without any symptoms. But 20 days
later the patient displayed symptoms including headache, vertigo, mental and
memory decline, and was hospitalized again. Clinical manifestations, laboratorial
findings, images data will be presented. The brain magnetic resonance imaging
(MRI) showed an unusal neuroradiological presentation characterized by restricted
diffusion in the splenium of the corpus callosum. The patient recovered
satisfactorily after administration of corticosteroids and immunogloblin.
CONCLUSION: OPIDN may develop in some susceptible individuals even by inhalation
and sometimes with central nervous system involvement. Treatment with
corticosteroids and intravenous immunogloblins was found to achieve good results.
PMID- 26550405
TI - Zebra guidewire damage by Holmium: YAG laser and management of removal.
AB - The zebra guidewire is widely used in endoscopic surgery. Because of its central
mental core, it is not easy to break or shear off within the urinary tract. We
report a case about the breakage of zebra guidewire in ureteroscopy (URS) with
Holmium: YAG laser lithotripsy. It is easily to be managed by percutaneous access
or open surgery. For the purpose of minimal invasiveness and risk, we performed a
retrograde flexible ureteroscopy and extracted it successfully without any
complication. To our knowledge, this is the first case report on flexible
ureteroscopy to remove the residual tip of damaged guidewire in renal.
PMID- 26550406
TI - Successful laparoscopic common bile duct exploration in a patient with factor V
deficiency, a case report and review of literature.
AB - Factor V deficiency is a rare bleeding disorder. Fresh frozen plasma (FFP) is the
only source of factor V because factor V concentrates is not available now. We
present here a patient had concomitant gallbladder and common bile (CBD) stones
with factor V deficiency. The patient is successfully treated by laparoscopic CBD
exploration and cholecystectomy with perioperative fresh frozen plasma
transfusion. To best of our knowledge, this is the first report of laparoscopic
surgery successfully performed in a factor V deficiency patient. Our result
suggest that laparoscopic surgery in a factor V deficient patient can be
performed safely if normal coagulation profile is achieved after injection of
FFP. Our experience in this case also indicate that the incidence of delayed
bleeding after surgery is low once hemostasis is successfully obtained during
operation and there is no need to continue FFP infusion beyond day 2
postoperative.
PMID- 26550407
TI - Unilateral internuclear ophthalmoplegia with upbeat nystagmus from ischemic
origin: evidence for the paramedian tract neurons as a vertical neural
integrator?
AB - Only a few cases with unilateral internuclear ophthalmoplegia have been reported
presenting vertical nystagmus, and few of them provides convincing evidence for
the paramedian tract neuron to be a vertical neural integrator. We report a
patient who suffered from confined dorsal mid-upper pontine infarction showing
unilateral internuclear ophthalmoplegia with upbeat nystagmus in primary
position. This case possibly provide evidence that paramedian tract neurons may
act as a vertical neural integrator in human.
PMID- 26550408
TI - Orbital apex syndrome and meningoencephalitis: a rare complication of herpes
zoster.
AB - Orbital apex syndrome is a rare manifestation of Herpes Zoster (HZ). HZ
Mmeningoencephalitis are also rare in immunocompetent persons. We report a rare
case that was considered to be HZ meningoencephalitis with orbital apex syndrome
in an immunocompetent female. The patient initially manifested with HZ skin
lesions and lose of her left sight, diplopia, ptosis, followed by headache, neck
pain, and fever, dizziness. Cerebrospinal fluid analysis showed elevation of
lymphocytes and protein. A MRI abnormality was remarkable for the presence of a
left cerebellum, occipital lobe as well as dura lesion. Head computed tomography
and Magnetic resonance venography was normal. Corticosteroid therapy and
antiviral therapy was effective to decrease the headache and skin pain. Symptoms
were markedly improved after corticosteroid therapy. Three months later, we
called the patient to follow up. Her meningoencephalitis symptom recovered. Her
follow up brain MRI was normal. But left blindness and external ophthalmoplegia
was persistent. This case suggested HZ could affect central nervous system and
peripheral nervous system at the same time.
PMID- 26550409
TI - Abdominal pain and diarrhea caused by splenic arteriovenous fistula: a case
report.
AB - Abdominal pain and diarrhea were the most common symptoms in clinics, which could
be caused by various diseases such as acute gastroenteritis, intestinal cancer
and so on. Here, we report an unusual case of splenic arteriovenous fistula
(SAVF) with splenectomy history. Our patient was initially presented with the
symptoms of abdominal pain and diarrhea. The diagnosis of SAVF was identified by
computed tomography angiography and Doppler's ultrasonic examination. The patient
with SAVF was successfully cured by surgical ligation and recovered uneventfully
postoperatively.
PMID- 26550410
TI - Gene expression profile of human esophageal squamous carcinoma cell line TE-1.
AB - Esophageal squamous cell carcinoma (ESCC) is one of the most common and deadly
causes of cancer worldwide. However, to date, the mechanisms underlying its
pathogenesis remain unclear. The present study investigated the gene expression
profile of human esophageal cancer cell line TE-1, a cell model for ESCC, to gain
insight to the genetic regulation of this disease. Human esophageal cancer TE-1
cells and normal esophageal HET-1A cells were cultured for isolation of total
RNA. Differential expression of RNA transcripts was assessed using the Agilent
4*44 K microarray, combined with real-time PCR (qRT-PCR) for validation.
Classification and function of the differential genes were illustrated by
bioinformatics processing including hierarchical clustering and gene ontology
(GO) analysis. We identified 4,986 transcripts with differential expression (fold
change >=1.5, P<0.05), including 2,368 up-regulated and 2,618 down-regulated
transcripts. GO analysis showed that the dysregulated transcripts were associated
with biological process, cellular component, and molecular function. After
bioinformatic analysis of significantly regulated signaling pathways, we found
these transcripts may target 35 gene pathways, including p53 signaling, glioma,
ubiquitin-mediated proteolysis, insulin signaling, cell cycle, inositol phosphate
metabolism, mTOR signaling, and MAPK signaling. The differentially expressed
transcripts were screened between the esophageal cancer cell line TE-1 and normal
esophageal cell line HET-1A, as well as their target gene pathways. Further data
mining is related to prevention and treatment of esophageal cancer.
PMID- 26550411
TI - Leptin receptor gene polymorphisms and risk of hypertension: a meta-analysis.
AB - OBJECTIVE: To assess the relationship between the polymorphisms of leptin
receptor gene and hypertension. METHODS: Meta analysis was conducted by using
RevMan 5.3. Relevant literatures were retrieved by searching PubMed using the
keywords "Hypertension", "Leptin Receptor", "OB Receptor", "LEPR Protein".
RESULTS: Fifteen studies with a total of 5955 patients with hypertension and 3830
healthy controls were included in this meta-analysis. The results showed that
Gln223Arg gene polymorphism was significantly higher in hypertension patients
than in control (OR=1.36, 95% CI=1.23-1.51, P<0.00001). However, no statistically
significant difference was found in Lys109Arg polymorphism between hypertension
patients and control (OR=0.99, 95% CI=0.85-1.16, P=0.91). CONCLUSION: Gln223Arg,
but not Lys109Arg gene polymorphism, is higher in hypertension patients,
suggesting that patients with Gln223Arg allele carry a higher risk to develop
hypertension.
PMID- 26550412
TI - Optic radiation mapping reduces the risk of visual field deficits in anterior
temporal lobe resection.
AB - Anterior temporal lobe resection (ATLR) is often complicated by superior quadrant
visual field deficits (VFDs) because of damage to the anterior portion of the
optic radiation (Meyer's loop). This study reports the evaluation of optic
radiation mapping in protecting against VFDs in the ATLR. We retrospectively
analyzed 52 patients with medically refractory temporal lobe epilepsy undergoing
ATLR between January 2012 and December 2013. The surgical operations in Group I
(n=32) were performed with the modified ATLR, and the operations in Group II
(n=20) were aided by combining optic radiation mapping by diffusion tensor
imaging, microscopic-based neuronavigation and the intraoperative magnetic
resonance imaging (iMRI) technique. A t-test was used to compare the size of
ATLR, and a chi square test was applied for the postoperative VFD and seizure
outcomes. The optic radiation was reconstructed in all patients in Group II. The
size of ATLR was 5.11+/-1.34 cm (3.3-8 cm), and 3.24+/-0.75 cm (2.2-4.8 cm) in
Groups I and II, respectively; the size of ATLR was significantly smaller in
Group II (F=9.803; P=0.00). The visual fields assessment by the Humphrey Field
Analyser 30-2 test showed 27 patients (84.4%) in Group I suffered VFDs at 3
months post-operation, whereas only eight patients (40.0%) in Group II showed
VFDs (Pearson chi square =11.01; P=0.001). The 6-month follow-up survey showed
that 90.6% of patients in Group I achieved a good outcome (Engel class I-II),
outperforming 85.0% in Group II, however, there was no statistically significant
difference (chi square =0.382, P=0.581). This techniques of combining optic
radiation mapping, microscopic-based neuronavigation and iMRI aided in precise
mapping and hence reduction of the risk of visual field deficits in ATLR. The
size of ATLR guided by optic radiation mapping was significantly smaller but the
seizure outcome was not significantly affected.
PMID- 26550413
TI - Effect of GABA on blood pressure and blood dynamics of anesthetic rats.
AB - BACKGROUND: This study aimed to investigate GABA effects on blood pressure and
blood dynamics of anesthetic rats by observing spontaneously hypertensive rats
under both anesthesia and waking state. MATERIALS AND METHODS: 72 male waking
Wistar-Kyokos (WKY) rats and 72 male anesthetized spontaneously hypertensive
(SHR) rats were randomly divided into control group and experimental group (N =
36 each). Rats were further divided into three subgroups (N = 12 each), which
received 15 MUmol GABA, 35 nmol muscimol, or 4 nmol dicentrine into unilateral
paraventricular nucleus, respectively. Rats in the control group (WKY1) and
experimental group (SHR1) were compared for the GABA effect on blood pressure
(MAP), heart rate (HR), and arterial baroreceptor reflex function (BRS) changes
under waking state. Anesthetic WKY rats (WKY2) and spontaneously hypertensive
rats (SHR2) were compared for the GABA effect on those abovementioned indexes.
Abdominal aorta mean arterial pressure, heart rate, and arterial baroreceptor
reflex function changes were compared in all rats. RESULTS: MAP, HR, and BRS were
slightly lower in the rats under anesthetic state than in waking state before
treatment (P < 0.05); they did not show significant changes between anesthetic
and waking state, however, after treatment (P > 0.05). Unilateral paraventricular
nucleus injection of GABA or muscimol elevated MAP, HR, and BRS in both normal
and spontaneously hypertensive rats under waking or anesthetic state (P < 0.05).
In addition, the amplitudes of changes of three indicators in spontaneously
hypertensive group were markedly higher than those of control group (P < 0.05).
Dicentrine could induce MAP and HR to increase, while BRS decreased significantly
(P < 0.05). The amplitudes of changes in spontaneously hypertensive group were
larger than those of normal group (P < 0.05). CONCLUSION: Centrally GABA
injection can enhance the BRS function in spontaneously hypertensive rats and
adjust heart rate to reduce the blood pressure fluctuation. It may play a role in
reducing blood pressure and protecting cardiovascular function.
PMID- 26550414
TI - Effects of the intermittent injection with super-low pressure on the
postoperative pain control during the uterine artery embolization for uterine
myoma.
AB - OBJECTIVE: Uterine artery embolization (UAE) has been commonly used for uterine
myoma with satisfactory effects, but the pain during and following the procedure
with an occurrence rate in 100%. The aim of this study was to observe the effects
of intermittent injection with super-low pressure on pain control during the UAE
for uterine myoma. METHODS: 67 subjects were divided into 2 groups with 47 in
group A and 20 in group B. A underwent UAE with the intermittent injection at
super-low pressure, while B underwent routine UAE. Pain was assessed according to
WHO analgesic ladder. Meanwhile, all were scored with the visual analogue scale
(VAS). RESULTS: The numbers of first, second and third step analgesic user in
Group A were 21, 18 and 6, respectively, with 2 non-analgesic users, while in
Group B were 4, 6 and 10, respectively without non-analgesic user (chi-square =
7.043, P = 0.008). VAS showed good pain control in 23 cases, satisfactory in 18
and poor in 6 in Group A, while in Group B, were 4, 8 and 8, respectively (chi
square = 7.329, P = 0.007). Mean follow-up was 16.5 months (range, 6-32 months).
The abnormal menstruation was improved and the ultrasound examination 6 months
later demonstrated a significant decrease in the diameter of myoma (from 6.65 +/-
2.40 cm to 5.22 +/- 1.86 cm, t = 3.186, P = 0.002). CONCLUSION: The application
of intermittent injection with super-low pressure during UAE can decrease and
possibly eliminate post-operative pain. But the procedure time was increased.
PMID- 26550415
TI - Impacts of phosphatase and tensin homology deleted on chromosome ten (PTEN)
inhibiting chitosan scaffold on growth and differentiation of neural stem cells.
AB - OBJECTIVE: The aim of this study was to investigate growth and differentiation of
neural stem cells (NSCs) on the phosphatase and tensin homology deleted on
chromosome ten (PTEN)-inhibitor-adsorbed chitosan scaffold. METHODS: NSCs were
divide into the chitosan group and the control groups, and performed CCK-8 test
on 1(st), 3(rd) and 7(th) d to compare the proliferation between the 2 groups.
The chitosan scaffold adsorbed PTEN inhibitor bpv (pic), and the empty scaffold
was used as the control for co-culture of NSCs, immunofluorescence staining was
performed on 7(th) d to detect the differentiation of NSCs on the scaffold.
RESULTS: The results of CCK-8 test showed no significant difference in the
absorbance between the 2 groups. Immunofluorescence staining showed that the NSCs
numbers of the bpv scaffold group were more than the empty scaffold group, among
which the anti-glial fibrillary acidic protein (GFAP) positive cells were less
than the empty scaffold group, while the anti-beta-Tubulin III positive cells
were more than the empty scaffold group, the two groups both showed rare anti
receptor-interacting protein (RIP) positive cells. CONCLUSIONS: Chitosan scaffold
exhibited good compatibility to NSCs, the PTEN-inhibitor-adsorbed chitosan
scaffold could promote the migration of NSCs towards the scaffold and their
differentiation towards neurons.
PMID- 26550416
TI - Lycopene attenuates early brain injury and inflammation following subarachnoid
hemorrhage in rats.
AB - Early brain injury (EBI), following subarachnoid hemorrhage (SAH), includes blood
brain barrier (BBB) disruption and consequent edema formation. This study aims to
evaluate the effect of lycopene on early brain injury and inflammation in SAH.
Neurological deficits, brain water content and Evans blue dye extravasation were
evaluated after the treatment with lycopene. Besides neuronal apoptosis,some
inflammatory cytokines were also detected. The results suggested that
administration of lycopene following SAH significantly ameliorated EBI, including
brain edema, blood-brain barrier (BBB) impairment, cortical apoptosis, and
neurological deficits. In addition, it also ameliorated inflammation triggered by
SAH. In conclusion, post-SAH lycopene administration may attenuate EBI in SAH,
possibly through ameliorating neuronal apoptosis, maintaining BBB integrity and
attenuating inflammation.
PMID- 26550417
TI - Expression of Toll-like receptor 4 in ovarian serous adenocarcinoma and
correlation with clinical stage and pathological grade.
AB - Toll-like receptor 4 (TLR4) plays an essential role in adaptive and innate
immunity, and its expression has been described in various tumors. This study
aimed to examine the expression of TLR4 in serous tumors and to evaluate its
correlation to clinicopathological parameters. The expression of TLR4 was
immunohistochemically examined in 63 species of normal ovarian epithelia and 336
species of serous epithelial lesions. Moreover, the association between TLR4
expression and various clinicopathologic features was assessed. The expression
intensity of TLR4 in benign and borderline to malignant ovarian tumours showed a
gradual rising trend. We identified positive correlations between TLR4 expression
levels and both FIGO stage and pathological stage. In serous adenocarcinoma, TLR4
expression levels were significantly associated with chemoresistance. There was
no relationship between the expression of TLR4 and the patient's age or
pretreatment serum CA125 levels. Our data suggest that TLR4 might stimulate
serous ovarian carcinoma initiation and progression. TLR4 expression is
correlated with poor chemoresponse, which has important implications for the
development of new therapeutic strategies for drug-resistant ovarian cancer.
PMID- 26550418
TI - Efficacy and safety evaluation of intra-articular injection of tranexamic acid in
total knee arthroplasty operation with temporarily drainage close.
AB - OBJECTIVE: To investigate the efficacy and safety of tranexamic acid (TXA)
injection during primary total knee arthroplasty (TKA) for reducing postoperative
hemorrhage. METHODS: 100 cases of patients admitted to our hospital and underwent
primary unilateral TKA from January 2012 to December 2014 were enrolled in this
study and they were divided randomly into two groups. For the TXA group, 1 g TXA
was dissolved in 50 ml 0.9% sodium chloride solution and injected after
prosthesis implantation but before cavity close. Conventional drainage clamping
was carried for 4 h and the drainage tube was removed 48 h postoperative. For the
control group, similar measures were taken except for that no TXA was dissolved
in 0.9% sodium chloride solution. Postoperative hemoglobin, blood coagulation
index, total blood loss volume, drainage volume, blood transfusion rate and lower
extremity deep vein thrombosis (DVT) rate in both groups were observed and the
efficacy and safety of this surgical treatment were evaluated. RESULTS: There
were no significant differences in operation time, postoperative platelet and
APPT, D-dimer, lower limb venous thrombosis incidence rate 1 week after operation
between the two groups. Postoperative drainage volume, hemoglobin, total blood
loss and blood transfusion rate in the TXA group were significantly lower than
those of the control group. Ecchymosis of lower extremity peripheral incision and
its surroundings was significantly milder than that of the control group.
CONCLUSION: Intraoperative intra-articular injection of TXA in TKA can
significantly reduce the initial postoperative hemorrhage and blood transfusion
rate at the early stage after operation.
PMID- 26550419
TI - Little association between the interleukin 10-3575T/A polymorphism and cancer
risk: pooled analysis of 15608 cancer cases and 17539 controls.
AB - The aim of the present work was to evaluate the association between the
interleukin 10 (IL-10) -3575T/A (rs1800890) polymorphism and cancer risk. We
performed a met-analysis based on 15 studies, including 15608 cancer cases and
17539 controls. We used odds ratios (ORs) with 95% confidence intervals (CIs) to
assess the strength of the association, and performed sensitivity analyses. In
the stratified analyses by all included studies, no association between IL-10
3575T/A (rs1800890) polymorphism and cancer risk (OR=0.966, 95% CI=0.889-1.05,
P=0.417 for A vs. T; OR=1.035, 95% CI=0.975-1.1, P=0.257 for AA vs. AT+TT;
OR=1.008, 95% CI=0.964-1.054, P=0.723 for AA+AT vs. TT) was observed. In the
stratified analyses by cancer type of lymphoma and non-lymphoma, no association
between them was also detected (Lymphoma: OR=1.021, 95% CI=0.962-1.083, P=0.496
for A vs. T; OR=1.029, 95% CI=0.967-1.095, P=0.363 for AA vs. AT+TT; OR=1.017,
95% CI=0.952-1.086, P=0.626 for AA+AT vs. TT; Non-lymphoma: OR=0.966 95% CI=0.889
1.51, P=0.245 for A vs. T; OR=1.035, 95% CI=0.975-1.1, P=0.287 for AA vs. AT+TT;
OR=1.017, 95% CI=0.948-1.091, P=0.967 for AA+AT vs. TT). The results were the
same by sensitivity analyses. No publication bias was existed in the analysis.
The interleukin 10-3575T/A polymorphism may have no association with cancer risk.
PMID- 26550420
TI - Association of tea consumption and the risk of thyroid cancer: a meta-analysis.
AB - OBJECTIVES: Epidemiological studies evaluating the association of tea consumption
and the risk of thyroid cancer risk have produced inconsistent results. Thus, we
conducted a meta-analysis to assess the relationship between tea consumption and
thyroid cancer risk. METHODS: Pertinent studies were identified by a search in
PubMed and Web of Knowledge. The random effect model was used based to combine
the results. Publication bias was estimated using Egger's regression asymmetry
test. RESULTS: Finally, 11 articles with 14 studies (2 cohort studies and 12 case
control studies) involving 2,955 thyroid cancer cases and 106,447 participants
were included in this meta-analysis. The relative risk (95% confidence interval)
of thyroid cancer for the highest versus the lowest category of tea consumption
was 0.774 (95% CI = 0.619-0.967), and the associations were also significant in
Europe and America, but not in the Asia. No publication bias was found.
CONCLUSIONS: Our analysis indicated that higher tea consumption may have a
protective effect on thyroid cancer, especially in Europe and America.
PMID- 26550421
TI - miR-29a promotes myocardial cell apoptosis induced by high glucose through down
regulating IGF-1.
AB - This study was aimed to investigate the role of miR-29a in myocardial cell
apoptosis induced by high glucose. Myocardial cells were cultured in normal (5.6
mmol/l) or high glucose medium (30 mmol/l). The apoptotic rate of myocardial
cells was evaluated using flow cytometry. The mRNA levels of Bax, Bcl-2, miR-29a,
and IGF-1 were determined using real-time quantitative PCR (RT-qPCR). The level
of IGF-1 in the culture medium was analyzed using enzyme-linked immunosorbent
assay (ELISA). The interaction sites between miR-29a and IGF-1 was analyzed using
the the Targetscan program. The regulatory effect of miR-29a on the expression of
IGF-1 was investigated using dual luciferase reporter system. The results showed
that the expression of miR-29a and the Bax/Bcl-2 ratio in myocardial cells were
significantly increased after the cells were cultured in high glucose medium for
72 h, which was consistent with increased apoptosis of myocardial cells. The
expression of IGF-1 in myocardial cells was significantly decreased after the
cells were cultured in high glucose medium for 72 and 96 h. Targetscan identified
a potential binding site on the 3'-UTR of IGF-1 for miR-29a. We also observed
that miR-29a mimic and miR-29a inhibitor reduced and increased the expression of
IGF-1 in myocardial cells cultured in high glucose medium, respectively. Dual
luciferase reporter analysis showed that miR-29a significantly reduced the
fluorescence intensity of wild-type psichek2-IGF-1-3'UTR-WT but the fluorescence
intensity of mutant psichek2-IGF-1-3'UTR-MT was not significantly affected. In
conclusions, the expression of miR-29a in myocardial cells cultured in high
glucose medium was significantly increased, which down-regulated IGF-1 and
increased myocardial cell apoptosis.
PMID- 26550422
TI - One-stent versus two-stent techniques for distal unprotected left main coronary
artery bifurcation lesions.
AB - OBJECTIVE: To assess the clinical outcomes of percutaneous coronary intervention
(PCI) with single-stent versus double-stents implantation in distal unprotected
left main coronary artery (ULMCA) bifurcation lesions and evaluate their merits
and demerits in this clinical setting. METHODS: 88 patients with distal ULMCA
bifurcation lesions and treated with PCI with single or double stents
implantation (50 in the one-stent group and 38 in the two-stent group) was
included. RESULTS: No significant difference in the number of left main and
multivessel disease, stenosis rate of left main, inner diameter of left main
vessel, and distal bifurcation angle was noted. The procedural success rate was
100%. Single-stent group had significantly lower ostial residual stenosis of left
anterior descending and higher ostial residual stenosis of left circumflex as
compared to double-stent group. During the hospitalization period, no major
adverse cardiovascular events were observed in the two groups. During the follow
up period, restenosis was observed in 1 case in single-stent group and in 2 cases
in double-stent group, respectively. Recurrence of angina and target lesion
revascularization was observed in 6 and 1 case in single-stent group, and 4 and 2
cases in double-stent group, respectively. There was no acute myocardial
infarction, in-stent thrombosis and cardiac death in both of the groups.
CONCLUSIONS: Both stenting strategies were feasible for distal ULMCA bifurcation
lesions with a high operation success rate and safety. Single-stent technique had
lower ostial residual stenosis of left anterior descending whereas double-stents
technique had lower ostial residual stenosis of left circumflex.
PMID- 26550423
TI - Comparison of two kinds of cutaneous ureterostomy using in radical cystectomy.
AB - OBJECTIVE: To investigate the merits and 10 year follow-up results of two kinds
of cutaneous ureterostomy operation in patients with the radical cystectomy.
METHODS: We retrospective analyzed the information of patients underwent radical
cystectomy in the past 10 years, comparing and analyzing the consequence of
application value, early and long-term follow-up results using two kinds of
cutaneous ureterostomy in patients with radical cystectomy. RESULTS: Unilateral
ureteral cutaneous ureterostomy didn't increase patients' early and long-term
complications, and improved the patient's life satisfaction. CONCLUSION: The
unilateral cutaneous ureterostomy didn't increase postoperative complications in
patients, while improving the patient's life satisfaction. Unilateral ureteral
cutaneous ureterostomy is an important complement to urinary diversion after
radical cystectomy and the best choice for cutaneous ureterostomy.
PMID- 26550424
TI - Clinical comparison of percutaneous coronary intervention with domestic drug
eluting stents versus off pump coronary artery bypass grafting in unprotected
left main coronary artery disease.
AB - OBJECTIVE: The aim of our study was to compare the clinical outcomes of
percutaneous coronary intervention (PCI) with domestic drug-eluting stents (DES)
and off pump coronary artery bypass grafting (CABG) for the treatment of
unprotected left main coronary artery (ULMCA) disease. METHODS: A total of 227
patients with ULMCA disease and underwent revascularization was included. One
hundred and six patients were treated with PCI with domestic DES implantation and
121 patients with off pump CABG. Clinical outcomes with respect to the major
adverse cardiovascular and cerebrovascular events (MACCE) including death any
cause, non-fatal myocardial infarction (MI), stroke, and target vessel
revascularization (TVR) during hospitalization and at 12-month follow-up were
recorded. RESULTS: There was no significant difference between the domestic DES
and off pump CABG groups in the risk of death, non-fatal MI, stroke, and TVR
during hospitalization and at 12-month follow-up. Overall in-hospital MACCE in
PCI versus CABG was 0.94% versus 5.78% (P<0.05). The overall MACCE at 12-month
follow up in PCI versus CABG was in 3.77% versus 3.31% (P>0.05). CONCLUSIONS:
Domestic DES is feasible and safety in the treatment of ULMCA lesions. When
compared with off-pump CABG, domestic DES achieved similar completeness of
revascularization, similar in-hospital and 12-month follow-up outcomes. A longer
follow-up is needed.
PMID- 26550425
TI - Correlation between GDF 15 gene polymorphism and the collateral circulation in
acute non-ST segment elevated myocardial infarction.
AB - OBJECTIVE: To investigate the correlation between growth differentiation factor
15 (GDF 15) + 157 A/T polymorphism and the formation of collateral circulation in
acute non-ST segment elevated myocardial infarction in Han population of Shandong
province. METHOD: The medical records of 200 cases of patients undergoing
selective coronary angiography were analyzed, and the arterial blood specimens of
included patients were collected before coronary angiography. Based on the
results of coronary angiography, patients were divided into acute myocardial
infarction (AMI) group and normal control group; AMI group was divided into
collateral group and non-collateral group by Rentrop's grading method; polymerase
chain reaction-restriction fragment length polymorphism (PCR-RFLP) and DNA
sequencing methods were used to analyze the GDF 15 + 157 A/T polymorphism in the
two groups. RESULTS: There were statistically significant differences in GDF 15 +
157 A/T AA and AT distribution between AMI group and the control group (P =
0.002); and there was statistically significant difference in allele frequencies
between the two groups (P = 0.006); for AMI group, there were statistically
significant differences in GDFAA and AT genotype distribution between patients
with and without collateral (P = 0.014), and there was statistically significant
difference in allele frequencies between the two (P = 0.025). CONCLUSION: There
was correlation between GDF 15 + 157 A/T polymorphism and the formation of
collateral circulation in patients with non-ST-segment elevated myocardial
infarction.
PMID- 26550426
TI - Preparation and antitumor effects of glaucocalyxin A-gamma-cyclodextrin
clathrate.
AB - OBJECTIVE: To improve the water solubility of glaucocalyxin A (GLA) by the
preparation of glaucocalyxin A gamma-cyclodextrin clathrate (GLA-gamma-CD) and to
investigate the inhibitory effect of GLA-gamma-CD on tumor growth in S180 cell
xenografts. MATERIALS AND METHODS: GLA-gamma-CD, gamma-cyclodextrin (gamma-CD)
and GLA were combined at a mass ratio of 1:1, dissolved in 60 degrees C water by
stirring. GLA completely entrapped by the gamma-CD was verified by differential
thermal analysis, the GLA content was determined. Phase solubility, solubility,
and in vitro dissolution rate experiments were performed. The S180 xenograft
mouse model was used to observe the tumor inhibitory effects of GLA-gamma-CD and
GLA, and the TUNEL assay was used to detect differences in their rates of tumor
cell apoptosis induction. RESULTS: After combination with gamma-CD, the
solubility of GLA-gamma-CD was 21.78-fold greater than that of GLA. The in vitro
dissolution rate of GLA-gamma-CD was significantly greater than that of GLA, and
reached more than 90% in 20 min. Furthermore, GLA-gamma-CD was more effective
than GLA as an inhibitor of S180 tumor cells; the inhibitory rate of the high
dose group reached 57.26%, which was 54.11% greater than the inhibitory rate of
the GLA group at the same dose. In addition, GLA-gamma-CD induced tumor cell
apoptosis more effectively than did GLA. CONCLUSION: The water solubility of GLA
significantly increased in combination with gamma-CD resulting in the production
of GLA-gamma-CD. Furthermore, GLA-gamma-CD was more effective than GLA as an
inducer of S180 tumor cell apoptosis and an inhibitor of tumor growth.
PMID- 26550428
TI - Effect of RhoA gene silencing on proliferation and migration of gastric MGC-803
cells.
AB - In this study, the expression of silencing RhoA gene in gastric MGC-803 Cells was
investigated, in order to discuss the effect of RhoA gene on cell proliferation,
cell cycles and tumor migration. SiRNA sequence of RhoA gene was designed and
synthesized; MGC-803 cells were transfected by Lipofectamine(TM2000). The
expression of RhoA gene in mRNA and protein after interference was detected by RT
PCR and Western blot; flow cytometry was used to detect the cell cycle; cell
proliferation was detected by CCK-8 assay and cell migration was detected by
scratch healing assay. RhoA expression in mRNA and protein of the experimental
group was significantly lower than that of the control group and blank group, and
the difference was statistically significant (P < 0.05). The growth rate
significantly slowed down in experimental group; the cell cycle was arrested in
the G0/G1 phase and the number of cells in S-phase reduced; there was a
statistically significant difference (P < 0.05). Scratch healing assay showed
that cell migration of the experimental group was significantly decreased, with a
statistically significant difference (P < 0.05). Specific interference on RhoA
gene expression could inhibit the proliferation and migration of MGC-803 cells;
therefore, siRNA sequences of RhoA gene may be an effective target for the
treatment of gastric cancer.
PMID- 26550427
TI - Efficacy of rutin in inhibiting neuronal apoptosis and cognitive disturbances in
sevoflurane or propofol exposed neonatal mice.
AB - Sevoflurane and propofol are widely used in pediatric anesthesia. Neurotoxicity
of sevoflurane and propofol in developing brain has been reported and these
effects raise concerns on the usage of the drugs. We investigated the influence
of rutin, a flavonoid on the neurodegenerative effects of sevoflurane and
propofol and on memory and cognition in neonatal rodent model. Separate groups of
neonatal mice (C57BL/6) were administered with rutin at 25 or 50 mg/kg body
weight (b.wt) from post natal day 2 (P1) to P21. P7 mice were exposed to 2.9%
sevoflurane and/or propofol (150 mg/kg b.wt). Neuroapoptosis was assessed by
measuring activated caspase-3 and by Fluoro-Jade C staining. Plasma S100beta
levels were detected by ELISA. Morris water maze test was performed to test
learning and memory impairments in the animals. General behaviour of the mice was
also assessed. Anesthesia exposure caused severe neuroapoptosis and also raised
the levels of plasma S100beta. Neuroapoptosis, memory and cognitive deficits
observed following anesthetics were comparatively more profound in mice on
exposure to combined drug (sevoflurane and propofol) than in those exposed to
either of the anesthetics. Rutin at both the doses was effective in reducing the
apoptotic cell counts and enhanced the memory and cognitive abilities. Rutin
supplementation offered significant protection against anesthetic induced
neurodegeneration and learning and memory disturbances.
PMID- 26550429
TI - Diagnosis efficiency for pulmonary embolism using magnetic resonance imaging
method: a meta-analysis.
AB - PE (Pulmonary embolism, PE) is a common disease, usually caused by blockage of
pulmonary artery and its branches due to exogenous or endogenous embolic
obstruction. PE always be misdiagnosed in clinical. The aim of this study is to
calculate the sensitivity and specificity of magnetic resonance imaging (MRI) in
assessing the resectability of PE. In this study, a meta-analysis of the reported
sensitivity and specificity of each study with 95% confidence intervals (CI) was
performed. Five studies were included in the meta-analysis. The results indicated
that the quality assessment scores ranged from 11 to 13, with a mean study
quality score of 12. The sensitivity and specificity values including 95% CI at
the patient level were calculated. The sensitivities ranged from 78% to 100%, and
the specificity ranged from 99% to 100%. The pooled sensitivity value including
95% CI was 0.83 (0.78-0.88), and with inconsistency (I (2)) of 62.8%. The pooled
specificity value including 95% CI was 0.99 (0.98-1.00), with inconsistency
(I(2)) of 0.0%. Pooled positive likelihood ratio (PLR) (95% CI) was 70.22 (29.04
169.76), and the pooled negative likelihood ratio (NLR) (95% CI) was 0.19 (0.14
0.25). The overall diagnostic odds ratio (DOR) (95% CI) was 448.98 (163.47
1233.18). The summary receiver operating characteristic (SROC) data illustrated
that the area under the curve (AUC) was 0.9852. In conclusion, the MRI method may
be acts as a potential and assistant method for the PE diagnosis.
PMID- 26550430
TI - Calcium intake and hip fracture risk: a meta-analysis of prospective cohort
studies.
AB - It has been suggested that the amount of calcium intake may influence hip
fracture incidence. However, the results of the researches in this regard are
inconsistent. We performed this meta-analysis to estimate the association between
calcium intake and hip fracture risk. Prospective cohort studies on calcium
intake and hip fracture risk were identified by searching databases from the
period 1960 to 2014. Results from individual studies were synthetically combined
using STATA 11 software. The results indicated that a total of 8 prospective
cohort studies were included in our meta-analysis, involving 2,435 cases and
267,759 participants. The combined relative risk (RR) of hip fracture for highest
compared with lowest amount calcium intake was 0.97 (95% confidence interval
[CI]: 0.89-1.07). Little evidence of publication bias was found. In conclusion,
this meta-analysis provides evidence of no association between calcium intake and
hip fracture risk. However, this finding is based on only a limited number of
included studies.
PMID- 26550431
TI - Plumbagin shows anticancer activity in human osteosarcoma (MG-63) cells via the
inhibition of S-Phase checkpoints and down-regulation of c-myc.
AB - OBJECTIVE: Plumbagin, a naphthoquinone constituent of Plumbago zeylanica L.
(Plumbaginaceae), has been extensively studied for its pharmacological activities
and reported to show a good anti-cancer activity in different human cancer cell
lines. It is known to exhibit proapoptotic, antiangiogenic and antimetastatic
effects in cancer cells. Plumbagin is also known to inhibit NF-kappaB, JNK (Hsu),
PKCepsilon, and STAT-3. However, the anti-proliferatory activity and their core
molecular mechanisms have been poorly determined. METHODS: Human osteosarcoma (MG
63) cells were exposed to plumbagin and the anti-proliferative activity was
evaluated by MTT assay. The mechanism of action for the growth inhibitory
activity of plumbagin on MG-63 cells was evaluated using flow cytometry for cell
cycle distribution, and western blot for assessment of accumulation and
phosphorylation of potential target proteins. Furthermore, morphology of MG-63
cells was assessed after treatment with Plumbagin. RESULTS: Plumbagin has
significantly induced growth inhibition against osteosarcoma MG-63 cells,
primarily by S-phase cell cycle arrest which is confirmed by the down regulation
of cyclin A and CDK2 protein levels determined by western blot analysis. It was
also found that plumbagin has triggered the DNA damage in MG-63 cells,
subsequently initiating the arrest in S-phase, which is evident by the up
regulation of phosphorylated p53 and histone. Furthermore, plumbagin resulted in
the down-regulation of c-myc protein expression in the MG-63 cells. CONCLUSION:
Plumbagin has triggered DNA damage and had induced S-phase arrest in MG-63 cells,
suggesting it to be a potential compound in treatment against malignant human
osteosarcoma.
PMID- 26550432
TI - Conchal compression: is it a new syndrome?
AB - OBJECTIVES/HYPOTHESIS: To describe the diagnostic criteria and treatments of
concha compression syndrome (CCS). PATIENTS AND METHODS: Patients who reported at
least 3 times rhinosinusitis attacks per year were considered in this study. All
patients met the diagnosis criteria of rhinosinusitis based on clinical history,
showed a nasal septal spur compressing concha on their endoscopic examination and
had no findings of rhinosinusitis on their paranasal sinus CT scans but showed
concha ondularis. These patients were recognized as suffering from CCS and
consequently were surgically treated. RESULTS: 85 patients diagnosed with CCS
were included in this study. 25 of the patients were classified as middle, 53 as
inferior and 7 as both middle and inferior CCS. Septal spur removal was performed
on 16 of the patients whereas the remaining 69 patients received spur removal
with septoplasty. After surgery, most of patients' symptoms improved clinically.
CONCLUSION: The importance and the necessity of further investigations into this
newly-defined syndrome in the differential diagnosis of rhino-neurogenic symptoms
is made clear by this study.
PMID- 26550433
TI - Exogenous hTERT gene transfected endothelial progenitor cells from bone marrow
promoted angiogenesis in ischemic myocardium of rats.
AB - OBJECTIVE: To explore the biological behavior and the revascularizative ability
of endothelial progenitor cells (EPCs) transfected with human telomerase reverse
transcriptase (hTERT) gene. METHODS: EPCs were isolated from mononuclear cells in
bone marrow by using the method of density gradient centrifugation, then cultured
with differential velocity adherent method, EPCs were transfected by recombinant
plasmid carrying GFP report gene EGFP-hTERT. The EPCs secretion and proliferation
ability were detected before and after transfection. The expression of EPCs mRNA
were detected by RT-PCR before and after transfection. The new capillaries of
infarct area were observed. RESULTS: After transgenesis, the proliferation of
EPCs were increased, and the secretion of NO, LDH, iNOS by EPCs were
significantly increased compared to the non-transgenesis group. After
transplanted the transfected EPCs into the ischemic myocardial of rats,
revascularization were increased obviously. CONCLUSION: EPCs maintained the
original biological characteristics after transfecting exogenous hTER gene, the
proliferation and survival rate were up-regulated significantly, and the
revascularization ability of EPCs were significantly strengthen.
PMID- 26550434
TI - Successful single-lung ventilation using a bronchial occluder for repair a large
tracheoesophageal fistula: a case report.
AB - A 25-year-old girl was found a large tracheoesophageal fistula (TEF) 20 cm away
from the incisors by gastroscope. It was a consequence of prolong intubation
after the head operation because of right temporal lobe cerebral hemorrhage
broken into ventricles. The patient was tracheotomy and retained spontaneous
breathing. Operation was planned to via cervical and thoracic abdominal
esophageal transection plus cervical esophagogastrostomy to repair the fistula
under single lung ventilation under general anesthesia. Here we report a
successful case using an endotracheal tube (EET) combine with a bronchial
occluder for single ventilation to repair a large TEF.
PMID- 26550435
TI - Treating heterogeneous emphysema by lung volume reduction surgery using one-way
valve stent implantation.
AB - PURPOSE: To retrospectively analyze the efficacy and complications of lung volume
reduction surgery (LVRS) using one-way valve stent implantation in three
heterogeneous emphysema cases. METHODS: We performed bronchoscopic, lung CT,
pulmonary function (PF) and 6-minute walk distance (6MWD) tests respectively
before operation and 1 month, and 3 and 6 months after operation to estimate the
surgical effects in the 3 cases by comparing the test results. RESULTS: After
operation, all the three cases had worsened symptoms of cough and expectoration;
two of them had hemoptysis, EVB-related infections and acute exacerbation of
chronic obstructive pulmonary disease (AECOPD), one of them had airway distortion
and respiratory failure and still one of them had granulation hyperplasia.
Postoperative reexamination results revealed that one patient had obviously
increased forced expiratory volume in 1 second (FEV1), forced vital capacity
(FVC) and 6-minute walk distance (6MWD) and right upper pulmonary atelectasis,
but no apparent improvements in FEV1, FVC, and 6MWD were found in the other two
patients. CONCLUSIONS: The patient with postoperative pulmonary atelectasis was
found with significantly improved PF at the first month after surgery, but the
PFs thereof had a drop at the sixth month after surgery due to EVB-related
infections. No obvious improvements in the PFs of all the three patients were
observed in the reexamination performed six months after surgery. The long-term
effects of LVRS with one-way valve stent implantation are uncertain, and further
studies should be carried out in the future.
PMID- 26550436
TI - Notch-1 promotes breast cancer cells proliferation by regulating LncRNA GAS5.
AB - BACKGROUND: Notch signaling is indicated as novel therapeutic targets to prevent
recurrence of breast cancer. LncRNAs were identified as downstream target of
Notch pathway. However, the exact mechanisms involved in Notch signaling, lncRNAs
and breast cancer remain to be explained. OBJECTIVE: This original research aimed
to determine the prognostic implications of Notch-1 for breast cancer, and
explain mechanisms involved in regulation of lnRNA GAS5 by Notch-1, and identify
the function of this mechanism on breast cancer. METHOD: Thirty breast cancer
patients were included from The First Affiliated Hospital of Anhui Medical
University (China) since January 2006 in this study. The mRNA level by RT-PCR and
protein level of Notch-1 by western blot in tumor tissues and adjacent normal
tissues were evaluated and 5-year survival analysis was applied to examine the
significance of Notch-1. The levels of ten reported lncRNAs were determined by RT
PCR, and subsequently linear analysis was applied to analyze the relationship
between these four unique lncRNAs and protein level of Notch-1, which identified
the most relevant lncRNA GAS5 with Notch-1 in breast cancer. Subsequently, Notch1
siRNA was applied to influence the expression of Notch-1 in T47D, then the level
of RSA5 was measured by RT-PCR, and CCK-8 assay was applied to measure the
proliferation of T47D cells. RESULTS: High level of Notch-1 provided a poor
prognosis in breast cancer. Interference of Notch-1 significantly suppressed
proliferation of T47D cell (P < 0.05), and significantly increased the level of
GAS5. CONCLUSION: Notch-1 promotes breast cancer cells proliferation by
regulating LncRNA GAS5.
PMID- 26550437
TI - Retaining of PTCA guide wire in the left ventricular lead and subsequent
application of epicardial electrode when CRT-D implantation in a patient with
severe heart failure and persistent left superior vena cava: a case report.
AB - OBJECTIVE: One patient with severe heart failure (LV 92 mm, EF 28%) was treated
by cardiac resynchronization therapy (CRT). METHOD: During the operation, it was
found that double superior vena cava coexisted, and selective coronary venography
cannot clearly show every branch. It was difficult to push ventriculus sinister
electrode to sideward vein, so the electrode was released to far end of frontal
septal branch along great cardiac vein. RESULT: However, because of insufficient
braced force of ventriculus sinister electrode, 0.014 PTCA guide wire was
detained in the electrode. 2 years later, two spots of PTCA guide wire retained
in ventriculus sinister electrode broke in atrium dextrum, so the implantation of
epicardial electrode was conducted. CONCLUSION: After the operation, heart
failure was relieved. After 43 months, the battery of pacemaker depleted, so the
pacemaker was changed. The effect since follow-up visit was good, LV decreased to
86 mm, EF increased to 32%, and SPWMD time limit shortened from 147 ms to 45 ms.
The therapeutic experience of this patient indicated that the effect of detaining
PTCA guide wire to enhance braced force in implantation of ventriculus sinister
is unreliable and inappropriate to be advocated.
PMID- 26550438
TI - Predictive value of microRNAs as novel biomarkers in detection of lymphoma.
AB - MicroRNAs (miRNAs) have attracted many attentions in lymphoma diagnostic
research. The inconsistence of diagnostic performance in these existed
literatures leading us to conduct this meta-analysis. In order to have a
scientific and reliable study, all related articles were screened from Medline,
Embase, CNKI and other databases. The sensitivity and specificity of each
involved research were used to plot the summary receiver operator characteristic
(SROC) curve and calculate the area under the curve (AUC). The QUADAS-2 tool was
applied to estimate the quality of included studies. In addition, Deeks' funnel
plot asymmetry test was performed to estimate publication bias. Overall, 14
studies from 6 articles were included to evaluate the whole test performance. The
overall pooled results were as follows: sensitivity was 0.91 (95% CI: 0.83-0.95),
specificity was 0.84 (95% CI: 0.75-0.90), the AUC was 0.93 (95% CI: 0.91-0.95),
positive likelihood ratio-PLR was 5.5 (95% CI: 3.5-8.8), negative likelihood
ratio-NLR was 0.11 (95% CI: 0.06-0.21), and diagnostic odds ratio-DOR was 50 (95%
CI: 19-128). In summary, results from meta-analysis showed that miRNAs analysis
might significantly increase the diagnostic accuracy of lymphoma. Further massive
prospective studies still needed to validate our conclusion before clinical
application.
PMID- 26550439
TI - Efficiency of high-frequency oscillatory ventilation combined with pulmonary
surfactant in the treatment of neonatal meconium aspiration syndrome.
AB - The aim of this study was to investigate the clinical efficiency of the use high
frequency oscillatory ventilation (HFOV) combined with pulmonary surfactant (PS)
for the treatment of neonatal meconium aspiration syndrome (MAS). Clinical data
of 53 MAS patients admitted to neonatal intensive care unit (NICU) was collected
and the patients were divided into 3 groups according to the different treatment
approach: group 1 conventional mechanical ventilation (CMV); group 2 HFOV; group
3 HFOV + PS. By monitoring the changes in oxygenation function indicators such as
inhaled oxygen concentration (FiO2), oxygenation index (OI) and arterial oxygen
tension/alveolar arterial oxygen tension (a/ApO2) of three groups after 2, 12,
24, 48 h of treatment, the usage of the ventilator, duration of hospitalization,
changes in clinical manifestations and outcomes of three groups were analyzed. As
compared to group 1, the difference in all the oxygenation function indicators
after treatment in group 2 and group 3 was statistically significant at different
points in time (P < 0.05). However, the timing and extent of the change in the
indicators in group 3 were more significant than in group 2; as compared to group
1, the ventilation time, duration of the oxygen therapy and hospitalization time
of group 2 and group 3 were significantly shorter and the difference was
statistically significant (P < 0.05). Early use of HFOV combined with PS to treat
MAS has significant therapeutic effect, especially for the treatment of severe
MAS where it can be used as a safer and more effective rescue measure.
PMID- 26550440
TI - Ginsenoside Rd mitigates myocardial ischemia-reperfusion injury via Nrf2/HO-1
signaling pathway.
AB - Ginsenoside Rd (GsRd) reportedly protects the heart against ischemia-reperfusion
(I/R) injury. Nrf2/HO-1 signaling plays a key role in attenuating oxidative
stress. However, it remains unclear whether GsRd protects against myocardial I/R
injury via Nrf2/HO-1 signaling. This study aimed to investigate the role of
Nrf2/HO-1 signaling in the cardioprotective effect of GsRd. Rats received 30 min
ischemia followed by 2 h reperfusion. Cardiac function, infarct size and serum
CK, LDH, cTnI levels were detected. The expression of Nrf2 and HO-1 was detected
by western blot. The results suggested that GsRd attenuated myocardial I/R injury
as evidenced by improved cardiac function, decreased infarct size and decreased
levels of serum CK, LDH and cTnI. In addition, GsRd administration enhanced the
expression of Nrf2 and HO-1. In conclusion, the present study shows that GsRd
protects against myocardial I/R injury via Nrf2/HO-1 signaling.
PMID- 26550441
TI - Early acetabular cartilage degeneration in a rabbit model of developmental
dysplasia of the hip.
AB - BACKGROUND: Mild developmental dysplasia of hip (DDH) causes high morbidity of
osteoarthritis (OA) on adult. It is thought that change of collagen and
proteoglycans in cartilage may be the direct reasons for osteoarthritis.
OBJECTIVE: To detect the changes of the expressions of type II collagen of
acetabular cartilage in early DDH and to investigate the relevance between type
II collagen and the degeneration mechanism of the acetabular cartilage. METHODS:
The rabbit model of DDH was successfully established by applying the method of
knee extending and fixing with cylinder cast in which left lower extremity as
experimental group and right one as control group, checking with X-ray after 5
weeks. The stains of H&E and toluidine blue were applied on the samples of
acetabular cartilage to observe the morphological changes of chondrocytes and
extracellular matrix (ECM). The immunohistochemical staining and Western-blot
were employed to respectively qualify and quantitate the expression of type II
collagen. RESULTS: Pathohistology observing indicated the signs of retrogressive
changes of acetabular cartilage in experimental group. Also, the positive stained
cells in type II collagen in experimental group was higher based on
immunohistochemiscal staining. The quantitative amounts of type II collagen by
Western-blot in experimental group was higher significant difference existed
between two groups (t = 2.18, P < 0.05). CONCLUSIONS: The expression of type II
collagen is correlated to a degeneration of acetabular cartilage and increase
obviously in early DDH.
PMID- 26550442
TI - Cardioprotective effect of berberine against myocardial ischemia/reperfusion
injury via attenuating mitochondrial dysfunction and apoptosis.
AB - Berberine, an isoquinoline alkaloid originally isolated from the Chinese herb
Coptischinensis, has been shown to display a wide range of pharmacological
effects. The present study aims to investigate the effect of berberine on
myocardial ischemia/reperfusion. Sixty male Sprague-Dawley rats were randomized
equally into three groups: sham group, IR group, IR + berberine group. Rats were
treated with berberine for 4 weeks and then I/R was performed. Myocardial
infarction area was measured. Serum levels of creatine kinase isoenzyme (CK-MB),
lactate dehydrogenase (LDH) and cardiac troponin I (cTnI) were assayed.
Myocardial apoptosis was detected by terminal dexynucleotidyltransferase (TdT)
mediated dUTP nick end labeling (TUNEL). Mitochondrial function, including MMP
and complex I activity, was assayed. Besides, the expression of Bcl-2, Bax and
cytochrome c were detected by Western blot. Our results suggested that berberine
decreased myocardial infarction area, and decreased serum levels of CK-MB, LDH
and cTnI. Berberine attenuates myocardial apoptosis and improved mitochondrial
dysfunction. Berberine up-regulates the expression of Bcl-2 and mitochondrial
cytochrome c and down-regulates the expression of Bax and cytosolic cytochrome c.
In conclusion, berberine protects the heart from ischemia/reperfusion injury via
attenuating mitochondrial dysfunction and myocardial apoptosis.
PMID- 26550443
TI - Clinical observations of supraventricular arrhythmias in patients with brugada
syndrome.
AB - OBJECTIVE: To study various types of supraventricular arrhythmias in patients
with Brugada Syndrome. METHODS: Forty six patients with ECG of spontaneous type
Brugada and with ventricular and/or supraventricular tachyarrhythmia, without
structural heart diseases which were excluded by echocardiography, underwent 24 h
Holter recording, electrophysiological study and/or radiofrequency ablation.
RESULTS: There were thirty-nine male and seven female (mean age 37.44 years)
among total forty-six patients. Twenty one patients had family histories of
tachycardia, twentythree patients experienced episodes of syncope, and three
patients were resuscitated from cardiac arrest. One patient had ventricular
fibrillation and third degree atrioventricular block, eleven patients had
polymorphic ventricular tachycardia and five patients had monomorphic ventricular
tachycardia. Fourteen patients had atrial tachyarrhythmia, paroxysmal
supraventricular tachycardia was found in five patients including four Wolf
Parkinson-White syndrome, two patients hadventricular tachycardia and third
degree atrioventricular block, one of them had atrial fibrillation, two patients
had both supraventricular tachycardia and ventricular tachycardia, three patients
had both atrial tachyarrhythmia and supraventricular tachycardia, two third
degree atrioventricular block patients had atrial flutter, one patienthad both
atrial tachyrhythmia and ventricular tachycardia. Radiofrequency blation was
performed in thirty-nine patients and succeed in thirty-two, four patients were
implanted with pacemakers, and four patients had implantable cardioverter
defibrillators. CONCLUSION: In addition to ventricular tachycardia and
ventricular fibrillation, patients with Brugada syndrome exhibit various
supraventricular tachyarrhythmia and third degree atrioventricular block. In
patients with Brugada syndrome, the dysfunction of the cardiac ion channel, which
related to mutation of cardiac sodium channelgene, is not limited in His Purkinje
system and ventricular myocardium, but also in the atrium and atrioventricular
node, which may serves as a cause of dispersion of repolarization and phase 2
reentry leading to various arrhythmias.
PMID- 26550444
TI - Meta-analysis of chemotherapy and dendritic cells with cytokine-induced killer
cells in the treatment of non-small-cell lung cancer.
AB - BACKGROUND: Non-small-cell lung cancer (NSCLC) is one of the most fatal cancers,
which leads to large number of people dead. Followed by surgery, chemotherapy and
radiotherapy, chemotherapy combined dendritic cells with cytokine-induced killer
cells (DC-CIK) immunotherapy has been applied in NSCLC for some time, but little
consistent beneficial results are provided. So, it is essential to weigh the pros
and cons of the new therapeutic method. METHODS: We searched the randomized
controlled trials of NSCLC mainly by PubMed database. Terms combination of
"cytokine-induced killer cells", "tumor" and "cancer" were used. After evaluating
the heterogeneity of selected studies, then we performed the meta-analysis.
Pooled risk ratios (RRs) were estimated and 95% confidence intervals (CIs) were
calculated using a fixed-effect model. Sensitivity analysis was also performed.
RESULTS: Six eligible trials were enrolled. Efficiency and safety of chemotherapy
followed by DC-CIK immunotherapy (experimental group) and chemotherapy alone
(control group) were compared. 1-year overall survival (OS) (P=0.02) and
progression free survival (PFS) (P=0.005) in the experimental group were
significantly increased compared with the control. Disease control rate (DCR)
(P=0.006) rose significantly in experimental group. However, no significant
differences between the two groups were observed in 2-year OS (P=0.21), 2-year
PFS (P=0.10), overall response rate (ORR) (P=0.76) and partial response (PR)
(P=0.22). Temporary fever, anemia, leukopenia and nausea were the four major
adverse events (AEs) treated by chemotherapy. The incidence of anemia, leukopenia
and nausea in the experimental group was obviously lower than the control group.
Temporary fever rate was higher in experimental group than that in the control,
but could be alleviated by taking sufficient rest. CONCLUSIONS: Chemotherapy
combined with DC-CIK immunotherapy showed superiority in DCR, 1-year OS and PFS,
and no more AEs appeared, however, there was no significant improvement in ORR,
PR, 2-year OS and PFS. As a whole, the combination therapy is safer but modest in
efficacy for advanced NSCLC patients.
PMID- 26550445
TI - CYP1B1 gene mutations with incomplete penetrance in a Chinese pedigree with
primary congenital glaucoma: a case report and review of literatures.
AB - To investigate the cytochrome P4501B1 (CYP1B1) mutations in a three-generation
Chinese Han family with PCG, the 2 and 3 coding exons of CYP1B1 gene were
amplified by PCR, and were directly sequenced using Sanger bidirectional
sequencing reactions. The mutation c.517 G>A p.E173K was detected in all the
affected individuals (which showed homozygous AA genotype) and not in all the
unaffected ones except one individual. The mutation c.517 G>A p.E173K is
associated with disease causing in this pedigree. And the possible genetic model
is recessive inheritance. One apparently unaffected individual had mutations and
haplotypes identical to her affected sibs suggested incomplete penetrance in this
pedigree.
PMID- 26550446
TI - Research on cultivating medical students' self-learning ability using teaching
system integrated with learning analysis technology.
AB - Along with the advancement of information technology and the era of big data
education, using learning process data to provide strategic decision-making in
cultivating and improving medical students' self-learning ability has become a
trend in educational research. Educator Abuwen Toffler said once, the illiterates
in the future may not be the people not able to read and write, but not capable
to know how to learn. Serving as educational institutions cultivating medical
students' learning ability, colleges and universities should not only instruct
specific professional knowledge and skills, but also develop medical students'
self-learning ability. In this research, we built a teaching system which can
help to restore medical students' self-learning processes and analyze their
learning outcomes and behaviors. To evaluate the effectiveness of the system in
supporting medical students' self-learning, an experiment was conducted in 116
medical students from two grades. The results indicated that problems in self
learning process through this system was consistent with problems raised from
traditional classroom teaching. Moreover, the experimental group (using this
system) acted better than control group (using traditional classroom teaching) to
some extent. Thus, this system can not only help medical students to develop
their self-learning ability, but also enhances the ability of teachers to target
medical students' questions quickly, improving the efficiency of answering
questions in class.
PMID- 26550448
TI - Pterostilbene attenuates inflammation in rat heart subjected to ischemia
reperfusion: role of TLR4/NF-kappaB signaling pathway [Retraction].
AB - [This retracts the article on p. 1737 in vol. 8, PMID: 25932102.].
PMID- 26550447
TI - Acute and subchronic toxicity as well as evaluation of safety pharmacology of
traditional Chinese medicine "Huhezi".
AB - The study was conducted to evaluate the toxicity and safety pharmacology of the
traditional Chinese medicine, "Huhezi" granules. The results of acute toxicity
test showed that the granules' LD50 was more than 5000 mg/kg, which indicated
that the "Huhezi" belonged to actually non-toxic drug. Subchronic toxicity study
showed that non-toxic reaction were detected in high (1000 mg/kg), medium (500
mg/kg) and low dose (250 mg/kg) of "Huhezi" groups by measuring rat body weight,
organ coefficient, blood physiological indexes and blood biochemical indexes.
Pathological examination showed that no tissue lesions were observed in test
organs except liver (mild granular degenerationand reversible vesicular
degeneration), spleen (Langerhans cells infiltrating) and kidney (homogeneous red
staining of renal tubule). Safety pharmacology study found that "Huhezi" had no
effects on the central nervous system, respiratory system and cardiovascular
system. These results suggested that the dose of "Huhezi" at or below 1000 mg/kg
through oral administration is considered safe.
PMID- 26550449
TI - Quantitative functional MRI in a clinical orthotopic model of pancreatic cancer
in immunocompetent Lewis rats.
AB - OBJECTIVE: To demonstrate feasibility of performing quantitative MRI measurements
in an immuno-competent rat model of pancreatic cancer by comparing in vivo
anatomic and quantitative imaging measurements to tumor dissemination
observations and histologic assays at necropsy. Meterials and methods: Rat ductal
pancreatic adenocarcinoma DSL-6A/C1 cell line and Lewis rats were used for these
studies. 10(8) DSL-6A/C1 cells were injected subcutaneously into the right flank
of donor rats. Donor tumors reaching 10 mm were excised, and 1 mm(3) tumor
fragments were implanted within recipient rat pancreas during mini-laparotomy. T1
weighted, T2-weighted, diffusion-weighted, and dynamic contrast-enhanced (DCE)
MRI were performed using a Bruker 7.0T ClinScan. After MRI, all animals underwent
autopsy. Primary tumor size was measured, and dissemination score was used to
assess local invasion and distant metastasis. Primary tumor and all sites of
metastases were harvested and fixed for H&E, Masson's trichrome, and rat anti
CD34 staining. Trichrome slides were scanned and digitized for measurement of
fibrotic tissue areas. Anti-CD34 slides were used for microvessel density (MVD)
measurements. RESULTS: Primary tumors, local invasion, and distant metastases
were confirmed for all rats. No significant differences were found between in
vivo MRI measurements (48.7 +/- 5.3 mm) and ex vivo caliper measurements (43.6 +/
3.6 mm) of primary tumor sizes (p > .05). Spleen, liver, diaphragm, peritoneum,
and abdominal wall metastases were observed on MRI but smaller lung, mediastinum,
omen, and mesentery metastases were only observed at necropsy. Contrast uptake
observed during DCE measurements was significantly greater in both primary and
metastatic tumor tissues compared to skeletal muscle and normal liver tissues.
Both primary and metastatic tumors were hyper-intense in T2-weighted images and
hypo-intense in T1-weighted images, but no differences were found between
quantitative T2 measurements in primary tumors and that in metastases. Similarly,
quantitative ADC measurements were similar for both primary tumor and liver
metastases (1.13 +/- 0.3 * 10(-3) and 1.24 +/- 0.4 * 10(-3) mm(2)/s,
respectively). Histologic fibrosis and MVD measurements were similar in primary
tumors and metastases. CONCLUSIONS: Anatomic and quantitative functional MRI
measurements are feasible in orthotropic DSL rat model and will permit non
invasive monitoring of tumor responses during longitudinal studies intended to
develop new interventional therapies for primary and metastatic disease.
PMID- 26550450
TI - Adhesion: a confounding bias in murine cervical heterotopic heart
transplantation.
AB - Tissue adhesion is a common postsurgical phenomenon among the human population.
This complication also occurs in murine transplant models. In this study, we
investigated the impact of adhesion on murine cervical heterotopic heart
transplantation by using sodium hyaluronate (SH) as an anti-adhesive agent. Our
study revealed that SH administration produced no significant effect on
histological change, TNF-alpha, IFN-gamma, MCP-1, IL-2, IL-6 and IL-10
expression, CD4(+) T, CD8(+) T, or neutrophil and macrophage counts. Our findings
suggest that SH was biocompatible and non-immunogenic. Later, we observed that
adhesion not only affected the survival of the graft without mediating rejection,
but was closely related to the severity of rejection as manifested by larger and
more severe adhesion formation in total-allomismatched and MHC class II
allomismatched murine cardiac allografts. Therefore, we inferred that using the
murine cervical heterotopic heart transplant model may lead to an exaggerated p
value in statistical significance testing which could mislead experimenters in
considering that the results are more significant than the fact. To the best of
our knowledge, this study is the first demonstration that proves that adhesion
was a confounding bias in the murine cervical heterotopic heart transplant model
and highlights the possibilities for improvement in future use.
PMID- 26550451
TI - Silencing c-Kit expression in human DCs suppresses Th2, Th17 response but
enhances Th1 response.
AB - Dendritic cells (DCs) are integral to the differentiation of T helper cells into
T helper type 1 TH1, TH2 and TH17 subsets. RNA interference (RNAi), which causes
the degradation of any RNA in a sequence specific manner, is a
posttranscriptional gene silencing mechanism. Targeting the c-Kit in DCs has been
used as an approach to enhance antitumor immunity. Here, we shwed that
transfection of DCs with siRNA specific for c-Kit gene can significantly knock
down c-Kit. When exposed to TNF-alpha, immature DCs transfected with c-Kit siRNA
can differentiate into mature DCs without reducing viability or IL-12p70
production. The c-Kit siRNA-treated DCs exhibited an increased allostimulatory
capacity in a lymphocyte proliferation assay. Furthermore, c-Kit siRNA
transfected DCs enhanced TH1 responses by increasing IFN-gamma and decreasing IL
4 production, and much stronger cytotoxic activity was observed when DCs were co
transfected with c-Kit siRNA and an endogenous tumor antigen in vitro. Our
findings indicate that silencing the c-Kit gene in DCs with siRNA may offer a
potential approach to enhance antitumor immunotherapy.
PMID- 26550452
TI - MTRR silencing inhibits growth and cisplatin resistance of ovarian carcinoma via
inducing apoptosis and reducing autophagy.
AB - Methionine synthase reductase (MTRR) is involved in the DNA synthesis and
production of S-adenosylmethionine (SAM) and plays an important role in the
carcinogenesis. However, the role of MTRR in the resistance of ovarian cancer
(OC) to chemotherapy has yet to be elucidated. In order to investigate the
clinical significance of MTRR in OC, MTRR expression was reduced by using the RNA
interference technique, and therefore, and the tumor growth and cisplatin
resistance were evaluated in vitro and in vivo. Results showed MTRR expression
increased orderly from normal tissues, benign ovarian tumor to OC tissue. MTRR
over-expression in OC tissue was correlated with pathologic type (P=0.005), grade
(P=0.037), FIGO stage (P=0.001), organ metastasis (P=0.009) and platinum
resistance (P=0.038). MTRR silencing inhibited cell proliferation, cisplatin
resistance and autophagy, and induced apoptosis of OC cells. In addition, MTRR
silencing also affected the caspase expression as well as mTOR signaling pathway.
Further, the tumor volume in MTRR-suppressed SKOV3/DDP mice treated with
cisplatin significantly decreased when compared with controls (P<0.05). In
summary, MTRR expression, which is increased in human OC, is related to the
differentiation and cisplatin resistance of OC cells. MTRR silencing inhibits
cell growth and cisplatin resistance by regulating caspase expression and mTOR
signaling pathway in OC cells. It is suggested that MTRR may be a potential
target for the therapy of OC.
PMID- 26550453
TI - PX-12 inhibits the growth of hepatocelluar carcinoma by inducing S-phase arrest,
ROS-dependent apoptosis and enhances 5-FU cytotoxicity.
AB - BACKGROUND: 1-methylpropyl 2-imidazolyl disulfide (PX-12), a thioredoxin 1 (Trx1)
inhibitor, has been investigated in a number of ancers, but its effectiveness in
the treatment of hepatocellular carcinoma (HCC) has not been reported. PX-12 has
generated considerable interest in its use in a variety of solid tumors, yet most
studies have confined their interests to using PX-12 as a single agent. The aim
of this study is to investigate whether PX-12 inhibits cell growth and has a
synergistic anti-tumor effect in combination with 5-fluorouracil (5-FU) in HCC.
METHODS: Cells were treated with different concentrations of PX-12 and 5-FU. Cell
viability assays, colony formation assay, cell cycle assay, reactive oxygen
species (ROS) assay, apoptosis analysis, western blot assay, immunohistochemistry
and xenograft tumorigenicity assay were performed. RESULTS: Treatment with PX-12
inhibited cell growth, induced S-phase arrest, and increased ROS levels. PX-12
induced apoptosis and inhibition of colony formation were associated with the
generation of ROS, and inhibition of ROS attenuated PX-12-induced apoptosis and
inhibition of colony formation. Treatment with PX-12 increased the expression of
bax and reduced the expression of bcl-2, indicating that PX-12-mediated apoptosis
is mitochondria-dependent. PX-12 also exerted a synergistic effect with 5-FU
tosignificantly suppress tumorigenicity both in vitro and in vivo. Inhibition of
ROS accumulation reduced the synergistic effect of PX-12 and 5-FU. CONCLUSIONS:
PX-12 has anti-tumor activity and a synergistic effect in combination with 5-FU
in HCC. Treatment with PX-12 alone or in combination with 5-FU may have clinical
use in the treatment of HCC and other cancers.
PMID- 26550454
TI - NF-kappaB protects human neuroblastoma cells from nitric oxide-induced apoptosis
through upregulating biglycan.
AB - Excessive nitric oxide (NO) produced in inflammation may result in oxidative
stress, which is closely related to the neurodegenerative diseases and brain
damage. Massive NO production can enhance NF-kappaB activity in various neural
cells, but the function of this activation by NO and the target genes
transactivated by NF-kappaB are still largely unknown. In the present study, our
results showed sodium nitropruside (SNP), a NO donor, triggered apoptotic cell
death and NF-kappaB activation in human neuroblastoma SH-EP1 cells, and
inhibition of NF-kappaB activation by its super endogenous inhibitor, I
kappaBalphaM, sensitized SH-EP1 cells to NO-induced apoptosis. Conversely, NF
kappaB activation induced by insulin-like growth factor (IGF)-1 antagonizes NO
induced apoptotic cell death in SH-EP1 cells. In addition, cDNA microarray
analysis showed biglycan, an extracellular glycoprotein, was up-regulated by NF
kappaB, and recombinant biglycan protein conferred a protective effect on NF
kappaB mediated NO-induced apoptotic cell death in SH-EP1 cells. These findings
suggest biglycan may serve as a potential target in preventing NO-induced
neurodegenerative diseases.
PMID- 26550455
TI - Low molecular weight fucoidan ameliorates diabetic nephropathy via inhibiting
epithelial-mesenchymal transition and fibrotic processes.
AB - Diabetic nephropathy (DN) is one of the most serious microvascular complications
of diabetes and may lead to end-stage renal disease (ESRD) and chronic renal
failure. The aim of this study was to determine whether low-molecular-weight
fucoidan (LMWF) can reduce harmful transforming growth factor-beta (TGF-beta)
mediated renal fibrosis in DN using in vitro and in vivo experimental models. The
experimental results showed that LMWF significantly reversed TGF-beta1-induced
epithelial-mesenchymal transition and dose-dependently inhibited accumulation of
extracellular matrix proteins, including connective tissue growth factor and
fibronectin. It was found that LMWF significantly reduced blood urea nitrogen and
blood creatinine in both type 1 and type 2 diabetic rat models. H&E, PAS and
Masson's trichrome staining of kidney tissue showed LMWF significantly reduced
renal interstitial fibrosis. Treatment with LMWF significantly increased E
cadherin expression and reduced alpha-SMA, CTGF and fibronectin expression in
both type 1 and type 2 diabetic models. LMWF also decreased the phosphorylation
of Akt, ERK1/2, p38 and Smad3 in vitro and in vivo. These data suggest that LMWF
may protect kidney from dysfunction and fibrogenesis by inhibiting TGF-beta
pathway and have the potential benefit to slow down the progression of DN.
PMID- 26550456
TI - miR-128 modulates hepatocellular carcinoma by inhibition of ITGA2 and ITGA5
expression.
AB - Dysregulation of miRNAs is a common feature in human cancers, but this phenomenon
has not been studied extensively in hepatocellular carcinoma (HCC). miR-128 has
been found to be downregulated in cancer. However its role in HCC remains
unclear. miR-128 was underexpressed in HCC tissues and cell lines compared with
their normal controls. Additionally, ITGA2 and ITGA5 were predicted as the target
genes of miR-128. ITGA2 and ITGA5 were inversely correlated with the expression
of miR-128 in HCC cells. Importantly, we demonstrate that the overexpression of
miR-128 significantly inhibits HCC cell metastasis and stem-cell like properties
via ITGA2 and ITGA5. Our results suggest the existence of a novel miR-128-ITGA
pathway and indicate that miR-128 acts as a tumor suppressor during
hepatocellular carcinogenesis. These results may provide a promising alternative
strategy for the therapeutic treatment of HCC.
PMID- 26550457
TI - The altered autophagy mediated by TFEB in animal and cell models of amyotrophic
lateral sclerosis.
AB - Autophagy is an intracellular degradation process that clears away aggregated
proteins or aged and damaged organelles. Abnormalities in autophagy result in
defects in clearance of these misfolded and aggregate proteins, which have been
associated with neurodegenerative disorders. A key neuropathological hallmark of
amyotrophic lateral sclerosis (ALS) that contributes to the progressive loss of
motor neurons is abnormal protein aggregation of mutant Cu/Zn superoxide
dismutase1 (SOD1). TFEB is a recently described gene that regulates autophagy.
Several studies have reported that autophagy is altered in ALS, but little is
known about the role and mechanisms of TFEB-mediated autophagy during the
progression of ALS. In this study, altered expression of TFEB and Beclin-1 were
detected in the spinal cords of ALS transgenic mice at different stages and in an
NSC-34 cell model with the SOD1-G93A mutation using RT-PCR, western blot, and
immunohistochemistry. The majority of cells positive for TFEB and Beclin-1 are
beta-tubulin III-labeled neurons, especially in the anterior horn of the gray
matter. Overexpression of TFEB in NSC-34 cells with the SOD1-G93A mutation
increased the mRNA and protein levels of Beclin-1, accompanied by increased
levels of LC3-II protein. MTS assay revealed that TFEB overexpression increased
proliferation and survival of NSC-34 cells with the SOD1-G93A mutation. Our
findings suggest that TFEB promotes autophagy by enhancing the expression of
Beclin-1. The altered autophagy mediated by TFEB is a key element in the
pathogenesis of ALS, making TFEB a very promising target for the development of
novel drugs and new gene therapeutics for ALS.
PMID- 26550458
TI - The effect of synthetic alpha-tricalcium phosphate on osteogenic differentiation
of rat bone mesenchymal stem cells.
AB - The reconstruction of large bone defects has been the focus in bone tissue
engineering research. By acting as synthetic frameworks for cell growth and
tissue formation, biomaterials can play a critical role in bone tissue
engineering. Among various biomaterials, calcium phosphate based materials
include hydroxyapatite (HA), alpha-tricalcium phosphate (alpha-TCP), and beta
tricalcium phosphate (beta-TCP) are widely used as scaffold materials in bone
tissue engineering. However, little is known about the effect of alpha-TCP alone
on the osteogenic differentiation of the BMSCs. To this end, we synthesized alpha
TCP using a novel co-precipitation method. The synthetic alpha-TCP was then
incubated with rat BMSCs under osteogenic inductive medium culture conditions,
followed by the analysis of the mRNA levels of various osteogenesis-related
genes, including ALP, Rux2, COL-I, and SP7, using a quantitative RT-PCR method.
Following incubation of BMSCs with 20 MUg/ml alpha-TCP, cells reached confluency
after 7 days. Additionally, the MTT analysis showed that alpha-TCP at
concentration of 10-20 MUg/ml had good biocompatibility with BMSCs, showing no
significant inhibition of rat BMSCs proliferation. Furthermore, the synthetic
alpha-TCP (20 MUg/ml), when incubated with rat BMSCs in the osteogenic culture
medium, increased the mRNA levels of various osteogenesis-related genes,
including ALP, Rux2, COL-I, and SP7. Finally, treatment of synthetic alpha-TCP
(20 MUg/ml) potentiated calcium nodule formations after incubation with rat BMSCs
in osteogenic culture medium for 21 days, as compared with non-treated control.
Taken together, the results in the present study suggested that alpha-TCP alone
likely promotes rat BMSCs osteogenic differentiation through up-regulating ALP,
Col-I, Runx2, and SP7 gene expression.
PMID- 26550459
TI - Eplerenone attenuates cardiac dysfunction and oxidative stress in beta-receptor
stimulated myocardial infarcted rats.
AB - Eplerenone is a competitive antagonist of the aldosterone receptor with an
additional PI3K-Akt activity. The existing cram has been intended to explore,
whether eplerenone treatment attenuates the expansion of myocardial infarction in
isoproterenol treated rats by restoring hemodynamic, biochemical, and
histopathological changes. Isoproterenol induced cardiotoxicity was evidenced by
marked ST elevation, decrease in systolic, diastolic, mean arterial pressures.
Maximal positive rate of developed left ventricular pressure (+LVdP/dt max, a
indicator of myocardial contraction), maximal negative rate of developed left
ventricular pressure (-LVdP/dt max, a meter of myocardial relaxation) and an
increase in left ventricular end-diastolic pressure (LVEDP, a marker of pre-load)
were also shown. In addition, a significant reduction in activities of myocardial
creatine kinase-MB isoenzyme, lactate dehydrogenase, superoxide dismutase,
catalase, and reduced glutathione level along with increase in malondialdehyde
content were observed. Oral pre-treatment with eplerenone (50, 100 and 150 mg/kg)
daily for a period of 14 days, constructively modulated the studied parameters in
isoproterenol-induced myocardial injury. The protective role of eplerenone on
isoproterenolinduced myocardial damage was further confirmed by histopathological
examinations. Eplerenone at doses of 100 mg/kg and 150 mg/kg produced more
pronounced protective effects than 50 mg/kg body weight. Together, our study
provides evidence for protective effects of eplerenone on myocardium in
experimentally induced myocardial infarction.
PMID- 26550460
TI - Upregulation of cyclooxygenase-2 is associated with activation of the alternative
nuclear factor kappa B signaling pathway in colonic adenocarcinoma.
AB - Cyclooxygenase-2 expression by malignant tumors, including colonic
adenocarcinoma, is associated with increased tumor aggression and poor prognosis.
Nuclear factor kappa B is a key regulator of cyclooxygenase-2 and is regulated by
two pathways, the 'canonical' and the 'alternative' pathway. The alternative
pathway is triggered by members of the tumor necrosis factor cytokine family,
including RelB and p52. This present study was undertaken to evaluate
cyclooxygenase-2 and the alternative nuclear factor-kappa B signaling pathway in
colonic adenocarcinoma. Formalin-fixed, paraffin-embedded tissue samples
diagnosed with colonic adenocarcinoma and a human colonic adenocarcinoma cell
line, LS174, were studied. The expression of cyclooxygenase-2, RelB and p52 were
determined using immunohistochemistry, immunofluorescence, and Western blots.
Quantitative analysis of mRNA by real-time reverse transcriptase polymerase chain
reaction and chromatin immunoprecipitation were performed on the tissue and cell
samples. To investigate nuclear factor kappa B gene regulation of the
cyclooxygenase-2 gene, dual luciferase assays were performed, and LS174 cells
were transfected with RelB or p100/p52 short interfering RNA. Upregulation of
cyclooxygenase-2 was associated with activation of the alternative nuclear factor
kappa B signaling pathway components RelB, and p52, in colonic adenocarcinoma
cells in tissues and the cell line, LS174. Chromatin immunoprecipitation assay
determined that cyclooxygenase-2 gene was associated with both RelB and p52. A
luciferase reporter assay showed that the nuclear factor kappa B enhancer of
cyclooxygenase-2 was sufficient to regulate the transcriptional activity of a
heterologous promoter in LS174 cells. RNA interference-mediated knockdown of RelB
or p52 resulted in significant inhibition of cyclooxygenase-2 at both mRNA and
protein levels in LS174 cells. These findings support a potential role for
inhibition of components of the alternative nuclear factor kappa B signaling
pathway, RelB-p52-cyclooxygenase-2, as a possible therapeutic target in the
treatment of adenocarcinoma of the colon. Further studies on the role of this
pathway in this and other malignancies are recommended.
PMID- 26550461
TI - High expression of WISP-1 correlates with poor prognosis in pancreatic ductal
adenocarcinoma.
AB - WNT1 inducible signaling pathway protein 1 (WISP-1) is a member of the CCN family
of growth factors and reported to possess an important role in tumorigenesis by
triggering downstream events via integrin signaling. However, the exact role of
WISP-1 in cancer remains unclear. In this study, we examined the expression
pattern of WISP-1 at both mRNA and protein levels and evaluated the prognostic
value of WISP-1 in pancreatic ductal adenocarcinoma (PDA). Expression of WISP-1
at mRNA level was upregulated in 17/24 tumor tissues compared to the matched
adjacent non-tumor tissues and the result was confirmed by western blotting at
protein level. Immunohistochemical staining of 194 pairs of PDA specimens
suggested that high expression of WISP-1 is strongly correlated with clinical
stage (P=0.003), T classification (P=0.008) and liver metastasis (P=0.012).
Consistently, Kaplan-Meier survival curves indicated that patients with high
expression of WISP-1 had a shorter survival time independent of clinical stage
and lymphatic metastasis status. Moreover, univariate and multivariate analysis
confirmed WISP-1 expression, age, classification and liver metastasis as
independent prognostic factors for overall survival of PDA patients. Taken
together, these results suggest that WISP-1 may serve as a potential prognostic
biomarker for PDA.
PMID- 26550462
TI - Identification of a novel role of RING finger protein 11 promoting the metastasis
of murine melanoma cells.
AB - Melanoma is the leading cause of skin cancer death owing to its highly metastatic
nature and resistance to chemotherapy. It may account for 80% of the deaths
relating to skin cancers. Once it progressed to metastatic stage, no current
effective treatment is available for melanoma. Therefore, in-depth understanding
of the mechanism underlying the metastatic process is imperative and would be of
great help for improving the treatment of melanoma. Here, wedemonstrate that RING
finger protein 11 (RNF11) disruption by insertional mutagenesis impairs the
metastatic potential of murine melanoma B16F10 cells. The requirement of RNF11 in
the migration of melanoma cells is further confirmed by gene knockdown and
overexpression experiments in vitro. Together, our findings suggest a novel role
of RNF11 in promoting the metastasis of melanoma cells which may potentially be
useful for the treatment of melanoma by developing a new intervention target.
PMID- 26550463
TI - The human subject: an integrative animal model for 21(st) century heart failure
research.
AB - Heart failure remains a leading cause of death and it is a major cause of
morbidity and mortality affecting tens of millions of people worldwide. Despite
decades of extensive research conducted at enormous expense, only a handful of
interventions have significantly impacted survival in heart failure. Even the
most widely prescribed treatments act primarily to slow disease progression, do
not provide sustained survival advantage, and have adverse side effects. Since
mortality remains about 50% within five years of diagnosis, the need to increase
our understanding of heart failure disease mechanisms and development of
preventive and reparative therapies remains critical. Currently, the vast
majority of basic science heart failure research is conducted using animal models
ranging from fruit flies to primates; however, insights gleaned from decades of
animal-based research efforts have not been proportional to research success in
terms of deciphering human heart failure and developing effective therapeutics
for human patients. Here we discuss the reasons for this translational
discrepancy which can be equally attributed to the use of erroneous animal models
and the lack of widespread use of human-based research methodologies and address
why and how we must position our own species at center stage as the
quintessential animal model for 21(st) century heart failure research. If the
ultimate goal of the scientific community is to tackle the epidemic status of
heart failure, the best way to achieve that goal is through prioritizing human
based, human-relevant research.
PMID- 26550464
TI - Protective effect of histamine microinjected into the cerebellar fastigial
nucleus on stress-induced gastric mucosal damage in rats.
AB - AIMS: We investigated the effffects and the possible mechanism of microinjection
of histamine into cerebellar fastigial nucleus (FN) on stress-induced gastric
mucosal damage (SGMD) in rats. The effect of microinjection of histamine into FN
on SGMD was observed. METHODS: The model of SGMD was established by restraint and
water (21 +/- 1 degrees C)-immersion (RWI) for 3 h in rats. The gastric mucosal
damage index indicated the severity of SGMD. Western blotting was performed to
assess gastric mucosal cell apoptosis and proliferation. RESULTS: We observed
that histamine microinjection into the FN markedly attenuated SGMD in a dose
dependent manner, and was prevented by pre-treatment with the ranitidine (a
selective histamine H2 receptor antagonist) into the FN. The effect of histamine
was abolished by pre-treatment with 3-MPA (a glutamic acid decarboxylase
antagonist) into the FN. There was a decrease in the discharge frequency of
greater splanchnic nerve, and an increase in gastric mucosal blood flow after
histamine injection into the FN. Additionally, anti-apoptotic and anti-oxidative
factors of gastric mucosa might be involved in this process. CONCLUSION: The
exogenous histamine in FN participates in the regulation of SGMD, and our results
may help to provide new ideas on the treatment of gastroenterological diseases.
PMID- 26550465
TI - Biological roles of human bone morphogenetic protein 9 in the bone
microenvironment of human breast cancer MDA-MB-231 cells.
AB - Bone marrow stroma plays a critical role in the bone metastasis of breast cancer.
Bone marrow-derived mesenchymal stem cells (BMSC) are critical to facilitate
cancer progression. Human bone morphogenetic protein 9 (BMP9) is the most potent
osteogenic factor and one of bone-stored growth factors involved in both
promotion and inhibition of different cancers. However, it is unclear whether
BMP9 correlates with the bone metastasis of breast cancer. This study was to
evaluate the role of BMP9 in the interaction between BMSC and breast cancer cells
(BCC). To determine whether BMP9 is able to block the tumor promoting effect of
BMSC, an in vitro model was developed using breast cancer MDA-MB-231 cells co
cultured with bone marrow-derived mesenchymal stem cells HS-5 with-BMP9
overexpression. The expressions of metastasis-related genes were detected to
identify important factors mediating the role of BMP9 in breast cancer cells.
Results showed BMP9 could inhibit invasion and promote apoptosis of MDA-MB-231
cells. The expressions of interleukin-6 (IL-6), matrix metalloproteinase-2 (MMP
2) and monocyte chemoattratctant protein-1 (MCP-1) decreased in the MDA-MB-231
cells of BMP9 over-expression group, and the expressions of epithelial
mesenchymal transition (EMT)-related molecules was also reduced. On the other
hand, the expression of stromal cell derived factor-1 (SDF-1) decreased in HS-5
cells of BMP9 over-expression group. Taken together, BMP9 is able to inhibit the
migration and promote the apoptosis of breast cancer by regulating the
interaction between MDA-MB-231 cells and HS-5 cells in which SDF-1/CXCR4-PI3K
pathway and EMT are involved.
PMID- 26550466
TI - The FDA's Final Rule on Expedited Safety Reporting: Statistical Considerations.
AB - In March 2011, a Final Rule for expedited reporting of serious adverse events
took effect in the United States for studies conducted under an Investigational
New Drug (IND) application. In December 2012, the U.S. Food and Drug
Administration (FDA) promulgated a final Guidance describing the
operationalization of this Final Rule. The Rule and Guidance clarified that a
clinical trial sponsor should have evidence suggesting causality before defining
an unexpected serious adverse event as a suspected adverse reaction that would
require expedited reporting to the FDA. The Rule's emphasis on the need for
evidence suggestive of a causal relation should lead to fewer events being
reported but, among those reported, a higher percentage actually being caused by
the product being tested. This article reviews the practices that were common
before the Final Rule was issued and the approach the New Rule specifies. It then
discusses methods for operationalizing the Final Rule with particular focus on
relevant statistical considerations. It concludes with a set of recommendations
addressed to Sponsors and to the FDA in implementing the Final Rule.
PMID- 26550468
TI - Letters to the Editor.
PMID- 26550467
TI - Early modern human dispersal from Africa: genomic evidence for multiple waves of
migration.
AB - BACKGROUND: Anthropological and genetic data agree in indicating the African
continent as the main place of origin for anatomically modern humans. However, it
is unclear whether early modern humans left Africa through a single, major
process, dispersing simultaneously over Asia and Europe, or in two main waves,
first through the Arab Peninsula into southern Asia and Oceania, and later
through a northern route crossing the Levant. RESULTS: Here, we show that
accurate genomic estimates of the divergence times between European and African
populations are more recent than those between Australo-Melanesia and Africa and
incompatible with the effects of a single dispersal. This difference cannot
possibly be accounted for by the effects of either hybridization with archaic
human forms in Australo-Melanesia or back migration from Europe into Africa.
Furthermore, in several populations of Asia we found evidence for relatively
recent genetic admixture events, which could have obscured the signatures of the
earliest processes. CONCLUSIONS: We conclude that the hypothesis of a single
major human dispersal from Africa appears hardly compatible with the observed
historical and geographical patterns of genome diversity and that Australo
Melanesian populations seem still to retain a genomic signature of a more ancient
divergence from Africa.
PMID- 26535109
TI - Detecting miRNA Mentions and Relations in Biomedical Literature.
AB - Introduction: MicroRNAs (miRNAs) have demonstrated their potential as post
transcriptional gene expression regulators, participating in a wide spectrum of
regulatory events such as apoptosis, differentiation, and stress response. Apart
from the role of miRNAs in normal physiology, their dysregulation is implicated
in a vast array of diseases. Dissection of miRNA-related associations are
valuable for contemplating their mechanism in diseases, leading to the discovery
of novel miRNAs for disease prognosis, diagnosis, and therapy. Motivation: Apart
from databases and prediction tools, miRNA-related information is largely
available as unstructured text. Manual retrieval of these associations can be
labor-intensive due to steadily growing number of publications. Additionally,
most of the published miRNA entity recognition methods are keyword based, further
subjected to manual inspection for retrieval of relations. Despite the fact that
several databases host miRNA-associations derived from text, lower sensitivity
and lack of published details for miRNA entity recognition and associated
relations identification has motivated the need for developing comprehensive
methods that are freely available for the scientific community. Additionally, the
lack of a standard corpus for miRNA-relations has caused difficulty in evaluating
the available systems. We propose methods to automatically extract mentions of
miRNAs, species, genes/proteins, disease, and relations from scientific
literature. Our generated corpora, along with dictionaries, and miRNA regular
expression are freely available for academic purposes. To our knowledge, these
resources are the most comprehensive developed so far. Results: The
identification of specific miRNA mentions reaches a recall of 0.94 and precision
of 0.93. Extraction of miRNA-disease and miRNA-gene relations lead to an F 1
score of up to 0.76. A comparison of the information extracted by our approach to
the databases miR2Disease and miRSel for the extraction of Alzheimer's disease
related relations shows the capability of our proposed methods in identifying
correct relations with improved sensitivity. The published resources and
described methods can help the researchers for maximal retrieval of miRNA
relations and generation of miRNA-regulatory networks. Availability: The training
and test corpora, annotation guidelines, developed dictionaries, and
supplementary files are available at http://www.scai.fraunhofer.de/mirna
corpora.html.
PMID- 26535109
TI - Detecting miRNA Mentions and Relations in Biomedical Literature.
AB - INTRODUCTION: MicroRNAs (miRNAs) have demonstrated their potential as post
transcriptional gene expression regulators, participating in a wide spectrum of
regulatory events such as apoptosis, differentiation, and stress response. Apart
from the role of miRNAs in normal physiology, their dysregulation is implicated
in a vast array of diseases. Dissection of miRNA-related associations are
valuable for contemplating their mechanism in diseases, leading to the discovery
of novel miRNAs for disease prognosis, diagnosis, and therapy. MOTIVATION: Apart
from databases and prediction tools, miRNA-related information is largely
available as unstructured text. Manual retrieval of these associations can be
labor-intensive due to steadily growing number of publications. Additionally,
most of the published miRNA entity recognition methods are keyword based, further
subjected to manual inspection for retrieval of relations. Despite the fact that
several databases host miRNA-associations derived from text, lower sensitivity
and lack of published details for miRNA entity recognition and associated
relations identification has motivated the need for developing comprehensive
methods that are freely available for the scientific community. Additionally, the
lack of a standard corpus for miRNA-relations has caused difficulty in evaluating
the available systems. We propose methods to automatically extract mentions of
miRNAs, species, genes/proteins, disease, and relations from scientific
literature. Our generated corpora, along with dictionaries, and miRNA regular
expression are freely available for academic purposes. To our knowledge, these
resources are the most comprehensive developed so far. RESULTS: The
identification of specific miRNA mentions reaches a recall of 0.94 and precision
of 0.93. Extraction of miRNA-disease and miRNA-gene relations lead to an F 1
score of up to 0.76. A comparison of the information extracted by our approach to
the databases miR2Disease and miRSel for the extraction of Alzheimer's disease
related relations shows the capability of our proposed methods in identifying
correct relations with improved sensitivity. The published resources and
described methods can help the researchers for maximal retrieval of miRNA
relations and generation of miRNA-regulatory networks. AVAILABILITY: The training
and test corpora, annotation guidelines, developed dictionaries, and
supplementary files are available at http://www.scai.fraunhofer.de/mirna
corpora.html.
PMID- 26535110
TI - Effect of environmental and cultural conditions on medium pH and explant growth
performance of Douglas-fir ( Pseudotsuga menziesii) shoot cultures.
AB - The medium pH level of plant tissue cultures has been shown to be essential to
many aspects of explant development and growth. Sensitivity or tolerance of
medium pH change in vitro varies according to specific requirements of individual
species. The objectives of this study are to 1) determine medium pH change over
time in storage conditions and with presence of explants, 2) evaluate the effects
of medium pH change on explant growth performance and 3) assess the effects of
adding a pH stabilizer, 2-(N-morpholino)ethanesulfonic acid (MES) that is
commonly used in Douglas-fir micropropagation medium. Vegetative buds were
collected in the spring before breaking dormancy from juvenile and mature donor
trees for conducting these evaluations. Medium, with or without MES, was pre
adjusted to five pH levels before adding MES, agar and autoclaving. Medium pH
changes and explant growth parameters were measured at eight different incubation
times. Overall, MES provided a more stable medium pH, relative to starting pH
values, under both light and dark storage conditions as well as with presence of
explants. A general trend of decreasing medium pH over time was found comparing
explants from juvenile and mature donor genotypes. Explant height and weight
growth increased over time, but differ among explants from juvenile and mature
donor genotypes. Our findings suggest that a 21-day subculture practice may best
sustain medium freshness, medium pH level and desirable explant growth.
PMID- 26539290
TI - Rampant software errors may undermine scientific results.
AB - The opportunities for both subtle and profound errors in software and data
management are boundless, yet they remain surprisingly underappreciated. Here I
estimate that any reported scientific result could very well be wrong if data
have passed through a computer, and that these errors may remain largely
undetected. It is therefore necessary to greatly expand our efforts to validate
scientific software and computed results.
PMID- 26550474
TI - In vitro antimicrobial activity of a novel compound, Mul-1867, against clinically
important bacteria.
AB - BACKGROUND: The antimicrobial activity of Mul-1867, a novel synthetic compound,
was tested against 18 bacterial strains, including clinical isolates and
reference strains from culture collections. METHODS: The minimal inhibitory
concentration (MICs) and minimal bactericidal concentration (MBCs) were
determined by using the broth macrodilution method. The kinetics of the
inhibitory effects of Mul-1867 against biofilm-growing microorganisms was
assessed at time-kill test in vitro against 48-h-old biofilms of Staphylococcus
aureus and Escherichia coli. Transmission electron microscopy analyses was
conducted to examine cell disruption. RESULTS: A comparative assessment of the
antimicrobial activities of Mul-1867 and chlorhexidine digluconate (CHG), used as
a control antimicrobial, indicated that Mul-1867 was significantly more effective
as a disinfectant than CHG. Mul-1867 showed potent antimicrobial activities
against all the tested bacteria (MIC: 0.03-0.5 MUg/mL). Furthermore, MBC/MIC
ratio of Mul-1867 for all tested strains was less than or equal to 4. Time-kill
studies showed that treatment with Mul-1867 (0.05-2 %) reduced bacterial numbers
by 2.8-4.8 log10 colony forming units (CFU)/mL within 15-60 s. Bactericidal
activity of Mul-1867 was confirmed by morphological changes revealed by TEM
suggested that the killing of bacteria was the result of membrane disruption.
CONCLUSION: Overall, these data indicated that Mul-1867 may be a promising
antimicrobial for the treatment and prevention of human infections.
PMID- 26550473
TI - Full-length single-cell RNA-seq applied to a viral human cancer: applications to
HPV expression and splicing analysis in HeLa S3 cells.
AB - BACKGROUND: Viral infection causes multiple forms of human cancer, and HPV
infection is the primary factor in cervical carcinomas. Recent single-cell RNA
seq studies highlight the tumor heterogeneity present in most cancers, but
virally induced tumors have not been studied. HeLa is a well characterized HPV+
cervical cancer cell line. RESULT: We developed a new high throughput platform to
prepare single-cell RNA on a nanoliter scale based on a customized microwell
chip. Using this method, we successfully amplified full-length transcripts of 669
single HeLa S3 cells and 40 of them were randomly selected to perform single-cell
RNA sequencing. Based on these data, we obtained a comprehensive understanding of
the heterogeneity of HeLa S3 cells in gene expression, alternative splicing and
fusions. Furthermore, we identified a high diversity of HPV-18 expression and
splicing at the single-cell level. By co-expression analysis we identified 283
E6, E7 co-regulated genes, including CDC25, PCNA, PLK4, BUB1B and IRF1 known to
interact with HPV viral proteins. CONCLUSION: Our results reveal the
heterogeneity of a virus-infected cell line. It not only provides a transcriptome
characterization of HeLa S3 cells at the single cell level, but is a
demonstration of the power of single cell RNA-seq analysis of virally infected
cells and cancers.
PMID- 26550475
TI - Crystal structures of CaSiO3 polymorphs control growth and osteogenic
differentiation of human mesenchymal stem cells on bioceramic surfaces.
AB - The repair and replacement of damaged or diseased human bone tissue requires a
stable interface between the orthopedic implant and living tissue. The ideal
material should be both osteoconductive (promote bonding to bone) and
osteoinductive (induce osteogenic differentiation of cells and generate new
bone). Partially resorbable bioceramic materials with both properties are
developed by expensive trial-and-error methods. Structure-reactivity
relationships for predicting the osteoinductive properties of ceramics would
significantly increase the efficiency of developing materials for bone tissue
engineering. Here we propose the novel hypothesis that the crystal structure of a
bioceramic controls the release rates, subsequent surface modifications due to
precipitation of new phases, and thus, the concentrations of soluble factors, and
ultimately, the attachment, viability and osteogenic differentiation of human
Mesenchymal Stem Cells (hMSCs). To illustrate our hypothesis, we used two CaSiO3
polymorphs, pseudo-wollastonite (psw, beta-CaSiO3) and wollastonite (wol, alpha
CaSiO3) as scaffolds for hMSC culture. Polymorphs are materials which have
identical chemical composition and stoichiometry, but different crystal
structures. We combined the results of detailed surface characterizations,
including environmental Scanning Electron Microscopy (SEM) back-scattered
imaging, and spot-analysis and 2D elemental mapping by SEM-Energy Dispersive X
ray (SEM-EDX), High Resolution Transmission Electron Microscopy (HRTEM) and
surface roughness analysis; culture medium solution analyses; and
molecular/genetic assays from cell culture. Our results confirmed the hypothesis
that the psw polymorph, which has a strained silicate ring structure, is more
osteoinductive than the wol polymorph, which has a more stable, open silicate
chain structure. The observations could be attributed to easier dissolution
(resorption) of psw compared to wol, which resulted in concentration profiles
that were more osteoinductive for the former. Thus, we showed that crystal
structure is a fundamental parameter to be considered in the intelligent design
of pro-osteogenic, partially resorbable bioceramics.
PMID- 26550476
TI - Association of Beck Depression Inventory score and Temperament and Character
Inventory-125 in patients with eating disorders and severe malnutrition.
AB - The authors investigated the association between personality and physical/mental
status in malnourished patients with eating disorders. A total of 45 patients
with anorexia nervosa, avoidant/restrictive food intake disorder, and other
specified feeding or eating disorders were included and compared with 39 healthy
controls. Personality characteristics and severity of depression were assessed
using the Temperament and Character Inventory-125 and Beck's Depression
Inventory. Depression correlated with harm avoidance and self-directedness in
both cases and controls. Body mass index did not correlate with personality in
either group. These findings should be verified by longitudinal studies with
higher weight/weight recovered patients.
PMID- 26550477
TI - Evaluation of an online training program in eating disorders for health
professionals in Australia.
AB - BACKGROUND: Early detection and treatment of eating disorders is instrumental in
positive health outcomes for this serious public health concern. As such,
workforce development in screening, diagnosis and early treatment of eating
disorders is needed. Research has demonstrated both high rates of failure to
accurately diagnose and treat cases early and low levels of perceived access to
training in eating disorders by health professionals-representing an urgent need
for clinician training in this area. However, significant barriers to the access
of evidence-based training programs exist, including availability, cost and time,
particularly when large geographic distances are involved. Online learning
presents a solution to workforce challenges, as it can be delivered anywhere, at
a fraction of the cost of traditional training, timing is user controlled, and a
growing body of research is demonstrating it as effective as face-to-face
training. The Centre for Eating and Dieting Disorders in Australia has developed
an Online Training Program In Eating Disorders, to educate health professionals
in the nature, identification, assessment and management of eating disorders. The
aim of the current study was to evaluate the ability of this online learning
course to improve clinician levels of knowledge, skill and confidence to treat
eating disorders. As well as its effect on stigmatised beliefs about eating
disorders known to effect treatment delivery. METHODS: One-hundred-eighty-seven
health professionals participated in the program. A pre training questionnaire
and a post training evaluation examined participants' levels of knowledge, skill
and confidence to treat eating disorders, as well attitudes and beliefs about
people with eating disorders. RESULTS: Significant improvements in knowledge,
skill, and confidence to treat eating disorders was found between pre and post
program assessment in health professionals who completed the course, along with a
significant decrease in stigmatised beliefs about eating disorders. DISCUSSION:
The results of this study demonstrated that the online training program was an
effective tool in increasing health professionals' level of knowledge, skill and
confidence to treat people with eating disorders. The results also demonstrated
that online training reduced health professionals' personal bias towards people
with eating disorders. Limitations of this study include the use of self-report
measures rather than observation of the health professional in clinical practice.
As a result, it is not possible to make determinations regarding the translation
of these results to clinical settings. CONCLUSIONS: The findings of this study
suggest that online training programs may present an innovative solution to the
considerable workforce development challenges faced by clinicians needing
training in eating disorders.
PMID- 26550478
TI - Three cases of appendicitis with anorexia nervosa under inpatient care.
AB - BACKGROUND: Little is known about the occurrence of appendicitis during the re
nourishment period in anorexia nervosa (AN). We report three cases of
appendicitis in patients with AN that occurred after hospitalization for
treatment of AN. CASE PRESENTATION: Case 1 is a 34-year-old female, case 2 is a
17-year-old female and case 3 is a 38-year-old female. Constipation was observed
in all three cases. Careful management of defecation might be essential to
prevent appendicitis among AN patients during the re-nourishment period under
inpatient care. In addition, mild and diffuse symptoms were observed in all three
cases. Therefore, diagnosis proved to be difficult to make and abdominal computed
tomography was particularly helpful in all cases. As the symptoms were diffuse,
the condition of appendicitis turned out to be more severe and complicated in one
case. Additionally, the incidence of appendicitis in AN in the current study
might be higher than that in the normal population. CONCLUSIONS: These findings
suggest that appendicitis should be considered as one of the potentially
important complications in the therapy for AN.
PMID- 26550479
TI - Sonoporation efficacy on SiHa cells in vitro at raised bath temperatures
experimental validation of a prototype sonoporation device.
AB - BACKGROUND: A device was devised which aimed to reduce the time and expertise
required to perform sonoporation on adherent cell cultures. This prototype device
was used to examine the superficial effect of bath temperature on sonoporation
efficacy. METHODS: The prototype device consisted of six ultrasound transducers
affixed beneath an Opticell stage. Six transducers with nominal diameters of 20
mm were constructed and the acoustic field of each was characterized using
hydrophone scanning. A near field treatment plane was chosen for each transducer
to minimize field heterogeneity in the near field. Cervical cancer-derived SiHa
cells were exposed to nine different treatments in the presence of plasmid DNA
expressing green fluorescent protein (GFP). Ultrasound treatment with Definity
ultrasound contrast agent (US+UCA) present, ultrasound treatment without contrast
agent present (US), and a sham ultrasound treatment in the presence of ultrasound
contrast agent (CA) were each performed at bath temperatures of 37, 39.5, and 42
degrees C. Each treatment was performed in biological triplicate. GFP expression
and PARP expression following treatment were measured using fluorescent
microscopy and digital image processing. Cell detachment was measured using phase
contrast microscopy before and after treatment. RESULTS: Mean (+/- s.d.)
transfection rates for the US+UCA treatment were 5.4(+/-0.92), 5.8(+/-1.3), and
5.3(+/-1.1) % at 37, 39.5, and 42 degrees C, respectively. GFP expression and
cell detachment were both significantly affected by the presence of ultrasound
contrast agent (p < 0.001, p < 0.001). Neither GFP expression, PARP expression,
or detachment differed significantly between bath temperatures. CONCLUSIONS: Bath
temperature did not impact the efficacy of sonoporation treatment on SiHa cells
in vitro. The prototype device was found to be suitable for performing
sonoporation on adherent cell cultures and will reduce the time and expertise
required for conducting sonoporation experiments on adherent cell cultures in the
future.
PMID- 26550480
TI - Target antigens for Hs-14 monoclonal antibody and their various expression in
normozoospermic and asthenozoospermic men.
AB - BACKGROUND: Poor semen quality is one of the main causes of infertility. We have
generated a set of monoclonal antibodies to human sperm and used them to
investigate sperm quality. Some of these antibodies found differences in the
expression of proteins between normal sperm and pathological sperm displaying
severe defects. One of them was the Hs-14 antibody. The aim of this paper was to
determine the target protein of the Hs-14 monoclonal antibody and to investigate
the expression of the Hs-14-reacting protein on the sperm of asthenozoospermic
men with sperm motility defect and of healthy normozoospermic men. METHODS:
Indirect immunofluorescence, one-dimensional and two-dimensional polyacrylamide
gel electrophoresis, immunoblotting and mass spectrometry. RESULTS: The Hs-14
antibody binds fibronectin, beta-tubulin and valosin-containing protein - new
name for this protein is transitional endoplasmic reticulum ATPase (TERA). Since
the Hs-14 reaction with TERA remained the strongest at the highest antibody
dilution, and Hs-14 consistently labelled the same spot or band as the
monospecific anti-TERA antibody on immunoblots, we assume that TERA is an Hs-14
specific protein. Binding of fibronectin and beta-tubulin might represent
nonspecific cross-reactivity or Hs-14 reaction with similar epitopes of these
proteins. A significant difference (P < 0.001) in immunofluorescence staining
with Hs-14 was found between the normozoospermic and asthenozoospermic men.
CONCLUSION: The Hs-14 antibody enables discrimination between sterile or
subfertile asthenozoospermic and fertile normozoospermic men. Decreased levels of
TERA in men can be used as a biomarker of reduced fertility.
PMID- 26550481
TI - Diffuse interstitial and multiple cavitary lung lesions due to Talaromyces
marneffei infection in a non-HIV patient.
AB - A 57-year-old man presented with unproductive cough and dyspnea for 6 months in
Fujian Province, China. His misuse of a large amount of steroids (accumulated
dose equivalent to 3530 mg prednisolone) resulted in Talaromyces marneffei
infection. Chest computed tomographic scan revealed diffuse interstitial and
multiple cavitary lung lesions. Treatment with amphotericin B combined with
itraconazole resulted in total recovery, with marked regression of lung lesions.
PMID- 26550482
TI - Overlooked Holmes' clinical signs: reevaluation by recent physiological findings.
AB - Holmes proposed not only the term ataxia, but also opposite clinical signs
related to muscle recruitment, which have escaped clinical attention; (1)
asthenia, representing delay in initiating muscle contraction and slowness in
attaining exertion of full power, and (2) adventitiousness, representing
adventitious movements. Recent physiological studies have shown that cerebellar
outputs are modified by release or facilitation of Purkinje cell-mediated
inhibition on dentate neurons. We believe that asthenia and adventitiousness,
which correlate with deficits in the control of disinhibition and inhibition,
respectively, deserve more attention in clinical examination.
PMID- 26550483
TI - Dimethyl fumarate-associated lymphopenia: Risk factors and clinical significance.
AB - BACKGROUND: Dimethyl fumarate (DMF), a disease-modifying therapy for multiple
sclerosis (MS), causes lymphopenia in a fraction of patients. The clinical
significance of this is unknown. Several cases of progressive multifocal
leukoencephalopathy in lymphopenic fumarate-treated patients have raised concerns
about drug safety. Since lymphocytes contribute to MS pathology, lymphopenia may
also be a biomarker for response to the drug. OBJECTIVE: The objective of this
manuscript is to evaluate risk factors for DMF-induced lymphopenia and drug
failure in a real-world population of MS patients. METHODS: We conducted a
retrospective cohort study of 221 patients prescribed DMF at a single academic
medical center between March 2013 and February 2015. RESULTS: Grade 2-3
lymphopenia developed in 17% of the total cohort and did not resolve during DMF
treatment. Older age (>55), lower baseline absolute lymphocyte count and recent
natalizumab exposure increased the risk of developing moderate to severe
lymphopenia while on DMF. Lymphopenia was not predictive of good clinical
response or of breakthrough MS activity on DMF. CONCLUSIONS: Lymphopenia develops
in a significant minority of DMF-treated patients, and if grade 2 or worse, is
unlikely to resolve while on the drug. Increased vigilance in lymphocyte
monitoring and infection awareness is particularly warranted in older patients
and those switching from natalizumab.
PMID- 26550484
TI - Relationship between obesity and coronary heart disease among urban Bangladeshi
men and women.
AB - The aim of the study was to examine the association of different measures of
obesity (body mass index or BMI, waist circumference or WC, waist to hip ratio or
WHR and waist height ratio or WHtR) with coronary heart disease (CHD) in a
Bangladeshi population. The study included 189 hospitalized CHD cases (133 men
and 52 women) and 201 controls (137 men and 68 women). Logistic regression was
done to assess the associations between obesity and CHD. The mean age was 53.1 +/
8.3 for men and 51.9 +/- 8.4 for women. After adjustment for confounders the
odds ratio (OR) of CHD for men was 1.69 (95% CI, 1.24-2.32), 1.94 (95% CI 1.40
2.70), and 1.32 (95% CI, 1.01-2.16) per 1 standard deviation (SD) increase in
BMI, WC, and WHtR respectively. The OR for women was 2.64 (CI, 1.61-4.34), 1.82
(95% CI 1.12-2.95), 2.32 (95% CI, 1.36-3.96), and 1.94 (95% CI, 1.23-3.07) per 1
SD increase in BMI, WC, WHtR and WHR respectively. Since both total obesity and
abdominal adiposity were associated with development of CHD and since measurement
of WC and BMI are inexpensive, both should be included in the clinical setting
for CHD risk assessment for this group of population.
PMID- 26550485
TI - Effect of Malathion on Reproductive Parameters of Engorged Female Rhipicephalus
(Boophilus) microplus Ticks of Punjab Districts, India.
AB - The present study was aimed at evaluating effects of malathion on the various
reproductive parameters, namely, egg mass weight (EMW), reproductive index (RI),
percentage inhibition of oviposition (%IO), and hatchability percentage of eggs
of Rhipicephalus (Boophilus) microplus (Canestrini 1887) females from 19
districts of Punjab, India. The effect on various parameters was found to be dose
dependent and more discernible upon exposure to higher concentrations. Complete
cessation of egg laying was recorded in tick isolates on exposure to 5000 ppm and
above. The values of %IO ranged in 4.4-68.6, 25.2-76.2, 35.6-100.0, 45.7-100.0,
and 71.4-100.0 in groups treated with 1250, 2500, 5000, 10000, and 20000 ppm of
malathion, respectively. A low hatching % was recorded in eggs of all treated
female ticks in comparison to control treated with distilled water and complete
inhibition of hatching was recorded at 10000 ppm and above. However, the survival
of the hatched larvae was not affected and was similar to control group. The
results of the current study can be of immense help in formulation and
implementation of effective tick control measures.
PMID- 26550486
TI - Comment on "Extraction of Iron from the Rabbit Anterior Chamber with Reverse
Iontophoresis".
PMID- 26550487
TI - A Comparative Study between Vitrectomy with Internal Tamponade and a New Modified
Fiber Optic Illuminated Ando Plombe for Cases of Macular Hole Retinal Detachment
in Myopic Eyes.
AB - Aim. To compare pars plana vitrectomy (PPV) with silicone tamponade or gas
(Groups Ia and Ib) and a new modified Ando plombe equipped with a fiber optic
light (Group II) for cases with macular hole retinal detachment (MHRD) in high
myopic eyes (axial length > 26 mm). Methods. A prospective interventional
randomized case series included 60 eyes (20 in each group). Successful outcome
was considered if the retina was completely attached at the end of the follow-up
period. Complications were identified for each group. Results. Visual acuity
improved by 37.31%, 40.67%, and 49.40% in Groups Ia, Ib, and II, respectively.
The success rate was 55%, 60%, and 100% in Groups Ia , Ib, and II, respectively,
with a statistically significant difference between Groups Ia, Ib, and II (p <
0.001 in Ia, p: 0.002 in Ib). Complications rates were 60%, 45%, and 20% in
Groups Ia, Ib, and II, respectively, with a statistically significant difference
between Groups Ia and II (p: 0.01). Conclusion. Fiber optic illuminated Ando
plombe allows better positioning under the macula and consequently improves the
success rate of epimacular buckling in comparison to PPV with internal tamponade
in MMHRD.
PMID- 26550488
TI - A Concept Mapping Study of Physicians' Perceptions of Factors Influencing
Management and Control of Hypertension in Sub-Saharan Africa.
AB - Hypertension, once a rare problem in Sub-Saharan Africa (SSA), is predicted to be
a major cause of death by 2020 with mortality rates as high as 75%. However,
comprehensive knowledge of provider-level factors that influence optimal
management is limited. The objective of the current study was to discover
physicians' perceptions of factors influencing optimal management and control of
hypertension in SSA. Twelve physicians attending the Cardiovascular Research
Training (CaRT) Institute at the University of Ghana, College of Health Sciences,
were invited to complete a concept mapping process that included brainstorming
the factors influencing optimal management and control of hypertension in
patients, sorting and organizing the factors into similar domains, and rating the
importance and feasibility of efforts to address these factors. The highest
ranked important and feasible factors include helping patients accept their
condition and availability of adequate equipment to enable the provision of
needed care. The findings suggest that patient self-efficacy and support,
physician-related factors, policy factors, and economic factors are important
aspects that must be addressed to achieve optimal hypertension management. Given
the work demands identified by physicians, future research should investigate
cost-effective strategies of shifting physician responsibilities to well-trained
no-physician clinicians in order to improve hypertension management.
PMID- 26550489
TI - Provider Adherence to National Guidelines for Managing Hypertension in African
Americans.
AB - Purpose. To evaluate provider adherence to national guidelines for the treatment
of hypertension in African Americans. Design. A descriptive, preexperimental,
quantitative method. Methods. Electronic medical records were reviewed and data
were obtained from 62 charts. Clinical data collected included blood pressure
readings, medications prescribed, laboratory studies, lifestyle modification,
referral to hypertension specialist, and follow-up care. Findings. Overall
provider adherence was 75%. Weight loss, sodium restriction, and physical
activity recommendations were documented on 82.3% of patients. DASH diet and
alcohol consumption were documented in 6.5% of participants. Follow-up was
documented in 96.6% of the patients with controlled blood pressure and 9.1% in
patients with uncontrolled blood pressure. Adherence in prescribing ACEIs in
patients with a comorbidity of DM was documented in 70% of participants.
Microalbumin levels were ordered in 15.2% of participants. Laboratory adherence
prior to prescribing medications was documented in 0% of the patients and
biannual routine labs were documented in 65% of participants. Conclusion.
Provider adherence overall was moderate. Despite moderate provider adherence, BP
outcomes and provider adherence were not related. Contributing factors that may
explain this lack of correlation include patient barriers such as nonadherence to
medication and lifestyle modification recommendations and lack of adequate follow
up. Further research is warranted.
PMID- 26550490
TI - Single Tablet Regimen Usage and Efficacy in the Treatment of HIV Infection in
Australia.
AB - Single tablet regimens (STRs) for HIV infection improve patient satisfaction,
quality of life, medication adherence, and virological suppression compared to
multitablet regimens (MTRs). This is the first study assessing STR uptake and
durability in Australia. This retrospective audit of all patients receiving an
STR (n = 299) at a large Sydney HIV clinic (January 2012-December 2013) assessed
patient demographics, treatment prior to STR, HIV RNA load and CD4 during MTR and
STR dosing, and reasons for STR switch. 206 patients switched from previous
antiretroviral treatment to an STR, of which 88% switched from an MTR. Reasons
for switching included desire to simplify treatment (57%), reduced side effects
or toxicity (18%), and cost-saving for the patient. There was no switching for
virological failure. Compared to when on an MTR, patients switching to an STR had
significantly lower HIV RNA counts (p < 0.001) and significantly higher CD4
counts (p < 0.001). The discontinuation rate from STR was very low and all
patients who switched to an STR maintained virological suppression throughout the
study duration, although the study is limited by the absence of a control group.
PMID- 26550491
TI - Influence of Moxifloxacin on Hepatic Redox Status and Plasma Biomarkers of
Hepatotoxicity and Nephrotoxicity in Rat.
AB - Moxifloxacin is a broad spectrum fluoroquinolone antibacterial agent. We examined
the hepatic redox status and plasma biomarkers of nephrotoxicity and
hepatotoxicity in rat following administration of moxifloxacin (MXF). Twenty-four
Wistar rats, 180-200 g, were randomized into four groups (I-IV). Animals in group
I (control) received 1 mL of distilled water, while animals in groups II, III,
and IV received 1 mL each of MXF equivalent to 4 mg/kg b.w., 8 mg/kg b.w., and 16
mg/kg b.w., respectively. After seven days, plasma urea, bilirubin, and
creatinine were significantly (P < 0.05) elevated in the MXF-treated animals.
Activities of alkaline phosphatase, aspartate aminotransferase, and alanine
aminotransferase were significantly increased in the plasma of MXF-treated
animals compared to control. Also plasma total cholesterol, HDL-cholesterol, LDL
cholesterol, and triglycerides increased significantly in the MXF-treated groups
relative to control. Moreover, MXF triggered a significant decrease in hepatic
catalase, superoxide dismutase, and glutathione-S transferase activities.
Likewise, MXF caused a decrease in the hepatic levels of glutathione and vitamin
C. A significant increase in hepatic MDA content was also observed in the MXF
treated animals relative to control. Overall, our data suggest that the half
therapeutic, therapeutic, and twice the therapeutic dose of MXF induced
nephrotoxicity, hepatotoxicity, and altered hepatic redox balance in rats.
PMID- 26550492
TI - AngiomiRs: Potential Biomarkers of Pregnancy's Vascular Pathologies.
AB - In recent years, microRNAs (miRNAs) have been the focus of research for their
role in posttranscriptional regulation and as potential biomarkers of risk for
disease development. Their identification in specific physiological processes,
like angiogenesis, a key pathway in placental vascular development in pregnancy,
suggests an important role of miRNAs that regulate angiogenesis (angiomiRs). Many
complications of pregnancy have in common placental vascular alterations,
involving an imbalance in the angiogenesis process in the development of
conditions such as preeclampsia, intrauterine growth restriction, and gestational
diabetes, complications with the highest rates of morbimortality in pregnancy.
Many studies have identified angiomiRs with differential expression profiles in
each of these diseases; however, this evidence requires further studies focused
on evaluating their potential as biomarkers of risk for the angiomiRs detected,
to establish correlations between placental tissue and serum/plasma expression
profiles. Therefore, the objective of this review is to highlight the best
angiomiRs detected in placental tissue and serum/plasma in each of these three
pathologies to show the current data available for potential biomarkers and to
propose future research strategies on this topic.
PMID- 26550493
TI - Evaluation of Serum Cystatin C as a Marker of Early Renal Impairment in Patients
with Liver Cirrhosis.
AB - Background. Serum cystatin C (CysC) was proposed as an effective reflection of
the glomerular filtration rate (GFR). However, its role in patients with liver
cirrhosis has not been extensively verified especially in the detection of early
RI. Patients and Methods. Seventy consecutive potential candidates for living
donor liver transplantation with serum creatinine (Cr) <1.5 mg/dL were included.
CysC, Cr, and estimated GFR [creatinine clearance (CCr), Cockcroft-Gault formula
(C-G), MDRD equations with 4 and 6 variables, CKD-EPI-Cr, CKD-EPI-CysC, and CKD
EPI-Cr-CysC] were all correlated to isotopic GFR. Early RI was defined as GFR of
60-89 mL/min/1.73 m(2). Results. Patients were 25.7% and 74.3% Child-Pugh classes
B and C, respectively. GFR was >=90, 60-89, and 30-59 mL/min/1.73 m(2) in 31.4%,
64.3%, and 4.3% of the patients, respectively. All markers and equations, except
C-G, were significantly correlated to GFR with CKD-EPI-Cr-CysC formula having the
highest correlation (r = 0.474) and the largest area under the ROC curve (0.808)
for discriminating early RI. At a cutoff value of 1.2 mg/L, CysC was 89.6%
sensitive and 63.6% specific in detecting early RI. Conclusion. In patients with
liver cirrhosis, CysC and CysC-based equations showed the highest significant
correlation to GFR and were measures that best discriminated early RI.
PMID- 26550494
TI - Cardiac Implantable Electronic Device Infection: From an Infection Prevention
Perspective.
AB - A cardiac implantable electronic device (CIED) is indicated for patients with
severely reduced ejection fraction or with life-threatening cardiac arrhythmias.
Infection related to a CIED is one of the most feared complications of this life
saving device. The rate of CIED infection has been estimated to be between 2 and
25; though evidence shows that this rate continues to rise with increasing
expenditure to the patient as well as healthcare systems. Multiple risk factors
have been attributed to the increased rates of CIED infection and host
comorbidities as well as procedure related risks. Infection prevention efforts
are being developed as defined bundles in numerous hospitals around the country
given the increased morbidity and mortality from CIED related infections. This
paper aims at reviewing the various infection prevention measures employed at
hospitals and also highlights the areas that have relatively less established
evidence for efficacy.
PMID- 26550495
TI - Eating Behaviours of British University Students: A Cluster Analysis on a
Neglected Issue.
AB - Unhealthy diet is a primary risk factor for noncommunicable diseases. University
student populations are known to engage in health risking lifestyle behaviours
including risky eating behaviours. The purpose of this study was to examine
eating behaviour patterns in a population of British university students using a
two-step cluster analysis. Consumption prevalence of snack, convenience, and fast
foods in addition to fruit and vegetables was measured using a self-report
"Student Eating Behaviours" questionnaire on 345 undergraduate university
students. Four clusters were identified: "risky eating behaviours," "mixed eating
behaviours," "moderate eating behaviours," and "favourable eating behaviours."
Nineteen percent of students were categorised as having "favourable eating
behaviours" whilst just under a third of students were categorised within the two
most risky clusters. Riskier eating behaviour patterns were associated with
living on campus and Christian faith. The findings of this study highlight the
importance of university microenvironments on eating behaviours in university
student populations. Religion as a mediator of eating behaviours is a novel
finding.
PMID- 26550497
TI - Boerhaave Syndrome, Pneumothorax, and Chylothorax in a Critically Ill Patient
with Tuberous Sclerosis Complex.
AB - Tuberous sclerosis complex (TSC) is an autosomal dominant, variably expressed
multisystem disease. The predominant pulmonary features of TSC are identical to
those of lymphangioleiomyomatosis (LAM). Pneumothorax, multifocal micronodular
pneumocyte hyperplasia, and chylothorax are rare complications of TSC. We report
a young male with pneumothorax, lung nodules, and chylous effusion who developed
empyema thoracis after esophageal rupture. Hospital course was complicated by
respiratory failure. Family opted to transfer to hospice care. Chylothorax is a
rare complication of TSC with few scattered reports mostly in female patients.
Patients with TSC are usually managed by multispecialists and it is important to
be aware of the rare pulmonary manifestations of this disease. A male patient
with TSC having lung nodules presenting with chylothorax and empyema thoracis
from Boerhaave syndrome makes our case unique.
PMID- 26550496
TI - Acute Putrescine Supplementation with Schwann Cell Implantation Improves Sensory
and Serotonergic Axon Growth and Functional Recovery in Spinal Cord Injured Rats.
AB - Schwann cell (SC) transplantation exhibits significant potential for spinal cord
injury (SCI) repair and its use as a therapeutic modality has now progressed to
clinical trials for subacute and chronic human SCI. Although SC implants provide
a receptive environment for axonal regrowth and support functional recovery in a
number of experimental SCI models, axonal regeneration is largely limited to
local systems and the behavioral improvements are modest without additional
combinatory approaches. In the current study we investigated whether the
concurrent delivery of the polyamine putrescine, started either 30 min or 1 week
after SCI, could enhance the efficacy of SCs when implanted subacutely (1 week
after injury) into the contused rat spinal cord. Polyamines are ubiquitous
organic cations that play an important role in the regulation of the cell cycle,
cell division, cytoskeletal organization, and cell differentiation. We show that
the combination of putrescine with SCs provides a significant increase in implant
size, an enhancement in axonal (sensory and serotonergic) sparing and/or growth,
and improved open field locomotion after SCI, as compared to SC implantation
alone. These findings demonstrate that polyamine supplementation can augment the
effectiveness of SCs when used as a therapeutic approach for subacute SCI repair.
PMID- 26550498
TI - Delirium Accompanied by Cholinergic Deficiency and Organ Failure in a 73-Year-Old
Critically Ill Patient: Physostigmine as a Therapeutic Option.
AB - Delirium is a common problem in ICU patients, resulting in prolonged ICU stay and
increased mortality. A cholinergic deficiency in the central nervous system is
supposed to be a relevant pathophysiologic process in delirium. Acetylcholine is
a major transmitter of the parasympathetic nervous system influencing several
organs (e.g., heart and kidneys) and the inflammatory response too. This
perception might explain that delirium is not an individual symptom, but rather a
part of a symptom complex with various disorders of the whole organism. The
cholinergic deficiency could not be quantified up to now. Using the possibility
of bedside determination of the acetylcholinesterase activity (AChE activity), we
assumed to objectify the cholinergic homeostasis within minutes. As reported
here, the postoperative delirium was accompanied by a massive hemodynamic and
renal deterioration of unclear genesis. We identified the altered AChE activity
as a plausible pathophysiological mechanism. The pharmacological intervention
with the indirect parasympathomimetic physostigmine led to a quick and lasting
improvement of the patient's cognitive, hemodynamic, and renal status. In
summary, severe delirium is not always an attendant phenomenon of critical
illness. It might be causal for multiple organ deterioration if it is based on
cholinergic deficiency and has to be treated at his pathophysiological roots
whenever possible.
PMID- 26550499
TI - Empedobacter brevis Bacteremia in a Patient Infected with HIV: Case Report and
Review of Literature.
AB - Clinical disease caused by Empedobacter brevis (E. brevis) is very rare. We
report the first case of E. brevis bacteremia in a patient with HIV and review
the current literature. A 69-year-old man with human immunodeficiency virus (HIV)
and CD4 count of 319 presented with chief complaints of black tarry stools,
nausea and vomiting for 2 days. Physical exam was significant for abdominal pain
on palpation with no rebound or guarding. His total leukocyte count was 32,000
cells/MUL with 82% neutrophils and 9% bands. Emergent colonoscopy and endoscopic
esophagogastroduodenoscopy showed esophageal candidiasis, a nonbleeding gastric
ulcer, and diverticulosis. Blood cultures drawn on days 1, 2, and 3 of
hospitalization grew E. brevis. Patient improved with intravenous antibiotics.
This case is unusual, raising the possibility of gastrointestinal colonization as
a source of the patient's bacteremia. In conclusion, E. brevis is an emerging
pathogen that can cause serious health care associated infections.
PMID- 26550500
TI - Rhabdomyolysis and Acute Kidney Injury Requiring Dialysis as a Result of
Concomitant Use of Atypical Neuroleptics and Synthetic Cannabinoids.
AB - The use of synthetic cannabinoids (SCBs) is associated with many severe adverse
effects that are not observed with marijuana use. We report a unique case of a
patient who developed rhabdomyolysis and acute kidney injury (AKI) requiring
dialysis after use of SCBs combined with quetiapine. Causes for the different
adverse effects profile between SCBs and marijuana are not defined yet. Cases
reported in literature with SCBs use have been associated with reversible AKI
characterized by acute tubular necrosis and interstitial nephritis. Recent
studies have showed the involvement of cytochromes P450s (CYPs) in
biotransformation of SCBs. The use of quetiapine which is a substrate of the
CYP3A4 and is excreted (73%) as urine metabolites may worsen the side effect
profiles of both quetiapine and K2. SCBs use should be included in the
differential diagnosis of AKI and serum Creatinine Phosphokinase (CPK) level
should be monitored. Further research is needed to identify the mechanism of SCBs
nephrotoxicity.
PMID- 26550501
TI - An Unexpected Cause of Severe Hypokalemia.
AB - We describe an unusual case of severe hypokalemia with electrocardiographic
changes, due to licorice consumption, in a 15-year-old female student with no
previous medical history. Prompt replacement of potassium and cessation of
licorice ingestion resulted in a favourable outcome. We also discuss the
pathophysiology and diagnosis, emphasizing the importance of a detailed anamnesis
to rule out an often forgotten cause of hypokalemia as the licorice poisoning.
PMID- 26550502
TI - Focal (123)I-FP-CIT SPECT Abnormality in Midbrain Vascular Parkinsonism.
AB - Cerebrovascular diseases are considered among possible causes of acute/subacute
parkinsonism, representing up to 22% of secondary movement disorders. In cases of
suspected vascular parkinsonism (VP), dopamine transporter SPECT has been highly
recommended to exclude nigrostriatal dopaminergic degeneration. We report the
case of a hemiparkinsonism related to a left midbrain infarct with focal
lateralized putaminal abnormalities at (123)I-FP-CIT SPECT imaging. The
asymmetric uptake at dopamine transporter SPECT was different to findings
commonly observed in typical PD pattern, because the ipsilateral striatum, in
opposite to idiopathic PD, showed normal tracer binding. However, this selective
parkinsonism after infarction of the midbrain was responsive to levodopa. In
conclusion, we retain that there is a need of more functional imaging studies in
VP addressed to a more consistent classification of its different clinical forms
and to a better understanding of the adequate pharmacological management.
PMID- 26550503
TI - CPAP Therapy Improves Intractable Hemifacial Spasm.
AB - The correlation between obstructive sleep apnea (OSA) and hemifacial spasm has
never been reported in the literature. Here, we report a case of OSA-induced
hypertension with intractable hemifacial spasm in which both conditions improved
after continuous positive airway pressure treatment.
PMID- 26550504
TI - An Unusual Case of Invasive Kaposi's Sarcoma with Primary Effusion Lymphoma in an
HIV Positive Patient: Case Report and Literature Review.
AB - We report a case of AIDS-related Kaposi's sarcoma (KS) with Primary Effusion
Lymphoma (PEL) in a 28-year-old, African American male. Kaposi's sarcoma is an
AIDS defining disease and typically will disseminate early in the course of the
disease affecting the skin, mucous membranes, gastrointestinal tract, lymph
nodes, and lungs. This case reports an unusual presentation of the disease along
with primary effusion lymphoma. Although the most common organ systems affected
by KS are the respiratory and the gastrointestinal systems, the lungs of this
patient did not show any evidence of KS. Additionally, the patient demonstrates
the rarely seen liver and unique pancreatic involvement by KS along with unusual
synchronous bilateral pleural and peritoneal cavity involvement by PEL, adding to
the distinct pattern of invasive AIDS-related Kaposi's sarcoma.
PMID- 26550505
TI - Is It Safe to Restart Antivascular Endothelial Growth Factor Therapy in Patients
with Renal Cell Carcinoma after Cardiac Ischemia?
AB - Agents targeting vascular endothelial growth factor (VEGF) represent active drugs
in treating patients with advanced renal cell carcinoma (RCC). Studies have shown
that sunitinib and axitinib can be associated with cardiac toxicity. Whether
these agents should be restarted in patients who experience cardiac ischemia
remains uncertain. Here, we present three patients with metastatic RCC who
restarted sunitinib or axitinib after intervention of active ischemic cardiac
disease without causing subsequent relevant cardiac events. This experience
suggests that these agents can be continued after management of cardiac ischemia.
PMID- 26550506
TI - Mucoepidermoid Carcinoma of the Palatine Tonsil.
AB - Mucoepidermoid carcinoma (MEC) is the most common primary salivary gland
malignancy in both adults and children. It has a slight female predilection and
usually presents as a painless, rubber-like or soft mass, which may be fixed or
mobile. Histologically, MEC is comprised of a mixture of cell types including
mucous, epidermoid, and intermediate cells that can be arranged in solid nests or
cystic structures. In the oral cavity, it most frequently occurs at the palate or
buccal mucosa. The present paper aimed to describe an unusual case of MEC arising
in the palatine tonsil.
PMID- 26550507
TI - Unilateral Acute Anterior Ischemic Optic Neuropathy in a Patient with an Already
Established Diagnosis of Bilateral Optic Disc Drusen.
AB - Optic disc drusen (ODD) are calcific deposits that form in the optic nerve head
secondary to abnormalities in axonal metabolism and degeneration. Anterior
ischemic optic neuropathy, central retinal artery, and vein occlusion are among
the rare vascular complications of disc drusen. We reported the clinical course
of a 51-year-old patient with a unilateral acute nonarteritic anterior ischemic
optic neuropathy (NAION) who received the diagnosis of bilateral optic disc
drusen five years earlier and thereby reiterated the association of ODD and acute
NAION.
PMID- 26550508
TI - Medical and Surgical Treatment in Pediatric Orbital Myositis Associated with
Coxsackie Virus.
AB - Purpose. To report a case of orbital myositis associated with Coxsackie virus and
its medical and surgical approach. Methods. Complete ophthalmological examination
and imaging and analytical investigation were performed. Results. A 6-year-old
male presented with subacute painless binocular horizontal diplopia. Examination
revealed bilateral best-corrected visual acuity (BCVA) of 20/20 and right eye 45
prism-dioptre (PD) esotropia in near and distance fixations, with no motility
restrictions. Serologic screening was positive for Coxsackie virus acute
infection and computerized tomography (CT) suggested right eye medial rectus
orbital myositis. An oral corticosteroid 1.0 mg/kg/day regimen was started. A new
CT after two months showed symmetrical lesions in both medial rectus muscles.
Corticosteroids were increased to 1.5 mg/kg/day. After imagiological resolution
on the 4th month, alternating 45 PD esotropia persisted. Bilateral 7 mm medial
rectus recession was performed after 1 year without spontaneous recovery. At 1
year follow-up, the patient is orthophoric with 200'' stereopsis and bilateral
20/20 BCVA. Conclusions. To our knowledge, this is the first reported case of
orbital myositis associated with Coxsackie virus. This is also the first reported
case of isolated strabismus surgery after orbital myositis in pediatric age,
highlighting the favourable aesthetic and functional outcomes even in cases of
late ocular motility disorders.
PMID- 26550509
TI - Uncommon Variant of Type II Monteggia Fracture with Concomitant Distal Humeral
Fracture.
AB - Monteggia fracture-dislocation, a common injury sustained by pediatric
population, is a rare entity in adults. It was first observed by Giovanni
Battista Monteggia and later classified by Bado into 4 groups. The term
"Monteggia equivalent or variant" was introduced to describe certain injuries
with similar radiographic pattern and biomechanism of injury. Since then various
types and their variants have been described in the literature. We present a
complex fracture pattern in a 55-year-old male not previously described in the
literature along with its treatment modality and favorable outcome.
PMID- 26550510
TI - Detached Anterior Horn of the Medial Meniscus Mimicking a Parameniscal Cyst.
AB - We report a case of a detached anterior horn of the medial meniscus with anterior
knee pain. Preoperative magnetic resonance images of the knee were initially
interpreted as a parameniscal cyst. Arthroscopic examination revealed subluxation
of the anterior horn of the medial meniscus due to detachment from its anterior
tibial insertion. Arthroscopic fixation with a suture anchor was successful and
the cystic lesion was no longer visible on postoperative images.
PMID- 26550511
TI - Follicular Thyroid Carcinoma Metastatic to the Kidney: Report of a Case with
Cytohistologic Correlation.
AB - Here we report a case of a 45-year-old female who underwent thyroidectomy for
thyroid cancer and presented 20 years later with a left renal mass. CT-guided
core biopsy was performed, and imprints and histologic sections of the biopsy
showed cells resembling thyroid follicular cells with a background containing
colloid. Immunohistochemistry revealed positivity for thyroglobulin and thyroid
transcription factor 1, consistent with metastatic follicular thyroid carcinoma
(FTC). The patient later underwent radical nephrectomy; histologic sections of
the resected tumor revealed an encapsulated lesion morphologically similar to the
biopsy specimen. Thyroid metastases to the kidney are extremely rare and are
often detected during postthyroidectomy surveillance by elevation in thyroid
hormone levels, (131)I scintigraphy, or (18)F-fluorodeoxyglucose uptake in
positron emission tomography studies. Treatment involves total thyroidectomy,
resection of the metastatic foci, and (131)I therapy. The differential diagnoses
of renal metastasis of FTC include the encapsulated follicular variant of
papillary thyroid carcinoma (PTC), which possesses some of the nuclear features
seen in conventional PTC but may occasionally be indistinguishable from FTC in
cytologic preparations, and renal lesions such as benign thyroidization of the
kidney and thyroid-like follicular carcinoma of the kidney, which mimic FTC in
histologic appearance but do not stain with thyroid markers.
PMID- 26550512
TI - Corrigendum to "Netherton Syndrome in a Neonate with Possible Growth Hormone
Deficiency and Transient Hyperaldosteronism".
PMID- 26550513
TI - Radiographic Thrombus within the External Jugular Vein: Report of a Rare Case and
Review of the Literature.
AB - We are reporting a case of a 91-year-old male with a primary malignancy of the
right parotid gland with radiographic thrombus extension within the right
external jugular vein. He was treated with palliative radiation therapy to the
right parotid mass with a marked clinical response. The rarity of this occurrence
as documented in the review of the literature provides for uncertainty with
regard to proper management. Radiographic evidence of thrombus in the absence of
clinical manifestations, the role of anticoagulation, and the proper radiation
target delineation were all challenges encountered in the care of this patient.
Our case represents a rare occurrence with unique radiologic findings that has
implications for management.
PMID- 26550514
TI - An Unusual Association: Iliopsoas Bursitis Related to Calcium Pyrophosphate
Crystal Arthritis.
AB - A 71-year-old man with osteoarthritis and chondrocalcinosis came to our
observation developing a swelling in the groin region after a recent left
colectomy for adenocarcinoma. The imaging techniques revealed the presence of an
iliopsoas bursitis in connection with the hip. The synovial fluid analysis
detected the presence of calcium pyrophosphate (CPP) crystals and allowed the
final and unusual diagnosis of iliopsoas bursitis related to acute CPP crystal
hip arthritis.
PMID- 26550515
TI - A Rare Complication of Composite Dual Mesh: Migration and Enterocutaneous Fistula
Formation.
AB - Introduction. Mesh is commonly employed for abdominal hernia repair because it
ensures a low recurrence rate. However, enterocutaneous fistula due to mesh
migration can occur as a very rare, late complication, for which diagnosis is
very difficult. Presentation of Case. Here we report the case of an
enterocutaneous fistula due to late mesh migration in a mentally retarded,
diabetic, 35-year-old male after umbilical hernia repair with composite dual mesh
in 2010. Discussion. Mesh is a foreign substance, because of that some of the
complications including hematoma, seroma, foreign body reaction, organ damage,
infection, mesh rejection, and fistula formation may occur after implantation of
the mesh. In the literature, most cases of mesh-associated enterocutaneous
fistula due to migration involved polypropylene meshes. Conclusion. This case
serves as a reminder of migration of composite dual meshes.
PMID- 26550516
TI - A Case of Pneumococcal Peritonitis after Caesarean Section in a Healthy Woman.
AB - Pneumococcal peritonitis is prevalent in children and adults with comorbidities
but extremely rare in healthy adults. Here we describe a case of pneumococcal
peritonitis in a previously healthy woman with no known risk factors who
presented with constipation, abdominal pain, and distention. Her only past
medical history was an uncomplicated C-section two months prior to presentation.
A laparotomy revealed a pneumococcal peritonitis without visible source of
infection. The patient remained hospitalized until completion of antibiotic
regimen with Ceftriaxone and resolution of symptoms. This report adds to the
small body of evidence showing possible pneumococcal peritonitis in healthy young
adults.
PMID- 26550517
TI - Premalignant and Malignant Skin Lesions in Two Recipients of Vascularized
Composite Tissue Allografts (Face, Hands).
AB - Recipients of solid organ transplants (RSOT) have a highly increased risk for
developing cutaneous premalignant and malignant lesions, favored by the lifelong
immunosuppression. Vascularized composite tissue allografts (VCA) have been
introduced recently, and relevant data are sparse. Two patients with skin cancers
(one with basal cell carcinoma and one with squamous cell carcinomas) have been
so far reported in this patient group. Since 2000 we have been following 9
recipients of VCA (3 face, 6 bilateral hands) for the development of rejection
and complications of the immunosuppressive treatment. Among the 9 patients, one
face-grafted recipient was diagnosed with nodular-pigmented basal cell carcinoma
of her own facial skin 6 years after graft, and one patient with double hand
allografts developed disseminated superficial actinic porokeratosis, a
potentially premalignant dermatosis, on her skin of the arm and legs. Similar to
RSOT, recipients of VCA are prone to develop cutaneous premalignant and malignant
lesions. Prevention should be applied through sun-protective measures, regular
skin examination, and early treatment of premalignant lesions.
PMID- 26550519
TI - Diagnosis of Obstructive Sleep Apnea in Parkinson's Disease Patients: Is
Unattended Portable Monitoring a Suitable Tool?
AB - Purpose. Obstructive sleep apnea (OSA) is frequent in Parkinson's disease (PD)
and may contribute to nonmotor symptoms. Polysomnography (PSG) is the gold
standard for OSA diagnosis. Unattended portable monitoring (PM) may improve
access to diagnosis but has not been studied in PD. We assessed feasibility and
diagnostic accuracy in PD. Methods. Selected PD patients without known OSA
underwent home PM and laboratory PSG. The quality of PM signals (n = 28) was
compared with matched controls. PM accuracy was calculated compared with PSG for
standard apnea hypopnea index (AHI) thresholds. Results. Technical failure rate
was 27.0% and airflow signal quality was lower than in controls. Sensitivity of
PM was 84.0%, 36.4%, and 50.0% for AHI cut-offs of 5/h, 15/h, and 30/h,
respectively, using the same cut-offs on PM. Specificity was 66.7%, 83.3%, and
100%, respectively. PM underestimated the AHI with a mean bias of 12.4/h.
Discrepancy between PM and PSG was greater in those with more motor dysfunction.
Conclusion. PM was adequate to "rule in" moderate or severe OSA in PD patients,
but the failure rate was relatively high and signal quality poorer than in
controls. PM overall underestimated the severity of OSA in PD patients,
especially those with greater motor dysfunction.
PMID- 26550518
TI - Curbing Inflammation in Multiple Sclerosis and Endometriosis: Should Mast Cells
Be Targeted?
AB - Inflammatory diseases and conditions can arise due to responses to a variety of
external and internal stimuli. They can occur acutely in response to some stimuli
and then become chronic leading to tissue damage and loss of function. While a
number of cell types can be involved, mast cells are often present and can be
involved in the acute and chronic processes. Recent studies in porcine and rabbit
models have supported the concept of a central role for mast cells in a "nerve
mast cell-myofibroblast axis" in some inflammatory processes leading to
fibrogenic outcomes. The current review is focused on the potential of extending
aspects of this paradigm into treatments for multiple sclerosis and
endometriosis, diseases not usually thought of as having common features, but
both are reported to have activation of mast cells involved in their respective
disease processes. Based on the discussion, it is proposed that targeting mast
cells in these diseases, particularly the early phases, may be a fruitful avenue
to control the recurring inflammatory exacerbations of the conditions.
PMID- 26550520
TI - Characterization of Nutritional Composition, Antioxidative Capacity, and Sensory
Attributes of Seomae Mugwort, a Native Korean Variety of Artemisia argyi H. Lev.
& Vaniot.
AB - Few studies have investigated Seomae mugwort (a Korean native mugwort variety of
Artemisia argyi H. Lev. & Vaniot), exclusively cultivated in the southern Korean
peninsula, and the possibility of its use as a food resource. In the present
study, we compared the nutritional and chemical properties as well as sensory
attributes of Seomae mugwort and the commonly consumed species Artemisia princeps
Pamp. In comparison with A. princeps, Seomae mugwort had higher contents of
polyunsaturated fatty acids, total phenolic compounds, vitamin C, and essential
amino acids. In addition, Seomae mugwort had better radical scavenging activity
and more diverse volatile compounds than A. princeps as well as favorable sensory
attributes when consumed as tea. Given that scant information is available
regarding the Seomae mugwort and its biological, chemical, and sensory
characteristics, the results herein may provide important characterization data
for further industrial and research applications of this mugwort variety.
PMID- 26550521
TI - Antifungal Effect of Lavender Essential Oil (Lavandula angustifolia) and
Clotrimazole on Candida albicans: An In Vitro Study.
AB - Background. The treatment of candidiasis infections is an important problem in
the health care system. This study aimed to investigate the in vitro effect of
lavender essential oil and clotrimazole on isolated C. albicans from vaginal
candidiasis. Materials and Methods. In this clinical trial, C. albicans isolated
from the vaginal discharge samples was obtained. Results. The pairwise comparison
showed that lavender and clotrimazole had a significant difference; this
difference in the lavender group was lower than clotrimazole. But, after 48
hours, there was no difference seen between groups. There was a significant
difference between clotrimazole and DMSO groups. Comparing the changes between
groups based on the same dilution, at 24 h and 48 h in clotrimazole group, showed
a significant difference two times in the fungal cell count that its average
during 48 h was less than 24 h. A significant difference was observed between the
two periods in lavender group, only at the dilutions of 1/20 and 1/80. The
average fungal cell count after 48 h was also lower in lavender group.
Conclusions. Given that the lavender has antifungal activity, this can be used as
an antifungal agent. However, more clinical studies are necessary to validate its
use in candida infection.
PMID- 26550522
TI - Perceptions of Nigerian Women about Human Papilloma Virus, Cervical Cancer, and
HPV Vaccine.
AB - Background. Cervical cancer caused by human papilloma virus (HPV) though
preventable has claimed the lives of many women worldwide. This study was
embarked upon to evaluate the general knowledge and perceptions of Nigerian women
on HPV, cervical cancer, and HPV vaccine. Methods. Structured questionnaires were
administered to a cross section of 737 women randomly selected from the general
population in two southwestern States of Nigeria. Statistical analysis was done
using SPSS computer software version 16. A P value >0.05 was considered
statistically significant. Results. One hundred and seventy-six (23.9%) of the
respondents had knowledge of HPV; 474 (64.3%) are aware of cervical cancer but
only 136 (18.5%) know that HPV causes cervical cancer. 200 (27.1%) are aware that
there is an HPV vaccine while 300 (40.7%) had knowledge of Pap smear test. Two
hundred and sixty (35.3%) of the respondents know that early detection of HPV can
prevent cervical cancer and in spite of this, only 110 (14.9%) have taken the Pap
smear test before while 151 (20.5%) are not willing to go for the test at all.
Conclusions. There is therefore the need to create proper awareness on the HPV
and its possible consequence of cervical carcinoma.
PMID- 26550523
TI - Welcoming a global microbiome initiative proposal for precision dentistry.
PMID- 26550524
TI - Assessment of buccal bone thickness of aesthetic maxillary region: a cone-beam
computed tomography study.
AB - PURPOSE: The aim of this study was to analyze the anatomical dimensions of the
buccal bone walls of the aesthetic maxillary region for immediate implant
placement, based upon cone-beam computed tomography (CBCT) scans in a sample of
adult patients. METHODS: Two calibrated examiners analyzed a sample of 50 CBCT
scans, performing morphometric analyses of both incisors and canines on the left
and right sides. Subsequently, in the sagittal view, a line was traced through
the major axis of the selected tooth. Then, a second line (E) was traced from the
buccal to the palatal wall at the level of the observed bone ridges. The heights
of the buccal and palatal bone ridges were determined at the major axis of the
tooth. The buccal bone thickness was measured across five lines. The first was at
the level of line E. The second was at the most apical point of the tooth, and
the other three lines were equidistant between the apical and the cervical lines,
and parallel to them. Statistical analysis was performed with a significance
level of P<=0.05 for the bone thickness means and standard deviations per tooth
and patient for the five lines at varying depths. RESULTS: The means of the
buccal wall thicknesses in the central incisors, lateral incisors and canines
were 1.14+/-0.65 mm, 0.95+/-0.67 mm and 1.15+/-0.68 mm, respectively.
Additionally, only on the left side were significant differences in some
measurements of buccal bone thickness observed according to age and gender.
However, age and gender did not show significant differences in heights between
the palatal and buccal plates. In a few cases, the buccal wall had a greater
height than the palatal wall. CONCLUSIONS: Less than 10% of sites showed more
than a 2-mm thickness of the buccal bone wall, with the exception of the central
incisor region, wherein 14.4% of cases were >=2 mm.
PMID- 26550525
TI - Peri-implant crevicular fluid levels of cathepsin-K, RANKL, and OPG around
standard, short, and mini dental implants after prosthodontic loading.
AB - PURPOSE: Despite the high success rates of endosseous dental implants, their
placement is restricted according to the height and volume of bone available. The
use of short or mini dental implants could be one way to overcome this
limitation. Thus, this study aimed to compare standard, short, and mini dental
implants with regard to associated clinical parameters and peri-implant
crevicular fluid (PICF) levels of cathepsin -K (CTSK), RANK ligand (RANKL), and
osteoprotegerin (OPG), after prosthodontic loading. METHODS: A total of 78 non
submerged implants (Euroteknika, Aesthetica(+2), Sallanches, France) were
installed in 30 subjects (13 male, 17 female; range, 26-62 years) who visited the
clinic of the Periodontology Department, Faculty of Dentistry, Selcuk University.
Sampling and measurements were performed on the loading date (baseline) and 2,
14, and 90 days after loading. Assessment of the peri-implant status for the
implant sites was performed using the pocket probing depth (PPD), modified plaque
index, modified gingival index, modified sulcular bleeding index, and
radiographic signs of bone loss. PICF samples collected from each implant were
evaluated for CTSK, RANKL, and OPG levels using the ELISA method. Keratinized
tissue and marginal bone loss (MBL) were also noted. RESULTS: Clinical parameters
statistically significantly increased in each group but did not show statistical
differences between groups without PPD. Although implant groups showed a higher
MBL in the upper jaw, only the standard dental group demonstrated a statistically
significant difference. At 90 days, the OPG: sRANKL ratio and total amounts of
CTSK for each group did not differ from baseline. CONCLUSIONS: Within the
limitations of this study, both short and mini dental implants were achieving the
same outcomes as the standard dental implants in the early period after loading.
PMID- 26550526
TI - Humoral immune responses to periodontal pathogens in the elderly.
AB - PURPOSE: Elderly people are thought to be more susceptible to periodontal disease
due to reduced immune function associated with aging. However, little information
is available on the nature of immune responses against putative periodontal
pathogens in geriatric patients. The purpose of this study was to evaluate the
serum IgG antibody responses to six periodontal pathogens in geriatric subjects.
METHODS: The study population consisted of 85 geriatric patients and was divided
into three groups: 29 mild (MCP), 27 moderate (MoCP) and 29 severe (SCP) chronic
periodontitis patients. Serum levels of IgG antibody to Porphyromonas gingivalis,
Tannerella forsythia, Treponema denticola, Aggregatibacter actinomycetemcomitans,
Fusobacterium nucleatum and Prevotella intermedia were measured by enzyme-linked
immunosorbent assay (ELISA) and compared among the groups. RESULTS: All three
groups showed levels of serum IgG in response to P. gingivalis, A.
actinomycetemcomitans, and P. intermedia that were three to four times higher
than levels of IgG to T. forsythia, T. denticola, and F. nucleatum. There were no
significant differences among all three groups in IgG response to P. gingivalis
(P=0.065), T. forsythia (P=0.057), T. denticola (P=0.1), and P. intermedia
(P=0.167), although the IgG levels tended to be higher in patients with SCP than
in those with MCP or MoCP (with the exception of those for P. intermedia). In
contrast, there were significant differences among the groups in IgG levels in
response to F. nucleatum (P=0.001) and A. actinomycetemcomitans (P=0.003). IgG
levels to A. actinomycetemcomitans were higher in patients with MCP than in those
with MoCP or SCP. CONCLUSIONS: When IgG levels were compared among three
periodontal disease groups, only IgG levels to F. nucleatum significantly
increased with the severity of disease. On the contrary, IgG levels to A.
actinomycetemcomitans decreased significantly in patients with SCP compared to
those with MCP. There were no significant differences in the IgG levels for P.
gingivalis, T. forsythia, T. denticola, and P. intermedia among geriatric
patients with chronic periodontitis.
PMID- 26550527
TI - Effect of root planing on the reduction of probing depth and the gain of clinical
attachment depending on the mode of interproximal bone resorption.
AB - PURPOSE: The purpose of the present study was to evaluate the effect of root
planing on the reduction of probing pocket depth and the gain of clinical
attachment depending on the pattern of bone resorption (vertical versus
horizontal bone loss) in the interproximal aspect of premolar teeth that showed
an initial probing pocket depth of 4-6 mm. METHODS: In this study, we analyzed 68
teeth (15 from the maxilla and 53 from the mandible) from 32 patients with
chronic periodontitis (17 men and 15 women; mean age, 53.6 years). The probing
pocket depth and clinical attachment level at all six sites around each tooth
were recorded before treatment to establish a baseline value, and then three
months and six months after root planing. RESULTS: The reduction in interdental
pocket depth was 1.1 mm in teeth that experienced horizontal bone loss and 0.7 mm
in teeth that experienced vertical bone loss. Interdental attachment was
increased by 1.0 mm in teeth with horizontal bone loss and by 0.7 mm in teeth
with vertical bone loss. The reduction of probing pocket depth and the gain of
clinical attachment occurred regardless of defect patterns three and six months
after root planing. CONCLUSIONS: The reduction of pocket depth and gain in the
clinical attachment level were significantly larger in horizontally patterned
interproximal bone defects than in vertical bone defects.
PMID- 26550528
TI - New targets for controlling Ebola virus disease.
PMID- 26550529
TI - Intracerebral hemorrhage revealing a Cushing's disease.
AB - Secondary hypertension related to endocrine disease is uncommon. Here, we report
a case of hypertensive intracerebral hemorrhage associated with Cushing's
disease.
PMID- 26550530
TI - The influence of type 2 diabetes and gender on ventricular repolarization
dispersion in patients with sub-clinic left ventricular diastolic dysfunction.
AB - OBJECTIVE: To assess the influence of type 2 DM and gender, on the QT dispersion,
Tpeak-Tend dispersion of ventricular repolarization, in patients with sub-clinic
left ventricular diastolic dysfunction of the heart. BACKGROUND: QT dispersion,
that reflects spatial inhomogeneity in ventricular repolarization, Tpeak-Tend
dispersion, this on the other hand reflects transmural inhomogeneity in
ventricular repolarization, that is increased in an early stage of
cardiomyopathy, and in patients with left ventricular diastolic dysfunction, as
well. The left ventricular diastolic dysfunction, a basic characteristic of
diabetic heart disease (diabetic cardiomyopathy), that developes earlier than
systolic dysfunction, suggests that diastolic markers might be sensitive for
early cardiac injury. It is also demonstrated that gender has complex influence
on indices of myocardial repolarization abnormalities such as QT interval and QT
dispersion. MATERIAL AND METHODS: We performed an observational study including
300 diabetic patients with similar epidemiological-demographic characteristics
recruited in our institution from May 2009 to July 2014, divided into two groups.
Demographic and laboratory echocardiographic data were obtained, twelve lead
resting electrocardiography, QT, QTc, Tpeak-Tend-intervals and dispersion, were
determined manually, and were compared between various groups. For statistical
analysis a t-test, X(2) test, and logistic regression are used according to the
type of variables. A p value <0.05 was considered statistically significant for a
confidence interval of 95%. RESULTS: QTc max. interval, QTc dispersion and Tpeak
Tend dispersion, were significantly higher in diabetic group with subclinical LV
(left ventricular) diastolic dysfunction, than in diabetic group with normal left
ventricular diastolic function (445.24+/-14.7 ms vs. 433.55+/-14.4 ms, P<0.000;
44.98+/-18.78 ms vs. 32.05+/-17.9 ms, P<0.000; 32.60+/-1.6 ms vs. 17.46+/-2.0 ms,
P<0.02. Prolonged QTc max. interval was found in 33% of patients, indiabetic
group with subclinical left ventricular diastolic dysfunction vs. 13.3% of
patients in diabetic group with normal left ventricular diastolic function, (Chi
square: 16.77, P<0.0001). A prolonged QTc dispersion, was found in 40.6% of
patients, in diabetic group with subclinical left ventricular diastolic
dysfunction vs. 20% of patients in diabetic group with normal left ventricular
diastolic function Chi-square: 14.11, P<0.0002). A prolonged dispersion of Tpeak
Tend interval was found in 24% of patients in diabetic group with subclinical
left ventricular diastolic dysfunction vs. 13.3% of patients in diabetic group
with normal left ventricular diastolic function (Chi-square: 12.00, P<0.005).
Females in diabetic group with subclinical left ventricular diastolic dysfunction
in comparison with males in diabetic group with subclinical left ventricular
diastolic dysfunction, have a significantly prolonged: mean QTc max. interval
(23.3% vs. 10%, Chisquare: 12.0, P<0.005), mean QTc dispersion (27.3% vs. 13.3%,
Chi-square: 10.24, P<0.001), mean Tpeak-Tend interval (10% vs. 3.3%, Chi-square:
5.77, P<0.01), mean Tpek-Tend dispersion (16.6% vs. 6.6%, Chi-square: 8.39,
P<0.003). CONCLUSION: The present study has shown that influences of type 2
diabetes and gender in diabetics with sub-clinical left-ventricular diastolic
dysfunction are reflected in a set of electrophysiological parameters that
indicate a prolonged and more heterogeneous repolarization than in diabetic
patients with normal diastolic function. In addition, it demonstrates that there
exist differences between diabetic females with sub-clinic LV dysfunction and
those with diabetes and normal LV function in the prevalence of increased set of
electrophysiological parameters that indicate a prolonged and more heterogeneous
repolarization.
PMID- 26550531
TI - Multivariate analysis of the volumetric capnograph for PaCO2 estimation.
AB - PURPOSE: End-tidal CO2 (eTCO2) can be used to estimate the arterial CO2 (PaCO2)
under steady-state conditions, but that relationship deteriorates during
hemodynamic or respiratory instability. We developed a multivariate method to
improve our ability to estimate the PaCO2, by using additional information
contained in the volumetric capnograph (Vcap) waveform. We tested this approach
using data from a porcine model of chest trauma/hemorrhage. METHODS: This
experiment consisted of 3 stages: pre-injury, injury/resuscitation, and post
injury. In stage I, anesthetized pigs (n=26) underwent ventilator maneuvers
(tidal volume and respiratory rate) to induce hypo-or hyper-ventilation. In stage
II, pigs underwent either (A) unilateral pulmonary contusion, hemorrhage, and
resuscitation (n=13); or (B) bilateral pulmonary contusion (n=13) followed by 30
min of monitoring. In stage III, the ventilator maneuvers were repeated. The
following Vcap features were measured: eTCO2, phase 2 slope (p2m), phase 3 slope
(p3m), and inter-breath interval. The data were fit to 2 models: (1) multivariate
linear regression and (2) a machine-learning model (M5P). RESULTS: 1750 10-breath
sets were analyzed. Univariate models employing eTCO2 alone were adequate during
stages I and III. During stage II, mean error for the linear model was -8.44 mmHg
(R(2)=0.14, P<0.001) and for M5P it was -5.98 mmHg (R(2)=0.13, P<0.01). By adding
Vcap features, all models exhibited improvement. In stage II, the mean error of
the linear model improved to -4.64 mmHg (R(2)=0.11, P<0.01), and that of the M5P
model improved to -1.62 mmHg (R(2)=0.25, P<0.01). CONCLUSIONS: By incorporating
Vcap waveform features, multivariate methods modestly improved PaCO2 estimation,
especially during periods of hemodynamic and respiratory instability. Further
work would be needed to produce a clinically useful CO2 monitoring system under
these challenging conditions.
PMID- 26550532
TI - Shivlilik burns: injuries resulting from traditional celebrations.
AB - INTRODUCTION: In Konya, Turkey, the community celebrates the traditional ceremony
of Shivlilik, which occurs on the first day of the seventh month in the lunar
based Hijri calendar. In the evening, people light bonfires of tires in the
streets, and children and young people attempt to jump over the flames. Flame
burns regularly occur due to falling. Attention should be given to preventing
injuries such as these that are caused by social and regional customs. METHODS:
This retrospective study was carried out using data from the Konya Education and
Research Hospital Burn Unit. Patients admitted to our hospital between June,
2009, and May, 2012, was evaluated. RESULTS: Eleven patients were admitted to
hospital with flame burns caused by jumping over fires on the days when the
traditional Shivlilik ceremony was celebrated. The clinical data evaluated
included the patient's age and sex, the depth of the burn injury, the total
burned surface area (TBSA), and the distribution of the burn areas. CONCLUSIONS:
Serious flame burns occur because of the traditional Shivlilik ceremony. We must
promote some changes in this ceremony in order to prevent these burns.
PMID- 26550533
TI - Intraoral chemical burn in an elderly patient with dementia.
AB - We describe the case of a 77 year-old Japanese woman who was referred to the
Department of Oral and Maxillofacial Surgery at Tokyo Women's Medical University
Hospital with symptoms of spontaneous intraoral pain and dysphagia evoked by
accidental alkaline (calcium oxide) ingestion. The stomach and esophagus were
examined under endoscopy, but no evidence of burns or ulceration associated with
the calcium oxide was apparent in the upper gastrointestinal tract. Oral care,
antibacterial therapy (cefmetazole sodium) and nutritional management were
performed after hospitalization. Mucosal erosions, dysphagia and pneumonia were
almost resolved after 16 days of oral care and antibacterial treatment. Re-burn
of the oral mucosa associated with accidental ingestion was not reported after
discharge. Oral management may have potential to improve the management of
intraoral chemical burns, but symptomatic treatment remains the only strategy for
burn management. Accidental ingestion of chemicals by patients with impaired
cognition may result in dire consequences and prevention is thus more important
than burn management.
PMID- 26550534
TI - Transfer between an Algerian and a French hospital of four multi-drug resistant
bacterial strains together via a single patient.
AB - A 5 years-old girl, seriously burnt with fire, was first hospitalized during four
days in an hospital at Alger, and then transferred to our hospital at Paris.
Admitted in our intensive care burns unit, she was third degree burnt on 78% of
total body surface area, already treated with imipenem and vancomycin at her
arrival. Clinical aggravation was rapidly observed and death occurred within 24
hours. Cultures of blood and multiple wound swabs yielded 3 multi-drug resistant
bacterial strains: Acinetobacter baumannii with carbapenemase OXA-23, Pseudomonas
aeruginosa serotype O11 with metallo-beta-lactamase VIM-4 and Klebsiella
pneumoniae with CTX-M-15 extended-spectrum beta-lactamase. Culture of a rectal
swab showed colonization by Enterococcus faecium with vanA glycopeptides
resistance. Patients colonized with one or two multi-drug-resistant strains were
not rare in our burns unit, especially those transferred from Algeria, but this
case of a single patient harboring four multi-drug-resistant strains is
exceptional.
PMID- 26550535
TI - PET studies in epilepsy.
AB - Various PET studies, such as measurements of glucose, serotonin and oxygen
metabolism, cerebral blood flow and receptor bindings are availabe for epilepsy.
(18)Fluoro-2-deoxyglucose ((18)F-FDG) PET imaging of brain glucose metabolism is
a well established and widely available technique. Studies have demonstrated that
the sensitivity of interictal FDG-PET is higher than interictal SPECT and similar
to ictal SPECT for the lateralization and localization of epileptogenic foci in
presurgical patients refractory to medical treatments who have noncontributory
EEG and MRI. In addition to localizing epileptogenic focus, FDG-PET provide
additional important information on the functional status of the rest of the
brain. The main limitation of interictal FDG-PET is that it cannot precisely
define the surgical margin as the area of hypometabolism usually extends beyond
the epileptogenic zone. Various neurotransmitters (GABA, glutamate, opiates,
serotonin, dopamine, acethylcholine, and adenosine) and receptor subtypes are
involved in epilepsy. PET receptor imaging studies performed in limited centers
help to understand the role of neurotransmitters in epileptogenesis, identify
epileptic foci and investigate new treatment approaches. PET receptor imaging
studies have demonstrated reduced (11)C-flumazenil (GABAA-cBDZ) and (18)F-MPPF (5
HT1A serotonin) and increased (11)C-cerfentanil (mu opiate) and (11)C-MeNTI
(delta opiate) bindings in the area of seizure. (11)C-flumazenil has been
reported to be more sensitive than FDG-PET for identifying epileptic foci. The
area of abnormality on GABAAcBDZ and opiate receptor images is usually smaller
and more circumscribed than the area of hypometabolism on FDG images. Studies
have demonstrated that (11)C-alpha-methyl-L-tryptophan PET (to study synthesis of
serotonin) can detect the epileptic focus within malformations of cortical
development and helps in differentiating epileptogenic from non-epileptogenic
tubers in patients with tuberous sclerosis complex. (15)O-H2O PET was reported to
have a similar sensitivity to FDG-PET in detecting epileptic foci.
PMID- 26550536
TI - Monitoring of anti-cancer treatment with (18)F-FDG and (18)F-FLT PET: a
comprehensive review of pre-clinical studies.
AB - Functional imaging of solid tumors with positron emission tomography (PET)
imaging is an evolving field with continuous development of new PET tracers and
discovery of new applications for already implemented PET tracers. During
treatment of cancer patients, a general challenge is to measure treatment effect
early in a treatment course and by that to stratify patients into responders and
non-responders. With 2-deoxy-2-[(18)F]fluoro-D-glucose ((18)F-FDG) and 3'-deoxy
3'-[(18)F]fluorothymidine((18)F-FLT) two of the cancer hallmarks, altered energy
metabolism and increased cell proliferation, can be visualized and quantified non
invasively by PET. With (18)F-FDG and (18)F-FLT PET changes in energy metabolism
and cell proliferation can thereby be determined after initiation of cancer
treatment in both clinical and pre-clinical studies in order to predict, at an
early time-point, treatment response. It is hypothesized that decreases in
glycolysis and cell proliferation may occur in tumors that are sensitive to the
applied cancer therapeutics and that tumors that are resistant to treatment will
show unchanged glucose metabolism and cell proliferation. Whether (18)F-FDG
and/or (18)F-FLT PET can be used for prediction of treatment response has been
analyzed in many studies both following treatment with conventional
chemotherapeutic agents but also following treatment with different targeted
therapies, e.g. monoclonal antibodies and small molecules inhibitors. The results
from these studies have been most variable; in some studies early changes in
(18)F-FDG and (18)F-FLT uptake predicted later tumor regression whereas in other
studies no change in tracer uptake was observed despite the treatment being
effective. The present review gives an overview of pre-clinical studies that have
used (18)F-FDG and/or (18)F-FLT PET for response monitoring of cancer
therapeutics.
PMID- 26550537
TI - Quantitative myocardial blood flow with Rubidium-82 PET: a clinical perspective.
AB - Positron emission tomography (PET) allows assessment of myocardial blood flow in
absolute terms (ml/min/g). Quantification of myocardial blood flow (MBF) and
myocardial flow reserve (MFR) extend the scope of conventional semi-quantitative
myocardial perfusion imaging (MPI): e.g. in 1) identification of the extent of a
multivessel coronary artery disease (CAD) burden, 2) patients with balanced 3
vessel CAD, 3) patients with subclinical CAD, and 4) patients with regional flow
variance, despite of a high global MFR. A more accurate assessment of the
ischemic burden in patients with intermediate pretest probability of CAD can
support the clinical decision-making in treatment of CAD patients as a
complementary tool to the invasive coronary angiography (CAG). Recently, several
studies have proven Rubidium-82 ((82)Rb) PET's long-term prognostic value by a
significant association between compromised global MFR and major adverse
cardiovascular events (MACE), and together with new diagnostic possibilities from
measuring the longitudinal myocardial perfusion gradient, cardiac (82)Rb PET
faces a promising clinical future. This article reviews current evidence on
quantitative (82)Rb PET's ability to diagnose and risk stratify CAD patients,
while assessing the potential of the modality in clinical practice.
PMID- 26550538
TI - Comparison of (18)F-FDG PET/CT and PET/MRI in patients with multiple myeloma.
AB - PET/MRI represents a promising hybrid imaging modality with several potential
clinical applications. Although PET/MRI seems highly attractive in the diagnostic
approach of multiple myeloma (MM), its role has not yet been evaluated. The aims
of this prospective study are to evaluate the feasibility of (18)F-FDG PET/MRI in
detection of MM lesions, and to investigate the reproducibility of bone marrow
lesions detection and quantitative data of (18)F-FDG uptake between the
functional (PET) component of PET/CT and PET/MRI in MM patients. The study
includes 30 MM patients. All patients initially underwent (18)F-FDG PET/CT (60
min p.i.), followed by PET/MRI (120 min p.i.). PET/CT and PET/MRI data were
assessed and compared based on qualitative (lesion detection) and quantitative
(SUV) evaluation. The hybrid PET/MRI system provided good image quality in all
cases without artefacts. PET/MRI identified 65 of the 69 lesions, which were
detectable with PET/CT (94.2%). Quantitative PET evaluations showed the following
mean values in MM lesions: SUVaverage=5.5 and SUVmax=7.9 for PET/CT;
SUVaverage=3.9 and SUVmax=5.8 for PET/MRI. Both SUVaverage and SUVmax were
significantly higher on PET/CT than on PET/MRI. Spearman correlation analysis
demonstrated a strong correlation between both lesional SUVaverage (r=0.744) and
lesional SUVmax (r=0.855) values derived from PET/CT and PET/MRI. Regarding
detection of myeloma skeletal lesions, PET/MRI exhibited equivalent performance
to PET/CT. In terms of tracer uptake quantitation, a significant correlation
between the two techniques was demonstrated, despite the statistically
significant differences in lesional SUVs between PET/CT and PET/MRI.
PMID- 26550539
TI - Application of (18)F-FDG PET and diffusion weighted imaging (DWI) in multiple
myeloma: comparison of functional imaging modalities.
AB - Aim of this prospective study was to assess the sensitivity of positron emission
tomography (PET) and diffusion-weighted imaging (DWI) in detecting multiple
myeloma (MM) lesions, using the well-established morphologic modalities magnetic
resonance imaging (MRI) and computed tomography (CT) as the standard of reference
(RS). The study included 24 MM patients (15 newly diagnosed, 9 pre-treated). All
underwent (18)F-FDG PET/CT and wholebody DWI. The findings in PET and DWI were
compared to matching imaging findings in combined non-enhanced T1w, fat-saturated
T2w (TIRM)- MRI, and low-dose CT. Patient-based analysis revealed that 15/24
patients (10 primary MM, 5 pre-treated) had myeloma lesions according to our RS.
PET was positive in 13/24 patients (11 primary MM, 2 pre-treated) and DWI in
18/24 patients (12 primary MM, 6 pre-treated). Lesion-based analysis demonstrated
128 MM lesions, of which PET depicted 60/128 lesions (sensitivity 47%), while DWI
depicted 99/128 lesions (sensitivity 77%). Further analysis including only the 15
untreated MM patients revealed a sensitivity of 90% for both PET and DWI and an
overall concordance of PET and DWI of 72%. In conclusion, DWI was more sensitive
than (18)F-FDG PET in detecting myeloma lesions in a mixed population of primary
and pre-treated MM patients. However, (18)F-FDG PET and DWI demonstrated
equivalent sensitivities in the sub-population of primary, untreated MM patients.
This higher sensitivity of DWI in pre-treated patients may be due to the fact
that (18)F-FDG PET becomes negative earlier in the course of treatment in
contrary to MRI, in which already treated lesions can remain visible.
PMID- 26550540
TI - Tumor-specific targeting by Bavituximab, a phosphatidylserine-targeting
monoclonal antibody with vascular targeting and immune modulating properties, in
lung cancer xenografts.
AB - Bavituximab is a chimeric monoclonal antibody with immune modulating and tumor
associated vascular disrupting properties demonstrated in models of non-small
cell lung cancer (NSCLC). The molecular target of Bavituximab, phosphatidylserine
(PS), is exposed on the outer leaflet of the membrane bi-layer of malignant
vascular endothelial cells and tumor cells to a greater extent than on normal
tissues. We evaluated the tumor-targeting properties of Bavituximab for imaging
of NSCLC xenografts when radiolabeled with (111)In through conjugation with a
bifunctional chelating agent, 1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic
acid (DOTA). In vitro binding of (111)In-DOTA-Bavituximab to PS was determined by
enzyme-linked immunosorbent assay (ELISA). Biodistribution of (111)In-DOTA
Bavituximab was conducted in normal rats, which provided data for dosimetry
calculation. Single-photon emission computed tomography/computed tomography
(SPECT/CT) imaging was performed in athymic nude rats bearing A549 NSCLC
xenografts. At the molar conjugation ratio of 0.54 DOTA per Bavituximab, the PS
binding affinity of (111)In-DOTA-Bavituximab was comparable to that of unmodified
Bavituximab. Based on the quantitative SPECT/CT imaging data analysis, (111)In
DOTA-Bavituximab demonstrated tumor-specific uptake as measured by the tumor
tomuscle ratio, which peaked at 5.2 at 72 hr post-injection. In contrast, the
control antibody only presented a contrast of 1.2 at the same time point.These
findings may underlie the diagnostic efficacy and relative low rates of systemic
vascular and immune-related toxicities of this immunoconjugate. Future
applications of (111)In-DOTA-bavituximab may include prediction of efficacy,
indication of tumor immunologic status, or characterization of radiographic
findings.
PMID- 26550541
TI - Quantitative differences in [(18)F] NaF PET/CT: TOF versus non-TOF measurements.
AB - [(18)F] sodium fluoride (NaF) PET/CT is a current, clinically relevant method to
assess bone metastases. Time-of-flight (TOF) PET provides better statistical data
quality, which can improve either lower image noise or improve resolution, or
both, depending on the image reconstruction. Improved resolution can improve
quantitative measurements of standardized uptake value (SUV) in small structures.
These quantitative differences may be important in both clinical interpretation
and multicenter clinical trials where quantification is integral to assessing
response to therapy. The purpose of this study is to determine if and by how much
SUV quantitatively differs between TOF and conventional non-TOF reconstructions
in [(18)F] NaF PET/CT. SUV measurements (mean and maximum) were compared in TOF
and non-TOF [(18)F] NaF PET-CT reconstructions for 47 prostate cancer patients in
normal regions including: soft tissue (n=282 total regions; liver, aorta,
posterior abdominal fat, bladder, brain, and paraspinal muscles), and osseous
structures (n=188; T12 vertebral body, femoral diaphyseal cortex, femoral head,
and lateral rib). Comparisons were also made for benign degenerative changes
(n=281) and metastases (n=159). TOF and non-TOF SUVs were assessed with paired t
test and linear correlations. Normal soft tissue showed lower SUVmean for TOF
compared to non-TOF in liver, brain, and adipose. All osseous structures showed
higher SUVmean for TOF compared to non-TOF including normal regions, degenerative
joint disease, and metastases. For all metastatic lesions, the average SUVmean
increased by 2.5%, and in degenerative joint disease it increased by 3.5% on TOF
reconstructions. Smaller lesion size was a significant factor influencing this
increase in SUVmean. TOF SUVmean values are higher in osseous structures and
lower in background soft tissue structures. While these differences are
statistically significant, the magnitudes of these changes are relatively modest.
Smaller osseous lesions may have higher contrast and higher SUVmean values with
TOF reconstruction compared to non-TOF reconstructions. The differences in TOF
vs. non-TOF images should be considered when evaluating response to therapy and
in the design of multi-center clinical trials.
PMID- 26550542
TI - Separation of beta-amyloid binding and white matter uptake of (18)F-flutemetamol
using spectral analysis.
AB - The kinetic components of the beta-amyloid ligand (18)F-flutemetamol binding in
grey and white matter were investigated through spectral analysis, and a method
developed for creation of parametric images separating grey and white matter
uptake. Tracer uptake in grey and white matter and cerebellar cortex was analyzed
through spectral analysis in six subjects, with (n=4) or without (n=2) apparent
beta-amyloid deposition, having undergone dynamic (18)F-flutemetamol scanning
with arterial blood sampling. The spectra were divided into three components:
slow, intermediate and fast basis function rates. The contribution of each of the
components to total volume of distribution (VT) was assessed for different tissue
types. The slow component dominated in white matter (average 90%), had a higher
contribution to grey matter VT in subjects with beta-amyloid deposition (average
44%) than without (average 6%) and was absent in cerebellar cortex, attributing
the slow component of (18)F-flutemetamol uptake in grey matter to beta-amyloid
binding. Parametric images of voxel-based spectral analysis were created for VT,
the slow component and images segmented based on the slow component contribution;
confirming that grey matter and white matter uptake can be discriminated on voxel
level using a threshold for the contribution from the slow component to VT.
PMID- 26550543
TI - Dosage optimization in positron emission tomography: state-of-the-art methods and
future prospects.
AB - Positron emission tomography (PET) is widely used nowadays for tumor staging and
therapy response in the clinic. However, average PET radiation exposure has
increased due to higher PET utilization. This study aims to review state-of-the
art PET tracer dosage optimization methods after accounting for the effects of
human body attenuation and scan protocol parameters on the counting rate. In
particular, the relationship between the noise equivalent count rate (NECR) and
the dosage (NECR-dosage curve) for a range of clinical PET systems and body
attenuation sizes will be systematically studied to prospectively estimate the
minimum dosage required for sufficiently high NECR. The optimization criterion
can be determined either as a function of the peak of the NECR-dosage curve or as
a fixed NECR score when NECR uniformity across a patient population is important.
In addition, the systematic NECR assessments within a controllable environment of
realistic simulations and phantom experiments can lead to a NECR-dosage response
model, capable of predicting the optimal dosage for every individual PET scan.
Unlike conventional guidelines suggesting considerably large dosage levels for
obese patients, NECR-based optimization recommends: i) moderate dosage to achieve
90% of peak NECR for obese patients, ii) considerable dosage reduction for
slimmer patients such that uniform NECR is attained across the patient
population, and iii) prolongation of scans for PET/MR protocols, where longer PET
acquisitions are affordable due to lengthy MR sequences, with motion compensation
becoming important then. Finally, the need for continuous adaptation of dosage
optimization to emerging technologies will be discussed.
PMID- 26550545
TI - Ergonomic Assessment of Floor-based and Overhead Lifts.
AB - Manual full-body vertical lifts of patients have high risk for developing
musculoskeletal disorders. Two primary types of battery-powered lift assist
devices are available for these tasks: floor-based and overhead-mounted devices.
Studies suggest that the operation of floor-based devices may require excessive
pushing and pulling forces and that overhead-mounted devices are safer and
require lower operating forces. This study evaluated required operating hand
forces and resulting biomechanical spinal loading for overhead-mounted lifts
versus floor-based lifts across various floor surfaces and patient weight
conditions. We did not examine differences in how operators performed the tasks,
but rather focused on differences in required operating forces and estimated
biomechanical loads across various exposure conditions for a typical operator.
Findings show that the floor-based lifts exceeded recommended exposure limits for
pushing and pulling for many of the floor/weight conditions and that the overhead
mounted lifts did not. As expected, forces and spinal loads were greater for
nonlinoleum floor surfaces compared with linoleum floors. Based on these
findings, it is suggested that overhead-mounted devices be used whenever
possible, particularly in instances where carpeted floors would be encountered.
PMID- 26550544
TI - The use of dynamic nuclear polarization (13)C-pyruvate MRS in cancer.
AB - In recent years there has been an immense development of new targeted anti-cancer
drugs. For practicing precision medicine, a sensitive method imaging for non
invasive, assessment of early treatment response and for assisting in developing
new drugs is warranted. Magnetic Resonance Spectroscopy (MRS) is a potent
technique for non-invasive in vivo investigation of tissue chemistry and cellular
metabolism. Hyperpolarization by Dynamic Nuclear Polarization (DNP) is capable of
creating solutions of molecules with polarized nuclear spins in a range of
biological molecules and has enabled the real-time investigation of in vivo
metabolism. The development of this new method has been demonstrated to enhance
the nuclear polarization more than 10,000-fold, thereby significantly increasing
the sensitivity of the MRS with a spatial resolution to the millimeters and a
temporal resolution at the subsecond range. Furthermore, the method enables
measuring kinetics of conversion of substrates into cell metabolites and can be
integrated with anatomical proton magnetic resonance imaging (MRI). Many nuclei
and substrates have been hyperpolarized using the DNP method. Currently, the most
widely used compound is (13)C-pyruvate due to favoring technicalities.
Intravenous injection of the hyperpolarized (13)C-pyruvate results in appearance
of (13)C-lactate, (13)C-alanine and (13)C-bicarbonate resonance peaks depending
on the tissue, disease and the metabolic state probed. In cancer, the lactate
level is increased due to increased glycolysis. The use of DNP enhanced (13)C
pyruvate has in preclinical studies shown to be a sensitive method for detecting
cancer and for assessment of early treatment response in a variety of cancers.
Recently, a first-in-man 31-patient study was conducted with the primary
objective to assess the safety of hyperpolarized (13)C-pyruvate in healthy
subjects and prostate cancer patients. The study showed an elevated (13)C
lactate/(13)C-pyruvate ratio in regions of biopsy-proven prostate cancer compared
to noncancerous tissue. However, more studies are needed in order to establish
use of hyperpolarized (13)C MRS imaging of cancer.
PMID- 26550546
TI - Syndrome of selective IgM deficiency with severe T cell deficiency associated
with disseminated cutaneous mycobacterium avium intracellulaire infection.
AB - Cutaneous non-disseminated, non-tuberculous mycobacterial infections have been
reported in both immunocompetent and immunocompromised subjects. Systemic
Mycobacterium avium intracellulaire (MAI) have been reported in non-HIV patients
with Idiopathic CD4 lymphocytopenia. We report a comprehensive immunological
analysis in syndrome of selective IgM deficiency and T lymphocytopenia (both CD4+
and CD8+) with disseminated cutaneous MAI infection. Naive (TN) and Central
memory (TCM) subsets of both CD4+ and CD8+ T cells were decreased, whereas
terminally differentiated effector memory (TEMRA) subset of CD4+ and CD8+ T cells
were markedly increased. IFN-gamma producing T cells were markedly decreased.
Although CD14(high)CD16- proinflammatory monocytes were modestly increased, IFN
gammaR+ monocytes were markedly decreased. The expression of TLR3, TLR5, TLR7,
and TLR9 on monocytes was decreased. Germinal center B cells (CD19+IgD
CD38+CD27(lo)) and B1 cells (CD20+CD27+CD43+CD70-) were markedly decreased. A
role of immune alterations, including B cells and antibodies in disseminated
cutaneous MAI infection is discussed.
PMID- 26550547
TI - Treatment of Diabetes and/or Hypertension Using Medicinal Plants in Cameroon.
AB - Medicinal plants have served as valuable starting materials for drug development
in both developing and developed countries. Today, more than 80% of the people
living in Africa were depended on medicinal plants based medicines to satisfy
their healthcare needs. The main goal of the present study was to collect and
document information on herbal remedies traditionally used for the treatment of
diabetes and/or hypertension in Cameroon. To reach this objective, data were
collected from 328 patients who have been diagnosed at least once by a physician
as diabetics and/or hypertension patients. One hundred and eighty two (182) among
them took for a period of 10 days different varieties of medicinal plants which
were prepared in form of decoction, maceration and infusion and administered
orally twice or three times daily. As result, 70% of patients who used plants
were relieved at the end of the treatment. Thirty-three plants have been recorded
and documented for the treatment of diabetes and/or hypertension. The results of
this study can stimulate a sustainable development by providing the basis for
drugs discovery and by documenting biodiversity for long time exploitation.
PMID- 26550548
TI - Use of Traditional Botanical Medicines During Pregnancy in Rural Rwanda.
AB - OBJECTIVE: To evaluate the perceptions of healthcare and traditional medicine
providers regarding the type, indications, side effects, and prevalence of
traditional medicine use amongst pregnant women in a rural Rwandan population.
METHODS: Six focus groups with physicians, nurses, and community health workers
and four individual in-depth interviews with traditional medicine providers were
held. Qualitative data was gathered using a structured questionnaire querying
perceptions of the type, indications, side effects, and prevalence of use of
traditional medicines in pregnancy. RESULTS: The healthcare provider groups
perceived a high prevalence of traditional botanical medicine use by pregnant
women (50-80%). All three groups reported similar indications for use of the
medicines and the socioeconomic status of the pregnant women who use them. The
traditional medicine providers and the healthcare providers both perceived that
the most commonly used medicine is a mixture of many plants, called Inkuri. The
most serious side effect reported was abnormally bright green meconium with a
poor neonatal respiratory drive. Thirty-five traditional medicines were
identified that are used during pregnancy. CONCLUSION: Perceptions of high
prevalence of use of traditional medicines during pregnancy with possible
negative perinatal outcomes exist in areas of rural Rwanda.
PMID- 26550549
TI - Pseudoaddiction: Fact or Fiction? An Investigation of the Medical Literature.
AB - Tremendous growth in opioid prescribing over two decades in the USA has
correlated with proportional increases in diversion, addiction, and overdose
deaths. Pseudoaddiction, a concept coined in 1989, has frequently been cited to
indicate that under-treatment of pain, rather than addiction, is the more
pressing and authentic clinical problem in opioid-seeking patients. This
investigative review searched Medline articles containing the term
"pseudoaddiction" to determine its footprint in the literature with a focus on
how it has been characterized and empirically validated. By 2014, pseudoaddiction
was discussed in 224 articles. Only 18 of these articles contributed to or
questioned pseudoaddiction from an anecdotal or theoretical standpoint, and none
empirically tested or confirmed its existence. Twelve of these articles,
including all four that acknowledged pharmaceutical funding, were proponents of
pseudoaddiction. These papers described pseudoaddiction as an iatrogenic disease
resulting from withholding opioids for pain that can be diagnosed, prevented, and
treated with more aggressive opioid treatment. In contrast, six articles, none
with pharmaceutical support, questioned pseudoaddiction as a clinical construct.
Empirical evidence supporting pseudoaddiction as a diagnosis distinct from
addiction has not emerged. Nevertheless, the term has been accepted and
proliferated in the literature as a justification for opioid therapy for non
terminal pain in patients who may appear to be addicted but should not, from the
perspective of pseudoaddiction, be diagnosed with addiction. Future studies
should examine whether acceptance of pseudoaddiction has complicated accurate
pain assessment and treatment, and whether it has contributed to or reflected
medical-cultural shifts that produced the iatrogenic opioid addiction epidemic.
PMID- 26550550
TI - Exploring the Relationship Between Childhood Maltreatment and Addiction: A Review
of the Neurocognitive Evidence.
AB - Childhood maltreatment has been shown to increase the risk of a range of
psychiatric disorders including substance use disorders (SUDs) and is associated
with the onset, course and severity of illness. We review the evidence for
alterations in brain structure and neurocognitive processing in individuals who
have experienced childhood maltreatment, focusing specifically on changes related
to reward processing, executive functioning and affect processing. Changes in
these neurocognitive systems have been documented in adults presenting with SUDs,
who are typically characterized by heightened subcortico-striatal responses to
salient stimuli and impairments in fronto-cingulate regulation. Maltreatment
specific effects in these processing domains may account for the particularly
severe clinical presentation of SUDs in adults with histories of maltreatment in
childhood. The findings are considered in relation to the theory of latent
vulnerability, which contends that alterations in these neurocognitive systems
may reflect calibration to early risk environments that in turn increases the
risk of developing of SUDs later in life.
PMID- 26550551
TI - Understanding Addiction as a Developmental Disorder: An Argument for a
Developmentally Informed Multilevel Approach.
AB - Substance abuse and drug addiction are two of the most common psychopathologies
among the general population. While a host of risk factors are associated with
the onset of drug abuse and drug addiction, there is a growing body of evidence
pointing to the powerful influence of early adverse experiences, both child
neglect and maltreatment, as well as drug use and abuse in parents and/or primary
caretakers. We consider the case for drug addiction as a developmental disorder,
outlining the need to consider the role of genetic, epigenetic, and
neurobiological factors alongside experiences of adversity at key stages of
development. Such a multilevel approach within a developmental framework has the
potential to reframe our understanding of how addiction emerges and is
maintained, and is essential if we are to identify the mechanisms underlying this
disorder to better inform effective treatment and prevention across the
generations.
PMID- 26550553
TI - Cost analysis of hospitalized Clostridium difficile-associated diarrhea (CDAD).
AB - AIM: Clostridium difficile-associated diarrhea (CDAD) causes heavy financial
burden on healthcare systems worldwide. As with all hospital-acquired infections,
prolonged hospital stays are the main cost driver. Previous cost studies only
include hospital billing data and compare the length of stay in contrast to non
infected patients. To date, a survey of actual cost has not yet been conducted.
METHOD: A retrospective analysis of data for patients with nosocomial CDAD was
carried out over a 1-year period at the University Hospital of Greifswald. Based
on identification of CDAD related treatment processes, cost of hygienic measures,
antibiotics and laboratory as well as revenue losses due to bed blockage and
increased length of stay were calculated. RESULTS: 19 patients were included in
the analysis. On average, a CDAD patient causes additional costs of ? 5,262.96.
Revenue losses due to extended length of stay take the highest proportion with ?
2,555.59 per case, followed by loss in revenue due to bed blockage during
isolation with ? 2,413.08 per case. Overall, these opportunity costs accounted
for 94.41% of total costs. In contrast, costs for hygienic measures (? 253.98),
pharmaceuticals (? 22.88) and laboratory (? 17.44) are quite low. CONCLUSION:
CDAD results in significant additional costs for the hospital. This survey of
actual costs confirms previous study results.
PMID- 26550552
TI - Human Ascariasis: Diagnostics Update.
AB - Soil-transmitted helminths (STHs) infect over one billion people worldwide.
Ascariasis may mimic a number of conditions, and individual clinical diagnosis
often requires a thorough work-up. Kato-Katz thick smears are the standard
detection method for Ascaris and, despite low sensitivity, are often used for
mapping and monitoring and evaluation of national control programmes. Although
increased sampling (number of stools) and diagnostic (number of examinations per
stool) efforts can improve sensitivity, Kato-Katz is less sensitive than other
microscopy methods such as FLOTAC(r). Antibody-based diagnostics may be a
sensitive diagnostic tool; however, their usefulness is limited to assessing
transmission in areas aiming for elimination. Molecular diagnostics are highly
sensitive and specific, but high costs limit their use to individual diagnosis,
drug - efficacy studies and identification of Ascaris suum. Increased investments
in research on Ascaris and other STHs are urgently required for the development
of diagnostic assays to support efforts to reduce human suffering caused by these
infections.
PMID- 26550554
TI - Epidemiology and contemporary risk profile of traumatic spinal cord injury in
Switzerland.
AB - BACKGROUND: Traumatic spinal cord injury (TSCI) has a high personal and socio
economic impact. Effective public health prevention policies that aim to reduce
this burden are reliant on contemporary information of the risk and underlying
causes of TSCI. This study contextualizes Swiss annual incidence rates within the
European context, and provides detailed estimates by age, gender and etiology
towards informing targeted intervention strategies. METHODS: TSCI cases that
occurred in the years 2005 to 2012 were identified as part of the Swiss Spinal
Cord Injury (SwiSCI) cohort study through a rehabilitation-based study of local
medical files. RESULTS: The crude annual incidence rate (IR) estimate of TSCI for
the study period was 18.0 (95 % confidence interval 16.9-19.2) per one million
population; standardized to the WHO world population IR was 21.7 (20.3-23.1)
population. The injury rate of TSCI in Switzerland was intermediate in comparison
to estimates for other European countries, which ranged from around 8.3 in
Denmark to 33.6 per million in Greece. Males exhibited consistently higher IRs
than females, with a highest IR ratio (IRR) of 3.9 (2.8-5.5) in young adults
(aged 16 to 30). Sports and leisure and transport-related injuries were the
predominant causes of TSCI in the youngest age group (aged 16 to 30); falls were
the predominant cause among the oldest age group (76 years or over). With
increasing age, a greater proportion of fall-related TSCIs were due to low-level
falls, with more than 80 % of fall-related TSCIs due to low-level falls in the
oldest age group. CONCLUSIONS: Evidence suggests sports/leisure- and transport
related injuries in young men and falls among the elderly as prime targets for
prevention policies and programs.
PMID- 26550555
TI - Use of geographic information systems to assess the error associated with the use
of place of residence in injury research.
AB - BACKGROUND: In any spatial research, the use of accurate location data is
critical to the reliability of the results. Unfortunately, however, many of the
administrative data sets used in injury research do not include the location at
which the injury takes place. The aim of this paper is to examine the error
associated with using place of residence as opposed to place of injury when
identifying injury hotspots and hospital access. METHODS: Traumatic Brian Injury
(TBI) data from the BC Trauma Registry (BCTR) was used to identify all TBI
patients admitted to BC hospitals between January 2000 and March 2013. In order
to estimate how locational error impacts the identification of injury hotspots,
the data was aggregated to the level of dissemination area (DA) and census tract
(CT) and a linear regression was performed using place of residence as a
predictor for place of injury. In order to assess the impact of locational error
in studies examining hospital access, an analysis of the driving time between
place of injury and place of residence and the difference in driving time between
place of residence and the treatment hospital, and place of injury and the same
hospital was conducted. RESULTS: The driving time analysis indicated that 73.3 %
of the injuries occurred within 5 min of place of residence, 11.2 % between five
and ten minutes and 15.5 % over 20 min. Misclassification error occurs at both
the DA and CT level. The residual map of the DA clearly shows more detailed
misclassification. As expected, the driving time between place of residence and
place of injury and the difference between these same two locations and the
treatment hospital share a positive relationship. In fact, the larger the
distance was between the two locations, the larger the error was when estimating
access to hospital. CONCLUSIONS: Our results highlight the need for more
systematic recording of place of injury as this will allow researchers to more
accurately pinpoint where injuries occur. It will also allow researchers to
identify the causes of these injuries and to determine how these injuries might
be prevented.
PMID- 26550556
TI - What determines adult cognitive skills? Influences of pre-school, school, and
post-school experiences in Guatemala.
AB - Most empirical investigations of the effects of cognitive skills assume that they
are produced by schooling. Drawing on longitudinal data to estimate production
functions for adult verbal and nonverbal cognitive skills, we find that: (1)
School attainment has a significant and substantial effect on adult verbal
cognitive skills but not on adult nonverbal cognitive skills; and (2) Pre-school
and post-school experiences also have substantial positive significant effects on
adult cognitive skills. Pre-school experiences captured by height for age at 6
years substantially and significantly increase adult nonverbal cognitive skills,
even after controlling for school attainment. Post-school tenure in skilled jobs
has significant positive effects on both types of cognitive skills. The findings
(1) reinforce the importance of early life investments; (2) support the
importance of childhood nutrition ("Flynn effect") and work complexity in
explaining increases in nonverbal cognitive skills; (3) call into question
interpretations of studies reporting productivity impacts of cognitive skills
that do not control for endogeneity; and (4) point to limitations in using adult
school attainment alone to represent human capital.
PMID- 26550557
TI - A Novel Codon-optimized SIV Gag-pol Immunogen for Gene-based Vaccination.
AB - Simian immunodeficiency virus (SIV) is a robust pathogen used in non-human
primates to model HIV vaccines. SIV encodes a number of potential vaccine
targets. By far the largest and most conserved protein target in SIV is its gag
pol protein that bears many epitopes to drive multivalent immune T cell
responses. While gag-pol is an attractive antigen, it is only translated after a
frame shift between gag and pol with the effect that gag and pol are expressed at
an approximate 10/1 ratio. The codon bias of native lentiviral genes are also
mismatched with the abundance of tRNAs in mammalian cells resulting in poor
expression of unmodified SIV genes. To provide a better SIV gag-pol immunogen for
gene-based vaccination, we codon-optimized the full gag-pol sequence from
SIVmac239. To increase pol expression, we artificially moved the pol sequence in
frame to gag to bypass the need for a translational frame shift for its
expression. Finally, we inserted four "self-cleaving" picornavirus sequences into
gag p24, protease, reverse transcriptase, and into integrase to fragment the
proteins for potentially better immune presentation. We demonstrate that these
immunogens are well expressed in vitro and drive similar antibody and T cell
responses with or without cleavage sequences.
PMID- 26550558
TI - Long-term efficacy of vildagliptin in patients with type 2 diabetes undergoing
hemodialysis.
AB - BACKGROUND: There are few studies evaluating long-term glycemic control using a
dipeptidyl peptidase-4 inhibitor in type 2 diabetes patients with end-stage renal
disease (ESRD). The aim of this study was to evaluate the safety and efficacy of
vildagliptin therapy over 2 years in type 2 diabetes with ESRD. METHODS: Patients
with ESRD resulting from type 2 diabetes requiring dialysis who had >=20 %
glycated albumin (GA) were enrolled. Vildagliptin 50 mg once daily was
administered for 2 years. Changes in GA and dry weight were evaluated. RESULTS:
In 32 patients (24 men and 8 women) aged 68.3 +/- 1.9 years, vildagliptin 50 mg
once daily was administered for 2 years, but the dose was increased to 50 mg
twice daily in 15 patients. GA was significantly reduced by 2.6 +/- 0.6 %, from
22.4 +/- 0.6 % at baseline to 19.8 +/- 0.4 % at 2 years. After 2 years of
vildagliptin therapy, 15 (46.9 %) of 32 patients achieved a GA level of <20 %.
Dry weight changed slightly, with an increase of 1.3 +/- 0.8 kg at 2 years. No
adverse drug reactions related to treatment with vildagliptin were seen.
CONCLUSIONS: Vildagliptin is a promising therapeutic option for safe, effective
glycemic control in type 2 diabetic patients with ESRD.
PMID- 26550559
TI - Temporomandibular joint disorder from skull-base osteomyelitis: a case report.
AB - Skull-base osteomyelitis is a rare disease affecting the medulla of the temporal,
sphenoid, and occipital bones. In general, it occurs due to external ear canal
infections caused by malignant external otitis. Skull-base osteomyelitis usually
affects elderly diabetic patients. The patient, a 58-year-old man, was referred
for evaluation and management of the left jaw. Clinical examination of the
patient revealed pain in the left jaw and mouth-opening deflection to the left.
The maximum active mouth opening was measured to about 27 mm. Panoramic, CT, and
CBCT revealed bone resorption patterns in the left condyle. Through control of
diabetes, continued pharmacological treatment, arthrocentesis, and occlusal
stabilization appliance therapy were carried out. The extent of active mouth
opening was increased to 45 mm, and pain in the left jaw joint was alleviated.
This was a case wherein complications caused by failure to control diabetes
induced skull-base osteomyelitis. There is a need for continued discussion about
the advantages and disadvantages of arthrocentesis with lavage for patients with
skull-base osteomyelitis and other treatment options.
PMID- 26550560
TI - Facelift incision and superficial musculoaponeurotic system advancement in
parotidectomy: case reports.
AB - Surgical procedures for parotidectomy had been developed to gain adequate
approach, prevent morbidity of nerve, and give esthetic satisfaction. We
performed two cases of parotidectomy through facelift incision. One case was
reconstructed with superficial musculoaponeurotic system (SMAS) flap and
sternocleidomastoid (SCM) muscle rotated flap at the parotid bed. In second case,
same procedures were performed, but collagen membrane was additionally implanted
for prevention of Frey's syndrome. After surgery, two cases showed esthetic
results without neck scar and hollow defect on parotid bed area.
PMID- 26550562
TI - Molecular identification and susceptibility of clinically relevant Scedosporium
spp. in China.
AB - As various new sibling species within the Scedosporium spp. have been described
recently, this study was conducted to investigate distribution and antifungal
susceptibility profiles of the different species of Scedosporium spp. in China.
Twenty-one clinical strains of Scedosporium from China and two strains from Japan
were reidentified by MLSA. The analysis included BT2, CAL, RPB, SOD, and ACT and
the combination of the five loci. Pseudallescheria boydii complex (17 strains)
and S. apiospermum (6 strains) were identified. P. boydii complex included four
closely related subgroups: P. boydii (9 strains), P. ellipsoidea (6 strains), P.
fusoidea (1 strain), and P. angusta (1 strain). There were no significant
differences in MICs for neither VOR, POS, nor AMB over all the five species in
study. For itraconazole, intraspecific diversity was evident.
PMID- 26550561
TI - Evidence of Mitochondrial Dysfunction within the Complex Genetic Etiology of
Schizophrenia.
AB - Genetic evidence has supported the hypothesis that schizophrenia (SZ) is a
polygenic disorder caused by the disruption in function of several or many genes.
The most common and reproducible cellular phenotype associated with SZ is a
reduction in dendritic spines within the neocortex, suggesting alterations in
dendritic architecture may cause aberrant cortical circuitry and SZ symptoms.
Here, we review evidence supporting a multifactorial model of mitochondrial
dysfunction in SZ etiology and discuss how these multiple paths to mitochondrial
dysfunction may contribute to dendritic spine loss and/or underdevelopment in
some SZ subjects. The pathophysiological role of mitochondrial dysfunction in SZ
is based upon genomic analyses of both the mitochondrial genome and nuclear genes
involved in mitochondrial function. Previous studies and preliminary data suggest
SZ is associated with specific alleles and haplogroups of the mitochondrial
genome, and also correlates with a reduction in mitochondrial copy number and an
increase in synonymous and nonsynonymous substitutions of mitochondrial DNA.
Mitochondrial dysfunction has also been widely implicated in SZ by genome-wide
association, exome sequencing, altered gene expression, proteomics, microscopy
analyses, and induced pluripotent stem cell studies. Together, these data support
the hypothesis that SZ is a polygenic disorder with an enrichment of
mitochondrial targets.
PMID- 26550563
TI - Immunohistochemical expression of ornithine decarboxylase, diamine oxidase,
putrescine, and spermine in normal canine enterocolic mucosa, in chronic colitis,
and in colorectal cancer.
AB - We compared the immunohistochemical expression of putrescine (PUT), spermine
(SPM), ornithine decarboxylase (ODC), and diamine oxidase (DAO) in bioptic
samples of canine colonic mucosa with chronic inflammation (i.e., granulomatous
colitis and lymphoplasmacytic colitis) or neoplasia. Single and total polyamines
levels were significantly higher in neoplastic tissue than in normal samples.
Samples with different degrees of inflammation showed a general decrease
expression of ODC if compared to controls; SPM was practically not expressed in
control samples and very low in samples with chronic-granulomatous inflammation.
In carcinomatous samples, the ODC activity was higher with respect to controls
and samples with inflammation. This is the first description of polyamines
expression in dog colonic mucosa in normal and in different pathological
conditions, suggesting that the balance between polyamine degradation and
biosynthesis is evidently disengaged during neoplasia.
PMID- 26550564
TI - The level of circulating endothelial progenitor cell is associated with cerebral
vasoreactivity: a pilot study.
AB - Endothelial progenitor cell is known to be able to repair injured vessels. We
assessed the hypothesis that endothelial progenitor cell also modulates cerebral
endothelial function in healthy status. We used transcranial color-coded
sonography to measure middle cerebral arterial vasoreactivity to CO2 (breath
holding index) in healthy subjects and observed its relationship with the number
of circulating CD34CD133+ cells. To detect significant correlations between each
characteristic and breath-holding index of middle cerebral artery, we used
univariate and multivariate regression analyses. 22 young healthy subjects were
included in the present study (6 men, 16 women; mean age: 28.45 +/- 3.98 years,
range: 22-34 years). The mean breath-holding index and CD45(low)CD34+CD133+ cells
number were 0.95 +/- 0.48% and 0.52 +/- 0.26, respectively. The level of
CD34CD133+ cells was independently associated with middle cerebral artery's
vasoreactivity (r = 0.439, P = 0.04). Our results suggest that endothelial
progenitor cell also modulates healthy cerebral vessels' endothelial function.
This ability of endothelial progenitor cell could be potentially applied
therapeutically and for prevention in conditions with cerebral endothelial
dysfunction and cerebral ischemia.
PMID- 26550565
TI - Bone regeneration by nanohydroxyapatite/chitosan/poly(lactide-co-glycolide)
scaffolds seeded with human umbilical cord mesenchymal stem cells in the
calvarial defects of the nude mice.
AB - In the preliminary study, we have found an excellent osteogenic property of
nanohydroxyapatite/chitosan/poly(lactide-co-glycolide) (nHA/CS/PLGA) scaffolds
seeded with human umbilical cord mesenchymal stem cells (hUCMSCs) in vitro and
subcutaneously in the nude mice. The aim of this study was to further evaluate
the osteogenic capacity of nHA/CS/PLGA scaffolds seeded with hUCMSCs in the
calvarial defects of the nude mice. Totally 108 nude mice were included and
divided into 6 groups: PLGA scaffolds + hUCMSCs; nHA/PLGA scaffolds + hUCMSCs;
CS/PLGA scaffolds + hUCMSCs; nHA/CS/PLGA scaffolds + hUCMSCs; nHA/CS/PLGA
scaffolds without seeding; the control group (no scaffolds) (n = 18). The
scaffolds were implanted into the calvarial defects of nude mice. The amount of
new bones was evaluated by fluorescence labeling, H&E staining, and Van Gieson
staining at 4 and 8 weeks, respectively. The results demonstrated that the amount
of new bones was significantly increased in the group of nHA/CS/PLGA scaffolds
seeded with hUCMSCs (p < 0.01). On the basis of previous studies in vitro and in
subcutaneous implantation of the nude mice, the results revealed that the nHA and
CS also enhanced the bone regeneration by nHA/CS/PLGA scaffolds seeded with
hUCMSCs in the calvarial defects of the nude mice at early stage.
PMID- 26550566
TI - Production of monoclonal antibodies in plants for cancer immunotherapy.
AB - Plants are considered as an alternative platform for recombinant monoclonal
antibody (mAb) production due to the improvement and diversification of
transgenic techniques. The diversity of plant species offers a multitude of
possibilities for the valorization of genetic resources. Moreover, plants can be
propagated indefinitely, providing cheap biomass production on a large scale in
controlled conditions. Thus, recent studies have shown the successful development
of plant systems for the production of mAbs for cancer immunotherapy. However,
their several limitations have to be resolved for efficient antibody production
in plants.
PMID- 26550567
TI - Current and new approaches in GMO detection: challenges and solutions.
AB - In many countries, genetically modified organisms (GMO) legislations have been
established in order to guarantee the traceability of food/feed products on the
market and to protect the consumer freedom of choice. Therefore, several GMO
detection strategies, mainly based on DNA, have been developed to implement these
legislations. Due to its numerous advantages, the quantitative PCR (qPCR) is the
method of choice for the enforcement laboratories in GMO routine analysis.
However, given the increasing number and diversity of GMO developed and put on
the market around the world, some technical hurdles could be encountered with the
qPCR technology, mainly owing to its inherent properties. To address these
challenges, alternative GMO detection methods have been developed, allowing
faster detections of single GM target (e.g., loop-mediated isothermal
amplification), simultaneous detections of multiple GM targets (e.g., PCR
capillary gel electrophoresis, microarray, and Luminex), more accurate
quantification of GM targets (e.g., digital PCR), or characterization of
partially known (e.g., DNA walking and Next Generation Sequencing (NGS)) or
unknown (e.g., NGS) GMO. The benefits and drawbacks of these methods are
discussed in this review.
PMID- 26550568
TI - Regulation of synthesis and roles of hyaluronan in peritoneal dialysis.
AB - Hyaluronan (HA) is a ubiquitous extracellular matrix glycosaminoglycan composed
of repeated disaccharide units of alternating D-glucuronic acid and D-N
acetylglucosamine residues linked via alternating beta-1,4 and beta-1,3
glycosidic bonds. HA is synthesized in humans by HA synthase (HAS) enzymes 1, 2,
and 3, which are encoded by the corresponding HAS genes. Previous in vitro
studies have shown characteristic changes in HAS expression and increased HA
synthesis in response to wounding and proinflammatory cytokines in human
peritoneal mesothelial cells. In addition, in vivo models and human peritoneal
biopsy samples have provided evidence of changes in HA metabolism in the fibrosis
that at present accompanies peritoneal dialysis treatment. This review discusses
these published observations and how they might contribute to improvement in
peritoneal dialysis.
PMID- 26550569
TI - ATP synthase deficiency due to TMEM70 mutation leads to ultrastructural
mitochondrial degeneration and is amenable to treatment.
AB - TMEM70 is involved in the biogenesis of mitochondrial ATP synthase and mutations
in the TMEM70 gene impair oxidative phosphorylation. Herein, we report on
pathology and treatment of ATP synthase deficiency in four siblings. A
consanguineous family of Roma (Gipsy) ethnic origin gave birth to 6 children of
which 4 were affected presenting with dysmorphic features, failure to thrive,
cardiomyopathy, metabolic crises, and 3-methylglutaconic aciduria as clinical
symptoms. Genetic testing revealed a homozygous mutation (c.317-2A>G) in the
TMEM70 gene. While light microscopy was unremarkable, ultrastructural
investigation of muscle tissue revealed accumulation of swollen degenerated
mitochondria with lipid crystalloid inclusions, cristae aggregation, and
exocytosis of mitochondrial material. Biochemical analysis of mitochondrial
complexes showed an almost complete ATP synthase deficiency. Despite harbouring
the same mutation, the clinical outcome in the four siblings was different. Two
children died within 60 h after birth; the other two had recurrent life
threatening metabolic crises but were successfully managed with supplementation
of anaplerotic amino acids, lipids, and symptomatic treatment during metabolic
crisis. In summary, TMEM70 mutations can cause distinct ultrastructural
mitochondrial degeneration and almost complete deficiency of ATP synthase but are
still amenable to treatment.
PMID- 26550570
TI - An electromechanical left ventricular wedge model to study the effects of
deformation on repolarization during heart failure.
AB - Heart failure is a major and costly problem in public health, which, in certain
cases, may lead to death. The failing heart undergo a series of electrical and
structural changes that provide the underlying basis for disturbances like
arrhythmias. Computer models of coupled electrical and mechanical activities of
the heart can be used to advance our understanding of the complex feedback
mechanisms involved. In this context, there is a lack of studies that consider
heart failure remodeling using strongly coupled electromechanics. We present a
strongly coupled electromechanical model to study the effects of deformation on a
human left ventricle wedge considering normal and hypertrophic heart failure
conditions. We demonstrate through a series of simulations that when a strongly
coupled electromechanical model is used, deformation results in the thickening of
the ventricular wall that in turn increases transmural dispersion of
repolarization. These effects were analyzed in both normal and failing heart
conditions. We also present transmural electrograms obtained from these
simulations. Our results suggest that the waveform of electrograms, particularly
the T-wave, is influenced by cardiac contraction on both normal and pathological
conditions.
PMID- 26550571
TI - Building integrated ontological knowledge structures with efficient approximation
algorithms.
AB - The integration of ontologies builds knowledge structures which brings new
understanding on existing terminologies and their associations. With the steady
increase in the number of ontologies, automatic integration of ontologies is
preferable over manual solutions in many applications. However, available works
on ontology integration are largely heuristic without guarantees on the quality
of the integration results. In this work, we focus on the integration of
ontologies with hierarchical structures. We identified optimal structures in this
problem and proposed optimal and efficient approximation algorithms for
integrating a pair of ontologies. Furthermore, we extend the basic problem to
address the integration of a large number of ontologies, and correspondingly we
proposed an efficient approximation algorithm for integrating multiple
ontologies. The empirical study on both real ontologies and synthetic data
demonstrates the effectiveness of our proposed approaches. In addition, the
results of integration between gene ontology and National Drug File Reference
Terminology suggest that our method provides a novel way to perform association
studies between biomedical terms.
PMID- 26550572
TI - Actions of probiotics on trinitrobenzenesulfonic acid-induced colitis in rats.
AB - We investigated the actions of probiotics, Streptococcus faecalis 129 BIO 3B
(SF3B), in a trinitrobenzenesulfonic acid- (TNBS-) induced colitis model in rats.
After TNBS was administered into the colons of rats for induction of colitis, the
rats were divided into two groups: one group was given a control diet and the
other group was given a diet containing SF3B for 14 days. There were no apparent
differences in body weight, diarrhea period, macroscopic colitis score, and
colonic weight/length ratio between the control group and SF3B group, suggesting
that induction of colitis was not prevented by SF3B. Next, we investigated
whether SF3B-containing diet intake affects the restoration of enteric
neurotransmissions being damaged during induction of colitis by TNBS using
isolated colonic preparations. Recovery of the nitrergic component was greater in
the SF3B group than in the control group. A compensatory appearance of
nontachykininergic and noncholinergic excitatory components was less in the SF3B
group than in the control group. In conclusion, the present study suggests that
SF3B-containing diet intake can partially prevent disruptions of enteric
neurotransmissions induced after onset of TNBS-induced colitis, suggesting that
SF3B has therapeutic potential.
PMID- 26550573
TI - Smooth muscle cells of penis in the rat: noninvasive quantification with shear
wave elastography.
AB - PURPOSE: Smooth muscle cells (SMCs) of cavernosum play an important role in
erection. It is of great significance to quantitatively analyze the level of SMCs
in penis. In this study, we investigated the feasibility of shear wave
elastography (SWE) on evaluating the level of SMCs in penis quantitatively.
MATERIALS AND METHODS: Twenty healthy male rats were selected. The SWE imaging of
penis was carried out and then immunohistochemistry analysis of penis was
performed to analyze the expression of alpha smooth muscle actin in penis. The
measurement index of SWE examination was tissue stiffness (TS). The measurement
index of immunohistochemistry analysis was positive area percentage of alpha
smooth muscle actin (AP). RESULTS: Sixty sets of data of TS and AP were obtained.
The results showed that TS was significantly correlated with AP and the
correlation coefficient was -0.618 (p < 0.001). The result of TS had been plotted
against the AP measurements. The relation between the two results has been fitted
with quadric curve; the goodness-of-fit index was 0.364 (p < 0.001). CONCLUSIONS:
The level of SMCs in penis was successfully quantified in vivo with SWE. SWE can
be used clinically for evaluating the level of SMCs in penis quantitatively.
PMID- 26550574
TI - The diagnosis value of promoter methylation of UCHL1 in the serum for progression
of gastric cancer.
AB - BACKGROUND: Aberrant promoter methylation has been considered as a potential
molecular marker for gastric cancer (GC). However, the role of methylation of
FLNC, THBS1, and UCHL1 in the development and progression of GC has not been
explored. METHODS: The promoter methylation status of UCHL1, FLNC, THBS1, and
DLEC1 was assessed by quantitative methylation-specific PCR (QMSP) in the serum
of 82 GC patients, 46 chronic atrophic gastritis (CAG) subjects, and 40 healthy
controls. RESULTS: All four genes had significantly higher methylation levels in
GC patients than in CAG and control subjects. However, only UCHL1 methylation was
significantly correlated with the tumor stage and lymph node metastasis. While
THBS1 methylation was altered in an age-dependent manner, FLNC methylation was
correlated with differentiation and Helicobacter pylori infection. DLEC1
methylation was only associated with tumor size. Moreover, methylated UCHL1 with
or without THBS1 in the serum was found to be significantly associated with a
poor prognosis. CONCLUSION: The promoter methylation degree of FLNC, THBS1,
UCHL1, and DLEC1 in serum could tell the existence of GC and only UCHL1 in the
serum was also associated with poor prognosis of GC.
PMID- 26550575
TI - Working memory and executive function decline across normal aging, mild cognitive
impairment, and Alzheimer's disease.
AB - Alzheimer's disease (AD) is a progressive neurodegenerative disease marked by
deficits in episodic memory, working memory (WM), and executive function.
Examples of executive dysfunction in AD include poor selective and divided
attention, failed inhibition of interfering stimuli, and poor manipulation
skills. Although episodic deficits during disease progression have been widely
studied and are the benchmark of a probable AD diagnosis, more recent research
has investigated WM and executive function decline during mild cognitive
impairment (MCI), also referred to as the preclinical stage of AD. MCI is a
critical period during which cognitive restructuring and neuroplasticity such as
compensation still occur; therefore, cognitive therapies could have a beneficial
effect on decreasing the likelihood of AD progression during MCI. Monitoring
performance on working memory and executive function tasks to track cognitive
function may signal progression from normal cognition to MCI to AD. The present
review tracks WM decline through normal aging, MCI, and AD to highlight the
behavioral and neurological differences that distinguish these three stages in an
effort to guide future research on MCI diagnosis, cognitive therapy, and AD
prevention.
PMID- 26550576
TI - Ras oncogene-mediated progressive silencing of extracellular superoxide dismutase
in tumorigenesis.
AB - Extracellular superoxide dismutase (SOD3) is a secreted enzyme that uses
superoxide anion as a substrate in a dismutase reaction that results in the
formation of hydrogen peroxide. Both of these reactive oxygen species affect
growth signaling in cells. Although SOD3 has growth-supporting characteristics,
the expression of SOD3 is downregulated in epithelial cancer cells. In the
current work, we studied the mechanisms regulating SOD3 expression in vitro using
thyroid cell models representing different stages of thyroid cancer. We
demonstrate that a low level of RAS activation increases SOD3 mRNA synthesis that
then gradually decreases with increasing levels of RAS activation and the
decreasing degree of differentiation of the cancer cells. Our data indicate that
SOD3 regulation can be divided into two classes. The first class involves RAS
driven reversible regulation of SOD3 expression that can be mediated by the
following mechanisms: RAS GTPase regulatory genes that are responsible for SOD3
self-regulation; RAS-stimulated p38 MAPK activation; and RAS-activated increased
expression of the mir21 microRNA, which inversely correlates with sod3 mRNA
expression. The second class involves permanent silencing of SOD3 mediated by
epigenetic DNA methylation in cells that represent more advanced cancers.
Therefore, the work suggests that SOD3 belongs to the group of ras oncogene
silenced genes.
PMID- 26550577
TI - Glutamine may repress the weak LPS and enhance the strong heat shock induction of
monocyte and lymphocyte HSP72 proteins but may not modulate the HSP72 mRNA in
patients with sepsis or trauma.
AB - OBJECTIVE: We assessed the lipopolysaccharide (LPS) or heat shock (HS) induction
of heat shock protein-72 (HSP72) in peripheral blood mononuclear cells (PBMCs) of
patients with severe sepsis (SS) or trauma-related systemic inflammatory response
syndrome (SIRS), compared to healthy individuals (H); we also investigated any
pre- or posttreatment modulating glutamine (Gln) effect. METHODS: SS (11), SIRS
(10), and H (19) PBMCs were incubated with 1 MUg/mL LPS or 43 degrees HS. Gln 10
mM was either added 1 h before or 1 h after induction or was not added at all. We
measured monocyte (m), lymphocyte (l), mRNA HSP72, HSP72 polymorphisms,
interleukins (ILs), monocyte chemoattractant protein-1 (MCP-1), and cortisol
levels. RESULTS: Baseline lHSP72 was higher in SS (p < 0.03), and mHSP72 in SIRS
(p < 0.02), compared to H. Only HS induced l/mHSP72/mRNA HSP72; LPS induced IL-6,
IL-8, IL-10, and MCP-1. Induced mRNA was related to l/mHSP72, and was related
negatively to cytokines. Intracellular l/mHSP72/HSP72 mRNA was related to serum
ILs, not being influenced by cortisol, illness severity, and HSP72 polymorphisms.
Gln did not induce mRNA in any group but modified l/mHSP72 after LPS/HS induction
unpredictably. CONCLUSIONS: HSP72 mRNA and l/mHSP72 are higher among critically
ill patients, further induced by HS, not by LPS. HSP72 proteins and HSP72 mRNA
are related to serum ILs and are negatively related to supernatant cytokines, not
being influenced by HSP72 polymorphisms, cortisol, or illness severity. Gln may
depress l/mHSP72 after LPS exposure and enhance them after HS induction, but it
may not affect early induced HSP72 mRNA.
PMID- 26550579
TI - Ocular surgical models for immune and angiogenic responses.
AB - Corneal transplantation serves as a reproducible and simple surgical model to
study mechanisms regulating immunity and angiogenesis. The simplicity of the
model allows for systematic analysis of different mechanisms involved in immune
and angiogenic privilege and their failures. This protocol describes how to
induce neovessels and inflammation in an actively regulated avascular and immune
privileged site. This involves placing intra-stromal corneal sutures for two
weeks, disrupting the privileges, and performing corneal transplantation
subsequently. Privileged and non-privileged recipient responses to donor cornea
can be compared to identify key immunological mechanisms that underlie
angiogenesis and graft rejection. This protocol can also be adapted to the
growing repertoire of genetic models available in the mouse, and is a valuable
tool to elucidate molecular mechanisms mediating acceptance or failure of corneal
graft. The model could be used to assess the potential of therapeutic molecules
to enhance graft survival in vivo.
PMID- 26550578
TI - Contribution of Genetic Factors to Sjogren's Syndrome and Sjogren's Syndrome
Related Lymphomagenesis.
AB - We aimed to summarize the current evidence related to the contributory role of
genetic factors in the pathogenesis of Sjogren's syndrome (SS) and SS-related
lymphoma. Genes within the major histocompatibility complex (MHC) locus
previously considered conferring increased susceptibility to SS development have
been also revealed as important contributors in recent genome wide association
studies. Moreover, genetic variations outside the MHC locus involving genes in
type I interferon pathway, NF-kappaB signaling, B- and T-cell function and
methylation processes have been shown to be associated with both SS and SS
related lymphoma development. Appreciating the functional implications of SS
related genetic variants could provide further insights into our understanding of
SS heterogeneity, allowing the design of tailored therapeutic interventions.
PMID- 26550581
TI - Examination of the Blood Brain Barrier integrity in a mouse model of the
neurodegenerative Canavan's disease.
PMID- 26550580
TI - Geographic Expansion of Lyme Disease in the Southeastern United States, 2000
2014.
AB - Background. The majority of Lyme disease cases in the United States are acquired
on the east coast between northern Virginia and New England. In recent years the
geographic extent of Lyme disease has been expanding, raising the prospect of
Lyme disease becoming endemic in the southeast. Methods. We collected confirmed
and probable cases of Lyme disease from 2000 through 2014 from the Virginia
Department of Health and North Carolina Department of Public Health and entered
them in a geographic information system. We performed spatial and spatiotemporal
cluster analyses to characterize Lyme disease expansion. Results. There was a
marked increase in Lyme disease cases in Virginia, particularly from 2007
onwards. Northern Virginia experienced intensification and geographic expansion
of Lyme disease cases. The most notable area of expansion was to the southwest
along the Appalachian Mountains with development of a new disease cluster in the
southern Virginia mountain region. Conclusions. The geographic distribution of
Lyme disease cases significantly expanded in Virginia between 2000 and 2014,
particularly southward in the Virginia mountain ranges. If these trends continue,
North Carolina can expect autochthonous Lyme disease transmission in its mountain
region in the coming years.
PMID- 26550582
TI - New Approach for the Development of Improved Traditional Medicine: Case of a
Preparation of an Oral Hypoglycemic Medicine from Laportea ovalifolia (Schumach.
& Thonn.) Chew. (Urticaceae).
AB - A majority of Africans rely on traditional medicine as the primary form of health
care. Yet most traditional medicine products have a short shelf life, especially
for water-based formulations such as macerations, infusions and decoctions.
Indeed, many of these water extracts become unfit for human consumption after
five to seven days of conservation either because of the degradation or toxicity
of active components, and/or the growth of pathogenic organisms. The purpose of
this study was to describe and apply a new approach for the development of an
improved traditional medicine (ITM) that is cheap, very efficient, not toxic, and
easy to produce, and that can be conserved for a longer time without a
significant loss of activity. Hence, Laportea ovalifolia was selected from an
ethnobotanical prospection in all regions of Cameroon, and was used to prepare an
oral hypoglycemic product. This preparation required 9 steps focused on the
characterization of the plant species, and the standardization of the
ethnopharmacological preparation by a multidisciplinary team of scientists with
expertise in botany, ecology, pharmacognosy and pharmacology. Resultantly, four
galenic formulations of hypoglycemic medications were produced. A relationship
between these four formulations was described as follow: One spoon of oral
suspension (10 ml)=one sachet of powder=2 tablets=3 capsules. Hence, our research
provides new insight into a drug discovery approach that could alleviate the
major problems affecting traditional medicine and enhance its effectiveness in
addressing health care in developing and undeveloped countries.
PMID- 26550584
TI - Self-archiving of Our Own Articles: Romeo and Juliet Notes.
PMID- 26550583
TI - Does Size Impact Attention and Recall of Graphic Health Warnings?
AB - OBJECTIVE: To evaluate the attention paid to larger sizes of graphic health
warnings (GHWs) embedded within cigarette advertisements so as to assess their
impacts on rural smokers. METHODS: Daily smokers (N = 298) were randomly assigned
to view a cigarette advertisement with 3 conditions: 2 intervention conditions
with GHW comprising 20% or 33% of the ad area, or a text-only control. Eye
tracking software measured attention in milliseconds. Binary outcome mediation
was conducted. RESULTS: Intervention participants spent 24% of their time viewing
the GHWs, compared to 10% for control (p < .01). The odds of GHW recall in the
combined (20% and 33%) intervention group were 3.3 times higher than controls.
Total dwell time mediated 33% of the effect of the graphic condition on any
recall. CONCLUSIONS: GHWs in 20% of cigarette advertisement space attracted
significantly more attention than text-only warnings; larger GHWs did not
increase attention. Attention was significantly associated with warning recall;
total time viewing mediated warning recall. Tobacco ads should include GHWs to
attract the attention of smokers.
PMID- 26550586
TI - Knee Fusion or Above-The-Knee Amputation after Failed Two-Stage Reimplantation
Total Knee Arthroplasty.
AB - Prosthetic joint infection (PJI) is a serious complication of total knee
arthroplasty (TKA). Control of infection after a failed two-stage TKA is not
always possible, and the resolution of infection may require an above-knee
amputation (AKA) or a the-knee (KF). The purpose of this review is to determine
which treatment method (AKA or KF) yields better function and ambulatory status
for patients after a failed two-stage reimplantation. A PubMed search related to
the resolution of infection by means of an above-the-knee amputation (AKA) or a
knee fusion was performed until 10 January 2015. The key words were: infected TKA
and above-the-knee amputation. Five hundred and sixty-six papers were found, of
which ten were reviewed because they were focused on the topic of the article. KF
should be strongly considered as the treatment of choice for patients who have
persistent infected TKA after a failed two-stage revision arthroplasty. Patients
can walk at least inside the house, and activity of daily living independence is
achieved by the patients with successful KF, although walking aids, including a
shoe lift, are required. An intramedullary nail leads to better functional
results than an external fixator. The functional outcome after AKA performed
after TKA is poor. A substantial percentage of the patients never fit with a
prosthesis, and those who are seldom obtain functional independence. Only 50% of
patients are able to walk after AKA. Patients receiving KF for treating recurrent
PJI after TKA have better function and ambulatory status compared to patients
receiving AKA. KF must be recommended as the treatment of choice for patients who
have persistent infected TKA after a failed two-stage reimplantation procedure.
PMID- 26550587
TI - Correlation of Reconstructed Scaphoid Morphology with Clinical Outcomes.
AB - BACKGROUND: Scaphoid malunion alters the carpal kinematics and impairs clinical
outcome because of pain, weakness, restricted range of motion and predisposing
the wrist joint to early osteoarthritis. The aim of this study was to evaluate
the influence of the scaphoid morphological angles on clinical outcomes in
patients with reconstructed scaphoid by non-vascularized bone graft. METHODS:
Seventeen male patients with the mean age of 31.7+/-3.7 years and mean non-union
time of 31.5+/-14.7 months were enrolled in this retrospective study. Average
follow up was 48.8+/-9.4 months. At the last follow-up, the patients were
evaluated clinically for pain, wrist range of motion, grip strength, and wrist
functional status. They were also evaluated radiologically by wrist radiographs
and computerized tomography (CT). The overall clinical outcomes were evaluated by
the Cooney wrist function score. The morphology of the reconstructed scaphoids
was evaluated by the lateral intrascaphoid angle, antroposterior intrascaphoid
angle, dorsal cortical angle, measuring the length (mm), and height-to-length
ratio on CT scan. The radiological measurements were compared against the overall
clinical outcomes. RESULTS: There were 7 excellent, 7 good, 3 fair clinical
results. The mean Cooney wrist function score was 83+/-4. The mean lateral
intrascaphoid angle was 34.8+/-1.4 degrees, mean antroposterior intrascaphoid
angle was 33.4+/-2.2 degrees, mean dorsal cortical angle was 158.3+/-4.8 degrees,
mean scaphoid length was 22.1+/- 0.7 mm, and mean scaphoid height-to-length ratio
was 0.74+/-0.04. There were no significant statistical correlations between the
lateral intrascaphoid angles, antroposterior intrascaphoid angles, dorsal
cortical angles, scaphoid lengths and scaphoid height-to-length ratios and Cooney
wrist scores in the patients. CONCLUSION: In the current study, all the patients
had some degree of scaphoid malunion; however, the radiological measurements of
the reconstructed scaphoids did not correlate with the clinical outcomes.
PMID- 26550588
TI - Normal Age-Related Alterations on Distal Radius Radiography.
AB - BACKGROUND: The present study was designed to ascertain serial changes on distal
radius radiographic parameters attributable to aging. METHODS: In this
prospective study, the sample consisted of 120 healthy individuals who were
divided into four age groups each containing 15 males and 15 females. In the two
below-20-year-old groups, only ulnar variance could be investigated. Wrist
radiography was taken and then parameters of the distal radius were measured and
compared based on age and sex. RESULTS: Average UV was -2.48 mm and -1.6 mm in
the 2-9 and 10-19-year-old age groups, respectively. Also, in the two above-20
year-old groups, the average radial inclination (RI), palmar tilt (PT), radial
length (RL), and UV was 23.7o, 12.4o, 10.5 and +1.1 mm, respectively. Considering
ulnar variance, no significant difference was found between the 2-9- and 10-19
year-old groups, as well as among the two above-20-year-old groups. However, a
significant difference was observed between the below 20 and above 20 groups. The
study results showed no significant differences between males and females in any
of the study groups. DISCUSSION: There is significant ulnar variance change
toward less negative ulnar variance with aging until maturity.
PMID- 26550585
TI - Failure of Anterior Cruciate Ligament Reconstruction.
AB - The present review classifies and describes the multifactorial causes of anterior
cruciate ligament (ACL) surgery failure, concentrating on preventing and
resolving such situations. The article particularly focuses on those causes that
require ACL revision due to recurrent instability, without neglecting those that
affect function or produce persistent pain. Although primary ACL reconstruction
has satisfactory outcome rates as high as 97%, it is important to identify the
causes of failure, because satisfactory outcomes in revision surgery can drop to
as much as 76%. It is often possible to identify a primary or secondary cause of
ACL surgery failure; even the most meticulous planning can give rise to
unexpected findings during the intervention. The adopted protocol should
therefore be sufficiently flexible to adapt to the course of surgery.
Preoperative patient counseling is essential. The surgeon should limit the
patient's expectations for the outcome by explaining the complexity of this kind
of procedure. With adequate preoperative planning, close attention to details and
realistic patient expectations, ACL revision surgery may offer beneficial and
satisfactory results for the patient.
PMID- 26550589
TI - Introduction of a New Suture Method in Repair of Peripheral Nerves Injured with a
Sharp Mechanism.
AB - BACKGROUND: The standard method for repair of an injured peripheal nerve is
epineural repair with separate sutures. Herein we describe a method in which the
nerve is sutured with continous sutures. In fact this method has not been
utilized for nerve repair previously and our purpose was to compare it to the
standard method. If it proved to be successful it would replace the standard
method in certain circumstances. METHODS: The proposal of the clinical trial was
given a reference number form the ethics comitee. 25 dogs in which the scaitic
nerve was cut by a sharp blade under genaeral anesthesia were divided randomly
into three groups: control (5 dogs), repair of sciatic nerve with simple sutures
(10) and repair with continous sutures (10). In the control group the nerve was
not repaired at all. After 6 weeks the dogs were killed and the nerve was studied
by light and electronic microscopes. The amount of consumed suture material, time
of repair, myelin thickness and axon diiameter were examined. Ultrastructural
studies were performed to assess degeneration and regeneration findings. RESULTS:
Time of repair and the amount of consumed suture material were significantly
lower in the continous group (P<0.001). No difference was found with regard to
light microscopy findings and regeneration was confirmed by electron microscopy
in the continous group. CONCLUSION: The method described in the present study,
provided a result similar to the standard method. Though undobtfully it has some
limitations, can replace the standard method in many circumstances.
PMID- 26550590
TI - Outcome of ACL Reconstruction and Concomitant Articular Injury Treatment.
AB - BACKGROUND: Articular cartilage injuries are a common clinical problem at the
time of ACL reconstruction with an incidence rate of 16-46%. Good results of ACL
reconstruction combined with the treatment of chondral lesions have been
published in some studies. METHOD: After statistical analysis 30 patients were
selected and divided in 2 groups. The first group consisted of 15 patients with
isolated ACL tear without any other concomitant injuries and the second group
consisted of 15 patients with ACL tear and concomitant high grade (grade 3 or 4
of outerbridge classification) contained articular cartilage injuries during
arthroscopy. Group 1 underwent ACL reconstruction and group 2 underwent ACL
reconstruction combined with chondroplasty via the drilling or microfracture
technique. For each patient the Lysholm knee score questionnaire was completed
before surgery, 6 months and 1 year after surgery. RESULTS: The mean Lysholm knee
score in both groups improves: 9.6 points after 6 months and 16.06 points after 1
year in group 1, 23.26 points after 6 months, 30.66 after 1 year in group 2,
which was statistically significant (Pvalue<0.05). CONCLUSION: Improvement in the
Lysholm knee score in both groups shows that ACL reconstruction with concomitant
chondroplasty in high grade chondral injuries has good results with patient
satisfaction and improvement in their quality of life.
PMID- 26550591
TI - Functional Outcome Following Arthroscopic ACL Reconstruction with Rigid Fix: A
Retrospective Observational Study.
AB - BACKGROUND: No uniform consensus exists to decide type of fixation for
arthroscopic anterior cruciate ligament reconstruction. Hypothsis: There is
similar functional outcome after rigid fix compared to other methods of fixation
which has been published. STUDY DESIGN: Retrospective observational study.
METHODS: A total of 50 patients underwent arthroscopic anterior cruciate ligament
reconstruction with hamstring tendons using femoral Rigid fix cross-pin and
interference screw tibial fixation. The evaluation methods were clinical
examination, IKDC scores, Lysholm and pre injury and post reconstruction Tegner
score. Patients were followed up from minimum of 6 months to 4 year seven months.
RESULTS: C In our study of sample size 50 we found that mean age of patients was
30.8 Years with male preponderance. Mean post operative IKDC and Lysholm score
has been 75.6 and 84.4 respectively. Mean Tegner pre-injury score and post
reconstruction score has been 5.4 and 4.26. Box plot comparison of pre injury and
post operativeTegner score reveals a statistically significant difference with
respect to paired t test P<0.001. CONCLUSIONS: Arthroscopic anterior cruciate
ligament reconstruction with femoral rigid fix cross pins and tibial interference
screws results in comparable short term to midterm functional results compared to
other types of fixation.
PMID- 26550592
TI - Risk of Spermatic Cord Injury During Anterior Pelvic Ring and Acetabular Surgery:
An Anatomical Study.
AB - BACKGROUND: Anterior pelvic ring surgery includes a variety of plating techniques
and insertion of retrograde superior pubic ramus screws. Anterior acetabular
surgery also includes fixation through an ilioinguinal or Stoppa approach. These
exposures risk injury to the spermatic cord and accompanying genital branch of
the genitofemoral nerve. The primary aim of this study was to identify the
distance between the midline and the spermatic cords in adult male cadaveric
specimens. The secondary aim was to determine spermatic cord diameters and
measure the distance between the spermatic cord and implant during
instrumentation of a retrograde superior pubic ramus medullary screw. METHODS:
Extended Pfannenstiel and Stoppa approaches were performed on 18 embalmed male
cadavers bilaterally. Spermatic cord characteristics were recorded and a number
of measurements were performed to determine the distance of implants and the
midline from the spermatic cord. RESULTS: The average distance between the
midline and spermatic cords was 34.2 mm. The average distance between the
spermatic cord and implant was 18.2 mm. Eleven of the thirty-six dissections had
abnormalities including cord lipomas and inguinal hernias. The average cord
diameter was 18.6 mm. The average cord diameter in those with abnormalities was
24.9 mm and 16 mm in those without abnormalities, this difference was
statistically significant. DISCUSSION: Due to the proximity of the spermatic
cord, the surgeon should either formally expose the cord or limit lateral
dissection from the midline during Pfannenstiel and Stoppa exposures. Similarly,
the surgeon should use soft-tissue sleeves and oscillating drills to avoid injury
to the contralateral spermatic cord during the insertion of retrograde superior
pubic ramus medullary screws.
PMID- 26550593
TI - Inguinal Abnormalities in Male Patients with Acetabular Fractures Treated Using
an Ilioinguinal Exposure.
AB - BACKGROUND: Surgeons performing an ilioinguinal exposure for acetabular fracture
surgery need to be aware of aberrant findings such as inguinal hernias and
spermatic cord lesions. The purpose of this study is to report these occurrences
in a clinical series of adult males undergoing acetabular fracture fixation and a
series of adult male cadavers. The secondary aim is to characterize these
abnormalities to aid surgeons in detecting these abnormalities preoperatively and
coordinating a surgical plan with a general surgeon. METHODS: Clinical study-
Retrospective review of treated acetabular fractures through an ilioinguinal
approach. Incidence of inguinal canal and spermatic cord abnormalities requiring
general surgery consultation were identified. Corresponding CT scans were
reviewed and radiographic characteristics of the spermatic cord abnormalities
and/or hernias were noted. Cadaveric study- 18 male cadavers dissected
bilaterally using an ilioinguinal exposure. The inguinal canal and the contents
of the spermatic cord were identified and characterized. RESULTS: Clinical Study-
5.7% (5/87) of patients had spermatic cord lesion and/or inguinal hernia
requiring general surgical intervention. Preoperative pelvic CT scan review
identified abnormalities noted intraoperatively in four of the five patients.
Cord lipomas visualized as enlargements of the spermatic cord with homogeneous
density. Hernias visualized as enlarged spermatic cords with heterogeneous
density. Cadaver Study- 31% (11/36) of cadavers studied had spermatic cord and/or
inguinal canal abnormalities. Average cord diameter in those with abnormalities
was 24.9 mm (15-28) compared to 16 mm (11-22) in normal cords, which was
statistically significant. DISCUSSION: The clinical and cadaveric findings
emphasize the importance of understanding inguinal abnormalities and the value of
detecting them preoperatively. The preoperative pelvic CT scans were highly
sensitive in detecting inguinal abnormalities.
PMID- 26550594
TI - Comparison of Intravenous Morphine with Sublingual Buprenorphine in Management of
Postoperative Pain after Closed Reduction Orthopedic Surgery.
AB - BACKGROUND: Postoperative pain is a common side effect following surgery that can
significantly reduce surgical quality and patient's satisfaction. Treatment
options are morphine and buprenorphine. We aimed to compare the efficacy of a
single dose of intravenous morphine with sublingual buprenorphine in
postoperative pain control following closed reduction surgery. METHODS: This
triple blind clinical trial was conducted on 90 patients referred for closed
reduction orthopedic surgery. They were older than 18 years and in classes I and
II of the American Society of Anesthesiologists (ASA) with an operation time of
30-90 minutes. Patients were divided into two groups of buprenorphine (4.5ug/kg
sublingually) and morphine (0.2mg/kg intravenously). Baseline characteristics,
vital signs, pain score, level of sedation and pharmacological side effects were
recorded in the recovery room (at 0 and 30 minutes), and in the ward (at 3, 6 and
12 hours). SPSS version 19 software was used for data analysis and the
significance level was set at P<0.05. RESULTS: Ninety patients were studied, 60
males and 30 females with a mean age of 37.7+/-16.2 years. There was no
significant difference between the two groups in terms of baseline
characteristics. Pain score in the morphine group was significantly higher than
the buprenorphine group with an average score of 2.5 (P<0.001). Postoperative
mean heart rate in the buprenorphine group was four beats lower than the morphine
group (P<0.001). Also, in the buprenorphine 48.6% and in the morphine group 86.7%
of cases were conscious in recovery (P=0.001) with a higher rate of pruritus in
the latter group (P=0.001). CONCLUSION: Sublingual buprenorphine administration
before anesthesia induction in closed reduction surgery can lead to better
postoperative pain control in comparison to intravenous morphine. Due to simple
usage and longer postoperative sedation, sublingual buprenorphine is recommended
as a suitable drug in closed reduction surgery.
PMID- 26550595
TI - Assessment of Correlation Between MRI and Arthroscopic Pathologic Findings in the
Shoulder Joint.
AB - BACKGROUND: The objective of this study was to determine the diagnostic value of
magnetic resonance imaging for shoulder joint pathologies and then compare the
results with arthroscopy, the standard for joint diagnosis. METHODS: In this
cross-sectional study, 80 patients with shoulder joint disorders, who underwent
final arthroscopy, were studied. Based on patients' medical history and physical
examinations, shoulder MRI was requested if paraclinical investigations were. If
non-surgical therapies failed, arthroscopy of the affected shoulder was done and
the same structures were inspected. Subsequently, sensitivity, specificity, and
positive and negative predictive values (PPV) and (NPV) of MRI were determined by
arthroscopy comparisons. RESULTS: The highest sensitivity, specificity, PPV and
NPV were found in MRI pathology reports that included: Hill-Sach lesion (0.910),
infraspinatus tendon (0.985), supraspinatus tendon (0.930), and biceps tendon
(0.954), respectively. Rotator interval (0.250), biceps labrum complex (0.805),
subscapularis tendon (0.538) and anterior labrum lesions (0.604) had the lowest
sensitivity, specificity, PPV and NPV, respectively. CONCLUSION: The results
showed that MRI can be a useful tool in ruling out possible abnormalities in the
shoulder and to give clues to the most probable diagnosis. Although knowing some
practical skills in order to successfully perform the procedure and experience of
the radiologist with suitable feedback by surgeon is necessary.
PMID- 26550596
TI - Bacille Calmette-Guerin Osteomyelitis.
AB - BACKGROUND: Tuberculosis is an essential problem for healthcare systems
especially in developing countries. All newborns are given Bacillus Calmette
Guerin (BCG) vaccine in Iran which is prepared from live bovine tuberculosis
bacillus, and is given to protect against tuberculosis. Osteomyelitis secondary
to BCG vaccination is rare and usually involves epiphysis of long tubular bones.
METHODS: 4 patients, 3 males and a female entered this study and were between 11
to 24 months old. The involved bones were first metatarsi, talus, humerus and
tibia bone. The main radiologic finding was lytic lesion with cortical
destruction and periosteal reaction. RESULTS: 3 patients underwent core needle
biopsy and the one with the proximal tibia involvement, underwent open surgery.
Pathology report suggested granulomatous osteomyelitis and typical caseous
necrosis compatible with tuberculosis. Surgical treatment for these patients was
curettage and debridement of the bone lesion and involved tissues around. The
patients got standard anti TB pharmacotherapy, were completely cured and no short
term complication was seen in a one year follow up. CONCLUSION: BCG osteomyelitis
and cold abscess, should be kept in mind when assessing a child presenting
chronic symptoms like pain, limping or local swelling of extremities. The long
interval time between BCG vaccination and outbreak of the culture-negative
abscess is a major point which emphasizes on pathologic evaluation. Image guided
tissue biopsy and PCR studies confirm diagnosis. Early use of a surgical
curettage and debridement along with chemotherapy soon afterwards, enabled these
children to enjoy a satisfactory clinical outcome.
PMID- 26550597
TI - Unusual Presentation of Synovial Sarcoma as Meniscal Cyst: A Case Report.
AB - Periarticular cyst and cystic soft tissue lesion around the knee are common.
Synovial sarcoma is a rare and malignant soft tissue tumor accounting for
approximately 5% of soft tissue sarcoma. A case is presented where a lesion
adjacent to the joint line of the knee was diagnosed clinically and on imaging as
a meniscal cyst. MRI signal was homogenous and no concomitant meniscal tears were
seen. The tissue diagnosis was monophasic synovial sarcoma.
PMID- 26550598
TI - First Carpometacarpal Joint Dislocation and Review of Literatures.
AB - Dislocation of the first carpometacarpal (CMC) is a rare occurrence. Treatment of
this dislocation varies from closed reduction and casting to ligament repair.
Neglected dislocation or incomplete reduction of the 1(st) CMC cause chronic
instability and painful arthritis, muscle imbalance and decreased grip force. In
our study 6 patients is evaluated that were visited in less than 24 hours from
their injury. All were primarily reduced and except one patient later injured
ligament were repaired. All patient after 6 months had normal range of motion
without pain and they had not any complaint. Stability at the 1(st) CMC joint is
dependent on static and dynamic forces. However, dislocation of the 1(st) CMC
occur rare, but important function of the thumb specially in gripping and
grasping makes it a significant problem. Injured ligament should repair for
increased stability of 1(st) CMC joint, because neglected dislocation or
incomplete reduction cause chronic instability and painful arthritis.
PMID- 26550599
TI - Differential cytotoxicity of long-chain bases for human oral gingival epithelial
keratinocytes, oral fibroblasts, and dendritic cells.
AB - Long-chain bases, found in the oral cavity, have potent antimicrobial activity
against oral pathogens. In an article associated with this dataset, Poulson and
colleagues determined the cytotoxicities of long-chain bases (sphingosine,
dihydrosphingosine, and phytosphingosine) for human oral gingival epithelial (GE)
keratinocytes, oral gingival fibroblasts (GF), dendritic cells (DC), and squamous
cell carcinoma (SCC) cell lines [1]. Poulson and colleagues found that GE
keratinocytes were more resistant to long-chain bases as compared to GF, DC, and
SCC cell lines [1]. In this study, we assess the susceptibility of DC to lower
concentrations of long chain bases. 0.2-10.0 uM long-chain bases and GML were not
cytotoxic to DC; 40.0-80.0 uM long-chain bases, but not GML, were cytotoxic for
DC; and 80.0 uM long-chain bases were cytotoxic to DC and induced cellular damage
and death in less than 20 mins. Overall, the LD50 of long-chain bases for GE
keratinocytes, GF, and DC were considerably higher than their minimal inhibitory
concentrations for oral pathogens, a finding important to pursuing their future
potential in treating periodontal and oral infections.
PMID- 26550600
TI - Assessment of current mass spectrometric workflows for the quantification of low
abundant proteins and phosphorylation sites.
AB - The data described here provide a systematic performance evaluation of popular
data-dependent (DDA) and independent (DIA) mass spectrometric (MS) workflows
currently used in quantitative proteomics. We assessed the limits of
identification, quantification and detection for each method by analyzing a
dilution series of 20 unmodified and 10 phosphorylated synthetic heavy labeled
reference peptides, respectively, covering six orders of magnitude in peptide
concentration with and without a complex human cell digest background. We found
that all methods performed very similarly in the absence of background proteins,
however, when analyzing whole cell lysates, targeted methods were at least 5-10
times more sensitive than directed or DDA methods. In particular, higher stage
fragmentation (MS3) of the neutral loss peak using a linear ion trap increased
dynamic quantification range of some phosphopeptides up to 100-fold. We
illustrate the power of this targeted MS3 approach for phosphopeptide monitoring
by successfully quantifying 9 phosphorylation sites of the kinetochore and
spindle assembly checkpoint component Mad1 over different cell cycle states from
non-enriched pull-down samples. The data are associated to the research article
'Evaluation of data-dependent and data-independent mass spectrometric workflows
for sensitive quantification of proteins and phosphorylation sites' (Bauer et
al., 2014) [1]. The mass spectrometry and the analysis dataset have been
deposited to the ProteomeXchange Consortium
(http://proteomecentral.proteomexchange.org) via the PRIDE partner repository
with the dataset identifier PXD000964.
PMID- 26550602
TI - Application of Response Surface Methodology for Modeling of Postweld Heat
Treatment Process in a Pressure Vessel Steel ASTM A516 Grade 70.
AB - This research studied the application of the response surface methodology (RSM)
and central composite design (CCD) experiment in mathematical model and optimizes
postweld heat treatment (PWHT). The material of study is a pressure vessel steel
ASTM A516 grade 70 that is used for gas metal arc welding. PWHT parameters
examined in this study included PWHT temperatures and time. The resulting
materials were examined using CCD experiment and the RSM to determine the
resulting material tensile strength test, observed with optical microscopy and
scanning electron microscopy. The experimental results show that using a full
quadratic model with the proposed mathematical model is YTS = -285.521 + 15.706X1
+ 2.514X2 - 0.004X1(2) - 0.001X2(2) - 0.029X1X2. Tensile strength parameters of
PWHT were optimized PWHT time of 5.00 hr and PWHT temperature of 645.75 degrees
C. The results show that the PWHT time is the dominant mechanism used to modify
the tensile strength compared to the PWHT temperatures. This phenomenon could be
explained by the fact that pearlite can contribute to higher tensile strength.
Pearlite has an intensity, which results in increased material tensile strength.
The research described here can be used as material data on PWHT parameters for
an ASTM A516 grade 70 weld.
PMID- 26550601
TI - Genetic diversity and population structure in Physalis peruviana and related taxa
based on InDels and SNPs derived from COSII and IRG markers.
AB - The genus Physalis is common in the Americas and includes several economically
important species, among them Physalis peruviana that produces appetizing edible
fruits. We studied the genetic diversity and population structure of P. peruviana
and characterized 47 accessions of this species along with 13 accessions of
related taxa consisting of 222 individuals from the Colombian Corporation of
Agricultural Research (CORPOICA) germplasm collection, using Conserved
Orthologous Sequences (COSII) and Immunity Related Genes (IRGs). In addition, 642
Single Nucleotide Polymorphism (SNPs) markers were identified and used for the
genetic diversity analysis. A total of 121 alleles were detected in 24 InDels
loci ranging from 2 to 9 alleles per locus, with an average of 5.04 alleles per
locus. The average number of alleles in the SNP markers was two. The observed
heterozygosity for P. peruviana with InDel and SNP markers was higher (0.48 and
0.59) than the expected heterozygosity (0.30 and 0.41). Interestingly, the
observed heterozygosity in related taxa (0.4 and 0.12) was lower than the
expected heterozygosity (0.59 and 0.25). The coefficient of population
differentiation FST was 0.143 (InDels) and 0.038 (SNPs), showing a relatively low
level of genetic differentiation among P. peruviana and related taxa. Higher
levels of genetic variation were instead observed within populations based on the
AMOVA analysis. Population structure analysis supported the presence of two main
groups and PCA analysis based on SNP markers revealed two distinct clusters in
the P. peruviana accessions corresponding to their state of cultivation. In this
study, we identified molecular markers useful to detect genetic variation in
Physalis germplasm for assisting conservation and crossbreeding strategies.
PMID- 26550603
TI - Ensemble of Chaotic and Naive Approaches for Performance Enhancement in Video
Encryption.
AB - Owing to the growth of high performance network technologies, multimedia
applications over the Internet are increasing exponentially. Applications like
video conferencing, video-on-demand, and pay-per-view depend upon encryption
algorithms for providing confidentiality. Video communication is characterized by
distinct features such as large volume, high redundancy between adjacent frames,
video codec compliance, syntax compliance, and application specific requirements.
Naive approaches for video encryption encrypt the entire video stream with
conventional text based cryptographic algorithms. Although naive approaches are
the most secure for video encryption, the computational cost associated with them
is very high. This research work aims at enhancing the speed of naive approaches
through chaos based S-box design. Chaotic equations are popularly known for
randomness, extreme sensitivity to initial conditions, and ergodicity. The
proposed methodology employs two-dimensional discrete Henon map for (i)
generation of dynamic and key-dependent S-box that could be integrated with
symmetric algorithms like Blowfish and Data Encryption Standard (DES) and (ii)
generation of one-time keys for simple substitution ciphers. The proposed design
is tested for randomness, nonlinearity, avalanche effect, bit independence
criterion, and key sensitivity. Experimental results confirm that chaos based S
box design and key generation significantly reduce the computational cost of
video encryption with no compromise in security.
PMID- 26550604
TI - In Vitro Seeds Germination and Seedling Growth of Bambara Groundnut (Vigna
subterranea (L.) Verdc. (Fabaceae)).
AB - Bambara groundnut (Vigna subterranea (L.) Verdc.) is an indigenous grain legume.
It occupies a prominent place in the strategies to ensure food security in sub
Saharan Africa. Development of an efficient in vitro regeneration system, a
prerequisite for genetic transformation application, requires the establishment
of optimal conditions for seeds germination and plantlets development. Three
types of seeds were inoculated on different basal media devoid of growth
regulators. Various strengths of the medium of choice and the type and
concentration of carbon source were also investigated. Responses to germination
varied with the type of seed. Embryonic axis (EA) followed by seeds without coat
(SWtC) germinated rapidly and expressed a high rate of germination. The growth
performances of plantlets varied with the basal medium composition and the seeds
type. The optimal growth performances of plants were displayed on half strength
MS basal medium with SWtC and EA as source of seeds. Addition of 3% sucrose in
the culture medium was more suitable for a maximum growth of plantlets derived
from EA.
PMID- 26550605
TI - Modeling and Simulation of Offshore Wind Power Platform for 5 MW Baseline NREL
Turbine.
AB - This paper presents the modeling and simulation of offshore wind power platform
for oil and gas companies. Wind energy has become the fastest growing renewable
energy in the world and major gains in terms of energy generation are achievable
when turbines are moved offshore. The objective of this project is to propose new
design of an offshore wind power platform. Offshore wind turbine (OWT) is
composed of three main structures comprising the rotor/blades, the tower nacelle,
and the supporting structure. The modeling analysis was focused on the nacelle
and supporting structure. The completed final design was analyzed using finite
element modeling tool ANSYS to obtain the structure's response towards loading
conditions and to ensure it complies with guidelines laid out by classification
authority Det Norske Veritas. As a result, a new model of the offshore wind power
platform for 5 MW Baseline NREL turbine was proposed.
PMID- 26550606
TI - Context dependent utilization of serine in cancer.
AB - Serine and glycine have diverse biological functions but the general and context
dependent utilizations of these nutrients in cancer are unknown. Our recent work
integrates genomics data and isotope tracing using computational tools to study
serine utilization across multiple cancer and normal human samples.
PMID- 26550607
TI - Corpora Amylacea in Neurodegenerative Diseases: Cause or Effect?
AB - The presence of corpora amylacea (CA) in the CNS is associated with both normal
aging and neurodegenerative conditions including Alzheimer's disease (AD) and
vascular dementia (VaD). CA are spherical bodies ranging in diameter (10-50 MUm)
and whose origin has been documented to be derived from both neural and glial
sources. CA are reported to be primarily composed of glucose polymers, but
approximately 4% of the total weight of CA is consistently composed of protein.
CA are typically localized in the subpial, periventricular and perivascular
regions within the CNS. The presence of CA in VaD has recently been documented
and of interest was the localization of CA within the hippocampus proper. Despite
numerous efforts, the precise role of CA in normal aging or disease is not known.
The purpose of this mini review is to highlight the potential function of CA in
various neurodegenerative disorders with an emphasis on the potential role if any
these structures may play in the etiology of these diseases.
PMID- 26550609
TI - The New National Healthcare Safety Network (NHSN) Healthcare Personnel Influenza
Vaccination Measure.
PMID- 26550608
TI - Magnetic Resonance Imaging and Spectroscopy: Application to Experimental Neuro
Oncology.
AB - The development and use of animal brain tumor models over the past 25 years has
helped to advance our understanding of both tumor biology and the effectiveness
of new therapeutic approaches. The application of MRI and MRS as noninvasive
tools for in vivo studies of intracerebral tumor models provides unique
possibilities for furthering our knowledge of brain cancer. This article provides
a brief background of traditional techniques used to evaluate growth and
treatment efficacy in rodent brain tumor models and overviews the use of MR for
quantitating intracerebral tumor growth kinetics and therapeutic response of
experimental brain tumors from work conducted in this laboratory. The application
of MRI and MRS in rodent brain tumor models for evaluation of novel therapeutic
approaches, including gene transfer technology, is discussed. Finally, initial
results with diffusion MRI for monitoring the treatment of brain tumors is
introduced.
PMID- 26550610
TI - Determination of Crystalline Silica in Dust at Low Concentrations by Low
Temperature Infrared Spectrometry.
AB - The American Conference of Governmental Industrial Hygienists (ACGIH) accepted a
lower threshold limit value (TLV) for respirable crystalline silica (RCS)
exposure of 25 MUg/m3, half of the previous TLV. This change is problematic
because the current standard sampling and measurement practices used by NIOSH,
OSHA, and MSHA are not sensitive enough to allow an analyst to confidently
determine samples acquired near the TLV. In response to this need for a more
sensitive method to analyze respirable dust filter samples for crystalline
silica, a modification of current NIOSH infrared spectrometric methods is being
developed. The additional sensitivity is gained by performing the infrared
absorbance measurements at 77 K where absorbance peaks are more intense by virtue
of being narrower. A quick-change cryostat has been fabricated such that a sample
can be introduced to the spectrometer and cooled to 77 K in 5 min, interrogated
for 1 min, and removed and the cryostat readied for another sample in 2 min, for
a turnaround time of 8 min per sample, which is brief compared to the time
required to prepare and redeposit a sample. Therefore, samples can be acquired
and interrogated with legacy samplers, filters, pumps, spectrometers, and sample
preparation, the only modification being the addition of a cryostat to the
spectrometer. Preliminary experiments demonstrate that the peak-to-background
ratio of the quartz signature band near 800 cm-1 increases by approximately 50 %
on cooling from room temperature to 77 K. The slopes of the calibration curve
derived from standards interrogated at both room temperature and 77 K indicate
that the low-temperature method is approximately 25 % more sensitive.
PMID- 26550611
TI - The Development of Emotional and Behavioral Control in Early Childhood:
Heterotypic Continuity and Relations to Early School Adjustment.
AB - We examined heterotypic continuity of emotional and behavioral control (EBC)
across early childhood and related early manifestations of EBC to children's
school adjustment in 310 low-income, ethnically diverse boys. Multiple informants
and methods were used to measure different indicators of EBC at 18, 24, 42, and
60 months, which were chosen to reflect salient regulatory challenges children
face across development. Teachers rated boys' externalizing and internalizing
behaviors, and social skills at 72 months. Results indicated a modest degree of
heterotypic continuity of EBC, with different constructs of EBC associated
between adjacent time points and, in some instances, across more distant time
points. Further, children who had struggled with early EBC demonstrated higher
externalizing problems and lower social skills in school. Findings suggest that
early deficits in EBC may be a target for early identification and prevention, as
they may forecast continued difficulty in later-developing EBC skills and
socioemotional problems.
PMID- 26550612
TI - Motion Compensated Abdominal Diffusion Weighted MRI by Simultaneous Image
Registration and Model Estimation (SIR-ME).
AB - Non-invasive characterization of water molecule's mobility variations by
quantitative analysis of diffusion-weighted MRI (DW-MRI) signal decay in the
abdomen has the potential to serve as a biomarker in gastrointestinal and
oncological applications. Accurate and reproducible estimation of the signal
decay model parameters is challenging due to the presence of respiratory,
cardiac, and peristalsis motion. Independent registration of each b-value image
to the b-value=0 s/mm(2) image prior to parameter estimation might be sub-optimal
because of the low SNR and contrast difference between images of varying b-value.
In this work, we introduce a motion-compensated parameter estimation framework
that simultaneously solves image registration and model estimation (SIR-ME)
problems by utilizing the interdependence of acquired volumes along the diffusion
weighting dimension. We evaluated the improvement in model parameters estimation
accuracy using 16 in-vivo DW-MRI data sets of Crohn's disease patients by
comparing parameter estimates obtained using the SIR-ME model to the parameter
estimates obtained by fitting the signal decay model to the acquired DW-MRI
images. The proposed SIR-ME model reduced the average root-mean-square error
between the observed signal and the fitted model by more than 50%. Moreover, the
SIR-ME model estimates discriminate between normal and abnormal bowel loops
better than the standard parameter estimates.
PMID- 26550614
TI - [Editorial Comment of the article: The second kidney transplantation in Spain].
PMID- 26550613
TI - Multi-scale Heat Kernel based Volumetric Morphology Signature.
AB - Here we introduce a novel multi-scale heat kernel based regional shape
statistical approach that may improve statistical power on the structural
analysis. The mechanism of this analysis is driven by the graph spectrum and the
heat kernel theory, to capture the volumetric geometry information in the
constructed tetrahedral mesh. In order to capture profound volumetric changes, we
first use the volumetric Laplace-Beltrami operator to determine the point pair
correspondence between two boundary surfaces by computing the streamline in the
tetrahedral mesh. Secondly, we propose a multi-scale volumetric morphology
signature to describe the transition probability by random walk between the point
pairs, which reflects the inherent geometric characteristics. Thirdly, a point
distribution model is applied to reduce the dimensionality of the volumetric
morphology signatures and generate the internal structure features. The multi
scale and physics based internal structure features may bring stronger
statistical power than other traditional methods for volumetric morphology
analysis. To validate our method, we apply support vector machine to classify
synthetic data and brain MR images. In our experiments, the proposed work
outperformed FreeSurfer thickness features in Alzheimer's disease patient and
normal control subject classification analysis.
PMID- 26550615
TI - [Bone metastasis of prostatic adenocarcinoma. Image collection].
PMID- 26550616
TI - [Urothelial transitional cell carcinoma located in fossa navicularis].
PMID- 26550617
TI - [Pyonephrosis secondary to bladder catheter misplaced in the pelvic ureter].
PMID- 26550618
TI - [Dr. Fernando Arocena Lanz].
PMID- 26550619
TI - [Renal hematoma in tuberous sclerosis patient with several renal
angiomyolipomas].
PMID- 26550621
TI - [Editorial].
PMID- 26550620
TI - [Not Available].
PMID- 26550622
TI - Giant cyst of the prostate.
PMID- 26550623
TI - [Urethrovesical redo anastomosis after accidental removal of the urethral
catheter after radical prostatectomy].
PMID- 26550624
TI - [Non-invasive ventilation].
AB - Within the last decade the use of non-invasive ventilation has expanded. This
article reviews the studies on non-invasive ventilation in the treatment of
exacerbations of chronic obstructive pulmonary disease (COPD), cardiogenic
pulmonary oedema, acute respiratory distress syndrome, asthma and neuromuscular
disease. Its beneficial effect has primarily been found in exacerbations of COPD
where it reduces mortality with a number needed to treat of ten when added to
standard medical treatment. No other conclusive evidence of the superiority of
non-invasive ventilation compared to other modalities has been shown.
PMID- 26550626
TI - [Growth hormone deficiency in children].
AB - Growth hormone (GH) deficiency may be of congenital origin and may present with
typical clinical signs and symptoms very early in postnatal life, but may also
present later during childhood with growth deceleration. In a short-statured
child suspected of GH deficiency, diagnostic procedures include appropriate
auxological measurements using updated national growth references, bone age
determination, and biochemical evaluation. GH dose is adjusted every three months
based on height changes and serum insulin-like growth factor-I concentration.
After cessation of linear growth, GH secretion should be re-evaluated during
transitional care from a paediatric to an adult endocrinological setting.
PMID- 26550625
TI - [Optimized thiopurine treatment in chronic inflammatory bowel disease].
AB - Thiopurines are effective in maintaining remission in chronic inflammatory bowel
diseases, but incomplete response or side effects are common during standard-dose
treatment. In this article thiopurine metabolism and pharmacogenetic aspects are
summarized showing their benefits in improving therapy in chronic inflammatory
bowel disease. An increasing body of evidence suggests that a large part of the
observed non-pancreatic side effects and poor responses can be solved by
tailoring thiopurine therapy using measurement of thiopurine methyltransferase
and metabolites and by using a combination therapy with low-dose thiopurines and
allopurinol.
PMID- 26550627
TI - [Diagnosis and treatment of cerebral venous sinus thrombosis].
AB - Thrombosis of the cerebral sinuses most often affects younger adults. Headache is
a common complaint and can be accom-panied by vomiting and papilloedema. The
diagnosis rests on magnetic resonance imaging and the treatment consists of
heparin or low-molecular weight heparin followed by vitamin K antagonists for
three months or more. In fulminant cases local thrombolysis is administered,
while symptomatic treatment for increased intracranial pressure is given. In
retrospective analyses this approach has been associated with a good outcome -
even in cases treated by decompressive craniectomy.
PMID- 26550628
TI - [A three-day course can increase knowledge and interest in disaster medicine for
medical students].
AB - The incidence of disasters increases and the need for physicians with an interest
in disaster medicine is essential. As an early introduction of disaster medicine,
medical students from Denmark, members of the Students' Society for
Anesthesiology and Traumatology, participated on a three-day course, Trauma Days
2013, with full-scale simulations. The students underwent debriefings and
questionnaires were handed out. 94% of the students increased their interest in
disaster medicine.
PMID- 26550629
TI - [Clinical communication skills training is an educational challenge].
AB - This qualitative study presents results from a development project of clinical
communication skills training for physicians in a paediatric ward. Overall, the
doctors express that the training positively supports their clinical work and
that it provides a model for discussing communication challenges with colleagues.
Challenges, however, are time constraints and overcoming conventional
hierarchical structures. Prerequisites for ward-based communication training thus
are: a suitable timeframe, use of a structured feedback model, managerial backup,
and support from external expertise.
PMID- 26550630
TI - [Early Warning Score in primary care in Denmark].
AB - This study investigates the implementation of Early Warning Score in primary
care. Infections such as pneumonia or urinary tract infections are more frequent
in older age and mortality increases with age. Early diagnosis of such conditions
is thus important. This study showed that Early Warning Score in primary care is
adequate to trace elderly in need of medical assistance. At a score <= 2 24% were
visited by a doctor or admitted directly. At a score >= 5 this number increased
to 45%. At a score <= 5 11% were admitted to hospital and at a score >= 5 31%
were admitted to hospital.
PMID- 26550631
TI - [Shared decision making in psychiatry].
AB - In the paradigm of recovery-oriented care in mental health the central decision
model is shared decision making (SDM). In the article we describe the model of
SDM and the reason why it has been identified as important in mental health care.
We also review the central literature of SDM in mental health and some of the
decision aids just beginning to be developed to enhance SDM, thereby pointing to
the need of documenting the effect of using decision aids and to further research
on the effect of SDM on the recovery process of people in mental health care.
PMID- 26550632
TI - [Posttraumatic stress disorder is correlated to irritable bowel syndrome].
AB - Stress influences the whole body, including the gut. Irritable bowel syndrome
(IBS) is a syndrome characterized by gastrointestinal symptoms, with the absence
of clinical signs. IBS is seen in several psychiatric co-morbidities. Only few
studies have examined the association between IBS and posttraumatic stress
disorder (PTSD). There are several hypotheses of how this association can be
explained, e.g. oxytocin dysregulation, hypothalamic-pituitary-adrenal axis
dysfunction, the vulnerability of the patient group, post-infectious irritable
bowel and side effects of the medical treatment of PTSD.
PMID- 26550633
TI - [In Process Citation].
PMID- 26550634
TI - [In Process Citation].
PMID- 26550635
TI - [Not Available].
PMID- 26550636
TI - [Not Available].
PMID- 26550637
TI - Getting to know Dr. Paul Sweeney.
PMID- 26550638
TI - [Not Available].
PMID- 26550639
TI - [Not Available].
PMID- 26550640
TI - [In Process Citation].
PMID- 26550641
TI - [Not Available].
PMID- 26550642
TI - [In Process Citation].
PMID- 26550643
TI - In Memoriam: David B. Gray, PhD, Scientist, Colleague, and Advocate.
PMID- 26550644
TI - Measurement Characteristics and Clinical Utility of the Clinical Test of Sensory
Interaction on Balance (CTSIB) and Modified CTSIB in Individuals With
Vestibular Dysfunction.
PMID- 26550645
TI - [PENILE PARAFFINOMA: RECONSTRUCTIVE SURGERY WITH COLLAGEN MATRIX AND SKIN
GRAFTS].
PMID- 26550646
TI - [Not Available].
PMID- 26550647
TI - Retraction notice to "High-mobility group protein B1 (HMGB1) is a novel
biomarker for human ovarian cancer" [Gynecol. Oncol. 126 (2012) 109-117].
PMID- 26550648
TI - [Hotspots and difficulties of clinical and basic research in cholestatic liver
disease].
PMID- 26550649
TI - [Diagnosis and treatment of cholestatic liver disease].
PMID- 26550651
TI - [Individualized treatment of biliary liver abscess: report of 19 cases].
PMID- 26550650
TI - [CDR3 spectratyping analysis and complementary decision area 3 sequence
determination for CD4+CD25+ Tregs in patients with chronic hepatitis B].
PMID- 26550652
TI - [Treatment of esophageal and gastric varices by balloon-occluded retrograde
transvenous obliteration using lauromacrogol foam sclerotherapy].
PMID- 26550653
TI - [Diabetic retinopathy induced by PEG-IFN-alpha2a plus ribavirin in chronic
hepatitis C: a literature review].
PMID- 26550654
TI - [Diagnosis and treatment of portal hypertension caused by superior mesenteric
arteriovenous fistula].
PMID- 26550655
TI - Brien Anthony Holden, Ph.D., D.Sc., O.A.M. January 6, 1942 - July 27, 2015:
Beloved Husband, Dad, Grandfather, Brother, Friend, Mentor, and Colleague.
PMID- 26550656
TI - [Not Available].
PMID- 26550657
TI - A tribute to Professor Wan-Yu Chen.
PMID- 26550658
TI - Productivity and Impact of the Top 100 Cited Huntington's Disease Investigators.
AB - Huntington's disease (HD), research has grown dramatically over the last 25 years
of research to the point where an analysis of productivity is warranted. We have
compiled a list of the 100 most-cited researchers in HD together with H-Indices
as a means to assess productivity and impact over the last 25 years. We also
present a table of the most cited researchers of the last decade for comparison.
PMID- 26550659
TI - Understanding the pressures on a DAO.
PMID- 26550660
TI - Mental health nursing--'a good fit'.
PMID- 26550661
TI - Taking the deep breath of courage.
PMID- 26550662
TI - Recognising the right of Maori to health.
PMID- 26550663
TI - Increasing organ donation among American Indians.
PMID- 26550664
TI - 'Our communities are constantly in grief'.
PMID- 26550666
TI - Mental health: Including a focus on physical health.
PMID- 26550665
TI - Gerontology: Older people at risk of depression.
PMID- 26550667
TI - Future employment law changes must address growing wealth gap--lawyer.
PMID- 26550668
TI - Aged care: Deal reached with largest provider.
PMID- 26550669
TI - Aged care: 'Ground-breaking' pay increase.
PMID- 26550670
TI - Primary health care: Two national deals reached.
PMID- 26550671
TI - Parliament 'unsafe' place to work, according to EEO Commissioner.
PMID- 26550672
TI - DHBs: South Canterbury to quit aged care.
PMID- 26550673
TI - Private hospitals: Proposed deal for hospices.
PMID- 26550674
TI - Poor planning, communication lead to missteps in care of Ebola patient.
AB - A panel of experts examining the diagnosis and care of Thomas Eric Duncan, a
patient diagnosed with Ebola Virus Disease (EVD) in the United States in 2014,
and the cases of two nurses who contracted EVD while caring for Duncan, has
unveiled its findings along with recommendations to prevent many of the missteps
that occurred during the crisis. While the independent panel was convened at the
direction of Texas Health Resources, the parent company of Texas Health
Presbyterian Hospital in Dallas, observers and the panel itself note that the
findings should help hospitals, EDs, and communities across the country prepare
for the next infectious disease event. The expert panel noted that ED personnel
relied too heavily on the electronic medical record (EMR) to communicate with
other members of the care team, and that important information, such as the
patient's travel history, was not prioritized or highlighted in the EMR. Patient
satisfaction and other operational objectives took precedence over patient safety
during Duncan's ED visit, according to the expert panel's findings. The clinical
team failed to pick up on changes in the patient's clinical status, missing an
opportunity to re-evaluate Duncan and properly diagnosis him with EVD during his
first visit to the ED. Confusion over the roles and responsibilities of local and
federal health authorities, and inadequate preparation for an infectious disease
event led to missteps. The expert panel suggests conducting practice drills that
include all participating organizations, and hospital leaders should consider
infectious disease threats as well as other types of disasters.
PMID- 26550675
TI - Travel history key to picking up on signs of bubonic plague.
AB - Health officials note an uptick in cases of bubonic plague in the United States
this year, with at least 12 reported human cases reported since April 1. The CDC
notes that healthcare providers should consider plague in patients who have
traveled to plague-endemic areas and exhibit fever, headache, chills, weakness,
and one or more swollen or tender and painful lymph nodes, referred to as buboes.
Officials note that the disease rarely passes from person to person, but that
this is a concern with patients who have developed the pneumonic form of the
disease. Health officials note that in recent years there has been an average of
seven cases of human plague each year in the United States, and that most of
these cases are the bubonic form of the illness. Four patients confirmed to have
plague this year have died, including the most recent case, a Utah man in his
70s. Most cases of plague in the United States occur in two regions. The first
includes northern New Mexico, northern Arizona, and southern Colorado, and the
second includes California, southern Oregon, and far western Nevada. When plague
is suspected, treatment with antibiotics should begin immediately.
PMID- 26550676
TI - Interdisciplinary mistrust, communication breakdowns cited in survey of ED
handoffs.
AB - Both emergency and inpatient physicians agree that miscommunication during
interunit handoffs can compromise patient care and that sequential handoffs are
particularly problematic, according to a new study conducted at the University of
Nebraska Medical Center (UNMC) in Omaha, NE. The study highlights physician
survey data showing that there is mistrust between inpatient and emergency
physicians, and that which provider is responsible for patient care can be
unclear when a verbal handoff is made. To make improvements, UNMC has been
piloting a tool aimed at standardizing verbal and written handoff communications.
Nearly a third of all the participating physicians surveyed reported having
handoff-related adverse events, and most put the blame on ineffective
communication. Ninety-four percent of emergency physicians surveyed indicated
that they had to defend their clinical decisions at least some of the time. The
admitting physicians largely validated this concern, with more than 25% noting
that they usually disagree with decisions made in the ED. Using the situation,
background, assessment, recommendation (SBAR) form of communication as a starting
point, an intervention tool aims to streamline handoff communications, both
verbally and in the electronic medical record.
PMID- 26550677
TI - Synthesis of 2,3-Dihydro-1H-azepine and 1H-Azepin-2(3H)-one Derivatives From
Intramolecular Condensation between Stable Tertiary Enamides and Aldehydes.
AB - A new strategy to construct 2,3-dihydro-1H-azepine and 1H-azepin-2(3H)-one
heterocyclic rings is reported based on emerging tertiary enamide synthons. Under
very mild conditions employing BBr3 as a Lewis acid catalyst and P2O5 as an
additive, tertiary enamides that contain a formyl group underwent highly
efficient and scalable intramolecular cyclic condensation to afford diverse 2,3
dihydro-1H-azepine and 1H-azepin-2(3H)-one derivatives in 71-96% yields. The
reaction proceeded most probably through a nucleophilic addition of enamides to
aldehyde, deprotonation, and dehydration cascade. Application of the method in
the synthesis of dihydro-azepino[2,1-a]isoindol-5-ones, the core structure of
naturally occurring lennoxamine, was also demonstrated.
PMID- 26550678
TI - Potassium-Ion Oxygen Battery Based on a High Capacity Antimony Anode.
AB - Recent investigations into the application of potassium in the form of potassium
oxygen, potassium-sulfur, and potassium-ion batteries represent a new approach to
moving beyond current lithium-ion technology. Herein, we report on a high
capacity anode material for use in potassium-oxygen and potassium-ion batteries.
An antimony-based electrode exhibits a reversible storage capacity of 650 mAh/g
(98% of theoretical capacity, 660 mAh/g) corresponding to the formation of a
cubic K3Sb alloy. The Sb electrode can cycle for over 50 cycles at a capacity of
250 mAh/g, which is one of the highest reported capacities for a potassium-ion
anode material. X-ray diffraction and galvanostatic techniques were used to study
the alloy structure and cycling performance, respectively. Cyclic voltammetry and
electrochemical impedance spectroscopy were used to provide insight into the
thermodynamics and kinetics of the K-Sb alloying reaction. Finally, we explore
the application of this anode material in the form of a K3Sb-O2 cell which
displays relatively high operating voltages, low overpotentials, increased
safety, and interfacial stability, effectively demonstrating its applicability to
the field of metal oxygen batteries.
PMID- 26550679
TI - Predicting probation revocation and residential facility placement at juvenile
probation review hearings: Youth-specific and hearing-specific factors.
AB - Although most justice-involved youth receive probation as a community-based
alternative to residential facility placement, many of these youth are later
committed to residential facilities when their probation dispositions are revoked
at probation review hearings. The limited research investigating predictors of
facility placement following juvenile probation revocation has focused primarily
on youth-specific factors rather than on factors that can change from hearing to
hearing, such as noncompliance with court-imposed probation conditions. The
current study addressed this gap, using generalized estimating equation analyses
with 77 youths' archived public defender files--providing data from 268 review
hearings--to evaluate the role of both youth-specific factors (e.g., demographic
characteristics) and hearing-specific factors (e.g., noncompliance with imposed
probation requirements) in residential facility commitment. Results revealed that
youth who were absent from the examined review hearing, were rearrested, failed
to comply with school-related probation requirements, or failed to appear as
directed at the prior review hearing were more likely to have probation revoked
and be placed in a juvenile correctional facility. Such findings might help
identify groups of youth at greater risk for facility commitment and might inform
the guidance provided to juvenile probationers by their families, attorneys, and
probation officers.
PMID- 26550680
TI - Synergistic ameliorative effects of sesame oil and alpha-lipoic acid against
subacute diazinon toxicity in rats: hematological, biochemical, and antioxidant
studies.
AB - Diazinon (DZN) is a common organophosphorus insecticide extensively used for
agriculture and veterinary purposes. DZN toxicity is not limited to insects; it
also induces harmful effects in mammals and birds. Our experiment evaluated the
protective and antioxidant potential of sesame oil (SO) and (or) alpha-lipoic
acid (ALA) against DZN toxicity in male Wistar albino rats. DZN-treated animals
exhibited macrocytic hypochromic anemia and significant increases in serum
biochemical parameters related to liver injury, including aspartate
aminotransferase (AST), alanine aminotransferase (ALT), alkaline phosphatase
(ALP), gamma-glutamyl transferase (gammaGT), cholesterol, and triglycerides. They
also had elevated levels of markers related to cardiac injury, such as lactate
dehydrogenase (LDH) and creatine phosphokinase (CPK), and increased biomarkers of
renal injury, urea and creatinine. DZN also increased hepatic, renal, and cardiac
lipid peroxidation and decreased antioxidant biomarker levels. SO and (or) ALA
supplementation ameliorated the deleterious effects of DZN intoxication.
Treatment improved hematology and serum parameters, enhanced endogenous
antioxidant status, and reduced lipid peroxidation. Importantly, they exerted
synergistic hepatoprotective, nephroprotective, and cardioprotective effects. Our
findings demonstrate that SO and (or) ALA supplementation can alleviate the toxic
effects of DZN via their potent antioxidant and free radical-scavenging
activities.
PMID- 26550681
TI - Tunable Electromagnetic Coupling in Plasmonic Nanostructures Mediated by
Thermoresponsive Polymer Brushes.
AB - A smart and highly SERS-active plasmonic platform was designed by coupling
regular arrays of nanotriangles to colloidal gold nanorods via a thermoresponsive
polymer spacer (poly(N-isopropylacrylamide), PNIPAM). The substrates were
prepared by combining a top-down and a bottom-up approach based on nanosphere
lithography, surface-initiated controlled radical polymerization, and colloidal
assembly. This multistep strategy provided regular hexagonal arrays of
nanotriangles functionalized by polymer brushes and colloidal gold nanorods,
confined exclusively on the nanotriangle surface. Interestingly, one could finely
tune the gold nanorod impregnation on the polymer-coated nanostructures by
adjusting the polymer layer thickness, leading to highly coupled plasmonic
systems for intense SERS signal. Moreover, the thermoresponsive properties of the
PNIPAM brushes could be wisely handled in order to monitor the SERS activity of
the nanostructures coupled via this polymer spacer. The coupled hybrid plasmonic
nanostructures designed in this work are therefore very promising smart platforms
for the sensitive detection of analytes by SERS.
PMID- 26550682
TI - A Suite of Tetraphenylethylene-Based Discrete Organoplatinum(II) Metallacycles:
Controllable Structure and Stoichiometry, Aggregation-Induced Emission, and
Nitroaromatics Sensing.
AB - Materials that organize multiple functionally active sites, especially those with
aggregation-induced emission (AIE) properties, are of growing interest due to
their widespread applications. Despite promising early architectures, the
fabrication and preparation of multiple AIEgens, such as multiple
tetraphenylethylene (multi-TPE) units, in a single entity remain a big challenge
due to the tedious covalent synthetic procedures often accompanying such
preparations. Coordination-driven self-assembly is an alternative synthetic
methodology with the potential to deliver multi-TPE architectures with light
emitting characteristics. Herein, we report the preparation of a new family of
discrete multi-TPE metallacycles in which two pendant phenyl rings of the TPE
units remain unused as a structural element, representing novel AIE-active metal
organic materials based on supramolecular coordination complex platforms. These
metallacycles possess relatively high molar absorption coefficients but weak
fluorescent emission under dilute conditions because of the ability of the
untethered phenyl rings to undergo torsional motion as a non-radiative decay
pathway. Upon molecular aggregation, the multi-TPE metallacycles show AIE
activity with markedly enhanced quantum yields. Moreover, on account of their AIE
characteristics in the condensed state and ability to interact with electron
deficient substrates, the photophysics of these metallacycles is sensitive to the
presence of nitroaromatics, motivating their use as sensors. This work represents
a unification of themes including molecular self-assembly, AIE, and fluorescence
sensing and establishes structure-property-application relationships of multi-TPE
scaffolds. The fundamental knowledge obtained from the current research
facilitates progress in the field of metal-organic materials, metal-coordination
induced emission, and fluorescent sensing.
PMID- 26550683
TI - Theoretical Study of FH2- Electron Photodetachment Spectra on New Ab Initio
Potential Energy Surfaces.
AB - The FH2- anion has a stable structure that resembles a configuration in the
vicinity of the transition state for neutral reaction F + H2 -> HF + H. Electron
photodetachment spectra of the FH2- anion reveal the neutral reaction dynamics in
the critical transition-state region. Accurate quantum dynamics simulations of
the photodetachment spectra using highly accurate new ab initio potential energy
surfaces for both anionic and neutral FH2 are performed and compared with all
available experimental results. The results provide reliable interpretations for
the experimental observations of FH2- photoelectron detachment and reveal a
detailed picture of the molecular dynamics around the transition state of the F +
H2 reaction. The latest high-resolution photoelectron detachment spectra [Kim et
al. Science, 2015, 349, 510-513] confirm the high accuracy of our new potential
energy surface for describing the resonance-enhanced reactivity of the neutral F
+ H2 reaction.
PMID- 26550684
TI - Artificial Avidin-Based Receptors for a Panel of Small Molecules.
AB - Proteins with high specificity, affinity, and stability are needed for
biomolecular recognition in a plethora of applications. Antibodies are powerful
affinity tools, but they may also suffer from limitations such as low stability
and high production costs. Avidin and streptavidin provide a promising scaffold
for protein engineering, and due to their ultratight binding to D-biotin they are
widely used in various biotechnological and biomedical applications. In this
study, we demonstrate that the avidin scaffold is suitable for use as a novel
receptor for several biologically active small molecules: Artificial, chicken
avidin-based proteins, antidins, were generated using a directed evolution method
for progesterone, hydrocortisone, testosterone, cholic acid, ketoprofen, and
folic acid, all with micromolar to nanomolar affinity and significantly reduced
biotin-binding affinity. We also describe the crystal structure of an antidin,
sbAvd-2(I117Y), a steroid-binding avidin, which proves that the avidin scaffold
can tolerate significant modifications without losing its characteristic
tetrameric beta-barrel structure, helping us to further design avidin-based small
molecule receptors.
PMID- 26550685
TI - Withdrawn: Bone marrow fat and the decline of B lymphopoiesis in rabbits.
PMID- 26550686
TI - Diagnosing hypogonadism and treating decisions in different parts of the world:
shifts in patterns between 2006 and 2015.
AB - AIM: Variations in diagnosing and treating testosterone (T) deficiency between
different regions of the world were analyzed in 2006, and repeated in 2010. At
present, the changes since 2006 were analyzed. METHODS: About 731 physicians were
interviewed in Europe, South Africa, Central and South America regarding factors
determining: (1) prescription of T or withholding T, (2) factors in the long-term
use of T and the role of T formulations therein, (3) awareness of the wider
spectrum of action of T (cardiometabolic disease) (4) reimbursement of T and its
impact on (continued) use and (5) best strategies for information on T for
physicians. RESULTS: Total T was a key factor in identifying hypogonadism, but
for >80% of physicians, clinical symptoms were weighed during diagnosis. Once
diagnosed, >85% received T treatment, but the treatment compliance was
problematic. Of these patients, 36% decided not to start or continue the
treatment. CONCLUSION: More hypogonadal men are treated than before, but ~20%
goes unidentified. Physicians have a greater awareness that T deficiency can be
an element in cardiovascular and metabolic disease, but more education of
physicians on diagnosis and treatment of hypogonadism are needed. Problems with
reimbursement of T are barriers in the prescription of T and its use by patients.
PMID- 26550688
TI - Cyclopentadiene Construction via Rh-Catalyzed Carbene/Alkyne Metathesis
Terminated with Intramolecular Formal [3 + 2] Cycloaddition.
AB - A new type of intramolecular carbene cascade reaction of alkynyl-tethered styryl
diazoesters is presented, which is terminated with a formal [3 + 2] cycloaddition
to give the bicyclic cyclopentadiene derivatives in high yields and selectivity.
Additionally, it was found that the beta-H shift is the dominating process in the
case of alkyl alkyne-tethered substrates.
PMID- 26550687
TI - Lung Deflation and Cardiovascular Structure and Function in Chronic Obstructive
Pulmonary Disease. A Randomized Controlled Trial.
AB - RATIONALE: Patients with chronic obstructive pulmonary disease develop increased
cardiovascular morbidity with structural alterations. OBJECTIVES: To investigate
through a double-blind, placebo-controlled, crossover study the effect of lung
deflation on cardiovascular structure and function using cardiac magnetic
resonance. METHODS: Forty-five hyperinflated patients with chronic obstructive
pulmonary disease were randomized (1:1) to 7 (maximum 14) days inhaled
corticosteroid/long-acting beta2-agonist fluticasone furoate/vilanterol 100/25
MUg or placebo (7-day minimum washout). Primary outcome was change from baseline
in right ventricular end-diastolic volume index versus placebo. MEASUREMENTS AND
MAIN RESULTS: There was a 5.8 ml/m(2) (95% confidence interval, 2.74-8.91; P <
0.001) increase in change from baseline right ventricular end-diastolic volume
index and a 429 ml (P < 0.001) reduction in residual volume with fluticasone
furoate/vilanterol versus placebo. Left ventricular end-diastolic and left atrial
end-systolic volumes increased by 3.63 ml/m(2) (P = 0.002) and 2.33 ml/m(2) (P =
0.002). In post hoc analysis, right ventricular stroke volume increased by 4.87
ml/m(2) (P = 0.003); right ventricular ejection fraction was unchanged. Left
ventricular adaptation was similar; left atrial ejection fraction improved by
+3.17% (P < 0.001). Intrinsic myocardial function was unchanged. Pulmonary artery
pulsatility increased in two of three locations (main +2.9%, P = 0.001; left
+2.67%, P = 0.030). Fluticasone furoate/vilanterol safety profile was similar to
placebo. CONCLUSIONS: Pharmacologic treatment of chronic obstructive pulmonary
disease has consistent beneficial and plausible effects on cardiac function and
pulmonary vasculature that may contribute to favorable effects of inhaled
therapies. Future studies should investigate the effect of prolonged lung
deflation on intrinsic myocardial function. Clinical trial registered with
www.clinicaltrials.gov (NCT 01691885).
PMID- 26550691
TI - Corrigendum.
PMID- 26550690
TI - C-termini are essential and distinct for nucleic acid binding of human NABP1 and
NABP2.
AB - BACKGROUND: Human Nucleic Acid Binding Protein 1 and 2 (hNABP1 and 2; also known
as hSSB2 and 1, respectively) are two newly identified single-stranded (ss) DNA
binding proteins (SSB). Both NABP1 and NABP2 have a conserved
oligonucleotide/oligosaccharide-binding (OB)-fold domain and a divergent carboxy
terminal domain, the functional importance of which is unknown. METHODS:
Recombinant hNABP1/2 proteins were purified using affinity and size exclusion
chromatography and their identities confirmed by mass spectrometry.
Oligomerization state was checked by sucrose gradient centrifugation. Secondary
structure was determined by circular dichroism spectroscopy. Nucleic acid binding
ability was examined by EMSA and ITC. RESULTS: Both hNABP1 and hNABP2 exist as
monomers in solution; however, hNABP2 exhibits anomalous behavior. CD
spectroscopy revealed that the C-terminus of hNABP2 is highly disordered.
Deletion of the C-terminal tail diminishes the DNA binding ability and protein
stability of hNABP2. Although both hNABP1 and hNABP2 prefer to bind ssDNA than
double-stranded (ds) DNA, hNABP1 has a higher affinity for ssDNA than hNABP2.
Unlike hNABP2, hNABP1 protein binds and multimerizes on ssDNA with the C-terminal
tail responsible for its multimerization. Both hNABP1 and hNABP2 are able to bind
single-stranded RNA, with hNABP2 having a higher affinity than hNABP1.
CONCLUSIONS: Biochemical evidence suggests that the C-terminal region of NABP1
and NABP2 is essential for their functionality and may lead to different roles in
DNA and RNA metabolism. GENERAL SIGNIFICANCE: This is the first report
demonstrating the regulation and functional properties of the C-terminal domain
of hNABP1/2, which might be a general characteristic of OB-fold proteins.
PMID- 26550692
TI - First European Congress on Hereditary ATTR amyloidosis.
PMID- 26550693
TI - Mitochondrial dynamics and mitophagy in Parkinson's disease: A fly point of view.
AB - Mitochondria are double membrane-bounded organelles residing in the cytoplasm of
almost all eukaryotic cells, which convert energy from the disposal of organic
substrates into an electrochemical gradient that is in turn converted into ATP.
However, the ion gradient that is generated through the oxidation of nutrients,
may lead to the production of reactive oxygen species (ROS), which can generate
free radicals, damaging cells and contributing to disease. Originally described
as static structures, to date they are considered extremely plastic and dynamic
organelles. In this respect, mitochondrial dynamics is crucial to prevent
potential damage that is generated by ROS. For instance, mitochondria elongate to
dilute oxidized proteins into the mitochondrial network, and they fragment to
allow selective elimination of dysfunctional mitochondria via mitophagy.
Accordingly, mitochondrial dynamics perturbation may compromise the selective
elimination of damaged proteins and dysfunctional organelles and lead to the
development of different diseases including neurodegenerative diseases. In recent
years the fruit fly Drosophila melanogaster has proved to be a valuable model
system to evaluate the consequences of mitochondria quality control dysfunction
in vivo, particularly with respect to PINK1/Parkin dependent dysregulation of
mitophagy in the onset of Parkinson's Disease (PD). The current challenge is to
be able to use fly based genetic strategies to gain further insights into
molecular mechanisms underlying disease in order to develop new therapeutic
strategies. This article is part of a Special Issue entitled: Role of
mitochondria in physiological and pathophysiological functions in the central
nervous system.
PMID- 26550695
TI - Phosphatase activity of Bombyx mori nucleopolyhedrovirus PTP is dispensable for
enhanced locomotory activity in B. mori larvae.
AB - Baculovirus-induced enhanced locomotory activity (ELA) is not induced in
caterpillars infected with a mutant Bombyx mori nucleopolyhedrovirus (BmNPV) or
Autographa californica multiple nucleopolyhedrovirus (AcMNPV) lacking a
functional protein tyrosine phosphatase gene (ptp). Previous studies suggest that
the PTP proteins from BmNPV and AcMNPV act in different ways to induce ELA, i.e.,
BmNPV PTP is utilized as a virion structural component, whereas AcMNPV PTP
requires its phosphatase activity. Here, I generated and characterized two new
BmNPV mutants expressing enzymatically inactive PTP proteins and confirmed that
the phosphatase activity of PTP is not required for ELA induction in BmNPV
infected B. mori larvae.
PMID- 26550689
TI - Two-Year Outcomes of Surgical Treatment of Severe Ischemic Mitral Regurgitation.
AB - BACKGROUND: In a randomized trial comparing mitral-valve repair with mitral-valve
replacement in patients with severe ischemic mitral regurgitation, we found no
significant difference in the left ventricular end-systolic volume index
(LVESVI), survival, or adverse events at 1 year after surgery. However, patients
in the repair group had significantly more recurrences of moderate or severe
mitral regurgitation. We now report the 2-year outcomes of this trial. METHODS:
We randomly assigned 251 patients to mitral-valve repair or replacement. Patients
were followed for 2 years, and clinical and echocardiographic outcomes were
assessed. RESULTS: Among surviving patients, the mean (+/-SD) 2-year LVESVI was
52.6+/-27.7 ml per square meter of body-surface area with mitral-valve repair and
60.6+/-39.0 ml per square meter with mitral-valve replacement (mean changes from
baseline, -9.0 ml per square meter and -6.5 ml per square meter, respectively).
Two-year mortality was 19.0% in the repair group and 23.2% in the replacement
group (hazard ratio in the repair group, 0.79; 95% confidence interval, 0.46 to
1.35; P=0.39). The rank-based assessment of LVESVI at 2 years (incorporating
deaths) showed no significant between-group difference (z score=-1.32, P=0.19).
The rate of recurrence of moderate or severe mitral regurgitation over 2 years
was higher in the repair group than in the replacement group (58.8% vs. 3.8%,
P<0.001). There were no significant between-group differences in rates of serious
adverse events and overall readmissions, but patients in the repair group had
more serious adverse events related to heart failure (P=0.05) and cardiovascular
readmissions (P=0.01). On the Minnesota Living with Heart Failure questionnaire,
there was a trend toward greater improvement in the replacement group (P=0.07).
CONCLUSIONS: In patients undergoing mitral-valve repair or replacement for severe
ischemic mitral regurgitation, we observed no significant between-group
difference in left ventricular reverse remodeling or survival at 2 years. Mitral
regurgitation recurred more frequently in the repair group, resulting in more
heart-failure-related adverse events and cardiovascular admissions. (Funded by
the National Institutes of Health and Canadian Institutes of Health Research;
ClinicalTrials.gov number, NCT00807040.).
PMID- 26550696
TI - Visual Acuity Outcomes of the Boston Keratoprosthesis Type 1: Multicenter Study
Results.
AB - PURPOSE: To report logarithm of the minimal angle of resolution (logMAR) visual
outcomes of the Boston keratoprosthesis type 1. DESIGN: Prospective cohort study.
METHODS: Preoperative, intraoperative, and postoperative parameters of 300 eyes
of 300 patients who underwent implantation of a Boston keratoprosthesis type 1
device between January 2003 and July 2008 by 1 of 19 surgeons at 18 medical
centers were collected. RESULTS: After an average of 17.1 +/- 14.8 months, visual
acuity improved significantly (P < .0001) to a mean final value of 0.89 +/- 0.64
(20/150). There were also significantly fewer eyes with light perception (6.7%; n
= 19; P < .0001), although 3.1% (n = 9) progressed to no light perception. There
was no association between age (P = .08), sex (P = .959), operative side (P =
.167), or failure (P = .494) and final visual acuity. The median time to achieve
20/200 visual acuity was 1 month (95% confidence interval 1.0-6.0) and it was
retained for an average of 47.8 months. Multivariate analysis, controlling for
preoperative visual acuity, demonstrated 2 factors associated with final visual
outcome: chemical injury was associated with better final vision (P = .007),
whereas age-related macular degeneration was associated with poorer vision (P <
.0001). CONCLUSIONS: The Boston keratoprosthesis type 1 is an effective device
for rehabilitation in advanced ocular surface disease, resulting in a significant
improvement in visual acuity. Eyes achieved a mean value of 20/150 (0.89 +/- 0.64
logMAR units) after 6 months and this was relatively stable thereafter. The best
visual prognosis is observed in chemical injury eyes, whereas the worst prognosis
is in aniridia, although the latter has limited visual potential.
PMID- 26550697
TI - Relationship between serum uric acid level and cardiometabolic risks in
nondiabetic patients with schizophrenia.
AB - This study examined the relationship between serum levels of uric acid and
insulin resistance and metabolic syndrome in nondiabetic patients with
schizophrenia. Outpatients diagnosed with schizophrenia or schizoaffective
disorder participated in a multicenter, cross-sectional study. Fasting blood
samples were obtained to determine serum levels of metabolic measures. A total of
135 patients were recruited for the study. A significant positive relationship
was found between serum levels of uric acid and the homeostasis model of
assessing insulin resistance (log transformed, r=0.394, P<0.001), and a
significant negative relationship was found between serum levels of uric acid and
low-density lipoprotein particle size (log transformed, r=-0.306, P=0.001) after
controlling for potential confounding variables. Hierarchical multiple regression
suggested that serum uric acid level is a significant predictor of insulin
resistance (P=0.001) and of low-density lipoprotein particle size (P<0.015).
Further, logistic regression showed that serum uric acid levels strongly
predicted the condition of metabolic syndrome (odds ratio 0.630, 95% confidence
interval 0.463-0.856, P=0.003). This study suggested that uric acid may be a
clinically useful biomarker to indicate cardiometabolic risks in nondiabetic
patients with schizophrenia.
PMID- 26550694
TI - Recombinant Slit2 attenuates neuroinflammation after surgical brain injury by
inhibiting peripheral immune cell infiltration via Robo1-srGAP1 pathway in a rat
model.
AB - BACKGROUND AND PURPOSE: Peripheral immune cell infiltration to the brain tissue
at the perisurgical site can promote neuroinflammation after surgical brain
injury (SBI). Slit2, an extracellular matrix protein, has been reported to reduce
leukocyte migration. This study evaluated the effect of recombinant Slit2 and the
role of its receptor roundabout1 (Robo1) and its downstream mediator Slit-Robo
GTPase activating protein 1 (srGAP1)-Cdc42 on peripheral immune cell infiltration
after SBI in a rat model. METHODS: One hundred and fifty-three adult male Sprague
Dawley rats (280-350 g) were used. Partial resection of right frontal lobe was
performed to induce SBI. Slit2 siRNA was administered by intracerebroventricular
injection 24h before SBI. Recombinant Slit2 was injected intraperitoneally 1h
before SBI. Recombinant Robo1 used as a decoy receptor was co-administered with
recombinant Slit2. srGAP1 siRNA was administered by intracerebroventricular
injection 24h before SBI. Post-assessments included brain water content
measurement, neurological tests, ELISA, Western blot, immunohistochemistry, and
Cdc42 activity assay. RESULTS: Endogenous Slit2 was increased after SBI. Robo1
was expressed by peripheral immune cells. Endogenous Slit2 knockdown worsened
brain edema after SBI. Recombinant Slit2 administration reduced brain edema,
neurological deficits, and pro-inflammatory cytokines after SBI. Recombinant
Slit2 reduced peripheral immune cell markers cluster of differentiation 45 (CD45)
and myeloperoxidase (MPO), as well as Cdc42 activity in the perisurgical brain
tissue which was reversed by recombinant Robo1 co-administration and srGAP1
siRNA. CONCLUSIONS: Recombinant Slit2 improved outcomes by reducing
neuroinflammation after SBI, possibly by decreasing peripheral immune cell
infiltration to the perisurgical site through Robo1-srGAP1 mediated inhibition of
Cdc42 activity. These results suggest that Slit2 may be beneficial to reduce SBI
induced neuroinflammation.
PMID- 26550698
TI - [Support in diagnostics and therapy].
AB - There is no proven effective treatment for many diseases today that proves to be
one of the greatest problems of health care. Therefore, different therapeutic
decisions are made in connection with the same disease by hospitals. There is a
growing need for reviews which summarize the information collected from
professional literature with scientific methods. The aim of the authors was to
show the limitations of conventional narrative reviews, and to present the method
and importance of systematic reviews to Hungarian professionals. Systematic
reviews are transparent studies which are based on a predetermined protocol and
collate all empirical evidence to answer a specific research question, and
consequently provide more reliable results. They use explicit and systematic
methods to minimize bias, and provide evidence for clinicians and policy makers
to help them make diagnostic and therapeutic decisions which are essential in
several fields of the health care system and health policy, too.
PMID- 26550699
TI - [Lactose intolerance: past and present. Part 1].
AB - Lactose intolerance is the most prevalent intestinal malabsorption disorder.
After presentation of its history, the author describes the emergence of lactose
intolerance during the evolution of species, and the biochemistry of lactose as
well as features of human and bacterial lactase enzymes are then described. The
unequal distribution of lactose intolerance in different continents and
population is discussed, followed by presentation of past and present prevalence
data in Hungary. Adult-type hypolactasia is caused by a polymorphism of the MCM6
gene located upstream from the lactase gene on the long arm of the chromosome 2.
It can be determined with the polymerase chain reaction. The intestinal symptoms
of lactose intolerance are well known, but its extra-intestinal manifestations
are less recognised. Invasive diagnostic methods (determination of lactase
activity from small intestinal biopsies, lactose tolerance test), are accurate,
but have been replaced by the non-invasive methods; their gold standard is the H2
breath test. Genetic testing is being used more and more frequently in Hungary
too, and, presumably, the methane breath test will be also available in the near
future. Lactose intolerance can be accompanied by inflammatory bowel diseases,
coeliac disease and irritable bowel syndrome; it could be established whether
this association is causal or not in order to start a correct diet and therapy.
PMID- 26550700
TI - [Applicability of hospital reports submitted for reimbursement purposes for
epidemiological studies based on the example of ischemic cerebrovascular
diseases].
AB - INTRODUCTION: In single-payer health care financing systems data extracted from
hospital report forms submitted for reimbursement purposes may be used for
epidemiological investigations. AIM: Based on data submitted by 14 neurological
wards in Central Hungary the authors examined the reliability of these reports.
METHOD: Analyses were performed for the 3-digit codes of the 10th version of the
International Classification of Diseases for cerebral infarcts (ICD-10 I63+I64)
reported for the National Health Insurance Fund. RESULTS: The number of cases in
individual hospitals changed between a decrease by 35% and an increase by 73%
from the first to the second half of the year 2012, reflecting changes in the
size of the catchment area of the hospitals in July 2012. Of those with an ICD-10
I63 or I64 discharge diagnosis 54-84% had acute stroke. Neurological wards cared
for 34-98% of all stroke patients. The diagnoses submitted for reimbursement
purposes corresponded in over 99% to the diagnoses in the hospital discharge
reports. Inaccuracies occurred in a larger proportion (about 20%) in coding the
DRG financing categories. CONCLUSIONS: Databases created from hospital reports
submitted for reimbursement purposes can be used reliably in Hungary for stroke
epidemiological studies.
PMID- 26550701
TI - [Laparoscopic resection of persistent patent urachus].
AB - The urachus in the foetus is a fibromuscular duct, which connects the allantois
to the bladder and it is usually occluded in the 4-5th gestation months.
Incomplete occlusion of the urachus at the time of birth is considered to be
physiological, but later it can lead to recurrent discharge and inflammation of
the umbilicus. To establish the diagnosis, ultrasound is the first examination of
choice. A 19-year old obese female patient presented with umbilical discharge,
and a persistent urachus was detected by ultrasound. After incision of the
peritoneum the duct was excised from the umbilicus to the dome of the bladder by
3-port laparoscopy where the duct was clipped. The operation time was 38 minutes.
The patient required minor analgesia on one single occasion in the postoperative
period and was discharged on the first postoperative day. The authors recommend
laparoscopic operation for the urachal remnant; the enlarged duct on the ventral
abdominal wall can be better detected from the umbilicus to the Retzius spatium
with 30-degree camera, and the cosmetic outcome is also more favourable.
PMID- 26550702
TI - [Beyond the impact factor. Reflections on the book of Stefanie Haustein].
AB - The excellent book on multidimensional journal evaluation by Stefanie Haustein
helps to find the place of the impact factor in the complex system of journal
evaluation indicators. By delimiting the dimensions of evaluation and the user
groups, the author of the book creates a framework that serves as a novel and
useful guidance both for the lay reader and the expert.
PMID- 26550703
TI - [Amazing stories of cesarean section].
PMID- 26550705
TI - A mathematical model for Crimean-Congo haemorrhagic fever: tick-borne dynamics
with conferred host immunity.
AB - Crimean-Congo haemorrhagic fever (CCHF) is a highly contagious tick-borne disease
that impacts many countries in parts of Africa, Europe, Asia, and the Middle
East. Outbreaks are episodic, but deadly. Due to the highly contagious nature of
this disease, suspected cases are taken extremely serious, with very strong
control measures implemented almost immediately. It is primarily those living on
farms, livestock workers, and medical workers who are at risk. The virus
responsible for CCHF is transmitted asymptomatically and transiently to
livestock, and symptomatically to humans. The fatality rate in human cases can be
very high. The number of methods and directions of viral transmission is large,
including tick-to-tick, tick-to-livestock, tick-to-human, livestock-to-tick,
livestock-to-human, and human-to-human. We model CCHF using a deterministic
system of nonlinear differential equations. This compartment model allows us to
analyse threshold parameters and equilibria describing the magnitude and
progression of cases of the disease in a hypothetical outbreak.
PMID- 26550706
TI - An assessment of air quality reflecting the chemosensory irritation impact of
mixtures of volatile organic compounds.
AB - We present a method to assess the air quality of an environment based on the
chemosensory irritation impact of mixtures of volatile organic compounds (VOCs)
present in such environment. We begin by approximating the sigmoid function that
characterizes psychometric plots of probability of irritation detection (Q)
versus VOC vapor concentration to a linear function. First, we apply an
established equation that correlates and predicts human sensory irritation
thresholds (SIT) (i.e., nasal and eye irritation) based on the transfer of the
VOC from the gas phase to biophases, e.g., nasal mucus and tear film. Second, we
expand the equation to include other biological data (e.g., odor detection
thresholds) and to include further VOCs that act mainly by "specific" effects
rather than by transfer (i.e., "physical") effects as defined in the article.
Then we show that, for 72 VOCs in common, Q values based on our calculated SITs
are consistent with the Threshold Limit Values (TLVs) listed for those same VOCs
on the basis of sensory irritation by the American Conference of Governmental
Industrial Hygienists (ACGIH). Third, we set two equations to calculate the
probability (Qmix) that a given air sample containing a number of VOCs could
elicit chemosensory irritation: one equation based on response addition (Qmix
scale: 0.00 to 1.00) and the other based on dose addition (1000*Qmix scale: 0 to
2000). We further validate the applicability of our air quality assessment method
by showing that both Qmix scales provide values consistent with the expected
sensory irritation burden from VOC mixtures present in a wide variety of indoor
and outdoor environments as reported on field studies in the literature. These
scales take into account both the concentration of VOCs at a particular site and
the propensity of the VOCs to evoke sensory irritation.
PMID- 26550707
TI - Novel Cadmium Responsive MicroRNAs in Daphnia pulex.
AB - Daphnia pulex is a widely used toxicological model and is known for its
sensitivity to cadmium (Cd). Recent research suggests that microRNAs (miRNAs)
play a critical role in animal responses to heavy metals. To investigate the
functions of D. pulex miRNAs under Cd exposure, we analyzed the miRNA profiles of
D. pulex after 48 h using miRNA microarrays and validated our findings by q-PCR.
miRNA dpu-let-7 was identified as a stably expressed gene and used as a
reference. We identified 22 and 21 differentially expressed miRNAs under low (20
MUg/L CdCl2) and high-exposure (40 MUg/L CdCl2) concentrations compared to
controls, respectively. Cellular functions of predicted miRNA target Cd
responsive genes included oxidative stress, ion transport, mitochondrial damage,
and DNA repair. An insulin-related network was also identified in relation to
several Cd-responsive miRNAs. The expression of three predicted target genes for
miR-71 and miR-210 were evaluated, and expression of two of them (SCN2A and
SLC31A1) was negatively correlated with the expression of their regulator miRNAs.
We show miR-210 is hypoxia-responsive in D. pulex and propose Cd and hypoxia
induce miR-210 via a same HIF1alpha modulated pathway. Collectively, this
research advances our understanding on the role of miRNAs in response to heavy
metal exposure.
PMID- 26550708
TI - Neurodegenerative diseases: From available treatments to prospective herbal
therapy.
AB - Neurodegenerative diseases such as Alzheimer's disease, Parkinson's disease and
many others represent a relevant health problem with age worldwide. Efforts have
been made in recent years to discover the mechanism of neurodegenerative diseases
and prospective therapy that can help to slow down the effects of the aging and
prevent these diseases. Since pathogenesis of these diseases involves multiple
factors therefore the important task for neuroscientists is to identify such
multiple factors and prevent age-associated neurodegenerative diseases. For these
neurodegenerative diseases yet we have only palliative therapies and none of them
significantly capable to slow down or halt the underlying pathology. Polyphenolic
compounds such as flavonoids present in vegetables and fruits are believed to
have anti-aging properties and reduce the risk of neurodegenerative diseases.
Despite their abundance, investigations into the benefits of these polyphenolic
compounds in human health have only recently begun. Preclinical and clinical
studies have demonstrated the potential beneficial effects of flavonoids in
neurons. Although clinical trials on the effectiveness of dietary flavonoids to
treat human diseases are limited but various animal models and cell culture
studies have shown a great promise in developing these compounds as suitable
therapeutic targets. In this review, we elaborate the neuroprotective properties
of flavonoids especially their applications in prevention and intervention of
different neurodegenerative diseases. Their multi-target properties may allow
them to be potential dietary supplement in prevention and treatment of the age
associated neurodegenerative diseases.
PMID- 26550709
TI - Production of extracellular fructans by Gluconobacter nephelii P1464.
AB - Bacterial extracellular fructans, known as levans, have potential applications in
food, pharmaceutical and cosmetic industries and high fructan producing strains
could contribute into the cost reduction and more extensive commercial usage of
them. An acetic acid bacteria (AAB) isolate P1464 was obtained from the Microbial
Strain Collection of Institute of Microbiology and Biotechnology, University of
Latvia and identified as Gluconobacter nephelii by DNA-DNA hybridization and the
formation of extracellular fructans by this strain was confirmed. Isolated
extracellular fructose polymers were characterized using FT-IR spectroscopy and
the structural features of fructan appeared as similar to the reference sample of
bacterial levan. Molecular mass estimates showed that the isolated G. nephelii
P1464 fructose polymer has a relatively small molecular weight (Mw 1122.939 +/-
153.453 kDa) and a sizeable polydispersity (Mw/Mn = 21.57 +/- 1.60), as compared
with other AAB, which could promote their physiological activity, including the
prebiotic effects. Obtained at different cultivation conditions characteristics
of fructan production, including the biotechnological indices such as the
productivity (Qp) and yield (Yp/s) ranging from 0.774 to 1.244 g l(-1) h and
from 0.181 to 0.436 g g(-1) , respectively, confirmed, that G. nephelii P1464
could be used as promising strain for commercial production of levan.
SIGNIFICANCE AND IMPACT OF THE STUDY: Bacterial fructans, known as levans, have
extensive options for practical usage, however, actually limited due to high
production costs. Therefore, the searches for efficient producer strains should
be an urgent task to reduce costs. This study is the first report on the
formation of fructans by a novel strain of acetic acid bacteria (AAB)
Gluconobacter nephelii P1464. Characteristics obtained at different cultivation
conditions confirmed the operation of a competitive and perspective producer
strain. Isolated extracellular fructans are characterized by a lower molecular
weight as compared with other AAB which could promote their physiological
activity, including the prebiotic effects.
PMID- 26550710
TI - Necessary and Sufficient Conditions for an Extended Noncontextuality in a Broad
Class of Quantum Mechanical Systems.
AB - The notion of (non)contextuality pertains to sets of properties measured one
subset (context) at a time. We extend this notion to include so-called
inconsistently connected systems, in which the measurements of a given property
in different contexts may have different distributions, due to contextual biases
in experimental design or physical interactions (signaling): a system of
measurements has a maximally noncontextual description if they can be imposed a
joint distribution on in which the measurements of any one property in different
contexts are equal to each other with the maximal probability allowed by their
different distributions. We derive necessary and sufficient conditions for the
existence of such a description in a broad class of systems including Klyachko
Can-Binicioglu-Shumvosky-type (KCBS), EPR-Bell-type, and Leggett-Garg-type
systems. Because these conditions allow for inconsistent connectedness, they are
applicable to real experiments. We illustrate this by analyzing an experiment by
Lapkiewicz and colleagues aimed at testing contextuality in a KCBS-type system.
PMID- 26550711
TI - Stochastic Independence as a Resource in Small-Scale Thermodynamics.
AB - It is well known in thermodynamics that the creation of correlations costs work.
It seems then a truism that if a thermodynamic transformation A->B is impossible,
so will be any transformation that in sending A to B also correlates among them
some auxiliary systems C. Surprisingly, we show that this is not the case for
nonequilibrium thermodynamics of microscopic systems. On the contrary, the
creation of correlations greatly extends the set of accessible states, to the
point that we can perform on individual systems and in a single shot any
transformation that would otherwise be possible only if the number of systems
involved was very large. We also show that one only ever needs to create a
vanishingly small amount of correlations (as measured by mutual information)
among a small number of auxiliary systems (never more than three). The many,
severe constraints of microscopic thermodynamics are reduced to the sole
requirement that the nonequilibrium free energy decreases in the transformation.
This shows that, in principle, reliable extraction of work equal to the free
energy of a system can be performed by microscopic engines.
PMID- 26550712
TI - Secrecy in Prepare-and-Measure Clauser-Horne-Shimony-Holt Tests with a Qubit
Bound.
AB - The security of device-independent (DI) quantum key distribution (QKD) protocols
relies on the violation of Bell inequalities. As such, their security can be
established based on minimal assumptions about the devices, but their
implementation necessarily requires the distribution of entangled states. In a
setting with fully trusted devices, any entanglement-based protocol is
essentially equivalent to a corresponding prepare-and-measure protocol. This
correspondence, however, is not generally valid in the DI setting unless one
makes extra assumptions about the devices. Here we prove that a known tight lower
bound on the min entropy in terms of the Clauser-Horne-Shimony-Holt Bell
correlator, which has featured in a number of entanglement-based DI QKD security
proofs, also holds in a prepare-and-measure setting, subject only to the
assumption that the source is limited to a two-dimensional Hilbert space.
PMID- 26550713
TI - Operational Multipartite Entanglement Measures.
AB - We introduce two operational entanglement measures that are applicable for
arbitrary multipartite (pure or mixed) states. One of them characterizes the
potentiality of a state to generate other states via local operations assisted by
classical communication and the other characterizes the simplicity of generating
the state at hand. We show how these measures can be generalized to two classes
of entanglement measures. Moreover, we compute the new measures for pure few
partite systems and use them to characterize the entanglement contained in a
three-qubit state. We identify the Greenberger-Horne-Zeilinger and W state as the
most powerful pure three-qubit states regarding state manipulation.
PMID- 26550714
TI - Remote Entanglement by Coherent Multiplication of Concurrent Quantum Signals.
AB - Concurrent remote entanglement of distant, noninteracting quantum entities is a
crucial function for quantum information processing. In contrast with the
existing protocols which employ the addition of signals to generate entanglement
between two remote qubits, the continuous variable protocol we present is based
on the multiplication of signals. This protocol can be straightforwardly
implemented by a novel Josephson junction mixing circuit. Our scheme would be
able to generate provable entanglement even in the presence of practical
imperfections: finite quantum efficiency of detectors and undesired photon loss
in current state-of-the-art devices.
PMID- 26550715
TI - Bulk-Boundary Duality, Gauge Invariance, and Quantum Error Corrections.
AB - Recently, Almheiri, Dong, and Harlow have argued that the localization of bulk
information in a boundary dual should be understood in terms of quantum error
correction. We show that this structure appears naturally when the gauge
invariance of the boundary theory is incorporated. This provides a new
understanding of the nonuniqueness of the bulk fields (precursors). It suggests a
close connection between gauge invariance and the emergence of spacetime.
PMID- 26550717
TI - B->pill Form Factors for New Physics Searches from Lattice QCD.
AB - The rare decay B->pil^{+}l^{-} arises from b->d flavor-changing neutral currents
and could be sensitive to physics beyond the standard model. Here, we present the
first ab initio QCD calculation of the B->pi tensor form factor f_{T}. Together
with the vector and scalar form factors f_{+} and f_{0} from our companion work
[J. A. Bailey et al., Phys. Rev. D 92, 014024 (2015)], these parametrize the
hadronic contribution to B->pi semileptonic decays in any extension of the
standard model. We obtain the total branching ratio BR(B^{+}->pi^{+}MU^{+}MU^{
})=20.4(2.1)*10^{-9} in the standard model, which is the most precise theoretical
determination to date, and agrees with the recent measurement from the LHCb
experiment [R. Aaij et al., J. High Energy Phys. 12 (2012) 125].
PMID- 26550716
TI - Polarization Transfer in Wide-Angle Compton Scattering and Single-Pion
Photoproduction from the Proton.
AB - Wide-angle exclusive Compton scattering and single-pion photoproduction from the
proton have been investigated via measurement of the polarization transfer from a
circularly polarized photon beam to the recoil proton. The wide-angle Compton
scattering polarization transfer was analyzed at an incident photon energy of 3.7
GeV at a proton scattering angle of theta_{cm}^{p}=70 degrees . The longitudinal
transfer K_{LL}, measured to be 0.645+/-0.059+/-0.048, where the first error is
statistical and the second is systematic, has the same sign as predicted for the
reaction mechanism in which the photon interacts with a single quark carrying the
spin of the proton. However, the observed value is ~3 times larger than predicted
by the generalized-parton-distribution-based calculations, which indicates a
significant unknown contribution to the scattering amplitude.
PMID- 26550719
TI - Generating Isolated Elliptically Polarized Attosecond Pulses Using Bichromatic
Counterrotating Circularly Polarized Laser Fields.
AB - We theoretically demonstrate the possibility to generate both trains and isolated
attosecond pulses with high ellipticity in a practical experimental setup. The
scheme uses circularly polarized, counterrotating two-color driving pulses
carried at the fundamental and its second harmonic. Using a model Ne atom, we
numerically show that highly elliptic attosecond pulses are generated already at
the single-atom level. Isolated pulses are produced by using few-cycle drivers
with controlled time delay between them.
PMID- 26550720
TI - Virtual Sequential Picture for Nonsequential Two-Photon Double Ionization of
Helium.
AB - By using a model based on the second-order time-dependent perturbation theory, we
show that the nonsequential two-photon double ionization of He can be understood
in a virtual sequential picture: to excite the final double continuum state
|k_{1},k_{2}? by absorbing two photons from the ground state |1s^{2},^{1}S_{0}?,
the single continuum states |1s,k_{1}? and |1s,k_{2}? serve as the dominant
intermediate states. This virtual sequential picture is verified by the perfect
agreement of the total ionization cross section, respectively, calculated by this
model and by the sophisticated numerical solution to the full-dimensional time
dependent Schrodinger equation. This model, without the consideration of the
electron correlation in the final double continuum state, works well for a wide
range of laser parameters extending from the nonsequential to the sequential
regime. The present Letter demonstrates that the electron correlation in the
final double continuum state is not important in evaluating the total cross
section, while it is indispensable for an accurate computation of a triply
differential cross section. In addition, the virtual sequential picture bridges
the sequential and nonsequential two-photon double ionization and reveals
connections and distinctions between them.
PMID- 26550721
TI - Geometric Phase Appears in the Ultracold Hydrogen Exchange Reaction.
AB - Quantum reactive scattering calculations for the hydrogen exchange reaction
H+H_{2}(v=4,j=0)->H+H_{2}(v^{'}, j^{'}) and its isotopic analogues are reported
for ultracold collision energies. Because of the unique properties associated
with ultracold collisions, it is shown that the geometric phase effectively
controls the reactivity. The rotationally resolved rate coefficients computed
with and without the geometric phase are shown to differ by up to 4 orders of
magnitude. The effect is also significant in the vibrationally resolved and total
rate coefficients. The dynamical origin of the effect is discussed and the large
geometric phase effect reported here might be exploited to control the reactivity
through the application of external fields or by the selection of a particular
nuclear spin state.
PMID- 26550722
TI - Polarization Engineering in Photonic Crystal Waveguides for Spin-Photon
Entanglers.
AB - By performing a full analysis of the projected local density of states (LDOS) in
a photonic crystal waveguide, we show that phase plays a crucial role in the
symmetry of the light-matter interaction. By considering a quantum dot (QD) spin
coupled to a photonic crystal waveguide (PCW) mode, we demonstrate that the light
matter interaction can be asymmetric, leading to unidirectional emission and a
deterministic entangled photon source. Further we show that understanding the
phase associated with both the LDOS and the QD spin is essential for a range of
devices that can be realized with a QD in a PCW. We also show how suppression of
quantum interference prevents dipole induced reflection in the waveguide, and
highlight a fundamental breakdown of the semiclassical dipole approximation for
describing light-matter interactions in these spin dependent systems.
PMID- 26550723
TI - One-Wave Optical Phase Conjugation Mirror by Actively Coupling Arbitrary Light
Fields into a Single-Mode Reflector.
AB - Rewinding the arrow of time via phase conjugation is an intriguing phenomenon
made possible by the wave property of light. Here, we demonstrate the realization
of a one-wave optical phase conjugation mirror using a spatial light modulator.
An adaptable single-mode filter is created, and a phase-conjugate beam is then
prepared by reverse propagation through this filter. Our method is simple,
alignment free, and fast while allowing high power throughput in the time
reversed wave, which has not been simultaneously demonstrated before. Using our
method, we demonstrate high throughput full-field light delivery through highly
scattering biological tissue and multimode fibers, even for quantum dot
fluorescence.
PMID- 26550724
TI - Why Hexagonal Basalt Columns?
AB - Basalt columns with their preferably hexagonal cross sections are a fascinating
example of pattern formation by crack propagation. Junctions of three propagating
crack faces rearrange such that the initial right angles between them tend to
approach 120 degrees , which enables the cracks to form a pattern of regular
hexagons. To promote understanding of the path on which the ideal configuration
can be reached, two periodically repeatable models are presented here involving
linear elastic fracture mechanics and applying the principle of maximum energy
release rate. They describe the evolution of the crack pattern as a transition
from rectangular start configuration to the hexagonal pattern. This is done
analytically and by means of three-dimensional finite element simulation. The
latter technique reproduces the curved crack path involved in this transition.
PMID- 26550725
TI - Logarithmic Mean Temperature Profiles and Their Connection to Plume Emissions in
Turbulent Rayleigh-Benard Convection.
AB - Two-dimensional simulations of Rayleigh-Benard convection at Ra=5*10^{10} show
that vertical logarithmic mean temperature profiles can be observed in regions of
the boundary layer where thermal plumes are emitted. The profile is logarithmic
only in these regions and not in the rest of the boundary layer where it is
sheared by the large-scale wind and impacted by plumes. In addition, the
logarithmic behavior is not visible in the horizontal average. The findings
reveal that the temperature profiles are strongly connected to thermal plume
emission, and they support a perception that parts of the boundary layer can be
turbulent while others are not. The transition to the ultimate regime, in which
the boundary layers are considered to be fully turbulent, can therefore be
understood as a gradual increase in the fraction of the plume-emitting
("turbulent") regions of the boundary layer.
PMID- 26550726
TI - Comparative Experimental Study of Fixed Temperature and Fixed Heat Flux Boundary
Conditions in Turbulent Thermal Convection.
AB - We report the first experimental study of the influences of the thermal boundary
condition on turbulent thermal convection. Two configurations were examined: one
had a constant heat flux at the bottom boundary and a constant temperature at the
top (CFCT cell); the other had constant temperatures at both boundaries (CTCT
cell). In addition to producing different temperature stability in the boundary
layers, the differences in the boundary condition lead to rather unexpected
changes in the flow dynamics. It is found that, surprisingly, reversals of the
large-scale circulation occur more frequently in the CTCT cell than in the CFCT
cell, despite the fact that in the former its flow strength is on average 9%
larger than that in the latter. Our results not only show which aspects of the
thermal boundary condition are important in thermal turbulence, but also reveal
that, counterintuitively, the stability of the flow is not directly coupled to
its strength.
PMID- 26550727
TI - Squaring the Circle: Geometric Skewness and Symmetry Breaking for Passive Scalar
Transport in Ducts and Pipes.
AB - We study the role geometry plays in the emergence of asymmetries in diffusing
passive scalars advected by pressure-driven flows in ducts and pipes of different
aspect ratios. We uncover nonintuitive, multi-time-scale behavior gauged by a new
statistic, which we term "geometric skewness" S^{G}, which measures
instantaneously forming asymmetries at short times due to flow geometry. This
signature distinguishes elliptical pipes of any aspect ratio, for which S^{G}=0,
from rectangular ducts whose S^{G} is generically nonzero, and, interestingly,
shows that a special duct of aspect ratio ~0.53335 behaves like a circular pipe
as its geometric skewness vanishes. Using a combination of exact solutions, novel
short-time asymptotics, and Monte Carlo simulations, we establish the relevant
time scales for plateaus and extrema in the evolution of the skewness and
kurtosis for our class of geometries. For ducts limiting to channel geometries,
we present new exact, single-series formulas for the first four moments on slices
used to benchmark Monte Carlo simulations.
PMID- 26550728
TI - Wetting Driven by Thermal Fluctuations on Terraced Nanostructures.
AB - Theoretical analysis and fully atomistic molecular dynamics simulations reveal a
Brownian ratchet mechanism by which thermal fluctuations drive the net
displacement of immiscible liquids confined in channels or pores with micro- or
nanoscale dimensions. The thermally driven displacement is induced by surface
nanostructures with directional asymmetry and can occur against the direction of
action of wetting or capillary forces. Mean displacement rates in molecular
dynamics simulations are predicted via analytical solution of a Smoluchowski
diffusion equation for the position probability density. The proposed physical
mechanisms and derived analytical expressions can be applied to engineer surface
nanostructures for controlling the dynamics of diverse wetting processes such as
capillary filling, wicking, and imbibition in micro- or nanoscale systems.
PMID- 26550729
TI - Nonresonant Charged-Particle Acceleration by Electrostatic Waves Propagating
across Fluctuating Magnetic Field.
AB - In this Letter, we demonstrate the effect of nonresonant charged-particle
acceleration by an electrostatic wave propagating across the background magnetic
field. We show that in the absence of resonance (i.e., when particle velocities
are much smaller than the wave phase velocity) particles can be accelerated by
electrostatic waves provided that the adiabaticity of particle motion is
destroyed by magnetic field fluctuations. Thus, in a system with stochastic
particle dynamics the electrostatic wave should be damped even in the absence of
Landau resonance. The proposed mechanism is responsible for the acceleration of
particles that cannot be accelerated via resonant wave-particle interactions.
Simplicity of this straightforward acceleration scenario indicates a wide range
of possible applications.
PMID- 26550730
TI - Electron Rephasing in a Laser-Wakefield Accelerator.
AB - An important limit for energy gain in laser-plasma wakefield accelerators is the
dephasing length, after which the electron beam reaches the decelerating region
of the wakefield and starts to decelerate. Here, we propose to manipulate the
phase of the electron beam in the wakefield, in order to bring the beam back into
the accelerating region, hence increasing the final beam energy. This rephasing
is operated by placing an upward density step in the beam path. In a first
experiment, we demonstrate the principle of this technique using a large energy
spread electron beam. Then, we show that it can be used to increase the energy of
monoenergetic electron beams by more than 50%.
PMID- 26550731
TI - Quantum Dynamics with Spatiotemporal Control of Interactions in a Stable Bose
Einstein Condensate.
AB - Optical control of atomic interactions in quantum gases is a long-sought goal of
cold atom research. Previous experiments have been hindered by rapid decay of the
quantum gas and parasitic deformation of the trap potential. We develop and
implement a generic scheme for optical control of Feshbach resonances which
yields long quantum gas lifetimes and a negligible parasitic dipole force. We
show that fast and local control of interactions leads to intriguing quantum
dynamics in new regimes, highlighted by the formation of van der Waals molecules
and localized collapse of a Bose condensate.
PMID- 26550732
TI - Quantum Mechanical Stabilization of a Collapsing Bose-Bose Mixture.
AB - According to the mean-field theory a condensed Bose-Bose mixture collapses when
the interspecies attraction becomes stronger than the geometrical average of the
intraspecies repulsions, g_{12}^{2}>g_{11}g_{22}. We show that instead of
collapsing such a mixture gets into a dilute liquidlike droplet state stabilized
by quantum fluctuations thus providing a direct manifestation of beyond mean
field effects. We study various properties of the droplet and find, in
particular, that in a wide range of parameters its excitation spectrum lies
entirely above the particle emission threshold. The droplet thus automatically
evaporates itself to zero temperature, the property potentially interesting by
itself and from the viewpoint of sympathetic cooling of other systems.
PMID- 26550733
TI - Dissipation of Quasiclassical Turbulence in Superfluid ^{4}He.
AB - We compare the decay of turbulence in superfluid ^{4}He produced by a moving grid
to the decay of turbulence created by either impulsive spin-down to rest or by
intense ion injection. In all cases, the vortex line density L decays at late
time t as L?t^{-3/2}. At temperatures above 0.8 K, all methods result in the same
rate of decay. Below 0.8 K, the spin-down turbulence maintains initial rotation
and decays slower than grid turbulence and ion-jet turbulence. This may be due to
a decoupling of the large-scale superfluid flow from the normal component at low
temperatures, which changes its effective boundary condition from no-slip to
slip.
PMID- 26550734
TI - Spinor Condensates on a Cylindrical Surface in Synthetic Gauge Fields.
AB - We show that by modifying the setup of the recent experiment that creates a
"Dirac string" one can engineer a quasi-2D spinor Bose-Einstein condensate on a
cylindrical surface, with a synthetic magnetic field normal to the surface.
Because of the muticonnectivity of the surface, there are two types of vortices
(called A and B) with the same vorticity. This is very different from the planar
case, which only has one kind of vortex for fixed circulation. As the strength of
the synthetic gauge field increases, the ground states will form a necklace of
alternating AB vortices surrounding the lateral midpoint of the cylinder, and
will split into two A and B necklaces at higher synthetic gauge fields. The fact
that even the basic vortex structure of a Bose-Einstein condensate is altered in
a cylindrical surface implies that richer phenomena are in store for quantum
gases in other curved surfaces.
PMID- 26550735
TI - Maximizing the Strength of Fiber Bundles under Uniform Loading.
AB - The collective strength of a system of fibers, each having a failure threshold
drawn randomly from a distribution, indicates the maximum load carrying capacity
of different disordered systems ranging from disordered solids, power-grid
networks, to traffic in a parallel system of roads. In many of the cases where
the redistribution of load following a local failure can be controlled, it is a
natural requirement to find the most efficient redistribution scheme, i.e.,
following which system can carry the maximum load. We address the question here
and find that the answer depends on the mode of loading. We analytically find the
maximum strength and corresponding redistribution schemes for sudden and
quasistatic loading. The associated phase transition from partial to total
failure by increasing the load has been studied. The universality class is found
to be dependent on the redistribution mechanism.
PMID- 26550736
TI - Phase Diagram of Pyrochlore Iridates: All-in-All-out Magnetic Ordering and Non
Fermi-Liquid Properties.
AB - We study the prototype 5d pyrochlore iridate Y_{2}Ir_{2}O_{7} from first
principles using the local density approximation and dynamical mean-field theory
(LDA+DMFT). We map out the phase diagram in the space of temperature, on-site
Coulomb repulsion U, and filling. Consistent with experiments, we find that an
all-in-all-out ordered insulating phase is stable for realistic values of U. The
trigonal crystal field enhances the hybridization between the j_{eff}=1/2 and
j_{eff}=3/2 states, and strong interband correlations are induced by the Coulomb
interaction, which indicates that a three-band description is important. We
demonstrate a substantial band narrowing in the paramagnetic metallic phase and
non-Fermi-liquid behavior in the electron- or hole-doped system originating from
long-lived quasi-spin-moments induced by nearly flat bands.
PMID- 26550737
TI - Localized Majorana-Like Modes in a Number-Conserving Setting: An Exactly Solvable
Model.
AB - In this Letter we present, in a number conserving framework, a model of
interacting fermions in a two-wire geometry supporting nonlocal zero-energy
Majorana-like edge excitations. The model has an exactly solvable line, on
varying the density of fermions, described by a topologically nontrivial ground
state wave function. Away from the exactly solvable line we study the system by
means of the numerical density matrix renormalization group. We characterize its
topological properties through the explicit calculation of a degenerate
entanglement spectrum and of the braiding operators which are exponentially
localized at the edges. Furthermore, we establish the presence of a gap in its
single particle spectrum while the Hamiltonian is gapless, and compute the
correlations between the edge modes as well as the superfluid correlations. The
topological phase covers a sizable portion of the phase diagram, the solvable
line being one of its boundaries.
PMID- 26550738
TI - Spin-Orbital Excitation Continuum and Anomalous Electron-Phonon Interaction in
the Mott Insulator LaTiO_{3}.
AB - Raman scattering experiments on stoichiometric, Mott-insulating LaTiO_{3} over a
wide range of excitation energies reveal a broad electronic continuum which is
featureless in the paramagnetic state, but develops a gap of ~800 cm^{-1} upon
cooling below the Neel temperature T_{N}=146 K. In the antiferromagnetic state,
the spectral weight below the gap is transferred to well-defined spectral
features due to spin and orbital excitations. Low-energy phonons exhibit
pronounced Fano anomalies indicative of strong interaction with the electron
system for T>T_{N}, but become sharp and symmetric for T=H^{*}?25
T.
PMID- 26550746
TI - Nonrelativistic Dynamics of the Amplitude (Higgs) Mode in Superconductors.
AB - Despite the formal analogy with the Higgs particle, the amplitude fluctuations of
the order parameter in weakly coupled superconductors do not identify a real mode
with a Lorentz-invariant dynamics. Indeed, its resonance occurs at 2Delta_{0},
which coincides with the threshold 2E_{gap} for quasiparticle excitations that
spoil any relativistic dynamics. Here we investigate the fate of the Higgs mode
in the unconventional case where 2E_{gap} becomes larger than 2Delta_{0}, as due
to strong coupling or strong disorder. We show that also in this situation, the
amplitude fluctuations never identify a real mode at 2Delta_{0}, since such a
"bosonic" limit is always reached via strong mixing with the phase fluctuations,
which dominate the low-energy part of the spectrum. Our results have direct
implications for the interpretation of the subgap optical absorption in
disordered superconductors.
PMID- 26550748
TI - Spin Nematics, Valence-Bond Solids, and Spin Liquids in SO(N) Quantum Spin Models
on the Triangular Lattice.
AB - We introduce a simple model of SO(N) spins with two-site interactions which is
amenable to quantum Monte Carlo studies without a sign problem on nonbipartite
lattices. We present numerical results for this model on the two-dimensional
triangular lattice where we find evidence for a spin nematic at small N, a
valence-bond solid at large N, and a quantum spin liquid at intermediate N. By
the introduction of a sign-free four-site interaction, we uncover a rich phase
diagram with evidence for both first-order and exotic continuous phase
transitions.
PMID- 26550747
TI - Complete Generalized Gibbs Ensembles in an Interacting Theory.
AB - In integrable many-particle systems, it is widely believed that the stationary
state reached at late times after a quantum quench can be described by a
generalized Gibbs ensemble (GGE) constructed from their extensive number of
conserved charges. A crucial issue is then to identify a complete set of these
charges, enabling the GGE to provide exact steady-state predictions. Here we
solve this long-standing problem for the case of the spin-1/2 Heisenberg chain by
explicitly constructing a GGE which uniquely fixes the macrostate describing the
stationary behavior after a general quantum quench. A crucial ingredient in our
method, which readily generalizes to other integrable models, are recently
discovered quasilocal charges. As a test, we reproduce the exact postquench
steady state of the Neel quench problem obtained previously by means of the
Quench Action method.
PMID- 26550749
TI - Rayleigh-Jeans Condensation of Pumped Magnons in Thin-Film Ferromagnets.
AB - We show that the formation of a magnon condensate in thin ferromagnetic films can
be explained within the framework of a classical stochastic non-Markovian Landau
Lifshitz-Gilbert equation where the properties of the random magnetic field and
the dissipation are determined by the underlying phonon dynamics. We have
numerically solved this equation for a tangentially magnetized yttrium-iron
garnet film in the presence of a parallel parametric pumping field. We obtain a
complete description of all stages of the nonequilibrium time evolution of the
magnon gas which is in excellent agreement with experiments. Our calculation
proves that the experimentally observed condensation of magnons in yttrium-iron
garnet at room temperature is a purely classical phenomenon which should be
called Rayleigh-Jeans rather than Bose-Einstein condensation.
PMID- 26550750
TI - Long-Range Phase Coherence in Double-Barrier Magnetic Tunnel Junctions with a
Large Thick Metallic Quantum Well.
AB - Double-barrier heterostructures are model systems for the study of electron
tunneling and discrete energy levels in a quantum well (QW). Until now resonant
tunneling phenomena in metallic QWs have been observed for limited thicknesses (1
2 nm) under which electron phase coherence is conserved. In the present study we
show evidence of QW resonance states in Fe QWs up to 12 nm thick and at room
temperature in fully epitaxial double MgAlO_{x} barrier magnetic tunnel
junctions. The electron phase coherence displayed in this QW is of unprecedented
quality because of a homogenous interface phase shift due to the small lattice
mismatch at the Fe-MgAlO_{x} interface. The physical understanding of the
critical role of interface strain on QW phase coherence will greatly promote the
development of spin-dependent quantum resonant tunneling applications.
PMID- 26550751
TI - Electric-Field Control of the Orbital Occupancy and Magnetic Moment of a
Transition-Metal Oxide.
AB - By using soft-x-ray linear and magnetic dichroism on
La_{0.825}Sr_{0.175}MnO_{3}/PbZr_{0.2}Ti_{0.8}O_{3} ferromagnetic-ferroelectric
heterostructures we demonstrate a nonvolatile modulation of the Mn 3d orbital
anisotropy and magnetic moment. X-ray absorption spectroscopy at the Mn L_{2,3}
edges shows that the ferroelectric polarization direction modifies the carrier
density, the spin moment, and the orbital splitting of t_{2g} and e_{g} Mn 3d
states. These results are consistent with polar distortions of the oxygen
octahedra surrounding the Mn ions induced by the switching of the ferroelectric
polarization.
PMID- 26550752
TI - Dynamical Fano-Like Interference between Rabi Oscillations and Coherent Phonons
in a Semiconductor Microcavity System.
AB - We report on dynamical interference between short-lived Rabi oscillations and
long-lived coherent phonons in CuCl semiconductor microcavities resulting from
the coupling between the two oscillations. The Fourier-transformed spectra of the
time-domain signals obtained from semiconductor microcavities by using a pump
probe technique show that the intensity of the coherent longitudinal optical
phonon of CuCl is enhanced by increasing that of the Rabi oscillation, which
indicates that the coherent phonon is driven by the Rabi oscillation through the
Frohlich interaction. Moreover, as the Rabi oscillation frequency decreases upon
crossing the phonon frequency, the spectral profile of the coherent phonon
changes from a peak to a dip with an asymmetric structure. The continuous wavelet
transformation reveals that these peak and dip structures originate from
constructive and destructive interference between Rabi oscillations and coherent
phonons, respectively. We demonstrate that the asymmetric spectral structures in
relation to the frequency detuning are well reproduced by using a classical
coupled oscillator model on the basis of dynamical Fano-like interference.
PMID- 26550753
TI - Effective Wall Friction in Wall-Bounded 3D Dense Granular Flows.
AB - We report numerical simulations on granular shear flows confined between two flat
but frictional sidewalls. Novel regimes differing by their strain localization
features are observed. They originate from the competition between dissipation at
the sidewalls and dissipation in the bulk of the flow. The effective friction at
sidewalls is characterized (effective friction coefficient and orientation of the
friction force) for each regime, and its interdependence with slip and force
fluctuations is pointed out. We propose a simple scaling law linking the slip
velocity to the granular temperature in the main flow direction which leads
naturally to another scaling law for the effective friction.
PMID- 26550754
TI - Noise-Induced Mechanism for Biological Homochirality of Early Life Self
Replicators.
AB - The observed single-handedness of biological amino acids and sugars has long been
attributed to autocatalysis. However, the stability of homochiral states in
deterministic autocatalytic systems relies on cross inhibition of the two chiral
states, an unlikely scenario for early life self-replicators. Here, we present a
theory for a stochastic individual-level model of autocatalysis due to early life
self-replicators. Without chiral inhibition, the racemic state is the global
attractor of the deterministic dynamics, but intrinsic multiplicative noise
stabilizes the homochiral states, in both well-mixed and spatially extended
systems. We conclude that autocatalysis is a viable mechanism for homochirality,
without imposing additional nonlinearities such as chiral inhibition.
PMID- 26550755
TI - Constant Stress and Pressure Rheology of Colloidal Suspensions.
AB - We study the constant stress and pressure rheology of dense hard-sphere colloidal
suspensions using Brownian dynamics simulation. Expressing the flow behavior in
terms of the friction coefficient-the ratio of shear to normal stress-reveals a
shear arrest point from the collapse of the rheological data in the non-Brownian
limit. The flow curves agree quantitatively (when scaled) with the experiments of
Boyer et al. [Phys. Rev. Lett. 107, 188301 (2011)]. Near suspension arrest, both
the shear and the incremental normal viscosities display a universal power law
divergence. This work shows the important role of jamming on the arrest of
colloidal suspensions and illustrates the care needed when conducting and
analyzing experiments and simulations near the flow-arrest transition.
PMID- 26550756
TI - Tracer Shape and Local Media Structure Determine the Trend of Translation
Rotation Decoupling in Two-Dimensional Colloids.
AB - The translational diffusion of tracers in glass-forming materials often violates
the Stokes-Einstein relation while their rotation follows the Debye-Stokes
Einstein relation faithfully, thus decoupling translational and rotational
diffusion. In this Letter, we show by performing molecular dynamics simulations
for two-dimensional (2D) colloids that the tracer shape and the local media
structure are critical such that rotational diffusion is either suppressed or
enhanced depending on the tracer shape. For square tracers dissimilar in
structure to the local media structure of 2D colloids, the translation-rotation
decoupling occurs and the rotational diffusion is enhanced relative to the
translation. For sufficiently large diamond tracers similar in structure to the
local media structure, tracers undergo rotational hopping motions and their
rotation is suppressed relative to the translation. For distorted-diamond
tracers, the decoupling is marginal. Translational diffusion does not change
significantly with the tracer shape and obeys the Stokes-Einstein relation.
PMID- 26550757
TI - Controlling Chirality of Entropic Crystals.
AB - Colloidal crystal structures with complexity and diversity rivaling atomic and
molecular crystals have been predicted and obtained for hard particles by entropy
maximization. However, thus far homochiral colloidal crystals, which are
candidates for photonic metamaterials, are absent. Using Monte Carlo simulations
we show that chiral polyhedra exhibiting weak directional entropic forces self
assemble either an achiral crystal or a chiral crystal with limited control over
the crystal handedness. Building blocks with stronger faceting exhibit higher
selectivity and assemble a chiral crystal with handedness uniquely determined by
the particle chirality. Tuning the strength of directional entropic forces by
means of particle rounding or the use of depletants allows for reconfiguration
between achiral and homochiral crystals. We rationalize our findings by
quantifying the chirality strength of each particle, both from particle geometry
and potential of mean force and torque diagrams.
PMID- 26550758
TI - Publisher's Note: Measurement of the Ratio of Branching Fractions B(B[over -]^{0}
>D^{*+}tau^{-}nu[over -]_{tau})/B(B[over -]^{0}->D^{*+}MU^{-}nu[over -]_{MU})
[Phys. Rev. Lett. 115, 111803 (2015)].
PMID- 26550718
TI - Tevatron Combination of Single-Top-Quark Cross Sections and Determination of the
Magnitude of the Cabibbo-Kobayashi-Maskawa Matrix Element V_{tb}.
AB - We present the final combination of CDF and D0 measurements of cross sections for
single-top-quark production in proton-antiproton collisions at a center-of-mass
energy of 1.96 TeV. The data correspond to total integrated luminosities of up to
9.7 fb^{-1} per experiment. The t-channel cross section is measured to be
sigma_{t}=2.25_{-0.31}^{+0.29} pb. We also present the combinations of the two
dimensional measurements of the s- vs t-channel cross section. In addition, we
give the combination of the s+t channel cross section measurement resulting in
sigma_{s+t}=3.30_{-0.40}^{+0.52} pb, without assuming the standard model value
for the ratio sigma_{s}/sigma_{t}. The resulting value of the magnitude of the
top-to-bottom quark coupling is |V_{tb}|=1.02_{-0.05}^{+0.06}, corresponding to
|V_{tb}|>0.92 at the 95% C.L.
PMID- 26550759
TI - Publisher's Note: Magnetoanisotropic Andreev Reflection in Ferromagnet
Superconductor Junctions [Phys. Rev. Lett. 115, 116601 (2015)].
PMID- 26550760
TI - Publisher's Note: Impurities in Bose-Einstein Condensates: From Polaron to
Soliton [Phys. Rev. Lett. 115, 135305 (2015)].
PMID- 26550761
TI - Depression among older adults in Greece: an undetected disorder?
PMID- 26550762
TI - In vitro dose and duration dependent approaches for the assessment of
ameliorative effects of nanoconjugated vancomycin against Staphylococcus aureus
infection induced oxidative stress in murine peritoneal macrophages.
AB - AIMS: The present study was aimed to evaluate the in vitro ameliorative effect of
nanoconjugated vancomycin (NV) against vancomycin sensitive and resistant strains
of Staphylococcus aureus infection-induced oxidative stress in murine peritoneal
macrophage. METHODS: Peritoneal macrophages from mice were treated with VSSA and
VRSA (5 * 10(6) CFU/mL), VSSA + NV (5-250 MUg/ml) and VRSA + NV (5-250 MUg/ml)
for 18 h, having 3 h interval in culture media; and the superoxide anion
generation, lipid peroxidation, protein oxidation, antioxidant enzymes status and
glutathione enzymes activity were monitored. RESULTS: The significantly increased
free radical generation, lipid peroxidation, protein carbonyls and oxidized
glutathione levels were observed in VSSA and VRSA treated group as compared to
control group; where as reduced glutathione level, antioxidant enzymes status and
glutathione dependent enzymes were decreased significantly. All these changes
come near to control in NV treated group in a dose and duration dependent
fashion. Among the different doses and duration intervals of NV, maximum
ameliorative effect was observed by 100 MUg/ml for 12 h treatment which does not
produce any damage to the cell. CONCLUSIONS: These findings suggest the potential
use and beneficial role of nanoconjugated vancomycin as a modulator of S. aureus
infection-induced cellular damage in murine peritoneal macrophage.
PMID- 26550763
TI - In-depth snapshot of the equine subgingival microbiome.
AB - This study explored the range of bacterial taxa present within healthy
subgingival (below the gum-line) niches in the horse oral cavity using 16S rRNA
gene amplicon pyrosequencing. Pooled subgingival plaque samples were collected
from approximately 200 sulcus sites from two horses (EQ1, EQ2) for analysis. A
total of 14,260 quality-filtered pyrosequencing reads were obtained, which were
assigned to 3875 operational taxonomic units (OTUs; 99% identity cut-off); 1907
OTUs for EQ1 and 2156 OTUs for EQ2. Diverse taxa from 12 phyla were identified,
including Actinobacteria (3.17%), Bacteroidetes (25.11%), Chloroflexi (0.04%),
Firmicutes (27.57%), Fusobacteria (5.15%), Proteobacteria (37.67%), Spirochaetes
(0.15%), Synergistetes (0.22%), Tenericutes (0.16%), GN02 (0.19%), SR1 (0.01%)
and TM7 (0.37%). Many OTUs were not closely related to known phylotypes, and may
represent 'equine-specific' taxa. Phylotypes corresponding to Gammaproteobacteria
were abundant, including Actinobacillus spp. (8.75%), unclassified
Pasteurellaceae (9.90%) and Moraxella spp. (9.58%). PCR targeting the
Synergistetes and Spirochaetes phyla was performed, and resultant plasmid
libraries of 16S rRNA gene amplicons (ca. 1480 bp) were Sanger sequenced. Twenty
six Spirochaetes OTUs, and 16 Synergistetes OTUs were identified (99% identity
cut-off). These 'species-level' OTUs were assigned Equine Oral Taxon (EOT)
numbers, whose phylogenies and taxonomy were comprehensively investigated, in
conjunction with corresponding Synergistetes and Spirochaetes OTUs identified by
pyrosequencing. The vast majority of Spirochaetes taxa belonged to the genus
Treponema, which corresponded to 7 of the 10 human oral treponeme phylogroups.
Other Spirochaetes taxa belonging to the Leptospiraceae family were observed; but
many treponemes commonly implicated in animal hoof/foot and non-oral soft tissue
infections; e.g. Treponema phagedenis, Treponema pedis, Treponema refringens,
Treponema calligyrum; were not identified here. Diverse Synergistetes taxa
corresponding to oral clusters A and B were identified, which included
Fretibacterium fastidiosum and Pyramidobacter piscolens. Taken together, our data
reveals that equine subgingival plaque microbiota shares many similarities with
the human, canine and feline oral microbiomes.
PMID- 26550764
TI - Moonlight-like proteins of the cell wall protect sessile cells of Candida from
oxidative stress.
AB - Biofilms of Candida species are associated with high morbidity and hospital
mortality. Candida forms biofilms by adhering to human host epithelium through
cell wall proteins (CWP) and simultaneously neutralizing the reactive oxygen
species (ROS) produced during the respiratory burst by phagocytic cells. The
purpose of this paper is to identify the CWP of Candida albicans, Candida
glabrata, Candida krusei and Candida parapsilosis expressed after exposure to
different concentrations of H2O2 using a proteomic approach. CWP obtained from
sessile cells, both treated and untreated with the oxidizing agent, were resolved
by one and two-dimensional (2D-PAGE) gels and identified by liquid chromatography
tandem mass spectrometry (LC-MS/MS) analysis. Some of these proteins were
identified and found to correspond to moonlighting CWP such as: (i) glycolytic
enzymes, (ii) heat shock, (iii) OSR proteins, (iv) general metabolic enzymes and
(v) highly conserved proteins, which are up- or down-regulated in the presence or
absence of ROS. We also found that the expression of these CWP is different for
each Candida species. Moreover, RT-PCR assays allowed us to demonstrate that
transcription of the gene coding for Eno1, one of the moonlight-like CWP
identified in response to the oxidant agent, is differentially regulated. To our
knowledge this is the first demonstration that, in response to oxidative stress,
each species of Candida, differentially regulates the expression of moonlighting
CWP, which may protect the organism from the ROS generated during phagocytosis.
Presumptively, these proteins allow the pathogen to adhere and form a biofilm,
and eventually cause invasive candidiasis in the human host. We propose that, in
addition to the antioxidant mechanisms present in Candida, the moonlighting CWP
also confer protection to these pathogens from oxidative stress.
PMID- 26550765
TI - Reversible Local and Global Switching in Multicomponent Supramolecular Networks:
Controlled Guest Release and Capture at the Solution/Solid Interface.
AB - Dynamically switchable supramolecular systems offer exciting possibilities in
building smart surfaces, the structure and thus the function of which can be
controlled by using external stimuli. Here we demonstrate an elegant approach
where the guest binding ability of a supramolecular surface can be controlled by
inducing structural transitions in it. A physisorbed self-assembled network of a
simple hydrogen bonding building block is used as a switching platform. We
illustrate that the reversible transition between porous and nonporous networks
can be accomplished using an electric field or applying a thermal stimulus. These
transitions are used to achieve controlled guest release or capture at the
solution-solid interface. The electric field and the temperature-mediated methods
of guest release are operative at different length scales. While the former
triggers the transition and thus guest release at the nanometer scale, the latter
is effective over a much larger scale. The flexibility associated with
physisorbed self-assembled networks renders this approach an attractive
alternative to conventional switchable systems.
PMID- 26550766
TI - Toward a Research Agenda on Pediatric Trauma and Critical Illness.
PMID- 26550767
TI - Protective Factors for Adults From Low-Childhood Socioeconomic Circumstances: The
Benefits of Shift-and-Persist for Allostatic Load: Erratum.
PMID- 26550768
TI - Long-term employment outcomes following traumatic brain injury and orthopaedic
trauma: A ten-year prospective study.
AB - OBJECTIVE: To investigate the trajectory and predictors of employment over a
period of 10 years following traumatic brain injury and traumatic orthopaedic
injury. DESIGN: Prospective follow-up at 1, 2, 5 and 10 years post-injury.
PARTICIPANTS: Seventy-nine individuals with traumatic brain injury and 79 with
traumatic orthopaedic injury recruited from Epworth HealthCare in Melbourne,
Australia during inpatient rehabilitation. METHODS: Information was obtained from
medical files and self-report questionnaires. RESULTS: Individuals with traumatic
brain injury were less likely to be competitively employed during the period up
to 10 years post-injury compared with individuals with traumatic orthopaedic
injury, although there was evidence of increasing employment participation during
that time. More severe traumatic brain injury, older age, pre-injury
psychological treatment, and studying or having a blue-collar occupation at time
of injury were associated with poorer employment outcomes. Individuals with
traumatic brain injury had spent less time with their current employer and were
less likely to have increased responsibility since the injury than those with
traumatic orthopaedic injury. At least half of each group reported difficulty at
work due to fatigue. CONCLUSION: Given the potential for gains in employment
participation over an extended time-frame, there may be benefit in ongoing access
to individualized vocational rehabilitation. Particular areas of focus would
include managing fatigue and psychiatric disorders, and exploring supported
occupational activity for all levels of injury severity.
PMID- 26550769
TI - Change: The law of life.
PMID- 26550770
TI - Determining octanol-water partition coefficients for extremely hydrophobic
chemicals by combining "slow stirring" and solid-phase microextraction.
AB - Octanol-water partition coefficients (KOW ) are widely used in fate and effects
modeling of chemicals. Still, high-quality experimental KOW data are scarce, in
particular for very hydrophobic chemicals. This hampers reliable assessments of
several fate and effect parameters and the development and validation of new
models. One reason for the limited availability of experimental values may relate
to the challenging nature of KOW measurements. In the present study, KOW values
for 13 polycyclic aromatic hydrocarbons were determined with the gold standard
"slow-stirring" method (log KOW 4.6-7.2). These values were then used as
reference data for the development of an alternative method for measuring KOW .
This approach combined slow stirring and equilibrium sampling of the extremely
low aqueous concentrations with polydimethylsiloxane-coated solid-phase
microextraction fibers, applying experimentally determined fiber-water partition
coefficients. It resulted in KOW values matching the slow-stirring data very
well. Therefore, the method was subsequently applied to a series of 17 moderately
to extremely hydrophobic petrochemical compounds. The obtained KOW values spanned
almost 6 orders of magnitude, with the highest value measuring 10(10.6) . The
present study demonstrates that the hydrophobicity domain within which
experimental KOW measurements are possible can be extended with the help of solid
phase microextraction and that experimentally determined KOW values can exceed
the proposed upper limit of 10(9) . Environ Toxicol Chem 2016;35:1371-1377. (c)
2015 SETAC.
PMID- 26550771
TI - Bioinspired Nanosucker Array for Enhancing Bioelectricity Generation in Microbial
Fuel Cells.
AB - A bioinspired active anode with a suction effect is demonstrated for microbial
fuel cells by constructing polypyrrole (PPy) nanotubular arrays on carbon
textiles. The oxygen in the inner space of the nanosucker can be depleted by
micro-organisms with the capability of facul-tative respiration, forming a
vacuum, which then activates the electrode to draw the microorganism by suction
and thus improve the bioelectricity generation.
PMID- 26550772
TI - Efficient genotype compression and analysis of large genetic-variation data sets.
AB - Genotype Query Tools (GQT) is an indexing strategy that expedites analyses of
genome-variation data sets in Variant Call Format based on sample genotypes,
phenotypes and relationships. GQT's compressed genotype index minimizes
decompression for analysis, and its performance relative to that of existing
methods improves with cohort size. We show substantial (up to 443-fold) gains in
performance over existing methods and demonstrate GQT's utility for exploring
massive data sets involving thousands to millions of genomes. GQT can be accessed
at https://github.com/ryanlayer/gqt.
PMID- 26550773
TI - MSPLIT-DIA: sensitive peptide identification for data-independent acquisition.
PMID- 26550774
TI - Multiscale photoacoustic tomography using reversibly switchable bacterial
phytochrome as a near-infrared photochromic probe.
AB - Photoacoustic tomography (PAT) of genetically encoded probes allows for imaging
of targeted biological processes deep in tissues with high spatial resolution;
however, high background signals from blood can limit the achievable detection
sensitivity. Here we describe a reversibly switchable nonfluorescent bacterial
phytochrome for use in multiscale photoacoustic imaging, BphP1, with the most red
shifted absorption among genetically encoded probes. BphP1 binds a heme-derived
biliverdin chromophore and is reversibly photoconvertible between red and near
infrared light-absorption states. We combined single-wavelength PAT with
efficient BphP1 photoswitching, which enabled differential imaging with
substantially decreased background signals, enhanced detection sensitivity,
increased penetration depth and improved spatial resolution. We monitored tumor
growth and metastasis with ~ 100-MUm resolution at depths approaching 10 mm using
photoacoustic computed tomography, and we imaged individual cancer cells with a
suboptical-diffraction resolution of ~ 140 nm using photoacoustic microscopy.
This technology is promising for biomedical studies at several scales.
PMID- 26550775
TI - Yield and Textural Characteristics of Panela Cheeses Produced with Dairy
Vegetable Protein (Soybean or Peanut) Blends Supplemented with Transglutaminase.
AB - The study evaluated panela cheeses made from dairy-plant protein blends, using
soybean or peanut protein isolates, supplemented with transglutaminase (TG).
Plant proteins were isolated using an alkaline extraction method followed by acid
precipitation, and added to the dairy system in order to increase 50% or 100% the
protein concentration. The total protein extraction for peanut and soybean
isolates was 30.3% and 54.6%, respectively (based on initial protein content of
sources), and no impairment of their essential amino acid profile was detected.
Cheeses supplemented with TG and soybean showed the highest moisture and crude
yield (>67.8% and 20.7%, respectively), whereas protein content was higher in the
peanut isolate--added samples without TG (>67.4%). Cheese solids yield (ratio
between final and initial solids) was higher for treatments with TG and 100% of
plant protein addition (>50.7%). Regarding texture, 4 parameters were measured:
hardness, cohesiveness, chewiness, and springiness. All cheeses containing
soybean isolates and TG presented the highest chewiness and cohesiveness values,
similar to those of the control treatment. Springiness was similar for all
treatments, but hardness was higher in cheeses prepared with the peanut protein
isolate added with TG. From these results it can be concluded that panela cheeses
can be elaborated following a traditional procedure, but with the addition of
soybean or peanut protein to the dairy ingredients. Cheeses containing these
protein isolates showed higher protein than the milk control cheese and similar
textural characteristics.
PMID- 26550776
TI - Long-Term Health Outcomes in Women With Silicone Gel Breast Implants: A
Systematic Review.
AB - BACKGROUND: Silicone gel breast implants were removed from the U.S. market for
cosmetic use in 1992 owing to safety concerns. They were reintroduced in 2006,
with a call for improved surveillance of clinical outcomes. PURPOSE: To
systematically review the literature regarding specific long-term health outcomes
in women with silicone gel breast implants, including cancer; connective tissue,
rheumatologic, and autoimmune diseases; neurologic diseases; reproductive issues,
including lactation; offspring issues; and mental health issues (depression and
suicide). DATA SOURCES: MEDLINE, EMBASE, and Ovid Healthstar (inception through
30 June 2015), and the Cochrane Central Register of Controlled Trials and
Cochrane Database of Systematic Reviews (through the first quarter of 2015).
STUDY SELECTION: 4 researchers double-screened articles for longitudinal studies
that compared women with and without breast implants and reported long-term
health outcomes of interest. DATA EXTRACTION: 4 researchers extracted data on
participant and implant characteristics, analytic methods, and results. DATA
SYNTHESIS: 32 studies (in 58 publications) met eligibility criteria. Random
effects model meta-analyses of effect sizes were conducted when feasible. For
most outcomes, there was at most only a single adequately adjusted study, which
usually found no significant associations. There were possible associations with
decreased risk for primary breast and endometrial cancers and increased risks for
lung cancer, rheumatoid arthritis, Sjogren syndrome, and Raynaud syndrome.
Evidence on breast implants and other outcomes either was limited or did not
exist. LIMITATION: The evidence was most frequently not specific to silicone gel
implants, and studies were rarely adequately adjusted for potential confounders.
CONCLUSION: The evidence remains inconclusive about any association between
silicone gel implants and long-term health outcomes. Better evidence is needed
from existing large studies, which can be reanalyzed to clarify the strength of
associations between silicone gel implants and health outcomes. PRIMARY FUNDING
SOURCE: The Plastic Surgery Foundation.
PMID- 26550777
TI - Characterization of Human CD8(+)TCR(-) Facilitating Cells In Vitro and In Vivo in
a NOD/SCID/IL2rgamma(null) Mouse Model.
AB - CD8(+)/TCR(-) facilitating cells (FCs) in mouse bone marrow (BM) significantly
enhance engraftment of hematopoietic stem/progenitor cells (HSPCs). Human FC
phenotype and mechanism of action remain to be defined. We report, for the first
time, the phenotypic characterization of human FCs and correlation of phenotype
with function. Approximately half of human FCs are CD8(+)/TCR(-)/CD56 negative
(CD56(neg)); the remainder are CD8(+)/TCR(-)/CD56 bright (CD56(bright)). The
CD56(neg) FC subpopulation significantly promotes homing of HSPCs to BM in
nonobese diabetic/severe combined immunodeficiency/IL-2 receptor gamma-chain
knockout mouse recipients and enhances hematopoietic colony formation in vitro.
The CD56(neg) FC subpopulation promotes rapid reconstitution of donor HSPCs
without graft-versus-host disease (GVHD); recipients of CD56(bright) FCs plus
HSPCs exhibit low donor chimerism early after transplantation, but the level of
chimerism significantly increases with time. Recipients of HSPCs plus CD56(neg)
or CD56(bright) FCs showed durable donor chimerism at significantly higher levels
in BM. The majority of both FC subpopulations express CXCR4. Coculture of
CD56(bright) FCs with HSPCs upregulates cathelicidin and beta-defensin 2, factors
that prime responsiveness of HSPCs to stromal cell-derived factor 1. Both FC
subpopulations significantly upregulated mRNA expression of the HSPC growth
factors and Flt3 ligand. These results indicate that human FCs exert a direct
effect on HSPCs to enhance engraftment. Human FCs offer a potential regulatory
cell-based therapy for enhancement of engraftment and prevention of GVHD.
PMID- 26550778
TI - Host cell protein impurities in chromatographic polishing steps for monoclonal
antibody purification.
AB - Downstream purification of monoclonal antibodies (mAbs) is normally performed
using a platform process that is empirically tuned to optimize impurity removal
for each new product. A more fundamental understanding of impurities and the
product itself would provide insights into the rational design of efficient
downstream processes. This work examines the chromatographic properties of
Chinese hamster ovary host cell protein (HCP) impurities in non-affinity
chromatographic resins commonly used in polishing steps for monoclonal antibody
purification: ion-exchange, hydrophobic interaction, and multimodal. Using
proteomic analysis, the specific HCP impurities that elute close to mAb products
are identified for these resins at typical downstream processing conditions.
Additionally, the interactions of HCP impurities with mAb products are profiled
to determine the total extent of product association and the specific HCP species
that form associative complexes under conditions encountered in polishing
columns. Product association and co-elution were both identified as viable
mechanisms of HCP retention for the non-affinity resins tested here. A relatively
large sub-population of HCP impurities was found to co-elute or associate with
mAbs in each polishing column, but only a small population of HCPs-including
lipoprotein lipase, chrondroitin sulfate proteoglycan 4, nidogen-1, and SPARC
were identified as difficult to remove across an entire downstream mAb process.
Biotechnol. Bioeng. 2016;113: 1260-1272. (c) 2015 Wiley Periodicals, Inc.
PMID- 26550779
TI - Moving to a Highly Walkable Neighborhood and Incidence of Hypertension: A
Propensity-Score Matched Cohort Study.
AB - BACKGROUND: The impact of moving to a neighborhood more conducive to utilitarian
walking on the risk of incident hypertension is uncertain. OBJECTIVE: Our study
aimed to examine the effect of moving to a highly walkable neighborhood on the
risk of incident hypertension. METHODS: A population-based propensity-score
matched cohort study design was used based on the Ontario population from the
Canadian Community Health Survey (2001-2010). Participants were adults >= 20
years of age who moved from a low-walkability neighborhood (defined as any
neighborhood with a Walk Score < 90) to either a high- (Walk Score >= 90) or
another low-walkability neighborhood. The incidence of hypertension was assessed
by linking the cohort to administrative health databases using a validated
algorithm. Propensity-score matched Cox proportional hazard models were used.
Annual health examination was used as a control event. RESULTS: Among the 1,057
propensity-score matched pairs there was a significantly lower risk of incident
hypertension in the low to high vs. the low to low-walkability groups [hazard
ratio = 0.46; 95% CI, 0.26, 0.81, p < 0.01]. The crude hypertension incidence
rates were 18.0 per 1,000 person-years (95% CI: 11.6, 24.8) among the low- to low
walkability movers compared with 8.6 per 1,000 person-years (95% CI: 5.3, 12.7)
among the low- to high-walkability movers (p < 0.001). There were no significant
differences in the hazard of annual health examination between the two mover
groups. CONCLUSIONS: Moving to a highly walkable neighborhood was associated with
a significantly lower risk of incident hypertension. Future research should
assess whether specific attributes of walkable neighborhoods (e.g., amenities,
density, land-use mix) may be driving this relationship. CITATION: Chiu M, Rezai
MR, Maclagan LC, Austin PC, Shah BR, Redelmeier DA, Tu JV. 2016. Moving to a
highly walkable neighborhood and incidence of hypertension: a propensity-score
matched cohort study. Environ Health Perspect 124:754-760;
http://dx.doi.org/10.1289/ehp.1510425.
PMID- 26550781
TI - Catalyst performance and mechanism of catalytic combustion of dichloromethane
(CH2Cl2) over Ce doped TiO2.
AB - TiO2 and Ce/TiO2 were synthesized and subsequently used for the catalytic
combustion of DCM. TiO2 had abundant Lewis acid sites and was responsible for the
adsorption and the rupture of C-Cl bonds. However, TiO2 tended to be inactivated
because of chloride poisoning due to the adsorption and accumulation of Cl
species over the surface. While, Ce/TiO2 obtained total oxidation of CH2Cl2 at
335 degrees C and exhibited stable DCM removal activity on 100h long-time
stability tests at 330 degrees C without any catalyst deactivation. The doped
cerium generated Ce(3+) chemical states and surface active oxygen, and therefore
played important roles from two aspects as follows. First of all, the poisoning
of Cl for Ce/TiO2 was inhibited to some extent by CeO2 due to the rapid removal
of Cl on the surface of CeO2, which has been verified by NH3-IR characterization.
In the other hand, CeO2 enhanced the further deep oxidation of C-H from
byproducts and retained the certain oxidation of CO to CO2. Based on the DRIFT
characterization and the catalysts activity tests, a two-step reaction pathway
for the catalytic combustion of DCM on Ce/TiO2 catalyst was proposed.
PMID- 26550780
TI - High conversion of HAuCl4 into gold nanorods: A re-seeding approach.
AB - Gold nanorods with varying aspect ratios have been utilized in recent years for a
wide range of applications including vaccines, surface enhanced Raman
spectroscopy (SERS) substrates, and as medicinal therapeutic agents. The
surfactant-directed seed mediated approach is an aqueous based protocol that
produces monodisperse nanorods with controlled aspect ratios. However, an
inherent problem with this approach is poor efficiency of gold conversion from
HAuCl4 into nanorods. In fact only ~15% of gold is converted, motivating the need
for alternate synthetic protocols in order to make the process more scalable and
efficient as gold nanorods progress toward commercial applications. In the
current study, we have significantly improved this conversion by growing rods in
several iterations of supernatant solutions that were previously discarded as
waste. Inductively coupled plasma mass spectrometry (ICP-MS) data indicates ~14%
gold conversion per nanorod solution with a total recovery of ~75%. Gold nanorods
prepared in consecutive supernatant solutions generally have slightly increased
aspect ratios and maintain stability and monodispersity as measured by UV-vis and
TEM. The increased nanorod yield minimizes gold waste and results in a greener
synthetic approach.
PMID- 26550782
TI - Kinetic modeling and design of colloidal lock and key assembly.
AB - We investigate the kinetics of colloidal lock and key particle assembly by
modeling transitions between free, non-specifically and specifically (dumbbells)
bound pairs to enable the rapid formation of specific pairs. We expand on a model
introduced in a previous publication (Colon-Melendez et al., 2015) to account for
the shape complementarity between the lock and the key particle. Specifically we
develop a theory to predict free energy differences between specific and non
specific states based on the interaction potential between arbitrary surfaces and
apply this to the interaction of a spherical key particle with the concave dimple
surface. Our results show that a lock particle dimple slightly wider than the key
particle radius results in optimal binding, but also show escape rates much
smaller than those observed in experimental measurements described in the paper
cited above. We assess the possible sources of error in experiments and in
analysis, including spatial and temporal resolution of the confocal microscopy
method used to measure kinetic coefficients, the polydispersity of the lock
dimple size, and the sedimentation of the particles in a quasi-two-dimensional
layer. We find that the largest sources of variation are in the limited temporal
resolution of the experiments, which we account for in our theory, and in the
quasi-two-dimensional nature of the experiment that leads to misidentification of
non-specific pairs as specific ones. Accounting for these sources of variation
results in very good quantitative agreement with experimental data.
PMID- 26550783
TI - Cellular uptake and transcytosis of lipid-based nanoparticles across the
intestinal barrier: Relevance for oral drug delivery.
AB - Oral administration is the preferred route for drug delivery and nanosystems
represent a promising tool for protection and transport of hardly soluble,
chemically unstable and poorly permeable drugs through the intestinal barrier. In
the present work, we have studied lipid nanoparticles cellular uptake,
internalization pathways and transcytosis routes through Caco-2 cell monolayers.
Both lipid nanosystems presented similar size (~180nm) and surface charge (
30mV). Nanostructured lipid carriers showed a higher cellular uptake and
permeability across the barrier, but solid lipid nanoparticles could enter cells
faster than the former. The internalization of lipid nanoparticles occurs mainly
through a clathrin-mediated endocytosis mechanism, although caveolae-mediated
endocytosis is also involved in the uptake. Both lipid nanoparticles were able to
cross the intestinal barrier by a preferential transcellular route. This work
contributed to a better knowledge of the developed nanosystems for the oral
delivery of a wide spectrum of drugs.
PMID- 26550784
TI - Bio-inspired durable, superhydrophobic magnetic particles for oil/water
separation.
AB - In the present study, superhydrophobic and superoleophilic microparticles with
magnetic property were fabricated by combining the oxidation and self
polymerization of dopamine and formation of Fe3O4 nanoparticles on the surface of
the polydopamine (PDA) particles, followed by modification with low surface
energy material. The modified PDA/Fe3O4 particles showed high water repellency
with contact angle (CA) measured at 153.7+/-1.6 degrees and high oil affinity.
The superhydrophobic microparticles preserved high water CA after aging test,
showing excellent durability. The microparticles were employed to effectively
remove oil from water in different routes. Superhydrophobic sponge was prepared
by modifying with the achieved microparticles. The sponge exhibited high
absorption capability of oil, with weight gains ranging from 1348% to 7268%. The
results suggest this work might provide a promising candidate for oily
pollutants/water separation and transportation.
PMID- 26550785
TI - Static yield stress of a magnetorheological fluid containing Pickering emulsion
polymerized Fe2O3/polystyrene composite particles.
AB - The flow behaviors of magnetorheological (MR) suspensions containing Pickering
emulsion polymerized Fe2O3/polystyrene (PS) composite particles were reanalyzed
using the Seo-Seo model. The experimental shear stress data obtained
experimentally from the magnetorheological fluid fit well to the Seo-Seo model,
indicating that this model can describe the structural reformation process of the
aligned fibers at various shear rates. Unlike the dynamic yield stress obtained
from the Cho-Choi-Jhon (CCJ) model, the static yield stresses obtained from the
Seo-Seo model exhibit the same quadratic dependence on the magnetic field
strength for both pure Fe2O3 particle suspension and Fe2O3/PS particle
suspensions, which is in agreement with the predictions of the polarization
model. The static yield stress plausibly explains the difference in underlying
mechanism of MR fluids.
PMID- 26550786
TI - Polydopamine-based surface modification of mesoporous silica nanoparticles as pH
sensitive drug delivery vehicles for cancer therapy.
AB - A novel pH-sensitive drug delivery system of mesoporous silica nanoparticles
(MSNs) which were modified by polydopamine (PDA) for controlled release of
cationic amphiphilic drug desipramine (DES) was prepared. MSNs-DES-PDA were
characterized in terms of size, size distribution, surface morphology, BET
surface area, mesoporous size and pore volume, drug loading content and in vitro
drug release profile. MSNs-DES-PDA had high drug loading content and pH
sensitivity. The DES release profiles of MSNs-DES and MSNs-DES-PDA were totally
different, and the drug release of MSNs-DES-PDA accelerated with increasing
acidity. MSNs-DES-PDA can be internalized into cells. In vitro experiments
demonstrated that MSNs-DES-PDA had higher cytotoxicity and inhibitory effects on
acid sphingomyelinase than those of free DES. This drug delivery system was
beneficial for controlled release and cancer therapy.
PMID- 26550787
TI - Evaluation of Efficacy of Bone Scan With SPECT/CT in the Management of Low Back
Pain: A Study Supported by Differential Diagnostic Local Anesthetic Blocks.
AB - INTRODUCTION: Conventional radiologic modalities provide details only about the
anatomic aspect of the various structures of the spine. Frequently the structures
that show abnormal morphology may not be the cause of low back pain (LBP).
Functional imaging in the form of bone scan along with single photon emission
computerized tomography (SPECT/CT) may be helpful in identifying structures
causing pain, whether morphologically normal or not. The objective of this study
is to evaluate the role of bone scan with SPECT/CT in management of patients with
LBP. METHODS: This is randomized double-blinded controlled study performed on 80
patients with LBP aged 20 to 80 years, ASA physical status I to III. Patients
were randomized into bone scan and control groups consisting of 40 patients each.
On the basis of the clinical features and radiologic findings a clinical
diagnosis was made. After making a clinical diagnosis, the patients in bone scan
group were subjected to bone scan with SPECT/CT. On the basis of the finding of
the bone scan and SPECT/CT, a new working diagnosis was made and intervention was
performed according to the new working diagnosis. Diagnostic blocks in the
control group were given based on clinical diagnosis. Controlled comparative
diagnostic blocks were performed with local anesthetic. The pain score just after
the diagnostic block and at the time of discharge (approximately 4 h later) was
recorded; the pain relief was recorded in percentage. RESULTS: In both the
groups, sacroilitis was the most common diagnosis followed by facet joint
arthropathy. The number of patients obtaining pain relief of >50% was
significantly higher in the bone scan-positive group as compared with the control
group. Three new clinical conditions were identified in the bone scan group.
These conditions were multiple myeloma, avascular necrosis of the femoral head,
and ankylosing spondylitis. CONCLUSIONS: Bone scan with SPECT/CT was found to
complement the clinical workup of patients with LBP. Inclusion of bone scan with
SPECT/CT in LBP management protocol can help in making a correct diagnosis. At
times it might bring out some new information that may be vital for further
management of the patients with LBP.
PMID- 26550788
TI - Clinical use of plasma chitotriosidase in severe sepsis.
AB - Plasma chitotriosidase activity (ChT) was previously proposed to quantify
severity of sepsis. In a complex surgical case, with prolonged sepsis and
consistently high ChT, we found that the least increased values occurred in
stages of extreme illness, with profound hypocholesterolemia. ChT needs better
characterization before becoming a reliable biomarker of septic evolution.
PMID- 26550789
TI - Metabolite Profiling and Comparison of Bioactivity in Antrodia cinnamomea and
Antrodia salmonea Fruiting Bodies.
AB - Antrodia cinnamomea is a precious edible mushroom endemic to Taiwan that has been
claimed to have significant health promotion activities. Antrodia salmonea is a
new species of the genus Antrodia. In this study, we compared the metabolites and
bioactivity of A. cinnamomea and A. salmonea fruiting bodies. The volatiles of A.
cinnamomea and A. salmonea were characterized and 3,4,5-trimethoxybenzaldehyde
was found to be the most abundant compound in A. cinnamomea; the other abundant
compounds were delta-guaiene, isolongifolene, 1-octen-3-ol, 4-terpinenol, alpha
guaiene, and p-cymene. In A. salmonea, the main volatiles were alpha-cedrene, 1
octen-3-ol, D-limonene, cadinadiene, germacrene D, isolongifolene, and alpha
muurolene. Furthermore, five ergostane-type triterpenoids and two lanostane-type
triterpenoids were selected as index compounds characterizing A. cinnamomea and
A. salmonea extracts. The content of each compound varied between the two
species. (R,S)-antcin B was the most abundant compound in A. cinnamomea fruiting
bodies (75.18 +/- 0.11 ug/mg). However, (R,S)-antcin C (184.85 +/- 0.96 ug/mg)
was the major triterpenoid in the A. salmonea fruiting body. Furthermore, two
compounds, antcin M and methyl antcinate K, were only present in the A. salmonea
fingerprint; therefore, antcin M and methyl antcinate K may be important for
distinguishing between A. cinnamomea and A. salmonea fruiting bodies. Finally,
examination of anti-inflammation activity and cytotoxicity showed that A.
salmonea had more anti-inflammatory activity than A. cinnamomea; however, A.
salmonea was more cytotoxic than A. cinnamomea. In conclusion, the composition
and bioactivity of the fruiting bodies of A. cinnamomea and A. salmonea varies.
Therefore, it is recommended that further toxicological evaluation and
investigation of the biological activity of A. salmonea is carried out to ensure
its safe and efficacious use as an alternative to A. cinnamomea.
PMID- 26550790
TI - Baicalein, a Component of Scutellaria baicalensis, Attenuates Kidney Injury
Induced by Myocardial Ischemia and Reperfusion.
AB - Acute kidney injury is a common and severe complication of acute myocardial
infarction and cardiac surgery. It results in increased mortality, morbidity, and
duration of hospitalization. Baicalein is a component of the root of Scutellaria
baicalensis, which has traditionally been used to treat cardiovascular and liver
diseases in Asia. In this study, we investigated whether baicalein can attenuate
kidney injury induced by myocardial ischemia and reperfusion in rats. Myocardial
ischemia and reperfusion, induced by a 40-minute occlusion and a 3-hour
reperfusion of the left anterior descending coronary artery, significantly
increased blood urea nitrogen and creatinine levels in addition to causing
histological changes in the kidneys. Kidney apoptosis was also significantly
increased. Furthermore, myocardial ischemia and reperfusion significantly
increased the serum levels of tumor necrosis factor-alpha, interleukin-1, and
interleukin-6 as well as the tumor necrosis factor-alpha levels in the kidneys.
Intravenous pretreatment with baicalein (in doses of 3, 10, or 30 mg/kg),
however, significantly reduced the increases in the creatinine level, renal
histological damage, and apoptosis induced by myocardial ischemia and
reperfusion. In addition, the increases in the serum levels of tumor necrosis
factor-alpha, interleukin-1, and interleukin-6, and of tumor necrosis factor
alpha in the kidneys were significantly reduced. Western blot analysis revealed
that baicalein significantly increased Bcl-2 and reduced Bax in the kidneys. The
phosphorylation of Akt and extracellular signal-regulated kinases 1 and 2 was
also significantly increased. In conclusion, baicalein significantly attenuates
kidney injury induced by myocardial ischemia and reperfusion. The underlying
mechanisms might be related to the inhibition of apoptosis, possibly through the
reduction of tumor necrosis factor-alpha production, the modulation of Bcl-2 and
Bax, and the activation of Akt and extracellular signal-regulated kinases 1 and
2.
PMID- 26550791
TI - The Mechanisms of Inhibition of Advanced Glycation End Products Formation through
Polyphenols in Hyperglycemic Condition.
AB - Glycation, the non-enzymatic binding of glucose to free amino groups of an amino
acid, yields irreversible heterogeneous compounds known as advanced glycation end
products. Those products play a significant role in diabetic complications. In
the present article we briefly discuss the contribution of advanced glycation end
products to the pathogenesis of diabetic complications, such as atherosclerosis,
diabetic retinopathy, nephropathy, neuropathy, and wound healing. Then we mention
the various mechanisms by which polyphenols inhibit the formation of advanced
glycation end products. Finally, recent supporting documents are presented to
clarify the inhibitory effects of polyphenols on the formation of advanced
glycation end products. Phytochemicals apply several antiglycation mechanisms,
including glucose metabolism, amelioration of oxidative stress, scavenging of
dicarbonyl species, and up/down-regulation of gene expression. To utilize
polyphenols in order to remedy diabetic complications, we must explore, examine
and clarify the action mechanisms of the components of polyphenols.
PMID- 26550793
TI - Improving the Health of Persons With Serious Mental Illness.
PMID- 26550792
TI - Case-specific performance of MM-PBSA, MM-GBSA, and SIE in virtual screening.
AB - In drug discovery the reliable prediction of binding free energies is of crucial
importance. Methods that combine molecular mechanics force fields with continuum
solvent models have become popular because of their high accuracy and relatively
good computational efficiency. In this research we studied the performance of
molecular mechanics generalized Born surface area (MM-GBSA), molecular mechanics
Poisson-Boltzmann surface area (MM-PBSA), and solvated interaction energy (SIE)
both in their virtual screening efficiency and their ability to predict
experimentally determined binding affinities for five different protein targets.
The protein-ligand complexes were derived with two different approaches important
in virtual screening: molecular docking and ligand-based similarity search
methods. The results show significant differences between the different binding
energy calculation methods. However, the length of the molecular dynamics
simulation was not of crucial importance for accuracy of results.
PMID- 26550794
TI - THE WEBER THESIS OF CALVINISM AND CAPITALISM--ITS VARIOUS VERSIONS AND THEIR
"FATE" IN SOCIAL SCIENCE.
AB - The paper identifies and examines various multiple renditions of the so-called
Weber Thesis of an historical association and convergence between ascetic
Protestantism, above all Calvinism, and the emergence and development of modern
capitalism as an economic spirit and system. Specifically, it detects at least
four different versions and formulations or interpretations, thus casting doubt
in the common view of the Weber Thesis as a single and monolithic theory or
hypothesis. The paper also considers the status of the multiple versions of the
Weber Thesis in post-Weberian and contemporary sociology and related disciplines
like economics and history. It concludes that the weaker, relaxed renditions of
the Weber Thesis have attained a greater success and more endured in contemporary
social science than have its stronger, stricter versions.
PMID- 26550796
TI - Furosemide Pharmacokinetics in Adult Rats become Abnormal with an Adverse
Intrauterine Environment and Modulated by a Post-Weaning High-Fat Diet.
AB - Adult individuals born with intrauterine growth restriction (IUGR) have
physiological maladaptations that significantly increase risk of chronic disease.
We suggested that such abnormalities in organ function would alter
pharmacokinetics throughout life, exacerbated by environmental mismatch. Pregnant
and lactating rats were fed either a purified control diet (18% protein) or low
protein diet (9% protein) to produce IUGR offspring. Offspring were weaned onto
either laboratory chow (11% fat) or high-fat diet (45% fat). Adult offspring (5
months old) were dosed with furosemide (10 mg/kg i.p.) and serum and urine
collected. The overall exposure profile in IUGR males was significantly reduced
due to a ~35% increase in both clearance and volume of distribution. Females
appeared resistant to the IUGR phenotype. The effects of the high-fat diet
trended in the opposite direction to that of IUGR, with increased drug exposure
due to decreases in both clearance (31% males, 46% females) and volume of
distribution (24% males, 44% females), with a 10% longer half-life in both
genders. The alterations in furosemide pharmacokinetics and pharmacodynamics were
explained by changes in the expression of renal organic anion transporters 1 and
3, and sodium-potassium-chloride cotransporter-2. In summary, this study suggests
that IUGR and diet interact to produce subpopulations with similar body-weights
but dissimilar pharmacokinetic profiles; this underlines the limitation of one
size-fits-all dosing which does not account for physiological differences in body
composition resulting from IUGR and diet.
PMID- 26550797
TI - Real-time high-frequency colour Doppler ultrasound detection of cutaneous
Dermatobia hominis myiasis.
PMID- 26550798
TI - In air a spin crossover active iron(II) complex of amine/NCBH3(-) ligands is
converted to a low spin complex of imine/CN(-) ligands.
AB - Two new mononuclear Fe(II) complexes, [FeL1(NCBH3)2] (1) and [FeL2(CN)2].3H2O (2)
(L1 = N,N'-bis(2-pyridylmethyl)-1,2-ethanediamine, L2 = N-(2-pyridylmethyl)-N'-(2
pyridylmethylene)-1,2-ethanediamine) were synthesized from the same starting
solution under different atmospheric conditions. Complex 1 was isolated under an
N2 atmosphere with an expected molecular structure, namely a tetradentate L1
ligand and two NCBH3(-) co-ligands wrapping an iron(ii) ion. It exhibits a
gradual spin crossover centered around 355 K, as confirmed by X-ray
crystallography, magnetic, DSC and Mossbauer studies. Complex 2 was isolated in
the presence of air. One of the secondary amine groups in L1 undergoes an in situ
oxidative dehydrogenation, forming a new monoimine asymmetric ligand L2. Besides,
a CN(-) co-ligand is also in situ generated from NCBH3(-) during the reaction.
The strong ligand field strength imposed by CN(-) and L2 stabilizes 2 in the LS
state. Solvent water molecules in complex 2 are hydrogen bonded into a well
defined 1D water chain. 2 shows a proton conductivity of 8.9 * 10(-5) S cm(-1) at
55 degrees C and 95% relative humidity.
PMID- 26550800
TI - 'Am I moving?' An illusion of agency and ownership in mirror-touch synaesthesia.
AB - Mirror-touch synaesthesia (MTS) is a condition that leads people to experience
tactile sensations on their own body when watching at someone else being touched.
Recent accounts postulate that MTS is linked with atypical self-other
representations. It has been suggested that this may be associated with
disturbances in two main components of self-awareness: sense of agency and sense
of ownership. This study investigates changes in sense of agency and sense of
ownership in MTS. Using a paradigm that deliberately blurs the boundaries between
the self and the other, we not only found that MTS affects sense of agency and
sense of ownership, but that these aspects of self-awareness are affected
differently. We suggest that alterations in sense of agency can be linked to more
profound disturbances in sense of ownership in MTS, and that MTS may be
characterised by underlying difficulties in self-other processing.
PMID- 26550801
TI - Believe it or not: Moving non-biological stimuli believed to have human origin
can be represented as human movement.
AB - Does our brain treat non-biological movements (e.g. moving abstract shapes or
robots) in the same way as human movements? The current work tested whether the
movement of a non-biological rectangular object, believed to be based on a human
action is represented within the observer's motor system. A novel visuomotor
priming task was designed to pit true imitative compatibility, due to human
action representation against more general stimulus response compatibility that
has confounded previous belief experiments. Stimulus response compatibility
effects were found for the object. However, imitative compatibility was found
when participants repeated the object task with the belief that the object was
based on a human finger movement, and when they performed the task viewing a real
human hand. These results provide the first demonstration that non-biological
stimuli can be represented as a human movement if they are believed to have human
agency and have implications for interactions with technology and robots.
PMID- 26550799
TI - The mouse Foxi3 transcription factor is necessary for the development of
posterior placodes.
AB - The inner ear develops from the otic placode, one of the cranial placodes that
arise from a region of ectoderm adjacent to the anterior neural plate called the
pre-placodal domain. We have identified a Forkhead family transcription factor,
Foxi3, that is expressed in the pre-placodal domain and down-regulated when the
otic placode is induced. We now show that Foxi3 mutant mice do not form otic
placodes as evidenced by expression changes in early molecular markers and the
lack of thickened placodal ectoderm, an otic cup or otocyst. Some preplacodal
genes downstream of Foxi3-Gata3, Six1 and Eya1-are not expressed in the ectoderm
of Foxi3 mutant mice, and the ectoderm exhibits signs of increased apoptosis. We
also show that Fgf signals from the hindbrain and cranial mesoderm, which are
necessary for otic placode induction, are received by pre-placodal ectoderm in
Foxi3 mutants, but do not initiate otic induction. Finally, we show that the
epibranchial placodes that develop in close proximity to the otic placode and the
mandibular division of the trigeminal ganglion are missing in Foxi3 mutants. Our
data suggest that Foxi3 is necessary to prime pre-placodal ectoderm for the
correct interpretation of inductive signals for the otic and epibranchial
placodes.
PMID- 26550802
TI - Can I cut the Gordian tnok? The impact of pronounceability, actual solvability,
and length on intuitive problem assessments of anagrams.
AB - When assessing a problem, many cues can be used to predict solvability and
solving effort. Some of these cues, however, can be misleading. The present
approach shows that a feature of a problem that is actually related to solving
difficulty is used as a cue for solving ease when assessing the problem in the
first place. For anagrams, it is an established effect that easy-to-pronounce
anagrams (e.g., NOGAL) take more time to being solved than hard-to-pronounce
anagrams (e.g., HNWEI). However, when assessing an anagram in the first place,
individuals use the feature of pronounceability to predict solving ease, because
pronounceability is an instantiation of the general mechanism of processing
fluency. Participants (total N=536) received short and long anagrams and
nonanagrams and judged solvability and solving ease intuitively without actually
solving the items. Easy-to-pronounce letter strings were more frequently judged
as being solvable than hard-to-pronounce letters strings (Experiment 1), and were
estimated to require less effort (Experiments 2, 4-7) and time to be solved
(Experiment 3). This effect was robust for short and long items, anagrams and
nonanagrams, and presentation timings from 4 down to 0.5s, and affected novices
and experts alike. Spontaneous solutions did not mediate this effect.
Participants were sensitive to actual solvability even for long anagrams (6-11
letters long) presented only for 500 ms.
PMID- 26550804
TI - Reducing genomic instability in iPSCs.
PMID- 26550803
TI - Adults with poor reading skills: How lexical knowledge interacts with scores on
standardized reading comprehension tests.
AB - Millions of adults in the United States lack the necessary literacy skills for
most living wage jobs. For students from adult learning classes, we used a
lexical decision task to measure their knowledge of words and we used a decision
making model (Ratcliff's, 1978, diffusion model) to abstract the mechanisms
underlying their performance from their RTs and accuracy. We also collected
scores for each participant on standardized IQ tests and standardized reading
tests used commonly in the education literature. We found significant
correlations between the model's estimates of the strengths with which words are
represented in memory and scores for some of the standardized tests but not
others. The findings point to the feasibility and utility of combining a test of
word knowledge, lexical decision, that is well-established in psycholinguistic
research, a decision-making model that supplies information about underlying
mechanisms, and standardized tests. The goal for future research is to use this
combination of approaches to understand better how basic processes relate to
standardized tests with the eventual aim of understanding what these tests are
measuring and what the specific difficulties are for individual, low-literacy
adults.
PMID- 26550805
TI - Single-Electron Transmetalation: Synthesis of 1,1-Diaryl-2,2,2-trifluoroethanes
by Photoredox/Nickel Dual Catalytic Cross-Coupling.
AB - Novel methods for the incorporation of fluorinated subunits into organic
frameworks are important in pharmaceutical, agrochemical, and materials science
applications. Herein, the first method for the cross-coupling of benzylic alpha
trifluoromethylated alkylboron reagents with (hetero)aryl bromides is achieved
through application of a photoredox/nickel dual catalytic system. The harsh
conditions and high temperatures required by conventional Suzuki-coupling
protocols are avoided by exploitation of an odd-electron pathway that permits
room temperature transmetalation of these recalcitrant reagents. This method
represents the first direct and general route for the synthesis of unsymmetrical
1,1-diaryl-2,2,2-trifluoroethanes, thereby providing efficient access to a
previously unexplored chemical space.
PMID- 26550806
TI - A survey of lung cancer in rural and remote Aboriginal and Torres Strait Islander
communities in Queensland: health views that impact on early diagnosis and
treatment.
AB - BACKGROUND: Lung cancer incidence, mortality and hospitalisation rates are higher
for Indigenous Australians compared with non-Indigenous Australians and increase
again when living in more remote areas. If Indigenous Australians are made more
aware of lung cancer through better access to health services and programmes,
lung cancer outcomes might improve. AIM: We aimed to survey the level of lung
cancer awareness in rural and remote Aboriginal and Torres Strait Islander
communities and discover perceived barriers to timely diagnosis and treatment of
lung cancer. METHODS: Interviews were conducted in three discrete outer regional
and remote Aboriginal communities and one urban setting in Queensland.
Participants included Aboriginal and Torres Strait Islander peoples from three
target population groups: patients referred for medical treatment with symptoms
suspicious of lung cancer or confirmed lung cancer; Indigenous health workers;
community members aged 18 years and over. Participants gave written, informed
consent. RESULTS: Of 51 community members and 14 Indigenous health workers, 32
reflected they knew very little about lung cancer, 60 cited smoking as the cause
of lung cancer and 54 recognised warning symptoms as a prompt to seek healthcare.
Indigenous health workers were not able to describe a healthcare pathway that
would apply to a patient with suspected lung cancer. CONCLUSION: The two main
barriers identified as impacting on quality healthcare were communication and
follow-up processes. These could be addressed by service improvement activities.
PMID- 26550795
TI - Trial of Continuous or Interrupted Chest Compressions during CPR.
AB - BACKGROUND: During cardiopulmonary resuscitation (CPR) in patients with out-of
hospital cardiac arrest, the interruption of manual chest compressions for rescue
breathing reduces blood flow and possibly survival. We assessed whether outcomes
after continuous compressions with positive-pressure ventilation differed from
those after compressions that were interrupted for ventilations at a ratio of 30
compressions to two ventilations. METHODS: This cluster-randomized trial with
crossover included 114 emergency medical service (EMS) agencies. Adults with non
trauma-related cardiac arrest who were treated by EMS providers received
continuous chest compressions (intervention group) or interrupted chest
compressions (control group). The primary outcome was the rate of survival to
hospital discharge. Secondary outcomes included the modified Rankin scale score
(on a scale from 0 to 6, with a score of <=3 indicating favorable neurologic
function). CPR process was measured to assess compliance. RESULTS: Of 23,711
patients included in the primary analysis, 12,653 were assigned to the
intervention group and 11,058 to the control group. A total of 1129 of 12,613
patients with available data (9.0%) in the intervention group and 1072 of 11,035
with available data (9.7%) in the control group survived until discharge
(difference, -0.7 percentage points; 95% confidence interval [CI], -1.5 to 0.1;
P=0.07); 7.0% of the patients in the intervention group and 7.7% of those in the
control group survived with favorable neurologic function at discharge
(difference, -0.6 percentage points; 95% CI, -1.4 to 0.1, P=0.09). Hospital-free
survival was significantly shorter in the intervention group than in the control
group (mean difference, -0.2 days; 95% CI, -0.3 to -0.1; P=0.004). CONCLUSIONS:
In patients with out-of-hospital cardiac arrest, continuous chest compressions
during CPR performed by EMS providers did not result in significantly higher
rates of survival or favorable neurologic function than did interrupted chest
compressions. (Funded by the National Heart, Lung, and Blood Institute and
others; ROC CCC ClinicalTrials.gov number, NCT01372748.).
PMID- 26550807
TI - Posterior capsule opacity in Retinitis Pigmentosa according to different
biomaterials of intraocular lenses: Our clinical experience.
AB - BACKGROUND AND AIM: Complicated Cataract is frequently associated with Retinitis
Pigmentosa (RP). This retinopathy is a great cause of visual impairment, and
cataract surgery may resolve partially the situation and restore sight.
Unfortunately, in this case there is a very high incidence of Posterior Capsule
Opacity (PCO) ranging in several studies a percentage of 100% at six months. Our
goal was to evaluate whether the high incidence of PCO is associated to specific
type of Intraocular Lens (IOL) biomaterials. MATERIALS AND METHODS: This
observational retrospective study deals with 75 eyes of 43 patients affected with
RP who underwent to cataract surgery with posterior chamber IOL implants (40%
ECCE and 60% Phaco). Selected biomaterials were: 30 Poly (methyl methacrylate)
(PMMA), 11 silicone, 34 acrylic. Observations were performed with retro
illumination camera and when recorded capsular fibrosis a PCO YAG laser
capsulotomy was made. The patients were controlled at 3-6-12 months after the
initial surgical treatment. RESULTS: At three months of follow up, 80% of
patients with PMMA, 81.8% silicone implants underwent to YAG capsulotomy while
only 44% of acrylic type. At six months of follow-up 83.3% of patients with PMMA
and 81.8% silicone implants underwent to YAG capsulotomy while only 49.88% of
acrylic type. At 12 months of follow up 89.9% of patients with PMMA and 90.95
silicone implants underwent to YAG capsulotomy while only 52.82% of acrylic type.
CONCLUSIONS: We could not be able to differentiate incidence between different
brand type of lens in PMMA. For Acrylic lens instead we noted an incidence of PCO
of 41.38% with hydrophobic material and 60.0% of hydrophilic material. In
conclusion to avoid massive PCO in RP, our experience is statistically
significant, in fact the protective action of smooth material hydrophobic lens
avoids the epithelial growth and fibrosis towards the center of visual axis.
PMID- 26550808
TI - [Local and late complications in anorectal surgery].
AB - BACKGROUND AND AIMS: Our experience in colon-proctology showed the need to update
the post-surgical complications score we published (1), adding others that may
have an impact on outcome of treatment. The aim of this study was to assess the
efficacy of a score obtained from the analysis of the quality of life and
severity of complications after proctologic surgery. MATERIALS AND METHODS:
Between January and December 2013, we studied 150 patients undergoing several ano
rectal surgeries for the presence of hemorrhoids of grade III and IV (63
patients), anal fissures (42 patients), anal fistulas (19 patients), rectocele
(15 patients) and mucosal prolapse of the rectum (11 patients). After surgery,
patients were evaluated through outpatient visits at 3, 6 and 12 months, by a
questionnaire concerning the surgery complications and quality of life, the
latter expressed with a score from 0 (poor) to 10 (excellent). RESULTS: Only 134
patients [mean age: 49 years (range 35-61 years), 62 M] completed the entire
follow-up. The score of complications has been gradually decreasing from 11.3
(baseline) to 9.6 to 3 months, 3.6 to 6 months and 2.3 at 12 months, while the
score of the quality of life progressively increased from 4.5 to 6, 8 and 8.5
respectively (p<0.05), with a correlation between the two score (r=-0.6, p=
0.03). CONCLUSIONS: The quantification by scores of quality of life and
postoperative complications in colon-proctology is an important prognostic
predictor.
PMID- 26550809
TI - [Genetic test for cancer and intra-family communication: freedom vs.
responsibility].
AB - Genetic tests affect not only single patients but also their genetic relatives.
In some cases, they in fact allow to acquire information not only about a single
patient, but also about those who are genetically linked (genetic relatives). By
appealing to the principle of autonomy, the patient can refuse to be informed of
the test result, or to inform their relatives on the risk of a pathology. How
might the relatives' right to know be reconciled with the will of a patient who
refuses to know or to inform? Among the large number of moral dilemmas that this
field can raise, the article aims to reply to the above mentioned question and to
analyse in depth some aspects of intra-family communication within the field of
genetic tests for cancer.
PMID- 26550810
TI - [The involvement of pulmonary interstitial tissue in multisystemic lupus
erythematosus: interdisciplinarity and role of the pneumologists].
AB - The Author remarks the interstitial lung involvement in systemic lupus
erythematosus. This secondary respiratory manifestation is infrequent as well as
the consequent pulmonary hypertension making it possible to miss or delay the
diagnosis. Therefore the interdisciplinary evaluation of the multisystemic
disease lupus erythematosus needs. In this context the role of the pneumologists
is relevant for the global treatment of the patients with LES in particular as
concerns the early detection of the clinical and functional respiratory symptoms
as well as the appropriate treatment plan within their specialistic competence.
PMID- 26550811
TI - The underlying mechanism of action for various medicinal properties of Piper
betle (betel).
AB - Piper betle (betel) plant belongs to the Piperaceae family. Piper. betle is
widely known for its potent medicinal properties. Various active compounds are
present in Piper. betle such as allylpyrocatechol, hydroxychavicol, piperbetol,
ethylpiperbetol, piperol A, piperol B, chavibetol, and alkaloids which account
for these beneficial medicinal properties. In the present narrative review, we
looked into the various active compounds present in the Piper betle and attempted
to understand their underlying mechanism of action. Proper understanding of the
molecular biology involving the mechanism of action may help in better drug
formulation and provide better therapeutic actions in the field of alternative
and complementary medicine.
PMID- 26550812
TI - Facial transplants: current situation and ethical issues.
AB - The first transplantation of a face from a cadaver was performed in 2005, since
when about thirty have been performed globally. The technique is now beginning to
appear in Italy. Face transplants are performed exclusively on persons who have
suffered devastating lesions to the face. The ethical problems involved are very
considerable, particularly where personal identity is concerned. The case records
reveal surprisingly positive outcomes regarding both clinical follow-up and
functional recovery, as well as psychological aspects and social integration.
Thus, while early documents addressing the ethical issues involved in facial
transplants were somewhat cautious or even unfavourable on the subject of this
technique, a positive approach is now more prevalent.
PMID- 26550813
TI - Randomized and controlled prospective trials of Ultrasound-guided spinal nerve
posterior ramus pulsed radiofrequency treatment for lower back post-herpetic
neuralgia.
AB - OBJECTIVE: To evaluate the efficacy of ultrasound-guided spinal nerve posterior
ramus pulsed radiofrequency treatment for lower back post-herpetic neuralgia.
MATERIALS AND METHODS: 128 cases of lower back or anterior abdominal wall acute
post-herpetic neuralgia patients were selected. They were randomly divided into
two groups. Group A: oral treatment only with gabapentin + celecoxib +
amitriptyline. Group B: while taking these drugs, patients were treated with
radiofrequency (RF) pulses using a portable ultrasound device using the
paravertebral puncture technique. In both groups, sudden outbreaks of pain were
treated with immediate release 10mg morphine tablets. Visual analogue scale (VAS)
was used for pain score, Pittsburgh Sleep Quality Index scale (PSQI) was used to
evaluate sleep quality and morphine consumption were recorded at different time
points, before and after treatment. Treatment efficiency was calculated while the
occurrence of complications was documented. RESULTS: At each time point after
treatment, VAS scores were lower, but scores in the RF group was significantly
lower than those of the oral-only group. In terms of sleep quality scores and
morphine consumption between the two groups, the RF group was significantly lower
than the oral-only group. During the procedure no error occurred with needle
penetrating the abdominal cavity, chest, offal or blood vessels. CONCLUSIONS:
Ultrasound-guided spinal nerve posterior ramus pulsed radiofrequency treatment of
lower back or anterior abdominal wall post-herpetic neuralgia proved effective by
reducing morphine use in patients and led to fewer adverse reactions.
PMID- 26550814
TI - [Effectiveness of a protocol treatment for overweight/obese patients (SIAN - ASL
RMA)].
AB - OBJECTIVES: To evaluate the efficacy of treatment protocols in patients
overweight/obese, pertaining to outpatient of dietary preventive of SIAN (in
English: Service of Food Hygiene and Nutrition) RMA. MATERIALS AND METHODS: We
extracted data from the medical records of patients with BMI>=25 (2009-2012). It
was conducted a descriptive analysis, univariate analysis (chi-square test;
p<=0.05) and multivariate logistic regression analysis considering as "weight
loss" the outcome measure. RESULTS: There were 762 records (76.2% female; mean
age 52 years). BMI average of beginning treatment: 30.26; BMI of the end of
treatment: 28.37; minimum maximum range of variation of weight after treatment:
28.9 Kg and +6.5 Kg. The 55.2% of the sample has lost up to 4.9 kg, 32.4% from
5.0 to 9.9 kg, 8.1% from 10.0 to 19.9 Kg, 0.9% from 20 to 28.9 Kg. The higher
initial BMI and the longer treatment, have a lower likelihood of weight loss (B=
1.267, p<0.001; B =-0.408, p<0.001); females and those who have an older age are
more likely to lose weight (B=0.030, p<0.003; B=1.260, p<0.001). CONCLUSIONS: The
current study highlight the efficacy of treatment protocols in overweight/obese
patients. The population that benefits from the treatment more rigidly set on the
estimated caloric needs is the one that starts from lower BMI and who therefore
need less time to reach a certain weight loss. Instead, those who started from
higher BMI is a population supposedly "binger", more resistant to the single
dietary treatment and, as recognized by the scientific community, it requires a
multidisciplinary approach.
PMID- 26550815
TI - Changes in Transversus Abdominis Muscle Thickness after Lumbo-Pelvic Core
Stabilization Training among Chronic Low Back Pain Individuals.
AB - OBJECTIVE: Lumbo-pelvic core stabilization training (LPST) is one of the
therapeutic exercises common in practice for rehabilitation of patients with
chronic low back pain. This study was carried out to examine the therapeutic
effects of LPST on the muscle thickness of transversus abdominis (TrA) at rest
and during contraction among patients with chronic non-specific low back pain.
MATERIALS AND METHODS: A total of 25 participants (7 males and 18 females) with
chronic non-specific low back pain participated in a within-subject, repeated
measures, double-blinded, placebo-controlled comparisons trial. The participants
received three different types of experimental therapeutic training conditions
which includes the lumbo-pelvic core stabilization training (LPST), the placebo
treatment with passive cycling (PC) and a controlled intervention with rest (CI).
The interventions were carried out by randomization with 48 hours between the
sessions. The effectiveness of interventions was studied by measuring the changes
in muscle thickness of TrA at rest and during contraction using a real time
ultrasonography. RESULTS: Repeated measures ANOVA demonstrated that the LPST
provided significant therapeutic benefits as measured by an increase in the
muscle thickness of the TrA at rest (p<0.05) and during contraction (p<0.01). The
percentage change of the muscle thickness observed during LPST was significantly
higher (p<0.01) when compared to the other two experimental training conditions.
CONCLUSIONS: The findings indicated that the LPST might provide therapeutic
benefits by increasing the muscle thickness and function of TrA. Therefore, it is
suggested that LPST technique should be considered as part of management program
for treatment of low back pain.
PMID- 26550816
TI - Prevalence of Diabetes Mellitus (DM) in a population of men affected by Erectile
Dysfunction (ED).
AB - AIMS: A) to evaluate the prevalence of patients affected by Diabetes Mellitus
(DM) in a population of men with Erectile Dysfunction (ED); B) to define the
epidemiological, biochemical and therapeutic aspects. MATERIALS AND METHODS:
N.934 subjects referred at our Andrology Unit for ED were studied. The diagnosis
of ED was evaluated using the IIEF-5 questionnaire (Total score <=21). RESULTS:
The prevalence of subjects affected by DM in a population of men with ED was
19.5% (182/934). The age ranges were: >=55 years (108/182; 59.3%); >=40<55 years
(70/182; 38.5%); <40 years (4/182; 2.2%). HbA1c mean value was 7.9% +/- 0.8%. No
significant differences were found in DM onset timing or in anti-diabetic
treatment. In n.125/182 cases (68.7%) the ED onset followed the diagnosis of DM;
in n.34/182 cases (18.7%) it appeared at the same time; and in n.23/182 cases
(12.6%) appeared before DM diagnosis. ED TREATMENT: in n.18/182 subjects (9.9%)
there was a concomitant hypotestosteronemia; these patients were treated only
with testosterone replacement; this treatment was efficacious (IIEF-5 total score
>=22) in 8/18 subjects (44.4%). In n.146/182 subjects (80.2%) a treatment with
PDE5-i was given. Of these 146 subjects, the therapy was given "on demand" to 108
subjects (efficacy in 50.9%; 55/108) and "once a day" to the remaining 38
subjects (efficacy 63.1%, 24/38) (p=0.428, n.s.). N.15/182 subjects (8.2%) were
treated with intracavernous injections of Alprostadil (efficacy in 8/15, 53.3%).
In n.3/182 subjects (1.6%) a penile prosthesis was implanted. CONCLUSIONS: DM is
one of the most frequent organic causes of ED; there were many strategies to
treat this symptom without interfering with the antidiabetic treatment. Finally,
ED can be predictive of DM.
PMID- 26550817
TI - [Evaluation of the combined treatment of oral viscosupplementation with
hyaluronic acid intra-articular injection on symptomatic knee osteoarthritis].
AB - OBJECTIVES: Numerous clinical evidences support the treatment of knee OA (KOA)
with oral formulations based on hyaluronic acid (HA) and chondroitin sulfate
(CS). The formulation aim of the present study (IA) combines a hydrolyzed low
molecular weight collagen matrix providing high content of depolymerised HA and
CS, with methylsulfonylmethane (MSM), Manganese and a milk glycoprotein. The goal
was to evaluate whether combined treatment with intra - articular injection of HA
and AI is more effective than treatment with HA only for the symptomatic
treatment of knee OA. MATERIALS AND METHODS: Randomized, double-blind, placebo
controlled clinical trial. Patients (nr = 100), after undergoing an intra
articular injection with HA, were randomized to receive IA or placebo for 3
months. The efficacy of the treatment was assessed by measuring at baseline, 1
and 3 months, the values of the VAS pain scale, the Knee injury and
Osteoarthritis Outcome Score, the Tegner Lysholm Knee Scoring Scale, Lequesne
algofunctional index and the consumption of NSAIDs and analgesics. RESULTS: The
treatment group HA + IA showed a positive trend compared to the group treated
with HA only for all the efficacy variables observed, in particular regarding the
VAS and the analgesic consumption. CONCLUSIONS: The evidences obtained in this
study point out that the oral viscosupplementation with the formulation aim of
the present study (IA) represents a valuable, manageable, effective and well
tolerated aid, useful to maintain and extend the benefits obtained with intra -
articular injection of HA, helping to significantly reduce the use of painkillers
by patients.
PMID- 26550818
TI - Male ductal carcinoma in situ (DCIS): treatment strategies in this rare entity.
AB - Ductal carcinoma in situ (DCIS) in females is a recognized premalignant disease.
However, its occurrence in males is rare. Articles describing this disease are
scarce with documented occurrence is less than 7% worldwide. We report a case of
52 year-old gentleman presented with 6 months history of left breast lump. It was
painless with no nipple discharge. Despite an inconclusive biopsy, lumpectomy was
performed in view of the suspicion of malignant disease. Histopathology confirms
DCIS. He underwent mastectomy and sentinel lymph nodes. Most treatment strategies
are based on evidence on female breast malignancy and modifications are needed
due to the different pathological pathway in male.
PMID- 26550819
TI - Disordered eating behavior and mental health correlates among treatment seeking
obese women.
AB - BACKGROUND AND OBJECTIVES: Previous research has suggest that obesity is
associated with increased risk for psychopathological disorders, however, little
is known about which obese patients are most vulnerable to psychopathological
disorders. We therefore investigated 126 treatment-seeking obese women to
describe eating disorder pathology and mental health correlates, and to identify
disordered eating behaviors that may place obese at increased risk for
psychopathological disorders. MATERIALS AND METHODS: The Structured Clinical
Interview for DSM-IV (SCID) was used to identify Eating Disorders (ED). A battery
of psychological tests, including the Anxiety Scale Questionnaire (ASQ,) Clinical
Depression Questionnaire (CDQ), Eating Disorder Inventory-2 (EDI-2) Eating
Attitudes Test-26 (EAT-26) scales and structured clinical interview were
administered to all the patients. We analyzed the link between psychopathological
disorders and eating attitudes by using both multiple regression analysis and non
parametric correlation. RESULTS: Disordered eating behaviors and emotional
behavioral aspects related to Anorexia Nervosa, such as ineffectiveness, are
strongly linked to the depression and anxiety in obese subjects. No correlation
was found between psychopathological disorders and age or anthropometric
measurements. CONCLUSIONS: Findings corroborate earlier work indicating that
psychological distress is elevated in obese treatment seeking, bolstering the
need for mental health assessment of such individuals. The feeling of
ineffectiveness constitutes the major predictor of psychopathological aspects.
This is an important result which may inform the development of effective
interventions for obese patients and prevention of psychopathological disorders.
PMID- 26550820
TI - [A couple in the sect: between freedom of membership and risks of abuse].
AB - Psychological cults are of particular interest to criminologists due to their
complex and multi-faceted structures. They may come in the form of organizations,
movements, and training centers that make promises to their victims of developing
or strengthening their psycho-relational capacities, thus resulting in
improvements in their social, professional, and personal lives. Starting from the
complex and delicate story of a professional couple who join a cult, the authors
describe one case of a organization that are referred to as "psycho-sects", and
with a significant number of followers. These cases came to our attention through
judicial authorities, and they offer us an opportunity to look at two aspects
associated with psycho-sects: The need of individuals to belong to such groups;
and the risk of abuse and violence that they face.
PMID- 26550821
TI - Prevention and treatment of nephrolithiasis: a review on the role of spa therapy.
AB - The prevalence and incidence of nephrolithiasis is reported to be increasing
across the world. It is a disease of increased urinary concentration of stone
forming salts. The physicochemical mechanism of stone formation includes
precipitation, homogenous/heterogeneous nucleation, growth, aggregation and
concretion of various modulators in urine. Necessary condition to develop stones
is urinary supersaturation, due to reduced urinary volume or to an excesses
solutes. Fluid intake is the main determinant of urine volume. Urine dilution can
significantly decrease both the crystallization rate of the urinary salts and the
aggregation of the crystals. A correct fluid intake can act on different effects:
urinary tract washing, urinary volume increasing and dilution of solutes. In
addition mineral waters have other particular features: greater diuretic effect,
more important urinary dilution with solutes and microbial concentration
reduction, urinary pH changes, superior washout effect due to mechanical effects
and ureteral contractions. Adequate water intake is the most important
conservative strategy in urolithiasis prevention; particularly hydropinotherapy
with oligomineral water should be considered as an important instrument to
prevent stones in subjects predisposed to the disease (family members of people
suffering from kidney stones), to reduce relapses, and can help to eliminate
residual fragments also after extracorporeal shock wave lithotripsy. It is
recommended a management with increased mineral water intake to promote urine
volume of at least 2.5L each day to prevent stone formation. Obviously water
intake shall be varied in relation to the presence of contraindications or any
diseases.
PMID- 26550822
TI - [Head trauma with clinical and medical legal interest].
AB - In clinical and legal cases some injuries found in living patient or dead body
can give rise to difficulties of interpretation regarding the nature of the
legally relevant formation mechanism. A field of study that offers often this
issue is the brain hemorrhage that it could be traumatic or no traumatic. The
authors propose a narrative review of the literature that enables us to recognize
in the analysis of the kind of bleeding or type of associated lesions, useful and
updated support tools for settlement of the case in question.
PMID- 26550823
TI - DNA-dependent formation of transcription factor pairs alters their binding
specificity.
AB - Gene expression is regulated by transcription factors (TFs), proteins that
recognize short DNA sequence motifs. Such sequences are very common in the human
genome, and an important determinant of the specificity of gene expression is the
cooperative binding of multiple TFs to closely located motifs. However,
interactions between DNA-bound TFs have not been systematically characterized. To
identify TF pairs that bind cooperatively to DNA, and to characterize their
spacing and orientation preferences, we have performed consecutive affinity
purification systematic evolution of ligands by exponential enrichment (CAP
SELEX) analysis of 9,400 TF-TF-DNA interactions. This analysis revealed 315 TF-TF
interactions recognizing 618 heterodimeric motifs, most of which have not been
previously described. The observed cooperativity occurred promiscuously between
TFs from diverse structural families. Structural analysis of the TF pairs,
including a novel crystal structure of MEIS1 and DLX3 bound to their identified
recognition site, revealed that the interactions between the TFs were
predominantly mediated by DNA. Most TF pair sites identified involved a large
overlap between individual TF recognition motifs, and resulted in recognition of
composite sites that were markedly different from the individual TF's motifs.
Together, our results indicate that the DNA molecule commonly plays an active
role in cooperative interactions that define the gene regulatory lexicon.
PMID- 26550824
TI - Decapentaplegic and growth control in the developing Drosophila wing.
AB - As a central model for morphogen action during animal development, the bone
morphogenetic protein 2/4 (BMP2/4)-like ligand Decapentaplegic (Dpp) is proposed
to form a long-range signalling gradient that directs both growth and pattern
formation during Drosophila wing disc development. While the patterning role of
Dpp secreted from a stripe of cells along the anterior-posterior compartmental
boundary is well established, the mechanism by which a Dpp gradient directs
uniform cell proliferation remains controversial and poorly understood. Here, to
determine the precise spatiotemporal requirements for Dpp during wing disc
development, we use CRISPR-Cas9-mediated genome editing to generate a flippase
recognition target (FRT)-dependent conditional null allele. By genetically
removing Dpp from its endogenous stripe domain, we confirm the requirement of Dpp
for the activation of a downstream phospho-Mothers against dpp (p-Mad) gradient
and the regulation of the patterning targets spalt (sal), optomotor blind (omb;
also known as bifid) and brinker (brk). Surprisingly, however, third-instar wing
blade primordia devoid of compartmental dpp expression maintain relatively normal
rates of cell proliferation and exhibit only mild defects in growth. These
results indicate that during the latter half of larval development, the Dpp
morphogen gradient emanating from the anterior-posterior compartment boundary is
not directly required for wing disc growth.
PMID- 26550825
TI - Extra adsorption and adsorbate superlattice formation in metal-organic
frameworks.
AB - Metal-organic frameworks (MOFs) have a high internal surface area and widely
tunable composition, which make them useful for applications involving
adsorption, such as hydrogen, methane or carbon dioxide storage. The selectivity
and uptake capacity of the adsorption process are determined by interactions
involving the adsorbates and their porous host materials. But, although the
interactions of adsorbate molecules with the internal MOF surface and also
amongst themselves within individual pores have been extensively studied,
adsorbate-adsorbate interactions across pore walls have not been explored. Here
we show that local strain in the MOF, induced by pore filling, can give rise to
collective and long-range adsorbate-adsorbate interactions and the formation of
adsorbate superlattices that extend beyond an original MOF unit cell.
Specifically, we use in situ small-angle X-ray scattering to track and map the
distribution and ordering of adsorbate molecules in five members of the
mesoporous MOF-74 series along entire adsorption-desorption isotherms. We find in
all cases that the capillary condensation that fills the pores gives rise to the
formation of 'extra adsorption domains'-that is, domains spanning several
neighbouring pores, which have a higher adsorbate density than non-domain pores.
In the case of one MOF, IRMOF-74-V-hex, these domains form a superlattice
structure that is difficult to reconcile with the prevailing view of pore-filling
as a stochastic process. The visualization of the adsorption process provided by
our data, with clear evidence for initial adsorbate aggregation in distinct
domains and ordering before an even distribution is finally reached, should help
to improve our understanding of this process and may thereby improve our ability
to exploit it practically.
PMID- 26550826
TI - Allosteric ligands for the pharmacologically dark receptors GPR68 and GPR65.
AB - At least 120 non-olfactory G-protein-coupled receptors in the human genome are
'orphans' for which endogenous ligands are unknown, and many have no selective
ligands, hindering the determination of their biological functions and clinical
relevance. Among these is GPR68, a proton receptor that lacks small molecule
modulators for probing its biology. Using yeast-based screens against GPR68, here
we identify the benzodiazepine drug lorazepam as a non-selective GPR68 positive
allosteric modulator. More than 3,000 GPR68 homology models were refined to
recognize lorazepam in a putative allosteric site. Docking 3.1 million molecules
predicted new GPR68 modulators, many of which were confirmed in functional
assays. One potent GPR68 modulator, ogerin, suppressed recall in fear
conditioning in wild-type but not in GPR68-knockout mice. The same approach led
to the discovery of allosteric agonists and negative allosteric modulators for
GPR65. Combining physical and structure-based screening may be broadly useful for
ligand discovery for understudied and orphan GPCRs.
PMID- 26550829
TI - Molecular Pathology of Adult T-Cell Leukemia/Lymphoma.
AB - Adult T-cell leukemia/lymphoma (ATLL) is a peripheral T-cell neoplasm of highly
pleomorphic lymphoid cells. ATLL is usually widely disseminated, and it is caused
by human T-cell leukemia virus type 1 (HTLV-1). It is a disease with a long
latency, and affected individuals are usually exposed to the virus very early in
life. The cumulative incidence of ATLL is estimated to be 2.5% among HTLV-1
carriers. ATLL cells express CD2, CD3, CD5, CD4, and CD25, as well as CCR4 and
FoxP3 of the regulatory T-cell marker. HTLV-1 is causally linked to ATLL, but
infection alone is not sufficient to result in neoplastic transformation. A
significant finding in this connection is that the Tax viral protein leads to
transcriptional activation of many genes, while the HTLV-1 basic leucine zipper
factor is thought to be important for T-cell proliferation and oncogenesis. Half
of ATLL cases retain the ability to express HTLV-1 Tax, which is a target of HTLV
1-specific cytotoxic T lymphocytes (CTL). An increase in HTLV-1-specific CTL
responses is observed in some asymptomatic HTLV-1 carriers. Although HTLV-1
specific CTL are also present in the peripheral blood of ATLL patients, they do
not expand sufficiently. We investigated the clinicopathological features and
analyzed the staining of Tax-specific CTL and FoxP3. Tax-specific CTL correlated
inversely with FoxP3, an increase in the ratio of CD163+ tumor-associated
macrophages was associated with worse clinical prognosis, and ATLL cell lines
proliferated significantly following direct co-culture with M2 macrophages.
Several clinical variants of ATLL have been identified: acute, lymphomatous,
chronic, and smoldering. Oligo-array comparative genomic hybridization revealed
that genomic loss of 9p21.3 was a significant characteristic of acute-type, but
not of chronic-type ATLL. Furthermore, we found that genomic alteration of CD58,
which is implicated in immune escape, is more frequently observed in acute than
in chronic ATLL. Interestingly, the chronic cases with cell cycle deregulation
and disruption of immunosurveillance mechanism were associated with faster
progression to acute ATLL. Immune evasion, microenvironment, and genetic
alteration are therefore important in the multi-step progression of ATLL
lymphomagenesis.
PMID- 26550828
TI - Transcriptional regulators form diverse groups with context-dependent regulatory
functions.
AB - One of the most important questions in biology is how transcription factors (TFs)
and cofactors control enhancer function and thus gene expression. Enhancer
activation usually requires combinations of several TFs, indicating that TFs
function synergistically and combinatorially. However, while TF binding has been
extensively studied, little is known about how combinations of TFs and cofactors
control enhancer function once they are bound. It is typically unclear which TFs
participate in combinatorial enhancer activation, whether different TFs form
functionally distinct groups, or if certain TFs might substitute for each other
in defined enhancer contexts. Here we assess the potential regulatory
contributions of TFs and cofactors to combinatorial enhancer control with
enhancer complementation assays. We recruited GAL4-DNA-binding-domain fusions of
812 Drosophila TFs and cofactors to 24 enhancer contexts and measured enhancer
activities by 82,752 luciferase assays in S2 cells. Most factors were functional
in at least one context, yet their contributions differed between contexts and
varied from repression to activation (up to 289-fold) for individual factors.
Based on functional similarities across contexts, we define 15 groups of TFs that
differ in developmental functions and protein sequence features. Similar TFs can
substitute for each other, enabling enhancer re-engineering by exchanging TF
motifs, and TF-cofactor pairs cooperate during enhancer control and interact
physically. Overall, we show that activators and repressors can have diverse
regulatory functions that typically depend on the enhancer context. The
systematic functional characterization of TFs and cofactors should further our
understanding of combinatorial enhancer control and gene regulation.
PMID- 26550827
TI - Dpp spreading is required for medial but not for lateral wing disc growth.
AB - Drosophila Decapentaplegic (Dpp) has served as a paradigm to study morphogen
dependent growth control. However, the role of a Dpp gradient in tissue growth
remains highly controversial. Two fundamentally different models have been
proposed: the 'temporal rule' model suggests that all cells of the wing imaginal
disc divide upon a 50% increase in Dpp signalling, whereas the 'growth
equalization model' suggests that Dpp is only essential for proliferation control
of the central cells. Here, to discriminate between these two models, we
generated and used morphotrap, a membrane-tethered anti-green fluorescent protein
(GFP) nanobody, which enables immobilization of enhanced (e)GFP::Dpp on the cell
surface, thereby abolishing Dpp gradient formation. We find that in the absence
of Dpp spreading, wing disc patterning is lost; however, lateral cells still
divide at normal rates. These data are consistent with the growth equalization
model, but do not fit a global temporal rule model in the wing imaginal disc.
PMID- 26550830
TI - Genetic Disorders with Tau Pathology: A Review of the Literature and Report of
Two Patients with Tauopathy and Positive Family Histories.
AB - BACKGROUND: Tauopathies are a group of neurodegenerative disorders characterized
by the pathological accumulation of hyperphosphorylated and insoluble tau protein
within neurons and glia. Although most cases are sporadic, hereditary tauopathies
have also been reported. SUMMARY: In this article, we review genetic disorders in
which tau pathology has been reported and present two novel families with primary
tauopathies. Mutations in the microtubule-associated protein tau gene (MAPT)
cause a small subset of primary tauopathies. Mutations in 21 other genes and an
18q deletion syndrome have also been reported to be associated with tau pathology
reminiscent of Alzheimer's disease, corticobasal degeneration, progressive
supranuclear palsy, argyrophilic grain disease or Pick's disease. In 8 of the 21
genes, tau pathology was only seen in cases with some 'specific' mutations. In
the remaining genes, tau pathology, often in the form of Alzheimer-type
neurofibrillary lesions, was a common finding but was 'not mutation specific'.
The probands of the two families were diagnosed with progressive supranuclear
palsy based on clinicopathological evaluation. Their family histories were
relevant for parkinsonism in 3 siblings of family 1 and 1 brother and the father
from family 2, but these were not autopsy-confirmed. DNA from the brains of the
probands from these families was screened for MAPT and leucine-rich repeat kinase
2 gene mutations, but no mutations were identified. KEY MESSAGES: MAPT mutations
are a cause of familial tauopathies, but other genes have also been associated
with tau pathology. Novel genes still await discovery.
PMID- 26550831
TI - Anaphylaxis to Intravenous Tramadol in a Child.
AB - Hypersensitivity reactions to tramadol are rare and the drug is commonly
considered safe. Here, we report the first case of anaphylaxis to tramadol in a
child. We point out the difficulty in reaching a confident diagnosis when testing
opioid alkaloid drugs with histamine-releasing properties. Additionally, we
showed the importance of a well-performed allergy work-up, especially when
testing drugs with low experience and when standardized concentrations have not
been tested. Moreover, this case provides insight into the possibility of severe
reactions, and even anaphylaxis, to tramadol.
PMID- 26550832
TI - The Protective Effect of INH2BP, a Novel PARP Inhibitor 5-Iodo-6-Amino-1,2
Benzopyrone, Against Hydrogen Peroxide-Induced Apoptosis Through ERK and p38 MAPK
in H9c2 Cells.
AB - INH2BP (5-iodo-6-amino-1,2-benzopyrone), a poly-ADP ribose polymerase inhibitor,
has been shown to possess anti-cancer, anti-viral, and anti-inflammation
properties. The aim of this study was to investigate the protective effect of
INH2BP against oxidative stress-induced apoptosis in H9c2 cardiomyoblast cells.
While the treatment of H9c2 cardiomyoblasts cells with hydrogen peroxide (H2O2)
caused a loss of cell viability and an increase in the number of apoptotic cells,
INH2BP significantly protected the cells against H2O2-induced cell death without
any cytotoxicity. Our data also shows that INH2BP significantly scavenged
intracellular reactive oxygen species (ROS), and markedly enhanced the expression
of antioxidant enzymes such as Mn-SOD (superoxide) and Cu/Zn-SOD, and heme
oxygenase-1, which was accompanied by the concomitant activation of extracellular
regulated kinase 1/2 (ERK1/2) and p38 mitogen-activated protein kinase (MAPK)
phosphorylation in H9c2 cells. The effects of INH2BP on ERK1/2 and p38 MAPK
phosphorylation were abrogated by PD98059, an ERK1/2 inhibitor, and SB203580, a
p38 inhibitor. In addition, inhibition of ERK1/2 and p38 MAPK by these inhibitors
significantly attenuated INH2BP-mediated H9c2 viability as well as cleaved
caspases-3, Bax, and Bcl-2 activation. Taken together, these results demonstrate
that INH2BP prevents H2O2-induced apoptosis in H9c2 cells by reducing the
production of intracellular ROS, regulating apoptotic-related proteins, and the
activation of the ERK1/2 and p38 MAPK.
PMID- 26550834
TI - Chemotherapy Regimen in Nonagenarian Cancer Patients: A Bi-Institutional
Experience.
AB - BACKGROUND: The elderly population in Western countries is growing and
constitutes a public health issue. Concomitantly, age-related diseases such as
cancer increase. There are few data on the efficacy, tolerability and toxicity of
specific anticancer therapy in the very elderly patients; therefore, their
management is not standardized. METHODS: In this bi-institutional study, we
reviewed medical records of patients who received or continued specific
anticancer therapy beyond the age of 90 years. Geriatric assessment was not
reported for our patients. Twelve patients were enrolled. Their general health
condition was good, and half of them were living in elderly institutions. Ten
patients had a solid tumor and 2 were treated for hematological malignancies.
Most were diagnosed with a locally advanced or metastatic disease, and the goal
of treatment was curative for only 1 patient. Six patients received chemotherapy
as first-line treatment, 4 patients received targeted therapy and 2 received
concomitant chemoradiation. Four patients received a second-line treatment.
RESULTS: Despite a significant reduction in treatment posology in half of the
patients, 8 acute grade 3/4 toxicities were reported and 2 patients died of
treatment-related septic shock. Median duration of first-line treatment was 3.2
months, and progression-free survival ranged from 18 to 311 days. Overall
survival ranged from 18 days to 11 years. CONCLUSION: Aging is a heterogeneous
process, and management of elderly patients is a multidisciplinary approach.
Geriatric assessment helps to identify older patients with a higher risk of
morbidity/mortality and allows to assess the risks and benefits of specific
anticancer therapy. The choice of treatment should be based primarily on the
expected symptomatic benefit, and treatment should not compromise the quality of
life.
PMID- 26550833
TI - No Evidence that Infection Alters Global Recombination Rate in House Mice.
AB - Recombination rate is a complex trait, with genetic and environmental factors
shaping observed patterns of variation. Although recent studies have begun to
unravel the genetic basis of recombination rate differences between organisms,
less attention has focused on the environmental determinants of crossover rates.
Here, we test the effect of one ubiquitous environmental pressure-bacterial
infection-on global recombination frequency in mammals. We applied MLH1 mapping
to assay global crossover rates in male mice infected with the pathogenic
bacterium Borrelia burgdorferi, the causative agent of Lyme Disease, and
uninfected control animals. Despite ample statistical power to identify
biologically relevant differences between infected and uninfected animals, we
find no evidence for a global recombination rate response to bacterial infection.
Moreover, broad-scale patterns of crossover distribution, including the number of
achiasmate bivalents, are not affected by infection status. Although pathogen
exposure can plastically increase recombination in some species, our findings
suggest that recombination rates in house mice may be resilient to at least some
forms of infection stress. This negative result motivates future experiments with
alternative house mouse pathogens to evaluate the generality of this conclusion.
PMID- 26550835
TI - Algorithmic Optimisation Method for Improving Use Case Points Estimation.
AB - This paper presents a new size estimation method that can be used to estimate
size level for software engineering projects. The Algorithmic Optimisation Method
is based on Use Case Points and on Multiple Least Square Regression. The method
is derived into three phases. The first phase deals with calculation Use Case
Points and correction coefficients values. Correction coefficients are obtained
by using Multiple Least Square Regression. New project is estimated in the second
and third phase. In the second phase Use Case Points parameters for new
estimation are set up and in the third phase project estimation is performed.
Final estimation is obtained by using newly developed estimation equation, which
used two correction coefficients. The Algorithmic Optimisation Method performs
approximately 43% better than the Use Case Points method, based on their
magnitude of relative error score. All results were evaluated by standard
approach: visual inspection, goodness of fit measure and statistical
significance.
PMID- 26550836
TI - Amenorrhea after Endoscopic Third Ventriculostomy for a Failed Shunt in Spina
Bifida: Case Report and Review of the Literature.
AB - BACKGROUND: Secondary endoscopic third ventriculostomy (ETV) for the management
of shunt failure may be efficacious, though it may be followed by more frequent
complications (including endocrinological impairment, e.g., amenorrhea) compared
to primary ETV. These complications are usually underreported in the literature.
AIM: We report a case of secondary amenorrhea after ETV for the management of
shunt failure in a young woman with hydrocephalus associated with
myelomeningocele. METHODS: A 25-year-old woman affected by hydrocephalus and
myelomeningocele was admitted for secondary ETV for the management of shunt
failure. The endoscopic procedure was preferred over shunt revision based on good
results of secondary ETV, especially in patients with hydrocephalus associated
with Chiari II malformation and spina bifida. RESULTS: Despite the surgery being
uneventful, the patient had early (postoperative seizure) and late (secondary
amenorrhea) complications. In the early postoperative period, she received
external ventricular drainage followed by VP shunt reimplantation 2 weeks later.
There was no neurological morbidity, but 1 month after the ETV she reported
secondary amenorrhea and weight gain. Laboratory investigations ruled out
hyperprolactinemia, which had been treated with cabergoline administration with
no efficacy since the patient was still without regular periods 1 year later.
CONCLUSION: ETV may be followed by endocrinological complications like amenorrhea
that are rarely reported.
PMID- 26550837
TI - Heat Transfer in MHD Mixed Convection Flow of a Ferrofluid along a Vertical
Channel.
AB - This study investigated heat transfer in magnetohydrodynamic (MHD) mixed
convection flow of ferrofluid along a vertical channel. The channel with non
uniform wall temperatures was taken in a vertical direction with transverse
magnetic field. Water with nanoparticles of magnetite (Fe3O4) was selected as a
conventional base fluid. In addition, non-magnetic (Al2O3) aluminium oxide
nanoparticles were also used. Comparison between magnetic and magnetite
nanoparticles were also conducted. Fluid motion was originated due to buoyancy
force together with applied pressure gradient. The problem was modelled in terms
of partial differential equations with physical boundary conditions. Analytical
solutions were obtained for velocity and temperature. Graphical results were
plotted and discussed. It was found that temperature and velocity of ferrofluids
depend strongly on viscosity and thermal conductivity together with magnetic
field. The results of the present study when compared concurred with published
work.
PMID- 26550839
TI - Organocatalytic Asymmetric Michael/Cyclization Cascade Reactions of 3
Hydroxyoxindoles/3-Aminooxindoles with alpha,beta-Unsaturated Acyl Phosphonates
for the Construction of Spirocyclic Oxindole-gamma-lactones/lactams.
AB - Enantioselective Michael/cyclization cascade reactions of 3-hydroxyoxindoles/3
aminooxindoles with alpha,beta-unsaturated acyl phosphonates by using a
cinchonine derived squaramide as the catalyst were developed. A broad range of
spirocyclic oxindole-gamma-lactones/lactams could be obtained in moderate to
excellent yields (up to 98%) with good to excellent diastereo- and
enantioselectivities (up to >99:1 dr and 97% ee) under mild conditions. This work
represents the first example about the alpha,beta-unsaturated acyl phosphonates
for the asymmetric construction of spirocyclic oxindoles.
PMID- 26550838
TI - Species-Specific Expression of Full-Length and Alternatively Spliced Variant
Forms of CDK5RAP2.
AB - CDK5RAP2 is one of the primary microcephaly genes that are associated with
reduced brain size and mental retardation. We have previously shown that human
CDK5RAP2 exists as a full-length form (hCDK5RAP2) or an alternatively spliced
variant form (hCDK5RAP2-V1) that is lacking exon 32. The equivalent of hCDK5RAP2
V1 has been reported in rat and mouse but the presence of full-length equivalent
hCDK5RAP2 in rat and mouse has not been examined. Here, we demonstrate that rat
expresses both a full length and an alternatively spliced variant form of
CDK5RAP2 that are equivalent to our previously reported hCDK5RAP2 and hCDK5RAP2
V1, repectively. However, mouse expresses only one form of CDK5RAP2 that is
equivalent to the human and rat alternatively spliced variant forms. Knowledge of
this expression of different forms of CDK5RAP2 in human, rat and mouse is
essential in selecting the appropriate model for studies of CDK5RAP2 and primary
microcephaly but our findings further indicate the evolutionary divergence of
mouse from the human and rat species.
PMID- 26550840
TI - Three-Dimensional BC/PEDOT Composite Nanofibers with High Performance for
Electrode-Cell Interface.
AB - There is an increasing need to synthesize biocompatible nanofibers with excellent
mechanical and electrical performance for electrochemical and biomedical
applications. Here we report a facile approach to prepare electroactive and
flexible 3D nanostructured biomaterials with high performance based on bacterial
cellulose (BC) nanofibers. Our approach can coat BC nanofibers with poly(3,4
ethylenedioxythiophene) (PEDOT) by in situ interfacial polymerization in a
controllable manner. The PEDOT coating thickness is adjustable by the monomer
concentration or reaction time during polymerization, producing nanofibers with a
total diameter ranging from 30 to 200 nm. This fabrication process also provides
a convenient method to tune different parameters such as the average pore size
and electrical conductivity on the demands of actual applications. Our
experiments have demonstrated that the 3D BC/PEDOT nanofibers exhibit high
specific surface area, excellent mechanical properties, electroactive stability,
and low cell cytotoxicity. With electrical stimulation, calcium imaging of PC12
neural cells on BC/PEDOT nanofibers has revealed a significant increase in the
percentage of cells with higher action potentials, suggesting an enhanced
capacitance effect of charge injection. As an attractive solution to the
challenge of designing better electrode-cell interfaces, 3D BC/PEDOT nanofibers
promise many important applications such as biosensing devices, smart drug
delivery systems, and implantable electrodes for tissue engineering.
PMID- 26550841
TI - Ab Initio MD Simulations of the Bronsted Acidity of Glutathione in Aqueous
Solutions: Predicting pKa Shifts of the Cysteine Residue.
AB - The tripeptide glutathione (GSH) is one of the most abundant peptides and the
major repository for nonprotein sulfur in both animal and plant cells. It plays a
critical role in intracellular oxidative stress management by the reversible
formation of glutathione disulfide with the thiol-disulfide pair acting as a
redox buffer. The state of charge of the ionizable groups of GSH can influence
the redox couple, and hence the pKa value of the cysteine residue of GSH is
critical to its functioning. Here we report ab initio Car-Parrinello molecular
dynamics simulations of glutathione solvated by 200 water molecules, all of which
are considered in the simulation. We show that the free-energy landscape for the
protonation-deprotonation reaction of the cysteine residue of GSH computed using
metadynamics sampling provides accurate estimates of the pKa and correctly
predicts the shift in the dissociation constant values as compared with the
isolated cysteine amino acid.
PMID- 26550842
TI - Metal-based optical probes for live cell imaging of nitroxyl (HNO).
AB - Nitroxyl (HNO) is a biological signaling agent that displays distinctive
reactivity compared to nitric oxide (NO). As a consequence, these two reactive
nitrogen species trigger different physiological responses. Selective detection
of HNO over NO has been a challenge for chemists, and several fluorogenic
molecular probes have been recently developed with that goal in mind. Common
constructs take advantage of the HNO-induced reduction of Cu(II) to Cu(I). The
sensing mechanism of such probes relies on the ability of the unpaired electron
in a d orbital of the Cu(II) center to quench the fluorescence of a photoemissive
ligand by either an electron or energy transfer mechanism. Experimental and
theoretical mechanistic studies suggest that proton-coupled electron transfer
mediates this process, and careful tuning of the copper coordination environment
has led to sensors with optimized selectivity and kinetics. The current optical
probes cover the visible and near-infrared regions of the spectrum. This palette
of sensors comprises structurally and functionally diverse fluorophores such as
coumarin (blue/green emission), boron dipyrromethane (BODIPY, green emission),
benzoresorufin (red emission), and dihydroxanthenes (near-infrared emission).
Many of these sensors have been successfully applied to detect HNO production in
live cells. For example, copper-based optical probes have been used to detect HNO
production in live mammalian cells that have been treated with H2S and various
nitrosating agents. These studies have established a link between HSNO, the
smallest S-nitrosothiol, and HNO. In addition, a near-infrared HNO sensor has
been used to perform multicolor/multianalyte microscopy, revealing that
exogenously applied HNO elevates the concentration of intracellular mobile zinc.
This mobilization of zinc ions is presumably a consequence of nitrosation of
cysteine residues in zinc-chelating proteins such as metallothionein. Future
challenges for the optical imaging of HNO include devising probes that can detect
HNO reversibly, especially because ratiometric imaging can only report
equilibrium concentrations when the sensing event is reversible. Another
important aspect that needs to be addressed is the creation of probes that can
sense HNO in specific subcellular locations. These tools would be useful to
identify the organelles in which HNO is produced in mammalian cells and probe the
intracellular signaling networks in which this reactive nitrogen species is
involved. In addition, near-infrared emitting probes might be applied to detect
HNO in thicker specimens, such as acute tissue slices and even live animals,
enabling the investigation of the roles of HNO in physiological or pathological
conditions in multicellular systems.
PMID- 26550843
TI - Superior Oxygen Stability of N-Heterocyclic Carbene-Coated Au Nanocrystals:
Comparison with Dodecanethiol.
AB - The stability of Au nanocrystals (NCs) coated with different N-heterocyclic
carbenes (NHCs) or dodecanethiol (DDT) to oxygen-based treatments was
investigated. A dominant effect of the ligand type was observed with a
significantly greater oxygen resistance of NHC-coated Au NCs compared to that of
the thiol-based analogues. NHC-coated Au NCs are stable to 10 W oxygen plasma
etching for up to 180 s whereas the integrity of DDT-coated Au NCs is strongly
affected by the same treatment from 60-80 s. In the latter case, the average size
of the NCs (from 2.6 to 6.3 nm) and the method of synthesis have no effect on the
stability. NHC-coated Au NCs were found to generate of a smaller quantity of
ligand-derived species under molecular oxygen treatment, which could account for
the increased stability.
PMID- 26550845
TI - Periodicity, Electronic Structures, and Bonding of Gold Tetrahalides [AuX4]- (X =
F, Cl, Br, I, At, Uus).
AB - Systematic theoretical and experimental investigations have been performed to
understand the periodicity, electronic structures, and bonding of gold halides
using tetrahalide [AuX4](-) anions (X = F, Cl, Br, I, At, Uus). The [AuX4](-) (X
= Cl, Br, I) anions were experimentally produced in the gas phase, and their
negative-ion photoelectron spectra were obtained, exhibiting rich and well
resolved spectral peaks. As expected, Au-X bonds in such series contain generally
increasing covalency when halogen ligands become heavier. We calculated the
adiabatic electron detachment energies as well as vertical electron detachment
energies using density functional theory methods with scalar relativistic and
spin-orbit coupling effects. The computationally simulated photoelectron spectra
are in good agreement with the experimental ones. Our results show that the
trivalent Au(III) oxidation state becomes progressively less stable while Au(I)
tends to be preferred when the halides become heavier along the Periodic Table.
This series of molecules provides an example for manipulating the oxidation state
of metals in complexes through ligand design.
PMID- 26550844
TI - 4-((R)-2-{[6-((S)-3-Methoxypyrrolidin-1-yl)-2-phenylpyrimidine-4-carbonyl]amino}
3-phosphonopropionyl)piperazine-1-carboxylic Acid Butyl Ester (ACT-246475) and
Its Prodrug (ACT-281959), a Novel P2Y12 Receptor Antagonist with a Wider
Therapeutic Window in the Rat Than Clopidogrel.
AB - Recent post hoc analyses of several clinical trials with P2Y12 antagonists showed
the need for new molecules being fully efficacious as antiplatelet agents and
having a reduced propensity to cause major bleeding. We have previously reported
the discovery of the 2-phenylpyrimidine-4-carboxamide analogs as P2Y12
antagonists with nanomolar potency in the disease-relevant platelet aggregation
assay in human plasma. Herein we present the optimization steps that led to the
discovery of clinical candidate ACT-246475 (30d). The key step was the
replacement of the carboxylic acid functionality by a phosphonic acid group which
delivered the most potent molecules of the program. In addition, low in vivo
clearance in rat and dog was achieved for the first time. Since the
bioavailability of 30d was low in rat and dog, we developed the
bis((isopropoxycarbonyl)oxy)methyl ester prodrug (ACT-281959, 45). Compound 30d
showed efficacy in the rat ferric chloride thrombosis model when administered
intravenously as parent or orally as its prodrug 45. Moreover, 30d displays a
wider therapeutic window as compared to clopidogrel in the rat surgical blood
loss model.
PMID- 26550846
TI - Variation in Alkaloid Production from Genetically Diverse Lolium Accessions
Infected with Epichloe Species.
AB - Widespread infection of Epichloe occultans in annual ryegrass in Australia
suggests that infection provides its weedy host, Lolium rigidum, some ecological
advantage. Initial studies determined the distribution and profiles of known
Epichloe alkaloids (epoxy-janthitrems, ergovaline, lolines, lolitrem B, and
peramine) in plant extracts using a combination of GC-FID and HPLC techniques
utilizing a single accession of Australian L. rigidum. However, the lolines N
acetylnorloline (NANL) and N-formylloline (NFL) were the only alkaloids detected
and were highly concentrated in the immature inflorescences of mature plants.
Additional glasshouse studies subjected a wide range of Australian L. rigidum
haplotypes and international annual Lolium accessions to a suite of analyses to
determine alkaloid levels and profiles. Again, NFL and NANL were the key lolines
produced, with NFL consistently predominating. Considerable variation in alkaloid
production was found both within and between biotypes and accessions evaluated
under identical conditions, at the same maturation stage and on the same tissue
type. The pyrrolopyrazine alkaloid peramine was also present in 8 out of 17
Australian biotypes of L. rigidum and 7 out of 33 international accessions
infected with Epichloe spp.; the highest peramine concentrations were observed in
seed extracts from L. rigidum collected from Australia. This study represents the
first report of alkaloids from a geographically diverse collection of annual
ryegrass germplasm infected with Epichloe spp. when grown under identical
controlled conditions.
PMID- 26550848
TI - E-Selective Semi-Hydrogenation of Alkynes by Heterobimetallic Catalysis.
AB - A unique cooperative H2 activation reaction by heterobimetallic (NHC)M'-MCp(CO)2
complexes (NHC = N-heterocyclic carbene, M' = Cu or Ag, M = Fe or Ru) has been
leveraged to develop a catalytic alkyne semi-hydrogenation transformation. The
optimal Ag-Ru catalyst gives high selectivity for converting alkynes to E
alkenes, a rare selectivity mode for reduction reactions with H2. The
transformation is tolerant of many reducible functional groups. Computational
analysis of H2 activation thermodynamics guided rational catalyst development.
Bimetallic alkyne hydrogenation and alkene isomerization mechanisms are proposed.
PMID- 26550849
TI - Perylene Bisimide as a Promising Zinc Oxide Surface Modifier: Enhanced
Interfacial Combination for Highly Efficient Inverted Polymer Solar Cells.
AB - We report the application of a perylene bisimide (PBI-H) as zinc oxide (ZnO)
surface modifier to afford an organic-inorganic co-interlayer for highly
efficient inverted organic photovoltaics (i-OPV). By thermal annealing, a N-Zn
chemical bond formed between PBI-H and ZnO, inducing close organic-inorganic
combination. In addition, this co-interlayer shows decreased work function and
increased electron transportation and conductivity, which are benefits for the
cathode to enhance charge extraction efficiency and decrease recombination
losses. As a result a highly efficient i-OPV was achieved with a power conversion
efficiency (PCE) of 9.43% based on this co-interlayer with PTB7:PC71BM as the
active layer, which shows over 35% enhancement compared to that of the device
without the PBI-H layer. Moreover, this co-interlayer was widely applicable for i
OPVs based on various material systems, such as P3HT:PC61BM and PTB7-Th:PC71BM,
resulting in PCE as high as 4.78% and 10.31%, respectively.
PMID- 26550847
TI - p-SCN-Bn-HOPO: A Superior Bifunctional Chelator for (89)Zr ImmunoPET.
AB - Zirconium-89 has an ideal half-life for use in antibody-based PET imaging;
however, when used with the chelator DFO, there is an accumulation of
radioactivity in the bone, suggesting that the (89)Zr(4+) cation is being
released in vivo. Therefore, a more robust chelator for (89)Zr could reduce the
in vivo release and the dose to nontarget tissues. Evaluation of the ligand 3,4,3
(LI-1,2-HOPO) demonstrated efficient binding of (89)Zr(4+) and high stability;
therefore, we developed a bifunctional derivative, p-SCN-Bn-HOPO, for conjugation
to an antibody. A Zr-HOPO crystal structure was obtained showing that the Zr is
fully coordinated by the octadentate HOPO ligand, as expected, forming a stable
complex. p-SCN-Bn-HOPO was synthesized through a novel pathway. Both p-SCN-Bn
HOPO and p-SCN-Bn-DFO were conjugated to trastuzumab and radiolabeled with
(89)Zr. Both complexes labeled efficiently and achieved specific activities of
approximately 2 mCi/mg. PET imaging studies in nude mice with BT474 tumors (n =
4) showed good tumor uptake for both compounds, but with a marked decrease in
bone uptake for the (89)Zr-HOPO-trastuzumab images. Biodistribution data
confirmed the lower bone activity, measuring 17.0%ID/g in the bone at 336 h for
(89)Zr-DFO-trastuzumab while (89)Zr-HOPO-trastuzumab only had 2.4%ID/g. We
successfully synthesized p-SCN-Bn-HOPO, a bifunctional derivative of 3,4,3-(LI
1,2-HOPO) as a potential chelator for (89)Zr. In vivo studies demonstrate the
successful use of (89)Zr-HOPO-trastuzumab to image BT474 breast cancer with low
background, good tumor to organ contrast, and, importantly, very low bone uptake.
The reduced bone uptake seen with (89)Zr-HOPO-trastuzumab suggests superior
stability of the (89)Zr-HOPO complex.
PMID- 26550850
TI - Impact of Capacitive Effect and Ion Migration on the Hysteretic Behavior of
Perovskite Solar Cells.
AB - In the past five years, perovskite solar cells (PSCs) based on organometal halide
perovskite have exhibited extraordinary photovoltaic (PV) performance. However,
the PV measurements of PSCs have been widely recognized to depend on voltage
scanning condition (hysteretic current density-voltage [J-V] behavior), as well
as on voltage treatment history. In this study, we find that varied PSC responses
are attributable to two causes. First, capacitive effect associated with
electrode polarization provides a slow transient non-steady-state photocurrent
that modifies the J-V response. Second, modification of interfacial barriers
induced by ion migration can modulate charge-collection efficiency so that it
causes a pseudo-steady-state photocurrent, which changes according to previous
voltage conditioning. Both phenomena are strongly influenced by ions accumulating
at outer interfaces, but their electrical and PV effects are different. The time
scale for decay of capacitive current is on the order of seconds, whereas the
slow redistribution of mobile ions requires several minutes.
PMID- 26550851
TI - Preparation of N-Substituted N-Arylsulfonylglycines and Their Use in Peptoid
Synthesis.
AB - To increase the chemical diversity accessible with peptoids and peptide-peptoid
hybrids, N-alkylated arylsulfonamides were used to prepare side chain protected N
substituted glycines compatible with solid-phase synthesis. The described
procedures give access to peptoid monomers bearing a wide variety of functional
groups from commercially available amines in four straightforward steps. The
prepared N-substituted N-arylsulfonylglycines were used as monomers in solid
phase synthesis to introduce relevant functionalized side chains into peptoid
oligomers and peptide-peptoid hybrids.
PMID- 26550852
TI - Quasiparticle Properties of a Mobile Impurity in a Bose-Einstein Condensate.
AB - We develop a systematic perturbation theory for the quasiparticle properties of a
single impurity immersed in a Bose-Einstein condensate. Analytical results are
derived for the impurity energy, effective mass, and residue to third order in
the impurity-boson scattering length. The energy is shown to depend
logarithmically on the scattering length to third order, whereas the residue and
the effective mass are given by analytical power series. When the boson-boson
scattering length equals the boson-impurity scattering length, the energy has the
same structure as that of a weakly interacting Bose gas, including terms of the
Lee-Huang-Yang and fourth order logarithmic form. Our results, which cannot be
obtained within the canonical Frohlich model of an impurity interacting with
phonons, provide valuable benchmarks for many-body theories and for experiments.
PMID- 26550853
TI - From Floquet to Dicke: Quantum Spin Hall Insulator Interacting with Quantum
Light.
AB - Time-periodic perturbations due to classical electromagnetic fields are useful to
engineer the topological properties of matter using the Floquet theory. Here we
investigate the effect of quantized electromagnetic fields by focusing on the
quantized light-matter interaction on the edge state of a quantum spin Hall
insulator. A Dicke-type superradiant phase transition occurs at arbitrary weak
coupling, the electronic spectrum acquires a finite gap, and the resulting ground
state manifold is topological with a Chern number of +/-1. When the total number
of excitations is conserved, a photocurrent is generated along the edge, being
pseudoquantized as omegaln(1/omega) in the low-frequency limit and decaying as
1/omega for high frequencies with omega the photon frequency. The photon spectral
function exhibits a clean Goldstone mode, a Higgs-like collective mode at the
optical gap and the polariton continuum.
PMID- 26550854
TI - Controlled Rephasing of Single Collective Spin Excitations in a Cold Atomic
Quantum Memory.
AB - We demonstrate active control of inhomogeneous dephasing and rephasing for single
collective atomic spin excitations (spin waves) created by spontaneous Raman
scattering in a quantum memory based on cold 87Rb atoms. The control is provided
by a reversible external magnetic field gradient inducing an inhomogeneous
broadening of the atomic hyperfine levels. We demonstrate experimentally that
active rephasing preserves the single photon nature of the retrieved photons.
Finally, we show that the control of the inhomogeneous dephasing enables the
creation of time-separated spin waves in a single ensemble followed by a
selective read-out in time. This is an important step towards the implementation
of a functional temporally multiplexed quantum repeater node.
PMID- 26550855
TI - Phase-Reference-Free Experiment of Measurement-Device-Independent Quantum Key
Distribution.
AB - Measurement-device-independent quantum key distribution (MDI QKD) is a
substantial step toward practical information-theoretic security for key sharing
between remote legitimate users (Alice and Bob). As with other standard device
dependent quantum key distribution protocols, such as BB84, MDI QKD assumes that
the reference frames have been shared between Alice and Bob. In practice, a
nontrivial alignment procedure is often necessary, which requires system
resources and may significantly reduce the secure key generation rate. Here, we
propose a phase-coding reference-frame-independent MDI QKD scheme that requires
no phase alignment between the interferometers of two distant legitimate parties.
As a demonstration, a proof-of-principle experiment using Faraday-Michelson
interferometers is presented. The experimental system worked at 1 MHz, and an
average secure key rate of 8.309 bps was obtained at a fiber length of 20 km
between Alice and Bob. The system can maintain a positive key generation rate
without phase compensation under normal conditions. The results exhibit the
feasibility of our system for use in mature MDI QKD devices and its value for
network scenarios.
PMID- 26550856
TI - Experimental Generation of Robust Entanglement from Classical Correlations via
Local Dissipation.
AB - We experimentally show how classical correlations can be turned into quantum
entanglement, via the presence of dissipation and the action of a CNOT gate. We
first implement a simple two-qubit protocol in which entanglement production is
not possible in the absence of such kind of noise, while it arises with its
introduction, and is proportional to its amount. We then perform a more elaborate
four-qubit experiment, by employing two hyperentangled photons initially carrying
only classical correlations. We demonstrate a scheme where the entanglement is
generated via local dissipation, with the advantage of being robust against local
unitaries performed by an adversary.
PMID- 26550857
TI - Proposal for High-Fidelity Quantum Simulation Using a Hybrid Dressed State.
AB - A fundamental goal of quantum technologies concerns the exploitation of quantum
coherent dynamics for the realization of novel quantum applications such as
quantum computing, quantum simulation, and quantum metrology. A key challenge on
the way towards these goals remains the protection of quantum coherent dynamics
from environmental noise. Here, we propose a concept of a hybrid dressed state
from a pair of continuously driven systems. It allows sufficiently strong driving
fields to suppress the effect of environmental noise while at the same time being
insusceptible to both the amplitude and phase noise in the continuous driving
fields. This combination of robust features significantly enhances coherence
times under realistic conditions and at the same time provides new flexibility in
Hamiltonian engineering that otherwise is not achievable. We demonstrate
theoretically applications of our scheme for a noise-resistant analog quantum
simulation in the well-studied physical systems of nitrogen-vacancy centers in
diamond and of trapped ions. The scheme may also be exploited for quantum
computation and quantum metrology.
PMID- 26550858
TI - Quantum Hilbert Hotel.
AB - In 1924 David Hilbert conceived a paradoxical tale involving a hotel with an
infinite number of rooms to illustrate some aspects of the mathematical notion of
"infinity." In continuous-variable quantum mechanics we routinely make use of
infinite state spaces: here we show that such a theoretical apparatus can
accommodate an analog of Hilbert's hotel paradox. We devise a protocol that,
mimicking what happens to the guests of the hotel, maps the amplitudes of an
infinite eigenbasis to twice their original quantum number in a coherent and
deterministic manner, producing infinitely many unoccupied levels in the process.
We demonstrate the feasibility of the protocol by experimentally realizing it on
the orbital angular momentum of a paraxial field. This new non-Gaussian operation
may be exploited, for example, for enhancing the sensitivity of NOON states, for
increasing the capacity of a channel, or for multiplexing multiple channels into
a single one.
PMID- 26550859
TI - Compactness of Neutron Stars.
AB - Recent progress in the determination of both masses and radii of neutron stars is
starting to place stringent constraints on the dense matter equation of state. In
particular, new theoretical developments together with improved statistical tools
seem to favor stellar radii that are significantly smaller than those predicted
by models using purely nucleonic equations of state. Given that the underlying
equation of state must also account for the observation of 2M? neutron stars,
theoretical approaches to the study of the dense matter equation of state are
facing serious challenges. In response to this challenge, we compute the
underlying equation of state associated with an assumed mass-radius template
similar to the "common radius" assumption used in recent studies. Once such a
mass-radius template is adopted, the equation of state follows directly from the
implementation of Lindblom's algorithm; assumptions on the nature or composition
of the dense stellar core are not required. By analyzing mass-radius profiles
with a maximum mass consistent with observation and common radii in the 8-11 km
range, a lower limit on the stellar radius of a 1.4M? neutron star of RNS?10.7
km is required to prevent the equation of state from violating causality.
PMID- 26550860
TI - Checking the Dark Matter Origin of a 3.53 keV Line with the Milky Way Center.
AB - We detect a line at 3.539+/-0.011 keV in the deep exposure data set of the
Galactic center region, observed with the x-ray multi-mirror mission Newton. The
dark matter interpretation of the signal observed in the Perseus galaxy cluster,
the Andromeda galaxy [A. Boyarsky et al., Phys. Rev. Lett. 113, 251301 (2014)],
and in the stacked spectra of galaxy clusters [E. Bulbul et al., Astrophys. J.
789, 13 (2014)], together with nonobservation of the line in blank-sky data, put
both lower and upper limits on the possible intensity of the line in the Galactic
center data. Our result is consistent with these constraints for a class of Milky
Way mass models, presented previously by observers, and would correspond to the
radiative decay dark matter lifetime, tauDM~6-8*10(27) sec. Although it is hard
to exclude an astrophysical origin of this line based on the Galactic center data
alone, this is an important consistency check of the hypothesis that encourages
us to check it with more observational data that are expected by the end of 2015.
PMID- 26550861
TI - Theoretically Palatable Flavor Combinations of Astrophysical Neutrinos.
AB - The flavor composition of high-energy astrophysical neutrinos can reveal the
physics governing their production, propagation, and interaction. The IceCube
Collaboration has published the first experimental determination of the ratio of
the flux in each flavor to the total. We present, as a theoretical counterpart,
new results for the allowed ranges of flavor ratios at Earth for arbitrary flavor
ratios in the sources. Our results will allow IceCube to more quickly identify
when their data imply standard physics, a general class of new physics with
arbitrary (incoherent) combinations of mass eigenstates, or new physics that goes
beyond that, e.g., with terms that dominate the Hamiltonian at high energy.
PMID- 26550862
TI - Effect of New Physics in Astrophysical Neutrino Flavor.
AB - Astrophysical neutrinos are powerful tools for investigating the fundamental
properties of particle physics through their flavor content. In this Letter, we
perform the first general new physics study on ultrahigh energy neutrino flavor
content by introducing effective operators. We find that, at the current limits
on these operators, new physics terms cause maximal effects on the flavor
content; however, the flavor content on the Earth is confined to a region related
to the assumed initial flavor content. Furthermore, we conclude that a precise
measure of the flavor content on the Earth will provide orders of magnitude
improvement on new physics bounds. Finally, we discuss the current best fits of
flavor content of the IceCube data and their interplay with new physics
scenarios.
PMID- 26550863
TI - Exact Path Integral for 3D Quantum Gravity.
AB - Three-dimensional Euclidean pure gravity with a negative cosmological constant
can be formulated in terms of the Chern-Simons theory, classically. This theory
can be written in a supersymmetric way by introducing auxiliary gauginos and
scalars. We calculate the exact partition function of this Chern-Simons theory by
using the localization technique. Thus, we obtain the quantum gravity partition
function, assuming that it can be obtained nonperturbatively by summing over
partition functions of the Chern-Simons theory on topologically different
manifolds. The resultant partition function is modular invariant, and, in the
case in which the central charge is expected to be 24, it is the J function,
predicted by Witten.
PMID- 26550865
TI - Goldstone Gauginos.
AB - Models of supersymmetry with Dirac gauginos provide an attractive scenario for
physics beyond the standard model. The "supersoft" radiative corrections and
suppressed supersymmetry production at colliders provide for more natural
theories and an understanding of why no new states have been seen. Unfortunately,
these models are handicapped by a tachyon which is naturally present in existing
models of Dirac gauginos. We argue that this tachyon is absent, with the
phenomenological successes of the model preserved, if the right-handed gaugino is
a (pseudo-)Goldstone field of a spontaneously broken anomalous flavor symmetry.
PMID- 26550867
TI - Disentangling Mass and Mixing Hierarchies.
AB - We present a fully perturbative mechanism that naturally generates mass
hierarchies for the standard model (SM) fermions in a flavor-blind sector. The
dynamics generating the mass hierarchies can therefore be independent from the
source of flavor violation, and hence this dynamics may operate at a much lower
scale. This mechanism works by dynamically enforcing simultaneous diagonalization
-alignment--among a set of flavor-breaking spurions, as well as generating highly
singular spectra for them. It also has general applications in model building
beyond the SM, wherever alignment between exotic and SM sources of flavor
violation is desired.
PMID- 26550868
TI - Beyond-Standard-Model Tensor Interaction and Hadron Phenomenology.
AB - We evaluate the impact of recent developments in hadron phenomenology on
extracting possible fundamental tensor interactions beyond the standard model. We
show that a novel class of observables, including the chiral-odd generalized
parton distributions, and the transversity parton distribution function can
contribute to the constraints on this quantity. Experimental extractions of the
tensor hadronic matrix elements, if sufficiently precise, will provide a, so far,
absent testing ground for lattice QCD calculations.
PMID- 26550869
TI - Mass Measurement of 56Sc Reveals a Small A = 56 Odd-Even Mass Staggering,
Implying a Cooler Accreted Neutron Star Crust.
AB - We present the mass excesses of (52-57)Sc, obtained from recent time-of-flight
nuclear mass measurements at the National Superconducting Cyclotron Laboratory at
Michigan State University. The masses of 56Sc and 57Sc were determined for the
first time with atomic mass excesses of -24.85(59)((-54)(+0)) MeV and -21.0(1.3)
MeV, respectively, where the asymmetric uncertainty for 56Sc was included due to
possible contamination from a long-lived isomer. The 56Sc mass indicates a small
odd-even mass staggering in the A = 56 mass chain towards the neutron drip line,
significantly deviating from trends predicted by the global FRDM mass model and
favoring trends predicted by the UNEDF0 and UNEDF1 density functional
calculations. Together with new shell-model calculations of the electron-capture
strength function of 56Sc, our results strongly reduce uncertainties in model
calculations of the heating and cooling at the 56Ti electron-capture layer in the
outer crust of accreting neutron stars. We find that, in contrast to previous
studies, neither strong neutrino cooling nor strong heating occurs in this layer.
We conclude that Urca cooling in the outer crusts of accreting neutron stars that
exhibit superbursts or high temperature steady-state burning, which are predicted
to be rich in A~56 nuclei, is considerably weaker than predicted. Urca cooling
must instead be dominated by electron capture on the small amounts of adjacent
odd-A nuclei contained in the superburst and high temperature steady-state
burning ashes. This may explain the absence of strong crust Urca cooling inferred
from the observed cooling light curve of the transiently accreting x-ray source
MAXI J0556-332.
PMID- 26550871
TI - Sagnac Interferometry with a Single Atomic Clock.
AB - The Sagnac effect enables interferometric measurements of rotation with high
precision. Using matter waves instead of light promises resolution enhancement by
orders of magnitude that scales with particle mass. So far, the paradigm for
matter wave Sagnac interferometry relies on de Broglie waves and thus on free
propagation of atoms either in free fall or within waveguides. However, the
Sagnac effect can be expressed as a proper time difference experienced by two
observers moving in opposite directions along closed paths and has indeed been
measured with atomic clocks flown around Earth. Inspired by this, we investigate
an interferometer comprised of a single atomic clock. The Sagnac effect manifests
as a phase shift between trapped atoms in different internal states after
transportation along closed paths in opposite directions, without any free
propagation. With analytic models, we quantify limitations of the scheme arising
from atomic dynamics and finite temperature. Furthermore, we suggest an
implementation with previously demonstrated technology.
PMID- 26550872
TI - Spin-Mixing Interferometry with Bose-Einstein Condensates.
AB - Unstable spinor Bose-Einstein condensates are ideal candidates to create
nonlinear three-mode interferometers. Our analysis goes beyond the standard
SU(1,1) parametric approach and therefore provides the regime of parameters where
sub-shot-noise sensitivities can be reached with respect to the input total
average number of particles. Decoherence due to particle losses and finite
detection efficiency are also considered.
PMID- 26550873
TI - Probe of Rydberg-Atom Transitions via an Amplitude-Modulated Optical Standing
Wave with a Ponderomotive Interaction.
AB - In ponderomotive spectroscopy an amplitude-modulated optical standing wave is
employed to probe Rydberg-atom transitions, utilizing a ponderomotive rather than
a dipole-field interaction. Here, we engage nonlinearities in the modulation to
drive dipole-forbidden transitions up to the fifth order. We reach transition
frequencies approaching the sub-THz regime. We also demonstrate magic-wavelength
conditions, which result in symmetric spectral lines with a Fourier-limited peak
at the line center. Applicability to precision measurement is discussed.
PMID- 26550874
TI - Self-Ordered Limit Cycles, Chaos, and Phase Slippage with a Superfluid inside an
Optical Resonator.
AB - We study dynamical phases of a driven Bose-Einstein condensate coupled to the
light field of a high-Q optical cavity. For high field seeking atoms at red
detuning the system is known to show a transition from a spatially homogeneous
steady state to a self-ordered regular lattice exhibiting superradiant scattering
into the cavity. For blue atom pump detuning the particles are repelled from the
maxima of the light-induced optical potential suppressing scattering. We show
that this generates a new dynamical instability of the self-ordered phase,
leading to the appearance of self-ordered stable limit cycles characterized by
large amplitude self-sustained oscillations of both the condensate density and
cavity field. The limit cycles evolve into chaotic behavior by period doubling.
Large amplitude oscillations of the condensate are accompanied by phase slippage
through soliton nucleation at a rate that increases in the chaotic regime.
Different from a superfluid in a closed setup, this driven dissipative superfluid
is not destroyed by the proliferation of solitons since kinetic energy is removed
through cavity losses.
PMID- 26550870
TI - Observation of Gravitationally Induced Vertical Striation of Polarized Ultracold
Neutrons by Spin-Echo Spectroscopy.
AB - We describe a spin-echo method for ultracold neutrons (UCNs) confined in a
precession chamber and exposed to a |B0|=1 MUT magnetic field. We have
demonstrated that the analysis of UCN spin-echo resonance signals in combination
with knowledge of the ambient magnetic field provides an excellent method by
which to reconstruct the energy spectrum of a confined ensemble of neutrons. The
method takes advantage of the relative dephasing of spins arising from a
gravitationally induced striation of stored UCNs of different energies, and also
permits an improved determination of the vertical magnetic-field gradient with an
exceptional accuracy of 1.1 pT/cm. This novel combination of a well-known
nuclear resonance method and gravitationally induced vertical striation is unique
in the realm of nuclear and particle physics and should prove to be invaluable
for the assessment of systematic effects in precision experiments such as
searches for an electric dipole moment of the neutron or the measurement of the
neutron lifetime.
PMID- 26550875
TI - Above-Threshold Ionization of Quasiperiodic Structures by Low-Frequency Laser
Fields.
AB - We investigate the theoretical problem of the photoelectron cutoff change in
periodical structures induced by an infrared laser field. We use a one
dimensional Kronig-Penney potential including a finite number of wells, and the
analysis is fulfilled by resolving the time-dependent Schrodinger equation. The
electron spectra, calculated for an increasing number of wells, clearly show that
a plateau quickly appears as the periodic nature of the potential builds up, even
at a moderate intensity (10 TW/cm(2)). Varying the intensity from 10 to 30
TW/cm(2) we observe a net increase of both the yield and accessible energy range
of the ionization spectrum. In order to gain insight into the dynamics of the
system at these intensities, we use an analytical approach, based on exact
solutions of the full Hamiltonian in a periodic potential. We show that the
population transfers efficiently from lower to upper bands when the Bloch and
laser frequencies become comparable. The model leads to a quantitative prediction
of the intensity range where ionization enters the nonperturbative regime.
Moreover, it reveals the physics underlying the increase of the photoelectron
energy cutoff at moderate intensities, as observed experimentally.
PMID- 26550876
TI - Deterministic Generation of Arbitrary Photonic States Assisted by Dissipation.
AB - A scheme to utilize atomlike emitters coupled to nanophotonic waveguides is
proposed for the generation of many-body entangled states and for the reversible
mapping of these states of matter to photonic states of an optical pulse in the
waveguide. Our protocol makes use of decoherence-free subspaces (DFSs) for the
atomic emitters with coherent evolution within the DFSs enforced by strong
dissipative coupling to the waveguide. By switching from subradiant to
superradiant states, entangled atomic states are mapped to photonic states with
high fidelity. An implementation using ultracold atoms coupled to a photonic
crystal waveguide is discussed.
PMID- 26550877
TI - Generation of Terahertz Surface Plasmon Polaritons Using Nondiffractive Bessel
Beams with Orbital Angular Momentum.
AB - Bessel vortex beams with topological charges of l=+/-1 and l=+/-2 were produced
in the terahertz spectral range from a free electron laser Gaussian beam
(lambda=141 MUm) transformed using silicon binary diffractive optical elements.
The spatial characteristics of the beams were obtained using a microbolometer
array. A radius to path length ratio of 1:100 was achieved for nondiffractive
beams with the average power of 30 W. Surface plasmon polaritons (SPPs) on gold
zinc-sulphide-air interfaces were generated due to diffraction of vortex beams on
a sample edge. A new effect, a dependence of the efficiency of SPP generation on
the direction of the azimuthal component of incident-radiation Poynting vector,
was revealed.
PMID- 26550878
TI - Synchronization and Phase Noise Reduction in Micromechanical Oscillator Arrays
Coupled through Light.
AB - Synchronization of many coupled oscillators is widely found in nature and has the
potential to revolutionize timing technologies. Here, we demonstrate
synchronization in arrays of silicon nitride micromechanical oscillators coupled
in an all-to-all configuration purely through an optical radiation field. We show
that the phase noise of the synchronized oscillators can be improved by almost 10
dB below the phase noise limit for each individual oscillator. These results open
a practical route towards synchronized oscillator networks.
PMID- 26550879
TI - Wettability Stabilizes Fluid Invasion into Porous Media via Nonlocal, Cooperative
Pore Filling.
AB - We study the impact of the wetting properties on the immiscible displacement of a
viscous fluid in disordered porous media. We present a novel pore-scale model
that captures wettability and dynamic effects, including the spatiotemporal
nonlocality associated with interface readjustments. Our simulations show that
increasing the wettability of the invading fluid (the contact angle) promotes
cooperative pore filling that stabilizes the invasion and that this effect is
suppressed as the flow rate increases, due to viscous instabilities. We use
scaling analysis to derive two dimensionless numbers that predict the mode of
displacement. By elucidating the underlying mechanisms, we explain classical yet
intriguing experimental observations. These insights could be used to improve
technologies such as hydraulic fracturing, CO2 geosequestration, and
microfluidics.
PMID- 26550880
TI - Blockage of Water Flow in Carbon Nanotubes by Ions Due to Interactions between
Cations and Aromatic Rings.
AB - Combining classical molecular dynamics simulations and density functional theory
calculations, we find that cations block water flow through narrow (6,6)-type
carbon nanotubes (CNTs) because of interactions between cations and aromatic
rings in CNTs. In wide CNTs, these interactions trap the cations in the interior
of the CNT, inducing unexpected open or closed state switching of ion transfer
under a strong electric field, which is consistent with experiments. These
findings will help to develop new methods to facilitate water and ion transport
across CNTs.
PMID- 26550881
TI - Lattice-Assisted Spectroscopy: A Generalized Scanning Tunneling Microscope for
Ultracold Atoms.
AB - We propose a scheme to measure the frequency-resolved local particle and hole
spectra of any optical lattice-confined system of correlated ultracold atoms that
offers single-site addressing and imaging, which is now an experimental reality.
Combining perturbation theory and time-dependent density matrix renormalization
group simulations, we quantitatively test and validate this approach of lattice
assisted spectroscopy on several one-dimensional example systems, such as the
superfluid and Mott insulator, with and without a parabolic trap, and finally on
edge states of the bosonic Su-Schrieffer-Heeger model. We highlight extensions of
our basic scheme to obtain an even wider variety of interesting and important
frequency resolved spectra.
PMID- 26550864
TI - Search for Violation of CPT and Lorentz Invariance in Bs(0) Meson Oscillations.
AB - We present the first search for CPT-violating effects in the mixing of Bs(0)
mesons using the full Run II data set with an integrated luminosity of 10.4 fb(
1) of proton-antiproton collisions collected using the D0 detector at the
Fermilab Tevatron Collider. We measure the CPT-violating asymmetry in the decay
Bs(0)->MU(+/-)Ds(+/-) as a function of celestial direction and sidereal phase. We
find no evidence for CPT-violating effects and place limits on the direction and
magnitude of flavor-dependent CPT- and Lorentz-invariance violating coupling
coefficients. We find 95% confidence intervals of Deltaa?<1.2*10(-12) GeV and (
0.8D(-)
charge transition we can increase the tunnel rates to a nearby single electron
transistor charge sensor by nearly 2 orders of magnitude, allowing faster qubit
read-out (1 ms) with minimum loss in read-out fidelity (98.4%) compared to read
out at the D(+)<->D(0) transition (99.6%). Furthermore, we show that read-out via
the D(-) charge state can be used to rapidly initialize the electron spin qubit
in its ground state with a fidelity of F(I)=99.8%.
PMID- 26550897
TI - Emergent SU(3) Symmetry in Random Spin-1 Chains.
AB - We show that generic SU(2)-invariant random spin-1 chains have phases with an
emergent SU(3) symmetry. We map out the full zero-temperature phase diagram and
identify two different phases: (i) a conventional random-singlet phase (RSP) of
strongly bound spin pairs [SU(3) "mesons"] and (ii) an unconventional RSP of
bound SU(3) "baryons," which are formed, in the great majority, by spin trios
located at random positions. The emergent SU(3) symmetry dictates that
susceptibilities and correlation functions of both dipolar and quadrupolar spin
operators have the same asymptotic behavior.
PMID- 26550898
TI - Resonating-Valence-Bond Physics Is Not Always Governed by the Shortest Tunneling
Loops.
AB - It is well known that the low-energy sector of quantum spin liquids and other
magnetically disordered systems is governed by short-ranged resonating-valence
bonds. Here we show that the standard minimal truncation to the nearest-neighbor
valence-bond basis fails completely even for systems where it should work the
most, according to received wisdom. This paradigm shift is demonstrated for the
quantum spin-1/2 square kagome, where strong geometric frustration, similar to
the kagome, prevents magnetic ordering down to zero temperature. The shortest
tunneling events bear the strongest longer-range singlet fluctuations, leading to
amplitudes that do not drop exponentially with the length of the loop L, and to
an unexpected loop-six valence-bond crystal, which is otherwise very high in
energy at the minimal truncation level. The low-energy effective description
gives in addition a clear example of correlated loop processes that depend not
only on the type of the loop but also on its lattice embedding, a direct
manifestation of the long-range nature of the virtual singlets.
PMID- 26550899
TI - Rare-Earth Triangular Lattice Spin Liquid: A Single-Crystal Study of YbMgGaO4.
AB - YbMgGaO4, a structurally perfect two-dimensional triangular lattice with an odd
number of electrons per unit cell and spin-orbit entangled effective spin-1/2
local moments for the Yb(3+) ions, is likely to experimentally realize the
quantum spin liquid ground state. We report the first experimental
characterization of single-crystal YbMgGaO4 samples. Because of the spin-orbit
entanglement, the interaction between the neighboring Yb(3+) moments depends on
the bond orientations and is highly anisotropic in the spin space. We carry out
thermodynamic and the electron spin resonance measurements to confirm the
anisotropic nature of the spin interaction as well as to quantitatively determine
the couplings. Our result is a first step towards the theoretical understanding
of the possible quantum spin liquid ground state in this system and sheds new
light on the search for quantum spin liquids in strong spin-orbit coupled
insulators.
PMID- 26550900
TI - First-Principles Study of the Magnetic Structure of Na2IrO3.
AB - The iridate Na2IrO3 was proposed to be a realization of the Kitaev model with a
quantum spin liquid ground state. Experiments have now established that this
material hosts a zigzag antiferromagnetic order. However, the previous assignment
of the ordered moment direction to the a axis is controversial. We examine the
magnetic moment direction of Na2IrO3 using the local spin density approximation
plus spin orbit coupling+U calculations. Our calculations reveal that the total
energy is minimized when the zigzag-ordered moments are aligned along g~a+c
direction. The dependence of the total energy on moment directions can be
explained by adding anisotropic interactions to the nearest-neighbor Kitaev
Heisenberg model, on which the spin-wave spectrum is also calculated. The
revision of ordered moments is very important to understanding and achieving
possible exotic electronic phases in this compound.
PMID- 26550901
TI - Adjacent Fe-Vacancy Interactions as the Origin of Room Temperature Ferromagnetism
in (In(1-x)Fe(x))2O3.
AB - Dilute magnetic semiconductors (DMSs) show great promise for applications in spin
based electronics, but in most cases continue to elude explanations of their
magnetic behavior. Here, we combine quantitative x-ray spectroscopy and Anderson
impurity model calculations to study ferromagnetic Fe-substituted In2O3 films,
and we identify a subset of Fe atoms adjacent to oxygen vacancies in the crystal
lattice which are responsible for the observed room temperature ferromagnetism.
Using resonant inelastic x-ray scattering, we map out the near gap electronic
structure and provide further support for this conclusion. Serving as a concrete
verification of recent theoretical results and indirect experimental evidence,
these results solidify the role of impurity-vacancy coupling in oxide-based DMSs.
PMID- 26550902
TI - General Differential Contact Identities for Macromolecules.
AB - We discuss general Maxwell identities relating a macromolecule's charge, the
forces acting at its surface, and the osmotic pressure of the solution in which
it sits. The identities are closely related to the contact value relations that
hold for certain special geometries, but are more general. In particular, the
Maxwell identities can be applied to any macromolecule geometry, and they hold
both within and outside of mean-field theory. Examples illustrate that combining
the identities with approximate treatments of screening can often return simple,
accurate osmotic pressure estimates.
PMID- 26550903
TI - Criticality in the Approach to Failure in Amorphous Solids.
AB - Failure of amorphous solids is fundamental to various phenomena, including
landslides and earthquakes. Recent experiments indicate that highly plastic
regions form elongated structures that are especially apparent near the maximal
shear stress Sigmamax where failure occurs. This observation suggested that
Sigmamax acts as a critical point where the length scale of those structures
diverges, possibly causing macroscopic transient shear bands. Here, we argue
instead that the entire solid phase (SigmaK(*0)MU(+)MU(-) Decays.
AB - A search is presented for hidden-sector bosons, chi, produced in the decay B(0)
>K*(892)(0)chi, with K*(892)(0)->K(+)pi(-) and chi->MU(+)MU(-). The search is
performed using pp-collision data corresponding to 3.0 fb(-1) collected with the
LHCb detector. No significant signal is observed in the accessible mass range
214<=m(chi)<=4350 MeV, and upper limits are placed on the branching fraction
product B(B(0)->K*(892)(0)chi)*B(chi->MU(+)MU(-)) as a function of the mass and
lifetime of the chi boson. These limits are of the order of 10(-9) for chi
lifetimes less than 100 ps over most of the m(chi) range, and place the most
stringent constraints to date on many theories that predict the existence of
additional low-mass bosons.
PMID- 26550904
TI - Propulsion and Instability of a Flexible Helical Rod Rotating in a Viscous Fluid.
AB - We combine experiments with simulations to investigate the fluid-structure
interaction of a flexible helical rod rotating in a viscous fluid, under low
Reynolds number conditions. Our analysis takes into account the coupling between
the geometrically nonlinear behavior of the elastic rod with a nonlocal
hydrodynamic model for the fluid loading. We quantify the resulting propulsive
force, as well as the buckling instability of the originally helical filament
that occurs above a critical rotation velocity. A scaling analysis is performed
to rationalize the onset of this instability. A universal phase diagram is
constructed to map out the region of successful propulsion and the corresponding
boundary of stability is established. Comparing our results with data for
flagellated bacteria suggests that this instability may be exploited in nature
for physiological purposes.
PMID- 26550905
TI - Three-Dimensional Conformation of Folded Polymers in Single Crystals.
AB - The chain-folding mechanism and structure of semicrystalline polymers have long
been controversial. Solid-state NMR was applied to determine the chain trajectory
of (13)C CH3-labeled isotactic poly(1-butene) (iPB1) in form III chiral single
crystals blended with nonlabeled iPB1 crystallized in dilute solutions under low
supercooling. An advanced (13)C-(13)C double-quantum NMR technique probing the
spatial proximity pattern of labeled (13)C nuclei revealed that the chains adopt
a three-dimensional (3D) conformation in single crystals. The determined results
indicate a two-step crystallization process of (i) cluster formation via self
folding in the precrystallization stage and (ii) deposition of the nanoclusters
as a building block at the growth front in single crystals.
PMID- 26550906
TI - Comment on "General Non-Markovian Dynamics of Open Quantum Systems".
PMID- 26550907
TI - Zhang et al. Reply.
PMID- 26550908
TI - Erratum: New Steady-State Quiescent High-Confinement Plasma in an Experimental
Advanced Superconducting Tokamak [Phys. Rev. Lett. 114, 055001 (2015)].
PMID- 26550909
TI - Publisher's Note: Decay and Fission Hindrance of Two- and Four-Quasiparticle K
Isomers in (254)Rf [Phys. Rev. Lett. 115, 132502 (2015)].
PMID- 26550910
TI - Photodynamic therapy for basal cell carcinoma.
AB - Topical photodynamic therapy is an effective and safe noninvasive treatment for
low-risk basal cell carcinoma, with the advantage of an excellent cosmetic
outcome. Efficacy of photodynamic therapy in basal cell carcinoma is supported by
substantial research and clinical trials. In this article, we review the
procedure, indications and clinical evidences for the use of photodynamic therapy
in the treatment of basal cell carcinoma.
PMID- 26550911
TI - Characterization of emissions from a desktop 3D printer and indoor air
measurements in office settings.
AB - Emissions from a desktop 3D printer based on fused deposition modeling (FDM)
technology were measured in a test chamber and indoor air was monitored in office
settings. Ultrafine aerosol (UFA) emissions were higher while printing a standard
object with polylactic acid (PLA) than with acrylonitrile butadiene styrene (ABS)
polymer (2.1 * 10(9) vs. 2.4 * 10(8) particles/min). Prolonged use of the printer
led to higher emission rates (factor 2 with PLA and 4 with ABS, measured after
seven months of occasional use). UFA consisted mainly of volatile droplets, and
some small (100-300 nm diameter) iron containing and soot-like particles were
found. Emissions of inhalable and respirable dust were below the limit of
detection (LOD) when measured gravimetrically, and only slightly higher than
background when measured with an aerosol spectrometer. Emissions of volatile
organic compounds (VOC) were in the range of 10 ug/min. Styrene accounted for
more than 50% of total VOC emitted when printing with ABS; for PLA, methyl
methacrylate (MMA, 37% of TVOC) was detected as the predominant compound. Two
polycyclic aromatic hydrocarbons (PAH), fluoranthene and pyrene, were observed in
very low amounts. All other analyzed PAH, as well as inorganic gases and metal
emissions except iron (Fe) and zinc (Zn), were below the LOD or did not differ
from background without printing. A single 3D print (165 min) in a large, well
ventilated office did not significantly increase the UFA and VOC concentrations,
whereas these were readily detectable in a small, unventilated room, with UFA
concentrations increasing by 2,000 particles/cm(3) and MMA reaching a peak of 21
ug/m(3) and still being detectable in the room even 20 hr after printing.
PMID- 26550912
TI - Altered force perception in stroke survivors with spastic hemiplegia.
AB - OBJECTIVE: To investigate the effect of spasticity and involuntary synergistic
activation on force perception during voluntary activation of spastic paretic
muscles. METHODS: Eleven stroke subjects with spastic hemiparesis performed
various isometric elbow-flexion force-matching tasks. Subjects were instructed to
generate a target reference force with visual feedback using one arm (impaired or
non-impaired) and then to produce a force with the other arm to match the
magnitude of the reference force without visual feedback. The reference arm was
at rest in unilateral exertion trials and maintained contraction in bilateral
exertion trials during the matching force-production period. RESULTS: Both force
and effort mismatches occurred in most conditions, and there were asymmetries in
force perception. When the non-impaired arm was the matching arm, effort and
force overestimation occurred, but effort was matched better than force. When the
impaired arm was the matching arm, force underestimation and effort
overestimation occurred, but force was matched better than effort. No difference
in matching performance was found between unilateral and bilateral exertion
matching tasks. CONCLUSION: Overall, both force and effort misperceptions occur
in stroke survivors with spasticity. Spasticity and spastic synergistic
activation probably contribute to force and effort misperception during voluntary
activation in chronic stroke.
PMID- 26550913
TI - [Psychological adjustment following lower limb amputation].
AB - Rehabilitation of lower limb amputees and the fitting of their prosthesis depend
highly on the psychological adjustment process and motivational state of the
patient. The loss of a limb is extremely challenging and can cause various
physical and psychological problems. Depression, anxiety, decreased well-being
and quality of life, body image dissatisfaction and changes in self-concept and
identity are frequent after lower limb amputation. In the interest of adjustment
patients have to cope with the emerging changes and difficulties in their lifes
as well as the problems in psychological functioning. It is important for them to
accept the alterations in their body and identity, and integrate them in a new
self-concept in which process motivation is a fundamental issue. The aim of this
article is to review the literature on psychological consequences of lower limb
amputation, and to propose an integrative way of rehabilitation for lower limb
amputees.
PMID- 26550914
TI - [New perspectives of mourning].
AB - Grief is a natural part of life and it is always individual. Researchers have
tried and still try to develop different theories to interpret, explain, and
approach this particular phenomenon. The aim of the authors was to review the
theoretical literature of mourning and to present new bereavement theories for
domestic professionals. From the first half of the 20th century until presently
mourning theories have undergone significant changes. Today the determinant
models includes the flexible, coping-oriented dual process model, meaning
reconstruction model which focuses on the meaning making, and the model which
focuses on the development after the loss. The authors conclude that experts, who
work in the clinical area should know the prevailing theories of grief, because
they encounter often with loss at work. The presented models may contribute to
more efficient work, to better understanding of the mourning process and to a
better support of families.
PMID- 26550915
TI - [Prognostic factors in light chain amyloidosis].
AB - INTRODUCTION: Light chain amyloidosis is characterized by extracellular
deposition of a fibrillar material derived from immunglobulin light chain
fragments. AIM: The aim of the authors was to assess survival depending on
cardiac involvement, therapy, and presence of myeloma. METHOD: The authors
studied a retrospective cohort of 29 patients with light chain amyloidosis (13
kappa, 16 lambda) treated in their institution between 2005 and 2014. RESULTS:
Twenty-one patients had primary amyloidosis, while 8 had coexisting multiple
myeloma. One, two and three or more organs were involved in 4, 8, and 17
patients, respectively. Cardiac involvement (22 cases) inversely correlated with
survival. Fifteen (52%) patients received chemotherapy only, while 14 (48%)
underwent autologous stem cell transplantation with a median survival of 87 and
11.4 months, respectively. Two patients had heart transplantation and survived 70
and 30 months. Median overall survival was 75.8 months. CONCLUSIONS: Cardiac
transplantation followed by autologous stem cell transplantation is feasible in
selected patients with light chain amyloidosis and heart failure.
PMID- 26550916
TI - [Minimally invasive surgical treatment of ulcerative colitis--long-term results].
AB - INTRODUCTION: For the surgical treatment of ulcerative colitis, laparoscopy is
used more widely, but less data are available on long-term results in Hungary.
AIM: The aim of the authors was to compare the mean 47.8-month follow-up results
of patients treated with conventional and minimally invasive surgical methods.
METHOD: Between January 1, 2005 and December 31, 2014, 56 patients were treated
with surgery (20 emergencies, and 36 elective cases). Laparoscopy was used in 33
and conventional method in 23 cases. RESULTS: There was no difference between the
two groups in hospital and intensive care unit stay, bowel function recovery,
need for transfusion, and complications during the perioperative period.
Regarding long-term complications, the occurrence of intestinal obstruction,
septic condition and other complications were significantly fewer in the
laparoscopy group. The quality of life improved in both groups after the surgery.
CONCLUSIONS: Laparoscopy can be used safely; it provides good quality of life and
better cosmetic results, and the long-term rate of complications is lower as
compared to open surgery.
PMID- 26550917
TI - [Stereotactic radiosurgery of abdominal cancer metastases. Report on the first
successful extracranial radiosurgical intervention in Hungary].
AB - Due to the development and increasing effectiveness of novel cancer therapies,
the role of local treatments in metastatic diseases have been increasing in the
last decades. The aim of the authors was to present the first successful
extracranial stereotactic radiosurgical intervention in Hungary. A 58-year-old
male patient with gastric adenocarcinoma underwent surgery and adjuvant
chemotherapy. Later, surgical removal of suprarenal gland metastases and first
line chemotherapy were carried out. Four years after the first surgery a follow
up computed tomographic scan revealed bifocal peritoneal metastases caudally from
the edge of the liver and the left kidney with diameters of 2 cm in size.
Definitive stereotactic body radiosurgery of 12 Gy single dose was performed
using cone beam computed tomography image guidance and intensity modulated arc
therapy with two pairs of arcs. The total duration of the procedure was only 25
min and early or late side effects were not observed. Follow up computed
tomography scans performed 3 and 7 months after the intervention showed complete
regression of the metastases. The authors conclude that stereotactic body
radiosurgery can be a safe and effective alternative of metastasis surgery in
case of slow growing oligo-metastases.
PMID- 26550918
TI - Analyzing the anti-ischemia-reperfusion injury effects of ginsenoside Rb1
mediated through the inhibition of p38alpha MAPK.
AB - Recent studies have demonstrated that ginsenoside Rb1 protects the myocardium
from ischemia-reperfusion (I/R) injury. However, the precise mechanisms for this
protection have not been determined. This study aimed to determine whether the
attenuation of I/R-induced myocardial injury by ginsenoside Rb1 (GS Rb1) is due
to inhibition of p38alpha mitogen-activated protein kinase (MAPK). Sprague-Dawley
rats were distributed among 6 treatment groups: sham group; I/R group; p38 MAPK
inhibitor SB203580 group (SB + I/R); GS Rb1 group (GS + I/R); p38 MAPK agonist
anisomycin group (Ani + I/R); and the GS Rb1 + Ani group (GS + Ani + I/R). All of
the anaesthetized rats, except those in the sham group, underwent an open-chest
procedure that involved 30 min of myocardial ischemia followed by 2 h of
reperfusion. Myocardial infarction size (MIS), caspase-3 activity, and levels of
the cytokine tumor necrosis factor alpha (TNF-alpha) in the myocardium were
monitored. The expressions of p38alpha MAPK, caspase-3, and TNF-alpha in the
myocardium were assayed. GS Rb1 reduced MIS and attenuated caspase-3 activity and
the levels of TNF-alpha in the myocardium. Protein expression of total p38alpha
MAPK was not significantly altered. In the Ani + I/R and I/R groups, the levels
of phospho-p38alpha MAPK were significantly increased compared with the sham
group, and these increased levels were reduced with GS Rb1. Hemodynamic
parameters were not significantly different between the GS + I/R and SB + I/R
groups. GS Rb1 exerts an anti-apoptotic effect that protects against I/R injury
by inhibiting p38alpha MAPK phosphorylation, suggesting that GS Rb1-mediated
protection requires the inhibition of p38alpha MAPK.
PMID- 26550919
TI - Are urge incontinence and aging risk factors of erectile dysfunction in patients
with male lower urinary tract symptoms?
AB - INTRODUCTION: Several studies have indicated that erectile dysfunction (ED)
patients also suffer from lower urinary tract symptoms (LUTS). We investigated a
group of men with LUTS and assessed their sexual function with the aim of being
able to predict ED risk factors and introduce ED treatments earlier for this
patient group. METHODS: International Prostate Symptom Score (IPSS), Overactive
Bladder Symptoms Score (OABSS) and Sexual Health Inventory for Men (SHIM) score
were obtained from 236 men with LUTS at their first out-patients visit. Clinical
parameters such as body mass index, prostate volume, residual urine volume and
prostate specific antigen were also evaluated. The relationship between the SHIM
score and other clinical data was analyzed. RESULTS: According to the SHIM score,
ED in men with LUTS was severe 15%, moderate 19%, moderate to mild 28%, mild 17%,
normal 7% and data was incomplete in 14%. Based on the results of a multivariate
analysis, aging (p < 0.001) and OAB severity (p = 0.024) were significantly
correlated to severe and moderate ED. Furthermore, among OAB symptoms score
items, urge urinary incontinence was a risk factor for severe and moderate ED (p
= 0.005). CONCLUSION: Aging and OAB (notably urinary urge incontinence) are risk
factors for severe and moderate ED in men with LUTS.
PMID- 26550920
TI - Time to Reassess Blood-Pressure Goals.
PMID- 26550922
TI - Erratum.
AB - Alison M Dines, David M Wood, Christopher Yates, Fridtjof Heyerdahl, Knut Erik
Hovda, Isabelle Giraudon, Roumen Sedefov, and Paul I Dargan, Euro-Den Research
Group. (2015) Acute recreational drug and new psychoactive substance toxicity in
Europe: 12 months data collection from the European Drug Emergencies Network
(Euro-DEN) Clinical Toxicology, 53 (09), pp. 893
900.http://10.3109/15563650.2015.1088157 When the above article was first
published online the Euro-DEN Research Group contributors were not listed
correctly. This has now been corrected in the online version. Taylor & Francis
apologises for this error.
PMID- 26550921
TI - Cryopreserved Human Precision-Cut Lung Slices as a Bioassay for Live Tissue
Banking. A Viability Study of Bronchodilation with Bitter-Taste Receptor
Agonists.
AB - Human precision-cut lung slices (hPCLSs) provide a unique ex vivo model for
translational research. However, the limited and unpredictable availability of
human lung tissue greatly impedes their use. Here, we demonstrate that
cryopreservation of hPCLSs facilitates banking of live human lung tissue for
routine use. Our results show that cryopreservation had little effect on overall
cell viability and vital functions of immune cells, including phagocytes and T
lymphocytes. In addition, airway contraction and relaxation in response to
specific agonists and antagonists, respectively, were unchanged after
cryopreservation. At the subcellular level, cryopreserved hPCLSs maintained
Ca(2+)-dependent regulatory mechanisms for the control of airway smooth muscle
cell contractility. To exemplify the use of cryopreserved hPCLSs in smooth muscle
research, we provide evidence that bitter-taste receptor (TAS2R) agonists relax
airways by blocking Ca(2+) oscillations in airway smooth muscle cells. In
conclusion, the banking of cryopreserved hPCLSs provides a robust bioassay for
translational research of lung physiology and disease.
PMID- 26550923
TI - Observational Study on Less Invasive Surfactant Administration (LISA) in Preterm
Infants<29 Weeks--Short and Long-term Outcomes.
AB - BACKGROUND: A recent trial has demonstrated short-term benefits of a new minimal
invasive procedure of surfactant administration in spontaneously breathing
preterm infants >= 26 weeks (less invasive surfactant administration, LISA). AIM:
To assess safety as well as short- and long-term outcomes of the LISA procedure
in preterm infants between 23-28 weeks of gestation. STUDY DESIGN: Preterm
infants born between 23+0 and 28+6 weeks gestational age during 2 periods, 18
months before (Period 1, n=44) and 18 months after introduction of LISA (Period
2, n=53), were analyzed for neonatal outcomes. 52% of discharged infants were
assessed for neurodevelopmental outcome at corrected age of 3 years. RESULTS: In
Period 2, 66% of the preterm infants needing surfactant were treated by the new
method of LISA. In this period, fewer patient had to be ventilated during the
first 3 days of life (42 vs. 77%, p<0.0005) and overall (55 vs. 77%, p=0.02). The
median duration of mechanical ventilation was 2 vs. 3 days (p=0.056). Survival
without BPD was 68% in period 1 and 74% in period 2 (p=0.29). In period 2, fewer
infants received antibiotics after the third day of life (43 vs. 66%, p=0.04),
systemic glucocorticoids were less frequently used (7.5 vs. 23%, p=0.04), and
more infants received doxapram (34 vs. 2.3%, p<0.0001). Mental Developmental
Index (89 vs. 98, p=0.16) and Physical Developmental Index (83 vs. 91, p=0.03) at
3 years improved between the 2 periods. CONCLUSION: Implementation of the LISA
method on a neonatal ward was safe and feasible and was associated with less need
for mechanical ventilation in infants >24 weeks. As our study was retrospective
the observed trends for better pulmonary and neurocognitive outcomes should be
interpreted with caution until results from randomized trials on the LISA
procedure are available.
PMID- 26550925
TI - Implant Placement in Failed Endodontic Sites: A Review.
AB - Dental implants may fail to osseointegrate in sites of endodontic failure. This
may occur as a result colonization by various anaerobic and facultative bacterial
species. If an implant is placed in a site where vegetative bacteria are
residing, the implant may fail to integrate if a bacterial colonization proceeds
coronally. If the implant apical cortical bone is thin or if there is an apical
fenestration, the colonization may proceed through the thin or nonexistent bone
through the covering mucosa, relieving inflammatory pressure to create an apical
(retrograde) peri-implantitis. Enterococcus faecalis may be the prime culprit in
these types of implant failures. After thorough debridement, the implant may be
immediately placed after extraction of an endodontically failed tooth, and the
patient treated with an appropriate antibiotic. Alternatively waiting for
postextraction healing and subsequent implant placement can be done.
Nevertheless, either way may allow for the formation of bacterial vegetative
forms or biofilms. The implant surface may be colonized when the surface is
exposed to the bacteria. Thorough debridement is crucial. Nonetheless, organisms
may persist. Randomized controlled trials are needed to elucidate this issue.
PMID- 26550924
TI - Disorder-specific and shared neurophysiological impairments of attention and
inhibition in women with attention-deficit/hyperactivity disorder and women with
bipolar disorder.
AB - BACKGROUND: In adults, attention-deficit/hyperactivity disorder (ADHD) and
bipolar disorder (BD) have certain overlapping symptoms, which can lead to
uncertainty regarding the boundaries of the two disorders. Despite evidence of
cognitive impairments in both disorders separately, such as in attentional and
inhibitory processes, data on direct comparisons across ADHD and BD on cognitive
neurophysiological measures are as yet limited. METHOD: We directly compared
cognitive performance and event-related potential measures from a cued continuous
performance test in 20 women with ADHD, 20 women with BD (currently euthymic) and
20 control women. RESULTS: The NoGo-N2 was attenuated in women with BD,
reflecting reduced conflict monitoring, compared with women with ADHD and
controls (both p < 0.05). Both ADHD and BD groups showed a reduced NoGo-P3,
reflecting inhibitory control, compared with controls (both p < 0.05). In
addition, the contingent negative variation was significantly reduced in the ADHD
group (p = 0.05), with a trend in the BD group (p = 0.07), compared with
controls. CONCLUSIONS: These findings indicate potential disorder-specific
(conflict monitoring) and overlapping (inhibitory control, and potentially
response preparation) neurophysiological impairments in women with ADHD and women
with BD. The identified neurophysiological parameters further our understanding
of neurophysiological impairments in women with ADHD and BD, and are candidate
biomarkers that may aid in the identification of the diagnostic boundaries of the
two disorders.
PMID- 26550926
TI - Clinical utility of serum samples for human parechovirus type 3 infection in
neonates and young infants: The 2014 epidemic in Japan.
AB - During the 2014 human parechovirus type 3 (HPeV3) epidemic in Niigata, Japan,
this prospective observational study identified HPeV3 from 43/85 (51%) febrile
young infants <4 months using PCR analysis of serum (n = 42) and/or cerebrospinal
fluid (CSF) (n = 32) and genetic sequencing of the VP1 region of HPeV3. HPeV3
infected patients (median age, 32 days; range, 4-113 days) were diagnosed as
having sepsis (79%), sepsis-like syndrome (19%), or encephalitis with septic
shock (2%). Other than fever, mottled skin (67%) was significantly more frequent
in HPeV3-infected patients than other virus-infected patients (P = 0.005). The
rate of HPeV3 RNA detection in CSF without pleocytosis was high (88%; 28/32).
Among the 32 patients whose serum and CSF samples were available, all patients
were positive for serum PCR; however, 4 (12%) patients were negative for CSF PCR.
Serum HPeV3 RNA level on admission was associated with younger age (P = 0.002),
bad temper (P = 0.041), and grunting (P = 0.008). Among 6 patients with
sequential data on serum HPeV3 RNA level, levels decreased rapidly without
specific therapy. In conclusion, serum samples at disease onset are the most
useful compared to CSF in detection of HPeV RNA and serum HPeV3 RNA level on
admission was associated with important clinical manifestations in HPeV3-infected
patients.
PMID- 26550927
TI - Carboxymethyl lysine induces EMT in podocytes through transcription factor ZEB2:
Implications for podocyte depletion and proteinuria in diabetes mellitus.
AB - Advanced glycation end-products (AGEs) are implicated in the pathogenesis of
diabetic nephropathy (DN). N-carboxymethyl-lysine (CML) is one of the predominant
AGEs that accumulate in all renal compartments of diabetic patients.
Nevertheless, the direct effect of CML on podocyte biology has not been explored.
In this study, we demonstrate the induction of the transcription factor Zeb2 in
podocytes upon exposure to CML through activation of NF-kB signaling cascade.
Zeb2 orchestrates epithelial-mesenchymal transformation (EMT), during which cell
cell and cell-extracellular matrix interactions are feeble and enable epithelial
cells to become invasive. CML treatment induced both NF-kB and Zeb2 promoter
activity and suppressed E-cadherin promoter activity. Inhibition of NF-kB
activity prevented CML dependent induction of Zeb2 and loss of E-cadherin. While
the exposure of podocytes to CML results in increased podocyte permeability,
shRNA-mediated knockdown of Zeb2 expression abrogated CML-mediated podocyte
permeability. Further, in vivo findings of elevated CML levels concurrent with
increased expression of ZEB2 in glomeruli and proteinuria in diabetic rats
confirm that CML-mediated manifestations in the kidney under chronic diabetes
conditions. These in vitro and in vivo results envisage the novel axis of NFkB
ZEB2 in podocytes playing a significant role in eliciting EMT and pathogenesis of
DN.
PMID- 26550928
TI - The tuberous sclerosis complex model Eker (TSC2+/-) rat exhibits hyperglycemia
and hyperketonemia due to decreased glycolysis in the liver.
AB - Tuberous sclerosis complex (TSC) presents as benign tumors that affect the brain,
kidneys, lungs and skin. The inactivation of TSC2 gene, through loss of
heterozygosity is responsible for tumor development in TSC. Since TSC patients
are carriers of heterozygous a TSC2; mutation, to reveal the risk factors which
these patients carry prior to tumor development is important. In this experiment,
Eker rat which carry a mutation in this TSC2 gene were analyzed for their
metabolic changes. Wild-type (TSC2+/+) and heterozygous mutant TSC2 (TSC2+/-)
Eker rats were raised for 100 days. As a result, the Eker rats were found to
exhibit hyperglycemia and hyperketonemia. However the high ketone body production
in the liver was observed without accompanying increased levels of plasma free
fatty acids or insulin. Further, production of the ketone body beta
hydroxybutyrate was inhibited due to the low NADH/NAD(+) ratio resulting from the
restraint on glycolysis, which was followed by inhibition of the malate-aspartate
shuttle and TCA cycle. Therefore, we conclude that glycolysis is restrained in
the livers of TSC2 heterozygous mutant rats, and these defects lead to abnormal
production of acetoacetate.
PMID- 26550930
TI - Intensity distribution analysis of cathodoluminescence using the energy loss
distribution of electrons.
AB - We present an intensity distribution analysis of cathodoluminescence (CL) excited
with a focused electron beam in a luminescent thin film. The energy loss
distribution is applied to the developed analysis method in order to determine
the arrangement of the dipole locations along the path of the electron traveling
in the film. Propagating light emitted from each dipole is analyzed with the
finite-difference time-domain (FDTD) method. CL distribution near the film
surface is evaluated as a nanometric light source. It is found that a light
source with 30 nm widths is generated in the film by the focused electron beam.
We also discuss the accuracy of the developed analysis method by comparison with
experimental results. The analysis results are brought into good agreement with
the experimental results by introducing the energy loss distribution.
PMID- 26550929
TI - Untargeted metabolite profiling reveals that nitric oxide bioynthesis is an
endogenous modulator of carotenoid biosynthesis in Deinococcus radiodurans and is
required for extreme ionizing radiation resistance.
AB - Deinococcus radiodurans (Drad) is the most radioresistant organism known.
Although mechanisms that underlie the extreme radioresistance of Drad are
incompletely defined, resistance to UV irradiation-induced killing was found to
be greatly attenuated in an NO synthase (NOS) knockout strain of Drad (Deltanos).
We now show that endogenous NO production is also critical for protection of Drad
against gamma-irradiation (3000 Gy), a result of accelerated growth recovery, not
protection against killing. NO-donor treatment rescued radiosensitization in
Deltanos Drad but did not influence radiosensitivity in wild type Drad. To
discover molecular mechanisms by which endogenous NO confers radioresistance,
metabolite profiling studies were performed. Untargeted LC-MS-based metabolite
profiling in Drad quantified relative abundances of 1425 molecules and levels of
294 of these were altered by >5-fold (p < 0.01). Unexpectedly, these studies
identified a dramatic perturbation in carotenoid biosynthetic intermediates in
Deltanos Drad, including a reciprocal switch in the pathway end-products from
deoxydeinoxanthin to deinoxanthin. NO supplementation rescued these nos deletion
associated changes in carotenoid biosynthesis, and fully-restored radioresistance
to wildtype levels. Because carotenoids were shown to be important contributors
to radioprotection in Drad, our findings suggest that endogenously-produced NO
serves to maintain a spectrum of carotenoids critical for Drad's ability to
withstand radiation insult.
PMID- 26550932
TI - Appropriate Use Criteria for Coronary Revascularization and the Learning Health
System: A Good Start.
PMID- 26550931
TI - Single atom spectroscopy: Decreased scattering delocalization at high energy
losses, effects of atomic movement and X-ray fluorescence yield.
AB - Single atom localization and identification is crucial in understanding effects
which depend on the specific local environment of atoms. In advanced nanometer
scale materials, the characteristics of individual atoms may play an important
role. Here, we describe spectroscopic experiments (electron energy loss
spectroscopy, EELS, and Energy Dispersed X-ray spectroscopy, EDX) using a low
voltage transmission electron microscope designed towards single atom analysis.
For EELS, we discuss the advantages of using lower primary electron energy (30
keV and 60 keV) and higher energy losses (above 800 eV). The effect of atomic
movement is considered. Finally, we discuss the possibility of using atomically
resolved EELS and EDX data to measure the fluorescence yield for X-ray emission.
PMID- 26550933
TI - Comments on the opinions published by Bergman et al. (2015) on Critical Comments
on the WHO-UNEP State of the Science of Endocrine Disrupting Chemicals (Lamb et
al., 2014).
AB - Recently Bergman et al. (2015) took issue with our comments (Lamb et al., 2014)
on the WHO-UNEP(1) report entitled the "State of the Science of Endocrine
Disrupting Chemicals - 2012" (WHO 2013a). We find several key differences between
their view and ours regarding the selection of studies and presentation of data
related to endocrine disrupting chemicals (EDCs) under the WHO-IPCS(2) definition
(2002). In this response we address the factors that we think are most important:
1. the difference between hazard and risk; 2. the different approaches for hazard
identification (weight of the evidence [WOE] vs. emphasizing positive findings
over null results); and 3. the lack of a justification for conceptual or
practical differences between EDCs and other groups of agents.
PMID- 26550934
TI - Characterizing the Hospice and Palliative Care Workforce in the U.S.: Clinician
Demographics and Professional Responsibilities.
AB - CONTEXT: Palliative care services are growing at an unprecedented pace. Yet, the
characteristics of the clinician population who deliver these services are not
known. Information on the roles, motivations, and future plans of the clinician
workforce would allow for planning to sustain and grow the field. OBJECTIVES: To
better understand the characteristics of clinicians within the field of hospice
and palliative care. METHODS: From June through December 2013, we conducted an
electronic survey of American Academy of Hospice and Palliative Medicine members.
We queried information on demographics, professional roles and responsibilities,
motivations for entering the field, and future plans. We compared palliative care
and hospice populations alongside clinician roles using chi-square analyses.
Multivariable logistic regression was used to identify predictors of leaving the
field early. RESULTS: A total of 1365 persons, representing a 30% response rate,
participated. Our survey findings revealed a current palliative care clinician
workforce that is older, predominantly female, and generally with less than 10
years clinical experience in the field. Most clinicians have both clinical
hospice and palliative care responsibilities. Many cite personal or professional
growth or influential experiences during training or practice as motivations to
enter the field. CONCLUSION: Palliative care clinicians are a heterogeneous
group. We identified motivations for entering the field that can be leveraged to
sustain and grow the workforce.
PMID- 26550935
TI - Advance Care Discussions: Pediatric Clinician Preparedness and Practices.
AB - CONTEXT: Few data exist regarding clinician preparedness to participate in
advance care discussions (ACD) and the practices surrounding these discussions
for children with life-threatening conditions. OBJECTIVES: We sought to
understand pediatric clinician preparedness to participate in ACD and the
practices surrounding these discussions. METHODS: A survey was administered to
assess clinician attitudes and behaviors regarding ACD. RESULTS: Two hundred
sixty-six clinicians (107 physicians and 159 nurses) responded to the survey
(response rate 53.6%). Seventy-five percent of clinicians felt prepared to
participate in ACD. Most clinicians believed they were prepared to express
empathy (98.8%), discuss goals of care for an adolescent patient (90.3%), and
elicit a parent's hopes (90.3%). Conversely, several felt unprepared to discuss
resuscitation status with school-aged (59.7%) and adolescent (48.5%) patients and
to conduct a family conference (39.5%). The most frequent topics addressed were:
parents' understanding of the patient's illness (75.5%), primary goals of the
parent (75.1%), and the parents' understanding of prognosis (71.1%). Conversely,
the topics least commonly discussed were as follows: belief system of the
patient/family (22.0%), patient's hopes (21.2%), and the patient's perceptions of
his/her quality of life (19.8%). Notably, 40% of clinicians believe that caring
for patients with poor prognoses is depressing, and this was more common among
less-experienced clinicians (P = 0.048). CONCLUSION: Many clinicians believe they
are prepared to participate in ACD, but practices are not consistent with expert
recommendations for optimal ACD. Educational interventions aimed at improving
clinician knowledge, attitudes, and behavior, and greater clinician support may
enhance health care provider ACD preparedness and skills.
PMID- 26550936
TI - Building Resiliency in a Palliative Care Team: A Pilot Study.
AB - CONTEXT: Palliative care clinicians (PCCs) are vulnerable to burnout as a result
of chronic stress related to working with seriously ill patients. Burnout can
lead to absenteeism, ineffective communication, medical errors, and job turnover.
Interventions that promote better coping with stress are needed in this
population. OBJECTIVES: This pilot study tested the feasibility of the Relaxation
Response Resiliency Program for Palliative Care Clinicians, a program targeted to
decrease stress and increase resiliency, in a multidisciplinary cohort of PCCs (N
= 16) at a major academic medical center. METHODS: A physician delivered the
intervention over two months in five sessions (12 hours total). Data were
collected the week before the program start and two months after completion. The
main outcome was feasibility of the program. Changes in perceived stress,
positive and negative affect, perspective taking, optimism, satisfaction with
life, and self-efficacy were examined using nonparametric statistical tests.
Effect size was quantified using Cohen's d. RESULTS: The intervention was
feasible; all participants attended at least four of the five sessions, and there
was no attrition. After the intervention, participants showed reductions in
perceived stress and improvements in perspective taking. CONCLUSION: Our findings
suggest that a novel team-based resiliency intervention based on elicitation of
the relaxation response was feasible and may help promote resiliency and protect
against the negative consequences of stress for PCCs.
PMID- 26550937
TI - Symptom Clusters From Dialysis to Renal Transplantation: A Five-Year Longitudinal
Study.
AB - CONTEXT: Patients on dialysis experience multiple concurrent and often related
symptoms defined as symptom clusters. Renal transplantation (RTX) is thought to
reduce symptom experience and improve health-related quality of life. No
longitudinal study has assessed symptoms and symptom clusters in patients in the
transition from dialysis to RTX. OBJECTIVES: We aimed to assess changes in
symptom prevalence, identify symptom clusters after RTX, and evaluate the effect
of the treatment conversion on predefined symptom clusters. METHODS: A cohort of
patients on chronic dialysis (n = 110) was followed prospectively with
measurements of health-related quality of life using the Kidney Disease and
Quality of Life-Short Form (KDQOL-SF) during dialysis (baseline) and after
subsequent RTX. Predefined symptom clusters based on 11 symptoms listed in KDQOL
SF were previously generated using principal component analysis with varimax
rotation, that is, uremic (nausea, lack of appetite, dizziness, feeling squeezed
out, shortness of breath, and chest pain), neuromuscular (numbness, sore muscle,
and cramps), and skin (itching and dry skin) clusters. Stratified analyses were
undertaken to identify characteristics associated with change in the symptom
clusters after RTX. Cohen's d was used as effect size. RESULTS: Of the 110
patients, mean age was 51.3 +/- 14.4 years, and 66% were males. After RTX, the
estimated glomerular filtration rate was 54 (interquartile range [IQR] 45-72)
mL/minute/1.73 m2. Median follow-up time from assessments during dialysis was 55
(IQR 50-59) months, and follow-up time after RTX was 41 (IQR 34-51) months. The
total symptom score improved (73 +/- 16 vs. 82 +/- 15, P = 0.001, and Cohen's d =
0.6), and the number of symptoms was reduced (6.5 +/- 2.6 vs. 4.7 +/- 3.0, P =
0.001). Seven symptoms improved statistically after RTX, but only two with
Cohen's d > 0.5 (itching and cramps). The scores of the predefined symptom
clusters improved after RTX: uremic (82 +/- 16 vs. 85 +/- 17, P = 0.008, and
Cohen's d = 0.2), neuromuscular (66 +/- 24 vs. 79 +/- 18, P = 0.001, and Cohen's
d = 0.6), and skin cluster (62 +/- 27 vs. 78 +/- 22, P = 0.001, and Cohen's d =
0.6). Symptom clusters could not be generated after RTX. CONCLUSION: Although
symptoms and symptom clusters were reduced after RTX, the clinical relevance of
the reductions was ambiguous. Symptom clusters could not be generated after RTX,
suggesting that use of the KDQOL-SF may not be optimal to assess symptoms in RTX
patients.
PMID- 26550938
TI - The TDIF signaling network.
AB - Plant growth and development are coordinately controlled by intercellular
signaling molecules. CLAVATA3 (CLV3)/EMBRYO SURROUNDING REGION-related (CLE)
peptides are crucial intercellular signaling molecules that control plant
meristem maintenance. CLE peptides are perceived by plasma membrane-located
receptor-like kinases, followed by the initiation of intracellular signaling
cascades. Recent studies have uncovered these intracellular signaling networks
involving signal divergence and integration. This review summarizes recent
advances in the study of TDIF peptide signaling pathway, a representative model
of CLE peptide signaling, focusing on newly uncovered biological functions and
unique signal transduction mechanisms.
PMID- 26550939
TI - The cytoskeleton in the pollen tube.
AB - The cytoskeleton in pollen tubes has been intensively studied, because of its
abundance and prominent roles and because the pollen tube is an excellent
experimental system for cell biological studies. Pollen actin microfilaments
(MFs) exist as multiple distinct populations, each participating in a specific
cellular trafficking or organization process. Consequently, MFs are essential for
pollen tube growth and are tightly regulated in response to various signals.
Pollen microtubules (MTs) are non-essential and less characterized, but recent
studies have implicated MTs in vesicle trafficking and cell wall construction in
pollen tubes. This review summarizes recent advances in understanding the
organization and regulation of both MFs and MTs and discusses their roles in
cellular trafficking and the modulation of pollen-tube tip growth.
PMID- 26550940
TI - Relating specific connexin co-expression ratio to connexon composition and gap
junction function.
AB - Cardiac connexin 43 (Cx43), Cx40 and Cx45 are co-expressed at distinct ratios in
myocytes. This pattern is considered a key factor in regulating the gap junction
channels composition, properties and function and remains poorly understood. This
work aims to correlate gap junction function with the connexin composition of the
channels at accurate ratios Cx43:Cx40 and Cx43:Cx45. Rat liver epithelial cells
that endogenously express Cx43 were stably transfected to induce expression of
accurate levels of Cx40 or Cx45 that may be present in various areas of the heart
(e.g. atria and ventricular conduction system). Induction of Cx40 does not
increase the amounts of junctional connexins (Cx43 and Cx40), whereas induction
of Cx45 increases the amounts of junctional connexins (Cx43 and Cx45).
Interestingly, the non-junctional fraction of Cx43 remains unaffected upon
induction of Cx40 and Cx45. Co-immunoprecipitation studies show low level of
Cx40/Cx43 heteromerisation and undetectable Cx45/Cx43 heteromerisation.
Functional characterisation shows that induction of Cx40 and Cx45 decreases
Lucifer Yellow transfer. Electrical coupling is decreased by Cx45 induction,
whereas it is decreased at low induction of Cx40 and increased at high induction.
These data indicate a fine regulation of the gap junction channel make-up in
function of the type and the ratio of co-expressed Cxs that specifically
regulates chemical and electrical coupling. This reflects specific gap junction
function in regulating impulse propagation in the healthy heart, and a pro
arrhythmic potential of connexin remodelling in the diseased heart.
PMID- 26550941
TI - Comparison of cortical folding measures for evaluation of developing human brain.
AB - We evaluated 22 measures of cortical folding, 20 derived from local curvature
(curvature-based measures) and two based on other features (sulcal depth and
gyrification index), for their capacity to distinguish between normal and
aberrant cortical development. Cortical surfaces were reconstructed from 12 term
born control and 63 prematurely-born infants. Preterm infants underwent 2-4 MR
imaging sessions between 27 and 42weeks postmenstrual age (PMA). Term infants
underwent a single MR imaging session during the first postnatal week. Preterm
infants were divided into two groups. One group (38 infants) had no/minimal
abnormalities on qualitative assessment of conventional MR images. The second
group (25 infants) consisted of infants with injury on conventional MRI at term
equivalent PMA. For both preterm infant groups, all folding measures increased or
decreased monotonically with increasing PMA, but only sulcal depth and
gyrification index differentiated preterm infants with brain injury from those
without. We also compared scans obtained at term equivalent PMA (36-42weeks) for
all three groups. No curvature-based measured distinguished between the groups,
whereas sulcal depth distinguished term control from injured preterm infants and
gyrification index distinguished all three groups. When incorporating total
cerebral volume into the statistical model, sulcal depth no longer distinguished
between the groups, though gyrification index distinguished between all three
groups and positive shape index distinguished between the term control and
uninjured preterm groups. We also analyzed folding measures averaged over brain
lobes separately. These results demonstrated similar patterns to those obtained
from the whole brain analyses. Overall, though the curvature-based measures
changed during this period of rapid cerebral development, they were not sensitive
for detecting the differences in folding associated with brain injury and/or
preterm birth. In contrast, gyrification index was effective in differentiating
these groups.
PMID- 26550942
TI - Clinical characteristics and prognosis of mah-jong-induced epilepsy: A cohort
review of 56 patients.
AB - OBJECTIVE: The objective of this study was to investigate the clinical
characteristics of reflex epilepsy elicited by playing mah-jong in Western China.
METHODS: Consecutive patients with reflex epilepsy triggered by mah-jong from Jan
2011 to April 2014 were recruited at West China Hospital. Each patient underwent
EEG recording and brain MRI scan. All clinical data were reviewed. Each patient
had at least 12 months of follow-up. RESULTS: Fifty-six patients were included,
with majority of them being male (52/56, 92.9%). Mean age was 43.9 +/- 10.0
years. Mean age at seizure onset was 35.1 +/- 16.3 years. Mean duration of reflex
epilepsy was 4.0 +/- 2.2 years. The mean follow-up time was 32.0 +/- 13.2 months.
Seventeen patients (30.4%) took antiepileptic drugs. Regardless of the
medication, all 14 patients who avoided playing mah-jong reached seizure freedom,
and 22 of the 42 patients (52.4%) who still played mah-jong continued to have
seizures (p<0.05). CONCLUSIONS: Reflex epilepsy induced by mah-jong is a unique
type of epilepsy in the Chinese population and usually occurs in adults after a
long time lag of playing mah-jong. Avoiding the triggers is the most effective
treatment for epilepsy.
PMID- 26550943
TI - Purification and characterization of aspartate N-acetyltransferase: A critical
enzyme in brain metabolism.
AB - Canavan disease (CD) is a neurological disorder caused by an interruption in the
metabolism of N-acetylaspartate (NAA). Numerous mutations have been found in the
enzyme that hydrolyzes NAA, and the catalytic activity of aspartoacylase is
significantly impaired in CD patients. Recent studies have also supported an
important role in CD for the enzyme that catalyzes the synthesis of NAA in the
brain. However, previous attempts to study this enzyme had not succeeded in
obtaining a soluble, stable and active form of this membrane-associated protein.
We have now utilized fusion constructs with solubilizing protein partners to
obtain an active and soluble form of aspartate N-acetyltransferase.
Characterization of the properties of this enzyme has set the stage for the
development of selective inhibitors that can lower the elevated levels of NAA
that are observed in CD patients and potentially serve as a new treatment
therapy.
PMID- 26550944
TI - A score to predict the risk of emergency caesarean delivery in women with
antepartum bleeding and placenta praevia.
AB - OBJECTIVE: To identify antenatal events associated with emergency caesarean
sections in women presenting with antepartum bleeding and placenta praevia and to
establish a score to predict the risk of emergency caesarean after a first
bleeding episode has resolved. STUDY DESIGN: This retrospective multicentre study
included 250 women presenting with antepartum bleeding and placenta praevia from
20 weeks of gestation until term in three maternity units. The score was
constructed from data from 163 women after identification of antenatal risk
factors associated with emergency caesareans for profuse bleeding due to placenta
praevia. It was validated on a second independent cohort of 87 women. RESULTS:
Three variables were significantly associated with emergency caesareans: major or
complete praevia, defined as complete or partial praevia (OR=33.15 (95% CI 4.3
257); p=0.001), occurrence of 3 or more episodes of antepartum of uterine
bleeding (OR=2.53 (95% CI 1.1-5.86); p=0.03), and a first (sentinel) bleeding
episode before 29 weeks of gestation (OR=2.64 (95% CI 1.17-5.98); p=0.02). A
fourth variable, moderate or severe antepartum uterine bleeding, was
significantly associated with emergency caesareans in the univariate but not the
multivariate analysis (p=0.006). These four variables were incorporated into a
weighted scoring system that included major praevia (4 points), three or more
episodes of antepartum bleeding (3), first bleeding episode before 29 weeks of
gestation (3), and bleeding episode estimated as moderate or severe (1). A score
>=6/11 had a sensitivity of 83% and a specificity of 65% for predicting an
emergency caesarean in the score development group and 95% and 62% in the
validation group. CONCLUSION: A scoring system for placenta praevia with previous
bleeding events, based on intensity, gestational age at sentinel bleed (before 29
weeks), number of bleeding episodes (>=3) and type of praevia (major) might be
helpful to guide obstetric management and especially to determine the need for
admission.
PMID- 26550945
TI - Use of vasopressin vs epinephrine to reduce haemorrhage during myomectomy: a
randomized controlled trial.
AB - OBJECTIVE: To compare the effectiveness and safety of vasopressin with
epinephrine for reducing blood loss during laparoscopic myomectomy. STUDY DESIGN:
Sixty patients undergoing laparoscopic myomectomy were allocated at random to
receive either dilute vasopressin or epinephrine into the serosal and/or
overlying myometrium, and just around the myoma. The surgeon was blinded to the
group allocation. Blood loss, duration of surgery, degree of surgical difficulty,
postoperative pain scores and complications were compared. RESULTS: Patient
characteristics (e.g. age, body mass index, demographic data), number of myomas,
and location and size of the largest myoma were similar between the two study
groups. There were no differences in operative blood loss, operative time,
subjective surgical difficulty or postoperative pain between the two groups.
Transient and non-serious increases in systolic and diastolic blood pressure and
heart rate following intra-operative intramyometrial and/or perimyometrial
injection of the vasoconstrictive agent only occurred in the epinephrine group,
but the difference between the groups was not significant (13% vs 0%, p=0.112).
No significant postoperative complications were observed in either group.
CONCLUSIONS: Injection of dilute epinephrine before laparoscopic myomectomy was
comparable to injection of dilute vasopressin in terms of operative blood loss,
operative time, subjective surgical difficulty, postoperative pain and
complications.
PMID- 26550946
TI - The effects of TRIM5alpha polymorphism on HIV-2ROD and SIVmac239 replication in
PBMCs from Chinese rhesus macaques and Vietnamese-origin cynomolgus macaques.
AB - Because of the difficulty of obtaining Indian-origin rhesus macaques, Chinese
origin rhesus macaques (CR) and Vietnamese-origin cynomolgus macaques (CM) are
now used frequently in HIV/AIDS research. Nonetheless, the effects of TRIM5alpha
polymorphism on viral replication in both CR and CM are unclear. To address these
questions, we recruited 70 unrelated CR and 40 unrelated CM and studied the
effect of TRIM5alpha polymorphism on HIV-2ROD and SIVmac239 replication in PBMCs.
We found that 3 polymorphisms, located in the B30.2 domain of CR TRIM5alpha
formed a haplotype and affected HIV-2ROD replication. In addition, we found that
the variant Y178H, located in the Coiled-coil domain of CM TRIM5alpha, affected
TRIM5alpha-mediated HIV-2ROD restriction. Finally, two polymorphisms, located in
the Coiled-coil domain, altered anti-SIVmac239 activity in CR. We concluded that,
CM TRIM5alpha polymorphism could alter HIV-2ROD infection; however, a different
domain of CR TRIM5alpha was responsible for restricting different virus
replication.
PMID- 26550948
TI - Experimental infection of peridomestic mammals with emergent H7N9
(A/Anhui/1/2013) influenza A virus: Implications for biosecurity and wet markets.
AB - During 2013, a novel avian-origin H7N9 influenza A virus (IAV) emerged in China
and subsequently caused large economic and public health burdens. We
experimentally infected three common peridomestic wild mammals with H7N9
(A/Anhui/1/2013) IAV. Striped skunks exhibited the highest burden of disease
followed by raccoons and cottontail rabbits. Striped skunks also produced the
highest levels of viral shedding (up to 10(6.4)PFU/mL nasal flush) followed by
cottontail rabbits (up to 10(5.8)PFU/mL nasal flush) and raccoons (up to
10(5.2)PFU/mL nasal flush). Thus, various mammalian species, especially those
that are peridomestic, could play a role in the epidemiology of emergent H7N9
IAV. Mammals should be accounted for in biosecurity plans associated with H7N9
and their presence in wet markets, dependent on species, could lead to increased
transmission among interspecific species aggregations and may also pose an
elevated zoonotic disease risk to visitors and workers of such markets.
PMID- 26550947
TI - Both RIG-I and MDA5 detect alphavirus replication in concentration-dependent
mode.
AB - Alphaviruses are a family of positive-strand RNA viruses that circulate on all
continents between mosquito vectors and vertebrate hosts. Despite a significant
public health threat, their biology is not sufficiently investigated, and the
mechanisms of alphavirus replication and virus-host interaction are
insufficiently understood. In this study, we have applied a variety of
experimental systems to further understand the mechanism by which infected cells
detect replicating alphaviruses. Our new data strongly suggest that activation of
the antiviral response by alphavirus-infected cells is determined by the
integrity of viral genes encoding proteins with nuclear functions, and by the
presence of two cellular pattern recognition receptors (PRRs), RIG-I and MDA5. No
type I IFN response is induced in their absence. The presence of either of these
PRRs is sufficient for detecting virus replication. However, type I IFN
activation in response to pathogenic alphaviruses depends on the basal levels of
RIG-I or MDA5.
PMID- 26550949
TI - Glycyrrhizin attenuates isoflurane-induced cognitive deficits in neonatal rats
via its anti-inflammatory activity.
AB - Children exposed to general anesthetics such as isoflurane are maybe at an
increased risk of cognitive impairment. Recent studies have indicated that this
kind of cognitive decline is associated with neuroinflammation in the hippocampus
of neonatal rodents. Glycyrrhizin is a naturally available compound for the
treatment of inflammatory and neurodegenerative diseases. We therefore aimed to
investigate the effects of glycyrrhizin on the isoflurane-induced cognitive
deficits and hippocampal neuroinflammation in the neonatal rats. Seven day-old
rats were exposed to 1.8% isoflurane for 4h. Saline and glycyrrhizin solution was
injected intraperitoneally 30min prior to isoflurane or control gas exposure. The
effects of isoflurane and glycyrrhizin treatment on memory performance were
examined using Morris Water Maze (MWM) task. The protein expression of high
mobility group box 1 (HMGB1), NFkappaB, Bcl-2, Bax and cleaved (active) caspase-3
were determined by Western blot assay. The protein levels of TNF-alpha and IL
1beta were detected by enzyme-linked immunosorbent assay (ELISA). The combination
of ELISA and Western blot results showed that glycyrrhizin attenuated isoflurane
induced increases of pro-inflammatory cytokines (TNF-alpha and IL-1beta) and
activation of HMGB1/NFkappaB signaling pathway in the hippocampus of neonatal
rats. Furthermore, glycyrrhizin treatment prevented the deficits in spatial
memory induced by neonatal exposure to isoflurane. Consistent with these
observations, we found that glycyrrhizin alleviated isoflurane-induced
neuroapoptosis and down-regulations of PSD-95 and SNAP-25 in the hippocampus of
neonatal rats. These results suggest that glycyrrhizin may be a potential
therapeutic agent for developmental neurotoxicity and subsequent cognitive
decline induced by neonatal exposure to general anesthetics.
PMID- 26550950
TI - Event-related brain responses while listening to entire pieces of music.
AB - Brain responses to discrete short sounds have been studied intensively using the
event-related potential (ERP) method, in which the electroencephalogram (EEG)
signal is divided into epochs time-locked to stimuli of interest. Here we
introduce and apply a novel technique which enables one to isolate ERPs in human
elicited by continuous music. The ERPs were recorded during listening to a Tango
Nuevo piece, a deep techno track and an acoustic lullaby. Acoustic features
related to timbre, harmony, and dynamics of the audio signal were computationally
extracted from the musical pieces. Negative deflation occurring around 100
milliseconds after the stimulus onset (N100) and positive deflation occurring
around 200 milliseconds after the stimulus onset (P200) ERP responses to peak
changes in the acoustic features were distinguishable and were often largest for
Tango Nuevo. In addition to large changes in these musical features, long phases
of low values that precede a rapid increase - and that we will call Preceding Low
Feature Phases - followed by a rapid increase enhanced the amplitudes of N100 and
P200 responses. These ERP responses resembled those to simpler sounds, making it
possible to utilize the tradition of ERP research with naturalistic paradigms.
PMID- 26550951
TI - Metabolites derived from omega-3 polyunsaturated fatty acids are important for
cardioprotection.
AB - Although controversial, some data suggest that omega-3 polyunsaturated fatty
acids (PUFA) are beneficial to cardiovascular diseases, and could reduce infarct
size. In parallel, we have reported that the administration of Resolvin D1
(RvD1), a metabolite of docosahexaenoic acid, an omega-3 PUFA, can reduce infarct
size. The present study was designed to determine if the inhibition of two
important enzymes involved in the formation of RvD1 from omega-3 PUFA could
reduce the cardioprotective effect of omega-3 PUFA. Sprague-Dawley rats were fed
with a diet rich in omega-3 PUFA during 10 days before myocardial infarction
(MI). Two days before MI, rats received a daily dose of Meloxicam, an inhibitor
of cyclooxygenase-2, PD146176, an inhibitor of 15-lipoxygenase, both inhibitors
or vehicle. MI was induced by the occlusion of the left coronary artery for 40min
followed by reperfusion. Infarct size and neutrophil accumulation were evaluated
after 24h of reperfusion while caspase-3, -8 and Akt activities were assessed at
30min of reperfusion. Rats receiving inhibitors, alone or in combination, showed
a larger infarct size than those receiving omega-3 PUFA alone. Caspase-3 and -8
activities are higher in ischemic areas with inhibitors while Akt activity is
diminished in groups treated with inhibitors. Moreover, the study showed that
RvD1 restores cardioprotection when added to the inhibitors. Results from this
study indicate that the inhibition of the metabolism of Omega-3 PUFA attenuate
their cardioprotective properties. Then, resolvins seem to be an important
mediator in the cardioprotection conferred by omega-3 PUFA in our experimental
model of MI.
PMID- 26550953
TI - Created unequal: Temporal dynamics of modal and amodal boundary interpolation.
AB - In this study we manipulate the distribution of contrast polarity reversals in
inducing configurations to create novel variants of modal and amodal completion.
The novel variants, better equated in their geometric and photometric
characteristics offer a superior way to probe similarities and differences in the
temporal dynamics that underlie different forms of perceptual completion. We use
dot localisation to directly compare the spatial characteristics of modally and
amodally interpolated contours at presentation durations ranging from 120 to
300ms and find robust differences in the spatiotemporal formation of modally and
amodally completed boundaries. Modally completed contours are localised more
accurately and with better spatial precision across all presentation durations.
Our results challenge the assumption that the boundary interpolation system
depends solely on the geometrical relatability of inducing fragments and suggest
that boundary interpolation depends on the spatial distribution of local
luminance relationships. As an alternative to the strong version of the identity
hypothesis, we propose that modal and amodal completion are mediated by different
mechanisms, triggered by particular configurations of contrast polarity.
PMID- 26550952
TI - Bromo-honaucin A inhibits osteoclastogenic differentiation in RAW 264.7 cells via
Akt and ERK signaling pathways.
AB - Osteoclasts are unique bone remodeling cells derived from multinucleated myeloid
progenitor cells. They play homeostatic vital roles in skeletal modeling and
remodeling but also destroy bone masses in many pathological conditions such as
osteoporosis and rheumatoid arthritis. Receptor activation of NF-kappaB ligand
(RANKL) is essential to osteoclastogenesis. In this study, we investigated the
effects of bromo-honaucin A (Br-H A) isolated from Leptolyngbya crossbyana
(cyanobacterium). To investigate the mechanism of the inhibitory effect of Br-H A
on osteoclastogenesis, we employed Br-H Ain RANKL-treated murine
monocyte/macrophage RAW 264.7 cells for osteoclastic differentiation in-vitro.
The inhibitory effects on in-vitro osteoclastogenesis was evaluated by counting
the number of Tartarate resistant acid phospatase (TRAP) positive multinucleated
cells and by measuring the expression level of osteoclast-specific genes like
matrix metalloproteinase 9 (MMP9), cathepsin K (CATH K), GRB2-associated-binding
protein 2 (GAB2), c-terminal myc kinase (C-MYC), C-terminal Src kinase (C-SRC)
and Microphthalmia-associated transcription factor (MITF). Moreover, Br-H A
blocked the resorbing capacity of RAW 264.7 cells on calcium phosphate-coated
plates. Finally, Br-H A clearly decreased the expression of Akt and also
decreased the activation of ERK. Thus, the study identifies Br-H A as potent
inhibitor potentialin the treatment of diseases involving abnormal bone lysis
such as osteoporosis, rheumatoid arthritis, and periodontal bone degradation.
PMID- 26550954
TI - Tilt aftereffect due to adaptation to natural stimuli.
AB - The human visual system continuously adjusts to the current environment. To
investigate these adjustments, biases in observers' perceptions owing to changes
in the visual environment are measured (visual aftereffects). Typically, the
stimuli used are synthetic and are composed of oriented patterns such as lines or
gratings. These patterns are known to activate individual neurons in the visual
cortex, but cover only a small subset of actual visual stimulations. To overcome
this drawback, recent research has focused on synthetic patterns that mimic
several aspects of natural stimulation. However, the aftereffects of natural
stimulation per-se remain largely unexplored. Here, we interleaved presentations
of unmodified natural image adaptors, selected according to criteria favoring
content at a particular orientation, with presentations of targets that test a
perceived orientation. This allowed us to measure the change in the perceived
orientation, namely the tilt aftereffect (TAE), which resulted from repeated
image presentations. Results show a close to standard TAE with adaptor durations
around 500ms, which is reduced with longer presentations. Importantly, our method
can be generalized to investigate other aftereffects by selecting images
differently.
PMID- 26550956
TI - Does executive function mediate the path from mothers' depressive symptoms to
young children's problem behaviors?
AB - This study investigated the mediation role played by children's executive
function in the relationship between exposure to mild maternal depressive
symptoms and problem behaviors. At ages 2, 3, and 6years, 143 children completed
executive function tasks and a verbal ability test. Mothers completed the Beck
Depression Inventory at each time-point, and teachers completed the Strengths and
Difficulties Questionnaire at child age 6. Longitudinal autoregressive mediation
models showed a mediation effect that was significant and quite specific;
executive function (and not verbal ability) at age 3 mediated the path between
mothers' depressive symptoms (but not general social disadvantage) at the first
time-point and children's externalizing and internalizing problems at age 6.
Improving children's executive functioning might protect them against the adverse
effects of exposure to maternal depressive symptoms.
PMID- 26550955
TI - Transcriptional control of cell fate in the stomatal lineage.
AB - The Arabidopsis stomatal lineage is a microcosm of development; it undergoes
selection of precursor cells, asymmetric and stem cell-like divisions, cell
commitment and finally, acquisition of terminal cell fates. Recent transcriptomic
approaches revealed major shifts in gene expression accompanying each fate
transition, and mechanistic analysis of key bHLH transcription factors, along
with mathematical modeling, has begun to unravel how these major shifts are
coordinated. In addition, stomatal initiation is proving to be a tractable model
for defining the genetic and epigenetic basis of stable cell identities and for
understanding the integration of environmental responses into developmental
programs.
PMID- 26550958
TI - Going to work ill: A meta-analysis of the correlates of presenteeism and a dual
path model.
AB - Interest in presenteeism, attending work while ill, has flourished in light of
its consequences for individual well-being and organizational productivity. Our
goal was to identify its most significant causes and correlates by quantitatively
summarizing the extant research. Additionally, we built an empirical model of
some key correlates and compared the etiology of presenteeism versus absenteeism.
We used meta-analysis (in total, K = 109 samples, N = 175,965) to investigate the
correlates of presenteeism and meta-analytic structural equation modeling to test
the empirical model. Salient correlates of working while ill included general ill
health, constraints on absenteeism (e.g., strict absence policies, job
insecurity), elevated job demands and felt stress, lack of job and personal
resources (e.g., low support and low optimism), negative relational experiences
(e.g., perceived discrimination), and positive attitudes (satisfaction,
engagement, and commitment). Moreover, our dual process model clarified how job
demands and job and personal resources elicit presenteeism via both health
impairment and motivational paths, and they explained more variation in
presenteeism than absenteeism. The study sheds light on the controversial act of
presenteeism, uncovering both positive and negative underlying mechanisms. The
greater variance explained in presenteeism as opposed to absenteeism underlines
the opportunities for researchers to meaningfully investigate the behavior and
for organizations to manage it. (PsycINFO Database Record
PMID- 26550957
TI - The role of nonverbal working memory in morphosyntactic processing by school-aged
monolingual and bilingual children.
AB - The current study examined the relationship between nonverbal working memory and
morphosyntactic processing in monolingual native speakers of English and
bilingual speakers of English and Spanish. We tested 42 monolingual children and
42 bilingual children between the ages of 8 and 10years matched on age and
nonverbal IQ. Children were administered an auditory Grammaticality Judgment task
in English to measure morphosyntactic processing and a visual N-Back task and
Corsi Blocks task to measure nonverbal working memory capacity. Analyses revealed
that monolinguals were more sensitive to English morphosyntactic information than
bilinguals, but the groups did not differ in reaction times or response bias.
Furthermore, higher nonverbal working memory capacity was associated with greater
sensitivity to morphosyntactic violations in bilinguals but not in monolinguals.
The findings suggest that nonverbal working memory skills link more tightly to
syntactic processing in populations with lower levels of language knowledge.
PMID- 26550959
TI - Body Awareness as an Important Target in Multidisciplinary Chronic Pain
Treatment: Mediation and Subgroup Analyses.
AB - BACKGROUND: The results of a recently performed randomized clinical trial showed
that the effect of a multidisciplinary treatment of chronic pain patients on body
awareness (BA), catastrophizing, and depression was improved by adding
psychomotor therapy (PMT), an intervention targeting BA. No significant effects
were found on quality of life and disability. The present follow-up study aimed
to explore the relationship between improvements in BA and multidisciplinary
chronic pain rehabilitation treatment outcome across treatment conditions and the
possible mediating effect of BA between treatment conditions. Furthermore, the
hypothesis that patients with low BA benefit more from PMT was investigated.
METHODS: In total, 94 patients with chronic pain participated in a randomized
clinical trial comparing multidisciplinary treatment as usual (TAU) with TAU plus
PMT. Outcome variables were health-related quality of life, disability, and
depression. Self-efficacy and catastrophizing were the process variables of
treatment and the potential mediating factors in the relationship between BA and
the outcome variables. The data were analyzed by linear mixed-model analysis.
RESULTS: Improvements in BA were related to improvements in all outcome variables
across treatment conditions. The relationships were partly mediated by self
efficacy, catastrophizing, or both. In the regression model with depression as
the outcome variable, the regression coefficient of treatment (ie, PMT vs. TAU)
decreased by 34% and became nonsignificant when BA was added as a potential
mediator. Patients with low BA seemed to benefit more from PMT than patients with
high BA, especially on depression, BA, and catastrophizing. CONCLUSIONS: BA might
be an important target of treatment to improve the multidisciplinary treatment
outcome in chronic pain patients. Furthermore, PMT is an intervention that seems
to provide its benefits through improving BA and may be especially beneficial for
patients with low BA.
PMID- 26550960
TI - Muscle Triggers as a Possible Source of Pain in a Subgroup of Tension-type
Headache Patients?
AB - OBJECTIVES: Tension-type headache (TTH) is a common condition but the underlying
etiology is not understood. Episodic TTH may develop into chronic TTH, and some
possible triggers may be involved in generation and maintenance. Nociceptive
generators and hyperexcitable spots in neck and shoulder regions may to some
degree contribute to TTH. The current paper highlights some of the possible
triggers and associated pain mechanisms involved in TTH and discusses whether
inhibition of these possible triggers may provide new treatment options. RESULTS:
This paper presents possible pathophysiological factors in TTH, the role of
muscle pain, and how referred pain from triggers can contribute to development,
maintenance of sensitization, or both. Referred pain patterns from trigger points
and associated muscle hyperalgesia seem to be clinically important factors.
Damping the nociceptive peripheral drive may not only reduce the number of TTH
attacks but may also prevent, delay the transition from episodic into more
chronic TTH, or both. The role of muscle triggers in driving TTH is debated as
the pathogenesis of such triggers is not fully understood. Furthermore,
inhibiting the drive from the triggers does not consistently modulate TTH.
DISCUSSION: Understanding the possible triggers in TTH, muscle hyperalgesia, and
widespread pain sensitization, may help to develop better management regimes and
possibly prevent TTH from developing into more chronic conditions. Currently,
there is a striking difference between the clinical observational studies
favoring the role of muscle triggers in TTH and the intervention studies
generally not supporting the role of muscle triggers in TTH.
PMID- 26550961
TI - Involvement of CCL3/CCR5 Signaling in Dorsal Root Ganglion in Remifentanil
induced Hyperalgesia in Rats.
AB - BACKGROUND: Several mechanisms of remifentanil-induced hyperalgesia in spinal
cord mainly have been explained such as N-methyl-D-aspartate receptors
activation, but the mechanism in dorsal root ganglion (DRG) is poorly understood.
It has been reported that CCL3 may be a regulator in both inflammatory pain and
hyperalgesia. In this paper we explored whether CCL3 and CCR5, the mainly
receptor of CCL3, play a role in the remifentanil-induced hyperalgesia in DRG by
using a rat model with remifentanil administration. MATERIALS AND METHODS: The
von Frey test and hot plate test were performed to measure the different
threshold to evaluate mechanical and thermal hyperalgesia. Real-time polymerase
chain reaction and Western blot analysis were used to evaluate time course of
CCL3 and CCR5 expression in DRG after remifentanil infusion. The changes of glial
cells and the expression of CCL3 and CCR5 were detected by immunofluorescence
assay. Finally, intrathecal injection of CCL3-neutralizing antibody and
maraviroc, the CCR5 antagonists, were used sevoflurane to confirm the role of
CCL3/CCR5 signaling in the rat model of remifentanil-induced hyperalgesia.
RESULTS: Remifentanil infusion profoundly increased thermal and mechanical
hyperalgesia from 2 to 48 hours according to paw withdrawal latency (PWL) and paw
withdrawal thresholds (PWT) (mean+/-SD, hyperalgesia vs. control, 17.4+/-0.91 vs.
8.5+/-1.46 s; 20.1+/-0.32 vs. 9.6+/-0.5 g, n=8). Moreover, the expression of
mRNAs and proteins of CCL3 and its receptor CCR5 in DRG were dramatically
increased after remifentanil infusion as compared with the normal saline group.
We also found that CCL3 and CCR5 were colocalized with glial cells or neurons.
Furthermore, intrathecal injection of CCL3-neutralizing antibody (mean+/-SD,
hyperalgesia vs. control, 17.4+/-0.91 vs. 8.5+/-1.46 s; 20.1+/-0.32 vs. 9.6+/-0.5
g, n=5) and maraviroc(mean+/-SD, hyperalgesia vs. control, 17.4+/-0.91 vs. 8.5+/
1.46 s; 20.1+/-0.32 vs. 9.6+/-0.5 g, n=5) were able to suppress remifentanil
related thermal and mechanical hyperalgesia according to behavioral test.
CONCLUSIONS: The results highlighted the fact that CCL3 and its receptor CCR5 in
DRG might contribute to remifentanil-induced hyperalgesia. Thus CCL3/CCR5
signaling may be further considered in the development of new therapeutic
strategies.
PMID- 26550962
TI - Radical Reactions of Alkyl 2-Bromo-2,2-difluoroacetates with Vinyl Ethers:
"Omitted" Examples and Application for the Synthesis of 3,3-Difluoro-GABA.
AB - Addition reactions of perfluoroalkyl radicals to ordinary or polyfluorinated
alkenes have been frequently used to synthesize perfluoroalkylated organic
compounds. Here ethyl/methyl 2-bromo-2,2-difluoroacetate, diethyl
(bromodifluoromethyl)phosphonate, [(bromodifluoromethyl)sulfonyl]benzene, and
ethyl 2-bromo-2-fluoroacetate were involved in Na2S2O4-mediated radical additions
to vinyl ethers in the presence of alcohols to give difluoro or monofluoroacetyl
substituted acetals or corresponding difluoromethylphosphonate- and
(difluoromethylphenyl)sulfonyl-substituted alkyl acetals. This methodology has
also been applied as a key step in the synthesis of hitherto unknown 3,3-difluoro
GABA, completing the series of isomeric difluoro GABAs. Comparison of the pKa
values of 3-fluoro- and 3,3-difluoro-GABA with that of the fluorine free parent
compound showed that introduction of each fluorine lead to acidification of both
the amino and the carboxyl functions by approximately one unit.
PMID- 26550963
TI - Seasonal Variation in the Incidence of Late-onset Bleb-related Infection After
Filtering Surgery in Japan: The Japan Glaucoma Society Survey of Bleb-related
Infection Report 3.
AB - PURPOSE: To investigate seasonal variations in the incidence of late-onset bleb
related infection after filtering surgery in Japan and the relationship between
season and other factors. PATIENTS AND METHODS: This multicenter, prospective
study is part of a 5-year-long Japan Glaucoma Society survey of bleb-related
infection. We analyzed 156 cases of first-time infections (106 men, 50 women)
encountered over 5 years to determine the seasonal variation using the Roger test
and the relationships between season, sex, and age by logistic regression
analysis. RESULTS: We noted significant monthly seasonal variation in the
incidence of infection, which was the highest in January and February (23 and 18
infections, respectively; P=0.018) and lowest from August to November (9, 9, 11,
and 8 infections, in that order). Multiple logistic regression analysis revealed
that infections were most frequent among women in spring (odds ratio, 8.43;
P=0.005). Staphylococcus aureus and Streptococcus spp. infections were more
frequent in warmer seasons than in winter. Less virulent species, namely,
coagulase-negative Staphylococcus spp., Corynebacterium spp., and Enterococcus
spp., were frequently detected in winter. Coagulase-negative Staphylococcus spp.
and Haemophilus influenzae were more frequent in women and men, respectively.
CONCLUSIONS: The incidence of late-onset bleb-related infection showed
significant seasonal variation and sex differences. The climate in Japan may
influence the incidence of bleb-related infection. Moreover, besides climate,
physical activity level and lifestyle may contribute to the seasonal variation in
the incidence and sex differences in the involved bacterial agents of bleb
related infections in Japan.
PMID- 26550964
TI - Persistence of Glaucoma Therapy and Visual Field Progression.
AB - PURPOSE: To determine the association of visual field (VF) progression with
medication persistence in a cohort on glaucoma therapy. PATIENTS AND METHODS:
Pharmacy records were examined over 3 years for patients started on glaucoma
monotherapy. A patient was persistent if a prescription was refilled for the same
medication < 90 days after the previous prescription had lapsed; otherwise was
nonpersistent. Only patients with > 5 reliable VFs within 1-year pretherapy and 6
year posttherapy were included. Progression was analyzed with pointwise linear
regression and defined using 2 criteria: (A) >= 2 adjacent progressing points
(slope P < 0.01) in 1 hemifield; and (B) >= 3 progressing points (slope P <
0.01). The mean number and mean slope of progressing points and the mean global
slopes were determined. RESULTS: Of 1206 patient eyes (131 persistent, 1075
nonpersistent), 941 were excluded leaving 175 (47 persistent and 128
nonpersistent) for analysis. The mean follow-up durations were 59.3 +/- 10.9 and
58.2 +/- 9.7 months (P = 0.07) for persistent and nonpersistent eyes,
respectively. Overall, 2/47 (4.3%) and 10/128 (7.8%) eyes progressed among
persistent and nonpersistent patients, respectively (P = 0.52) using criterion A
and 1/47 (2.1%) and 12/128 (9.4%; P = 0.19) eyes using criterion B. Mean number
of progressing points (0.3 +/- 0.9 vs. 0.7 +/- 1.8; P = 0.17), mean slope of
progressing points (-2.2 +/- 1.1 vs. -2.8 +/- 1.3 dB/y; P = 0.27), and mean
global slope (0.7 +/- 0.5 vs. -0.1 +/- 0.8 dB/y; P = 0.07) were similar for
persistent and nonpersistent patients, respectively. CONCLUSION: There were small
but appreciable differences in VF progression rates between persistent and
nonpersistent patient eyes on glaucoma drops, but differences were not
significant.
PMID- 26550966
TI - Bleb-like Finding Caused by Idiopathic Scleral Thinning in an Eye With Absolute
Glaucoma.
AB - PURPOSE: To evaluate a bleb-like finding in an eye with absolute glaucoma using
anterior-segment optical coherence tomography (AS-OCT). METHODS: A 35-year-old
woman complained of sudden-onset pain in the right eye. She had been diagnosed
with keratitis at 1.5 years old and the onset of secondary glaucoma was
identified at 13 years old. At the age of 26 years, transscleral contact diode
laser cyclophotocoagulation therapy was performed, except for the upper quadrant,
because scleral thinning was noted at that time. At the age of 35 years, she was
blind in her right eye and corneal opacity and a bleb-like structure in the upper
conjunctiva was seen with a slit-lamp examination. This bleb-like structure was
removed surgically at bulbar exenteration, and the pathology was examined.
RESULTS: AS-OCT showed a bleb-like internal structure with a single large empty
space and thin scleral tissue and relatively thin walls with high optical
density. Pathology indicated that the high optical density bleb wall was
connective tissue. On AS-OCT, the internal surface of the empty space was very
smooth, which matched the pathology. Histochemically, there were goblet cells, as
in normal conjunctiva, but not in the conjunctiva over the aqueous space.
CONCLUSIONS: AS-OCT images found that the intrableb structure was in good
agreement with the pathology results; these conjunctival changes were very
similar to those after a standard trabeculectomy.
PMID- 26550965
TI - Comparison of Eye Drop Instillation Before and After Use of Drop Application
Strips in Glaucoma Patients on Chronic Topical Therapy.
AB - PURPOSE: To evaluate the impact of using drop application strips on eye drop
instillation in glaucoma patients on chronic topical ocular hypotensive therapy.
METHODS: A total of 72 patients with primary open-angle glaucoma with an
uncorrected visual acuity of 3/60 or more, self-administering topical
antiglaucoma medication for >1 year were evaluated. One eye of each patient was
included in the study. Patients were instructed to instill 0.5% carboxymethyl
cellulose drop in 1 eye. They were then instructed to instill the same drop using
the drop application strips. RESULTS: Mean age of the patients included in the
study was 50.39 +/- 12.04 years. Before assistance of drop application strips, 35
(48.61%) patients placed the drop into the eye without any contact of the dropper
nozzle, and, after application of the drop application strips, 66 (91.67%)
patients placed the drop in the eye without any contact (P=0.025). The number of
patients putting the first drop of drug into the eye without spilling over the
adenexae increased from 30 (41.67%) to 45 (62.5%) after application of the strip
(P<0.001). The mean number of drops instilled to get 1 drop into the eye
decreased from 2 +/- 0.95 to 1.56 +/- 0.78 when the drop application strip was
used (P<0.001). CONCLUSIONS: Use of a drop application strip causes a significant
decrease in contact of the eye drop bottle nozzle with the eyeball and eyelid,
decreases the number of drops instilled to get 1 drop into the eye, and is
associated with an overall improvement in eye drop instillation.
PMID- 26550967
TI - Risk Factors of Disease Progression After Cataract Surgery in Chronic Angle
closure Glaucoma Patients.
AB - PURPOSE: To evaluate clinical risk factors of disease progression after cataract
surgery using phacoemulsification with posterior chamber intraocular lens
implantation, in eyes with chronic angle-closure glaucoma (CACG) and coexisting
cataract. DESIGN: Retrospective study. METHODS: The data of 56 eyes of 45 CACG
patients who had undergone uncomplicated phacoemulsification with posterior
chamber intraocular lens implantation were retrospectively analyzed. Disease
progression was defined as glaucomatous optic nerve change or visual field (VF)
deterioration according to the European Glaucoma Society guideline. Correlations
between VF progression and various preoperative and postoperative factors were
determined by chi and independent t tests. Linear regression analysis [(odds
ratio (OR)] was used to determine predictive risk factors for disease progression
using univariate and multivariate analyses. RESULTS: The mean postoperative
follow-up period was 45.13 +/- 17.54 (24 to 84) months. Fourteen eyes (25%) with
cataracts diagnosed with CACG progressed after phacoemulsification, but the
remaining 42 eyes (75%) did not. According to univariate analysis, disease
progression was significantly associated with older age, more number of
preoperative/postoperative antiglaucoma drugs, higher scores of preoperative
pattern standard deviation, and lower scores of preoperative and postoperative
visual field index (VFI) (P<0.05). Using multivariate analysis, a lower score of
preoperative VFI (OR: 0.86, P=0.044) and lower postoperative intraocular pressure
(IOP) reduction, which was not sustained below 20% less than the preoperative
mean IOP, were significantly correlated with disease progression after cataract
surgery (OR: 8.44, P=0.048). CONCLUSIONS: CACG patients with low preoperative VFI
and high postoperative IOP are at risk for disease progression even after
uncomplicated cataract surgery.
PMID- 26550968
TI - Immunohistochemical Characterization of the Ectopic Epithelium Devoid of Goblet
Cells From a Posttraumatic Iris Cyst Causing Mucogenic Glaucoma.
AB - PURPOSE: Mucogenic glaucoma is an unusual form of secondary open-angle glaucoma
caused by intracameral ectopic mucus-producing epithelium. To date, only 3 cases
have been described in detail. Numerous goblet cells in the specimens indicated a
possible conjunctival origin. We immunohistochemically characterized the
implanted epithelium from an iris cyst responsible for mucogenic glaucoma.
METHODS: A series of immunostaining analyses were performed on a sector
iridectomy specimen derived from an eye with mucogenic glaucoma and a history of
limbal penetrating injury. An iris cyst was present in the inferonasal quadrant
of the right eye of a 58-year-old man. The anterior chamber was filled with hazy,
translucent material, and the chamber angle was gonioscopically open. The cyst
was resected due to medically uncontrollable high intraocular pressure. RESULTS:
The ectopic epithelium was mostly positive for CK19, a corneal and conjunctival
epithelial marker. Negative staining for MUC5AC, a secretory mucin, and positive
staining for MUC1, a membrane-bound mucin, corroborated the absence of goblet
cells. Ectopic epithelial cells were abundantly positive for CK15, a limbal basal
cell marker, but there was patchy immunostaining of CK13, a conjunctival
epithelial marker, and sparse labeling with CK12, a corneal epithelial marker.
Immunostaining patterns of CK15, CK13, and CK12 were nearly mutually exclusive.
CONCLUSIONS: The ectopic epithelium of an iris cyst causing mucogenic glaucoma
was most likely to originate from limbal basal cells, which showed dual direction
of differentiation toward both the conjunctival and corneal epithelia. The
membrane-bound mucin may have caused mucogenic glaucoma in the absence of goblet
cells.
PMID- 26550969
TI - Intraocular Pressure Spike and Corneal Decompensation Following Selective Laser
Trabeculoplasty in Patients With Exfoliation Glaucoma.
AB - PURPOSE: To report 5 cases of intractable intraocular pressure (IOP) elevation
and 2 cases of corneal failure in patients with exfoliation glaucoma after
undergoing selective laser trabeculoplasty (SLT). METHODS: SLT was performed for
the treatment of exfoliation glaucoma in 5 patients, all of whom subsequently
developed significant IOP elevation within 1 to 5 weeks following treatment. Two
patients went on to develop corneal endothelial failure requiring transplantation
within 9 to 11 months. RESULTS: All 5 patients failed to respond adequately to
topical antiglaucoma therapy and required trabeculectomy. Two patients required
secondary implantation of a glaucoma drainage device. Two patients suffered
significant endothelial injury requiring corneal transplantation (one receiving
penetrating keratoplasty and another receiving Descemet stripping automated
endothelial keratoplasty). CONCLUSIONS: Significant and persistent IOP spikes
requiring surgical intervention following SLT are extremely rare, with only 1
other case series of 4 patients (all with heavily pigmented angles) reported in
the literature. Exfoliation patients, and likely all patients with heavily
pigmented angles, should be considered at higher risk for developing this
complication. Corneal endothelial failure is similarly rare. Nine cases have been
reported in the literature, none requiring corneal transplantation. Further
clinical attention and research is needed to help elucidate what factors (both
pressure-dependent and pressure-independent) may predispose patients to corneal
changes following SLT.
PMID- 26550970
TI - Obstructive Sleep Apnea and Retinal Nerve Fiber Layer Thickness: A Meta-analysis.
AB - STUDY OBJECTIVES: The association between obstructive sleep apnea syndrome (OSAS)
and retinal nerve fiber layer (RNFL) thickness has been examined in many studies.
However, the findings are inconsistent. Our goal is to evaluate the association
between OSAS and RNFL thickness by performing a meta-analysis. METHODS: We
conducted a PubMed database search in November 2014 to identify studies on OSAS
and RNFL. Reference lists of retrieved articles were also reviewed. A fixed
effects model was used to compute the summary mean difference (MD). RESULTS: Six
studies involving 1034 eyes were included in the meta-analysis. The overall
combined MD of RNFL in OSAS patients compared with control participants was -2.03
um [95% confidence interval (CI), -3.67 to -0.4; P=0.01]. The overall combined
MDs of RNFL thickness in relation to moderate OSAS and severe OSAS were -2.49 um
(95% CI: -4.54 to -0.44; P=0.02) and -6.36 um (95% CI: -8.4 to -4.32; P<0.001).
But no significant difference was observed in mild OSAS; the combined MD was
2.05 um (95% CI: -4.23 to 0.13; P=0.07). Association was also observed in OSAS
and RNFL thickness of the inferior quadrant, with a combined MD of -3.31 um (95%
CI: -6.19 to -0.42; P=0.02). CONCLUSIONS: This meta-analysis provides evidence
that OSAS is associated with RNFL thickness. Furthermore, it was observed that
the greater the severity of OSAS, the greater the loss of RNFL. Among the 4
quadrants observed, the most affected quadrant was the inferior quadrant, and the
least affected was the temporal quadrant. OSAS may have an impact on changes in
RNFL and therefore more attention should be paid to patients with this condition.
PMID- 26550971
TI - Dual Endotemponade for Extensive Long-standing Cyclodialysis Using Sulcus-fixated
Cionni Ring and PCIOL.
AB - A young patient presented with visual acuity of hand movements only, unrecordable
intraocular pressure, and total cataract after trauma 12 months ago. She reported
failure to improve with conservative therapy as well as a direct cycloplexy
elsewhere. After cleft localization on preoperative gonioscopy, ultrasound
biomicroscopy (UBM), and intraoperative gonioscopy, a partial-thickness scleral
flap was fashioned at the site of maximum cleft height. Following
phacoaspiration, a multipiece intraocular lens was implanted in the sulcus; its
haptics aligned to the axis with maximum height of cyclodialysis. A Cionni ring
placed in sulcus was sutured to sclera under the flap to provide additional
tamponading effect. Postoperative UBM and gonioscopy confirmed cleft closure.
Normalization of intraocular pressure was found on repeated follow-ups till 1
year (12 to 14 mm Hg). UBM showed increase in sulcus diameter, and "double
indentation sign" on the ciliary body.
PMID- 26550972
TI - Effect of Axial Length on Macular Ganglion Cell Complex Thickness and on Early
Glaucoma Diagnosis by Spectral-Domain Optical Coherence Tomography.
AB - PURPOSE: To investigate the effects of the axial length (AL)-related ocular
magnification on the thickness of the macular ganglion cell complex (mGCC), and
the diagnostic accuracy of the built-in normative database of the spectral-domain
optical coherence tomographic (SD-OCT) instrument for early glaucoma detection.
METHODS: This retrospective study included 41 eyes with early primary open-angle
glaucoma and 36 normal eyes. The mGCC thickness within a 20-degree circle,
equivalent to a 6 mm diameter in the Gullstrand model eye, was measured in the SD
OCT images. The magnification effect was corrected using Bennett formula, and the
mGCC thickness within the actual 6 mm diameter circle was determined. RESULTS: In
normal eyes, the inferior corrected mGCC was significantly correlated with the AL
(beta=-0.40, P=0.028), but correction for the magnification reduced the
correlation. In 38 nonhighly myopic eyes, the sensitivity and specificity of the
SD-OCT's significance maps for distinguishing early glaucoma were 95.0% and 94.4%
when using either the uncorrected or the corrected mGCC. In 39 highly myopic
eyes, the diagnostic accuracy was lower when using the uncorrected mGCC thickness
(sensitivity was 95.2% and specificity was 44.4%), and was not improved when
using the corrected mGCC (81.0% and 61.1%, respectively). CONCLUSIONS: The
inferior mGCC was thinner in eyes with longer AL. The accuracy of the diagnosis
with the SD-OCT built-in normative database for early glaucoma was not improved
significantly by the correction of the AL-associated magnification in highly
myopic eyes. Evaluation of highly myopic eyes with the nonhighly myopic normative
database can lead to misdiagnosis.
PMID- 26550973
TI - Management of Elevated Intraocular Pressure Associated With Subluxated/Dislocated
Lenses by Combining Trabeculectomy With Adjunctive Mitomycin C With Lensectomy,
Vitrectomy, and Scleral Fixation of Intraocular Lens.
AB - AIM: To evaluate the short-term outcomes of lensectomy, combined with vitrectomy,
scleral-fixated intraocular lens (SFIOL), and trabeculectomy with adjunctive
mitomycin C (MMC) in patients with subluxated/dislocated crystalline lenses with
associated elevated intraocular pressure (IOP). MATERIALS AND METHODS: This
retrospective case series included 51 eyes of 51 patients who underwent
lensectomy, vitrectomy, and SFIOL combined with trabeculectomy with MMC between
2003 and 2012. The main outcome measures assessed were IOP, change in visual
acuity, IOP-lowering medications, and the complications and reoperation rates.
RESULTS: The most common etiology observed was blunt trauma in 35 eyes (68.6%).
Glaucomatous optic neuropathy was detected in 13 eyes (25.49%) preoperatively.
The IOP reduced significantly from a preoperative mean of 26.3+/-11.5 mm Hg to
13+/-4.6 mm Hg (P<0.001) at the final visit. The mean preoperative number of IOP
lowering medications of 2.9+/-0.8 reduced to 0.3+/-0.7 at last follow-up
(P<0.001). The best-corrected visual acuity (Snellens) improved significantly
from 20/600 to 20/60 (P<0.001). Complete success defined as IOP<=21 mm Hg without
medications was achieved in 93%+/-5% and 80%+/-13% at 1 and 2 years,
respectively. Major postoperative complications included retinal detachment in
one eye and SFIOL dislocation in another. CONCLUSIONS: The technique of combining
SFIOLs with trabeculectomy with adjunctive MMC in the management of
subluxated/dislocated lenses resulted in good IOP control and improvement in
visual acuity.
PMID- 26550974
TI - CYP1B1 and MYOC Mutations in Vietnamese Primary Congenital Glaucoma Patients.
AB - PURPOSE: Primary congenital glaucoma (PCG, OMIM 231300), the most common glaucoma
in infancy, is caused by developmental defects in the anterior chamber angle. The
3 implicated genes are cytochrome P450 family I subfamily B polypeptide 1
(CYP1B1), latent transforming growth factor beta-binding protein 2 (LTBP2), and
myocilin (MYOC). In this study, we sought to determine CYP1B1 and MYOC sequence
variations in a Vietnamese cohort of index cases with PCG and their families.
METHODS: Thirty Vietnamese subjects with PCG and 120 normal Vietnamese subjects
were recruited. PCG was defined by the presence of at least 2 of the following
clinical manifestations: increased corneal diameter (>10 mm at birth), corneal
edema, Haab's striae, optic disc changes, and absence of other ocular or systemic
diseases associated with childhood glaucoma. The coding exons, intron and exon
boundaries, and untranslated regions of CYP1B1 and MYOC genes were PCR amplified
and subjected to bidirectional sequencing in all subjects. RESULTS: We identified
2 homozygous and 3 heterozygous CYP1B1 sequence alterations in our study
subjects. Among the 5 mutations identified, 2 (p.H279L and p.L283F) were novel
mutations, whereas 3 (p.A121_S122insDRPAFA, p.L107V, and p.V320L) had been
previously reported in PCG cases. None of these mutations was observed in any of
the 120 controls. Haplotypes generated with 6 non-disease-causing intragenic
single nucleotide polymorphisms detected in CYP1B1 indicated that the most common
haplotype in Vietnamese population is similar to that found in Chinese and
Japanese. The genotype-phenotype correlation showed no significant difference
between mutation and no-mutation groups for quantitative clinical features
(presenting intraocular pressure, corneal diameter, number of surgeries
performed, the cup-to-disc ratio) as well as for qualitative factors (bilateral
cases, phenotype severity, and the prognosis) (P>0.05). CONCLUSIONS: Five out of
30 families with PCG (16.7%) had disease attributable to CYP1B1 alterations
suggesting that CYP1B1 is not the major gene causing PCG in Vietnamese unlike in
the case of Arab or Romany patients. This percentage is similar to that detected
in studies of Japanese and Chinese patients with sporadic PCG. PCG has proven to
be an ocular disease of genetic heterogeneity, calling for further studies to
identify novel genes causing this disease.
PMID- 26550975
TI - Segmental Analysis of Macular Layers in Patients With Unilateral Primary Open
Angle Glaucoma.
AB - PURPOSE: To measure the thicknesses of the inner layers of the macula in both
eyes of patients with unilateral primary open-angle glaucoma (POAG) and compare
them with normal control eyes. METHODS: This prospective, cross-sectional pilot
study enrolled patients with unilateral POAG, who had visual field defect in only
1 eye, and controls with a normal eye examination. Horizontal and vertical B-scan
images centered on the fovea were obtained using spectral domain optical
coherence. Semiautomatic delineation and segmentation of the inner layers of the
retina were performed to evaluate macular retinal nerve fiber layer (mRNFL),
ganglion cell complex (GCC) and ganglion cell-inner plexiform layer (GC-IPL)
thicknesses. Mean, superior, inferior, nasal, and temporal inner macular layer
thicknesses were compared between affected eyes, fellow eyes without visual field
defect, and control eyes. RESULTS: Nineteen patients with unilateral POAG and 14
normal control eyes were enrolled. In the affected POAG eyes, thinning of the
mRNFL, GCC, and GC-IPL layers on horizontal and vertical scans were significant
when compared with controls (P<0.05), particularly on vertical scans (P<0.001).
The mean regional macular GCC and GC-IPL were most severely thinned in the
inferior and temporal perifoveal regions. The unaffected eye of patients with
unilateral POAG showed significant thinning of the mRNFL only in the vertical
scan when compared with normal controls (P<0.05). CONCLUSIONS: Spectral domain
optical coherence tomography detected significant thinning of the mRNFL, GCC, and
GC-IPL in the affected eyes of patients with unilateral POAG. Fellow eyes showed
early structural changes only in the vertical mRNFL scans when compared with
normal controls.
PMID- 26550976
TI - Shared Care in Monitoring Stable Glaucoma Patients: A Randomized Controlled
Trial.
AB - PURPOSE: Comparing the quality of care provided by a hospital-based shared care
glaucoma follow-up unit with care as usual. PATIENTS AND METHODS: This randomized
controlled trial included stable glaucoma patients and patients at risk for
developing glaucoma. Patients in the Usual Care group (n=410) were seen by
glaucoma specialists. In the glaucoma follow-up unit group (n=405), patients
visited the glaucoma follow-up unit twice followed by a visit to a glaucoma
specialist. The main outcome measures were: compliance to the working protocol by
glaucoma follow-up unit employees; difference in intraocular pressure between
baseline and at >=18 months; and patient satisfaction. RESULTS: Glaucoma follow
up unit employees closely adhered to the working protocol for the measurement of
intraocular pressure, visual acuity and GDx (>=97.5% of all visits). Humphrey
Field Analyzer examinations were not performed as frequently as prescribed by the
working protocol, but more often than in the Usual Care group. In a small
minority of patients that required back-referral, the protocol was disregarded,
notably when criteria were only slightly exceeded. There was no statistically
significant difference in changes in intraocular pressure between the 2 treatment
groups (P=0.854). Patients were slightly more satisfied with the glaucoma follow
up unit employees than with the glaucoma specialists (scores: 8.56 vs. 8.40;
P=0.006). CONCLUSIONS: In general, the hospital-based shared care glaucoma follow
up closely observed its working protocol and patients preferred it slightly over
the usual care provided by medical doctors. The glaucoma follow-up unit operated
satisfactorily and might serve as a model for shared care strategies elsewhere.
PMID- 26550977
TI - Adherence With the Use of Target Intraocular Pressure for Glaucoma Patients in a
Large University Practice.
AB - PURPOSE: To determine how often glaucoma specialists set a target intraocular
pressure (IOP) and how they respond when the target is not achieved. METHODS: We
reviewed 250 randomly selected charts of glaucoma patients seen by 5 glaucoma
specialists to identify whether a target IOP was specifically set and to detail
the plan of action when the target was exceeded. RESULTS: A target IOP was
present for at least 1 eye in 66% of patients (165/250). Among the patients of 5
physicians, the percentage with a target IOP for both eyes ranged from 90% to
34%. Half of eyes with no target had an explaining feature, whereas the other
half did not. The study visit IOP exceeded the target in at least 1 eye in 29%
(50/173) of patients. When the target was not met, 66% (33/50) had a notation of
action taken, with no significant difference among physicians in whether any
action was taken (P=0.64). The significant differences among the 5 physicians in
the use of target IOP were potentially associated with patient demographic and
clinical features, such as age, race, treatment intensity, and visual field
damage, which differed among the 5 practices (all P<0.05). CONCLUSIONS: Target
IOP was recorded in the large majority of patient charts, but its use varied by
physician and patient characteristics. On nearly one third of visits, IOP
exceeded the target, indicating the need for active decision-making and
management changes.
PMID- 26550978
TI - Long-term Surgical Outcomes of 180-Degree Suture Trabeculotomy in Korean Patients
With Primary Congenital Glaucoma.
AB - PURPOSE: To investigate the surgical outcomes of 180-degree suture trabeculotomy
in Korean patients with primary congenital glaucoma (PCG). PATIENTS AND METHODS:
This retrospective study included 21 eyes of 17 children with PCG who underwent
180-degree suture trabeculotomy as a first surgical procedure. Surgical success
was defined as (1) an intraocular pressure (IOP)<22 mm Hg with or without
medication; (2) no additional intraocular surgery; and (3) no evidence of
progressive optic disc cupping. The main outcome measure was the success rate of
180-degree suture trabeculotomy. Secondary outcome measures were IOP, number of
glaucoma medications, and occurrence of complications. RESULTS: Of the 21 eyes
included, 18 (85.7%) were classified as having achieved success at the final
visit (mean last follow-up or failure time, 54.91+/-45.68 mo). Median age at
surgery was 11.97 months with mean IOP 29.8+/-9.6 mm Hg, on average of 1.52
medications. The mean IOP at the final visit was 16.9+/-5.6 mm Hg on an average
of 0.38 medications. The surgical success rate of 180-degree suture trabeculotomy
at 1, 3, and 5 years was 95.0%, 87.7%, and 78.9%, respectively (Kaplan-Meier
analysis). Three eyes underwent second operations and the mean time to failure
was 29.39+/-19.75 months. Hyphema was observed in 10 eyes, but it cleared up
within 7.6 days. CONCLUSION: The 180-degree suture trabeculotomy performed for
PCG had a significant IOP-lowering effect and caused no remarkable complications.
PMID- 26550979
TI - Uveal Effusion: Clinical Features, Management, and Visual Outcomes in a
Retrospective Case Series.
AB - PURPOSE: To present the experience of a tertiary care ophthalmic institution in
the assessment and management of uncommon causes of uveal effusion and related
complications. MATERIALS AND METHODS: A retrospective chart review was conducted
of 12 patients diagnosed with uveal effusion and managed at our institution
between 1996 and 2012. The presenting features, investigations, management, and
outcomes were analyzed for each case. RESULTS: The case series encompasses a
variety of clinical conditions that cause uveal effusion including inflammatory,
hydrostatic, and idiopathic mechanisms. Two thirds of the patients presented with
secondary angle closure. Half of the patients had serous retinal detachment.
Modern imaging techniques including ultrasound biomicroscopy and high-resolution
magnetic resonance imaging were critical in making the diagnosis. Seven of the 12
patients responded to medical treatment and 4 required scleral surgery. Uveal
effusions resolved in all patients after treatment. CONCLUSIONS: Uveal effusion
is a complex and poorly understood clinical entity with significant visual
morbidity and is caused by a range of ocular and systemic diseases. Effective
management is critically dependent on the underlying cause.
PMID- 26550980
TI - Outcomes of Nd: YAG Goniopuncture After Viscocanalostomy/Phacoviscocanalostomy.
AB - PURPOSE: The aim of this study is to evaluate the safety and efficacy of laser
goniopuncture (LGP) to lower intraocular pressure (IOP) post-viscocanalostomy
(VC)/phacoviscocanalostomy (PVC). Outcomes include: IOP reduction from pre-LGP
levels and the need for further topical antiglaucomatous medication or surgery.
PATIENTS AND METHODS: A total of 541 eyes that underwent VC/PVC between 2009 and
2012, at the Stanley eye unit in Abergele were included in the study. INCLUSION
CRITERIA: All patients who had LGP at any timepoint after VC/PVC when target IOP
was not achieved +/- progression in visual field with at least 6 months of follow
up data.Statistical analysis was performed on IOP values pre- and post-LGP,
involving chi, Fischer exact, Mann-Whitney U, and Wilcoxon tests. A P-value of
<0.05 was accepted as the level of significance. RESULTS: Of the 515 included
eyes, 136 (26%) required LGP after a mean of 15.11+/-9.73 months after surgery
(95% confidence interval, 13.46-16.76 mo), ranging from 1 to 42 months. LGP
reduced IOP significantly from a mean of 22.92+/-5.80 to 17.08+/-5.30 mm Hg
immediately for all eyes, a reduction of 5.84 mm Hg (or a 25% reduction)
(P<0.0001). IOP significantly reduced in the VC group with a mean reduction of
7.60 mm Hg compared with 4.85 mm Hg in the PVC group immediately after the
procedure (P=0.0038). LGP was required sooner in the VC group compared with PVC,
11.35 and 14.57 months, respectively (P=0.0393). A total of 69 (62%) eyes were
commenced on topical IOP-lowering medications, mean 7.26+/-6.41 months after LGP.
CONCLUSIONS: This study supports previous evidence that LGP enhances the IOP
lowering success of VC/PVC. The advantages of LGP are that it is a minimally
invasive clinic-based procedure with a low complication rate.
PMID- 26550981
TI - Effect of Laser in Situ Keratomileusis on Schiotz, Goldmann, and Dynamic Contour
Tonometric Measurements.
AB - PURPOSE: To assess the effect of laser in situ keratomileusis (LASIK) on ocular
rigidity and compare its effect on intraocular pressure (IOP) readings with
Goldmann applanation tonometry (GAT), Schiotz indentation tonometry (ST), and
dynamic contour tonometry (DCT). PATIENTS AND METHODS: Prospective,
observational, single-masked study. Eighty-one patients who underwent myopic
LASIK and 108 unoperated myopic control patients were included in the study. The
IOP was measured using GAT, DCT, and ST. The coefficient of ocular rigidity (Ko)
was obtained from the regression analysis of the 3 readings obtained with each
weight of the ST. Linear multiple regression analysis was performed with dummy
variables to assess the effects of age, central corneal thickness (CCT), and
refractive surgery on measured IOP values. RESULTS: Age, CCT, and previous LASIK
explained 39.41% of the IOP readings with GAT, 25.31% with DCT, and 3.28% with
ST. LASIK caused a mean decrease of -2.51 mm Hg in IOP readings (P=0.000) with
GAT, -1.29 mm Hg (P=0.036) with DCT, and no significant change in IOP readings
with ST (P=0.299). Significant differences in the Ko were observed between the
LASIK and control groups. The Ko values were unrelated to age and CCT in the
LASIK and control groups. CONCLUSIONS: ST seems to be less affected by previous
LASIK procedures. There is a difference in the ocular rigidity between the
unoperated and LASIK eyes that is not correlated with the CCT. Therefore, ST
seems to measure changes in the biomechanical behavior of corneas that underwent
LASIK surgery.
PMID- 26550982
TI - Assessing the Role of the Family/Support System Perspective in Patients With
Glaucoma.
AB - PURPOSE: To investigate the role of the family/friends support system for
patients with glaucoma and their perspective on barriers to effective glaucoma
management. METHODS: Six focus groups were conducted in 3 geographically and
ethnically diverse areas of the United States (Los Angeles, CA; Rochester, MN;
Durham, NC) that included 31 participants; 68% (21/31) were family members and
friends of glaucoma patients with poor vision and 32% (10/31) were support system
individuals of patients with good vision. Content analysis was used to identify
important themes. Semiquantitative analysis was used to measure the frequency of
each theme. RESULTS: A total of 134 relevant comments were made in the 6 focus
groups and 72% of relevant comments were made by individuals providing support
for patients with poor vision. Family members and friends mentioned the following
areas of concern regarding patients' glaucoma care: patient education (19.4%),
doctor-patient relationship (17.9%), their own lack of involvement in the
patient's medical care (17.2%), frustration with glaucoma and with the patient
(14.9%), patient dependency on caregivers (14.9%), patient frustration with the
disease (10.4%), and sex differences in coping mechanisms (5.2%). CONCLUSIONS:
Support system individuals tend to be minimally involved in the patient's
glaucoma status and care. This is especially true for support system members of
patients with glaucoma who maintain good vision and those who do not have any
other personal experiences with difficulties from glaucoma. Many of these family
members express an interest in acquiring more education about glaucoma and
becoming more involved in the patient's glaucoma care.
PMID- 26550983
TI - Polyethylenimine Interfacial Layers in Inverted Organic Photovoltaic Devices:
Effects of Ethoxylation and Molecular Weight on Efficiency and Temporal
Stability.
AB - We report a comparative study of polyethylenimine (PEI) and ethoxylated
polyethylenimine (PEIE) cathode buffer layers in high performance inverted
organic photovoltaic devices. The work function of the indium-tin oxide
(ITO)/zinc oxide (ZnO) cathode was reduced substantially (Deltaphi = 0.73-1.09
eV) as the molecular weight of PEI was varied from 800 g mol(-1) to 750 000 g
mol(-1) compared with the observed much smaller reduction when using a PEIE thin
film (Deltaphi = 0.56 eV). The reference inverted polymer solar cells based on
the small band gap polymer PBDTT-FTTE (ITO/ZnO/PBDTT-FTTE:PC70BM/MoO3/Ag),
without a cathode buffer layer, had an average power conversion efficiency (PCE)
of 6.06 +/- 0.22%. Incorporation of a PEIE cathode buffer layer in the same PBDTT
FTTE:PC70BM blend devices gave an enhanced performance with a PCE of 7.37 +/-
0.53%. In contrast, an even greater photovoltaic efficiency with a PCE of 8.22 +/
0.10% was obtained in similar PBDTT-FTTE:PC70BM blend solar cells containing a
PEI cathode buffer layer. The temporal stability of the inverted polymer solar
cells was found to increase with increasing molecular weight of the cathode
buffer layer. The results show that PEI is superior to PEIE as a cathode buffer
layer in high performance organic photovoltaic devices and that the highest
molecular weight PEI interlayer provides the highest temporal stability.
PMID- 26550985
TI - In Situ Study on the Evolution of Multimodal Particle Size Distributions of ZnO
Quantum Dots: Some General Rules for the Occurrence of Multimodalities.
AB - Properties of small semiconductor nanoparticles (NPs) are strongly governed by
their size. Precise characterization is a key requirement for tailored
dispersities and thus for high-quality devices. Results of a careful analysis of
particle size distributions (PSDs) of ZnO are presented combining advantages of
UV/vis absorption spectroscopy, analytical ultracentrifugation, and small-angle X
ray scattering (SAXS). Our study reveals that careful cross-validation of these
different methods is mandatory to end up with reliable resolution. PSDs of ZnO
NPs are multimodal on a size range of 2-8 nm, a finding that is not yet
sufficiently addressed. In the second part of our work the evolution of PSDs was
studied using in situ SAXS. General principles for the appearance of
multimodalities covering a temperature range between 15 and 45 degrees C were
found which are solely determined by the aging state indicated by the size of the
medium-sized fraction. Whenever this fraction exceeds a critical diameter, a new
multimodality is identified, independent of the particular time-temperature
combination. A fraction of larger particles aggregates first before a fraction of
smaller particles is detected. Fixed multimodalities have not yet been addressed
adequately and could only be evidenced due to careful size analysis.
PMID- 26550984
TI - Interpolated average CT for PET attenuation correction in different lesion
characteristics.
AB - OBJECTIVE: Previously we proposed using an interpolated average computed
tomography (IACT) method as a low-dose alternate of cine average computed
tomography (CACT) for PET attenuation correction (AC). This study aims to
evaluate its performance for thoracic lesions with different characteristics in
simulations and clinical patients. MATERIALS AND METHODS: We used the XCAT
phantom to simulate noisy fluorine-18 fluorodeoxyglucose (18F-FDG) distribution
with respiratory motion amplitudes of 2 and 3 cm. Average activity and
attenuation maps represented static PET and CACT, respectively. IACT was
generated by the end-inspiration and end-expiration phases of the attenuation
maps (HCT-in and HCT-ex) using a deformable registration method. Spherical
lesions with diameters of 10 and 20 mm with four target-to-background ratios
(TBRs) were simulated at four different locations individually, including the
lower left lung, lower right lung, middle right lung, and upper right lung. Five
patients with a total of six thoracic lesions were recruited. They were scanned 1
h after 315-480 MBq F-FDG injection. Simulated and clinical PET sinograms were
reconstructed with AC using (i) CACT, (ii) IACT, and (iii) helical computed
tomography (HCTs). The TBRs and mean standardized uptake value were analyzed.
RESULTS: Significant artifacts were observed in PET HCTs from visual assessment.
For both simulation and clinical study, PET IACT was more similar to PET CACT in
terms of TBRs and mean standardized uptake value. The differences between
CACT/IACT and HCTs were more significant for lesions located at the lower lungs.
CONCLUSION: The IACT is a robust and low-dose AC method for improved thoracic
lesion localization and quantitation for a wide range of lesion characteristics.
PMID- 26550986
TI - H2O Dissociation-Induced Aluminum Oxide Growth on Oxidized Al(111) Surfaces.
AB - The interaction of water vapor with amorphous aluminum oxide films on Al(111) is
studied using X-ray photoelectron spectroscopy to elucidate the passivation
mechanism of the oxidized Al(111) surfaces. Exposure of the aluminum oxide film
to water vapor results in self-limiting Al2O3/Al(OH)3 bilayer film growth via
counter-diffusion of both ions, Al outward and OH inward, where a thinner
starting aluminum oxide film is more reactive toward H2O dissociation-induced
oxide growth because of the thickness-dependent ionic transport in the aluminum
oxide film. The aluminum oxide film exhibits reactivity toward H2O dissociation
in both low-vapor pressure [p(H2O) = 1 * 10(-6) Torr] and intermediate-vapor
pressure [p(H2O) = 5 Torr] regimes. Compared to the oxide film growth by exposure
to a p(H2O) of 1 * 10(-6) Torr, the exposure to a p(H2O) of 5 Torr results in the
formation of a more open structure of the inner Al(OH)3 layer and a more compact
outer Al2O3 layer, demonstrating the vapor-pressure-dependent atomic structure in
the passivating layer.
PMID- 26550987
TI - Clinical Application of Anti-CCR4 Monoclonal Antibody.
AB - Mogamulizumab (KW-0761) is a humanized anti-CCR4 monoclonal antibody with a
defucosylated Fc region (Potelligent(r) Technology), which markedly enhances
antibody-dependent cellular cytotoxicity by increasing its binding affinity to
the Fcx03B3; receptor expressed on effector cells. It is an effective agent for
patients with CCR4-positive adult T-cell leukemia and peripheral T-cell lymphoma,
for which no standard therapy exists, and it has an acceptable toxicity profile.
In addition, because CCR4 is expressed on CD45RA-FOXP3highCD4+ effector
regulatory T (Treg) cells, it is an even more attractive target, because Treg
cells involved in the tumor escape from host immunity in the tumor
microenvironment. Based on this concept, we conducted a clinical study of
mogamulizumab for the treatment of CCR4-negative advanced or recurrent solid
cancer, with the aim of depleting effector Treg cells and thus boosting anti
cancer immune responses. In this study, mogamulizumab infusion at doses ranging
from 0.1 to 1.0 mg/kg was safe and well tolerated. Four of 10 patients showed
stable disease during treatment and showed long-term survival. Mogamulizumab
efficiently depleted effector Treg cells even at the lowest dose of 0.1 mg/kg,
and an augmentation or induction of specific immune responses to cancer/testis
antigens was observed in some patients. In the near future, a novel immunotherapy
targeting Treg cells with mogamulizumab will be offered to patients with
different types of cancer.
PMID- 26550988
TI - Defining the Genetic Architecture of Alzheimer's Disease: Where Next.
AB - BACKGROUND: Late-onset Alzheimer's disease is a genetically complex disorder. For
17 years, APOE was the only known susceptibility gene for disease. Through mostly
genome-wide association studies, 25 loci are now known to associate with late
onset Alzheimer's disease. These susceptibility loci are not randomly distributed
with respect to their functions. In fact, pathway analysis implicates significant
enrichment of immunity, endocytosis, cholesterol metabolism, and ubiquitination
in disease. SUMMARY: Twenty-five loci have now been reliably shown to associate
with Alzheimer's disease. However, a significant proportion of genetic variation
in disease pathology is yet to be detected. Rare variation is being investigated
through exome chip and next-generation sequencing experiments, which have already
identified new protective and risk variants. Using a polygenic risk score
approach, it is now possible to identify population groups with the greatest and
fewest biological susceptibilities to disease. This method has proved more
effective in predicting disease status than individual, genome-wide significant
variants of small/moderate effect. Future studies will establish the specific
functional changes that contribute to disease by piloting novel cellular
modelling techniques using reprogrammed induced pluripotent stem cells from
individuals with selected risk profiles. This will allow a variety of models to
be produced to help understand disease mechanisms and test new drug therapies.
KEY MESSAGES: Alzheimer's disease is a polygenic trait that has been linked to
deficits in immunity, endocytosis, cholesterol metabolism and ubiquitination.
Future work will focus on identifying rare disease susceptibility loci, unpicking
the functional significance of the known risk loci and piloting novel cellular
modelling techniques.
PMID- 26550989
TI - Pyruvate to Lactate Metabolic Changes during Neurodevelopment Measured
Dynamically Using Hyperpolarized 13C Imaging in Juvenile Murine Brain.
AB - Hyperpolarized 13C magnetic resonance imaging has recently been used to
dynamically image metabolism in vivo. This technique provides the capability to
investigate metabolic changes in mouse brain development over multiple time
points. In this study, we used 13C magnetic resonance spectroscopic imaging and
hyperpolarized 13C-1-labeled pyruvate to analyze its conversion into lactate. We
also applied T2-weighted anatomical imaging to examine brain volume changes
starting from postnatal day 18 (P18). We combined these results with body weight
measurements for a comprehensive interpretation of mouse brain maturation. Both
the produced lactate level and pyruvate to lactate conversion rate decreased with
increasing age in a linear manner. Total brain volume remained the same after
P18, even though body weight continued to grow exponentially. Our results have
shown that the rate of metabolism of 13C-1 pyruvate to lactate in brain is high
in the young mouse and decreases with age. The brain at P18 is still relatively
immature and continues to develop even as the total brain volume remains the
same.
PMID- 26550990
TI - Using High Spatial Resolution to Improve BOLD fMRI Detection at 3T.
AB - For different functional magnetic resonance imaging experiments using blood
oxygenation level-dependent (BOLD) contrast, the acquisition of T2*-weighted
scans at a high spatial resolution may be advantageous in terms of time-course
signal-to-noise ratio and of BOLD sensitivity when the regions are prone to
susceptibility artifacts. In this study, we explore this solution by examining
how spatial resolution influences activations elicited when appetizing food
pictures are viewed. Twenty subjects were imaged at 3 T with two different voxel
volumes, 3.4 MUl and 27 MUl. Despite the diminution of brain coverage, we found
that high-resolution acquisition led to a better detection of activations. Though
known to suffer to different degrees from susceptibility artifacts, the
activations detected by high spatial resolution were notably consistent with
those reported in published activation likelihood estimation meta-analyses,
corresponding to taste-responsive regions. Furthermore, these regions were found
activated bilaterally, in contrast with previous findings. Both the reduction of
partial volume effect, which improves BOLD contrast, and the mitigation of
susceptibility artifact, which boosts the signal to noise ratio in certain
regions, explained the better detection noted with high resolution. The present
study provides further evidences that high spatial resolution is a valuable
solution for human BOLD fMRI, especially for studying food-related stimuli.
PMID- 26550991
TI - DNA Methylation Patterns Can Estimate Nonequivalent Outcomes of Breast Cancer
with the Same Receptor Subtypes.
AB - Breast cancer has various molecular subtypes and displays high heterogeneity.
Aberrant DNA methylation is involved in tumor origin, development and
progression. Moreover, distinct DNA methylation patterns are associated with
specific breast cancer subtypes. We explored DNA methylation patterns in
association with gene expression to assess their impact on the prognosis of
breast cancer based on Infinium 450K arrays (training set) from The Cancer Genome
Atlas (TCGA). The DNA methylation patterns of 12 featured genes that had a high
correlation with gene expression were identified through univariate and
multivariable Cox proportional hazards models and used to define the methylation
risk score (MRS). An improved ability to distinguish the power of the DNA
methylation pattern from the 12 featured genes (p = 0.00103) was observed
compared with the average methylation levels (p = 0.956) or gene expression (p =
0.909). Furthermore, MRS provided a good prognostic value for breast cancers even
when the patients had the same receptor status. We found that ER-, PR- or Her2-
samples with high-MRS had the worst 5-year survival rate and overall survival
time. An independent test set including 28 patients with death as an outcome was
used to test the validity of the MRS of the 12 featured genes; this analysis
obtained a prognostic value equivalent to the training set. The predict power was
validated through two independent datasets from the GEO database. The DNA
methylation pattern is a powerful predictor of breast cancer survival, and can
predict outcomes of the same breast cancer molecular subtypes.
PMID- 26550993
TI - Reply: To PMID 25658178.
PMID- 26550992
TI - GsCML27, a Gene Encoding a Calcium-Binding Ef-Hand Protein from Glycine soja,
Plays Differential Roles in Plant Responses to Bicarbonate, Salt and Osmotic
Stresses.
AB - Calcium, as the most widely accepted messenger, plays an important role in plant
stress responses through calcium-dependent signaling pathways. The calmodulin
like family genes (CMLs) encode Ca2+ sensors and function in signaling
transduction in response to environmental stimuli. However, until now, the
function of plant CML proteins, especially soybean CMLs, is largely unknown.
Here, we isolated a Glycine soja CML protein GsCML27, with four conserved EF
hands domains, and identified it as a calcium-binding protein through far-UV CD
spectroscopy. We further found that expression of GsCML27 was induced by
bicarbonate, salt and osmotic stresses. Interestingly, ectopic expression of
GsCML27 in Arabidopsis enhanced plant tolerance to bicarbonate stress, but
decreased the salt and osmotic tolerance during the seed germination and early
growth stages. Furthermore, we found that ectopic expression of GsCML27 decreases
salt tolerance through modifying both the cellular ionic (Na+, K+) content and
the osmotic stress regulation. GsCML27 ectopic expression also decreased the
expression levels of osmotic stress-responsive genes. Moreover, we also showed
that GsCML27 localized in the whole cell, including cytoplasm, plasma membrane
and nucleus in Arabidopsis protoplasts and onion epidermal cells, and displayed
high expression in roots and embryos. Together, these data present evidence that
GsCML27 as a Ca2+-binding EF-hand protein plays a role in plant responses to
bicarbonate, salt and osmotic stresses.
PMID- 26550994
TI - Pro-inflammatory S100A9 Protein as a Robust Biomarker Differentiating Early
Stages of Cognitive Impairment in Alzheimer's Disease.
AB - Pro-inflammatory protein S100A9 was established as a biomarker of dementia
progression and compared with others such as Abeta(1-42) and tau-proteins. CSF
samples from 104 stringently diagnosed individuals divided into five subgroups
were analyzed, including nondemented controls, stable mild cognitive impairment
(SMCI), mild cognitive impairment due to Alzheimer's disease (MCI-AD),
Alzheimer's disease (AD), and vascular dementia (VaD) patients. ELISA, dot
blotting, and electrochemical impedance spectroscopy were used as research
methods. The S100A9 and Abeta(1-42) levels correlated with each other: their CSF
content decreased already at the SMCI stage and declined further under MCI-AD,
AD, and VaD conditions. Immunohistochemical analysis also revealed involvement of
both Abeta(1-42) and S100A9 in the amyloid-neuroinflammatory cascade already
during SMCI. Tau proteins were not yet altered in SMCI; however their contents
increased during MCI-AD and AD, diagnosing later dementia stages. Thus, four
biomarkers together, reflecting different underlying pathological causes, can
accurately differentiate dementia progression and also distinguish AD from VaD.
PMID- 26550995
TI - Changes in insulin sensitivity and secretion after sleeve gastrectomy.
AB - BACKGROUND: Sleeve gastrectomy is indicated for the treatment of obesity and
related co-morbidity including diabetes. The dynamic changes in insulin secretion
and sensitivity after sleeve gastrectomy are unknown. METHODS: Whole-body insulin
sensitivity was measured by the euglycaemic hyperinsulinaemic clamp technique,
and insulin secretion by C-peptide deconvolution after an oral glucose tolerance
test (OGTT), before and 3, 6 and 12 months after sleeve gastrectomy in morbidly
obese subjects. The time course of glucagon-like peptide (GLP) 1, as a marker of
insulin secretion following OGTT, was also assessed. RESULTS: Ten patients were
included in the study. Median (range) baseline insulin sensitivity (M-value)
increased from 84.0 (20.2-131.4) mmol per kg per min at baseline to 122.8 (99.0
179.3) mmol per kg per min at 12 months after surgery (P = 0.015). Fasting
insulin sensitivity, measured by homeostatic model assessment of insulin
resistance, which represents a surrogate index of hepatic insulin resistance,
decreased from 3.3 (1.9-5.5) to 0.7 (0.5-1.1) mg/dl . uunits/ml (P < 0.001).
Total insulin secretion, measured as incremental area under the curve (AUC),
after OGTT decreased from 360.4 (347.9-548.0) to 190.1 (10.1-252.0) mmol/l . 180
min at 12 months (P = 0.011). The AUC for GLP-1 increased from 258.5 (97.5-552.6)
to 5531.8 (4143.0-7540.9) pmol/l . 180 min at 12 months after sleeve gastrectomy
(P < 0.001). In multiple regression analysis, 51 per cent of the M-value
variability was explained by GLP-1 secretion. CONCLUSION: Sleeve gastrectomy
improved insulin sensitivity and reduced insulin secretion within 6 months after
surgery. Although there was a correlation between insulin sensitivity and
bodyweight, the major driver of the improvement in insulin sensitivity was GLP-1
secretion.
PMID- 26550996
TI - Change in quality of life of people with dementia in residential care facilities:
a 3-year follow-up study.
PMID- 26550997
TI - Community-Level Social Capital and Psychological Distress among the Elderly in
Japan: A Population-Based Study.
AB - Despite accumulating evidence, previous studies have not clearly separated the
contribution of community-level social capital on mental health from that of
individual-level social support. We examined the association between community
level social capital and psychological distress in a sample of older Japanese
individuals, taking into account the effects of individual-level social capital
and social support. We collected data via a cross-sectional survey among all
residents aged >=65 in three rural municipalities in Okayama Prefecture. We
measured two components of social capital in the questionnaire: perceptions of
trust and reciprocity in the community. Community-level social capital was
obtained by aggregating individual responses and calculating the proportion of
subjects reporting mistrust and lack of reciprocity. Psychological distress was
assessed by the Kessler Psychological Distress scale. We calculated rate ratios
[corrected] (RRs) and 95% confidence intervals (CIs) for psychological distress
using two-level Poisson regression models (9,761 individuals nested within 35
communities). The prevalence of psychological distress was 39.8%. Low community
level social capital was associated with psychological distress, even after
controlling for individual-level social support, age, sex, educational
attainment, frequency of alcohol consumption, smoking status, body mass index,
marital status, socioeconomic status, and number of cohabiters. The adjusted RRs
per 10% increase of the proportion of mistrust and lack of reciprocity in the
communities were 1.23 (95% CI: 1.01-1.51) and 1.12 (95% CI: 1.02-1.24),
respectively. Lower levels of community-level social capital are associated with
psychological distress among the Japanese elderly population, even after
adjusting for individual-level perceptions of social capital and social support.
PMID- 26550999
TI - Perioperative Blood Transfusion, Age at Surgery, and Prognosis in a Database of
526 Upper Gastrointestinal Cancers.
AB - AIMS: It is demonstrated that older animals have significantly weaker responses
to new alloantigen stimulation than young animals, but the effect on prognosis of
perioperative blood transfusion in relation to patient age is unknown. This study
is retrospective review to investigate the relationship between perioperative
blood transfusion, age at surgery, and clinical outcome in upper gastrointestinal
cancer patients. METHODS: We analyzed data of 526 upper gastrointestinal cancer
patients who underwent curative resection from 2005 to 2010. RESULTS: In
esophageal cancer patients, patients with blood transfusion experienced
significantly shorter overall survival (OS; univariate HR 2.50, p = 0.0006) and
disease-free survival (DFS; univariate HR 1.71, p = 0.016) than patients without.
Similar results were observed in gastric cancer patients (OS; univariate HR 3.35,
p = 0.0001 and DFS; univariate HR = 3.18, p < 0.0001). Furthermore perioperative
blood transfusion may be an independent prognostic factor in esophageal cancer
patients (multivariate HR = 2.07, p = 0.026). Interestingly, age at surgery
significantly affected the influence of blood transfusion on patient outcome in
esophageal cancer patients (p for interaction = 0.022). CONCLUSION: The negative
effect of perioperative blood transfusion was particularly evident among younger
patients with esophageal cancer.
PMID- 26550998
TI - What Dyadic Reparation Is Meant to Do: An Association with Infant Cortisol
Reactivity.
AB - BACKGROUND: The latency to reparation of interactive mismatches (interactive
repair) is argued to regulate infant distress on a psychobiological level, and
maternal anxiety disorders might impair infant regulation. SAMPLING AND METHODS:
A total of 46 dyads (19 mothers with an anxiety disorder, 27 controls) were
analyzed for associations between interactive repair and infant cortisol
reactivity during the Face-to-Face-Still-Face paradigm 3-4 months postpartum.
Missing cortisol values (n = 16) were imputed. Analyses were conducted on both
the original and the pooled imputed data. RESULTS: Interactive repair during the
reunion episode was associated with infant cortisol reactivity (original data: p
< 0.01; pooled data: p < 0.01) but not maternal anxiety disorder (p > 0.23).
Additional stepwise regression analyses found that latency to repair during play
(p < 0.01), an interaction between distress during the first trimester of
pregnancy and latency to repair during reunion (p < 0.01) and infant self
comforting behaviors during the reunion episode (p = 0.04) made independent
contributions to cortisol reactivity in the final regression model. CONCLUSIONS:
This is the first study demonstrating that interactive repair is related to
infant psychobiological stress reactivity. The lack of a relation to maternal
anxiety disorder may be due to the small sample size. However, this result
emphasizes that infants respond to what they experience and not to the maternal
diagnostic category.
PMID- 26551000
TI - Vibrational Spectroscopic Observation of Atomic-Scale Local Surface Sites Using
Site-Selective Signal Enhancement.
AB - Molecule-substrate interactions are sensitively affected by atomic-scale surface
structures. Unique activity in heterogeneous catalysts or electrocatalysts is
often related with local surface sites with specific structures. We demonstrate
that adsorption geometry of a model molecule with an isocyanide anchor is
drastically varied among one-fold atop, two-fold bridge, and three-fold hollow
configurations with increasing the size of atomic-scale local surface sites of Pd
islands on an Au(111) model surface. The vibrational spectroscopic observation of
such local information is realized by site-selective and self-assembled formation
of hotspots, where Raman scattering intensity is significantly enhanced via
excitation of localized surface plasmons.
PMID- 26551001
TI - Overview: Cancer Stem Cell and Tumor Environment.
AB - It is becoming clear that cancer cells display features of normal tissue
organization in the microenvironment, where cancer stem cells (CSCs) can drive
tumor growth in the tumor environment. It has been proposed that the genetic and
CSC models of cancer can be harmonized by considering the role of genetic
diversity and tumor heterogeneity. The concept of leukemia stem cells (LSCs) also
becomes critical in understanding the pathogenesis of leukemia, and alterations
in the bone marrow niche are commonly observed in blood malignancies and directly
contribute to the aberrant function of disease-initiating LSCs. We describe the
cutting-edge progress regarding LSC research and the promising clinical
strategies with LSC-targeted therapy.
PMID- 26551003
TI - Got It! Let's Cool It! But What's Next in Organ Donor Research?
PMID- 26551002
TI - Tau Immunotherapy.
AB - In recent years, tau immunotherapy has advanced from proof-of-concept studies
[Sigurdsson EM, NIH R01AG020197, 2001; Asuni AA, et al: J Neurosci 2007;27:9115
9129], which have now been confirmed and extended by us and others. Phase I
clinical trials on active and passive tau immunizations are being conducted, with
several additional passive tau antibody trials likely to be initiated in the near
future for Alzheimer's disease and other tauopathies. Because tau pathology
correlates better with the degree of dementia than amyloid-beta (Abeta)
pathology, greater clinical efficacy may be achieved by clearing tau than Abeta
aggregates in the later stages of the disease, when cognitive impairments become
evident. Substantial insight has now been obtained regarding which epitopes to
target, mechanism of action and potential toxicity, but much remains to be
clarified. All of these factors likely depend on the model/disease or stage of
pathology and the immunogen/antibody. Interestingly, tau antibodies interact with
the protein both extra- and intracellularly, but the importance of each site for
tau clearance is not well defined. Some antibodies are readily taken up into
neurons, whereas others are not. It can be argued that extracellular clearance
may be safer but less efficacious than intraneuronal clearance and/or
sequestration to prevent secretion and further spread of tau pathology.
Development of therapeutic tau antibodies has led to antibody-derived imaging
probes, which are more specific than the dye-based compounds that are already in
clinical trials. Such specificity may give valuable information on the
pathological tau epitope profile, which could then guide the selection of
therapeutic antibodies for maximal efficacy and safety. Hopefully, tau
immunotherapy will be effective in clinical trials, and further advanced by
mechanistic clarification in experimental models with insights from biomarkers
and postmortem analyses of clinical subjects.
PMID- 26551004
TI - HybProbes-based real-time PCR assay for specific identification of Streptomyces
scabies and Streptomyces europaeiscabiei, the potato common scab pathogens.
AB - The aim of this study was to develop and validate a HybProbes-based real-time PCR
assay targeting the trpB gene for specific identification of Streptomyces scabies
and Streptomyces europaeiscabiei. Four primer pairs and a fluorescent probe were
designed and evaluated for specificity in identifying S. scabies and Streptomyces
europaeiscabiei, the potato common scab pathogens. The specificity of the
HybProbes-based real-time PCR assay was evaluated using 46 bacterial strains, 23
Streptomyces strains and 23 non-Streptomyces bacterial species. Specific and
strong fluorescence signals were detected from all nine strains of S. scabies and
Streptomyces europaeiscabiei. No fluorescence signal was detected from 14 strains
of other Streptomyces species and all non-Streptomyces strains. The
identification was corroborated by the melting curve analysis that was performed
immediately after the amplification step. Eight of the nine S. scabies and S.
europaeiscabiei strains exhibited a unique melting peak, at Tm of 69.1 degrees C
while one strain, Warba-6, had a melt peak at Tm of 65.4 degrees C. This
difference in Tm peaks could be attributed to a guanine to cytosine mutation in
strain Warba-6 at the region spanning the donor HybProbe. The reported HybProbes
assay provides a more specific tool for accurate identification of S. scabies and
S. europaeiscabiei strains. SIGNIFICANCE AND IMPACT OF THE STUDY: This study
reports a novel assay based on HybProbes chemistry for rapid and accurate
identification of the potato common scab pathogens. Since the HybProbes chemistry
requires two probes for positive identification, the assay is considered to be
more specific than conventional PCR or TaqMan real-time PCR. The developed assay
would be a useful tool with great potential in early diagnosis and detection of
common scab pathogens of potatoes in infected plants or for surveillance of
potatoes grown in soil environment.
PMID- 26551005
TI - Clinical Implications of TbetaRII Expression in Breast Cancer.
AB - OBJECTIVE: To explore the relationship between TbetaRII [type II TGFbeta
(transforming growth factor beta) receptor] expression and clinicopathological
characteristics, and to evaluate the prognostic significance of TbetaRII
expression in breast cancer. METHODS: Clinicopathological data and prognostic
information of 108 patients with histologically confirmed breast cancer who were
surgically treated at China Medical University between January 2007 and September
2008 were reviewed and the association between the clinicopathological
characteristics and TbetaRII expression was analyzed by chi-square test and
multivariate analysis. The expression of TbetaRII was assessed by
immunohistochemistry. RESULTS: Of the 108 patients, 60 cases were TbetaRII
positive and 48 cases were negative. There was no significant association between
TbetaRII expression of the patients older than 40 years and that of the younger
than 40 years (56.0% vs 50.0%; P = 0.742). The TbetaRII expression rate was
significantly increased in patients with lymph node metastasis compared to those
without lymph node metastasis (67.40% vs 46.8%; P = 0.033). Statistically
significant relationships were found between increasing tumor clinical stage and
high TbetaRII expression (P = 0.011). TbetaRII expression was not associated with
the expression of ER(estrogen receptor), PR, (progesterone receptor), Her-2
(human epidermal growth factor receptor 2) (P = 0.925,P = 0.861, and P = 0.840,
respectively). Patients with high TbetaRII expression showed poorer 5-year
disease-free survival (DFS) compared to those with low expression (66.7% vs
45.6%; P = 0.028) by univariate analysis. Survival analysis demonstrated that
TbetaRII was associated with poor DFS (P = 0.011). Subgroup analysis revealed
that TbetaRII expression was associated with shorter DFS in patients with lymph
node metastasis, ER-positive, PR-positive or Her-2-negative tumors (P = 0.006, P
= 0.016, P = 0.022, and P = 0.033, respectively). Cox regression analysis
revealed that high TbetaRII expression was related to poor 5-year DFS, and it was
an independent factor for predicting the poor outcome for breast cancer patients
(P = 0.016). CONCLUSIONS: High levels of TbetaRII expression were associated with
lymph node metastasis, increasing tumor clinical stage, and poorer 5-year DFS in
patients with breast cancer. TbetaRII may be a potential prognostic marker for
breast cancer.
PMID- 26551006
TI - Normal-Weight Central Obesity: Implications for Total and Cardiovascular
Mortality.
AB - BACKGROUND: The relationship between central obesity and survival in community
dwelling adults with normal body mass index (BMI) is not well-known. OBJECTIVE:
To examine total and cardiovascular mortality risks associated with central
obesity and normal BMI. DESIGN: Stratified multistage probability design.
SETTING: NHANES III (Third National Health and Nutrition Examination Survey).
PARTICIPANTS: 15,184 adults (52.3% women) aged 18 to 90 years. MEASUREMENTS:
Multivariable Cox proportional hazards models were used to evaluate the
relationship of obesity patterns defined by BMI and waist-to-hip ratio (WHR) and
total and cardiovascular mortality risk after adjustment for confounding factors.
RESULTS: Persons with normal-weight central obesity had the worst long-term
survival. For example, a man with a normal BMI (22 kg/m2) and central obesity had
greater total mortality risk than one with similar BMI but no central obesity
(hazard ratio [HR], 1.87 [95% CI, 1.53 to 2.29]), and this man had twice the
mortality risk of participants who were overweight or obese according to BMI only
(HR, 2.24 [CI, 1.52 to 3.32] and 2.42 [CI, 1.30 to 4.53], respectively). Women
with normal-weight central obesity also had a higher mortality risk than those
with similar BMI but no central obesity (HR, 1.48 [CI, 1.35 to 1.62]) and those
who were obese according to BMI only (HR, 1.32 [CI, 1.15 to 1.51]). Expected
survival estimates were consistently lower for those with central obesity when
age and BMI were controlled for. LIMITATIONS: Body fat distribution was assessed
based on anthropometric indicators alone. Information on comorbidities was
collected by self-report. CONCLUSION: Normal-weight central obesity defined by
WHR is associated with higher mortality than BMI-defined obesity, particularly in
the absence of central fat distribution. PRIMARY FUNDING SOURCE: National
Institutes of Health, American Heart Association, European Regional Development
Fund, and Czech Ministry of Health.
PMID- 26551007
TI - Erythropoietin Modulates Cerebral and Serum Degradation Products from Excess
Calpain Activation following Prenatal Hypoxia-Ischemia.
AB - Preterm infants suffer central nervous system (CNS) injury from hypoxia-ischemia
and inflammation - termed encephalopathy of prematurity. Mature CNS injury
activates caspase and calpain proteases. Erythropoietin (EPO) limits apoptosis
mediated by activated caspases, but its role in modulating calpain activation has
not yet been investigated extensively following injury to the developing CNS. We
hypothesized that excess calpain activation degrades developmentally regulated
molecules essential for CNS circuit formation, myelination and axon integrity,
including neuronal potassium-chloride co-transporter (KCC2), myelin basic protein
(MBP) and phosphorylated neurofilament (pNF), respectively. Further, we predicted
that post-injury EPO treatment could mitigate CNS calpain-mediated degradation.
Using prenatal transient systemic hypoxia-ischemia (TSHI) in rats to mimic CNS
injury from extreme preterm birth, and postnatal EPO treatment with a clinically
relevant dosing regimen, we found sustained postnatal excess cortical calpain
activation following prenatal TSHI, as shown by the cleavage of alpha II-spectrin
(alphaII-spectrin) into 145-kDa alphaII-spectrin degradation products (alphaII
SDPs) and p35 into p25. Postnatal expression of the endogenous calpain inhibitor
calpastatin was also reduced following prenatal TSHI. Calpain substrate
expression following TSHI, including cortical KCC2, MBP and NF, was modulated by
postnatal EPO treatment. Calpain activation was reflected in serum levels of
alphaII-SDPs and KCC2 fragments, and notably, EPO treatment also modulated KCC2
fragment levels. Together, these data indicate that excess calpain activity
contributes to the pathogenesis of encephalopathy of prematurity. Serum
biomarkers of calpain activation may detect ongoing cerebral injury and
responsiveness to EPO or similar neuroprotective strategies.
PMID- 26551009
TI - [Tempora mutantur... et nos? The future of the Hungarian anatomy teaching in
reflection of the German trends].
AB - The traditional four-semester anatomy is a subject to change: next to the
external pressure, there is an intrinsic need to shift the emphasis. The mapping
of the strengths, weaknesses and threats of the Hungarian anatomy teaching helps
to formulate the directions of possible development. Current trends in the German
medical education should be carefully followed. Nowadays, nearly 25% of the
medical students in Germany are studying according to the new, integrated
"Modellstudiengang", i.e. all the conventional subjects are reorganised into
organ system thematic blocks. The unified German written final exam system
provides an objective assessment parameter: to rank the 36 German medical schools
according to the results of the anatomy exams. The homepage-published data, the
number of semesters or teaching hours, or the thematic concept of the subject
alone cannot explain the rankings of the medical schools according to the anatomy
exam results. The greatest challenges of the Hungarian anatomy teaching today
are: the development of an outcome-oriented, unified, practical system of
requirements, the redefinition of the subject, the more effective interaction
with the clinical colleagues, solving the problems of faculty recruitment and
establishing the vertical integration of anatomy.
PMID- 26551008
TI - Novel Etoposide Analogue Modulates Expression of Angiogenesis Associated
microRNAs and Regulates Cell Proliferation by Targeting STAT3 in Breast Cancer.
AB - Tumor microenvironment play role in angiogenesis and carcinogenesis. Etoposide, a
known topoisomerase II inhibitor induces DNA damage resulting in cell cycle
arrest. We developed a novel Etoposide analogue, Quinazolino-4beta
amidopodophyllotoxin (C-10) that show better efficacy in regulating cell
proliferation and angiogenesis. We evaluated its role on expression of microRNAs
15, 16, 17 and 221 and its targets Bcl-2, STAT3 and VEGF that dictate cell
proliferation and angiogenesis. Docking studies clearly demonstrated the binding
of Etoposide and C-10 to STAT3. We conclude that combination of Etoposide or C-10
with miR-15, 16, 17 and 221 as a new approach to induce apoptosis and control
angiogenesis in breast cancer.
PMID- 26551010
TI - [How did cholesterol levels change in a village in Bekes County, Hungary, during
the last 20 years?].
AB - INTRODUCTION: There are only limited data about the change of cholesterol levels
at population level in Hungary. AIM: The aim of the authors was to compare
current serum cholesterol levels to those measured 20 years ago in residents of a
village in Bekes County, Hungary. METHOD: Using a database of a general
ptactitioner, serum cholesterol levels of 778 persons who participated in a
screening program in 1994 in the village Mehkerek in Bekes County were compared
to those obtained 20 years later from 392 randomly selected persons matching age
and gender. RESULTS: The serum total cholesterol level of persons over 50 years
was decreased by 5.9%, from 5.94+/-1.41 to 5.59+/-1.33 mmol/L (p<=0.01), while it
remained unchanged in persons younger than 50 years of age. CONCLUSIONS: The
decrease of serum cholesterol level observed in patients over 50 years of age can
be a consequence of the widely used lipid lowering medication. The lack of change
in persons below 50 years of age draws the attention that principles of primary
prevention (diet, regular physical activity) should be used more consistently,
and medical therapy should be applied when it is recommended by the guidelines.
PMID- 26551011
TI - [Comparative analysis of attitudes of ambulance personnel and paramedic students
regarding death and dying].
AB - INTRODUCTION: The emergency care staff witness the experience of dying and death
on a daily basis. AIM: The aim of the study was to evaluate and compare the
current and future ambulance personnel's fear of death and its influencing
factors. METHOD: Quantitative, cross-sectional comparative study was conducted
including 106 participants (active rescue ambulance personnel, n = 45 persons;
paramedic students, n = 61). A voluntary, anonymous questionnaire survey method
(the Neimeyer-Moore Multidimensional Fear of Death Scale) was used for data
collection. The data were analyzed using descriptive and mathematical statistical
tests (T-test, analysis of variance). RESULTS: The students had a greater fear in
the "Fear for Significant Others" factor (p = 0.001). Students showed stronger
fear who lived in cities, had higher educational qualifications, who where women
(p = 0.036), singles (p = 0.046), those who have not seen a dying person (p =
0.017) and those who were never witnessed death. Greater fear characterized the
ambulance personnel who were village residents, those living in a partnership (p
= 0.027), those with lower educational level (p = 0.041) and those who had been
working for a longer time. CONCLUSIONS: There is no significant difference
between the levels of fear of death of the present and future ambulance
personnel. However, the practice-oriented education is very important and,
training associated with death and dying should be integrated in the educational
schedules of the paramedic students.
PMID- 26551012
TI - [Successful treatment of an inoperable periauricular planocellular carcinoma].
AB - Surgery has been considered the first choice of treatment in planocellular skin
cancers. However, adjuvant radiotherapy is often required in R1 resection or in
lymph node positivity. Inoperable cases are also treated with ionizing radiation
with palliative purpose. The authors present a case report of a successful
treatment of an 87-year-old diabetic patient with a T4N1M0 stage periauricular
destructive tumour treated with 3D conformal adaptive radiotherapy. Complete
remission occurred although the initial treatment aim was only palliation.
PMID- 26551013
TI - [95 years of the Urology Department of Semmelweis University, Budapest, Hungary].
PMID- 26551015
TI - Balancing research and funding using value of information and portfolio tools for
nanomaterial risk classification.
AB - Risk research for nanomaterials is currently prioritized by means of expert
workshops and other deliberative processes. However, analytical techniques that
quantify and compare alternative research investments are increasingly
recommended. Here, we apply value of information and portfolio decision analysis
methods commonly applied in financial and operations management-to prioritize
risk research for multiwalled carbon nanotubes and nanoparticulate silver and
titanium dioxide. We modify the widely accepted CB Nanotool hazard evaluation
framework, which combines nano- and bulk-material properties into a hazard score,
to operate probabilistically with uncertain inputs. Literature is reviewed to
develop uncertain estimates for each input parameter, and a Monte Carlo
simulation is applied to assess how different research strategies can improve
hazard classification. The relative cost of each research experiment is elicited
from experts, which enables identification of efficient research portfolios
combinations of experiments that lead to the greatest improvement in hazard
classification at the lowest cost. Nanoparticle shape, diameter, solubility and
surface reactivity were most frequently identified within efficient portfolios in
our results.
PMID- 26551016
TI - Charge transport in strongly coupled quantum dot solids.
AB - The emergence of high-mobility, colloidal semiconductor quantum dot (QD) solids
has triggered fundamental studies that map the evolution from carrier hopping
through localized quantum-confined states to band-like charge transport in
delocalized and hybridized states of strongly coupled QD solids, in analogy with
the construction of solids from atoms. Increased coupling in QD solids has led to
record-breaking performance in QD devices, such as electronic transistors and
circuitry, optoelectronic light-emitting diodes, photovoltaic devices and
photodetectors, and thermoelectric devices. Here, we review the advances in
synthesis, assembly, ligand treatments and doping that have enabled high-mobility
QD solids, as well as the experiments and theory that depict band-like transport
in the QD solid state. We also present recent QD devices and discuss future
prospects for QD materials and device design.
PMID- 26551017
TI - Spin-orbit torque magnetization switching controlled by geometry.
AB - Magnetization reversal by an electric current is essential for future magnetic
data storage technology, such as magnetic random access memories. Typically, an
electric current is injected into a pillar-shaped magnetic element, and switching
relies on the transfer of spin momentum from a ferromagnetic reference layer (an
approach known as spin-transfer torque). Recently, an alternative technique has
emerged that uses spin-orbit torque (SOT) and allows the magnetization to be
reversed without a polarizing layer by transferring angular momentum directly
from the crystal lattice. With spin-orbit torque, the current is no longer
applied perpendicularly, but is in the plane of the magnetic thin film.
Therefore, the current flow is no longer restricted to a single direction and can
have any orientation within the film plane. Here, we use Kerr microscopy to
examine spin-orbit torque-driven domain wall motion in Co/AlOx wires with
different shapes and orientations on top of a current-carrying Pt layer. The
displacement of the domain walls is found to be highly dependent on the angle
between the direction of the current and domain wall motion, and asymmetric and
nonlinear with respect to the current polarity. Using these insights, devices are
fabricated in which magnetization switching is determined entirely by the
geometry of the device.
PMID- 26551018
TI - A global analysis of parenchyma tissue fractions in secondary xylem of seed
plants.
AB - Parenchyma is an important tissue in secondary xylem of seed plants, with
functions ranging from storage to defence and with effects on the physical and
mechanical properties of wood. Currently, we lack a large-scale quantitative
analysis of ray parenchyma (RP) and axial parenchyma (AP) tissue fractions. Here,
we use data from the literature on AP and RP fractions to investigate the
potential relationships of climate and growth form with total ray and axial
parenchyma fractions (RAP). We found a 29-fold variation in RAP fraction, which
was more strongly related to temperature than with precipitation. Stem succulents
had the highest RAP values (mean +/- SD: 70.2 +/- 22.0%), followed by lianas
(50.1 +/- 16.3%), angiosperm trees and shrubs (26.3 +/- 12.4%), and conifers (7.6
+/- 2.6%). Differences in RAP fraction between temperate and tropical angiosperm
trees (21.1 +/- 7.9% vs 36.2 +/- 13.4%, respectively) are due to differences in
the AP fraction, which is typically three times higher in tropical than in
temperate trees, but not in RP fraction. Our results illustrate that both
temperature and growth form are important drivers of RAP fractions. These
findings should help pave the way to better understand the various functions of
RAP in plants.
PMID- 26551019
TI - Retrospective comparison of early- versus late-insulin therapy regarding effect
on time to resolution of diabetic ketosis and ketoacidosis in dogs and cats: 60
cases (2003-2013).
AB - OBJECTIVE: To determine whether early insulin administration (<=6 h after
admission) results in more rapid resolution of diabetic ketosis (DK) and
ketoacidosis (DKA), shorter duration of hospitalization, and higher incidence of
complications, and whether more severe ketonuria is associated with longer time
to resolution of DK/DKA. DESIGN: Retrospective study (January 1, 2003-March 1,
2013). SETTING: University teaching hospital. ANIMALS: Sixty dogs and cats with
DK or DKA receiving short-acting insulin therapy. INTERVENTIONS: None.
MEASUREMENTS AND MAIN RESULTS: Medical records were reviewed and data recorded
including signalment; previous history of diabetes; intake temperature, blood
pressure, blood glucose, pH, base excess, and degree of ketonuria; time to short
acting insulin therapy and resolution of DK/DKA; length of hospitalization; and
complications. Insulin was initiated <=6 hours in the early group and >6 hours in
the late group after hospital admission. Early group patients had more rapid
resolution of DK/DKA after starting short-acting insulin therapy (36.4 +/- 22.6
vs. 55.4 +/- 26.6 h, P = 0.014). There was no difference in duration of
hospitalization or complications. More severe ketonuria resulted in longer time
to resolution of DK/DKA after initiation of short-acting insulin (severe: 50.9 +/
24.2; moderate: 29.6 +/- 19; mild: 23.4 +/- 21.9 h, P = 0.005, all individual
pairwise comparisons P < 0.05). CONCLUSIONS: Early insulin administration is
associated with more rapid resolution of DK/DKA without an associated increase in
complication rates. DK/DKA took longer to resolve with more severe ketonuria.
Prospective studies are warranted to identify specific time targets for insulin
administration in DK/DKA patients.
PMID- 26551020
TI - Working Toward a Solution: The Unanswered Questions About Silicone Gel Breast
Implants.
PMID- 26551021
TI - Anti-alpha-enolase is a prognostic marker in postoperative lung cancer patients.
AB - Our previous studies suggest that antibodies against ENO1 (anti-ENO1 Ab) have a
protective role in patients with non-small cell lung carcinoma. In this study, we
evaluated the prognostic value of anti-ENO1 Ab levels in non-small cell lung
carcinoma patients undergoing surgery. Circulating levels of anti-ENO1 Ab were
assessed in 85 non-small cell lung carcinoma patients before and after surgery,
and were correlated with clinical outcome. After surgery, patients with a higher
increase of anti-ENO1 Ab had a lower hazard ratio and a better progression-free
survival. Using animal models, we demonstrated that tumor cells reduce the
circulating levels of anti-ENO1 Ab through physical absorption and neutralization
of anti-ENO1 Ab with surface-expressed and secreted ENO1, respectively. Mice
transplanted with ENO1-overexpressing tumors generated ENO1-specific regulatory T
cells to suppress the production of anti-ENO1 Ab. Our results suggest that the
increase of anti-ENO1 Ab may reflect anti-tumor immune responses and serve as a
prognostic marker in postoperative lung cancer patients.
PMID- 26551022
TI - Global Transcriptome Analysis of the Tentacle of the Jellyfish Cyanea capillata
Using Deep Sequencing and Expressed Sequence Tags: Insight into the Toxin- and
Degenerative Disease-Related Transcripts.
AB - BACKGROUND: Jellyfish contain diverse toxins and other bioactive components.
However, large-scale identification of novel toxins and bioactive components from
jellyfish has been hampered by the low efficiency of traditional isolation and
purification methods. RESULTS: We performed de novo transcriptome sequencing of
the tentacle tissue of the jellyfish Cyanea capillata. A total of 51,304,108
reads were obtained and assembled into 50,536 unigenes. Of these, 21,357 unigenes
had homologues in public databases, but the remaining unigenes had no significant
matches due to the limited sequence information available and species-specific
novel sequences. Functional annotation of the unigenes also revealed general gene
expression profile characteristics in the tentacle of C. capillata. A primary
goal of this study was to identify putative toxin transcripts. As expected, we
screened many transcripts encoding proteins similar to several well-known toxin
families including phospholipases, metalloproteases, serine proteases and serine
protease inhibitors. In addition, some transcripts also resembled molecules with
potential toxic activities, including cnidarian CfTX-like toxins with hemolytic
activity, plancitoxin-1, venom toxin-like peptide-6, histamine-releasing factor,
neprilysin, dipeptidyl peptidase 4, vascular endothelial growth factor A,
angiotensin-converting enzyme-like and endothelin-converting enzyme 1-like
proteins. Most of these molecules have not been previously reported in jellyfish.
Interestingly, we also characterized a number of transcripts with similarities to
proteins relevant to several degenerative diseases, including Huntington's,
Alzheimer's and Parkinson's diseases. This is the first description of
degenerative disease-associated genes in jellyfish. CONCLUSION: We obtained a
well-categorized and annotated transcriptome of C. capillata tentacle that will
be an important and valuable resource for further understanding of jellyfish at
the molecular level and information on the underlying molecular mechanisms of
jellyfish stinging. The findings of this study may also be used in comparative
studies of gene expression profiling among different jellyfish species.
PMID- 26551024
TI - Bringing Leukemia Stem Cells into the Clinic.
AB - Outcomes in acute myeloid leukemia (AML) remain poor due to high rates of
relapse. Thus, there is an urgent unmet medical need for new therapies that can
more effectively kill the leukemia stem cells (LSC) and recently recognized
preleukemic hematopoietic stem cells (preL-HSC) that can drive relapsed disease.
In order to develop such therapies, a better understanding of the biology of
these stem cell populations is required. The best functional assays for stem
cells are xenotransplantation models using immunodeficient mouse recipients.
Here, we present evidence of the clinical validity of such models for studying
the biology of AML stem cells and propose a new paradigm for the development of
LSC-targeted agents and biomarker tools for patient selection.
PMID- 26551025
TI - Tau as the Converging Protein between Chronic Stress and Alzheimer's Disease
Synaptic Pathology.
AB - BACKGROUND: Alzheimer's disease (AD) is a multifactorial neurodegenerative
disorder with a complex physiopathology and still undefined initiators. Several
risk factors have been suggested for AD with recent evidence supporting an
etiopathogenic role of chronic environmental stress and glucocorticoids (GCs,
stress hormones) in the development of the disease. Indeed, both AD and chronic
stress are associated with neuronal atrophy, synaptic loss and cognitive
impairment. Our previous studies have demonstrated the aggravating role of stress
and GCs on AD pathology, including Tau hyperphosphorylation and aggregation and
cognitive deficits in various AD models. In light of the suggested involvement of
Tau missorting in AD synaptotoxity and the dual cytoplasmic and synaptic role of
Tau, our recent studies focused on the possible role of Tau in the underlying
cascades of stress/GC neuronal malfunction/atrophy in wild-type animals by
monitoring the intracellular localization of Tau and its phosphorylation status
in different cellular compartments. SUMMARY: Biochemical, ultrastructural,
behavioral and neurostructural analysis have helped demonstrate that prolonged GC
administration leads to dendritic remodeling and spine atrophy and loss in the
rat hippocampus triggering Tau missorting at hippocampal synapses with the
participation of specific phosphorylated Tau isoforms in this synaptic
accumulation. KEY MESSAGES: The above findings suggest that Tau plays an
essential role in mediating the neurodegenerative effects of stress and GCs
towards the development of AD pathology. In addition, they highlight the
involvement of Tau missorting in mechanism(s) of synaptic atrophy, beyond AD
adding to our limited knowledge of the mechanisms through which stress causes
brain pathology.
PMID- 26551023
TI - Isoniazid Prophylactic Therapy for the Prevention of Tuberculosis in HIV Infected
Adults: A Systematic Review and Meta-Analysis of Randomized Trials.
AB - BACKGROUND: Infection with Human Immunodeficiency virus (HIV) is an important
risk factor for Tuberculosis (TB). Anti-Retroviral Therapy (ART) has improved the
prognosis of HIV and reduced the risk of TB infected patients. Isoniazid
Preventive Therapy (IPT) aims to reduce the development of active TB in patients
with latent TB. OBJECTIVE: Systematically review and synthesize effect estimates
of IPT for TB prevention in adult HIV patients. Secondary objectives were to
assess the effect of IPT on HIV disease progression, all-cause mortality and
adverse drug reaction (ADR). SEARCH STRATEGY: Electronic databases were searched
to identify relevant articles in English available by September 11th 2015.
SELECTION CRITERIA: Research articles comparing IPT to placebo or no treatment in
HIV infected adults using randomized clinical trials. DATA ANALYSIS: A
qualitative review included study-level information on randomization and
treatment allocation. Effect estimates were pooled using random-effects models to
account for between-study heterogeneity. MAIN RESULTS: This review assessed ten
randomized clinical trials that assigned 7619 HIV patients to IPT or placebo. An
overall 35% of TB risk reduction (RR = 0.65, 95% CI (0.51, 0.84)) was found in
all participants, however, larger benefit of IPT was observed in Tuberculin Skin
Test (TST) positive participants, with pooled relative risk reduction of 52% [RR
= 0.48; 95% CI (0.29, 0.82)] and with a prediction interval ranging from 0.13 to
1.81. There was no statistically significant effect of IPT on TB occurrence in
TST negative or unknown participants. IPT also reduced the risk of HIV disease
progression in all participants (RR = 0.69; 95% CI (0.48, 0.99)) despite no
benefits observed in TST strata. All-cause mortality was not affected by IPT
although participants who had 12 months of IPT tend to have a reduced risk (RR =
0.65; 95% CI(0.47, 0.90)). IPT had an elevated, yet statistically non
significant, risk of adverse drug reaction [RR = 1.20; 95% CI (1.20, 1.71)]. Only
a single study assessed the effect of IPT in combination with ART in preventing
TB and occurrence of multi-drug resistant tuberculosis. CONCLUSIONS: IPT use
substantially contributes in preventing TB in persons with HIV in general and in
TST positive individuals in particular. More evidence is needed to explain
discrepancies in the protective effect of IPT in these individuals.
PMID- 26551026
TI - Sensory Characteristics and Comparison of Commercial Plain Yogurts and 2 New
Production Sample Options.
AB - This research determined the sensory characteristics of currently available plain
yogurts available in U.S. supermarkets and examined how 3 "more sustainable"
prototypes compared. The prototypes, nonfat set-style yogurts pre-acidified after
pasteurization with lemon juice or citric acid at 80 ppm to pH 6.2, had shorter
fermentation times than the lab-made control. These reduced fermentation times
could result in energy reductions and potentially substantiate a "sustainable"
marketing claim, a concept gaining traction with consumers. Twenty-six commercial
yogurts, varying in percent milk fat, milk source (organic or conventional), and
processing (set-style, stirred, or strained/Greek-style), were also included.
Using descriptive sensory analysis, a 6-person highly trained panel scored the
intensity of 25 flavor and 10 texture attributes on a 15-point scale. Three
replications were carried out, and all samples were tested at least 10 d prior to
the end of their shelf-lives. The samples differed for 19 flavor and all 10
texture attributes. Cluster analysis indicated approximately 7 flavor and 5
texture clusters. The prototype pre-acidified with lemon juice was similar to
category leaders nonfat yogurt varieties. The prototype pre-acidified with citric
acid was similar in texture but was less sour. Although no legal definitions
exist for "sustainable," the prototypes' sensory characteristics are comparable
to those of popular yogurts indicating potential market viability. This research
also demonstrates potential for making yogurt that is in line with growing
consumer expectations for sustainability. Despite the current diversity, several
combinations of flavor and texture were not represented.
PMID- 26551027
TI - Lead exposure reduces carotenoid-based coloration and constitutive immunity in
wild mallards.
AB - The ingestion of spent lead (Pb) from ammunition is a known cause of mortality in
waterfowl, but little is known about sublethal effects produced by Pb poisoning
on birds, especially in wild populations. The authors studied potential sublethal
effects associated with Pb exposure in mallards (Anas platyrhynchos) from the
Ebro delta (northeastern Spain) after a ban on Pb ammunition. They analyzed the
relationships between blood Pb levels and oxidative stress, immune response, and
carotenoid-based coloration, which are known to be influenced by oxidative
stress. Levels of Pb were reduced by half from 6 yr to 9 yr after the ban. Lipid
peroxidation was positively related to Pb levels in females. The delta
aminolevulinic acid dehydratase activity was suppressed by Pb exposure and
negatively associated with the activity of antioxidant enzymes. Carotenoid levels
were positively associated with blood Pb concentration in both sexes, and males
with higher Pb levels presented a less intense coloration in legs and beak.
Levels of Pb were positively related to hemolytic activity of circulating immune
system components and negatively related to lysozyme levels. In summary, Pb
exposure was associated in a gender-specific way with increased oxidative stress,
consequences on color expression, and impaired constitutive immunity. In females,
antioxidants seemed to be allocated mostly in reproduction rather than in self
maintenance, whereas males seemed to better maintain oxidative balance to the
detriment of coloration. Environ Toxicol Chem 2016;35:1516-1525. (c) 2015 SETAC.
PMID- 26551028
TI - Comparison of high-dose intravenous immunoglobulin (IVIG) in a 5% and a 10%
solution does not reveal a significantly different spectrum of side-effects.
PMID- 26551029
TI - Phosphorylcholine-Based Zwitterionic Biocompatible Thermogel.
AB - Zwitterionic polymers have been investigated as surface-coating materials due to
their low protein adsorption properties, which reduce immunogenicity, biofouling,
and bacterial adsorption of coated materials. Most zwitterionic polymers,
reported so far, are based on (meth)acrylate polymers which can induce toxicity
by residual monomers or amines produced by degradation. Here, we report a new
zwitterionic polymer consisting of phosphorylcholine (PC) and biocompatible
poly(propylene glycol) (PPG) as a new thermogelling material. The PC-PPG-PC
polymer aqueous solution undergoes unique multiple sol-gel transitions as the
temperature increases. A heat-induced unimer-to-micelle transition, changes in
ionic interactions, and dehydration of PPG are involved in the sol-gel
transitions. Based on the broad gel window and low protein adsorption properties,
the PC-PPG-PC thermogel is proved for sustained delivery of protein drugs and
stem cells over 1 week.
PMID- 26551030
TI - Flexibility is Key: Synthesis of a Tripyridylamine (TPA) Congener with a
Phosphorus Apical Donor and Coordination to Cobalt(II).
AB - Tripyridylamine (TPA), a tetradentate ligand that forms 5-membered chelate rings
upon metal coordination, has demonstrated significant utility in synthetic
inorganic chemistry. An analogue with a phosphorus apical donor is a desirable
target for tuning electronic structure and enhancing reactivity. However, this
congener has been synthetically elusive. Prior attempts have resulted in
tridentate coordination to transition metal ions due to a lack of ligand
flexibility. Herein, we report the successful synthesis of tris(2
pyridylmethyl)proazaphosphatrane (TPAP), a more accommodating tripyridyl ligand
containing an apical phosphorus donor. The TPAP ligand forms 6-membered chelate
rings upon coordination and binds in the desired tetradentate fashion to a Co(II)
ion. Structural studies elucidate the importance of ligand flexibility in
tripodal ligands featuring phosphorus donors. Cyclic voltammetry, UV-vis, and
solution magnetic susceptibility experiments of [Co(TPAP)(CH3CN)](2+) are also
reported and compared to [Co(TPA)(CH3CN)](2+). Notably, magnetic susceptibility
measurements of [Co(TPAP)(CH3CN)](2+) indicate a low spin electronic
configuration, in contrast to [Co(TPA)(CH3CN)](2+), which is high spin.
PMID- 26551031
TI - Treatment of Renal Stones >=20 mm with Extracorporeal Shock Wave Lithotripsy.
AB - AIMS: To identify subgroups of patients with renal stones >=20 mm that are more
suitable for extracorporeal shock wave lithotripsy (ESWL) monotherapy. METHODS: A
total of 376 patients with renal stones >=20 mm underwent monotherapy with ESWL.
The treatment outcome was evaluated after 3 months of follow-up. A stone-free
status or fragmentation of stones to 4 mm or smaller was considered efficacious.
RESULTS: At 3 months after treatment, the overall stone-free rate was 64.4%, and
the efficacy rate was 70.7%. The efficacy rate was 89.4% for patients with a
residual stone surface area <=50% of baseline after the first ESWL, while the
efficacy rate was 32.4% for other patients. The efficacy was 92.2% for stones
<=400 mm2 and those with lower radiodensity, as determined by a plain (KUB) film.
CONCLUSIONS: For renal stones with a surface area <=400 mm2 and a radiodensity
equal to or less than that of the 12th rib as determined by a KUB film, ESWL may
be considered the first line of treatment, even for stones with a diameter >=20
mm. For large stones requiring repeat treatments, the surface area of the
residual stones after the first ESWL is a predictor of the final treatment
result.
PMID- 26551032
TI - Large Variability of Proanthocyanidin Content and Composition in Sainfoin
(Onobrychis viciifolia).
AB - Proanthocyanidins (PAs) in sainfoin (Onobrychis viciifolia Scop.) are of interest
to ameliorate the sustainability of livestock production. However, sainfoin
forage yield and PA concentrations, as well as their composition, require
optimization. Individual plants of 27 sainfoin accessions from four continents
were analyzed with LC-ESI-QqQ-MS/MS for PA concentrations and simple phenolic
compounds. Large variability existed in PA concentrations (23.0-47.5 mg g(-1)
leaf dry matter (DM)), share of prodelphinidins (79-96%), and mean degree of
polymerization (11-14) among, but also within, accessions. PAs were mainly
located in leaves (26.8 mg g(-1) DM), whereas stems had less PAs (7.8 mg g(-1)
DM). Overall, high-yielding plants had lower PA leaf concentrations (R(2) = 0.16,
P < 0.001) and fewer leaves (R(2) = 0.66, P < 0.001). However, the results show
that these two trade-offs between yield and bioactive PAs can be overcome.
PMID- 26551033
TI - Cross-dressing: an alternative mechanism for antigen presentation.
AB - Cross-dressing involves the transfer of preformed functional peptide-MHC
complexes from the surface of donor cells to recipient cells, such as dendritic
cells (DCs). These cross-dressed cells might eventually present the intact,
unprocessed peptide-MHC complexes to T lymphocytes. In this review we will
discuss some recent findings concerning the intercellular transfer of preformed
MHC complexes and the possible mechanisms by which the transfer may occur. We
will report evidences showing that both MHC class I and MHC class II functional
complexes might be transferred, highlighting the physiological relevance of these
cross-dressed cells for the presentation of exogenous antigens to both cytotoxic
and helper T lymphocytes.
PMID- 26551034
TI - Discovery and Structure-Guided Optimization of Diarylmethanesulfonamide
Disrupters of Glucokinase-Glucokinase Regulatory Protein (GK-GKRP) Binding:
Strategic Use of a N -> S (nN -> sigma*S-X) Interaction for Conformational
Constraint.
AB - The HTS-based discovery and structure-guided optimization of a novel series of
GKRP-selective GK-GKRP disrupters are revealed. Diarylmethanesulfonamide hit 6
(hGK-hGKRP IC50 = 1.2 MUM) was optimized to lead compound 32 (AMG-0696; hGK-hGKRP
IC50 = 0.0038 MUM). A stabilizing interaction between a nitrogen atom lone pair
and an aromatic sulfur system (nN -> sigma*S-X) in 32 was exploited to
conformationally constrain a biaryl linkage and allow contact with key residues
in GKRP. Lead compound 32 was shown to induce GK translocation from the nucleus
to the cytoplasm in rats (IHC score = 0; 10 mg/kg po, 6 h) and blood glucose
reduction in mice (POC = -45%; 100 mg/kg po, 3 h). X-ray analyses of 32 and
several precursors bound to GKRP were also obtained. This novel disrupter of GK
GKRP binding enables further exploration of GKRP as a potential therapeutic
target for type II diabetes and highlights the value of exploiting unconventional
nonbonded interactions in drug design.
PMID- 26551035
TI - Assessing the Quality of Sick Child Care Provided by Community Health Workers.
AB - BACKGROUND: As community case management of childhood illness expands in low
income countries, there is a need to assess the quality of care provided by
community health workers. This study had the following objectives: 1) examine
methods of recruitment of sick children for assessment of quality of care, 2)
assess the validity of register review (RR) and direct observation only (DO)
compared to direct observation with re-examination (DO+RE), and 3) assess the
effect of observation on community health worker performance. METHODS: We
conducted a survey to assess the quality of care provided by Ethiopian Health
Extension Workers (HEWs). The sample of children was obtained through spontaneous
consultation, HEW mobilization, or recruitment by the survey team. We assessed
patient characteristics by recruitment method. Estimates of indicators of quality
of care obtained using RR and DO were compared to gold standard estimates
obtained through DO+RE. Sensitivity, specificity, and the area under receiver
operator characteristic curve (AUC) were calculated to assess the validity of RR
and DO. To assess the Hawthorne effect, we compared estimates from RR for
children who were observed by the survey team to estimates from RR for children
who were not observed by the survey team. RESULTS: Participants included 137 HEWs
and 257 sick children in 103 health posts, plus 544 children from patient
registers. Children mobilized by HEWs had the highest proportion of severe
illness (27%). Indicators of quality of care from RR and DO had high sensitivity
for most indicators, but specificity was low. The AUC for different indicators
from RR ranged from 0.47 to 0.76, with only one indicator above 0.75. The AUC of
indicators from DO ranged from 0.54 to 1.0, with three indicators above 0.75. The
differences between estimates of correct care for observed versus not observed
children were small. CONCLUSIONS: Mobilization by HEWs and recruitment by the
survey teams were feasible, but potentially biased, methods of obtaining sick
children. Register review and DO underestimated performance errors. Our data
suggest that being observed had only a small positive effect on the performance
of HEWs.
PMID- 26551036
TI - Comparison of Recombination Dynamics in CH3NH3PbBr3 and CH3NH3PbI3 Perovskite
Films: Influence of Exciton Binding Energy.
AB - Understanding carrier recombination in semiconductors is a critical component
when developing practical applications. Here we measure and compare the
monomolecular, bimolecular, and trimolecular (Auger) recombination rate constants
of CH3NH3PbBr3 and CH3NH3PbI3. The monomolecular and bimolecular recombination
rate constants for both samples are limited by trap-assisted recombination. The
bimolecular recombination rate constant for CH3NH3PbBr3 is ~3.3 times larger than
that for CH3NH3PbI3 and both are in line with that found for radiative
recombination in other direct-gap semiconductors. The Auger recombination rate
constant is 4 times larger in lead-bromide-based perovskite compared with lead
iodide-based perovskite and does not follow the reduced Auger rate when the
bandgap increases. The increased Auger recombination rate, which is enhanced by
Coulomb interactions, can be ascribed to the larger exciton binding energy, ~40
meV, in CH3NH3PbBr3 compared with ~13 meV in CH3NH3PbI3.
PMID- 26551038
TI - The Radical Anion of Cyclopentasilane-Fused Hexasilabenzvalene.
AB - The radical anion of cyclopentasilane-fused hexasilabenzvalene was synthesized by
the reduction of the corresponding neutral compound. X-ray crystallographic
analysis showed a more trans-bent structure of the disilene moiety than the
neutral compound. Theoretical calculations showed that the highly trans-bent
structure is attributed to the hexasilabenzvalene structure. The EPR spectrum
showed that an unpaired electron exists mainly at the disilene moiety. In the
UV/Vis spectrum, a large bathochromic shift was observed compared with the
neutral compound.
PMID- 26551039
TI - Relative Photoionization Cross Sections of Super-Atom Molecular Orbitals (SAMOs)
in C60.
AB - The electronic structure and photoinduced dynamics of fullerenes, especially C60,
is of great interest because these molecules are model systems for more complex
molecules and nanomaterials. In this work we have used Rydberg Fingerprint
Spectroscopy to determine the relative ionization intensities from excited SAMO
(Rydberg-like) states in C60 as a function of laser wavelength. The relative
ionization intensities are then compared to the ratio of the photoionization
widths of the Rydberg-like states, computed in time-dependent density functional
theory (TD-DFT). The agreement is remarkably good when the same photon order is
required to energetically access the excited states. This illustrates the
predictive potential of quantum chemistry for studying photoionization of large,
complex molecules as well as confirming the assumption that is often made
concerning the multiphoton excitation and rapid energy redistribution in the
fullerenes.
PMID- 26551037
TI - Body Composition QTLs Identified in Intercross Populations Are Reproducible in
Consomic Mouse Strains.
AB - Genetic variation contributes to individual differences in obesity, but defining
the exact relationships between naturally occurring genotypes and their effects
on fatness remains elusive. As a step toward positional cloning of previously
identified body composition quantitative trait loci (QTLs) from F2 crosses of
mice from the C57BL/6ByJ and 129P3/J inbred strains, we sought to recapture them
on a homogenous genetic background of consomic (chromosome substitution) strains.
Male and female mice from reciprocal consomic strains originating from the
C57BL/6ByJ and 129P3/J strains were bred and measured for body weight, length,
and adiposity. Chromosomes 2, 7, and 9 were selected for substitution because
previous F2 intercross studies revealed body composition QTLs on these
chromosomes. We considered a QTL confirmed if one or both sexes of one or both
reciprocal consomic strains differed significantly from the host strain in the
expected direction after correction for multiple testing. Using these criteria,
we confirmed two of two QTLs for body weight (Bwq5-6), three of three QTLs for
body length (Bdln3-5), and three of three QTLs for adiposity (Adip20, Adip26 and
Adip27). Overall, this study shows that despite the biological complexity of body
size and composition, most QTLs for these traits are preserved when transferred
to consomic strains; in addition, studying reciprocal consomic strains of both
sexes is useful in assessing the robustness of a particular QTL.
PMID- 26551040
TI - Emergency Laparoscopic Sigmoidectomy for Perforated Diverticulitis with
Generalised Peritonitis: A Systematic Review.
AB - BACKGROUND: Laparoscopic sigmoidectomy for diverticulitis has initially been
confined to the elective setting. However, open acute sigmoidectomy for
perforated diverticulitis is associated with high morbidity rates that might be
reduced after laparoscopic surgery. The aim of this systematic review was to
assess the feasibility of emergency laparoscopic sigmoidectomy for perforated
diverticulitis. METHODS: We performed a systematic search of PubMed, EMBASE and
CENTRAL. All studies reporting on patients with perforated diverticulitis
(Hinchey III-IV) treated by laparoscopic sigmoidectomy in the acute phase were
included, regardless of design. RESULTS: We included 4 case series and one cohort
study (total of 104 patients) out of 1,706 references. Hartmann's procedure (HP)
was performed in 84 patients and primary anastomosis in 20. The mean operating
time varied between 115 and 200 min. The conversion rate varied from 0 to 19%.
The mean length of hospital stay ranged between 6 and 16 days. Surgical re
intervention was necessary in 2 patients. In 20 patients operated upon without
defunctioning ileostomy, no anastomotic leakage was reported. Three patients died
during the postoperative period. Stoma reversal after HP was performed in 60 out
of 79 evaluable patients (76%). CONCLUSIONS: Acute laparoscopic sigmoidectomy for
the treatment of perforated diverticulitis is feasible in selected patients
provided they are handled by experienced hands.
PMID- 26551041
TI - Energy and Electron Transfer Dynamics within a Series of Perylene
Diimide/Cyclophane Systems.
AB - Artificial photosynthetic systems for solar energy conversion exploit both
covalent and supramolecular chemistry to produce favorable arrangements of light
harvesting and redox-active chromophores in space. An understanding of the
interplay between key processes for photosynthesis, namely light-harvesting,
energy transfer, and photoinduced charge separation and the design of novel, self
assembling components capable of these processes are imperative for the
realization of multifunctional integrated systems. We report our investigations
on the potential of extended tetracationic cyclophane/perylene diimide systems as
components for artificial photosynthetic applications. We show how the selection
of appropriate heterocycles, as extending units, allows for tuning of the
electron accumulation and photophysical properties of the extended tetracationic
cyclophanes. Spectroscopic techniques confirm energy transfer between the
extended tetracationic cyclophanes and perylene diimide is ultrafast and
quantitative, while the heterocycle specifically influences the energy transfer
related parameters and the acceptor excited state.
PMID- 26551042
TI - The twofold diagnosis of personality disorder: How do personality dysfunction and
pathological traits increment each other at successive levels of the trait
hierarchy?
AB - Besides the categorical classification of personality disorders (PDs) in Section
II of the DSM-5 (American Psychiatric Association, 2013), which has been
transferred as such from DSM-IV, Section III provides an alternative model to
stimulate further research on the dimensional conceptualization of PDs. In this
alternative system, a PD diagnosis is based on 2 essential criteria: impaired
personality functioning and the presence of pathological traits. One topic that
warrants further research concerns the incremental validity of these 2
components. The current study addresses this issue in a mixed community-patient
sample (N = 233). First, Goldberg's (2006) "bass-ackwards" method was used to
examine the hierarchical structure of pathological traits as measured by the
Dimensional Assessment of Personality Pathology (DAPP-BQ; Livesley & Jackson,
2009). We then extracted a single higher order factor from the Severity Index of
Personality Problems (SIPP-118; Verheul et al., 2008) to derive 1 coherent
indicator of personality dysfunction. Correlation and hierarchical regression
analyses were used to determine the incremental validity of the dysfunction
factor versus the trait components at succeeding levels of the DAPP-BQ hierarchy.
The results only partially supported the 2-component PD diagnosis, as traits and
dysfunction appeared to have only limited incremental validity. Moreover, lower
order traits were generally unable to outperform higher order components in
predicting specific DSM-IV PDs. Implications for the conceptualization and
assessment of personality pathology are discussed. (PsycINFO Database Record
PMID- 26551043
TI - Daily diary study of personality disorder traits: Momentary affect and cognitive
appraisals in response to stressful events.
AB - Difficulties in emotional expression and emotion regulation are core features of
many personality disorders (PDs); yet, we know relatively little about how
individuals with PDs affectively respond to stressful situations. The present
study seeks to fill this gap in the literature by examining how PD traits are
associated with emotional responses to subjective daily stressors, while
accounting for cognition and type of stressor experienced (interpersonal vs.
noninterpersonal). PD features were measured with the Schedule for Nonadaptive
and Adaptive Personality-2 (SNAP-2) diagnostic scores. Participants (N = 77)
completed a 1-week experience sampling procedure that measured affect and
cognition related to a current stressor 5 times per day. Hierarchical linear
modeling (HLM) was used to examine whether and how baseline PD features,
momentary cognitions, and type of stressor predicted level of affect. Results
demonstrated that paranoid, borderline, and avoidant PD traits predicted negative
affect beyond what could be accounted for by cognitions and type of stressor. No
PD traits predicted positive affect after accounting for the effects of cognitive
appraisals and type of stressor. Findings have implications for validating the
role of affect in PDs and understanding how individuals with PDs react in the
presence of daily hassles. (PsycINFO Database Record
PMID- 26551044
TI - Er:YAG ablative fractional laser-primed photodynamic therapy with methyl
aminolevulinate as an alternative treatment option for patients with thin nodular
basal cell carcinoma: 12-month follow-up results of a randomized, prospective,
comparative trial.
AB - BACKGROUND: Surgical excision is conventionally regarded as the treatment of
choice for nodular basal cell carcinoma (nBCC), and methyl aminolevulinate
photodynamic therapy (MAL-PDT) has relatively low efficacy for nBCC. However,
Er:YAG ablative fractional laser (AFL)-primed MAL-PDT (Er:YAG AFL-PDT) may offer
enhanced efficacy for nBCC, especially thin nBCC (thickness <=2 mm). OBJECTIVE:
We compared Er:YAG AFL-PDT with conventional MAL-PDT for thin facial nBCC in
Korean patients. METHODS: Thirty-nine patients (42 lesions) with primary,
histologically proven thin nBCCs were randomized to Er:YAG AFL-PDT (single
session, n = 20) or conventional MAL-PDT (two sessions, 7 days apart, n = 19).
Efficacy, recurrence rate, cosmetic outcomes and safety were assessed 1 week, 3
months and 12 months after the last treatment. RESULTS: Three months after the
final treatment, overall complete response rates were 84.2% with Er:YAG AFL-PDT
and 50% with MAL-PDT (P = 0.026). The recurrence rate was significantly lower
with Er:YAG AFL-PDT (6.3%) than with MAL-PDT (55.6%) at 12 months (P = 0.006).
Er:YAG AFL-PDT and MAL-PDT did not differ significantly with respect to cosmetic
outcomes or safety. CONCLUSIONS: Er:YAG AFL-PDT can be used as an alternative
treatment option for patients who have thin nBCC and are not suitable for
surgical treatment.
PMID- 26551045
TI - Glucagon-Like Peptide-1 Formulation--the Present and Future Development in
Diabetes Treatment.
AB - Type 2 diabetes mellitus is a chronic metabolic disorder that has become the
fourth leading cause of death in the developed countries. The disorder is
characterized by pancreatic beta-cells dysfunction, which causes hyperglycaemia
leading to several other complications. Treatment by far, which focuses on
insulin administration and glycaemic control, has not been satisfactory. Glucagon
like peptide-1 (GLP1) is an endogenous peptide that stimulates post-prandial
insulin secretion. Despite being able to mimic the effect of insulin, GLP1 has
not been the target drug in diabetes treatment due to the peptide's metabolic
instability. After a decade-long effort to improve the pharmacokinetics of GLP1,
a number of GLP1 analogues are currently available on the market. The current
Minireview does not discuss these drugs but presents strategies that were
undertaken to address the weaknesses of the native GLP1, particularly drug
delivery techniques used in developing GLP1 nanoparticles and modified GLP1
molecule. The article highlights how each of the selected preparations has
improved the efficacy of GLP1, and more importantly, through an overview of these
studies, it will provide an insight into strategies that may be adopted in the
future in the development of a more effective oral GLP1 formulation.
PMID- 26551046
TI - Luminescent Polymer Composite Films Containing Coal-Derived Graphene Quantum
Dots.
AB - Luminescent polymer composite materials, based on poly(vinyl alcohol) (PVA), as a
matrix polymer and graphene quantum dots (GQDs) derived from coal, were prepared
by casting from aqueous solutions. The coal-derived GQDs impart fluorescent
properties to the polymer matrix, and the fabricated composite films exhibit
solid state fluorescence. Optical, thermal, and fluorescent properties of the
PVA/GQD nanocomposites have been studied. High optical transparency of the
composite films (78 to 91%) and excellent dispersion of the nanoparticles are
observed at GQD concentrations from 1 to 5 wt %. The maximum intensity of
materials photoluminescence has been achieved at 10 wt % GQD content. These
materials could be used in light emitting diodes (LEDs), flexible electronic
displays, and other optoelectronic applications.
PMID- 26551047
TI - Diabetes Screening Among Underserved Adults With Severe Mental Illness Who Take
Antipsychotic Medications.
PMID- 26551049
TI - Comparative tissue expression of American lobster (Homarus americanus) immune
genes during bacterial and scuticociliate challenge.
AB - The American lobster (Homarus americanus) fishery is the most economically
significant fishery in Canada; although comparatively little is known about the
lobsters' response to pathogenic challenge. This is the first study to
investigate the expression of immune genes in tissues outside of the lobster
hepatopancreas in response to challenges by the Gram-positive bacteria,
Aerococcus viridans var. homari or the scuticociliate parasite, Anophryoides
haemophila. The hepatopancreas has been regarded as the major humoral immune
organ in crustaceans, but the contribution of other organs and tissues to the
molecular immune response has largely been overlooked. This study used RT-qPCR to
monitor the gene expression of several immune genes including three anti
lipopolysaccharide isoforms (ALF) Homame ALF-B1, Homame ALF-C1 and ALFHa-1, acute
phase serum amyloid protein A (SAA), as well as thioredoxin and hexokinase, in
antennal gland and gill tissues. Our findings indicate that the gene expression
of the SAA and all ALF isoforms in the antennal gland and gill tissues increased
in response to pathogenic challenge. However, there was differential expression
of individual ALF isoforms that were dependent on both the tissue, and the
pathogen used in the challenge. The gene expression changes of several immune
genes were found to be higher in the antennal gland than have been previously
reported for the hepatopancreas. This study demonstrates that increased immune
gene expression from the gill and antennal gland over the course of pathogen
induced disease contributes to the immune response of H. americanus.
PMID- 26551050
TI - Identification and characterization of a novel Toll-like receptor 2 homologue in
the large yellow croaker Larimichthys crocea.
AB - Toll-like receptors (TLRs) are key components of innate immunity that play
significant roles in immune defence against pathogen invasion. In the present
study, we identified a novel TLR2 homologue (LycTLR2b) in large yellow croaker
(Larimichthys crocea) that shared low sequence identity with the previously
reported large yellow croaker TLR2 (tentatively named LycTLR2a). The full-length
cDNA of LycTLR2b was 2926 nucleotides (nt) long and encoded a protein consisting
of 797 amino acids (aa). The deduced LycTLR2b protein exhibited a typical TLR
domain architecture including a signal peptide, seven leucine-rich repeats (LRRs)
in the extracellular region, a transmembrane domain, and a Toll-Interleukin 1
receptor (TIR) domain in the cytoplasmic region. Phylogenetic analysis showed
that both LycTLR2a and LycTLR2b fall into a major clade formed by all TLR2
sequences, and are divided into two distinct branches. Genomic organization
revealed that the LycTLR2b gene lacks intron, which is similar to zebrafish and
human TLR2 genes, whereas the LycTLR2a gene contains multiple introns, as found
in damselfish TLR2a and Fugu TLR2 genes. Syntenic analysis suggested that the
occurrence of LycTLR2a and LycTLR2b may result from a relatively recent genome
duplication event. LycTLR2b mRNA was constitutively expressed in all tissues
examined although at different levels. Following bacterial vaccine challenge,
LycTLR2b expression levels were significantly up-regulated in both spleen and
head kidney tissues. Taken together, these results indicated that two different
TLR2 homologues, which may play roles in antibacterial immunity, exist in large
yellow croaker.
PMID- 26551052
TI - Systematic review of cardiopulmonary exercise testing post stroke: Are we
adhering to practice recommendations?
AB - OBJECTIVE: To systematically review the use of cardiopulmonary exercise testing
in people who have survived a stroke. The following questions are addressed: (i)
What are the testing procedures used? (ii) What are the patient, safety and
outcomes characteristics in the cardiopulmonary exercise testing procedures?
(iii) Which criteria are used to determine maximum oxygen uptake (VO2peak/max) in
the cardiopulmonary exercise testing procedures? METHODS: Systematic review of
studies of cardiopulmonary exercise testing in stroke survivors. PubMed, EMBASE,
and CINAHL were searched from inception until January 2014. MeSH headings and
keywords used were: oxygen capacity, oxygen consumption, oxygen uptake, peak VO2,
max VO2, aerobic fitness, physical fitness, aerobic capacity, physical endurance
and stroke. Search and selection were performed independently by 2 reviewers.
Sixty studies were scrutinized, including 2,104 stroke survivors. RESULTS:
Protocols included treadmill (n = 21), bicycle (n = 33), stepper (n = 3) and arm
(n = 1) ergometry. Five studies reported 11 adverse events (1%). Secondary
outcomes were reported in few studies, which hampered interpretation of the
patient's effort, and hence the value of the VO2peak. CONCLUSION: Most studies
did not adhere, or insufficiently adhered, to the existing cardiopulmonary
exercise testing guidelines for exercise testing. Thus, the results of
cardiopulmonary exercise testing protocols in stroke patients cannot be compared.
PMID- 26551051
TI - Sofosbuvir With Velpatasvir in Treatment-Naive Noncirrhotic Patients With
Genotype 1 to 6 Hepatitis C Virus Infection: A Randomized Trial.
AB - BACKGROUND: Effective, pangenotypic treatments for hepatitis C virus (HCV)
infection are needed. OBJECTIVE: To assess the safety and efficacy of sofosbuvir
with velpatasvir in patients infected with HCV genotypes 1 to 6. DESIGN:
Randomized, phase 2, open-label study. (ClinicalTrials.gov: NCT01858766).
SETTING: 48 U.S. sites. PATIENTS: 377 treatment-naive noncirrhotic patients. In
part A, patients infected with HCV genotypes 1 to 6 were randomly assigned to
sofosbuvir, 400 mg, with velpatasvir, 25 or 100 mg, for 12 weeks. In part B,
patients with genotype 1 or 2 HCV infection were randomly assigned to sofosbuvir,
400 mg, and velpatasvir, 25 or 100 mg, with or without ribavirin for 8 weeks.
MEASUREMENTS: Sustained virologic response at 12 weeks (SVR12). RESULTS: In part
A, SVR12 rates were 96% (26 of 27) with velpatasvir, 25 mg, and 100% (28 of 28)
with velpatasvir, 100 mg, for genotype 1; 93% (25 of 27) in both groups for
genotype 3; and 96% (22 of 23) with velpatasvir, 25 mg, and 95% (21 of 22) with
velpatasvir, 100 mg, for genotypes 2, 4, 5, and 6. In part B, for genotype 1,
SVR12 rates were 87% (26 of 30) with velpatasvir, 25 mg; 83% (25 of 30) with
velpatasvir, 25 mg, plus ribavirin; 90% (26 of 29) with velpatasvir, 100 mg; and
81% (25 of 31) with velpatasvir, 100 mg, plus ribavirin. For genotype 2, SVR12
rates were 77% (20 of 26) with velpatasvir, 25 mg; 88% (22 of 25) with
velpatasvir, 25 mg, plus ribavirin; 88% (23 of 26) with velpatasvir, 100 mg; and
88% (23 of 26) with velpatasvir, 100 mg, plus ribavirin. Adverse events included
fatigue (21%), headache (20%), and nausea (12%). One patient committed suicide.
LIMITATION: The study was open-label, no inferential statistics were planned, and
sample sizes were small. CONCLUSION: Twelve weeks of sofosbuvir, 400 mg, and
velpatasvir, 100 mg, was well-tolerated and resulted in high SVR in patients
infected with HCV genotypes 1 to 6. PRIMARY FUNDING SOURCE: Gilead Sciences.
PMID- 26551053
TI - Wittig Reaction: Domino Olefination and Stereoselectivity DFT Study. Synthesis of
the Miharamycins' Bicyclic Sugar Moiety.
AB - 2-O-Acyl protected-d-ribo-3-uloses reacted with
[(ethoxycarbonyl)methylene]triphenylphosphorane in acetonitrile to afford regio-
and stereoselectively 2-(Z)-alkenes in 10-60 min under microwave irradiation.
This domino reaction is proposed to proceed via tautomerization of 3-ulose to
enol, acyl migration, tautomerization to the 3-O-acyl-2-ulose, and Wittig
reaction. Alternatively, in chloroform, regioselective 3-olefination of 2-O
pivaloyl-3-uloses gave (E)-alkenes, key precursors for the miharamycins' bicyclic
sugar moiety.
PMID- 26551055
TI - A Bioinspired Multifunctional Heterogeneous Membrane with Ultrahigh Ionic
Rectification and Highly Efficient Selective Ionic Gating.
AB - A bioinspired multifunctional heterogeneous membrane composed of a block
copolymer (PS-b-P4VP) membrane and a porous anodic alumina membrane is
fabricated. The ionic rectification is so strong that the maximum ratio is ~489,
and the chemical actuation of the anion or cation gate from the "OFF" to the "ON"
state promotes a 98.5% increase in the channel conductance.
PMID- 26551054
TI - Select Rab GTPases Regulate the Pulmonary Endothelium via Endosomal Trafficking
of Vascular Endothelial-Cadherin.
AB - Pulmonary edema occurs in settings of acute lung injury, in diseases, such as
pneumonia, and in acute respiratory distress syndrome. The lung interendothelial
junctions are maintained in part by vascular endothelial (VE)-cadherin, an
adherens junction protein, and its surface expression is regulated by endocytic
trafficking. The Rab family of small GTPases are regulators of endocytic
trafficking. The key trafficking pathways are regulated by Rab4, -7, and -9. Rab4
regulates the recycling of endosomes to the cell surface through a rapid-shuttle
process, whereas Rab7 and -9 regulate trafficking to the late endosome/lysosome
for degradation or from the trans-Golgi network to the late endosome,
respectively. We recently demonstrated a role for the endosomal adaptor protein,
p18, in regulation of the pulmonary endothelium through enhanced recycling of VE
cadherin to adherens junction. Thus, we hypothesized that Rab4, -7, and -9
regulate pulmonary endothelial barrier function through modulating trafficking of
VE-cadherin-positive endosomes. We used Rab mutants with varying activities and
associations to the endosome to study endothelial barrier function in vitro and
in vivo. Our study demonstrates a key role for Rab4 activation and Rab9
inhibition in regulation of vascular permeability through enhanced VE-cadherin
expression at the interendothelial junction. We further showed that endothelial
barrier function mediated through Rab4 is dependent on extracellular signal
regulated kinase phosphorylation and activity. Thus, we demonstrate that Rab4 and
-9 regulate VE-cadherin levels at the cell surface to modulate the pulmonary
endothelium through extracellular signal-regulated kinase-dependent and
independent pathways, respectively. We propose that regulating select Rab GTPases
represents novel therapeutic strategies for patients suffering with acute
respiratory distress syndrome.
PMID- 26551057
TI - A frustrated ferrimagnet Cu5(VO4)2(OH)4 with a 1/5 magnetization plateau on a new
spin-lattice of alternating triangular and honeycomb strips.
AB - Cu5(VO4)2(OH)4 (turanite) is a layered compound, exhibiting a copper(II) oxide
layer in the [0 1 1] plane composed of edge-sharing CuO6 octahedra. Each Cu-O
layer is further separated by VO4 tetrahedra. Closer scrutiny found that the
copper(II) oxide layer in the compound represents a totally new geometrically
frustrated lattice, a 1/6 depleted triangular lattice. More specifically, the
spin network in the [0 1 1] plane is formed by the alternate ranking of
triangular and honeycomb strips. Magnetic measurements show that the
Cu5(VO4)2(OH)4 behaves as a spin-1/2 ferrimagnet with a Tc = ~4.5 K. It exhibits
an unusual 1/5 magnetization plateau arising from the competition between
antiferromagnetic and ferromagnetic interactions caused by the strong
frustration. The possible spin-arrangements are also suggested.
PMID- 26551056
TI - Ecophysiology of environmental Aspergillus fumigatus and comparison with clinical
strains on gliotoxin production and elastase activity.
AB - The aim of this manuscript was to study the influence of water activity (aW ) and
pH in the ecophysiological behaviour of Aspergillus fumigatus strains at human
body temperature. In addition, gliotoxin production and enzymatic ability among
environmental (n = 2) and clinical (n = 5) strains were compared.
Ecophysiological study of environmental strains was performed on agar silage
incubated at 37 degrees C, studying the interaction at eight aW levels (0.8,
0.85, 0.9, 0.92, 0.94, 0.96, 0.98 and 0.99) and eight pH levels (3.5, 4, 4.5, 5,
6, 7, 7.5 and 8). Considering the influence of the assumed lung conditions on
growth of A. fumigatus (aW 0.98/0.99 and pH of 7/7.5), the optimal condition for
the development of A. fumigatus RC031 was at aW 0.99 at pH 7. At aW 0.98/0.99 and
pH of 7/7.5, the highest growth rate and the lowest lag phase was reported,
whereas there were no significant differences at aW 0.98/0.99 and pH 7/7.5
interactions on growth of A. fumigatus RC032. Gliotoxin production of A.
fumigatus strains was evaluated. The gliotoxin production was similar in clinical
and environmental strains. Elastin activity was studied in solid medium, highest
elastase activity index was found for clinical strain A. fumigatus RC0676,
followed by the environmental strain A. fumigatus RC031. Opportunistic
environmental strains can be considered as pathogenic in some cases when rural
workers are exposed constantly to handling silage. SIGNIFICANCE AND IMPACT OF THE
STUDY: Aspergillus fumigatus is one of the main opportunist pathogen agents
causing invasive aspergillosis. Rural workers present a constant exposition to A.
fumigatus spores caused by feed-borne manipulation. In this study, environmental
A. fumigatus strains were able to grow and produce gliotoxin onto the studied
conditions including the lung ones. Environmental and clinical strains were
physiologically similar and could be an important putative infection source in
rural workers.
PMID- 26551058
TI - A SPRINT to the Finish.
PMID- 26551059
TI - Soft, stretchable, fully implantable miniaturized optoelectronic systems for
wireless optogenetics.
AB - Optogenetics allows rapid, temporally specific control of neuronal activity by
targeted expression and activation of light-sensitive proteins. Implementation
typically requires remote light sources and fiber-optic delivery schemes that
impose considerable physical constraints on natural behaviors. In this report we
bypass these limitations using technologies that combine thin, mechanically soft
neural interfaces with fully implantable, stretchable wireless radio power and
control systems. The resulting devices achieve optogenetic modulation of the
spinal cord and peripheral nervous system. This is demonstrated with two form
factors; stretchable film appliques that interface directly with peripheral
nerves, and flexible filaments that insert into the narrow confines of the spinal
epidural space. These soft, thin devices are minimally invasive, and histological
tests suggest they can be used in chronic studies. We demonstrate the power of
this technology by modulating peripheral and spinal pain circuitry, providing
evidence for the potential widespread use of these devices in research and future
clinical applications of optogenetics outside the brain.
PMID- 26551060
TI - Homology-driven genome editing in hematopoietic stem and progenitor cells using
ZFN mRNA and AAV6 donors.
AB - Genome editing with targeted nucleases and DNA donor templates homologous to the
break site has proven challenging in human hematopoietic stem and progenitor
cells (HSPCs), and particularly in the most primitive, long-term repopulating
cell population. Here we report that combining electroporation of zinc finger
nuclease (ZFN) mRNA with donor template delivery by adeno-associated virus (AAV)
serotype 6 vectors directs efficient genome editing in HSPCs, achieving site
specific insertion of a GFP cassette at the CCR5 and AAVS1 loci in mobilized
peripheral blood CD34+ HSPCs at mean frequencies of 17% and 26%, respectively,
and in fetal liver HSPCs at 19% and 43%, respectively. Notably, this approach
modified the CD34+CD133+CD90+ cell population, a minor component of CD34+ cells
that contains long-term repopulating hematopoietic stem cells (HSCs). Genome
edited HSPCs also engrafted in immune-deficient mice long-term, confirming that
HSCs are targeted by this approach. Our results provide a strategy for more
robust application of genome-editing technologies in HSPCs.
PMID- 26551061
TI - Calmodulin inhibition regulates morphological and functional changes related to
the actin cytoskeleton in pure microglial cells.
AB - The roles of calmodulin (CaM), a multifunctional intracellular calcium receptor
protein, as concerns selected morphological and functional characteristics of
pure microglial cells derived from mixed primary cultures from embryonal
forebrains of rats, were investigated through use of the CaM antagonists
calmidazolium (CALMID) and trifluoperazine (TFP). The intracellular localization
of the CaM protein relative to phalloidin, a bicyclic heptapeptide that binds
only to filamentous actin, and the ionized calcium-binding adaptor molecule 1
(Iba1), a microglia-specific actin-binding protein, was determined by
immunocytochemistry, with quantitative analysis by immunoblotting. In
unchallenged and untreated (control) microglia, high concentrations of CaM
protein were found mainly perinuclearly in ameboid microglia, while the cell
cortex had a smaller CaM content that diminished progressively deeper into the
branches in the ramified microglia. The amounts and intracellular distributions
of both Iba1 and CaM proteins were altered after lipopolysaccharide (LPS)
challenge in activated microglia. CALMID and TFP exerted different, sometimes
opposing, effects on many morphological, cytoskeletal and functional
characteristics of the microglial cells. They affected the CaM and Iba1 protein
expressions and their intracellular localizations differently, inhibited cell
proliferation, viability and fluid-phase phagocytosis to different degrees both
in unchallenged and in LPS-treated (immunologically challenged) cells, and
differentially affected the reorganization of the actin cytoskeleton in the
microglial cell cortex, influencing lamellipodia, filopodia and podosome
formation. In summary, these CaM antagonists altered different aspects of
filamentous actin-based cell morphology and related functions with variable
efficacy, which could be important in deciphering the roles of CaM in regulating
microglial functions in health and disease.
PMID- 26551062
TI - Evidence for the protective effects of curcumin against oxyhemoglobin-induced
injury in rat cortical neurons.
AB - Curcumin (CCM) is a natural polyphenolic compound in Curcuma longa that has been
reported to exhibit neuroprotective effects. Subarachnoid hemorrhage (SAH) is a
severe neurological disorder with an unsatisfactory prognosis. Oxyhemoglobin
(OxyHb) plays an important role in mediating the neurological deficits following
SAH. The present study, therefore, aimed to investigate the effect of CCM on
primary cortical neurons exposed to OxyHb neurotoxicity. Cortical neurons were
exposed to OxyHb at a concentration of 10 MUM in the presence or absence of 5 MUM
(low dose) or 10 MUM (high dose) CCM for 24 h. Morphological changes in the
neurons were observed. Cell viability and lactate dehydrogenase (LDH) release
were assayed to determine the extent of cell injury. Additionally, levels of
superoxide dismutase (SOD), malondialdehyde (MDA), glutathione peroxidase (GSH
Px), and reactive oxygen species (ROS) were measured. Neuronal apoptosis was
assayed via TUNEL staining and protein levels of cleaved caspase-3, Bax, and Bcl
2 were measured by Western blot. Levels of tumor necrosis factor-alpha (TNF
alpha), interleukin-1beta (IL-1beta), and IL-6 were measured using ELISA kits.
Our results suggested that CCM at both low and high doses markedly improved cell
viability and decreased LDH release. CCM treatment decreased neuronal apoptosis.
Additionally, oxidative stress and inflammation induced by OxyHb were alleviated
by CCM treatment. In conclusion, CCM inhibits neuronal apoptosis, and alleviates
oxidative stress and inflammation in neurons subjected to OxyHb, suggesting that
it may be beneficial in the treatment of brain damage following SAH.
PMID- 26551063
TI - Intracellular mechanisms involved in copper-gonadotropin-releasing hormone (Cu
GnRH) complex-induced cAMP/PKA signaling in female rat anterior pituitary cells
in vitro.
AB - The copper-gonadotropin-releasing hormone molecule (Cu-GnRH) is a GnRH analog,
which preserves its amino acid sequence, but which contains a Cu(2+) ion stably
bound to the nitrogen atoms including that of the imidazole ring of Histidine(2).
A previous report indicated that Cu-GnRH was able to activate cAMP/PKA signaling
in anterior pituitary cells in vitro, but raised the question of which
intracellular mechanism(s) mediated the Cu-GnRH-induced cAMP synthesis in
gonadotropes. To investigate this mechanism, in the present study, female rat
anterior pituitary cells in vitro were pretreated with 0.1 MUM antide, a GnRH
antagonist; 0.1 MUM cetrorelix, a GnRH receptor antagonist; 0.1 MUM PACAP6-38, a
PAC-1 receptor antagonist; 2 MUM GF109203X, a protein kinase C inhibitor; 50 mM
PMA, a protein kinase C activator; the protein kinase A inhibitors H89 (30 MUM)
and KT5720 (60 nM); factors affecting intracellular calcium activity: 2.5 mM
EGTA; 2 MUM thapsigargin; 5 MUM A23187, a Ca(2+) ionophore; or 10 MUg/ml
cycloheximide, a protein synthesis inhibitor. After one of the above
pretreatments, cells were incubated in the presence of 0.1 MUM Cu-GnRH for 0.5,
1, and 3 h. Radioimmunoassay analysis of cAMP confirmed the functional link
between Cu-GnRH stimulation and cAMP/PKA signal transduction in rat anterior
pituitary cells, demonstrating increased intracellular cAMP, which was reduced in
the presence of specific PKA inhibitors. The stimulatory effect of Cu-GnRH on
cAMP production was partly dependent on GnRH receptor activation. In addition, an
indirect and Ca(2+)-dependent mechanism might be involved in intracellular
adenylate cyclase stimulation. Neither activation of protein kinase C nor new
protein synthesis was involved in the Cu-GnRH-induced increase of cAMP in the rat
anterior pituitary primary cultures. Presented data indicate that conformational
changes of GnRH molecule resulting from cooper ion coordination affect specific
pharmacological properties of Cu-GnRH molecule including specific pattern of
intracellular activity induced by complex in anterior pituitary cells in vitro.
PMID- 26551064
TI - Neurotrophic effects of amyloid precursor protein peptide 165 in vitro.
AB - Diabetic encephalopathy is one of the risk factors for Alzheimer's disease. Our
previous findings indicated that animals with diabetic encephalopathy exhibit
learning and memory impairment in addition to hippocampal neurodegeneration, both
of which are ameliorated with amyloid precursor protein (APP) 17-mer (APP17)
peptide treatment. Although APP17 is neuroprotective, it is susceptible to
enzymatic degradation. Derived from the active sequence structure of APP17, we
have previously structurally transformed and modified several APP5-mer peptides
(APP328-332 [RERMS], APP 5). We have developed seven different derivatives of
APP5, including several analogs. Results from the 3-(4,5-dimethylthiazol-2-yl)
2,5-diphenyltetrazolium bromide assay on human neuroblastoma SH-SY5Y cells in the
present study showed that P165 was the most neuroprotective APP5 derivative.
Furthermore, we tested the effects of APP5 and P165 on the number of cells and
the release of lactate dehydrogenase. Western immunoblot analyses were also
performed. The digestion rates of P165 and APP5 were determined by the pepsin
digestion test. P165 resisted pepsin digestion significantly more than APP5.
Therefore, P165 may be optimal for oral administration. Overall, these findings
suggest that P165 may be a potential drug for the treatment of diabetic
encephalopathy.
PMID- 26551065
TI - Triazole double-headed ribonucleosides as inhibitors of eosinophil derived
neurotoxin.
AB - Eosinophil derived neurotoxin (EDN) is an eosinophil secretion protein and a
member of the Ribonuclease A (RNase A) superfamily involved in the immune
response system and inflammatory disorders. The pathological actions of EDN are
strongly dependent on the enzymatic activity and therefore, it is of significant
interest to discover potent and specific inhibitors of EDN. In this framework we
have assessed the inhibitory potency of triazole double-headed ribonucleosides.
We present here an efficient method for the heterologous production and
purification of EDN together with the synthesis of nucleosides and their
biochemical evaluation in RNase A and EDN. Two groups of double-headed
nucleosides were synthesized by the attachment of a purine or a pyrimidine base,
through a triazole group at the 3'-C position of a pyrimidine or a purine
ribonucleoside, respectively. Based on previous data with mononucleosides these
compounds were expected to improve the inhibitory potency for RNase A and
specificity for EDN. Kinetics data revealed that despite the rational, all but
one, double-headed ribonucleosides were less potent than the respective
mononucleosides while they were also more specific for ribonuclease A than for
EDN. Compound 11c (9-[3'-[4-[(cytosine-1-yl)methyl]-1,2,3-triazol-1-yl]-beta-d
ribofuranosyl]adenine) displayed a stronger preference for EDN than for
ribonuclease A and a Ki value of 58MUM. This is the first time that an inhibitor
is reported to have a better potency for EDN than for RNase A. The crystal
structure of EDN-11c complex reveals the structural basis of its potency and
selectivity providing important guidelines for future structure-based inhibitor
design efforts.
PMID- 26551067
TI - Novel Technique: Knee Arthrodesis Using Trabecular Metal Cones with
Intramedullary Nailing and Intramedullary Autograft.
AB - The failed total knee arthroplasty is a challenge to the surgeon and the patient.
Infection, bone loss, and instability lead to a chronically painful and
dysfunctional limb. Two-stage revision arthroplasty has been successful in
clearing a majority of periprosthetic joint infections. However, there are many
cases when the multiply revised and infected total knee arthroplasty cannot be
salvaged. We report, a review of knee arthrodesis and a novel technique to manage
significant bone loss. The use of trabecular metal cones and a long
intramedullary nail can be used in concert with an autologous intramedullary bone
graft to provide a stable, length restoring construct with sufficient biology to
heal very large bone voids. With this technique we have successfully restored
function and stability in the failed knee arthroplasty.
PMID- 26551066
TI - Effect of Sodium Hyaluronate on Recovery after Arthroscopic Knee Surgery.
AB - The aim of this study was to evaluate the effect of a single immediate
postoperative instillation of 10 mL of sodium hyaluronate (Viscoseal) into the
knee following arthroscopy. A single-center, prospective, randomized, controlled
study was undertaken. Consenting knee arthroscopy patients were randomized into
two groups following surgery: the study group received 10 mL of sodium
hyaluronate intra-articularly, while the control group received an intra
articular instillation of 10 mL of Bupivacaine. Pre- and postoperative visual
analogue scale scores for pain and Western Ontario and McMaster Universities
(WOMAC) scores for knee function were obtained. Overall, 48 patients under the
care of a single surgeon were randomized into two groups of 24. There were no
statistically significant demographic differences at baseline. Three patients
were lost to follow-up. There was a statistically significant difference in pain
scores favoring the study group compared with the control group at 3 and 6 weeks
postoperatively (p < 0.05), and a statistically significant difference in WOMAC
scores favoring the study group compared with the control group at 3 and 6 weeks
postoperatively (p = 0.01). Synovial fluid replacement with sodium hyaluronate
following arthroscopic knee surgery conferred statistically significant
improvements in pain and function scores compared with Bupivacaine in the short
term (3-6 weeks).
PMID- 26551068
TI - Pulmonary Findings on Computed Tomography in Asymptomatic Total Joint
Arthroplasty Patients.
AB - An increase in the diagnosis of pulmonary embolus (PE) in the early postoperative
period has been attributed to the use of multidetector 64-slice computed
tomographic (CT) scans. It was suspected that this finding was the result of
marrow or fat emboli that are commonly associated with arthroplasty rather than a
true venous thromboembolic phenomenon. The purpose of this study was to determine
the baseline pulmonary findings in asymptomatic patients after total joint
arthroplasty (TJA). Over a 1-year period, an institutional review board-approved
prospective study of 20 asymptomatic patients using a multidetector 64-slice CT
scanner was performed. Overall, 15 TKAs and 5 total hip arthroplasties were
included for analysis. All of the CT scans were negative for PE. There were no
signs of microemboli or fat emboli on any scan. No patient went on to develop a
PE at 2 years postoperatively. Despite the fact that emboli are created during
TJA, if emboli are seen on a CT scan postoperatively, they should be assumed to
be real events with clinical sequelae. If pulmonary symptoms develop
postoperatively, they should not simply be assumed to be the result of fat or
marrow embolism.
PMID- 26551069
TI - Management of Posterior Articular Depression in Tibial Plateau Fractures.
AB - Fractures involving the posterior aspect of the tibial plateau are challenging
fractures to treat. Articular depression in tibial plateau fractures is usually
addressed by elevation of the fragment(s), filling the residual defect with bone
graft or bone substitute, and "raft" support of the articular fracture reduction
with screws through a medially and/or laterally based plate. Posterior tibial
plateau articular depression presents unique challenges for obtaining and
maintaining fracture reduction. To obtain the goals of anatomic reduction and
stable fixation, a thorough understanding of the fracture, specific approaches,
reduction techniques, and stabilization strategies is needed. This article
reviews the most current strategies for treating tibial plateau fracture patients
with posterior articular depression.
PMID- 26551070
TI - Limited Added Value of the Posterolateral Approach.
AB - BACKGROUND: Posterolateral tibial plateau fractures (AO/OTA 41-B or 41-C)
represent a minority of proximal tibia fractures. Numerous surgical approaches
have been described, each with unique variations and limitations. The purpose of
this study is to quantitatively and qualitatively compare the surface area and
structures exposed by four surgical approaches to the posterolateral proximal
tibia. METHODS: Four published surgical approaches-direct posterolateral (DPL),
transfibular (TF), posteromedial (PM), and anterolateral (AL)-were performed on
10 fresh-frozen cadavers. Once each exposure was obtained, a ruler was placed in
the surgical field and calibrated digital images obtained. Overall, 10 bony and
soft tissue landmarks were identified and the surgeon's ability to see or touch
each landmark was recorded sequentially for each exposure. RESULTS: An average of
3.9 +/- 2.7 cm(2) of posterolateral proximal tibial cortex was exposed by the DPL
approach with significantly more surface area exposed by the TF, PM, and AL
approaches (p < 0.01). The AL and PM approaches revealed a significantly larger
area of tibial metaphysis and, when used together, consistently exposed posterior
metaphyseal and intra-articular structures. CONCLUSION: A combination of the AL
and PM approaches allows comparable surgical exposure to the proximal tibial when
compared with two posterolateral approaches. These approaches can be employed
together for reduction and fixation of injuries to the posterolateral tibial
plateau and allow direct evaluation of the articular surface. Dedicated
posterolateral approaches should be reserved for certain clinical situations,
including proximal tibiofibular joint fracture or dislocation.
PMID- 26551071
TI - Possible contributing role of Epstein-Barr virus (EBV) as a cofactor in human
papillomavirus (HPV)-associated cervical carcinogenesis.
AB - BACKGROUND: Persistent infection with EBV has been linked to the development of
malignancies including HPV-associated cervical carcinoma. However, the role of
EBV in HPV-associated cervical cancer is still poorly understood. OBJECTIVE: To
determine the possible contributing role of EBV in HPV-associated cervical
carcinogenesis according to HPV genotypes, HPV genome status and EBV
localization. STUDY DESIGN: Cervical tissues, including 82 with no squamous
intraepithelial lesions (noSILs), 85 low-grade SILs (LSILs), 85 high grade SILs
(HSILs) and 40 squamous cell carcinoma samples (SCC) were investigated using PCR
and dot blot hybridization for EBV detection and PCR and reverse line blot
hybridization for HPV genotyping. The amplification of papillomavirus oncogene
transcripts assay and in situ hybridization were used to determine HPV physical
status and EBV EBER localization, respectively. RESULTS: EBV was detected
increasingly from noSIL (13.4%), LSIL (29.4%) to HSIL (49.4%) samples. The
prevalence of HPV-EBV co-infection was significantly higher in any grade of
lesion than in noSIL samples (p<0.05) including noSIL (1.2%; 95% confidence
intervals [CI]=0.0-3.6%, relative risk [RR]=1), LSIL (18.8%, 95% CI=10.5-27.1%,
RR=15.4), HSIL (41.2%, 95% CI=30.7-51.6%, RR=33.8) and SCC (30.0%, 95% CI=15.8
44.2%, RR=24.6). Interestingly, HPV-EBV co-infection was more common in cases
with episomal forms of high-risk (HR) HPV whereas HPV alone was more common in
cases with integrated HR-HPV. In addition, EBER staining demonstrated that EBV
was mainly present in infiltrating lymphocytes. CONCLUSION: Infiltrating EBV
infected lymphocytes may play a role in cancer progression of cervical lesion
containing episomal HR-HPV.
PMID- 26551073
TI - Treating agitation and aggression in patients with Alzheimer's disease with
escitalopram.
PMID- 26551074
TI - The Tetrahymena telomerase p75-p45-p19 subcomplex is a unique CST complex.
AB - Tetrahymena telomerase holoenzyme subunits p75, p45 and p19 form a subcomplex (7
4-1) peripheral to the catalytic core. We report structures of p45 and p19 and
reveal them as the Stn1 and Ten1 subunits of the CST complex, which stimulates
telomerase complementary-strand synthesis. 7-4-1 binds telomeric single-stranded
DNA, and mutant p19 overexpression causes telomere 3'-overhang elongation. We
propose that telomerase-tethered Tetrahymena CST coordinates telomere G-strand
and C-strand synthesis.
PMID- 26551072
TI - Mechanisms of divalent metal toxicity in affective disorders.
AB - Metals are required for proper brain development and play an important role in a
number of neurobiological functions. The divalent metal transporter 1 (DMT1) is a
major metal transporter involved in the absorption and metabolism of several
essential metals like iron and manganese. However, non-essential divalent metals
are also transported through this transporter. Therefore, altered expression of
DMT1 can modify the absorption of toxic metals and metal-induced toxicity. An
accumulating body of evidence has suggested that increased metal stores in the
brain are associated with elevated oxidative stress promoted by the ability of
metals to catalyze redox reactions, resulting in abnormal neurobehavioral
function and the progression of neurodegenerative diseases. Metal overload has
also been implicated in impaired emotional behavior, although the underlying
mechanisms are not well understood with limited information. The current review
focuses on psychiatric dysfunction associated with imbalanced metabolism of
metals that are transported by DMT1. The investigations with respect to the toxic
effects of metal overload on behavior and their underlying mechanisms of toxicity
could provide several new therapeutic targets to treat metal-associated affective
disorders.
PMID- 26551075
TI - EGF-receptor specificity for phosphotyrosine-primed substrates provides signal
integration with Src.
AB - Aberrant activation of the EGF receptor (EGFR) contributes to many human cancers
by activating the Ras-MAPK pathway and other pathways. EGFR signaling is
augmented by Src-family kinases, but the mechanism is poorly understood. Here, we
show that human EGFR preferentially phosphorylates peptide substrates that are
primed by a prior phosphorylation. Using peptides based on the sequence of the
adaptor protein Shc1, we show that Src mediates the priming phosphorylation, thus
promoting subsequent phosphorylation by EGFR. Importantly, the doubly
phosphorylated Shc1 peptide binds more tightly than singly phosphorylated peptide
to the Ras activator Grb2; this binding is a key step in activating the Ras-MAPK
pathway. Finally, a crystal structure of EGFR in complex with a primed Shc1
peptide reveals the structural basis for EGFR substrate specificity. These
results provide a molecular explanation for the integration of Src and EGFR
signaling with downstream effectors such as Ras.
PMID- 26551076
TI - R loops regulate promoter-proximal chromatin architecture and cellular
differentiation.
AB - Numerous chromatin-remodeling factors are regulated by interactions with RNA,
although the contexts and functions of RNA binding are poorly understood. Here we
show that R loops, RNA-DNA hybrids consisting of nascent transcripts hybridized
to template DNA, modulate the binding of two key chromatin-regulatory complexes,
Tip60-p400 and polycomb repressive complex 2 (PRC2) in mouse embryonic stem cells
(ESCs). Like PRC2, the Tip60-p400 histone acetyltransferase complex binds to
nascent transcripts; however, transcription promotes chromatin binding of Tip60
p400 but not PRC2. Interestingly, we observed higher Tip60-p400 and lower PRC2
levels at genes marked by promoter-proximal R loops. Furthermore, disruption of R
loops broadly decreased Tip60-p400 occupancy and increased PRC2 occupancy genome
wide. In agreement with these alterations, ESCs partially depleted of R loops
exhibited impaired differentiation. These results show that R loops act both
positively and negatively in modulating the recruitment of key pluripotency
regulators.
PMID- 26551078
TI - Nuclear staining of fgfr-2/stat-5 and runx-2 in mucinous breast cancer.
AB - Mucinous carcinoma (MBC) is a rare subtype of breast cancer characterized by the
production of variable amounts of mucin, with a prognosis better than that of non
mucinous carcinomas (NMBC). The aim of this project was to evaluate the
expression of STAT-5, RUNX-2, and FGFR-2 in a cohort of MBC and compare it with
that of NMBC using standard immunohistochemistry. STAT-5 and RUNX-2 are two
transcription factors with cytoplasmic and/or nuclear localization that have been
related to FGFR-2, a tyrosine kinase growth factor receptor that can interact
with STAT-5 and with PR in the nuclei of breast cancer cells. Membranous,
cytoplasmic, and nuclear staining were evaluated and expressed as the percentage
of stained cells (0-100%) multiplied by the staining intensity (0-3), thus
obtaining an index ranging from 0 to 300. Nuclear and/or cytoplasmic
immunoreactivity of the three proteins were detected in a high number of NMBC.
Nuclear FGFR-2 staining correlated with nuclear STAT-5 (p<0.05) and nuclear RUNX
2 (p<0.01) in both tumor types; however MBC had a significant higher expression
of nuclear FGFR-2 (p<0.01) and RUNX-2 (p<0.05) than that of NMBC, and displayed
positive immunoreactivity of the 3 proteins in 70.8% of the cases. These results
suggest that these proteins may have a role in the progression of the mucinous
phenotype, in which nuclear STAT-5 may inhibit RUNX-2 prometastatic effect.
PMID- 26551079
TI - Susceptibility of monocytes to activation correlates with atherogenic
mitochondrial DNA mutations.
AB - We have recently evaluated the susceptibility of circulating monocytes to pro-
and anti-inflammatory activation comparing samples from healthy individuals and
patients with asymptomatic carotid atherosclerosis. Surprisingly, we found a
dramatic individual difference in susceptibility to activation between monocytes
isolated from the blood of different subjects, regardless of the presence or
absence of atherosclerosis. In the present study the monocyte susceptibility to
pro-inflammatory activation was evaluated in comparison with mitochondrial DNA
mutations that have previously been shown to correlate with the degree of carotid
atherosclerosis assessed by intima-media thickness. Among the mutations
associated with atherosclerosis were both homoplasmic (absence or presence of the
mutation) or heteroplasmic (different proportions of mutant allele). It was found
that two homoplasmic mutations, A1811G and G9477A, tended to correlate with the
degree of monocyte susceptibility to activation. At the same time, the mutation
G9477A inversely correlated with the degree of monocyte activability, that is,
the mutation was more prevalent in monocytes with a low degree of activability.
We have found that at least three heteroplasmic mutations of mtDNA (G14459A,
A1555G, G12315A) earlier known to be associated with human atherosclerosis, also
correlate with proinflammatory activation of circulating human monocytes. We
suggest that some mutations can cause mitochondrial dysfunction, which in turn
may lead to changes of macrophage activities in atherosclerosis.
PMID- 26551077
TI - The role of lipids in mechanosensation.
AB - The ability of proteins to sense membrane tension is pervasive in biology. A
higher-resolution structure of the Escherichia coli small-conductance
mechanosensitive channel MscS identifies alkyl chains inside pockets formed by
the transmembrane helices (TMs). Purified MscS contains E. coli lipids, and
fluorescence quenching demonstrates that phospholipid acyl chains exchange
between bilayer and TM pockets. Molecular dynamics and biophysical analyses show
that the volume of the pockets and thus the number of lipid acyl chains within
them decreases upon channel opening. Phospholipids with one acyl chain per head
group (lysolipids) displace normal phospholipids (with two acyl chains) from MscS
pockets and trigger channel opening. We propose that the extent of acyl-chain
interdigitation in these pockets determines the conformation of MscS. When
interdigitation is perturbed by increased membrane tension or by lysolipids, the
closed state becomes unstable, and the channel gates.
PMID- 26551080
TI - Chemopreventive efficacy of hesperidin against chemically induced nephrotoxicity
and renal carcinogenesis via amelioration of oxidative stress and modulation of
multiple molecular pathways.
AB - In the present study, chemopreventive efficacy of hesperidin was evaluated
against ferric nitrilotriacetate (Fe-NTA) induced renal oxidative stress and
carcinogenesis in wistar rats. Nephrotoxicity was induced by single
intraperitoneal injection of Fe-NTA (9 mg Fe/kg b.wt). Renal cancer was initiated
by the administration of N-nitrosodiethylamine (DEN 200mg/kg b.wt ip) and
promoted by Fe-NTA (9 mg Fe/kg b.wt ip) twice weekly for 16 weeks. Efficacy of
hesperidin against Fe-NTA-induced nephrotoxicity was assessed in terms of
biochemical estimation of antioxidant enzyme activities viz. reduced renal GSH,
glutathione peroxidase, glutathione reductase, glutathione-S-transferase,
catalase, superoxide dismutase and renal toxicity markers (BUN, Creatinine, KIM
1). Administration of Fe-NTA significantly depleted antioxidant renal armory,
enhanced renal lipid peroxidation as well as the levels of BUN, creatinine and
KIM-1. However, simultaneous pretreatment of hesperidin restored their levels in
a dose dependent manner. Expression of apoptotic markers caspase-3, caspase-9,
bax, bcl-2 and proliferative marker PCNA along with inflammatory markers
(NFkappaB, iNOS, TNF-alpha) were also analysed to assess the chemopreventive
potential of hesperidin in two-stage renal carcinogenesis model. Hesperidin was
found to induce caspase-3, caspase-9, bax expression and downregulate bcl-2,
NFkappaB, iNOS, TNF-alpha, PCNA expression. Histopathological findings further
revealed hesperidin's chemopreventive efficacy by restoring the renal morphology.
Our results provide a powerful evidence suggesting hesperidin to be a potent
chemopreventive agent against renal carcinogenesis possibly by virtue of its
antioxidant properties and by modulation of multiple molecular pathways.
PMID- 26551081
TI - The influence of DNA degradation in formalin-fixed, paraffin-embedded (FFPE)
tissue on locus-specific methylation assessment by MS-HRM.
AB - Readily accessible formalin-fixed paraffin embedded (FFPE) tissues are a highly
valuable source of genetic material for molecular analyses in both research and
in vitro diagnostics but frequently genetic material in those samples is highly
degraded. With locus-specific methylation changes being widely investigated for
use as biomarkers in various aspects of clinical disease management, we aimed to
evaluate to what extent standard laboratory procedures can approximate the
quality of the DNA extracted from FFPE samples prior to methylation analyses. DNA
quality in 107 FFPE non-small cell lung cancer (NSCLC) samples was evaluated
using spectrophotometry and gel electrophoresis. Subsequently, the quality
assessment results were correlated with the results of locus specific methylation
assessment with methylation sensitive high resolution melting (MS-HRM). The
correlation of template quality with PCR amplification performance and HRM based
methylation detection indicated a significant influence of DNA quality on PCR
amplification but not on methylation assessment. In conclusion, standard
laboratory procedures fairly well approximate DNA degradation of FFPE samples and
DNA degradation does not seem to considerably affect locus-specific methylation
assessment by MS-HRM.
PMID- 26551082
TI - CDX2 downregulation is associated with poor differentiation and MMR deficiency in
colon cancer.
AB - BACKGROUND: Homeobox genes are often deregulated in cancer and can have both
oncogenic and tumor-suppressing potential. The Caudal-related homeobox
transcription factor 2 (CDX2) is an intestine-specific transcription factor. CDX2
has been implicated in differentiation, proliferation, cell adhesion, and
migration. In this study, we investigated CDX2 mRNA and protein expression in
relation to the clinicopathological characteristics of colon cancer, including
mismatch repair status and recurrence risk. METHODS: Tumor samples were obtained
from colon cancer patients. Biopsies from tumor tissue and normal adjacent tissue
were fixed in liquid nitrogen for RNA extraction or in formalin and paraffin
embedded (FFPE) for immunohistochemical staining. CDX2 mRNA expression was
evaluated by RT-qPCR. FFPE sections were stained for MLH1, MSH2, MSH6, PMS2, and
CDX2. RESULTS: A total of 191 patient samples were included in the study and
analyzed by immunohistochemistry. Of these samples, 97 were further evaluated by
RT-qPCR. There was no significant difference in CDX2 mRNA expression between
tumor and normal tissues. CDX2 mRNA expression was significantly lower in right
sided tumors (p<0.05), poorly differentiated tumors (p<0.05), and MMR-deficient
tumors (p<0.05). Similarly, CDX2 protein expression was more often low or absent
in right-sided tumors (p<0.01), poorly differentiated tumors (p<0.001), and MMR
deficient tumors (p<0.001). Low CDX2 protein or mRNA expression was not
associated with recurrence risk. CONCLUSION: We found that CDX2 downregulation is
associated with MMR deficiency, right-sided tumors, and poor differentiation at
both the mRNA and protein level. Whether CDX2 plays an active role in tumor
progression in MSI/MMR-deficient tumors remains to be elucidated.
PMID- 26551083
TI - Neutrophil's weapons in atherosclerosis.
AB - Neutrophils are important components of immunity associated with inflammatory
responses against a broad spectrum of pathogens. These cells could be rapidly
activated by proinflammatory stimuli and migrate to the inflamed and infected
sites where they release a variety of cytotoxic molecules with antimicrobial
activity. Neutrophil antibacterial factors include extracellular proteases, redox
enzymes, antimicrobial peptides, and small bioactive molecules. In resting
neutrophils, these factors are stored in granules and released upon activation
during degranulation. These factors could be also secreted in a neutrophil
derived microparticle-dependent fashion. Neutrophils exhibit a unique property to
produce neutrophil extracellular traps (NETs) composed of decondensed chromatin
and granular proteins to catch and kill bacteria. Neutrophil-released factors are
efficient in inactivation and elimination of pathogens through oxidation
dependent or independent damage of bacterial cells, inactivation and
neutralization of virulence factors and other mechanisms. However, in chronic
atherosclerosis-associated inflammation, protective function of neutrophils could
be impaired and misdirected against own cells. This could lead to deleterious
effects and progressive vascular injury. In atherogenesis, a pathogenic role of
neutrophils could be especially seen in early stages associated with endothelial
dysfunction and induction of vascular inflammation and in late atherosclerosis
associated with plaque rupture and atherothrombosis. Assuming a prominent impact
of neutrophils in cardiovascular pathology, developing therapeutic strategies
targeting neutrophil-specific antigens could have a promising clinical potential.
PMID- 26551084
TI - Reactive protoplasmic and fibrous astrocytes contain high levels of calpain
cleaved alpha 2 spectrin.
AB - Calpain, a family of calcium-dependent neutral proteases, plays important roles
in neurophysiology and pathology through the proteolytic modification of
cytoskeletal proteins, receptors and kinases. Alpha 2 spectrin (alphaII spectrin)
is a major substrate for this protease family, and the presence of the alphaII
spectrin breakdown product (alphaIotaIota spectrin BDP) in a cell is evidence of
calpain activity triggered by enhanced intracytoplasmic Ca(2+) concentrations.
Astrocytes, the most dynamic CNS cells, respond to micro-environmental changes or
noxious stimuli by elevating intracytoplasmic Ca(2+) concentration to become
activated. As one measure of whether calpains are involved with reactive glial
transformation, we examined paraffin sections of the human cerebral cortex and
white matter by immunohistochemistry with an antibody specific for the calpain
mediated alphaIotaIota spectrin BDP. We also performed conventional double
immunohistochemistry as well as immunofluorescent studies utilizing antibodies
against alphaIotaIota spectrin BDP as well as glial fibrillary acidic protein
(GFAP). We found strong immunopositivity in selected protoplasmic and fibrous
astrocytes, and in transitional forms that raise the possibility of some of
fibrous astrocytes emerging from protoplasmic astrocytes. Immunoreactive
astrocytes were numerous in brain sections from cases with severe cardiac and/or
respiratory diseases in the current study as opposed to our previous study of
cases without significant clinical conditions that failed to reveal such
remarkable immunohistochemical alterations. Our study suggests that astrocytes
become alphaIotaIota spectrin BDP immunopositive in various stages of activation,
and that spectrin cleavage product persists even in fully reactive astrocytes.
Immunohistochemistry for alphaIotaIota spectrin BDP thus marks reactive
astrocytes, and highlights the likelihood that calpains and their proteolytic
processing of spectrin participate in the morphologic and physiologic transition
from resting protoplasmic astrocytes to reactive fibrous astrocytes.
PMID- 26551086
TI - Pituitary adenoma-neuronal choristoma is a pituitary adenoma with ganglionic
differentiation.
AB - The presence of ganglion cells within an endocrine pituitary tumor has been named
hamartoma, choristoma, gangliocytoma, or most recently pituitary adenoma-neuronal
choristoma (PANCH). The presence of neuronal differentiation in regular pituitary
adenomas has been previously suggested, however, its origin, the extent of its
presence, and the relationship between the neuronal elements and the pituitary
adenoma remain uncertain. Thus, to further explore the neuronal potential of
pituitary tumors, we used immunohistochemistry on pituitary tumors of different
grades, with a neuronal antigen protein (NeuN) antibody as a specific marker for
mature neuronal differentiation. We found NeuN expression in 26.47% (9/34) cases
of pituitary tumors without ganglionic differentiation (7 adenomas, 1 atypical
adenoma and 1 pituitary carcinoma), in addition to NeuN expression in pituitary
adenomas with ganglionic cells (2/2). Thus, neuronal expression is an innate
property of pituitary adenomas. We propose that the rare presence of ganglionic
cells in pituitary adenomas is not the result of a separate lesion or "collision
sellar tumors", as previously suggested, but a ganglionic neuronal
differentiation in an endocrine neoplasm. The ganglionic cells may be arising
from uncommitted stem/progenitor cells that contain both neuronal and endocrine
properties. A label of "pituitary adenoma with ganglionic differentiation" would
better reflect the dual differentiation in a neuroendocrine tumor than the
current label "PANCH".
PMID- 26551085
TI - Induction of CYP2E1 in non-alcoholic fatty liver diseases.
AB - Mounting evidence supports a contribution of endogenous alcohol metabolism in the
pathogenesis of non-alcoholic steatohepatitis (NASH). However, it is not known
whether the expression of alcohol metabolism genes is altered in the livers of
simple steatosis. There is also a current debate on whether fatty acids induce
CYP2E1 in fatty livers. In this study, expression of alcohol metabolizing genes
in the liver biopsies of simple steatosis patients was examined by quantitative
real-time PCR (qRT-PCR), in comparison to biopsies of NASH livers and normal
controls. Induction of alcohol metabolizing genes was also examined in cultured
HepG2 cells treated with ethanol or oleic acid, by qRT-PCR and Western blots. We
found that the mRNA expression of alcohol metabolizing genes including ADH1C,
ADH4, ADH6, catalase and CYP2E1 was elevated in the livers of simple steatosis,
to similar levels found in NASH livers. In cultured HepG2 cells, ethanol induced
the expression of CYP2E1 mRNA and protein, but not ADH4 or ADH6; oleic acid did
not induce any of these genes. These results suggest that elevated alcohol
metabolism may contribute to the pathogenesis of NAFLD at the stage of simple
steatosis as well as more severe stages. Our in vitro data support that CYP2E1 is
induced by endogenous alcohol but not by fatty acids.
PMID- 26551087
TI - Multinucleated giant cells in atherosclerotic plaques of human carotid arteries:
Identification of osteoclast-like cells and their specific proteins in artery
wall.
AB - The mechanism(s) mediating atherosclerotic calcification may be similar to those
governing bone remodeling, and osteoblast-like cells have been observed in
plaque. We tested the hypothesis that osteoclast-like cells (OLCs) also exist in
atherosclerotic arteries. In 205 tissue blocks obtained from 21 patients
undergoing carotid endarterectomy, we performed histopathologic analysis,
histochemical staining for tartrate-resistant acid phosphatase (TRAP), and
immunohistochemical analysis for osteoclast and macrophage antigens, including
CD68, colony stimulating factor-1 receptor (CSF-1R), cathepsin K (cat-K),
receptor activator of nuclear factor-kappaB (RANK), and osteoprotegerin (OPG).
Lesions were classified according to the AHA system, and further grouped as
calcified or non-calcified (with necrotic cores or suture granulomas).
Multinucleated giant cells morphologically similar to osteoclasts were frequently
seen, sometimes exhibited morphologic evidence of polarization, were closely
associated with regions of calcification, fibrosis, or granulomatous tissue, and
also appeared to be associated with neovascularization and regions of intraplaque
hemorrhage. TRAP-positive cells often expressed the osteoclast-associated
antigens cat-K, RANK, and OPG. Calcification typically occurred at the base of
plaque or in necrotic cores in various morphologies, including a fine powdery
pattern, a diffuse pattern of larger deposits near cholesterol clefts and
necrotic centers, and nodular forms. Regions of frank ossification were rarely
observed. CONCLUSION: OLCs are frequently found in plaque, and co-localize with
sub-regions of cholesterol deposition, mineralization, and necrotic and foreign
debris. True bone tissue is rare in carotid plaque, although more common in other
arteries. Our findings suggest that arterial OLCs might degrade mineral deposits,
prevent formation of calcification or both and therefore counterbalance the
activity of the osteoblast-like cells in atherosclerosis.
PMID- 26551088
TI - Observational Evidence for Involvement of Nitrate Radicals in Nighttime Oxidation
of Mercury.
AB - In the atmosphere, reactive forms of mercury species can be produced by oxidation
of the dominant gaseous elemental mercury (GEM). The oxidation of GEM is an
important driver for deposition, but oxidation pathways currently are poorly
constrained and likely differ among regions. In this study, continuous
measurements of atmospheric nitrate radical (NO3) concentrations and mercury
speciation (i.e., elemental and reactive, oxidized forms) were performed during a
six week period in the urban air shed of Jerusalem, Israel during summer 2012, to
investigate the potential nighttime contribution of nitrate radicals to oxidized
mercury formation. Average nighttime concentrations of reactive gaseous mercury
(RGM) were almost equivalent to daytime levels (25 pg m(-3) and 27 pg m(-3)
respectively), in contrast to early morning and evening RGM levels which dropped
to low levels (9 and 13 pg m(-3)). During daytime, the presence of RGM was
increased when solar radiation exceeded 200 W m(-2), suggesting a photochemical
process for daytime RGM formation. Ozone concentrations were largely unrelated to
daytime RGM. Nighttime RGM concentrations were relatively high (with a maximum of
97 pg m(-3)) compared to nighttime levels in other urban regions. A strong
correlation was observed between nighttime RGM concentrations and nitrate radical
concentration (R(2) averaging 0.47), while correlations to other variables were
weak (e.g., RH; R(2) = 0.35) or absent (e.g., ozone, wind speed and direction,
pollution tracers such as CO or SO2). Detailed analyses suggest that advection
processes or tropospheric influences were unlikely to explain the strong
nighttime correlations between NO3 and RGM, although these processes may
contribute to these relationships. Our observations suggest that NO3 radicals may
play a role in RGM formation, possibly due to a direct chemical involvement in
GEM oxidation. Since physical data, however, suggest that NO3 unlikely initiates
GEM oxidation, NO3 may play a secondary role in GEM oxidation through the
addition to an unstable Hg(I) radical species.
PMID- 26551089
TI - Cognitive and Motor Aspects of Parkinson's Disease Associated with Dysphagia.
AB - BACKGROUND: Dysphagia is a common symptom and an important prognostic factor in
Parkinson's disease (PD). Although cognitive and motor dysfunctions may
contribute to dysphagia in patients with PD, any specific association between
such problems and swallowing functions is unclear. Here, we examined the
potential relationship between cognitive/motor components and swallowing
functions in PD. We evaluated the contributions of cognition and motor function
to the components of swallowing via video fluoroscopic swallowing (VFS)
experiments. METHODS: We prospectively enrolled 56 patients without dementia
having PD. Parkinson's disease severity was assessed by the Unified Parkinson's
Disease Rating Scale (UPDRS). All participants received neuropsychological tests
covering general mental status, visuospatial function, attention, language,
learning and memory, and frontal executive function. The well-validated "modified
barium swallow impairment profile" scoring system was applied during VFS studies
to quantify swallowing impairments. Finally, correlations between
neuropsychological or motor functions and impairment in swallowing components
were calculated. RESULTS: The most significant correlations were found between
the frontal/executive or learning/memory domains and the oral phase of
swallowing, though a minor component of the pharyngeal phase correlated with
frontal function as well. Bradykinesia and the UPDRS total score were associated
with both the pharyngeal and oral phases. CONCLUSIONS: Our findings suggest that
cognitive dysfunctions are associated with the oral phase of swallowing in
patients with early stage PD while the severity of motor symptoms may be
associated with overall swallowing function.
PMID- 26551090
TI - Dissecting Aneurysm of the Recurrent Artery of Heubner in a Patient With
Osteogenesis Imperfecta.
PMID- 26551092
TI - Unbounded Violation of Quantum Steering Inequalities.
AB - We construct steering inequalities that exhibit unbounded violation. The concept
was to exploit the relationship between steering violation and the uncertainty
relation. To this end, we apply mutually unbiased bases and anticommuting
observables, known to exhibit the strongest uncertainty. In both cases, we are
able to procure unbounded violations. Our approach is much more constructive and
transparent than the operator space theory approach employed to obtain large
violation of Bell inequalities. Importantly, using anticommuting observables we
are able to obtain a dichotomic steering inequality with unbounded violation.
Thus far, there is no analogous result for Bell inequalities. Interestingly, both
the dichotomic inequality and one of our inequalities cannot be directly obtained
from existing uncertainty relations, which strongly suggest the existence of an
unknown kind of uncertainty relation.
PMID- 26551093
TI - Dynamics and Interaction of Vortex Lines in an Elongated Bose-Einstein
Condensate.
AB - We study the real-time dynamics of vortices in a large elongated Bose-Einstein
condensate (BEC) of sodium atoms using a stroboscopic technique. Vortices are
produced via the Kibble-Zurek mechanism in a quench across the BEC transition and
they slowly precess keeping their orientation perpendicular to the long axis of
the trap as expected for solitonic vortices in a highly anisotropic condensate.
Good agreement with theoretical predictions is found for the precession period as
a function of the orbit amplitude and the number of condensed atoms. In
configurations with two or more vortices, we see signatures of vortex-vortex
interaction in the shape and visibility of the orbits. In addition, when more
than two vortices are present, their decay is faster than the thermal decay
observed for one or two vortices. The possible role of vortex reconnection
processes is discussed.
PMID- 26551094
TI - Proposed Robust Entanglement-Based Magnetic Field Sensor Beyond the Standard
Quantum Limit.
AB - Recently, there have been significant developments in entanglement-based quantum
metrology. However, entanglement is fragile against experimental imperfections,
and quantum sensing to beat the standard quantum limit in scaling has not yet
been achieved in realistic systems. Here, we show that it is possible to overcome
such restrictions so that one can sense a magnetic field with an accuracy beyond
the standard quantum limit even under the effect of decoherence, by using a
realistic entangled state that can be easily created even with current
technology. Our scheme could pave the way for the realizations of practical
entanglement-based magnetic field sensors.
PMID- 26551095
TI - Does the Collapse of a Supramassive Neutron Star Leave a Debris Disk?
AB - One possible channel for black hole formation is the collapse of a rigidly
rotating massive neutron star as it loses its angular momentum or gains excessive
mass through accretion. It was proposed that part of the neutron star may form a
debris disk around the black hole. Such short-lived massive disks could be the
sources of powerful jets emitting cosmological gamma-ray bursts. Whether the
collapse creates a disk depends on the equation of state of the neutron star. We
survey a wide range of equations of states allowed by observations and find that
disk formation is unfeasible. We conclude that this channel of black hole
formation is incapable of producing powerful jets, and discuss implications for
models of gamma-ray bursts.
PMID- 26551096
TI - Emerging Hawking-Like Radiation from Gravitational Bremsstrahlung Beyond the
Planck Scale.
AB - We argue that, as a consequence of the graviton's spin-2, its bremsstrahlung in
trans-Planckian-energy (E?M(P)) gravitational scattering at small deflection
angle can be nicely expressed in terms of helicity-transformation phases and
their transfer within the scattering process. The resulting spectrum exhibits
deeply sub-Planckian characteristic energies of order M(P)(2)/E?M(P) (reminiscent
of Hawking radiation), a suppressed fragmentation region, and a reduced rapidity
plateau, in broad agreement with recent classical estimates.
PMID- 26551091
TI - Merging data from genetic and epigenetic approaches to better understand autistic
spectrum disorder.
AB - Autism spectrum disorder (ASD) is a complex neurodevelopmental disorder that is
characterized by a wide range of cognitive and behavioral abnormalities. Genetic
research has identified large numbers of genes that contribute to ASD phenotypes.
There is compelling evidence that environmental factors contribute to ASD through
influences that differentially impact the brain through epigenetic mechanisms.
Both genetic mutations and epigenetic influences alter gene expression in
different cell types of the brain. Mutations impact the expression of large
numbers of genes and also have downstream consequences depending on specific
pathways associated with the mutation. Environmental factors impact the
expression of sets of genes by altering methylation/hydroxymethylation patterns,
local histone modification patterns and chromatin remodeling. Herein, we discuss
recent developments in the research of ASD with a focus on epigenetic pathways as
a complement to current genetic screening.
PMID- 26551097
TI - Central Charges and the Sign of Entanglement in 4D Conformal Field Theories.
AB - We explore properties of the universal terms in the entanglement entropy and
logarithmic negativity in 4D conformal field theories, aiming to clarify the ways
in which they behave like the analogous entanglement measures in quantum
mechanics. We show that, unlike entanglement entropy in finite-dimensional
systems, the sign of the universal part of entanglement entropy is indeterminate.
In particular, if and only if the central charges obey a>c, the entanglement
across certain classes of entangling surfaces can become arbitrarily negative,
depending on the geometry and topology of the surface. The negative contribution
is proportional to the product of a-c and the genus of the surface. Similarly, we
show that in a>c theories, the logarithmic negativity does not always exceed the
entanglement entropy.
PMID- 26551098
TI - Continuous Multiscale Entanglement Renormalization Ansatz as Holographic Surface
State Correspondence.
AB - We present how the surface-state correspondence, conjectured by Miyaji and
Takayanagi, works in the setup of AdS(3)/CFT(2) by generalizing the formulation
of a continuous multiscale entanglement renormalization group ansatz. The
boundary states in conformal field theories play a crucial role in our
formulation and the bulk diffeomorphism is naturally taken into account. We give
an identification of bulk local operators which reproduces correct scalar field
solutions on AdS(3) and bulk scalar propagators. We also calculate the
information metric for a locally excited state and show that it reproduces the
time slice of AdS(3).
PMID- 26551099
TI - Standard Model as a Double Field Theory.
AB - We show that, without any extra physical degree introduced, the standard model
can be readily reformulated as a double field theory. Consequently, the standard
model can couple to an arbitrary stringy gravitational background in an O(4,4) T
duality covariant manner and manifest two independent local Lorentz symmetries,
Spin(1,3)*Spin(3,1). While the diagonal gauge fixing of the twofold spin groups
leads to the conventional formulation on the flat Minkowskian background, the
enhanced symmetry makes the standard model more rigid, and also stringy, than it
appeared. The CP violating theta term may no longer be allowed by the symmetry,
and hence the strong CP problem can be solved. There are now stronger constraints
imposed on the possible higher order corrections. We speculate that the quarks
and the leptons may belong to the two different spin classes.
PMID- 26551100
TI - "Lagrangian" for a Non-Lagrangian Field Theory with N=2 Supersymmetry.
AB - We suggest that at least some of the strongly coupled N=2 quantum field theories
in 4D can have a nonconformal N=1 Lagrangian description flowing to them at low
energies. In particular, we construct such a description for the N=2 rank one
superconformal field theory with E(6) flavor symmetry, for which a Lagrangian
description was previously unavailable. We utilize this description to compute
several supersymmetric partition functions.
PMID- 26551101
TI - Completing the Picture of the Roper Resonance.
AB - We employ a continuum approach to the three valence-quark bound-state problem in
relativistic quantum field theory to predict a range of properties of the
proton's radial excitation and thereby unify them with those of numerous other
hadrons. Our analysis indicates that the nucleon's first radial excitation is the
Roper resonance. It consists of a core of three dressed quarks, which expresses
its valence-quark content and whose charge radius is 80% larger than the proton
analogue. That core is complemented by a meson cloud, which reduces the observed
Roper mass by roughly 20%. The meson cloud materially affects long-wavelength
characteristics of the Roper electroproduction amplitudes but the quark core is
revealed to probes with Q(2)?3m(N)(2).
PMID- 26551102
TI - Scalar Hint from the Diboson Excess?
AB - In view of the recent diboson resonant excesses reported by both ATLAS and CMS
Collaborations, we suggest that a new weak singlet pseudoscalar particle eta(WZ)
may decay into two weak bosons while being produced in gluon fusion at the LHC.
The couplings to the gauge bosons can arise from a Wess-Zumino-Witten anomaly
term and thus we study an effective model based on the anomaly term as a well
motivated phenomenological model. In models where the pseudoscalar particle
arises as a composite state, the coefficients of the anomalous couplings can be
related to the fermion components of the underlying dynamics. We provide an
example to test the feasibility of the idea.
PMID- 26551103
TI - Detecting Stealth Dark Matter Directly through Electromagnetic Polarizability.
AB - We calculate the spin-independent scattering cross section for direct detection
that results from the electromagnetic polarizability of a composite scalar
"stealth baryon" dark matter candidate, arising from a dark SU(4) confining gauge
theory-"stealth dark matter." In the nonrelativistic limit, electromagnetic
polarizability proceeds through a dimension-7 interaction leading to a very small
scattering cross section for dark matter with weak-scale masses. This represents
a lower bound on the scattering cross section for composite dark matter theories
with electromagnetically charged constituents. We carry out lattice calculations
of the polarizability for the lightest "baryon" states in SU(3) and SU(4) gauge
theories using the background field method on quenched configurations. We find
the polarizabilities of SU(3) and SU(4) to be comparable (within about 50%)
normalized to the stealth baryon mass, which is suggestive for extensions to
larger SU(N) groups. The resulting scattering cross sections with a xenon target
are shown to be potentially detectable in the dark matter mass range of about 200
700 GeV, where the lower bound is from the existing LUX constraint while the
upper bound is the coherent neutrino background. Significant uncertainties in the
cross section remain due to the more complicated interaction of the polarizablity
operator with nuclear structure; however, the steep dependence on the dark matter
mass, 1/m(B)(6), suggests the observable dark matter mass range is not
appreciably modified. We briefly highlight collider searches for the mesons in
the theory as well as the indirect astrophysical effects that may also provide
excellent probes of stealth dark matter.
PMID- 26551104
TI - Semivisible Jets: Dark Matter Undercover at the LHC.
AB - Dark matter may be a composite particle that is accessible via a weakly coupled
portal. If these hidden-sector states are produced at the Large Hadron Collider
(LHC), they would undergo a QCD-like shower. This would result in a spray of
stable invisible dark matter along with unstable states that decay back to the
standard model. Such "semivisible" jets arise, for example, when their production
and decay are driven by a leptophobic Z' resonance; the resulting signature is
characterized by significant missing energy aligned along the direction of one of
the jets. These events are vetoed by the current suite of searches employed by
the LHC, resulting in low acceptance. This Letter will demonstrate that the
transverse mass-computed using the final-state jets and the missing energy
provides a powerful discriminator between the signal and the QCD background.
Assuming that the Z' couples to the standard model quarks with the same strength
as the Z(0), the proposed search can discover (exclude) Z' masses up to 2.5 TeV
(3.5 TeV) with 100 fb(-1) of 14 TeV data at the LHC.
PMID- 26551105
TI - Towards Exotic Hidden-Charm Pentaquarks in QCD.
AB - Inspired by P(c)(4380) and P(c)(4450) recently observed by LHCb, a QCD sum rule
investigation is performed, by which they can be identified as exotic hidden
charm pentaquarks composed of an anticharmed meson and a charmed baryon. Our
results suggest that P(c)(4380) and P(c)(4450) have quantum numbers J(P)=3/2(-)
and 5/2(+), respectively. Furthermore, two extra hidden-charm pentaqurks with
configurations DSigma(c)(*) and D(*)Sigma(c)(*) are predicted, which have spin
parity quantum numbers J(P)=3/2(-) and J(P)=5/2(+), respectively. As an important
extension, the mass predictions of hidden-bottom pentaquarks are also given.
Searches for these partners of P(c)(4380) and P(c)(4450) are especially
accessible at future experiments like LHCb and BelleII.
PMID- 26551106
TI - (97)(37)Rb(60): The Cornerstone of the Region of Deformation around A ~ 100
[corrected].
AB - Excited states of the neutron-rich nuclei (97,99)Rb were populated for the first
time using the multistep Coulomb excitation of radioactive beams. Comparisons of
the results with particle-rotor model calculations provide clear identification
for the ground-state rotational band of (97)Rb as being built on the pig(9/2)
[431] 3/2(+) Nilsson-model configuration. The ground-state excitation spectra of
the Rb isotopes show a marked distinction between single-particle-like structures
below N=60 and rotational bands above. The present study defines the limits of
the deformed region around A~100 and indicates that the deformation of (97)Rb is
essentially the same as that observed well inside the deformed region. It further
highlights the power of the Coulomb-excitation technique for obtaining
spectroscopic information far from stability. The (99)Rb case demonstrates the
challenges of studies with very short-lived postaccelerated radioactive beams.
PMID- 26551108
TI - Pathway for the Production of Neutron-Rich Isotopes around the N=126 Shell
Closure.
AB - Absolute cross sections for isotopically identified products formed in
multinucleon transfer in the (136)Xe+(198)Pt system at ~8 MeV/nucleon are
reported. The isotopic distributions obtained using a large acceptance
spectrometer demonstrated the production of the "hard-to-reach" neutron-rich
isotopes for Z<78 around the N=126 shell closure far from stability. The main
contribution to the formation of these exotic nuclei is shown to arise in
collisions with a small kinetic energy dissipation. The present experimental
finding corroborates for the first time recent predictions that multinucleon
transfer reactions would be the optimum method to populate and characterize
neutron-rich isotopes around N=126 which are crucial for understanding both
astrophysically relevant processes and the evolution of "magic" numbers far from
stability.
PMID- 26551109
TI - Rydberg Excitation of a Single Trapped Ion.
AB - We demonstrate excitation of a single trapped cold (40)Ca(+) ion to Rydberg
levels by laser radiation in the vacuum ultraviolet at a wavelength of 122 nm.
Observed resonances are identified as 3d(2)D(3/2) to 51F, 52F and 3d(2)D(5/2) to
64F. We model the line shape and our results imply a large state-dependent
coupling to the trapping potential. Rydberg ions are of great interest for future
applications in quantum computing and simulation, in which large dipolar
interactions are combined with the superb experimental control offered by Paul
traps.
PMID- 26551110
TI - Simultaneous Measurement of the Three-Dimensional Orientation of Excitation and
Emission Dipoles.
AB - The emission properties of most fluorescent emitters, such as dye molecules or
solid-state color centers, can be well described by the model of an oscillating
electric dipole. However, the orientations of their excitation and emission
dipoles are, in most cases, not parallel. Although single molecule excitation and
emission dipole orientation measurements have been performed in the past, no
experimental method has so far looked at the three-dimensional excitation and
emission dipole geometry of individual emitters simultaneously. We present the
first experimental study, using defocused imaging in conjunction with radially
polarized excitation scanning, to measure both the excitation as well as emission
dipole orientations of single molecules, which allows us to sample the
distribution of their mutual orientation. We find an unexpectedly broad
distribution of the angle between both dipoles which we attribute to the
interaction between the observed molecules and the substrate they are immobilized
on.
PMID- 26551111
TI - Enhancement of Ultracold Molecule Formation Using Shaped Nanosecond Frequency
Chirps.
AB - We demonstrate that judicious shaping of a nanosecond-time-scale frequency chirp
can dramatically enhance the formation rate of ultracold (87)Rb(2) molecules.
Starting with ultracold (87)Rb atoms, we apply pulses of frequency-chirped light
to first photoassociate the atoms into excited molecules and then, later in the
chirp, deexcite these molecules into a high vibrational level of the lowest
triplet state a (3)Sigma(u)(+). The enhancing chirp shape passes through the
absorption and stimulated emission transitions relatively slowly, thus increasing
their adiabaticity, but jumps quickly between them to minimize the effects of
spontaneous emission. Comparisons with quantum simulations for various chirp
shapes support this enhancement mechanism.
PMID- 26551107
TI - Measurement of the Target-Normal Single-Spin Asymmetry in Quasielastic Scattering
from the Reaction (3)He(?)(e,e').
AB - We report the first measurement of the target single-spin asymmetry, A(y), in
quasielastic scattering from the inclusive reaction (3)He(?)(e,e') on a (3)He gas
target polarized normal to the lepton scattering plane. Assuming time-reversal
invariance, this asymmetry is strictly zero for one-photon exchange. A nonzero
A(y) can arise from the interference between the one- and two-photon exchange
processes which is sensitive to the details of the substructure of the nucleon.
An experiment recently completed at Jefferson Lab yielded asymmetries with high
statistical precision at Q(2)=0.13, 0.46, and 0.97 GeV(2). These measurements
demonstrate, for the first time, that the (3)He asymmetry is clearly nonzero and
negative at the 4sigma-9sigma level. Using measured proton-to-(3)He cross-section
ratios and the effective polarization approximation, neutron asymmetries of -(1
3)% were obtained. The neutron asymmetry at high Q(2) is related to moments of
the generalized parton distributions (GPDs). Our measured neutron asymmetry at
Q(2)=0.97 GeV(2) agrees well with a prediction based on two-photon exchange
using a GPD model and thus provides a new, independent constraint on these
distributions.
PMID- 26551112
TI - Attosecond Coherent Control of Single and Double Photoionization in Argon.
AB - Ultrafast high harmonic beams provide new opportunities for coherently
controlling excitation and ionization processes in atoms, molecules, and
materials on attosecond time scales by employing multiphoton two-pathway electron
wave-packet quantum interferences. Here we use spectrally tailored and frequency
tuned vacuum and extreme ultraviolet harmonic combs, together with two phase
locked infrared laser fields, to show how the total single and double
photoionization yields of argon can be coherently modulated by controlling the
relative phases of both optical and electronic-wave-packet quantum interferences.
This Letter is the first to apply quantum control techniques to double
photoionization, which is a fundamental process where a single, high-energy
photon ionizes two electrons simultaneously from an atom.
PMID- 26551113
TI - Nature of the Volume Isotope Effect in Ice.
AB - The substitution of hydrogen (H) by deuterium (D) in ice Ih and in its H-ordered
version, ice XI, produces an anomalous form of volume isotope effect (VIE), i.e.,
volume expansion. This VIE contrasts with the normal VIE (volume contraction)
predicted in ice-VIII and in its H-disordered form, ice VII. Here we investigate
the VIE in ice XI and in ice VIII using first principles quasiharmonic
calculations. We conclude that normal and anomalous VIEs can be produced in ice
VIII and ice XI in sequence by application of pressure (ice XI starting at
negative pressures) followed by a third type-anomalous VIE with zero-point volume
contraction. The latter should also contribute to the isotope effect in the ice
VII -> ice X transition. The predicted change between normal and anomalous VIE in
ice VIII at 14.3 GPa and 300 K is well reproduced experimentally in ice VII using
x-ray diffraction measurements. The present discussion of the VIE is general, and
conclusions should be applicable to other solid phases of H(2)O, possibly to
liquid water under pressure, and to other H-bonded materials.
PMID- 26551114
TI - Deviations from Boltzmann-Gibbs Statistics in Confined Optical Lattices.
AB - We investigate the semiclassical phase-space probability distribution P(x,p) of
cold atoms in a Sisyphus cooling lattice with an additional harmonic confinement.
We pose the question of whether this nonequilibrium steady state satisfies the
equivalence of energy and probability. This equivalence is the foundation of
Boltzmann-Gibbs and generalized thermostatic statistics, and a prerequisite for
the description in terms of a temperature. At large energies, P(x,p) depends only
on the Hamiltonian H(x,p) and the answer to the question is yes. In distinction
to the Boltzmann-Gibbs state, the large-energy tails are power laws
P(x,p)?H(x,p)(-1/D), where D is related to the depth of the optical lattice. At
intermediate energies, however, P(x,p) cannot be expressed as a function of the
Hamiltonian and the equivalence between energy and probability breaks down. As a
consequence the average potential and kinetic energy differ and no well-defined
temperature can be assigned. The Boltzmann-Gibbs state is regained only in the
limit of deep optical lattices. For strong confinement relative to the damping,
we derive an explicit expression for the stationary phase-space distribution.
PMID- 26551115
TI - Ultraefficient Coupling of a Quantum Emitter to the Tunable Guided Plasmons of a
Carbon Nanotube.
AB - We show that a single quantum emitter can efficiently couple to the tunable
plasmons of a highly doped single-wall carbon nanotube (SWCNT). Plasmons in these
quasi-one-dimensional carbon structures exhibit deep subwavelength confinement
that pushes the coupling efficiency close to 100% over a very broad spectral
range. This phenomenon takes place for distances and tube diameters comprising
the nanometer and micrometer scales. In particular, we find a beta factor ~1 for
QEs placed 1-100 nm away from SWCNTs that are just a few nanometers in diameter,
while the corresponding Purcell factor exceeds 10(6).
PMID- 26551116
TI - Blackbody Theory for Hyperbolic Materials.
AB - The blackbody theory is revisited in the case of thermal electromagnetic fields
inside uniaxial anisotropic media in thermal equilibrium with a heat bath. When
these media are hyperbolic, we show that the spectral energy density of these
fields radically differs from that predicted by Planck's blackbody theory and
that the maximum of the spectral energy density determined by Wien's law is
redshifted. Finally, we derive the Stefan-Boltzmann law for hyperbolic media
which becomes a quadratic function of the heat bath temperature.
PMID- 26551117
TI - Controlling Viscous Fingering Using Time-Dependent Strategies.
AB - Control and stabilization of viscous fingering of immiscible fluids impacts a
wide variety of pressure-driven multiphase flows. We report theoretical and
experimental results on a time-dependent control strategy by manipulating the gap
thickness b(t) in a lifting Hele-Shaw cell in the power-law form b(t)=b(1)t(1/7).
Experimental results show good quantitative agreement with the predictions of
linear stability analysis. By choosing the value of a single time-independent
control parameter, we can either totally suppress the viscous fingering
instability or maintain a series of nonsplitting viscous fingers during the fluid
displacement process. In addition to the gap thickness of a Hele-Shaw cell, time
dependent control strategies can, in principle, also be placed on the injection
rate, viscosity of the displaced fluid, and interfacial tension between the two
fluids.
PMID- 26551118
TI - Evidence of Branching Phenomena in Current-Driven Ionization Waves.
AB - This Letter reports the first fully consistent experimental observations of
current-driven ionization waves conforming to the magnetohydrodynamic Rankine
Hugoniot model for hydromagnetic shocks. Detailed measurements of the
thermodynamic and electrodynamic plasma state variables across the ionization
region confirm the existence of two types of waves, corresponding to the upper
and lower solution branches of the Hugoniot curve. These waves are generated by
pulsed currents in a coaxial gas-fed plasma accelerator. The coupling between the
state variables of this complex, transient, three-dimensional system shows a
remarkable quantitative agreement of less than 8% deviation from the quasisteady,
one-dimensional theoretical model.
PMID- 26551119
TI - Avoiding Tokamak Disruptions by Applying Static Magnetic Fields That Align Locked
Modes with Stabilizing Wave-Driven Currents.
AB - Nonrotating ("locked") magnetic islands often lead to complete losses of
confinement in tokamak plasmas, called major disruptions. Here locked islands
were suppressed for the first time, by a combination of applied three-dimensional
magnetic fields and injected millimeter waves. The applied fields were used to
control the phase of locking and so align the island O point with the region
where the injected waves generated noninductive currents. This resulted in
stabilization of the locked island, disruption avoidance, recovery of high
confinement, and high pressure, in accordance with the expected dependencies upon
wave power and relative phase between the O point and driven current.
PMID- 26551120
TI - Generation of Large-Scale Magnetic Fields by Small-Scale Dynamo in Shear Flows.
AB - We propose a new mechanism for a turbulent mean-field dynamo in which the
magnetic fluctuations resulting from a small-scale dynamo drive the generation of
large-scale magnetic fields. This is in stark contrast to the common idea that
small-scale magnetic fields should be harmful to large-scale dynamo action. These
dynamos occur in the presence of a large-scale velocity shear and do not require
net helicity, resulting from off-diagonal components of the turbulent resistivity
tensor as the magnetic analogue of the "shear-current" effect. Given the
inevitable existence of nonhelical small-scale magnetic fields in turbulent
plasmas, as well as the generic nature of velocity shear, the suggested mechanism
may help explain the generation of large-scale magnetic fields across a wide
range of astrophysical objects.
PMID- 26551121
TI - Role of Ion Kinetic Physics in the Interaction of Magnetic Flux Ropes.
AB - To explain many natural magnetized plasma phenomena, it is crucial to understand
how rates of collisionless magnetic reconnection scale in large
magnetohydrodynamic (MHD) scale systems. Simulations of isolated current sheets
conclude such rates are independent of system size and can be reproduced by the
Hall-MHD model, but neglect sheet formation and coupling to MHD scales. Here, it
is shown for the problem of flux-rope merging, which includes this formation and
coupling, that the Hall-MHD model fails to reproduce the kinetic results. The
minimum sufficient model must retain ion kinetic effects, which set the ion
diffusion region geometry and give time-averaged rates that reduce significantly
with system size, leading to different global evolution in large systems.
PMID- 26551122
TI - Dynamical Quasicondensation of Hard-Core Bosons at Finite Momenta.
AB - Long-range order in quantum many-body systems is usually associated with
equilibrium situations. Here, we experimentally investigate the quasicondensation
of strongly interacting bosons at finite momenta in a far-from-equilibrium case.
We prepare an inhomogeneous initial state consisting of one-dimensional Mott
insulators in the center of otherwise empty one-dimensional chains in an optical
lattice with a lattice constant d. After suddenly quenching the trapping
potential to zero, we observe the onset of coherence in spontaneously forming
quasicondensates in the lattice. Remarkably, the emerging phase order differs
from the ground-state order and is characterized by peaks at finite momenta +/
(pi/2)(h/d) in the momentum distribution function.
PMID- 26551123
TI - Atomic-Level Understanding of "Asymmetric Twins" in Boron Carbide.
AB - Recent observations of planar defects in boron carbide have been shown to deviate
from perfect mirror symmetry and are referred to as "asymmetric twins." Here, we
demonstrate that these asymmetric twins are really phase boundaries that form in
stoichiometric B(4)C (i.e., B(12)C(3)) but not in B(13)C(2). TEM observations and
ab initio simulations have been coupled to show that these planar defects result
from an interplay of stoichiometry, atomic positioning, icosahedral twinning, and
structural hierarchy. The composition of icosahedra in B(4)C is B(11)C and
translation of the carbon atom from a polar to equatorial site leads to a shift
in bonding and a slight distortion of the lattice. No such distortion is observed
in boron-rich B(13)C(2) because the icosahedra do not contain carbon.
Implications for tailoring boron carbide with stoichiometry and extrapolations to
other hierarchical crystalline materials are discussed.
PMID- 26551124
TI - Elastic Waves Scattering without Conversion in Metamaterials with Simultaneous
Zero Indices for Longitudinal and Transverse Waves.
AB - We theoretically investigate elastic waves propagating in metamaterials with
simultaneous zero indices for both the longitudinal and transverse waves. With
scattering objects (here cylinders) present in the metamaterial slabs, while the
elastic waves can mostly transmit through the metamaterial slabs perfectly,
exhibiting the well-known cloaking effect of zero-index metamaterials, they
nevertheless become totally blocked at resonances, indicating strong elastic wave
scattering by the objects in the cases. However, despite the occurrence of the
elastic wave scattering, there is, counterintuitively, no mode conversion between
the longitudinal and transverse waves in the process, completely in contrast to
that in conventional elastic media. A design of a two-dimensional phononic
crystal with these peculiar properties is presented.
PMID- 26551125
TI - X-Ray Photon Correlation Spectroscopy Reveals Intermittent Aging Dynamics in a
Metallic Glass.
AB - We use coherent x rays to probe the aging dynamics of a metallic glass directly
on the atomic level. Contrary to the common assumption of a steady slowing down
of the dynamics usually observed in macroscopic studies, we show that the
structural relaxation processes underlying aging in this metallic glass are
intermittent and highly heterogeneous at the atomic scale. Moreover, physical
aging is triggered by cooperative atomic rearrangements, driven by the relaxation
of internal stresses. The rich diversity of this behavior reflects a complex
energy landscape, giving rise to a unique type of glassy-state dynamics.
PMID- 26551126
TI - Electron Microscopy of Probability Currents at Atomic Resolution.
AB - Atomic resolution transmission electron microscopy records the spatially resolved
scattered electron density to infer positions, density, and species of atoms.
These data are indispensable for studying the relation between structure and
properties in solids. Here, we show how this signal can be augmented by the
lateral probability current of the scattered electrons in the object plane at
similar resolutions and fields of view. The currents are reconstructed from a
series of three atomic resolution TEM images recorded under a slight difference
of perpendicular line foci. The technique does not rely on the coherence of the
electron beam and can be used to reveal electric, magnetic, and strain fields
with incoherent electron beams as well as correlations in inelastic transitions,
such as electron magnetic chiral dichroism.
PMID- 26551127
TI - Frohlich Electron-Phonon Vertex from First Principles.
AB - We develop a method for calculating the electron-phonon vertex in polar
semiconductors and insulators from first principles. The present formalism
generalizes the Frohlich vertex to the case of anisotropic materials and multiple
phonon branches, and can be used either as a postprocessing correction to
standard electron-phonon calculations, or in conjunction with ab initio
interpolation based on maximally localized Wannier functions. We demonstrate this
formalism by investigating the electron-phonon interactions in anatase TiO(2),
and show that the polar vertex significantly reduces the electron lifetimes and
enhances the anisotropy of the coupling. The present work enables ab initio
calculations of carrier mobilities, lifetimes, mass enhancement, and pairing in
polar materials.
PMID- 26551128
TI - Collapse of the Mott Gap and Emergence of a Nodal Liquid in Lightly Doped
Sr(2)IrO(4).
AB - We report angle resolved photoemission experiments on the electron doped
Heisenberg antiferromagnet (Sr(1-x)La(x))(2)IrO(4). For a doping level of x=0.05,
we find an unusual metallic state with coherent nodal excitations and an
antinodal pseudogap bearing strong similarities with underdoped cuprates. This
state emerges from a rapid collapse of the Mott gap with doping resulting in a
large underlying Fermi surface that is backfolded by a (pi,pi) reciprocal lattice
vector which we attribute to the intrinsic structural distortion of Sr(2)IrO(4).
PMID- 26551129
TI - Development of Path Integral Monte Carlo Simulations with Localized Nodal
Surfaces for Second-Row Elements.
AB - We extend the applicability range of fermionic path integral Monte Carlo
simulations to heavier elements and lower temperatures by introducing various
localized nodal surfaces. Hartree-Fock nodes yield the most accurate prediction
for pressure and internal energy, which we combine with the results from density
functional molecular dynamics simulations to obtain a consistent equation of
state for hot, dense silicon under plasma conditions and in the regime of warm
dense matter (2.3-18.6 g cm(-3), 5.0*10(5)-1.3*10(8) K). The shock Hugoniot
curve is derived and the structure of the fluid is characterized with various
pair correlation functions.
PMID- 26551130
TI - Magnetoinfrared Spectroscopy of Landau Levels and Zeeman Splitting of Three
Dimensional Massless Dirac Fermions in ZrTe(5).
AB - We present a magnetoinfrared spectroscopy study on a newly identified three
dimensional (3D) Dirac semimetal ZrTe(5). We observe clear transitions between
Landau levels and their further splitting under a magnetic field. Both the
sequence of transitions and their field dependence follow quantitatively the
relation expected for 3D massless Dirac fermions. The measurement also reveals an
exceptionally low magnetic field needed to drive the compound into its quantum
limit, demonstrating that ZrTe(5) is an extremely clean system and ideal platform
for studying 3D Dirac fermions. The splitting of the Landau levels provides
direct, bulk spectroscopic evidence that a relatively weak magnetic field can
produce a sizable Zeeman effect on the 3D Dirac fermions, which lifts the spin
degeneracy of Landau levels. Our analysis indicates that the compound evolves
from a Dirac semimetal into a topological line-node semimetal under the current
magnetic field configuration.
PMID- 26551131
TI - Detecting Band Inversions by Measuring the Environment: Fingerprints of
Electronic Band Topology in Bulk Phonon Linewidths.
AB - The interplay between topological phases of matter and dissipative baths
constitutes an emergent research topic with links to condensed matter, photonic
crystals, cold atomic gases, and quantum information. While recent studies
suggest that dissipative baths can induce topological phases in intrinsically
trivial quantum materials, the backaction of topological invariants on
dissipative baths is overlooked. By exploring this backaction for a
centrosymmetric Dirac insulator coupled to phonons, we show that the linewidths
of bulk optical phonons can reveal electronic band inversions. This result is the
first known example where topological phases of an open quantum system may be
detected by measuring the bulk properties of the surrounding environment.
PMID- 26551132
TI - Interplay of Electron and Nuclear Spin Noise in n-Type GaAs.
AB - We present spin-noise spectroscopy measurements on an ensemble of donor-bound
electrons in ultrapure GaAs:Si covering temporal dynamics over 6 orders of
magnitude from milliseconds to nanoseconds. The spin-noise spectra detected at
the donor-bound exciton transition show the multifaceted dynamical regime of the
ubiquitous mutual electron and nuclear spin interaction typical for III-V-based
semiconductor systems. The experiment distinctly reveals the finite Overhauser
shift of an electron spin precession at zero external magnetic field and a second
contribution around zero frequency stemming from the electron spin components
parallel to the nuclear spin fluctuations. Moreover, at very low frequencies,
features related with time-dependent nuclear spin fluctuations are clearly
resolved making it possible to study the intricate nuclear spin dynamics at zero
and low magnetic fields. The findings are in agreement with the developed model
of electron and nuclear spin noise.
PMID- 26551133
TI - Intrinsic Transparent Conductors without Doping.
AB - Transparent conductors (TCs) combine the usually contraindicated properties of
electrical conductivity with optical transparency and are generally made by
starting with a transparent insulator and making it conductive via heavy doping,
an approach that generally faces severe "doping bottlenecks." We propose a
different idea for TC design-starting with a metallic conductor and designing
transparency by control of intrinsic interband transitions and intraband
plasmonic frequency. We identify the specific design principles for three such
prototypical intrinsic TC classes and then search computationally for materials
that satisfy them. Remarkably, one of the intrinsic TC, Ag(3)Al(22)O(34), is
predicted also to be a prototype 3D compounds that manifest natural 2D electron
gas regions with very high electron density and conductivity.
PMID- 26551134
TI - Nonanalyticity, Valley Quantum Phases, and Lightlike Exciton Dispersion in
Monolayer Transition Metal Dichalcogenides: Theory and First-Principles
Calculations.
AB - Exciton dispersion as a function of center-of-mass momentum Q is essential to the
understanding of exciton dynamics. We use the ab initio GW-Bethe-Salpeter
equation method to calculate the dispersion of excitons in monolayer MoS(2) and
find a nonanalytic lightlike dispersion. This behavior arises from an unusual |Q|
term in both the intra- and intervalley exchange of the electron-hole
interaction, which concurrently gives rise to a valley quantum phase of winding
number two. A simple effective Hamiltonian to Q(2) order with analytic solutions
is derived to describe quantitatively these behaviors.
PMID- 26551135
TI - Exchange-Induced Spin Blockade in a Two-Electron Double Quantum Dot.
AB - We have experimentally identified the exchange-induced spin blockade in a GaAs
double quantum dot. The transport is suppressed only when the eigenstates are
well-defined singlet and triplet states, and thus sensitive to dynamic nuclear
spin polarization that causes singlet-triplet mixing. This gives rise to unusual
current spectra, such as a sharp current dip and an asymmetric current profile
near the triplet resonance of a double quantum dot. Numerical simulations suggest
that the current dip is a signature of identical nuclear-spin polarization in the
two dots, which is attractive for coherent spin manipulations in a material with
nuclear spins.
PMID- 26551136
TI - Evolution of Density of States and a Spin-Resolved Checkerboard-Type Pattern
Associated with the Majorana Bound State.
AB - In terms of the Bogoliubov-de Gennes approach, we investigate the Majorana bound
state (MBS) in a vortex of proximity-induced superconductivity on the surface of
a topological insulator. Mapping out the local density of states (LDOS) of
quasiparticle excitations as a function of energy and distance from the vortex
center, it is found that the spectral distribution evolves from a V shape to a Y
shape with the emergence of a MBS upon variation of the chemical potential,
consistent with the STM/STS measurement in a very recent experiment [Xu et al.,
Phys. Rev. Lett. 114, 017001 (2015)] on a Bi(2)Te(3) thin layer on the top of
NbSe(2). Moreover, we demonstrate that there is a checkerboard-type pattern in
the relative LDOS between the spin-up and -down channels, where the quantum
mechanical wave function of the MBS manifests itself clearly as a single quantum
state. Therefore, a spin-resolved STM/STS technique is expected to be able to
provide phase-sensitive evidence for a MBS in the vortex core of a topological
superconductor.
PMID- 26551137
TI - Emergent Power-Law Phase in the 2D Heisenberg Windmill Antiferromagnet: A
Computational Experiment.
AB - In an extensive computational experiment, we test Polyakov's conjecture that
under certain circumstances an isotropic Heisenberg model can develop algebraic
spin correlations. We demonstrate the emergence of a multispin U(1) order
parameter in a Heisenberg antiferromagnet on interpenetrating honeycomb and
triangular lattices. The correlations of this relative phase angle are observed
to decay algebraically at intermediate temperatures in an extended critical
phase. Using finite-size scaling we show that both phase transitions are of the
Berezinskii-Kosterlitz-Thouless type, and at lower temperatures we find long
range Z(6) order.
PMID- 26551138
TI - Elastic Gauge Fields in Weyl Semimetals.
AB - We show that, as happens in graphene, elastic deformations couple to the
electronic degrees of freedom as pseudogauge fields in Weyl semimetals. We derive
the form of the elastic gauge fields in a tight-binding model hosting Weyl nodes
and see that this vector electron-phonon coupling is chiral, providing an example
of axial gauge fields in three dimensions. As an example of the new response
functions that arise associated with these elastic gauge fields, we derive a
nonzero phonon Hall viscosity for the neutral system at zero temperature. The
axial nature of the fields provides a test of the chiral anomaly in high energy
with three axial vector couplings.
PMID- 26551139
TI - Solitonic Spin-Liquid State Due to the Violation of the Lifshitz Condition in
Fe(1+y)Te.
AB - A combination of phenomenological analysis and Mossbauer spectroscopy experiments
on the tetragonal Fe(1+y)Te system indicates that the magnetic ordering
transition in compounds with higher Fe excess, y>=0.11, is unconventional.
Experimentally, a liquidlike magnetic precursor with quasistatic spin order is
found from significantly broadened Mossbauer spectra at temperatures above the
antiferromagnetic transition. The incommensurate spin-density wave order in
Fe(1+y)Te is described by a magnetic free energy that violates the weak Lifshitz
condition in the Landau theory of second-order transitions. The presence of
multiple Lifshitz invariants provides the mechanism to create multidimensional,
twisted, and modulated solitonic phases.
PMID- 26551140
TI - Topological Characterization of Extended Quantum Ising Models.
AB - We show that a class of exactly solvable quantum Ising models, including the
transverse-field Ising model and anisotropic XY model, can be characterized as
the loops in a two-dimensional auxiliary space. The transverse-field Ising model
corresponds to a circle and the XY model corresponds to an ellipse, while other
models yield cardioid, limacon, hypocycloid, and Lissajous curves etc. It is
shown that the variation of the ground state energy density, which is a function
of the loop, experiences a nonanalytical point when the winding number of the
corresponding loop changes. The winding number can serve as a topological quantum
number of the quantum phases in the extended quantum Ising model, which sheds
some light upon the relation between quantum phase transition and the geometrical
order parameter characterizing the phase diagram.
PMID- 26551141
TI - Spin-Peierls Instability of Three-Dimensional Spin Liquids with Majorana Fermi
Surfaces.
AB - Three-dimensional (3D) variants of the Kitaev model can harbor gapless spin
liquids with a Majorana Fermi surface on certain tricoordinated lattice
structures such as the recently introduced hyperoctagon lattice. Here, we
investigate Fermi surface instabilities arising from additional spin exchange
terms (such as a Heisenberg coupling) which introduce interactions between the
emergent Majorana fermion degrees of freedom. We show that independent of the
sign and structure of the interactions, the Majorana surface is always unstable.
Generically, the system spontaneously doubles its unit cell at exponentially
small temperatures and forms a spin liquid with line nodes. Depending on the
microscopics, further symmetries of the system can be broken at this transition.
These spin-Peierls instabilities of a 3D spin liquid are closely related to BCS
instabilities of fermions.
PMID- 26551142
TI - Stochastic Approach to Phonon-Assisted Optical Absorption.
AB - We develop a first-principles theory of phonon-assisted optical absorption in
semiconductors and insulators which incorporates the temperature dependence of
the electronic structure. We show that the Hall-Bardeen-Blatt theory of indirect
optical absorption and the Allen-Heine theory of temperature-dependent band
structures can be derived from the present formalism by retaining only one-phonon
processes. We demonstrate this method by calculating the optical absorption
coefficient of silicon using an importance sampling Monte Carlo scheme, and we
obtain temperature-dependent line shapes and band gaps in good agreement with
experiment. The present approach opens the way to predictive calculations of the
optical properties of solids at finite temperature.
PMID- 26551143
TI - Experimental Demonstration of Effective Medium Approximation Breakdown in Deeply
Subwavelength All-Dielectric Multilayers.
AB - We report the first experimental demonstration of anomalous breakdown of the
effective medium approximation in all-dielectric deeply subwavelength thickness
(d~lambda/160-lambda/30) multilayers, as recently predicted theoretically [H. H.
Sheinfux et al., Phys. Rev. Lett. 113, 243901 (2014)]. Multilayer stacks are
composed of alternating alumina and titania layers fabricated using atomic layer
deposition. For light incident on such multilayers at angles near the total
internal reflection, we observe pronounced differences in the reflectance spectra
for structures with 10- vs 20-nm thick layers, as well as for structures with
different layers ordering, contrary to the predictions of the effective medium
approximation. The reflectance difference can reach values up to 0.5, owing to
the chosen geometrical configuration with an additional resonator layer employed
for the enhancement of the effect. Our results are important for the development
of new high-precision multilayer ellipsometry methods and schemes, as well as in
a broad range of sensing applications.
PMID- 26551144
TI - Comment on "Decrease of Atmospheric Neutron Counts Observed during
Thunderstorms".
PMID- 26551145
TI - Alekseenko et al. Reply.
PMID- 26551146
TI - Erratum: Direct Measurement of the (23)Na(alpha,p)(26)Mg Reaction Cross Section
at Energies Relevant for the Production of Galactic (26)Al [Phys. Rev. Lett. 112,
152701 (2014)].
PMID- 26551147
TI - Single-domain antibodies for biomedical applications.
AB - Single-domain antibodies are the smallest antigen-binding units of antibodies,
consisting either only of one variable domain or one engineered constant domain
that solely facilitates target binding. This class of antibody derivatives
comprises naturally occurring variable domains derived from camelids and sharks
as well as engineered human variable or constant antibody domains of the heavy or
light chain. Because of their high affinity and specificity as well as stability,
small size and benefit of multiple re-formatting opportunities, those molecules
emerged as promising candidates for biomedical applications and some of these
entities have already proven to be successful in clinical development.
PMID- 26551149
TI - Gold nanoparticles induce apoptosis, endoplasmic reticulum stress events and
cleavage of cytoskeletal proteins in human neutrophils.
AB - Gold nanoparticles (AuNPs) are promising candidates for developing nanomedicines,
for the treatment of different disorders, including inflammatory diseases.
However, how AuNPs could alter the biology of human neutrophils, key player cells
in inflammation, is a poorly documented area of research. Here we found that,
although AuNP of 20 nm (AuNP20) could be internalized in cytosolic vacuoles but
that AuNP70 were localized at the cell membrane, both induced apoptosis similarly
by a caspase-dependent mechanism. AuNPs induced degradation of the cytoskeletal
proteins vimentin, lamin B1 and gelsolin, but, unexpectedly, did not increase
their cell surface expression. Consequent with caspase-4 processing, AuNPs were
found to activate endoplasmic reticulum (ER)-stress, as evidenced by activation
of the three ER sensors, IRE1 (inositol-requiring protein-1), ATF-6 (activating
transcription factor-6) and PERK (protein kinase RNA (PKR)-like ER kinase). AuNPs
are novel human neutrophil proapoptotic agents indicating that they are toxic to
these cells. However, the fact that they do not induce cell surface expression of
cytoskeletal proteins could decrease potential adverse effects and toxicity of
AuNPs by limiting, for example, the production of autoantibody against
cytoskeleton components.
PMID- 26551148
TI - Gene-environment interaction of genome-wide association study-identified
susceptibility loci and meat-cooking mutagens in the etiology of renal cell
carcinoma.
AB - BACKGROUND: Meat-cooking mutagens may be associated with renal cell carcinoma
(RCC) risk. In the current study, the authors examined associations between meat
cooking mutagens, genetic susceptibility variants, and risk of RCC. METHODS: The
authors used 659 newly diagnosed RCC cases and 699 healthy controls to
investigate the association between dietary intake of meat-cooking mutagens and
RCC. They examined whether associations varied by risk factors for RCC and
genetic susceptibility variants previously identified from genome-wide
association studies. Odds ratios and 95% confidence intervals were estimated
using tertiles of intake of dietary polycyclic aromatic hydrocarbons/heterocyclic
amines. RESULTS: Dietary intake of the mutagenic compounds 2-amino-3,8
dimethylimidazo-(4,5-f) quinoxaline (MeIQx) and 2-amino-1 methyl-6
phenylimidazo(4,5-b)pyridine (PhIP) were found to be significantly associated
with an increased risk of RCC (odds ratios across tertiles: 1.00 [referent], 1.28
[95% confidence interval, 0.94-1.74], and 1.95 [95% confidence interval, 1.43
2.66] [P for trend <.001], respectively; and 1.00 [referent], 1.41 [95%
confidence interval, 1.04-1.90], and 1.54 [95% confidence interval, 1.14-2.07] [P
for trend =.02], respectively). The authors observed evidence of interactions
between PhIP and RCC susceptibility variants in 2 genes: inositol 1,4,5
trisphosphate receptor, type 2 (ITPR2) (rs718314; multiplicative P for
interaction = .03 and additive P for interaction =.002) and endothelial PAS
domain-containing protein 1 (EPAS1) (rs7579899; additive P for interaction =.06).
CONCLUSIONS: The intake of meat may increase the risk of RCC through mechanisms
related to the cooking compounds MeIQx and PhIP. These associations may be
modified by genetic susceptibility to RCC. Further research is necessary to
understand the biological mechanisms underlying these interactions.
PMID- 26551150
TI - Identification of TIM-3 as a Leukemic Stem Cell Surface Molecule in Primary Acute
Myeloid Leukemia.
AB - Acute myeloid leukemia (AML) originates from self-renewing leukemic stem cells
(LSCs), an ultimate therapeutic target in AML. Eradication of LSCs should be a
critical and efficient therapeutic approach for the cure of AML. T-cell
immunoglobulin mucin-3 (TIM-3) is expressed in most types of AML LSCs, but not in
normal hematopoietic stem cells (HSCs); therefore, TIM-3 would be one of the
promising therapeutic targets to specifically kill AML LSCs, sparing normal HSCs.
In xenograft models reconstituted with human AML LSCs or human normal HSCs, an
anti-human TIM-3 mouse antibody with cytotoxic activities exerts a potent anti
leukemic effect by targeting AML LSCs but does not affect normal human
hematopoiesis in vivo. Here, we would like to introduce the recent studies on TIM
3 in normal and malignant hematopoiesis.
PMID- 26551151
TI - Variability in group size and the evolution of collective action.
AB - Models of the evolution of collective action typically assume that interactions
occur in groups of identical size. In contrast, social interactions between
animals occur in groups of widely dispersed size. This paper models collective
action problems as two-strategy multiplayer games and studies the effect of
variability in group size on the evolution of cooperative behavior under the
replicator dynamics. The analysis identifies elementary conditions on the payoff
structure of the game implying that the evolution of cooperative behavior is
promoted or inhibited when the group size experienced by a focal player is more
or less variable. Similar but more stringent conditions are applicable when the
confounding effect of size-biased sampling, which causes the group-size
distribution experienced by a focal player to differ from the statistical
distribution of group sizes, is taken into account.
PMID- 26551152
TI - A non-linear mathematical model for a three species ecosystem: Hippos in Lake
Edward.
AB - In this work we study a non-linear mathematical model based on three different
interacting species. We apply our model to Lake Edward ecosystem consisting in
hippos, tilapia fishes and human inhabitants. In this case, we estimate the
values of the key parameters using actual data and show the reliability of the
proposed model as a predictive tool. We also show, via numerical calculations and
parameter values that the ecosystem associated to the lake is very far from
reaching a stable equilibrium. Through our analysis we provide the conditions for
a possible coexistence among the three species.
PMID- 26551153
TI - Emergent behaviour in a chlorophenol-mineralising three-tiered microbial 'food
web'.
AB - Anaerobic digestion enables the water industry to treat wastewater as a resource
for generating energy and recovering valuable by-products. The complexity of the
anaerobic digestion process has motivated the development of complex models.
However, this complexity makes it intractable to pin-point stability and emergent
behaviour. Here, the widely used Anaerobic Digestion Model No. 1 (ADM1) has been
reduced to its very backbone, a syntrophic two-tiered microbial 'food chain' and
a slightly more complex three-tiered microbial 'food web', with their stability
analysed as a function of the inflowing substrate concentration and dilution
rate. Parameterised for phenol and chlorophenol degradation, steady-states were
always stable and non-oscillatory. Low input concentrations of chlorophenol were
sufficient to maintain chlorophenol- and phenol-degrading populations but
resulted in poor conversion and a hydrogen flux that was too low to sustain
hydrogenotrophic methanogens. The addition of hydrogen and phenol boosted the
populations of all three organisms, resulting in the counterintuitive phenomena
that (i) the phenol degraders were stimulated by adding hydrogen, even though
hydrogen inhibits phenol degradation, and (ii) the dechlorinators indirectly
benefitted from measures that stimulated their hydrogenotrophic competitors; both
phenomena hint at emergent behaviour.
PMID- 26551154
TI - The re-polarisation of M2 and M1 macrophages and its role on cancer outcomes.
AB - The anti-tumour and pro-tumour roles of Th1/Th2 immune cells and M1/M2
macrophages have been documented by numerous experimental studies. However, it is
still unknown how these immune cells interact with each other to control tumour
dynamics. Here, we use a mathematical model for the interactions between mouse
melanoma cells, Th2/Th1 cells and M2/M1 macrophages, to investigate the unknown
role of the re-polarisation between M1 and M2 macrophages on tumour growth. The
results show that tumour growth is associated with a type-II immune response
described by large numbers of Th2 and M2 cells. Moreover, we show that (i) the
ratio k of the transition rates k12 (for the re-polarisation M1->M2) and k21 (for
the re-polarisation M2->M1) is important in reducing tumour population, and (ii)
the particular values of these transition rates control the delay in tumour
growth and the final tumour size. We also perform a sensitivity analysis to
investigate the effect of various model parameters on changes in the tumour cell
population, and confirm that the ratio k alone and the ratio of M2 and M1
macrophage populations at earlier times (e.g., day 7) cannot always predict the
final tumour size.
PMID- 26551155
TI - Algorithmic height compression of unordered trees.
AB - By nature, tree structures frequently present similarities between their sub
parts. Making use of this redundancy, different types of tree compression
techniques have been designed in the literature to reduce the complexity of tree
structures. A popular and efficient way to compress a tree consists of merging
its isomorphic subtrees, which produces a directed acyclic graph (DAG) equivalent
to the original tree. An important property of this method is that the compressed
structure (i.e. the DAG) has the same height as the original tree, thus limiting
partially the possibility of compression. In this paper we address the problem of
further compressing this DAG in height. The difficulty is that compression must
be carried out on substructures that are not exactly isomorphic as they are
strictly nested within each-other. We thus introduced a notion of quasi
isomorphism between subtrees that makes it possible to define similar patterns
along any given path in a tree. We then proposed an algorithm to detect these
patterns and to merge them, thus leading to compressed structures corresponding
to DAGs augmented with return edges. In this way, redundant information is
removed from the original tree in both width and height, thus achieving minimal
structural compression. The complete compression algorithm is then illustrated on
the compression of various plant-like structures.
PMID- 26551156
TI - Mathematical modeling of drug resistance due to KRAS mutation in colorectal
cancer.
AB - The most challenging task in colorectal cancer research nowadays is to understand
the development of acquired resistance to anti-EGFR drugs. The key reason for
this problem is the KRAS mutations appearance after the treatment with monoclonal
antibodies (moAb). Here we present a mathematical model for the analysis of KRAS
mutations behavior in colorectal cancer with respect to moAb treatments. To
evaluate the drug performance we have developed equations for two types of tumors
cells, KRAS mutated and KRAS wild-type. Both tumor cell populations were treated
with a combination of moAb and chemotherapy drugs. It was observed that even the
minimal initial concentration of KRAS mutation before the treatment has the
ability to make the tumor refractory to the treatment. Minor population of KRAS
mutations has strong influence on large number of wild-type cells as well
rendering them resistant to chemotherapy. Patient's immune responses are
specifically taken into considerations and it is found that, in case of KRAS
mutations, the immune strength does not affect medication efficacy. Finally,
cetuximab (moAb) and irinotecan (chemotherapy) drugs are analyzed as first-line
treatment of colorectal cancer with few KRAS mutated cells. Results show that
this combined treatment could be only effective for patients with high immune
strengths and it should not be recommended as first-line therapy for patients
with moderate immune strengths or weak immune systems because of a potential risk
of relapse, with KRAS mutant cells acquired resistance involved with them.
PMID- 26551157
TI - Quantifying morphological features of actin cytoskeletal filaments in plant cells
based on mathematical morphology.
AB - By quantifying the morphological properties of biological structures, we can
better evaluate complex shapes and detect subtle morphological changes in
organisms. In this paper, we propose a shape analysis method based on
morphological image processing, and apply it to image analysis of actin
cytoskeletal filaments in root hair cells of Arabidopsis thaliana. In plant
cells, the actin cytoskeletal filaments have critical roles in various cellular
processes such as vesicle trafficking and organelle motility. The dynamics of
vesicles and organelles in plant cells depend on actin cytoskeletal filaments,
regulating cell division and cell enlargement. To better understand the actin
dependent organelle motility, we attempted to quantify the organization of actin
filaments in the root hair cells of the root hair defective 3 (rhd3) mutant. RHD3
is involved in actin organization, and its defect has been reported to affect the
dynamics of various vesicles and organelles. We measured three shape features of
the actin filaments in wild-type and mutant plants. One feature (thickness) was
depicted on a grayscale; the others (describing the complexity of the filament
network patterns in two-dimensional space) were depicted as binary features. The
morphological phenotypes of the cytoskeletal filaments clearly differed between
wild-type and mutant. Subtle variations of filament morphology among the mutants
were detected and statistically quantified.
PMID- 26551158
TI - Noisy predator-prey model explains oscillation patterns in sockeye salmon data.
AB - A model of sockeye salmon population dynamics that incorporates predator-prey
dynamics in the nursery lakes, salmon migration and stochastic effects is
compared to Fraser River sockeye salmon spawner numbers with respect to cyclic
dominance. For this comparison we use a method developed by White et al. (2014)
to calculate measures for the consistency and strength of cyclic dominance in the
time series using its wavelet transform. We find that the model can match the
oscillation patterns found in nature, both for persistently oscillating
populations and for intermittent oscillations. It matches persistently
oscillating populations much better than a model that does not incorporate
predator-prey interaction. Persistent oscillations are more likely to occur in
the model if the growth conditions for the sockeye fry are good and the coupling
to the predator is strong.
PMID- 26551159
TI - Time-delayed model of immune response in plants.
AB - In the studies of plant infections, the plant immune response is known to play an
essential role. In this paper we derive and analyse a new mathematical model of
plant immune response with particular account for post-transcriptional gene
silencing (PTGS). Besides biologically accurate representation of the PTGS
dynamics, the model explicitly includes two time delays to represent the
maturation time of the growing plant tissue and the non-instantaneous nature of
the PTGS. Through analytical and numerical analysis of stability of the steady
states of the model we identify parameter regions associated with recovery and
resistant phenotypes, as well as possible chronic infections. Dynamics of the
system in these regimes is illustrated by numerical simulations of the model.
PMID- 26551160
TI - Understanding PGE2, LXA4 and LTB4 balance during Mycobacterium tuberculosis
infection through mathematical model.
AB - Infection of humans with Mycobacterium tuberculosis (Mtb) results in diverse
outcomes that range from acute disease to establishment of persistence and to
even clearance of the pathogen. These different outcomes represent the combined
result of host heterogeneity on the one hand, and virulence properties of the
infecting strain of pathogen on the other. From the standpoint of the host, the
balance between PGE2, LXA4 and LTB4 represents at least one of the factors that
dictates the eventual pathophysiology. We therefore built an ODE model to
describe the host-pathogen interaction and studied the local stability properties
of the system, to obtain the parametric conditions that lead to different disease
outcomes. We then modulated levels of the pro- and anti-inflammatory lipid
mediators to better understand the convergence between host phenotype and factors
that relate to virulence properties of the pathogen. Global sensitivity analysis,
using the variance-based method of extended Fourier Amplitude Sensitivity Test
(eFAST), revealed that disease severity was indeed defined by combined effects of
phenotypic variability at the level of both host and pathogen. Interestingly
here, [PGE2] was found to act as a switch between bacterial clearance and acute
disease. Our mathematical model suggests that development of more effective
treatments for tuberculosis will be contingent upon a better understanding of how
the intrinsic variability at the level of both host and pathogen contribute to
influence the nature of interactions between these two entities.
PMID- 26551161
TI - Biomimetically Ornamented Rapid Prototyping Fabrication of an Apatite-Collagen
Polycaprolactone Composite Construct with Nano-Micro-Macro Hierarchical Structure
for Large Bone Defect Treatment.
AB - Biomaterial-based bone graft substitute with favorable mechanical and biological
properties could be used as an alternative to autograft for large defect
treatment. Here, an apatite-collagen-polycaprolactone (Ap-Col-PCL) composite
construct was developed with unique nano-micro-macro hierarchical architectures
by combining rapid prototyping (RP) fabrication technology and a 3D
functionalization strategy. Macroporous PCL framework was fabricated using RP
technology, then functionalized by collagen incorporation and biomimetic
deposition. Ap-Col-PCL composite construct was characterized with hierarchical
architectures of a nanoscale (~100 nm thickness and ~1 MUm length) platelike
apatite coating on the microporous (126 +/- 18 MUm) collagen networks, which
homogeneously filled the macroporous (~1000 MUm) PCL frameworks and possessed a
favorable hydrophilic property and compressive modulus (68.75 +/- 3.39 MPa)
similar to that of cancellous bone. Moreover, in vitro cell culture assay and in
vivo critical-sized bone defect implantation demonstrated that the Ap-Col-PCL
construct could not only significantly increase the cell adhesion capability (2.0
fold) and promote faster cell proliferation but also successfully bridge the
segmental long bone defect within 12 weeks with much more bone regeneration (5.2
fold), better osteointegration (7.2-fold), and a faster new bone deposition rate
(2.9-fold). Our study demonstrated that biomimetically ornamented Ap-Col-PCL
constructs exhibit a favorable mechanical property, more bone tissue ingrowth,
and better osteointegration capability as an effective bone graft substitute for
critical-sized bone defect treatment; meanwhile, it can also harness the
advantages of RP technology, in particular, facilitating the customization of the
shape and size of implants according to medical images during clinical
application.
PMID- 26551162
TI - Functionalized Nanofiber Meshes Enhance Immunosorbent Assays.
AB - Three-dimensional substrates with high surface-to-volume ratios and subsequently
large protein binding capacities are of interest for advanced immunosorbent
assays utilizing integrated microfluidics and nanosensing elements. A library of
bioactive and antifouling electrospun nanofiber substrates, which are composed of
high-molecular-weight poly(oxanorbornene) derivatives, is described.
Specifically, a set of copolymers are synthesized from three 7-oxanorbornene
monomers to create a set of water insoluble copolymers with both biotin
(bioactive) and triethylene glycol (TEG) (antifouling) functionality. Porous
three-dimensional nanofiber meshes are electrospun from these copolymers with the
ability to specifically bind streptavidin while minimizing the nonspecific
binding of other proteins. Fluorescently labeled streptavidin is used to quantify
the streptavidin binding capacity of each mesh type through confocal microscopy.
A simplified enzyme-linked immunosorbent assay (ELISA) is presented to assess the
protein binding capabilities and detection limits of these nanofiber meshes under
both static conditions (26 h) and flow conditions (1 h) for a model target
protein (i.e., mouse IgG) using a horseradish peroxidase (HRP) colorimetric
assay. Bioactive and antifouling nanofiber meshes outperform traditional
streptavidin-coated polystyrene plates under flow, validating their use in future
advanced immunosorbent assays and their compatibility with microfluidic-based
biosensors.
PMID- 26551164
TI - Yb(OTf)3-Mediated Access to Furans from beta-Ketothioamides via Eschenmoser
Sulfide Contraction Reaction.
AB - A mild and straightforward synthetic protocol for construction of a furan
skeleton promoted by Yb(OTf)3 from beta-ketothioamides and arylglyoxals has been
developed at room temperature. Importantly, this protocol involves a tandem
sequence that includes aldol condensation, N-cyclization, ring opening, O
cyclization, S-cyclization, and Eschenmoser sulfide contraction.
PMID- 26551163
TI - Appropriate Use Criteria for Coronary Revascularization and Trends in
Utilization, Patient Selection, and Appropriateness of Percutaneous Coronary
Intervention.
AB - IMPORTANCE: Appropriate Use Criteria for Coronary Revascularization were
developed to critically evaluate and improve patient selection for percutaneous
coronary intervention (PCI). National trends in the appropriateness of PCI have
not been examined. OBJECTIVE: To examine trends in PCI utilization, patient
selection, and procedural appropriateness following the introduction of
Appropriate Use Criteria. DESIGN, SETTING, AND PARTICIPANTS: Multicenter,
longitudinal, cross-sectional analysis of patients undergoing PCI between July 1,
2009, and December 31, 2014, at hospitals continuously participating in the
National Cardiovascular Data Registry CathPCI registry over the study period.
MAIN OUTCOMES AND MEASURES: Proportion of nonacute PCIs classified as
inappropriate at the patient and hospital level using the 2012 Appropriate Use
Criteria for Coronary Revascularization. RESULTS: A total of 2.7 million PCI
procedures from 766 hospitals were included. Annual PCI volume of acute
indications was consistent over the study period (377,540 in 2010; 374,543 in
2014), but the volume of nonacute PCIs decreased from 89,704 in 2010 to 59,375 in
2014. Among patients undergoing nonacute PCI, there were significant increases in
angina severity (Canadian Cardiovascular Society grade III/IV angina, 15.8% in
2010 and 38.4% in 2014), use of antianginal medications prior to PCI (at least 2
antianginal medications, 22.3% in 2010 and 35.1% in 2014), and high-risk findings
on noninvasive testing (22.2% in 2010 and 33.2% in 2014) (P < .001 for all), but
only modest increases in multivessel coronary artery disease (43.7% in 2010 and
47.5% in 2014, P < .001). The proportion of nonacute PCIs classified as
inappropriate decreased from 26.2% (95% CI, 25.8%-26.6%) to 13.3% (95% CI, 13.1%
13.6%), and the absolute number of inappropriate PCIs decreased from 21,781 to
7921. Hospital-level variation in the proportion of PCIs classified as
inappropriate persisted over the study period (median, 12.6% [interquartile
range, 5.9%-22.9%] in 2014). CONCLUSIONS AND RELEVANCE: Since the publication of
the Appropriate Use Criteria for Coronary Revascularization in 2009, there have
been significant reductions in the volume of nonacute PCI. The proportion of
nonacute PCIs classified as inappropriate has declined, although hospital-level
variation in inappropriate PCI persists.
PMID- 26551165
TI - Structural Dynamics of the Potassium Channel Blocker ShK: SRLS Analysis of (15)N
Relaxation.
AB - The 35-residue ShK peptide binds with high affinity to voltage-gated potassium
channels. The dynamics of the binding surface was studied recently with
(microsecond to millisecond) (15)N relaxation dispersion and (picosecond to
nanosecond) (15)N spin relaxation of the N-H bonds. Relaxation dispersion
revealed microsecond conformational-exchange-mediated exposure of the
functionally important Y23 side chain to the peptide surface. The spin relaxation
parameters acquired at 14.1 and 16.45 T have been subjected to model-free (MF)
analysis, which yielded a squared generalized order parameter, S(2), of
approximately 0.85 for virtually all of the N-H bonds. Only a "rigid backbone"
evaluation could be inferred. We ascribe this limited information to the
simplicity of MF in the context of challenging data. To improve the analysis, we
apply the slowly relaxing local structure (SRLS) approach, which is a
generalization of MF. SRLS describes N-H bond dynamics in ShK in terms of a local
potential, u, ranging from 10 to 18.5 kBT, and a local diffusion rate, D2,
ranging from 4.2 * 10(8) to 2.4 * 10(10) s(-1). This analysis shows that u is
outstandingly strong for Y23 and relatively weak for K22, whereas D2 is slow for
Y23 and fast for K22. These observations are relevant functionally because of the
key role of the K22-Y23 dyad in ShK binding to potassium channels. The disulfide
bond network exhibits a medium-strength potential and an alternating wave-like D2
pattern. This is indicative of moderate structural restraints and motional
plasticity, in support of, although not directly correlated with, the microsecond
binding-related conformational exchange process detected previously. Thus, new
information on functionally important residues in ShK and its overall
conformational stability emerged from the SRLS analysis, as compared with the
previous MF-based estimate of backbone dynamics as backbone rigidity.
PMID- 26551166
TI - The Certified Midwife Credential and the Case for National Implementation.
PMID- 26551167
TI - [Theoretical basis and clinical benefits of dry salt inhalation therapy].
AB - Dry salt inhalation (halotherapy) reproduces the microclimate of salt caves, with
beneficial effect on health. Sodium chloride crystals are disrupted into very
small particles (with a diameter less than 3 um), and this powder is artificially
exhaled into the air of a comfortable room (its temperature is between 20-22
degrees C, and the relative humidity is low). The end-concentration of the salt
in the air of the room will be between 10-30 mg/m(3). The sick (or healthy)
persons spend 30-60 minutes in this room, usually 10-20 times. Due to the greater
osmotic pressure the inhaled salt diminishes the oedema of the bronchial mucosa,
decreases its inflammation, dissolves the mucus, and makes expectoration easier
and faster (expectoration of air pollution and allergens will be faster, too). It
inhibits the growth of bacteria and, in some case, kills them. Phagocyte activity
is also increased. It has beneficial effect on the well being of the patients,
and a relaxation effect on the central nervous system. It can prevent, or at
least decrease the frequency of the respiratory tract inflammations. It produces
better lung function parameters, diminishes bronchial hyperreactivity, which is
the sign of decreasing inflammation. Its beneficial effect is true not only in
inflammation of the lower respiratory tract, but also in acute or chronic upper
airways inflammations. According to the international literature it has
beneficial effect for some chronic dermatological disease, too, such as
psoriasis, pyoderma and atopic dermatitis. This treatment (called as Indiso) is
available under medical control in Hungary, too.
PMID- 26551168
TI - [Recent advances in the treatment of antineutrophil cytoplasm antibody associated
vasculitides].
AB - The authors review the nomenclature of vasculitides and the classification of
antineutrophil cytoplasm antibody associated vasculitides and present the method
of measuring disease activity (Five-factor Score, Birmingham Vasculitis Activity
Score) and its role in defining therapeutical needs. They discuss the treatment
algorithm of antineutrophil cytoplasm antibody associated vasculitides, present
the sometimes equipotential medications used during the induction therapy
followed by a maintenance regimen, and outline their usage and possible side
effects that may require medical attention. They point out the importance of
plasmapheresis as an adjunctive treatment in some cases, as well as indications
and possible outcome of kidney transplantation in therapy-resistant cases.
Finally, they review several ongoing clinical studies, as their outcome will
probably influence therapeutical opportunities of antineutrophil cytoplasm
antibody associated vasculitides in the next few years.
PMID- 26551169
TI - [On-site fine-needle aspiration cytology of thyroid nodules. Quality assurance of
the Bethesda System for Reporting Thyroid Cytopathology (2008)].
AB - INTRODUCTION: The methods available for the diagnosis of thyroid nodules include
physical examination, imaging, laboratory and fine-needle aspiration cytology
tests. AIM: The aim of this study was to determine the quality assurance of fine
needle aspiration cytology of thyroid nodules. METHOD: Cytology results were
rated to 6 categories according to the Bethesda System for Reporting Thyroid
Cytopathology (2008) (I. nondiagnostic; II. benign; III. atypia of undetermined
significance; IV. follicular neoplasia; V. suspicious for malignancy; VI.
malignant). All cytology reports were compared with the final histology
diagnosis. RESULTS: A total of 1384 patient with thyroid nodule underwent fine
needle aspiration biopsy cytology. Smears were classified I. inadequate in 214
(15.9%); II. benign 986; III. atypical 56; IV. follicular neoplasm 41; V.
suspicious for malignancy 18; VI. malignant 33 cases. Two hundred and twenty
seven (16.8%) of the cases were operated and histologically verified. The
positive predictive value in the benign category was 98.25% and in the malignant
88.46%. The sensitivity of the follicular neoplasm was 66.67%. CONCLUSION: The
results suggest that fine-needle aspiration cytology of thyroid nodules using the
Bethesda System for Reporting Thyroid Cytopathology has a high diagnostic
accuracy. The auditing values of the results meet the proposed threshold values.
PMID- 26551170
TI - [Abstracts of the invited and announced lectures to be presented at the 2015
Annual Congress of the Hungarian Society of Clinical Nutrition. Matrahaza,
October 15-17, 2015].
PMID- 26551172
TI - Preterm Breech Presentation: A Comparison of Intended Vaginal and Intended
Cesarean Delivery.
AB - OBJECTIVE: To study the association of the intended mode of delivery and
perinatal morbidity and mortality among breech fetuses who are delivered preterm.
METHODS: We conducted a nationwide cohort study of women with a singleton
pregnancy in breech presentation who delivered preterm (26 0/7-36 6/7 weeks of
gestation) in the years 2000-2011. We compared perinatal outcomes according to
the intended and actual mode of delivery using multivariate logistic regression
analysis. We performed subgroup analyses of gestational age and parity. RESULTS:
We studied 8,356 women with a preterm singleton breech delivery. Intended
cesarean delivery (n=1,935) was not associated with a significant reduction in
perinatal mortality compared with intended vaginal delivery (n=6,421) (1.3%
compared with 1.5%; adjusted odds ratio [OR] 0.97, 95% confidence interval [CI]
0.60-1.57). However, the composite of perinatal mortality and morbidity was
significantly reduced in the intended cesarean delivery group (8.7% compared with
10.4%; adjusted OR 0.77, 95% CI 0.63-0.93). In the subgroup of women delivering
at 28-32 weeks of gestation, intended cesarean delivery was associated with a
1.7% risk of perinatal mortality compared with 4.1% with intended vaginal
delivery (adjusted OR 0.27, 95% CI 0.10-0.77) and significantly reduced composite
mortality and severe morbidity, 5.9% compared with 10.1% (adjusted OR 0.37, 95%
CI 0.20-0.68). CONCLUSION: In women delivering a preterm breech fetus, cesarean
delivery is associated with reduced perinatal mortality and morbidity. LEVEL OF
EVIDENCE: II.
PMID- 26551173
TI - Urinary Tract Injury at Benign Gynecologic Surgery and the Role of Cystoscopy: A
Systematic Review and Meta-analysis.
AB - OBJECTIVE: To calculate the rates of urinary tract injury detected during and
after benign gynecologic surgery. To explore the role of routine intraoperative
cystoscopy and determine if it helps in reducing injuries detected
postoperatively. DATA SOURCES: We conducted a literature search for urinary tract
injuries at benign gynecologic surgery in PubMed, EMBASE, ClinicalTrials.gov, and
Web of Science from January 2004 to August 2014. We combined our results with a
database from a previously published systematic review to include earlier
studies. METHODS OF STUDY SELECTION: A total of 79 studies met our inclusion
criteria. Excluded were letters to the editor, studies involving only selective
cystoscopy in higher risk patients, case reports, and reports that included
injuries resulting from obstetric or oncologic procedures. TABULATION,
INTEGRATION, AND RESULTS: Data from each report were classified according to type
of surgery into vaginal hysterectomy, abdominal hysterectomy, laparoscopic
hysterectomy, other (nonrobotic) gynecologic and urogynecologic surgery, robotic
hysterectomy, and other robotic gynecologic and urogynecologic surgery. We
determined the ureteric and bladder injury rates for each surgery type from
studies in which routine intraoperative cystoscopy was performed and separately
from studies in which it was not performed. Intraoperatively detected rates of
ureteric and bladder injury were markedly higher with routine intraoperative
cystoscopy. We obtained an adjusted ureteric injury rate of 0.3% and a bladder
injury rate of 0.8%. The estimated postoperative ureteric injury detection rates
per 1,000 surgeries were 1.6 without routine cystoscopy and 0.7 with routine
cystoscopy. Postoperative bladder injury detection rates per 1,000 surgeries were
0.8 without routine cystoscopy and 1.0 with routine cystoscopy. CONCLUSION:
Although routine cystoscopy clearly increases the intraoperative detection rate
of urinary tract injuries, this systematic review of 79 mostly retrospective
studies shows that it does not appear to have much effect on the postoperative
injury detection rate.
PMID- 26551174
TI - Treatment of Aggressive Pelvic Fibromatosis With Interferon.
AB - BACKGROUND: Fibromatosis is a rare, noninvasive but aggressive tumor. The tumor
displaces tissue by "pushing" the normal structures aside. Optimal treatment
should be individualized. CASE: A 35-year-old woman presented with a recurrent
fibromatosis, which filled the vagina and extended into the pelvis. The classical
surgical removal would have had a high morbidity. Therefore, it was decided,
after shared decision-making, to opt for treatment with interferon. The side
effects of the therapy were tolerable, and a complete regression of the
fibromatosis was achieved. At present, 13 years after the diagnosis and 7 years
after discontinuation of the therapy, the patient is well with no signs of
disease. CONCLUSION: Interferon may be considered as primary treatment for
extensive pelvic fibromatosis.
PMID- 26551175
TI - A Multi-State Analysis of Early-Term Delivery Trends and the Association With
Term Stillbirth.
AB - OBJECTIVE: To investigate whether reduction in early-term deliveries was
associated with increasing rates of term stillbirth. METHODS: This is a
retrospective descriptive analysis of variation in term delivery timing and
stillbirth from 2005 to 2011 based on birth certificate and fetal death data.
Early-term deliveries (37 0/7-38 6/7 weeks of gestation) as a percentage of total
term delivery and term stillbirth rates were calculated for each state, both
overall and for low- and high-risk women. We analyzed whether state-level changes
in early-term deliveries and term stillbirth were correlated using Pearson
correlation coefficients. States were also categorized as high or low reduction
(above or below the national average) and changes in stillbirth rates for these
groups were analyzed using a Cochrane-Armitage test for linear trend. RESULTS:
There was a decline in early-term deliveries across the United States: 1,123,467
of 3,533,233 term, singleton births occurred in the early term in 2005 (31.8%) as
compared with 978,294 of 3,429,172 (28.5%) in 2011. Reductions varied widely by
state. There was no change in the term stillbirth rate (123/100,000 births in
2005 compared with 130/100,000 in 2011; P=.189) nor change in the high reduction
states alone. There was no correlation between state-level changes in early-term
deliveries and term stillbirth. There was an increase in term stillbirths among
women with diabetes (from 238/100,000 to 300/100,000 births; P=.010), independent
of changes in early-term delivery timing. CONCLUSION: The reduction in early-term
deliveries across the United States between 2005 and 2011 was not associated with
an increase in the rate of term stillbirth. LEVEL OF EVIDENCE: II.
PMID- 26551176
TI - Yoga in Pregnancy: An Examination of Maternal and Fetal Responses to 26 Yoga
Postures.
AB - OBJECTIVE: To examine the acute maternal and fetal effects of yoga postures and
suspected contraindicated postures in a prospective cohort of healthy pregnant
women in the third trimester. METHODS: This was a prospective study that
evaluated pregnant women between 35 0/7 and 37 6/7 weeks of gestation in a one-on
one yoga session. A baseline nonstress test, vital signs, and pulse oximetry were
performed. Participants then assumed 26 yoga postures. Vital signs, pulse
oximetry, tocometry, and continuous fetal heart rate monitoring were obtained in
each posture. Postsession nonstress test, vital signs, and pulse oximetry were
obtained. Participants were contacted 24 hours postsession. RESULTS: Twenty-five
healthy pregnant women were evaluated. Ten reported regular yoga practice, eight
were familiar with yoga, and seven had no yoga experience. Yoga groups were
similar in age, race, body mass index, gestational age, and parity. Presession
and postsession nonstress tests were reactive. Presession and postsession data
showed no change in maternal heart rate, temperature, pulse oximetry, or fetal
heart rate. During the 26 yoga postures, vital signs, pulse oximetry, and uterine
tocometry remained normal in all women and in all postures. The fetal heart rate
across all 26 postures was normal. There were no falls or injuries during the
total cumulative 650 poses. No participants reported decreased fetal movement,
contractions, leakage of fluid, or vaginal bleeding in the 24-hour follow-up.
CONCLUSION: All 26 yoga postures were well-tolerated with no acute adverse
maternal physiologic or fetal heart rate changes. LEVEL OF EVIDENCE: III.
PMID- 26551177
TI - Gender Differences in Scholarly Productivity Within Academic Gynecologic Oncology
Departments.
AB - OBJECTIVE: To estimate whether there is a gender difference in scholarly
productivity among academic gynecologic oncologists. METHODS: In this cross
sectional study, the academic rank and gender of gynecologic oncology faculty in
the United States were determined from online residency and fellowship
directories and departmental web sites. Each individual's h-index and years of
publication were determined from Scopus (a citation database of peer-reviewed
literature). The h-index is a quantification of an author's scholarly
productivity that combines the number of publications with the number of times
the publications have been cited. We generated descriptive statistics and
compared rank, gender, and productivity scores. RESULTS: Five hundred seven
academic faculty within 137 U.S. teaching programs were identified. Of these, 215
(42%) were female and 292 (58%) were male. Men had significantly higher median h
indices than women, 16 compared with 8, respectively (P<.001). Women were more
likely to be of junior academic rank with 63% of assistant professors being
female compared with 20% of full professors. When stratifying h-indices by gender
and academic rank, men had significantly higher h-indices at the assistant
professor level (7 compared with 5, P<.001); however, this difference disappeared
at the higher ranks. Stratifying by the years of active publication, there was no
significant difference between genders. CONCLUSION: Female gynecologic
oncologists at the assistant professor level had lower scholarly productivity
than men; however, at higher academic ranks, they equaled their male
counterparts. Women were more junior in rank, had published for fewer years, and
were underrepresented in leadership positions. LEVEL OF EVIDENCE: III.
PMID- 26551178
TI - A Cost-Benefit Analysis of Low-Dose Aspirin Prophylaxis for the Prevention of
Preeclampsia in the United States.
AB - OBJECTIVE: To develop a decision model to evaluate the risks, benefits, and costs
of different approaches to aspirin prophylaxis for the approximately 4 million
pregnant women in the United States annually. METHODS: We created a decision
model to evaluate four approaches to aspirin prophylaxis in the United States: no
prophylaxis, prophylaxis per American College of Obstetricians and Gynecologists
(the College) recommendations, prophylaxis per U.S. Preventive Services Task
Force recommendations, and universal prophylaxis. We included the costs
associated with aspirin, preeclampsia, preterm birth, and potential aspirin
associated adverse effects. TreeAge Pro 2011 was used to perform the analysis.
RESULTS: The estimated rate of preeclampsia would be 4.18% without prophylaxis
compared with 4.17% with the College approach in which 0.35% (n=14,000) of women
receive aspirin, 3.83% with the U.S. Preventive Services Task Force approach in
which 23.5% (n=940,800) receive aspirin, and 3.81% with universal prophylaxis.
Compared with no prophylaxis, the U.S. Preventive Services Task Force approach
would save $377.4 million in direct medical care costs annually, and universal
prophylaxis would save $365 million assuming 4 million births each year. The U.S.
Preventive Services Task Force approach is the most cost-beneficial in 79% of
probabilistic simulations. Assuming a willingness to pay of $100,000 per neonatal
quality-adjusted life-year gained, the universal approach is the most cost
effective in more than 99% of simulations. CONCLUSION: Both the U.S. Preventive
Services Task Force approach and universal prophylaxis would reduce morbidity,
save lives, and lower health care costs in the United States to a much greater
degree than the approach currently recommended by the College.
PMID- 26551179
TI - Association of Women's Reproductive History With Long-term Mortality and Effect
of Socioeconomic Factors.
AB - OBJECTIVE: To assess the effects of socioeconomic factors on the association
between parity and long-term maternal mortality. METHODS: This was a population
based cohort study of mothers with births registered in the Medical Birth
Registry of Norway during the period 1967-2009. We estimated age-specific (40-69
years) cardiovascular and noncardiovascular mortality ratios by number of births
using Cox proportional hazard models. To assess effect modification by mothers'
attained education, we stratified on low (less than 11 years) and high (11 years
or greater) educational level. We further evaluated fathers' mortality by number
of births using the same analytical approach. RESULTS: Mothers with low education
had higher mortality (cardiovascular: hazard ratio 2.62, 95% confidence interval
[CI] 2.34-2.93, noncardiovascular: hazard ratio 1.67, 95% CI 1.62-1.73). Among
mothers with low education, cardiovascular mortality increased linearly with each
additional birth above one (P trend=.02). In contrast, among mothers with high
education, cardiovascular mortality declined with added births (P trend=.045).
For noncardiovascular mortality there was no association among mothers with low
education, whereas mortality declined with increasing number of births among
mothers with high education (P trend<.01). Father's mortality showed similar
associations with number of births when stratified on maternal education.
CONCLUSION: Women's long-term mortality rose with number of births only for
cardiovascular causes of death and only among mothers with low education.
Partners of women with low education had similar increasing risk with increasing
number of births. Maternal educational level is a strong modifier of the
association between parity and long-term mortality. LEVEL OF EVIDENCE: II.
PMID- 26551181
TI - The Likelihood of Change in Fetal Presentation During the Third Trimester in Twin
Pregnancies.
AB - OBJECTIVE: To estimate the likelihood and identify predictors of spontaneous
fetal version during the third trimester in twins using data from a multicenter
randomized controlled trial on mode of delivery in twin pregnancies. METHODS:
Women with twin pregnancies after 32 weeks of gestation in which twin A was
vertex were randomized to planned cesarean or planned vaginal delivery. In the
current study we analyzed the likelihood of a spontaneous version of any of the
twins between ultrasound assessment at the time of randomization and delivery.
RESULTS: A total of 2,603 women were analyzed. Twin A tended to persist in the
vertex presentation after 32 weeks of gestation with a spontaneous version rate
to nonvertex presentation of 3.0% (95% confidence interval [CI] 2.3-3.7%). Twin B
was less stable and underwent spontaneous version in 24.8% (95% CI 23.1-26.5%) of
cases; the rate remained higher than 20% even after 34 weeks of gestation. On
multivariable analysis, twin A was more likely to undergo version when twin B was
smaller (adjusted odds ratio [OR] 2.0, 95% CI 1.04-3.3), when twin B was breech
(adjusted OR 3.7, 95% CI 2.2-6.4) or transverse (adjusted OR 2.9, 95% CI 1.6
5.5), and when the interval to delivery exceeded 4 weeks (adjusted OR 2.5, 95% CI
1.3-5.0). Twin B was more likely to undergo version when it was in the breech
presentation (adjusted OR 1.7, 95% CI 1.4-2.1) or transverse lie (adjusted OR
3.1, 95% CI 2.5-3.9) compared with vertex presentation, when it was smaller
(adjusted OR 1.7, 95% CI 1.1-2.0), when the interval to delivery exceeded 4 weeks
(adjusted OR 1.7, 95% CI 1.3-2.4), and in multiparous women (adjusted OR 1.3, 95%
CI 1.04-1.5). CONCLUSION: The likelihood of spontaneous version of twin A after
32 weeks of gestation is low when twin A is in the vertex presentation but is
much higher for twin B, even late during the third trimester. CLINICAL TRIAL
REGISTRATION: ClinicalTrials.gov, www.clinicaltrials.gov, NCT00187369. LEVEL OF
EVIDENCE: II.
PMID- 26551180
TI - Anal Cytology and Human Papillomavirus Genotyping in Women With a History of
Lower Genital Tract Neoplasia Compared With Low-Risk Women.
AB - OBJECTIVE: To compare the prevalence of abnormal anal cytology and high-risk
human papillomavirus (HPV) among women with a history of HPV-related genital
neoplasia with women without a history of HPV-related genital neoplasia. METHODS:
A cross-sectional cohort study was performed from December 2012 to February 2014.
Women were recruited from outpatient clinics at an academic medical center. Women
with a history of high-grade cervical, vulvar, or vaginal cytology, dysplasia, or
cancer were considered the high-risk group. Women with no history of high-grade
anogenital dysplasia or cancer were considered the low-risk group. Human
immunodeficiency virus-positive women were excluded. Anal cytology and HPV
genotyping were performed. Women with abnormal anal cytology were referred for
high-resolution anoscopy. RESULTS: There were 190 women in the high-risk group
and 83 in the low-risk group. The high-risk group was slightly older: 57 years
compared with 47 years (P=.045); 21.7% of low-risk women had abnormal anal
cytology compared with 41.2% of high-risk women (P=.006). High-risk HPV was
detected in the anal canal of 1.2% of the low-risk group compared with 20.8% of
the high-risk group (P<.001). Among women who underwent anoscopy, no anal
dysplasia was detected in the low-risk group, whereas 13.4% in the high-risk
group had anal dysplasia with 4.2% having anal intraepithelial neoplasia 2 or
greater (P<.001). CONCLUSION: Human immunodeficiency virus-negative women with a
history of lower genital tract neoplasia are more likely to have positive anal
cytology, anal high-risk HPV, and anal intraepithelial neoplasia. Anal cancer
screening should be considered for these high-risk women. LEVEL OF EVIDENCE: II.
PMID- 26551182
TI - Association of Preoperative Thrombocytosis and Leukocytosis With Postoperative
Morbidity and Mortality Among Patients With Ovarian Cancer.
AB - OBJECTIVE: To examine whether preoperative thrombocytosis or leukocytosis is
associated with increased postoperative morbidity or mortality. METHODS: Patients
with ovarian cancer undergoing primary surgery from 2005 to 2012 were identified
from the American College of Surgeons National Surgical Quality Improvement
Project. Thrombocytosis was defined as platelets greater than 450,000/mm and
leukocytosis as white blood cells greater than 10,000/mm. We examined 30-day
postoperative complications and mortality. Descriptive statistics and adjusted
multivariable logistic regression were used for analysis. RESULTS: We identified
1,072 patients. The incidence of thrombocytosis was 9.6%, leukocytosis was 18.7%,
and 4.9% had both. Leukocytosis was associated with major complication (16.5%
compared with 10.3%, P=.01) but not postoperative death (3.0% compared with 1.3%,
P=.08). Thrombocytosis was also associated with major complication (19.4%
compared with 10.7%, P<.01) but not postoperative death (2.9% compared with 1.5%,
P=.30). Patients with both thrombocytosis and leukocytosis had increased rates of
both major complication (22.6% compared with 10.9%, P<.001) and mortality (5.7%
compared with 1.4%, P=.02). In logistic regression adjusting for age,
comorbidities, and surgical complexity, major complication remained associated
with thrombocytosis (adjusted odds ratio [OR] 2.16, 95% confidence interval [CI],
1.25-3.74, P<.01) and leukocytosis (adjusted OR 1.78, 95% CI, 1.13-2.80, P=.01).
Additionally, thrombocytosis and leukocytosis together were associated with
postoperative death (adjusted OR 5.4, 95% CI, 1.4-22.3, P=.02). CONCLUSION:
Preoperative thrombocytosis or leukocytosis is associated with an increased risk
of major postoperative complication. Patients with both thrombocytosis and
leukocytosis experienced twice the rate of major complication and a fourfold
increase in postoperative death. LEVEL OF EVIDENCE: II.
PMID- 26551183
TI - Contraceptive Use Among Women With Medical Conditions in a Nationwide Privately
Insured Population.
AB - OBJECTIVE: To examine contraceptive use among women with selected medical
conditions. METHODS: We used a nationwide health care claims database to identify
women aged 15-44 years continuously enrolled in private insurance during 2004
2011 with and without selected medical conditions. We assessed current permanent
and reversible prescription contraceptive use during October 1, 2010, to
September 30, 2011, with diagnosis, procedure, and pharmacy codes and calculated
prevalence by age and condition. We used polytomous logistic regression to
calculate odds of female sterilization or reversible prescription methods
compared with neither. Among users of reversible methods, we used logistic
regression to calculate odds of using long-acting reversible contraceptives
compared with shorter acting methods. RESULTS: A low proportion of women with
medical conditions were using sterilization or reversible prescription methods
(45% and 30% of women aged 15-34 and 35-44 years, respectively), and this
proportion was consistently lower among the older age group across all medical
conditions. Across both age groups, sterilization and long-acting reversible
contraceptives were used less frequently than shorter acting methods (injectable,
pill, patch, or ring). The odds of sterilization were higher among women with any
compared with no condition for women aged 15-34 years (odds ratio [OR] 4.9, 95%
confidence interval [CI], 4.5-5.3) and 35-44 years (OR 1.2, 95% CI, 1.1-1.2).
Among women using reversible prescription methods, the odds of using long-acting
reversible contraceptives were increased among those with any compared with no
condition for women aged 15-34 years (OR 2.2, 95% CI, 2.1-2.5) and 35-44 years
(OR 1.1, 95% CI, 1.1-1.2). CONCLUSION: Despite the potential for serious maternal
and fetal pregnancy-associated risks, contraceptive use was not optimal among
women with medical conditions. LEVEL OF EVIDENCE: III.
PMID- 26551184
TI - Elective Induction of Labor Compared With Expectant Management of Nulliparous
Women at 39 Weeks of Gestation: A Randomized Controlled Trial.
AB - OBJECTIVE: To evaluate whether the elective induction of labor in nulliparous
women with an unfavorable cervix affects the cesarean delivery rate. METHODS: We
conducted a randomized controlled trial at a tertiary care medical center.
Nulliparous woman between 38 0/7 and 38 6/7 weeks of gestation who were least 18
years of age with a singleton gestation and a Bishop score of 5 or less were
randomized to elective induction of labor or expectant management. The induction
of labor group was induced within 1 week of enrollment but not before 39 0/7
weeks of gestation. The control group continued routine prenatal care with
admission for labor or obstetric indication. The primary outcome was cesarean
delivery. Assuming a 20% rate in women in a control group, 80% power, and a goal
to detect a twofold increase to 40% in the induction of labor group, 162 patients
were needed. RESULTS: From March 2010 to February 2014, 82 patients were randomly
allocated to induction of labor and 80 to expectant management. Baseline
characteristics were similar between groups. The cesarean delivery rate in the
induction of labor group was 30.5% (25/82) compared with 17.7% (14/79) in the
expectant management group (relative risk 1.72, 95% confidence interval 0.96
3.06). CONCLUSION: In nulliparous women with a Bishop score of 5 or less,
elective induction after 39 0/7 weeks of gestation compared with expectant
management of pregnancy did not double the rate of cesarean delivery. CLINICAL
TRIAL REGISTRACTION: ClinicalTrials.gov, www.clinicaltrials.gov, NCT01076062.
LEVEL OF EVIDENCE: I.
PMID- 26551185
TI - Women's Health Care Teams and the Future of Obstetrics and Gynecology.
AB - Health care delivery is in a stage of transformation and a meaningful change in
provision of care must also be accompanied by changes in the educational process
of health care professionals. This article lays out a roadmap to better prepare
obstetrician-gynecologists (ob-gyns) to succeed in interdisciplinary women's
health care teams. Just as our current educational programs emphasize the
development of competent surgical skills, our future programs must encourage and
support the development of communication, teamwork, and leadership skills for ob
gyns. Formal integration of these fundamentals at all levels of the health care
training continuum will create an educational system designed to equip all
practitioners with a basic level of knowledge and provide opportunities to
acquire additional knowledge and skills as needs and interest dictate. Integral
to the implementation will be the evaluation of the effects of the contributions
of interprofessional education on patient, practice, and health system outcomes.
Successful demonstration of value will lead to the sustainability of the
educational programs through recognition by physicians, health care teams,
academia, health care systems, and payers.
PMID- 26551186
TI - Maternal and Neonatal Outcomes by Attempted Mode of Operative Delivery From a Low
Station in the Second Stage of Labor.
AB - OBJECTIVE: To evaluate maternal and neonatal outcomes by attempted mode of
operative delivery from a low station in the second stage of labor. METHODS:
Retrospective study of 2,518 women carrying singleton fetuses at 37 weeks of
gestation or greater who underwent attempted forceps-assisted delivery, attempted
vacuum-assisted vaginal delivery, or cesarean delivery from a low station in the
second stage of labor. Primary outcomes were stratified by parity and included a
maternal adverse outcome composite (postpartum hemorrhage, transfusion,
endometritis, peripartum hysterectomy, or intensive care unit admission) and a
neonatal adverse outcome composite (5-minute Apgar score less than 4, respiratory
morbidity, neonatal intensive care unit admission, shoulder dystocia, birth
trauma, or sepsis). RESULTS: In nulliparous patients, the maternal adverse
composite was not significantly different between women who underwent attempted
forceps (12.1% compared with 10.8%, adjusted odds ratio [OR] 0.77, 95% confidence
interval [CI] 0.40-1.34) or vacuum (8.3% compared with 10.8%, adjusted OR 0.68,
95% CI 0.40-1.16) delivery compared with cesarean delivery. Among parous women,
the maternal adverse composite was not significantly different with attempted
forceps (10.7% compared with 12.5%, adjusted OR 0.40, 95% CI 0.09-1.71) or vacuum
(11.3% compared with 12.5%, adjusted OR 0.44, 95% CI 0.11-1.72) compared with
cesarean delivery. Compared with neonates delivered by cesarean, the neonatal
adverse composite was significantly lower among neonates born to nulliparous
women who underwent attempted forceps (9.4% compared with 16.7%, adjusted OR
0.44, 95% CI 0.27-0.72) but not among those who underwent vacuum delivery (11.9%
compared with 16.7%, adjusted OR 0.68, 95% CI 0.44-1.04). Among parous women, the
neonatal adverse composite was not significantly different after attempted
forceps (4.1% compared with 12.5%, adjusted OR 0.28, 95% CI 0.06-1.35) or vacuum
(12.5% compared with 12.5%, adjusted OR 1.03, 95% CI 0.28-3.87) compared with
cesarean delivery. CONCLUSION: A trial of forceps delivery from a low station
compared with cesarean delivery was associated with decreased neonatal morbidity
among neonates born to nulliparous women. LEVEL OF EVIDENCE: II.
PMID- 26551187
TI - Management for Elderly Women With Advanced-Stage, High-Grade Endometrial Cancer.
AB - OBJECTIVE: To examine the treatment and survival of elderly women diagnosed with
advanced-stage, high-grade endometrial cancer. METHODS: We performed a
retrospective cohort study of women diagnosed between 2003 and 2011 with advanced
stage, high-grade endometrial cancers (grade 3 adenocarcinoma, carcinosarcoma,
clear-cell carcinoma, and uterine serous carcinoma) using the National Cancer
Database. Women were stratified by age: younger than 55, 55-64, 65-74, 75-84, and
85 years old or older. Multivariate logistic regression models and Cox
proportional hazards survival methods for all-cause mortality were used for
analyses. RESULTS: Twenty thousand four hundred sixty-eight patients were
included, 14.9% younger than 55 years, 30.9% 55-64 years, 31.1% 65-74 years,
18.8% 75-84 years, and 4.3% 85 years old or older. Patients younger than 55 years
had surgery more frequently compared with patients 75-84 years (97.2% compared
with 95.8%; P<.001) and 85 years or older (97.2% compared with 94.8%; P<.001) and
a higher rate of lymph node dissection (78.7% compared with 70.5%; P<.001 and
78.7% compared with 59.5%; P<.001, respectively). Women younger than 55 years old
were more likely to receive chemotherapy compared with those 75-84 years (63.9%
compared with 42.2%; P<.001) and 85 years old or older (63.9% compared with 22%;
P<.001). After adjusting for prognostic factors, women ages 75-84 and 85 years or
older were less likely to have received chemotherapy compared with women younger
than 55 years (odds ratio [OR] 0.34, 95% confidence interval [CI] 0.29-0.38 and
OR 0.12, 95% CI 0.10-0.14). The same was true with surgery (OR 0.63, 95% CI 0.45
0.88 and OR 0.46, 95% CI 0.30-0.70) and radiotherapy (OR 0.61, 95% CI 0.53-0.70
and OR 0.45, 95% CI 0.37-0.56). The Cox regression model showed that in women
with stage III disease, women 75-84 years had a twofold higher risk of death
(hazard ratio [HR] 2.38, 95% CI 2.14-2.65) and those 85 years or older had a
threefold higher risk (HR 3.16, 95% CI 2.76-3.61) compared with patients younger
than 55 years. Patients with stage IV and age 75-84 years had a 24% increased
risk of death (HR 1.24, 95% CI 1.11-1.40) and those 85 years or older had a 52%
increased risk (HR 1.52, 95% CI 1.29-1.79). CONCLUSION: Elderly women with high
grade endometrial cancer are less likely to be treated with surgery,
chemotherapy, or radiation. LEVEL OF EVIDENCE: II.
PMID- 26551188
TI - Trends in Stillbirth by Gestational Age in the United States, 2006-2012.
AB - OBJECTIVE: To evaluate stillbirth trends by gestational age. METHODS: National
Center for Health Statistics' fetal death and live birth data files were used to
analyze the 2006 and 2012 cohorts of deliveries and compute gestational age
specific stillbirth rates at 20 weeks of gestation or greater using two methods:
traditional (eg, stillbirths at 38 weeks of gestation/live births and stillbirths
at 38 weeks of gestation) and prospective (stillbirths at 38 weeks of
gestation/number of women still pregnant at 38 weeks of gestation). Changes in
rates and in the percent distribution of stillbirths and live births were
assessed. RESULTS: In 2006 and 2012, the stillbirth rate was 6.05 stillbirths per
1,000 deliveries. There was little change in the percent distribution of
stillbirths by gestational age from 2006 to 2012. However, the percent
distribution of live births by gestational age changed considerably: births at 34
38 weeks of gestation decreased by 10-16%, and births at 39 weeks of gestation
increased by 17%. Traditionally computed stillbirth rates were unchanged at most
gestational ages, but rose at 24-27, 34-36, 37, and 38 weeks of gestation.
However, rates were influenced by decreases in births at those gestational ages;
the pattern of stillbirths by gestational age was unchanged. In contrast, there
were no differences in prospective stillbirth rates at 21-42 weeks of gestation.
CONCLUSION: The lack of change in prospective stillbirth rates from 2006 to 2012
suggests that preventing nonmedically indicated deliveries before 39 weeks of
gestation did not increase the U.S. stillbirth rate. LEVEL OF EVIDENCE: II.
PMID- 26551189
TI - Stillbirth and the 39-Week Rule: Can We Be Reassured?
PMID- 26551190
TI - Just Do It!: Routine Cystoscopy Should Be Done at the Time of Gynecologic
Surgery.
PMID- 26551191
TI - Every Woman, Every Time: Opportunity for Improvement.
PMID- 26551192
TI - Connect the Dots...
PMID- 26551193
TI - What Is New in Prevention of Perinatal Human Immunodeficiency Virus
Transmission?: Best Articles From the Past Year.
AB - This month we focus on current research in perinatal human immunodeficiency virus
transmission. Dr. Jamieson discusses four recent publications, which are
concluded with a "bottom line" that is the take-home message. The complete
reference for each can be found in on this page, along with direct links to the
abstracts.
PMID- 26551194
TI - Recommendations for Follow-up Care for Gynecologic Cancer Survivors.
AB - Gynecologic cancer survivors are expected to increase in number over the coming
years. This is attributable in part to an increased incidence of gynecologic
malignancies as the population ages. Earlier detection and improved treatments
will lead to improved survival. Women who have completed their cancer treatment
and are disease-free enter a phase of follow-up care. This care can be provided
by gynecologic oncologists, general gynecologists, or primary care practitioners,
depending on local practices and geographic area. The key components of follow-up
include complete history and physical examination. There should be judicious use
of appropriate testing to detect disease recurrence, assessment, and management
of therapy-related symptoms and provision of psychosocial support. Well-woman
care and ongoing screening for other malignancies remain an important component
of care that should not be overlooked. This review provides recommendations
regarding follow-up care for women with gynecologic malignancies. There is very
little high-quality evidence available to guide such care.
PMID- 26551195
TI - Imaging System for Vaginal Surgery.
AB - BACKGROUND: The vaginal surgeon is challenged with performing complex procedures
within a surgical field of limited light and exposure. INSTRUMENT: The video
telescopic operating microscope is an illumination and imaging system that
provides visualization during open surgical procedures with a limited field of
view. The imaging system is positioned within the surgical field and then secured
to the operating room table with a maneuverable holding arm. A high-definition
camera and Xenon light source allow transmission of the magnified image to a high
definition monitor in the operating room. The monitor screen is positioned above
the patient for the surgeon and assistants to view real time throughout the
operation. EXPERIENCE: The video telescopic operating microscope system was used
to provide surgical illumination and magnification during total vaginal
hysterectomy and salpingectomy, midurethral sling, and release of vaginal scar
procedures. All procedures were completed without complications. The video
telescopic operating microscope provided illumination of the vaginal operative
field and display of the magnified image onto high-definition monitors in the
operating room for the surgeon and staff to simultaneously view the procedures.
CONCLUSION: The video telescopic operating microscope provides high-definition
display, magnification, and illumination during vaginal surgery.
PMID- 26551196
TI - Skin Preparation for Prevention of Surgical Site Infection After Cesarean
Delivery: A Randomized Controlled Trial.
AB - OBJECTIVE: To compare chlorhexidine with alcohol, povidone-iodine with alcohol,
and both applied sequentially to estimate their relative effectiveness in
prevention of surgical site infections after cesarean delivery. METHODS: Women
undergoing nonemergent cesarean birth at greater than 37 0/7 weeks of gestation
were randomly allocated to one of three antiseptic skin preparations: povidone
iodine with alcohol, chlorhexidine with alcohol, or the sequential combination of
both solutions. The primary outcome was surgical site infection reported within
the first 30 days postpartum. Based on a surgical site infection rate of 12%, an
anticipated 50% reduction for the combination group relative to either single
skin preparation group, with a power of 0.90 and an alpha of 0.05, 430 women per
group were needed to detect a difference. RESULTS: From January 2013 to July
2014, 1,404 women were randomly assigned to one of three groups: povidone-iodine
with alcohol (n=463), chlorhexidine with alcohol (n=474), or both (n=467). The
groups were similar with respect to demographics, medical disorders, indication
for cesarean delivery, operative time, and blood loss. The overall rate of
surgical site infection-4.3%-was lower than anticipated. The skin preparation
groups had similar surgical site infection rates: povidone-iodine 4.6%,
chlorhexidine with alcohol 4.5%, and sequential 3.9% (P=.85). CONCLUSION: The
skin preparation techniques resulted in similar rates of surgical site
infections. Our study provides no support for any particular method of skin
preparation before cesarean delivery. CLINICAL TRIAL REGISTRATION:
ClinicalTrials.gov, www.clinicaltrials.gov, NCT01870583. LEVEL OF EVIDENCE: I.
PMID- 26551197
TI - Gene expression and metabolic responses of HepG2/C3A cells exposed to flame
retardants and dust extracts at concentrations relevant to indoor environmental
exposures.
AB - Humans are routinely exposed to mixtures of flame retardants (FRs) from multiple
sources including indoor dust. As a model to explore the potential effects of FR
exposure from indoor dust on human health, the molecular responses of human
hepatoma cells (HepG2/C3A cells) to a defined mixture of FRs and to a dust
extract were investigated using multiple non-targeted omics approaches. A solvent
extract of an indoor dust standard reference material SRM2585 was used as the
surrogate dust sample, while a mixture of four FRs (TCEP, TCIPP, TDCIPP and HBCD)
was used to mimic the FR mixture in the indoor dust. Cytotoxicity tests indicated
there were no significant changes to cell viability or cell integrity after a 24-
or 72-h exposure of HepG2/C3A cells to the FR mixture or to the dust extract.
However, transcriptomics revealed changes in gene expression associated with the
metabolism of xenobiotics (e.g. CYP1A1, CYP1A2, CYP2B6) in the dust extract group
but not in the FR mixture group after a 72-h exposure. Few metabolic or lipidomic
changes were detected in response to either the FR mixture or to the dust extract
group. Given that the dust extract contained components that elicited a
biological response, in contrast to the lack of response induced by the FR
mixture, our findings suggest that the most likely causes of the molecular
responses to indoor dust exposure lie in components other than the four FRs
investigated, e.g. caused by PAHs or PCBs.
PMID- 26551198
TI - Effects of formaldehyde exposure on anxiety-like and depression-like behavior,
cognition, central levels of glucocorticoid receptor and tyrosine hydroxylase in
mice.
AB - Formaldehyde exposure is toxic to the brains of mammals, but the mechanism
remains unclear. We investigated the effects of inhaled formaldehyde on anxiety,
depression, cognitive capacity and central levels of glucocorticoid receptor and
tyrosine hydroxylase in mice. After exposure to 0, 1 or 2 ppm gaseous
formaldehyde for one week, we measured anxiety-like behavior using open field and
elevated plus-maze tests, depression-like behavior using a forced swimming test,
learning and memory using novel object recognition tests, levels of
glucocorticoid receptors in the hippocampus and tyrosine hydroxylase in the Arc,
MPOA, ZI and VTA using immuhistochemistry. We found that inhalation of 1 ppm
formaldehyde reduced levels of anxiety-like behavior. Inhalation of 2 ppm
formaldehyde reduced body weight, but increased levels of depression-like
behavior, impaired novel object recognition, and lowered the numbers of
glucocorticoid receptor immonureactive neurons in the hippocampus and tyrosine
hydroxylase immonureactive neurons in the ventral tegmental area and the zona
incerta, medial preoptic area. Different concentrations of gaseous formaldehyde
result in different effects on anxiety, depression-like behavior and cognition
ability which may be associated with alterations in hippocampal glucocorticoid
receptors and brain tyrosine hydroxylase levels.
PMID- 26551200
TI - Introduction to the Theme "Cancer Pharmacology".
PMID- 26551199
TI - Nanoparticulate mineral matter from basalt dust wastes.
AB - Ultra-fine and nano-particles derived from basalt dust wastes (BDW) during
"stonemeal" soil fertilizer application have been the subject of some concern
recently around the world for their possible adverse effects on human health and
environmental pollution. Samples of BDW utilized were obtained from companies in
the mining district of Nova Prata in southern Brazil for chemical
characterization and nano-mineralogy investigation, using an integrated
application of advanced characterization techniques such as X-ray diffraction
(XRD), High Resolution-Transmission Electron microscopy (HR-TEM)/(Energy
Dispersive Spectroscopy) EDS/(selected-area diffraction pattern) SAED, Field
Emission-Scanning Electron Microscopy (FE-SEM)/EDS and granulometric distribution
analysis. The investigation has revealed that BDW materials are dominated by
SiO2, Al2O3 and Fe2O3, with a complex micromineralogy including alkali feldspar,
augite, barite, labradorite, hematite, heulandrite, gypsum, kaolinite, quartz,
and smectite. In addition we have identified a number of trace metals such as Cd,
Cu, Cr, Zn that are preferentially concentrated into the finer, inhalable, dust
fraction and could so present a health hazard in the urban areas around the
basalt mining zone. The implication of this observation is that use of these
nanometric-sized particulates as soil fertilizer may present different health
challenges to those of conventional fertilizers, inviting future work regarding
the relative toxicities of these materials. Our investigation on the particle
size distribution, nano-particle mineralogy and chemical composition in typical
BDW samples highlights the need to develop cleaning procedures to minimise
exposure to these natural fertilizing basalt dust wastes and is thus of direct
relevance to both the industrial sector of basalt mining and to agriculture in
the region.
PMID- 26551201
TI - A Cost Analysis of Tolerance Induction for Two-Haplotype Match Kidney Transplant
Recipients.
PMID- 26551203
TI - Promise of Retinoic Acid-Triazolyl Derivatives in Promoting Differentiation of
Neuroblastoma Cells.
AB - Retinoic acid induces differentiation in various types of cells including
skeletal myoblasts and neuroblasts and maintains differentiation of epithelial
cells. The present study demonstrates synthesis and screening of a library of
retinoic acid-triazolyl derivatives for their differentiation potential on
neuroblastoma cells. Click chemistry approach using copper(I)-catalyzed azide
alkyne cycloaddition was adopted for the preparation of these derivatives. The
neurite outgrowth promoting potential of retinoic acid-triazolyl derivatives was
studied on neuroblastoma cells. Morphological examination revealed that compounds
8a, 8e, 8f, and 8k, among the various derivatives screened, exhibited promising
neurite-outgrowth inducing activity at a concentration of 10 MUM compared to
undifferentiated and retinoic acid treated cells. Further on, to confirm this
differentiation potential of these compounds, neuroblastoma cells were probed for
expression of neuronal markers such as NF-H and NeuN. The results revealed a
marked increase in the NF-H and NeuN protein expression when treated with 8a, 8e,
8f, and 8k compared to undifferentiated and retinoic acid treated cells. Thus,
these compounds could act as potential leads in inducing neuronal differentiation
for future studies.
PMID- 26551204
TI - Comparative characterization of nucleotides, nucleosides and nucleobases in
Abelmoschus manihot roots, stems, leaves and flowers during different growth
periods by UPLC-TQ-MS/MS.
AB - Nucleotides, nucleosides and nucleobases have been proven as important bioactive
compounds related to many physiological processes. Abelmoschus manihot (L.)
Medicus from the family of Malvaceae is an annual herbal plant of folk medicine
widely distributed in Oceania and Asia. However, up to now, no detailed
information could be available for the types and contents of nucleotides,
nucleosides and nucleobases contained in A. manihot roots, stems, leaves as well
as the flowers. In the present study, an UPLC-TQ-MS/MS method was established for
detection of the twelve nucleotides, nucleosides and nucleobases. The validated
method was successfully applied to identify the 12 analytes in different parts of
A. manihot harvested at ten growth periods. 2'-deoxyinosine was not detected in
all of the A. manihot samples. The data demonstrated that the distribution and
concentration of the 12 compounds in A. manihot four parts were arranged in a
decreasing order as leaf>flower>stem>root. Based on the results, the leaves and
flowers of A. manihot could be developed as health products possessed
nutraceutical and bioactive properties in the future. This method might also be
utilized for the quality control of the A. manihot leaves and other herbal
medicines being rich in nucleotides, nucleosides and nulecobases.
PMID- 26551202
TI - Raw Sap Consumption Habits and Its Association with Knowledge of Nipah Virus in
Two Endemic Districts in Bangladesh.
AB - Human Nipah virus (NiV) infection in Bangladesh is a fatal disease that can be
transmitted from bats to humans who drink contaminated raw date palm sap
collected overnight during the cold season. Our study aimed to understand date
palm sap consumption habits of rural residents and factors associated with
consumption. In November-December 2012 the field team interviewed adult
respondents from randomly selected villages from Rajbari and Kushtia Districts in
Bangladesh. We calculated the proportion of people who consumed raw sap and had
heard about a disease from raw sap consumption. We assessed the factors
associated with raw sap consumption by calculating prevalence ratios (PR)
adjusted for village level clustering effects. Among the 1,777 respondents
interviewed, half (50%) reported drinking raw sap during the previous sap
collection season and 37% consumed raw sap at least once per month. Few
respondents (5%) heard about NiV. Thirty-seven percent of respondents reported
hearing about a disease transmitted through raw sap consumption, inclusive of a
10% who related it with milder illness like diarrhea, vomiting or indigestion
rather than NiV. Respondents who harvested date palm trees in their household
were more likely to drink sap than those who did not own date palm trees (79% vs.
65% PR 1.2, 95% CI 1.1-1.3, p<0.001). When sap was available, respondents who
heard about a disease from raw sap consumption were just as likely to drink it as
those who did not hear about a disease (69% vs. 67%, PR 1.0, 95% CI 0.9-1.1, p =
0.512). Respondents' knowledge of NiV was low. They might not have properly
understood the risk of NiV, and were likely to drink sap when it was available.
Implementing strategies to increase awareness about the risks of NiV and protect
sap from bats might reduce the risk of NiV transmission.
PMID- 26551205
TI - Separation and purification of two new and two known alkaloids from leaves of
Nitraria sibirica by pH-zone-refining counter-current chromatography.
AB - The total alkaloids from Nitraria sibirica leaves have been confirmed to exhibit
significant protective effects against inflammatory renal injury, hypertension
and albuminuria in angiotensin II-salt hypertension. In the present study, a
separation method of pH-zone-refining counter-current chromatography was
established for separation of the alkaloids from N. sibirica. The separation was
performed with a solvent system of MtBE-n-BuOH-H2O (2:2:5, v/v) at a flow rate of
2.0mL/min. And 15mM triethylamine (TEA) was added to the upper organic phase,
while 10mM hydrochloric acid was added to the lower aqueous phase. As a result, a
new alkaloid, schobemine (5.6mg), and a known alkaloid, nitraramine (5.0mg),
together with fractions A and B were obtained from the total alkaloids of N.
sibirica. The fractions A and B were further purified by means of pH-zone
refining counter-current chromatography with solvent systems of n-hexane-n-BuOH
H2O (1.5:3.5:5, v/v) and (2:3:5, v/v), respectively. TEA (10mM) was added to the
upper phase, and 10mM of HCl was added to the lower phase in above two solvent
systems, respectively. As a result, a known alkaloid, schoberidine (5.0mg), and a
new alkaloid, schoberimine (3.0mg) were obtained from fractions A and B,
respectively. The purities of the compounds were measured by HPLC-ELSD, and their
structures were identified by ESI-MS, 1D and 2D NMR.
PMID- 26551206
TI - Capillary electrophoresis with end-column electrochemiluminescence for
ultrasensitive determination of urapidil hydrochloride in rat plasma and its
application to pharmacokinetics study.
AB - A simple, sensitive and selective method for determination of urapidil
hydrochloride was developed using capillary electrophoresis with
electrochemiluminescence (CE-ECL) technique for the first time. Under the
optimized experimental conditions, the ECL intensity was linear with the
concentration of urapidil hydrochloride in the range from 0.050 to 50.0ng/mL and
the detection limit was 0.014ng/mL (S/N=3). The proposed method was used for
studying pharmacokinetics of urapidil hydrochloride in rat plasma and the main
pharmacokinetic parameters of the peak concentration (Cmax), half life time
(T1/2) and peak concentration time (Tmax) were 240.45+/-21.15ng/mL, 0.58+/-0.16h
and 1.08+/-0.13h, respectively. The recoveries of urapidil hydrochloride in the
diluted extracts of rat plasma samples ranged from 96.68 to 98.82%. The RSD was
lower than 3%.
PMID- 26551207
TI - Determination of residual arsenic compounds in chicken muscle by ultra
performance liquid chromatography coupled with ultraviolet detection after pre
column derivatization with toluene-3,4-dithiol.
AB - A simple and sensitive derivatization method using toluene-3,4-dithiol as a
derivatization reagent for the simultaneous analysis of seven arsenic compounds
(roxarsone, nitarsone, p-arsanilic acid, o-arsanilic acid, phenylarsonic acid,
phenylarsine oxide, and mono-methylarsonic acid) in chicken muscle was developed
and validated by ultra-performance liquid chromatography coupled with ultraviolet
detection (UPLC-UV). The structure of the derivatized arsenic compounds was
confirmed by liquid chromatography-ion trap mass spectrometry or gas
chromatography-mass spectrometry. Optimization of the derivatization reaction
conditions was carried out by investigating the influence of reagent
concentration, buffer or additive acids, temperature, and time. The optimized
conditions were a derivatization reagent concentration of 20mg/mL with 0.05mol/L
HCl as an additive acid at 60 degrees C for 15min. In this study, baseline
separation of arsenic compounds could be achieved within 13min, except for
phenylarsonic acid and phenylarsine oxide whose derivatized products are equal.
The developed method was successfully validated and applied to 12 chicken muscle
samples from Korean districts and other countries.
PMID- 26551208
TI - Investigation of cell culture volatilomes using solid phase micro extraction:
Options and pitfalls exemplified with adenocarcinoma cell lines.
AB - Three strategies to sample volatile organic compounds (VOC) from lung cancer cell
lines cultured in vitro were compared. Headspace solid phase microextraction was
applied in situ to culture flasks and alternatively to subsamples of headspace
gas or to nutrient solution subsamples followed by gas chromatography-mass
spectrometry. The direct quantification of 55 VOC in the headspace of cell
cultures was validated and is discussed with respect to reproducibility and
system-related interferences. The role of the VOC background from culture media
and usually employed polystyrene culture vessels is examined and was seen to
invoke potentially misleading conclusions. The commercial A549 and two further
adenocarcinoma cell lines displayed largely similar VOC profiles with distinct
differences regarding certain individual substances. There is evidence for the
inappropriateness of the standard cell culturing methods in the search for
volatile cancer markers.
PMID- 26551210
TI - The elution of certain protein affinity tags with millimolar concentrations of
diclofenac.
AB - Diclofenac (2-[(2, 6-dichlorophenyl)amino] benzeneacetic acid) is a sparingly
soluble, nonsteroidal anti-inflammatory drug therapeutically acting at low
micromolar concentrations. In pH range from 8 to 11, its aqueous solubility can
be increased up to 200 times by the presence of counter ions such as sodium. Our
protein interaction studies revealed that a millimolar concentration of sodium
diclofenac is able to elute glutathione S-transferase (GST), cellulose binding
protein (CBD), and maltose binding protein (MBP) but not histidine-tagged or PDZ
tagged proteins from their affinity resins. The elution efficiency of diclofenac
is comparable with the eluting agents normally used at similar concentrations.
Native gel electrophoresis of sodium diclofenac-treated proteins showed that the
interaction is non-covalent and non-denaturing. These results suggest that sodium
diclofenac, in addition to its pharmaceutical applications, can also be exploited
as a lead for the development of new proteomics reagents.
PMID- 26551209
TI - Quantitative analysis of intracellular nucleoside triphosphates and other polar
metabolites using ion pair reversed-phase liquid chromatography coupled with
tandem mass spectrometry.
AB - Simultaneous, quantitative determination of intracellular nucleoside
triphosphates and other polar metabolites using liquid chromatography with
electrospray ionization tandem mass spectrometry (LC-MS/MS) represents a
bioanalytic challenge because of charged, highly hydrophilic analytes presented
at a large concentration range in a complex matrix. In this study, an ion pair LC
MS/MS method using triethylamine (TEA)-hexafluoroisopropanol (HFIP) ion-pair
mobile phase was optimized and validated for simultaneous and unambiguous
determination of 8 nucleoside triphosphates (including ATP, CTP, GTP, UTP, dATP,
dCTP, dGTP, and dTTP) in cellular samples. Compared to the the less volatile ion
pair reagent, triethylammonium acetate (100mM, pH 7.0), the combination of HFIP
(100mM) and TEA (8.6mM) increased the MS signal intensity by about 50-fold, while
retaining comparable chromatographic resolution. The isotope-labeled internal
standard method was used for the quantitation. Lower limits of quantitation were
determined at 0.5nM for CTP, UTP, dATP, dCTP, and dTTP, at 1nM for ATP, and at
5nM for GTP and dGTP. The intra- and inter-day precision and accuracy were within
the generally accepted criteria for bioanalytical method validation (<15%). While
the present method was validated for the quantitation of intracellular nucleoside
triphosphates, it had a broad application potential for quantitative profiling of
nucleoside mono- and bi-phosphates as well as other polar, ionic metabolic
intermediates (including carbohydrate derivatives, carboxylic acid derivatives,
co-acyl A derivatives, fatty acyls, and others) in biological samples.
PMID- 26551211
TI - The Usage Behavior and Intention Stability of Nurses: An Empirical Study of a
Nursing Information System.
AB - BACKGROUND: Many prior studies of technology adoption treat user intention as the
single predictor of actual usage behavior. However, as many researchers of
behavioral science have pointed out, multiple factors mediate the relationship
between user intention and usage behavior. PURPOSE: The present article explores
the factors that mediate the relationship between intention and actual behavior.
We develop a conceptual framework that is based on the Technology Acceptance
Model III and behavior theory to further elicit system usage behavior and to
confirm "intention stability" and "past experience" as two significant mediating
factors in this relationship. METHODS: The target system was a nursing
information system that had been recently adopted by a medical center in central
Taiwan. Data were collected using a questionnaire survey conducted in two rounds.
Two hundred forty-five valid questionnaires were returned (response rate: 49%).
Mediated moderation was analyzed to explore the presence of mediators or
moderators between intention and behavior. RESULTS: The results support that
intention stability is a mediated moderator and that prior experience is a
moderator of the relationship between intention and behavior. These two factors
increased by over 13.6% the explanatory power of intention on actual behavior.
Furthermore, this study expanded the scope of prior research by confirming
intention stability as a moderating variable between intention and behavior.
Finally, this study identified the moderating effect of past experience on the
intention-behavior relationship, indicating that past experience enhances the
predictive power of intention on behavior. CONCLUSIONS/IMPLICATIONS FOR PRACTICE:
The findings of this study may assist hospital managers to better understand the
nursing information system usage behaviors of nursing staff and to develop ways
to enhance the intention stability of these staff. Managers may improve the
familiarity of nursing staff with the system by increasing their system-related
practice time. More experience should enhance staff system skills and resolve
problems such as the need for extra work hours or overtime because of initial
system unfamiliarity. Improved work efficiency should then allow nurses to divert
more time from administrative work to patient care and training. This positive
circle of support is expected to increase the willingness of nurses to accept and
take advantage of the system.
PMID- 26551212
TI - A Comparison Study of Single-Parent Families Living on Remote, Rural Islands and
in Urban Settings in Japan.
AB - BACKGROUND: Nursing interventions that aim to enhance the family environment are
necessary to help single-parent families with children to improve family
functioning. The cultural and social factors that are unique to Japan's remote
islands should be considered to assess the influence of this unique setting on
family functioning. PURPOSE: The objectives of this study were to evaluate the
family functioning of child-rearing single-parent families living in different
environments and to investigate the association between family demographics and
family functioning. METHODS: A self-administered questionnaire, the Japanese
version of the Survey of Family Environment, was used to evaluate the sufficiency
of family functioning. The participants were families with children enrolled in
nurseries and kindergartens who were either living in remote, rural islands or in
an urban city on the mainland in Japan. RESULTS: Family functioning was
significantly higher for single-parent families living on the islands than for
those living in the city in terms of media use, participation in community
activities, and the collaboration of family members in child-rearing. Family
functioning of single-parent families correlated significantly with household
income, the parent's gender, family members' health, and family life cycle.
CONCLUSIONS/IMPLICATIONS FOR PRACTICE: Single-parent families living on Japanese
offshore islands maintained family functioning through mutual support and the
effective use of information technology. Nevertheless, single-parent families
require additional support to improve their healthcare and financial situations.
PMID- 26551213
TI - A Study on the Effects of a Health Education Intervention on Anxiety and Pain
During Colonoscopy Procedures.
AB - BACKGROUND: Colonoscopy is currently considered the best screening tool in the
diagnosis of colon diseases. However, this procedure often causes pain and
discomfort in patients, thus reducing patient willingness to undergo and comply
with this procedure. PURPOSE: This study explores the effects of providing
procedure-related information to patients receiving colonoscopy in terms of
anxiety and pain reduction and identifies factors that influence the pain and
anxiety experienced by patients during this procedure. METHODS: This study
adopted a quasi-experimental design that targeted colonoscopy patients in
outpatient clinics. Two hundred thirteen patients were recruited, with 103
patients in the experimental group and 110 in the control group. Participants
were recruited between January and April 2011. All of the participants received
standard care, and only those participants who were assigned to the experimental
group were asked to watch "A Guide to the Colonoscopy Procedure," a multimedia
health informatics CD-ROM. RESULTS: Anxiety scores of the experimental group
dropped from 48.7 +/- 11.6 to 39.2 +/- 8.7 after the intervention. The average
pain score of the experimental group was significantly lower than that of the
control group (3.8 +/- 2.5 vs. 5.0 +/- 2.7). Furthermore, trait anxiety, gender,
and educational level were identified as the main predictors for state anxiety,
and state anxiety was identified as an important predictor for pain during the
colonoscopy examination. CONCLUSIONS/IMPLICATIONS FOR PRACTICE: This study found
that using a multimedia health informatics CD-ROM to provide information on the
colonoscopy procedure effectively reduced the examination-related anxiety and
pain of patients.
PMID- 26551214
TI - The Impact of Job Involvement on Emotional Labor to Customer-Oriented Behavior:
An Empirical Study of Hospital Nurses.
AB - BACKGROUND: Healthcare is a profession that requires a high level of emotional
labor (EL). Nurses provide frontline services in hospitals and thus typically
experience high levels of EL. The quality of services that nurses provide impacts
on how patients evaluate the service quality of hospitals. PURPOSE: The aim of
this study is to explore the relationships among EL, job involvement (JI), and
customer-oriented behavior (COB) in the context of the nursing profession.
METHODS: The participants in this study were nurses at eight hospitals, all
located in Taiwan. This study used a self-reporting questionnaire. Research data
were gathered at two discrete periods (A and B). Questionnaire A collected data
on EL and JI, and Questionnaire B collected data on COB. Five hundred
questionnaires were sent out to qualified participants, and 472 valid
questionnaires were returned. Hierarchical regression analysis was used to test
the hypotheses. RESULTS: The expression of positive emotion (EPE) and the
suppression of negative emotion (SNE) were found to positively affect the patient
oriented COB. Furthermore, the EPE was found to positively affect the task
oriented COB. In terms of the moderating effect of JI, JI was found to relate
positively to the EPE, patient-oriented COB, and task-oriented COB. In addition,
higher values of JI were found to weaken the relationship between the SNE and the
task-oriented COB. CONCLUSIONS/IMPLICATIONS FOR PRACTICE: It has become an
increasingly popular practice for hospital organizations to work to promote the
COB of their nursing staffs. The results of this study prove empirically that a
relationship exists among EL, COB, and JI in nurses. This study contributes to
the related literature, enhances the knowledge of hospital and nursing
administrators with regard to EL and COB, and offers a reference for hospital
managers who are responsible for designing and executing multidisciplinary
programs and for managing hospital-based human resources.
PMID- 26551215
TI - Psychometric Evaluation of the Cigarette Withdrawal Scale (Chinese Version) in
Male Smokers in Taiwan.
AB - BACKGROUND: Symptoms of smoking withdrawal are a central feature of nicotine
dependence. A valid and reliable measure of these symptoms is important to better
understand nicotine dependence and to develop effective interventions. PURPOSE:
The objective of this study was to examine the validity and reliability of the
Chinese version of the Cigarette Withdrawal Scale (CWS-C). METHODS: This study
conducted cross-sectional surveys in two phases. In the first phase, exploratory
factor analysis was used to test the underlying factor structure, the criterion
validity, and the reliability of the CWS-C. A reliability test was conducted to
assess the internal consistency and stability of the instrument. In the second
phase, confirmatory factor analysis validated the factor model that had been
proposed in earlier empirical research. The total sample size used in analysis
was 497. RESULTS: The CWS-C achieved a level of efficacy that was similar to the
English version. Exploratory factor analysis showed that the six factors of the
instrument accounted for 80.3% of the variance. The full scale and all of the
subscale items, with the exception of the appetite-weight gain subscale (r = .12,
p = .09), were significantly associated with the Fagerstrom Test for Nicotine
Dependence (r = .25-.50, p < .05). The Cronbach's alpha of the full scale was
.93, with retest coefficient of .84. Confirmatory factor analysis confirmed that
the CWS-C had six correlated factors. Field testing showed that the CWS-C is a
reliable and valid Chinese-language instrument for assessing the symptoms of
cigarette withdrawal. CONCLUSIONS/IMPLICATIONS FOR PRACTICE: The CWS-C performed
well in terms of reliability and validity in several tests conducted on male
Taiwanese smokers. Accurate measurement is expected to help health professionals
better understand smoker quitting patterns and the severity of withdrawal
symptoms and to develop improved withdrawal-symptom treatment interventions.
PMID- 26551216
TI - The Effects of an Empowerment Intervention on Renal Transplant Recipients: A
Randomized Controlled Trial.
AB - BACKGROUND: Renal transplantation is a vital treatment for end-stage renal
disease. To help improve quality of life after renal transplant surgery,
interventions are needed to strengthen the coping skills and self-care behaviors
of patients. However, most research studies on self-care after renal
transplantation have addressed related factors. Few studies have examined the
effects of interventions on renal transplant recipients. PURPOSE: This study
investigated the effects of an empowerment support group on the empowerment
levels and self-care behaviors of renal transplant recipients. METHODS: This
study was a randomized controlled trial. Eligible participants were individuals
who had undergone a renal transplant within the past 20 years, were 18 years old
or older, were able to read and write in Chinese, and were willing to
participate. We recruited 122 renal transplant recipients from two medical
centers in southern Taiwan. The renal transplant outpatients were randomly
assigned into empowerment support (n = 56) and comparison (n = 66) groups. The
developed measures as well as the content, protocols, and the two groups were
assessed for reliability and validity. The intervention involved one 2-hour
meeting every 2 weeks for a total of six meetings. The topics included goal
setting, problem solving, coping with daily stress, seeking social support, and
staying motivated. The sessions consisted of introductions that highlighted the
topic, group discussions, identifying areas of difficulty with self-care
behaviors after renal transplant, and developing a set of goals and strategies to
overcome these problems. RESULTS: The empowerment group reported significant
increases both in terms of level of empowerment (F = 5.29, p = .023) based on age
and time interaction (F = 9.86, p < .001) and in terms of self-care behaviors (F
= 7.15, p = .009). Moreover, these increases were significantly larger than the
increases recorded by the comparison group. In addition, these increases were
particularly large in the older empowerment-group participants with lower pretest
scores for empowerment. CONCLUSIONS: Empowerment support may be critical to
improve the empowerment and self-care behaviors of renal transplant patients. The
results of this study may be applied to improve patient education and empowerment
programs for renal transplant patients. Furthermore, these programs may be
adjusted to take into consideration the learning preferences or needs of
different age groups.
PMID- 26551217
TI - Flexible, Transparent, Thickness-Controllable SWCNT/PEDOT:PSS Hybrid Films Based
on Coffee-Ring Lithography for Functional Noncontact Sensing Device.
AB - Flexible transparent conductive films (FTCFs) as the essential components of the
next generation of functional circuits and devices are presently attracting more
attention. Here, a new strategy has been demonstrated to fabricate thickness
controllable FTCFs through coffee ring lithography (CRL) of single-wall carbon
nanotube (SWCNT)/poly(3,4-ethylenedioxythiophene)-polystyrenesulfonate ( PEDOT:
PSS) hybrid ink. The influence of ink concentration and volume on the thickness
and size of hybrid film has been investigated systematically. Results show that
the final FTCFs present a high performance, including a homogeneous thickness of
60-65 nm, a sheet resistance of 1.8 kohm/sq, a visible/infrared-range
transmittance (79%, PET = 90%), and a dynamic mechanical property (>1000 cycle,
much better than ITO film), respectively, when SWCNT concentration is 0.2 mg/mL,
ink volume is 0.4 MUL, drying at room temperature. Moreover, the benefits of
these kinds of FTCFs have been verified through a full transparent, flexible
noncontact sensing panel (3 * 4 sensing pixels) and a flexible battery-free
wireless sensor based on a humidity sensing mechanism, showing excellent
human/machine interaction with high sensitivity, good stability, and fast
response/recovery ability.
PMID- 26551219
TI - Co-contamination of Cu and Cd in paddy fields: Using periphyton to entrap heavy
metals.
AB - The ubiquitous native periphyton was used to entrap Cu and Cd from paddy fields.
Results showed that Cu- and Cd-hydrate species such as CuOH(+), Cu2(OH)2(2+),
CdOH(+), and Cu3(OH)4(2+) decreased with time in the presence of periphyton. When
the initial concentrations of Cu and Cd were 10mg/L, the heavy metal content in
the periphyton fluctuated from 145.20mg/kg to 342.42 mg/kg for Cu and from 101.75
mg/kg to 236.29 mg/kg for Cd after 2h exposure. The concentration of Cd in
periphytic cells varied from 42.93 mg/kg to 174 mg/kg after 2h. The dominant
periphyton microorganism species shifted from photoautotrophs to heterotrophs
during the exposure of periphyton to Cu and Cd co-contamination. Although Cu and
Cd could inhibit periphyton photosynthesis and carbon utilization, the periphyton
was able to adapt to the test conditions. Cu and Cd accumulation in rice markedly
decreased in the presence of periphyton while the number of rice seeds
germinating was higher in the periphyton treatments. These results suggest that
the inclusion of native periphyton in paddy fields provides a promising buffer to
minimize the effects of Cu and Cd pollution on rice growth and food safety.
PMID- 26551220
TI - Bioremediation of industrially contaminated soil using compost and plant
technology.
AB - Compost technology can be utilized for bioremediation of contaminated soil using
the active microorganisms present in the matrix of contaminants. This study
examined bioremediation of industrially polluted soil using the compost and plant
technology. Soil samples were collected at the vicinity of three industrial
locations in Ogun State and a goldmine site in Iperindo, Osun State in March,
2014. The compost used was made from cow dung, water hyacinth and sawdust for a
period of twelve weeks. The matured compost was mixed with contaminated soil
samples in a five-ratio pot experimental design. The compost and contaminated
soil samples were analyzed using the standard procedures for pH, electrical
conductivity (EC), organic carbon (OC), total nitrogen (TN), phosphorus,
exchangeable cations (Na, K, Ca and Mg) and heavy metals (Fe, Mn, Cu, Zn and Cr).
Kenaf (Hibiscus cannabinus) seeds were also planted for co-remediation of metals.
The growth parameters of Kenaf plants were observed weekly for a period of one
month. Results showed that during the one-month remediation experiment,
treatments with 'compost-only' removed 49 +/- 8% Mn, 32 +/- 7% Fe, 29 +/- 11% Zn,
27 +/- 6% Cu and 11 +/- 5% Cr from the contaminated soil. On the other hand,
treatments with 'compost+plant' remediated 71 +/- 8% Mn, 63 +/- 3% Fe, 59 +/- 11%
Zn, 40 +/- 6% Cu and 5 +/- 4% Cr. Enrichment factor (EF) of metals in the compost
was low while that of Cu (EF=7.3) and Zn (EF=8.6) were high in the contaminated
soils. Bioaccumulation factor (BF) revealed low metal uptake by Kenaf plant. The
growth parameters of Kenaf plant showed steady increments from week 1 to week 4
of planting.
PMID- 26551218
TI - Advances in Inhalation Dosimetry Models and Methods for Occupational Risk
Assessment and Exposure Limit Derivation.
AB - The purpose of this article is to provide an overview and practical guide to
occupational health professionals concerning the derivation and use of dose
estimates in risk assessment for development of occupational exposure limits
(OELs) for inhaled substances. Dosimetry is the study and practice of measuring
or estimating the internal dose of a substance in individuals or a population.
Dosimetry thus provides an essential link to understanding the relationship
between an external exposure and a biological response. Use of dosimetry
principles and tools can improve the accuracy of risk assessment, and reduce the
uncertainty, by providing reliable estimates of the internal dose at the target
tissue. This is accomplished through specific measurement data or predictive
models, when available, or the use of basic dosimetry principles for broad
classes of materials. Accurate dose estimation is essential not only for dose
response assessment, but also for interspecies extrapolation and for risk
characterization at given exposures. Inhalation dosimetry is the focus of this
paper since it is a major route of exposure in the workplace. Practical examples
of dose estimation and OEL derivation are provided for inhaled gases and
particulates.
PMID- 26551221
TI - Quaternary ammonium salts with tetrafluoroborate anion: Phytotoxicity and
oxidative stress in terrestrial plants.
AB - This paper discusses the impact of four quaternary ammonium salts (QAS) such as
tetraethylammonium tetrafluoroborate [TEA][BF4], tetrabutylammonium
tetrafluoroborate [TBA][BF4], tetrahexylammonium tetrafluoroborate [THA][BF4],
and tetraoctylammonium tetrafluoroborate [TOA][BF4] on the growth and development
of spring barley and common radish. Analogous tests were performed with the
inorganic salt ammonium tetrafluoroborate [A][BF4] for comparison purposes.
Results indicated that the phytotoxicity of the QAS applied is dependent on the
concentration of the substance and their number of carbon atoms. The most toxic
compound was [TBA][BF4], causing the greatest drop in fresh weight of both study
plants, similar to the phytotoxic effects of [A][BF4]. All the tested compounds
caused oxidative stress in spring barley and common radish seedlings due to a
drop in the chlorophyll content. Stress was also observed in plants, which was
indicated by the increased level of ROS (reactive oxygen species) such as H2O2
and lipid peroxidation of MDA (malondialdehyde). Due to the stress, both plants
displayed changes in the activity of antioxidative enzymes such as superoxide
dismutase (SOD), catalase (CAT) and peroxidase (POD). Based on the results of the
study, it was concluded that changes in chlorophyll levels and peroxidase
activity are the best biomarkers to determine oxidative stress in plants.
PMID- 26551222
TI - Magnetic ferroferric oxide nanoparticles induce vascular endothelial cell
dysfunction and inflammation by disturbing autophagy.
AB - Despite the considerable use of magnetic ferroferric oxide nanoparticles
(Fe3O4NPs) worldwide, their safety is still an important topic of debate. In the
present study, we detected the toxicity and biological behavior of bare-Fe3O4NPs
(B-Fe3O4NPs) on human umbilical vascular endothelial cells (HUVECs). Our results
showed that B-Fe3O4NPs did not induce cell death within 24h even at
concentrations up to 400 MUg/ml. The level of nitric oxide (NO) and the activity
of endothelial NO synthase (eNOS) were decreased after exposure to B-Fe3O4NPs,
whereas the levels of proinflammatory cytokines were elevated. Importantly, B
Fe3O4NPs increased the accumulation of autophagosomes and LC3-II in HUVECs
through both autophagy induction and the blockade of autophagy flux. The levels
of Beclin 1 and VPS34, but not phosphorylated mTOR, were increased in the B
Fe3O4NP-treated HUVECs. Suppression of autophagy induction or stimulation of
autophagy flux, at least partially, attenuated the B-Fe3O4NP-induced HUVEC
dysfunction. Additionally, enhanced autophagic activity might be linked to the B
Fe3O4NP-induced production of proinflammatory cytokines. Taken together, these
results demonstrated that B-Fe3O4NPs disturb the process of autophagy in HUVECs,
and eventually lead to endothelial dysfunction and inflammation.
PMID- 26551223
TI - Comparative evaluation of magnetite-graphene oxide and magnetite-reduced graphene
oxide composite for As(III) and As(V) removal.
AB - Arsenic removal using Fe3O4-graphene oxide composite (M-GO) and Fe3O4-reduced
graphene oxide composite (M-rGO) was investigated. The M-GO was more effective to
adsorb both As(III) and As(V) than M-rGO, because the more functional groups
existing on the M-GO could lead to synthesize more Fe3O4 with M-GO. As(III) was
more favorable to be adsorbed than As(V) onto both M-GO and M-rGO. According to
the effect of pH on arsenic removal, the electrostatic interaction between the
positively charged surface of Fe3O4-graphene based adsorbents and anionic As(V)
species was a major factor to adsorb As(V). The adsorption mechanism of As(III),
on the other hand, was strongly affected by a surface complexation, rather than
electrostatic interactions. Consequently, in terms of the process energy
consumption, energy saving could be achieved via omitting the reduction process
to fabricate M-rGO from M-GO and the pre-oxidation process to convert As(III) to
As(V).
PMID- 26551224
TI - Adsorptive desulphurization study of liquid fuels using Tin (Sn) impregnated
activated charcoal.
AB - Keeping in view the growing concern regarding desulphurization of petroleum
products, the present study was under taken to investigate the efficiency of tin
impregnated activated charcoal (Sn-AC) as a potential adsorbent for the
desulphurization of model and real commercial straight run kerosene and diesel
oil samples. The adsorbent Sn-AC was prepared by wet impregnation process in the
laboratory and characterized by SEM, EDX and surface area analysis. Initial
experiments were carried out using model oil, which was prepared by dissolving
dibenzothiophene (DBT) in cyclohexane, the optimum conditions for desulfurization
were found to be, 60 degrees C temperature, 1h contact time and adsorbent dosage
of 0.8g, under which about 99.4% of DBT removal was attained. Under optimized
conditions the desulfurization of real oil i.e., kerosene and diesel oil was also
investigated. Kinetic studies revealed that DBT adsorption followed pseudo second
order kinetics and the data best fits in the Langmuir adsorption isotherm as
compared to Freundlich adsorption isotherm model. The adsorbent could be easily
regenerated simply by washing with toluene for a multiple cycles and reused
without losing its efficiency.
PMID- 26551226
TI - Self-flocculated powdered activated carbon with different oxidation methods and
their influence on adsorption behavior.
AB - The commercial powdered activated carbon (PAC) has been selectively oxidized by
two methods. The two oxidized methods are wet oxidation with ammonium persulfate
and thermal treatment after acidification with hydrochloride acid, respectively.
The two oxidized PAC were then functionalized with thermoresponsive poly (N
isopropylacrylamide) (PNIPAM) in aqueous solution at ambient temperature.
Comparing the two oxidized PAC products and their grafted derivatives, the
oxidized PAC modified with thermal treatment after acidification shows larger
surface area of 1184 m(2)/g and better adsorption of bisphenol A. Its derivative
also exhibits relatively large surface area and adsorption capacity after grafted
with PNIPAM. The maximum surface adsorption capacity simulated under Langmuir
Models reached 156 mg/g. In addition, the grafted PAC products show self
flocculation behaviors with rapid response to temperature because of the thermal
phase transition and entanglement behaviors of PNIPAM. The present study provides
a new way to obtain carboxyl-rich activated carbon with large surface area and
better adsorption capacity. The retrievable grafted PAC with good self
flocculation effect responsive to temperature will have high potential
application in water remediation which requires pre-heating and emergency water
treatment in the wild.
PMID- 26551225
TI - (Bio)transformation of 2,4-dinitroanisole (DNAN) in soils.
AB - Recent studies have begun to assess the environmental fate and toxicity of 2,4
dinitroanisole (DNAN), an insensitive munition compound of interest to defense
agencies. Aerobic and anaerobic DNAN biotransformation in soils was evaluated in
this study. Under aerobic conditions, there was little evidence of
transformation; most observed removal was attributed to adsorption and subsequent
slow chemical reactions. Under anaerobic conditions, DNAN was reductively
(bio)transformed and the rate of the transformation was positively correlated
with soil organic carbon (OC) up to a threshold of 2.07% OC. H2 addition enhanced
the nitroreduction rate compared to endogenous treatments lacking H2. Heat-killed
treatments provided rates similar to the endogenous treatment, suggesting that
abiotic factors play a role in DNAN reduction. Ten (bio)transformation products
were detected by high-resolution mass spectrometry. The proposed transformation
pathway involves reduction of DNAN to aromatic amines, with putative reactive
nitroso-intermediates coupling with the amines to form azo dimers. Secondary
reactions include N-alkyl substitution, O-demethylation (sometimes followed by
dehydroxylation), and removal of an N-containing group. Globally, our results
suggest that the main reaction DNAN undergoes in anaerobic soils is
nitroreduction to 2-methoxy-5-nitroaniline (MENA) and 2,4-diaminoanisole (DAAN),
followed by anaerobic coupling reactions yielding azo-dimers. The dimers were
subsequently subject to further (bio)transformations.
PMID- 26551227
TI - A best comprehension about the toxicity of phenylsulfonyl carboxylates in Vibrio
fischeri using quantitative structure activity/property relationship methods.
AB - Aromatic sulfones comprise a class of chemicals used in agrochemical and
pharmaceutical industries and as floatation and extractant agents in
petrochemical and metallurgy industries. In this study, new QSA(P)R studies were
carried out to predict the toxicity against Vibrio fischeri of a set of 52
aromatic sulfones. The same approach was used to evaluate the relationship
between these endpoint and the water solubility, another important environmental
endpoint. The study resulted in models of good statistical quality and
mechanistic interpretation with a possible correlation between the two endpoints,
but the toxic effect is also likely to depend on other physicochemical
properties. The use of the PLS2, a method not commonly used in QSA(P)R studies,
also produced models of greater reliability, and the relationship between the two
endpoints was reinforced to some degree. These results are useful for better
understanding the process by which these compounds exert their environmental
toxicity, thus aiding in the development of industrially useful compounds with
less potential environmental damage.
PMID- 26551229
TI - Construct Validity of the Trunk Impairment Classification System in Relation to
Objective Measures of Trunk Impairment.
AB - OBJECTIVE: To determine the validity of the Trunk Impairment Classification
system (TIC) with 4 possible scores (0, most impaired; 0.5; 1.0; 1.5, least
impaired) in relation to objective, instrumented measures of impairment. DESIGN:
Cross-sectional design. SETTING: National wheelchair rugby and basketball
competitions of The Netherlands and Belgium. PARTICIPANTS: Volunteer sample of
athletes (N=34) with a minimum of 1-year experience in their sport.
INTERVENTIONS: Static sitting balance tasks on a stable and unstable surface;
dynamic sitting balance tasks in anterior-posterior, left-right, and oblique
directions; and trunk muscle strength tasks in forward, left, right, and backward
directions. MAIN OUTCOME MEASURES: Sway area of the center of pressure in static
sitting balance, maximum excursion of center of pressure displacement in dynamic
sitting balance, and maximum isometric force in trunk muscle strength. RESULTS:
Athletes with TIC score 0 were not able to sit unsupported. The Kruskal-Wallis
test showed a significant difference in trunk muscle strength (P<.001) and
dynamic balance in the oblique direction forward to the left and backward to the
right between the TIC scores (P=.012). Post hoc analysis showed a significant
difference between TIC score 0 and the other TIC scores for trunk muscle strength
in all directions. There was a significant difference between TIC score 1.5 on
one hand and TIC scores 0.5 and 1.0 on the other hand for dynamic balance in the
right oblique direction. CONCLUSIONS: The TIC is a valid scale for trunk
impairment, which measures neuromusculoskeletal trunk impairment, independent of
the health condition causing the impairment. Additional research is needed for
coordination impairment and to assess whether TIC scores 0.5 and 1.0 should
continue as separate scores.
PMID- 26551228
TI - Measurement of Voluntary Cough Production and Airway Protection in Parkinson
Disease.
AB - OBJECTIVE: To examine relations between peak expiratory (cough) airflow rate and
swallowing symptom severity in participants with Parkinson disease (PD). DESIGN:
Cross-sectional study. SETTING: Outpatient radiology clinic at an acute care
hospital. PARTICIPANTS: Men and women with PD (N=68). INTERVENTIONS: Participants
were cued to cough into an analog peak flow meter then swallowed three 20-mL thin
liquid barium boluses. Analyses were directed at detecting potential relations
among disease severity, swallowing symptom severity, and peak expiratory (cough)
airflow rate. MAIN OUTCOME MEASURES: Peak expiratory (cough) airflow rate and
swallow symptom severity. RESULTS: Peak expiratory (cough) airflow rate varied
significantly across swallowing severity classifications. Participants with more
severe disease displayed a significant, linear decrease in peak expiratory
(cough) airflow rate than those participants with earlier stage, less severe
disease. Swallowing symptom severity varied significantly across groups when
comparing participants with less severe PD with those with more severe PD.
Participants with early stage PD demonstrated little to no swallowing symptoms
and had the highest measures of peak expiratory (cough) airflow rate. In
contrast, participants with the most severe swallowing symptoms also displayed
the lowest measures of peak expiratory (cough) airflow rate. CONCLUSIONS:
Relations existed among PD severity, swallowing symptom severity, and peak
expiratory (cough) airflow rate in participants with PD. Peak expiratory (cough)
airflow rate may eventually stand as a noninvasive predictor of aspiration risk
in those with PD, particularly those with later stage disease. Inclusion of peak
expiratory (cough) airflow rates into existing clinical swallowing assessments
may increase the sensitivity and predictive validity of these assessments.
PMID- 26551230
TI - Comparison of a Cognitive-Behavioral Coping Skills Group to a Peer Support Group
in a Brain Injury Population.
AB - OBJECTIVE: To compare the efficacy of 2 group treatments for persons with brain
injury (BI) and their caregivers in promoting perceived self-efficacy (PSE) and
emotional and neurobehavioral functioning. DESIGN: Randomized controlled trial.
SETTING: Outpatient BI rehabilitation. PARTICIPANTS: Subjects (N=38), including
19 with BI and 19 caregivers, participated in a BI coping skills group or a
support group. INTERVENTIONS: BI coping skills is a manualized cognitive
behavioral treatment (CBT). CBT was compared with a structurally equivalent
support group. MAIN OUTCOME MEASURES: Brain Injury Coping Skills Questionnaire
(PSE), Brief Symptom Inventory-18 ([BSI-18]; emotional distress), and Frontal
Systems Behavior Scale (neurobehavioral functions). RESULTS: There were no
significant differences between survivors and caregivers on the Brain Injury
Coping Skills Questionnaire and BSI-18; therefore, groups were combined during
final analyses. Frontal Systems Behavior Scale caregiver data were used for
analysis. Both groups showed significantly improved PSE between baseline and
follow-up on repeated-measures analysis of variance, with the CBT group showing
greater stabilization of change. There was no significant group by time
interaction on measures of neurobehavioral functions, but the CBT group showed
significant improvements at 3-month follow-up. No significant effects were found
on the BSI-18. CONCLUSIONS: To our knowledge, no studies to date have been
published comparing a CBT intervention with a support group in a BI population
with caregiver participation. This study showed that given equivalent group
structure, individuals with BI and caregivers may benefit from either type of
intervention in enhancing PSE or maintaining emotional stability. However, there
was a trend for individuals who received CBT to maintain the effects of improved
PSE, whereas support group participants showed a trend for decline. This study
offers a new conceptualization that with certain group dynamics and support,
individuals with BI and caregivers may benefit similarly from either a support
group or CBT intervention. However, because our sample did not include
individuals in clinically significant emotional distress, we cannot rule out the
possibility that those with more significant challenges in PSE or emotional
functions may show greater benefits with a CBT group.
PMID- 26551232
TI - Nonequilibrium-Plasma-Synthesized ZnO Nanocrystals with Plasmon Resonance Tunable
via Al Doping and Quantum Confinement.
AB - Metal oxide semiconductor nanocrystals (NCs) exhibit localized surface plasmon
resonances (LSPRs) tunable within the infrared (IR) region of the electromagnetic
spectrum by vacancy or impurity doping. Although a variety of these NCs have been
produced using colloidal synthesis methods, incorporation and activation of
dopants in the liquid phase has often been challenging. Herein, using Al-doped
ZnO (AZO) NCs as an example, we demonstrate the potential of nonthermal plasma
synthesis as an alternative strategy for the production of doped metal oxide NCs.
Exploiting unique, thoroughly nonequilibrium synthesis conditions, we obtain NCs
in which dopants are not segregated to the NC surfaces and local doping levels
are high near the NC centers. Thus, we achieve overall doping levels as high as 2
* 10(20) cm(-3) in NCs with diameters ranging from 12.6 to 3.6 nm, and for the
first time experimentally demonstrate a clear quantum confinement blue shift of
the LSPR energy in vacancy- and impurity-doped semiconductor NCs. We propose that
doping of central cores and heavy doping of small NCs are achievable via
nonthermal plasma synthesis, because chemical potential differences between
dopant and host atoms-which hinder dopant incorporation in colloidal synthesis
are irrelevant when NC nucleation and growth proceed via irreversible
interactions among highly reactive gas-phase ions and radicals and ligand-free NC
surfaces. We explore how the distinctive nucleation and growth kinetics occurring
in the plasma influences dopant distribution and activation, defect structure,
and impurity phase formation.
PMID- 26551231
TI - Tomato MBD5, a methyl CpG binding domain protein, physically interacting with UV
damaged DNA binding protein-1, functions in multiple processes.
AB - In tomato (Solanum lycopersicum), high pigment mutations (hp-1 and hp-2) were
mapped to genes encoding UV-damaged DNA binding protein 1 (DDB1) and de-etiolated
1 (DET1), respectively. Here we characterized a tomato methyl-CpG-binding domain
protein SlMBD5 identified by yeast two-hybrid screening using SlDDB1 as a bait.
Yeast two-hybrid assay demonstrated that the physical interaction of SlMBD5 with
SlDDB1 is mediated by the C-termini of SlMBD5 and the beta-propeller-C (BPC) of
SlDDB1. Co-immunoprecipitation analyses revealed that SlMBD5 associates with
SlDDB1-interacting partners including SlDET1, SlCUL4, SlRBX1a and SlRBX1b in
vivo. SlMBD5 was shown to target to nucleus and dimerizes via its MBD motif.
Electrophoresis mobility shift analysis suggested that the MBD of SlMBD5
specifically binds to methylated CpG dinucleotides but not to methylated CpHpG or
CpHpH dinucleotides. SlMBD5 expressed in protoplast is capable of activating
transcription of CG islands, whereas CUL4/DDB1 antagonizes this effect.
Overexpressing SlMBD5 resulted in diverse developmental alterations including
darker green fruits with increased plastid level and elevated pigmentation, as
well as enhanced expression of SlGLK2, a key regulator of plastid biogenesis.
Taken together, we hypothesize that the physical interaction of SlMBD5 with the
CUL4-DDB1-DET1 complex component may affect its binding activity to methylated
DNA and subsequently attenuate its transcription activation of downstream genes.
PMID- 26551233
TI - Administration of Ferric Citrate Hydrate Decreases Circulating FGF23 Levels
Independently of Serum Phosphate Levels in Hemodialysis Patients with Iron
Deficiency.
AB - BACKGROUND/AIM: Dietary phosphate intake and vitamin D receptor activator (VDRA)
regulate fibroblast growth factor 23 (FGF23); iron may modulate FGF23 metabolism.
We aimed to determine whether oral iron supplementation influences serum FGF23
concentration in hemodialysis (HD) patients, while excluding the effect of
dietary phosphate intake. METHODS: This prospective study enrolled 27 maintenance
HD patients with iron deficiency and hyperphosphatemia treated with sevelamer
HCl. The phosphate binder was changed from sevelamer-HCl to ferric citrate
hydrate (FCH) to maintain constant phosphate levels. VDRA, other phosphate
binders, and cinacalcet HCl were not changed. Serum intact FGF23, C-terminal
FGF23 (C-term FGF23), intact parathyroid hormone (PTH), 1,25(OH)2D and other
parameters were monitored for 12 weeks. RESULTS: Serum phosphate levels (5.89 +/-
1.45 mg/dl at baseline, 5.54 +/- 1.35 mg/dl at 12 weeks) and 1,25(OH)2D levels
were unchanged. Serum ferritin levels increased from 25.6 +/- 24.3 ng/ml at
baseline to 55.8 +/- 33.5 ng/ml at 12 weeks with FCH administration. Serum intact
FGF23 and C-term FGF23 levels significantly decreased at 12 weeks compared with
baseline (2,000 (1,300.0-3,471.4) to 1,771.4 (1,142.9-2,342.9) pg/ml, p = 0.01,
and 1,608.7 (634.8-2,308.7) to 1,165.2 (626.1-1,547.8) RU/ml, p = 0.007,
respectively); serum intact PTH levels significantly increased (96 (65-125) to
173 (114-283) pg/ml, p < 0.001). CONCLUSIONS: Oral FCH administration decreased
serum intact FGF23 and C-term FGF23 levels and increased intact PTH levels;
phosphate and 1,25(OH)2D levels were unchanged. Oral FCH administration to treat
iron deficiency is a possible strategy for reducing serum FGF23 levels
independent of phosphate and VDRA.
PMID- 26551234
TI - Effectiveness of Endoluminal Vacuum-assisted Closure Therapy (Endosponge) for the
Treatment of Pelvic Anastomotic Leakage After Colorectal Surgery.
AB - Anastomotic leakage is a serious complication with significant morbidity and
mortality. The popularity of endoscopic treatment (Endo-SPONGE) is increasing for
distally located colorectal pouch-anal anastomotic leakages. This was a
retrospective study of 15 cases involving the application of the Endo-SPONGE for
anastomotic leakage following proctectomy between May 2009 and May 2014. Of the
15 cases, lower anterior resection occurred in 12 cases (80%), and pouch-anal
anastomosis in 3 cases (18%). In 8 patients (55%), the endosponge was applied
during the early term, and in 7 patients (45%) during the late term. The average
number of applications was 2.2 (range, 1 to 5). Treatment was discontinued due to
the progression of pelvic sepsis in 2 patients and due to bleeding in 1 patient.
Lumen integrity was achieved in 12 cases. Lumen integrity after anastomotic
leakages can be preserved by endoluminal vacuum therapy, which permits the
closure of the stoma without requiring reconstructive surgery.
PMID- 26551235
TI - Laparoscopic Cecostomy Tube Placement.
AB - Fecal incontinence is a debilitating problem for many children, especially those
with anorectal malformations. Historically, surgical options have included the
Malone antegrade continence enema, using an appendicostomy for antegrade colonic
enemas. Since the development of this procedure, multiple alternatives have been
developed, including the Chait cecostomy tube. Here, we present our technique for
laparoscopic cecostomy tube placement. We find that this approach has several
advantages, including mobilization of the cecum to allow the tube to be placed
below the waistline for optimal cosmesis and comfort, increased safety provided
by direct vision of needle access to the cecum, and increased security of the
cecum to the abdominal wall allowing for safer tube replacement should it become
dislodged in the early postoperative period.
PMID- 26551236
TI - Endoscopic Web Localization for Laparoscopic Duodenal Web Excision.
AB - When performing an open duodenal web excision, it is helpful to identify the web
using a nasogastric tube because it is often difficult to determine where the web
origin is located when looking at the serosal side of the bowel. However, it may
be challenging to navigate the nasogastric tube to the web during laparoscopy. We
present a novel technique that utilizes intraoperative endoscopy to precisely
identify the location of the duodenal web, facilitating laparoscopic excision.
Intraoperative endoscopy was implemented in the case of a 3-month-old boy
undergoing laparoscopic excision of a duodenal web. With endoscopic visualization
and transillumination, the duodenal web was precisely identified and excised
laparoscopically. A supplemental video of the case presentation and technique is
provided in the online version of this manuscript (Supplemental Digital Content
1, http://links.lww.com/SLE/A134). The procedure was completed successfully and
the patient did well postoperatively. Flexible endoscopy is a useful adjunct for
duodenal web localization during laparoscopy, improving on the previous method of
estimating the location based on a change in duodenal caliber.
PMID- 26551237
TI - Comparative analysis of the mechanisms of sulfur anion oxidation and reduction by
dsr operon to maintain environmental sulfur balance.
AB - Sulfur metabolism is one of the oldest known redox geochemical cycles in our
atmosphere. These redox processes utilize different sulfur anions and the
reactions are performed by the gene products of dsr operon from phylogenetically
diverse sets of microorganisms. The operon is involved in the maintenance of
environmental sulfur balance. Interestingly, the dsr operon is found to be
present in both sulfur anion oxidizing and reducing microorganisms and in both
types of organisms DsrAB protein complex plays a vital role. Though there are
various reports regarding the genetics of dsr operon there are practically no
reports dealing with the structural aspects of sulfur metabolism by dsr operon.
In our present study, we tried to compare the mechanisms of sulfur anion
oxidation and reduction by Allochromatium vinosum and Desulfovibrio vulgaris
respectively through DsrAB protein complex. We analyzed the modes of bindings of
sulfur anions to the DsrAB protein complex and observed that for sulfur anion
oxidizers, sulfide and thiosulfate are the best substrates whereas for reducers
sulfate and sulfite have the best binding abilities. We analyzed the binding
interaction pattern of the DsrA and DsrB proteins while forming the DsrAB protein
complexes in Desulfovibrio vulgaris and Allochromatium vinosum. To our knowledge
this is the first report that analyzes the differences in binding patterns of
sulfur substrates with DsrAB protein from these two microorganisms. This study
would therefore be essential to predict the biochemical mechanism of sulfur anion
oxidation and reduction by these two microorganisms i.e., Desulfovibrio vulgaris
(sulfur anion reducer) and Allochromatium vinosum (sulfur anion oxidizer). Our
observations also highlight the mechanism of sulfur geochemical cycle which has
important implications in future study of sulfur metabolism as it has a huge
application in waste remediation and production of industrial bio-products viz.
vitamins, bio-polyesters and bio-hydrogen.
PMID- 26551238
TI - Neuroethical implications of deep brain stimulation in degenerative disorders.
AB - PURPOSE OF REVIEW: The use of deep brain stimulation (DBS) in degenerative
diseases involving cognitive impairment raises important ethical issues. This
review takes into account the previous publications on the ethical issues of DBS
to re-evaluate this technique in the framework of cognitive degenerative
diseases, especially Alzheimer's disease and dementia associated to Parkinson's
disease. RECENT FINDINGS: The serendipitous discovery of the properties of DBS in
memory enhancement fostered the expectations of the patients, the experts, and
the industry involved in the production of the devices. Nonetheless, there is
still a lack of evidence of its effectiveness in slowing or stopping the
evolution of the initial cognitive decline into dementia, and a lack of a
cognitive model to explain its effects on the memory circuit. SUMMARY: Applying
the principles for the ethical assessment of new treatments in biomedicine to the
use of DBS in cognitive impairment and especially in memory loss, we conclude
that any use of this technique for this indication should be reserved to
experimental settings, with clear protocols and strict inclusion criteria for the
selection of the possible candidates for surgery.
PMID- 26551239
TI - Tumor treating fields: a new standard treatment for glioblastoma?
AB - PURPOSE OF REVIEW: Tumor treating fields (TTFields), an external therapeutic
device with antimitotic properties, is a Food and Drug Administration approved
treatment for recurrent glioblastoma (GBM) that has been reported to be
efficacious in newly diagnosed GBM as well. RECENT FINDINGS: Preclinical data
show that TTFields is an antimitotic agent that additionally augments response to
alkylator-based chemotherapy. In a single study, nearly 15% of recurrent GBM
treated with TTFields alone display durable responses. Responses may be delayed,
sometimes after an initial progression, and are highly correlated to treatment
compliance and to survival. In newly diagnosed GBM, a preplanned interim analysis
of the phase III randomized trial (standard of care with or without TTFields)
showed a statistically significant effect of TTFields resulting in a net gain of
3 months in both progression-free and overall survival. SUMMARY: TTFields is a
novel noninvasive therapeutic option for recurrent GBM. The role of TTFields in
newly diagnosed GBM will be adjudicated pending publication of the final results
of the randomized EF-14 trial. If these results are compelling, this may result
in accelerated approval and potentially a new standard of care for newly
diagnosed GBM.
PMID- 26551241
TI - PCR-mediated gene modification strategy for construction of fluorescent protein
fusions in Candida parapsilosis.
AB - Candida parapsilosis is a common cause of invasive candidiasis, especially in
premature infants, even surpassing Candida albicans as the most frequently
identified Candida species in some newborn intensive care units. Whereas many
molecular tools are available to facilitate the study of C. albicans, relatively
few have been developed for C. parapsilosis. In this study, we show that plasmids
harbouring green, yellow and mCherry fluorescent protein sequences, previously
developed for expression in C. albicans, can be used to construct fluorescent
fusion proteins in C. parapsilosis by PCR-mediated gene modification. Further,
the strategy can be used in clinical isolates of C. parapsilosis, which are
typically prototrophic, because the plasmids include NAT1, a dominant selectable
trait that confers resistance to the antibiotic nourseothricin. Overall, these
tools will be useful to yeast researchers who require the ability to visualize C.
parapsilosis directly, e.g. in in vitro and in vivo infection models. In
addition, this strategy can be used to generate fluorescence in other C.
parapsilosis clinical isolates and to tag sequences of interest for protein
localization studies. Lastly, the ability to express up to three different
fluorescent proteins will allow researchers to visualize and differentiate C.
parapsilosis and/or C. albicans clinical isolates from each other in mixed
infection models.
PMID- 26551242
TI - Silicone Gel Breast Implants: What We Know About Safety After All These Years.
PMID- 26551244
TI - Explosive generalization of nodular vasculitis - Mycobacterium marinum challenges
the paradigm.
PMID- 26551243
TI - Physicochemical Properties of Starch Isolated from Bracken (Pteridium aquilinim)
Rhizome.
AB - Bracken (Pteridium aquilinum) is an important wild plant starch resource
worldwide. In this work, starch was separated from bracken rhizome, and the
physicochemical properties of this starch were systematically investigated and
compared with 2 other common starches, that is, starches from waxy maize and
potato. There were significant differences in shape, birefringence patterns, size
distribution, and amylose content between bracken and the 2 other starches. X-ray
diffraction analysis revealed that bracken starch exhibited a typical C-type
crystalline structure. Bracken starch presented, respectively, lower and higher
relative degree of crystallinity than waxy maize and potato starches. Ordered
structures in particle surface differed among these 3 starches. The swelling
power tendency of bracken starch in different temperature intervals was very
similar to that of potato starch. The viscosity parameters during gelatinization
were the lowest in waxy maize, followed by bracken and potato starches. The
contents of 3 nutritional components, that is, rapidly digestible, slowly
digestible, and resistant starches in native, gelatinized, and retrograded starch
from bracken rhizome presented more similarities with potato starch than waxy
maize starch. These finding indicated that physicochemical properties of bracken
starch showed more similarities with potato starch than waxy maize starch.
PMID- 26551245
TI - Two new abietane diterpenoid glycosides from Clinopodium chinense.
AB - Two new abietane diterpenoid glycosides, named clinopoditerpenes B (1) and C (2),
were isolated from Clinopodium chinese. The structures of the new compounds were
determined on the basis of extensive spectral analysis. Compound 1 exhibited
cardioprotective effect against H2O2-induced apoptosis in H9c2 cells.
PMID- 26551246
TI - Influence of Copper Oxidation State on the Bonding and Electronic Structure of
Cobalt-Copper Complexes.
AB - Heterobimetallic complexes that pair cobalt and copper were synthesized and
characterized by a suite of physical methods, including X-ray diffraction, X-ray
anomalous scattering, cyclic voltammetry, magnetometry, electronic absorption
spectroscopy, electron paramagnetic resonance, and quantum chemical methods. Both
Cu(II) and Cu(I) reagents were independently added to a Co(II) metalloligand to
provide (py3tren)CoCuCl (1-Cl) and (py3tren)CoCu(CH3CN) (2-CH3CN), respectively,
where py3tren is the triply deprotonated form of N,N,N-tris(2-(2
pyridylamino)ethyl)amine. Complex 2-CH3CN can lose the acetonitrile ligand to
generate a coordination polymer consistent with the formula "(py3tren)CoCu" (2).
One-electron chemical oxidation of 2-CH3CN with AgOTf generated (py3tren)CoCuOTf
(1-OTf). The Cu(II)/Cu(I) redox couple for 1-OTf and 2-CH3CN is reversible at
0.56 and -0.33 V vs Fc(+)/Fc, respectively. The copper oxidation state impacts
the electronic structure of the heterobimetallic core, as well as the nature of
the Co-Cu interaction. Quantum chemical calculations showed modest electron
delocalization in the (CoCu)(+4) state via a Co-Cu sigma bond that is weakened by
partial population of the Co-Cu sigma antibonding orbital. By contrast, no
covalent Co-Cu bonding is predicted for the (CoCu)(+3) analogue, and the d
electrons are fully localized at individual metals.
PMID- 26551247
TI - Matrix-assisted laser desorption/ionization time of flight mass spectrometry
(MALDI-TOF MS) and Bayesian phylogenetic analysis to characterize Candida
clinical isolates.
AB - Clinical Candida isolates from two different hospitals in Rome were identified
and clustered by MALDI-TOF MS system and their origin and evolution estimated by
Bayesian phylogenetic analysis. The different species of Candida were correctly
identified and clustered separately, confirming the ability of these techniques
to discriminate between different Candida species. Focusing MALDI-TOF analysis on
a single Candida species, Candida albicans and Candida parapsilosis strains
clustered differently for hospital setting as well as for period of isolation
than Candida glabrata and Candida tropicalis isolates. The evolutionary rates of
C. albicans and C. parapsilosis (1.93*10(-2) and 1.17*10(
2)substitutions/site/year, respectively) were in agreement with a higher rate of
mutation of these species, even in a narrow period, than what was observed in C.
glabrata and C. tropicalis strains (6.99*10(-4) and 7.52*10(
3)substitutions/site/year, respectively). C. albicans resulted as the species
with the highest between and within clades genetic distance values in agreement
with the temporal-related clustering found by MALDI-TOF and the high evolutionary
rate 1.93*10(-2)substitutions/site/year.
PMID- 26551249
TI - Control of I-V hysteresis in CH3NH3PbI3 perovskite solar cell.
AB - Mismatch of current (I)-voltage (V) curves with respect to the scan direction, so
called I-V hysteresis, raises critical issue in MAPbI3 (MA = CH3NH3) perovskite
solar cell. Although ferroelectric and ion migration have been proposed as a
basis for the hysteresis, origin of hysteresis has not been apparently unraveled.
We report here on the origin of I-V hysteresis of perovskite solar cell that was
systematically evaluated by the interface-dependent electrode polarizations.
Frequency (f)-dependent capacitance (C) revealed that the normal planar structure
with the TiO2/MAPbI3/spiro-MeOTAD configuration showed most significant I-V
hysteresis along with highest capacitance (10(-2) F/cm(2)) among the studied cell
configurations. Substantial reduction in capacitance to 10(-3) F/cm(2) was
observed upon replacing TiO2 with PCBM, indicative of the TiO2 layer being mainly
responsible for the hysteresis. The capacitance was intensively reduced to 10(-5)
F/cm(2) and C-f feature shifted to higher frequency for the hysteresis-free
planar structures with combination of PEDOT: PSS, NiO, and PCBM, which underlines
the spiro-MeOTAD in part contributes to the hysteresis. This work is expected to
provide a key to the solution of the problem on I-V hysteresis in perovskite
solar cell.
PMID- 26551248
TI - Pyrrolo[3,4-c]pyridine-1,3(2H)-diones: A Novel Antimycobacterial Class Targeting
Mycobacterial Respiration.
AB - High-throughput screening of a library of small polar molecules against
Mycobacterium tuberculosis led to the identification of a phthalimide-containing
ester hit compound (1), which was optimized for metabolic stability by replacing
the ester moiety with a methyl oxadiazole bioisostere. A route utilizing polymer
supported reagents was designed and executed to explore structure-activity
relationships with respect to the N-benzyl substituent, leading to compounds with
nanomolar activity. The frontrunner compound (5h) from these studies was well
tolerated in mice. A M. tuberculosis cytochrome bd oxidase deletion mutant
(DeltacydKO) was hyper-susceptible to compounds from this series, and a strain
carrying a single point mutation in qcrB, the gene encoding a subunit of the
menaquinol cytochrome c oxidoreductase, was resistant to compounds in this
series. In combination, these observations indicate that this novel class of
antimycobacterial compounds inhibits the cytochrome bc1 complex, a validated drug
target in M. tuberculosis.
PMID- 26551250
TI - Correction to ametoctradin is a potent qo site inhibitor of the mitochondrial
respiration complex III.
PMID- 26551251
TI - The Contributions of Segmental and Suprasegmental Information in Reading Chinese
Characters Aloud.
AB - The Chinese writing system provides an excellent case for testing the
contribution of segmental and suprasegmental information in reading words aloud
within the same language. In logographic Chinese characters, neither segmental
nor tonal information is explicitly represented, whereas in Pinyin, an alphabetic
transcription of the character, both are explicitly represented. Two primed
naming experiments were conducted in which the targets were always written
characters. When logographic characters served as the primes (Experiment 1),
syllable segmental and tonal information appeared to be represented and encoded
as an integral unit which in turn facilitated target character naming. When
Pinyin served as the primes (Experiment 2), the explicit phonetic representation
facilitated encoding of both segmental and suprasegmental information, but with
later access to suprasegmental information. In addition, Chinese speakers were
faster to name characters than Pinyin in a simple naming task (Experiment 3),
suggesting that Pinyin may be read via a phonological assembly route, whereas
characters may be read via a lexical route. Taken together, our findings point to
the need to consider the contributions of both segmental and suprasegmental
information and the time course in the well-established models for reading aloud,
as well as the cognitive mechanisms underlying the reading aloud of logographic
characters versus alphabetic Pinyin script.
PMID- 26551252
TI - School engagement, acculturation, and mental health among migrant adolescents in
Israel.
AB - This study aimed to explore the role of school engagement and the mediation
effect of acculturation in predicting 1.5 and second-generation migrant
adolescents' mental health and risk behaviors. Participants included 448 seventh
to tenth grade Israeli students (mean age 14.50, 53% boys): 128 non-Jewish 1.5
generation migrant adolescents (children of migrants living in Israel), 118
second-generation migrants (children of migrants born and living in Israel), and
an age-matched sample of 202 native-born Jewish adolescents. All participants
completed a battery of questionnaires assessing mental health symptoms,
engagement in risk behaviors, social adjustment, and school engagement. Both
migrant adolescent groups also completed an acculturation questionnaire.
Differences between groups in school engagement, mental health symptoms, and risk
behavior were examined, and structural equation modeling (SEM) was used to
investigate the hypothesized mediating effect of acculturation. Findings revealed
substantially higher levels of mental health symptoms (p < .001) and risk
behaviors (p = .001) among 1.5 and second-generation migrant adolescents compared
with native-born adolescents, with no significant differences between 1.5
generation and second-generation migrants. Migrants' age and gender were
associated with mental health symptoms and risk behaviors-older participants
engaged in more risk behaviors (p = .02), and females had elevated mental health
symptoms (p = .007). Identification with the host country mediated the
relationships between school engagement and mental health symptoms (ps .006 and
.008) and risk behaviors (ps .001 and .004) in 1.5 generation and second
generation migrants, respectively. The results are discussed in reference to
current theories and research, as well as practical implications for prevention
and intervention. (PsycINFO Database Record
PMID- 26551253
TI - Latino immigration: Preparing school psychologists to meet students' needs.
AB - As the population of immigrant Latino students continues to rise, school
psychologists serving Latino children and families must develop the knowledge and
skills necessary to provide high-quality psychological services to culturally and
linguistically diverse students from immigrant families. Following a review of
the relevant literature on the educational, social, and emotional needs of
immigrant Latino children, we describe Project SUPERB (Scholars Using Psychology
and Education to Reach Bilinguals), a grant-funded initiative to address the
shortage of bilingual (Spanish-English) school psychologists. We discuss
important issues regarding training and preparation to develop the competencies
necessary for effective assessment, intervention, and collaboration in the
context of school settings to help immigrant children achieve success. Finally,
we identify ways in which school psychologists may adopt a leadership role in
working with schools and families to promote positive outcomes. (PsycINFO
Database Record
PMID- 26551254
TI - Understanding the relationship between inattention and early literacy
trajectories in kindergarten.
AB - The purpose of this study was to examine the relationship between inattention,
academic enabling behaviors (i.e., motivation, engagement, and interpersonal
skills), and early literacy outcomes. Kindergarten students (N = 181; 55.2% male;
62% white) from two research sites (Southeastern U.S. and Eastern Canada) were
assessed using the Letter Naming and Letter Sound Fluency AIMSweb Tests of Early
Literacy (Shinn & Shinn, 2012) at three points across the school year. Their
teachers provided information on the level of attention-deficit/hyperactivity
disorder symptoms (ADHD Symptom Checklist-4; Gadow & Sprafkin, 2008) and academic
enabling behaviors (Academic Competence Evaluation Scales; DiPerna & Elliott,
2000). Structural equation modeling (SEM) was used to determine predictors of
initial level and growth in early literacy. Specifically, a series of models were
tested to determine if a multidimensional model of academic enablers (AEs)
mediated the relationship. Engagement predicted students' initial levels of early
literacy, suggesting that this is an important mediator to consider between
inattention and early literacy skills. Motivation related positively to
engagement. Inattention also predicted both motivation and interpersonal skills
in the negative direction. These findings suggest that AEs play an important role
in the relationship between inattention and early literacy. AEs provide malleable
targets for intervention and should be considered when developing intervention
for youth at risk for academic failure. (PsycINFO Database Record
PMID- 26551256
TI - Generalized acute subcutaneous edema as a rare cutaneous manifestation of severe
dermatomyositis.
PMID- 26551255
TI - miR-599 Inhibits Vascular Smooth Muscle Cells Proliferation and Migration by
Targeting TGFB2.
AB - Aberrant proliferation and migration of vascular smooth muscle cells (VSMCs) play
a crucial role in the pathogenesis of cardiovascular diseases including coronary
heart disease, restenosis and atherosclerosis. MicroRNAs are a class of small,
non-coding and endogenous RNAs that play critical roles in VSMCs function. In
this study, we showed that PDGF-bb, as a stimulant, promoted VSMCs proliferation
and suppressed the expression of miR-599. Moreover, overexpression of miR-599
inhibited VSMCs proliferation and also suppressed the PCNA and ki-67 expression.
In addition, we demonstrated that ectopic expression of miR-599 repressed the
VSMCs migration. We also showed that miR-599 inhibited type I collagen, type V
collagen and proteoglycan expression. Furthermore, we identified TGFb2 as a
direct target gene of miR-599 in VSMCs. Overexpression of TGFb2 reversed miR-599
induced inhibition of VSMCs proliferation and type I collagen, type V collagen
and proteoglycan expression. In conclusion, our findings suggest miR-599 plays a
crucial role in controlling VSMCs proliferation and matrix gene expression by
regulating TGFb2 expression.
PMID- 26551257
TI - Spleen preservation versus splenectomy in laparoscopic total gastrectomy with D2
lymphadenectomy for gastric cancer: A comparison of short-term outcomes.
AB - INTRODUCTION: Splenic hilar lymph node dissection via a splenectomy for advanced
proximal gastric cancer remains controversial. Recently, a laparoscopic spleen
preserving hilar lymph node dissection procedure was described in several
publications. To assess the feasibility and safety of spleen-preserving
laparoscopic total gastrectomy with D2 lymphadenectomy (LTG-D2), the present
retrospective study compared the short-term surgical outcomes between spleen
preservation and splenectomy during laparoscopic D2 total gastrectomy (LTG-D2S).
METHOD: This study included 59 patients who underwent LTG-D2 and 19 patients who
underwent LTG-D2S. RESULTS: The mean operation time did not significantly differ
between the LTG-D2 and LTG-D2S groups (339.4 +/- 56.8 vs 356.8 +/- 46.0 min). The
mean blood loss tended to be smaller in the LTG-D2 group than in the LTG-D2S
group (105.9 +/- 89.7 vs 210.0 +/- 149.5 mL). The mean number of retrieved lymph
nodes did not significantly differ between the LTG-D2 and LTG-D2S groups (39.9 +/
17.0 vs 40.6 +/- 14.9), and the mean number of retrieved lymph nodes at the
splenic hilum also did not significantly differ between the LTG-D2 and LTG-D2S
groups (1.3 +/- 1.7 vs 2.4 +/- 2.6). Mild pancreatic fistula occurred in three
cases (5%) in the LTG-D2 group and in three cases (15.8%) in the LTG-D2S group.
CONCLUSION: A LTG-D2 is feasible in terms of the short-term outcomes. However,
the indications for this complicated procedure should be considered carefully.
PMID- 26551258
TI - Efficacy and Feasibility of Salvage Living Donor Liver Transplantation after
Initial Liver Resection in Patients with Hepatocellular Carcinoma.
AB - BACKGROUND/AIMS: Liver transplantation (LT) is promising method of treatment for
hepatocellular carcinoma (HCC) patients, but is limited by donor organ shortages
and tumor progression during long wait periods. This study investigated the
efficacy of salvage living donor LT (LDLT) after initial liver resection (LR) in
HCC patients. METHODS: Sixty patients with HCC who underwent primary LDLT (n =
45) or salvage LDLT after initial LR (n = 15) were enrolled. Significant
prognostic variables determined by univariate analysis were subjected to
multivariate analysis using a Cox proportional hazard regression model. Cox
proportional hazards models with inverse probability of treatment weighting
(IPTW) based on propensity score were used to adjust for selection bias between
groups. RESULTS: The salvage group had significantly higher Child-Pugh class A (p
= 0.003), >=3 pretransplant treatments (p = 0.007), and reoperation rates for
postoperative bleeding (p = 0.032) than the primary LDLT group, whereas overall
and recurrence-free survival rates were comparable. After IPTW matching, the
salvage LDLT group had significantly more reoperations for postoperative bleeding
(hazard ratio 7.948, p = 0.017). CONCLUSIONS: First-line LR followed by salvage
LDLT allows survival equal to that of primary LDLT. Salvage LDLT following
primary LR could be an effective therapy.
PMID- 26551259
TI - Patterns of Institutional Review of Percutaneous Coronary Intervention
Appropriateness and the Effect on Quality of Care and Clinical Outcomes.
PMID- 26551260
TI - Don't throw the baby out with the bathwater: Depressive traits are part and
parcel of neuroticism.
PMID- 26551262
TI - Leachate/domestic wastewater aerobic co-treatment: A pilot-scale study using
multivariate analysis.
AB - Multivariate analysis was used to identify the variables affecting the
performance of pilot-scale activated sludge (AS) reactors treating old leachate
from a landfill and from domestic wastewater. Raw leachate was pre-treated using
air stripping to partially remove the total ammoniacal nitrogen (TAN). The
control AS reactor (AS-0%) was loaded only with domestic wastewater, whereas the
other reactor was loaded with mixtures containing leachate at volumetric ratios
of 2 and 5%. The best removal efficiencies were obtained for a ratio of 2%, as
follows: 70 +/- 4% for total suspended solids (TSS), 70 +/- 3% for soluble
chemical oxygen demand (SCOD), 70 +/- 4% for dissolved organic carbon (DOC), and
51 +/- 9% for the leachate slowly biodegradable organic matter (SBOM). Fourier
transform infrared (FTIR) spectroscopic analysis confirmed that most of the SBOM
was removed by partial biodegradation rather than dilution or adsorption of
organics in the sludge. Nitrification was approximately 80% in the AS-0% and AS
2% reactors. No significant accumulation of heavy metals was observed for any of
the tested volumetric ratios. Principal component analysis (PCA) and partial
least squares (PLS) indicated that the data dimension could be reduced and that
TAN, SCOD, DOC and nitrification efficiency were the main variables that affected
the performance of the AS reactors.
PMID- 26551261
TI - Automated retinofugal visual pathway reconstruction with multi-shell HARDI and
FOD-based analysis.
AB - Diffusion MRI tractography provides a non-invasive modality to examine the human
retinofugal projection, which consists of the optic nerves, optic chiasm, optic
tracts, the lateral geniculate nuclei (LGN) and the optic radiations. However,
the pathway has several anatomic features that make it particularly challenging
to study with tractography, including its location near blood vessels and bone
air interface at the base of the cerebrum, crossing fibers at the chiasm,
somewhat-tortuous course around the temporal horn via Meyer's Loop, and multiple
closely neighboring fiber bundles. To date, these unique complexities of the
visual pathway have impeded the development of a robust and automated
reconstruction method using tractography. To overcome these challenges, we
develop a novel, fully automated system to reconstruct the retinofugal visual
pathway from high-resolution diffusion imaging data. Using multi-shell, high
angular resolution diffusion imaging (HARDI) data, we reconstruct precise fiber
orientation distributions (FODs) with high order spherical harmonics (SPHARM) to
resolve fiber crossings, which allows the tractography algorithm to successfully
navigate the complicated anatomy surrounding the retinofugal pathway. We also
develop automated algorithms for the identification of ROIs used for fiber bundle
reconstruction. In particular, we develop a novel approach to extract the LGN
region of interest (ROI) based on intrinsic shape analysis of a fiber bundle
computed from a seed region at the optic chiasm to a target at the primary visual
cortex. By combining automatically identified ROIs and FOD-based tractography, we
obtain a fully automated system to compute the main components of the retinofugal
pathway, including the optic tract and the optic radiation. We apply our method
to the multi-shell HARDI data of 215 subjects from the Human Connectome Project
(HCP). Through comparisons with post-mortem dissection measurements, we
demonstrate the retinotopic organization of the optic radiation including a
successful reconstruction of Meyer's loop. Then, using the reconstructed optic
radiation bundle from the HCP cohort, we construct a probabilistic atlas and
demonstrate its consistency with a post-mortem atlas. Finally, we generate a
shape-based representation of the optic radiation for morphometry analysis.
PMID- 26551263
TI - Sofosbuvir Plus Velpatasvir Combination Therapy for Treatment-Experienced
Patients With Genotype 1 or 3 Hepatitis C Virus Infection: A Randomized Trial.
AB - BACKGROUND: Effective treatment options are needed for patients with genotype 1
or 3 hepatitis C virus (HCV) infection in whom previous therapy has failed.
OBJECTIVE: To assess the efficacy and safety of sofosbuvir plus velpatasvir, with
and without ribavirin, in treatment-experienced patients. DESIGN: Randomized,
phase 2, open-label study. (ClinicalTrials.gov: NCT01909804). SETTING: 58 sites
in Australia, New Zealand, and the United States. PATIENTS: Treatment-experienced
adults with genotype 3 HCV infection without cirrhosis (cohort 1) and with
compensated cirrhosis (cohort 2) and patients with genotype 1 HCV infection that
was unsuccessfully treated with a protease inhibitor with peginterferon and
ribavirin (50% could have compensated cirrhosis) (cohort 3). INTERVENTION: All
patients received 12 weeks of treatment that included 400 mg of sofosbuvir once
daily. Patients in each cohort were randomly assigned to 25 mg of velpatasvir
once daily with or without ribavirin or 100 mg of velpatasvir once daily with or
without ribavirin. MEASUREMENTS: Proportion of patients with sustained virologic
response at week 12 after treatment (SVR12). RESULTS: In cohort 1, SVR12 rates
were 85% with 25 mg of velpatasvir, 96% with 25 mg of velpatasvir plus ribavirin,
100% with 100 mg of velpatasvir, and 100% with 100 mg of velpatasvir plus
ribavirin. In cohort 2, SVR12 rates were 58% with 25 mg of velpatasvir, 84% with
25 mg of velpatasvir plus ribavirin, 88% with 100 mg of velpatasvir, and 96% with
100 mg of velpatasvir plus ribavirin. In cohort 3, SVR12 rates were 100% with 25
mg of velpatasvir, 97% with 25 mg of velpatasvir plus ribavirin, 100% with 100 mg
of velpatasvir, and 96% with 100 mg of velpatasvir plus ribavirin. The most
common adverse events were headache, fatigue, and nausea. LIMITATION: Treatment
assignments were not blinded, and no inferential statistics were planned.
CONCLUSION: Treatment with 400 mg of sofosbuvir plus 100 mg of velpatasvir for 12
weeks was well-tolerated and highly effective in treatment-experienced patients
with genotype 1 or 3 HCV infection. PRIMARY FUNDING SOURCE: Gilead Sciences.
PMID- 26551264
TI - Epidemiology of Melanocytic Naevi in Children from Lleida, Catalonia, Spain:
Protective Role of Sunscreen in the Development of Acquired Moles.
AB - The worldwide incidence of malignant melanoma is increasing. The number of
pigmented naevi and amount of solar exposure are important risk factors. The aim
of this study was to characterize a paediatric population (from Lleida,
Catalonia, Spain) in terms of phenotype, sun behaviour and naevi prevalence. Data
on the numbers and distributions of acquired naevi in 369 children, aged 4, 8 and
14 years, were collected and correlated with age, sex, skin phototype and
environmental factors (annual/lifetime intermittent and chronic sun exposure,
sunburns and sunscreen use). The density of naevi increased with age. Boys had
more naevi on the trunk and girls had more naevi on the legs. Children with light
skin phototype had more naevi. A higher level of accumulated sun exposure
correlated with a higher number of naevi in children with non-adequate sunscreen
use. In conclusion, several risk factors associated with naevi density and
distribution were found, as previously reported by others. Multivariate analysis
confirmed a protective role of sunscreen in the development of acquired
melanocytic naevi.
PMID- 26551265
TI - The relation between stress and alcohol use among Hispanic adolescents.
AB - We explored the relation between 8 domains of Hispanic stress and alcohol use and
frequency of use in a sample of Hispanic adolescents between 11 and 19 years old
(N = 901). Independent t tests were used to compare means of domains of Hispanic
stress between adolescents who reported alcohol use and those who reported no
use. In addition, multinomial logistic regression was used to examine whether
domains of Hispanic stress were related to alcohol use and whether the relation
differed by gender and age. Multiple imputation was used to address missing data.
In the analytic sample, 75.8% (n = 683) reported no use and 24.2% (n = 218)
reported alcohol use during the previous 30 days. Higher mean Hispanic stress
scores were observed among youths who reported alcohol use during the previous 30
days in 5 domains: acculturation gap, community and gang violence, family
economic, discrimination, and family and drug-related stress. Increased community
and gang violence, family and drug, and acculturative gap stress were found to be
associated with some alcohol use categories beyond the effect of other domains.
Few differences in the association between Hispanic stress and alcohol use by
gender and age were observed. Study findings indicate that family and drug
related, community and gang violence, and acculturative gap stress domains are
salient factors related to alcohol use among Hispanic adolescents, and their
implications for prevention science are discussed.
PMID- 26551266
TI - Development and psychometric properties of the Smoking Restraint Questionnaire.
AB - Restraint is a component of self-control that focuses on the deliberate reduction
of an undesired behavior and is theorized to play a role in smoking reduction and
cessation. However, there exists no instrument to assess smoking restraint. This
research aimed to develop the Smoking Restraint Questionnaire (SRQ) to meet this
need. Participants were 406 smokers (48% female; 52.2% nondaily) with a mean age
of 38.83 years (SD = 12.05). They completed a baseline questionnaire designed to
assess smoking restraint. They also completed 21 days of ecological momentary
assessment (EMA), during which they recorded each cigarette smoked and answered
questions related to planned restraint every morning, and restraint attempts
every evening. The 4-item questionnaire of smoking restraint was found to fit a
single factor (root mean square error of approximation = .038, comparative fit
index = .99, Tucker-Lewis index = .99), and the resulting composite was reliable
(composite reliability = 0.74). The questionnaire contains items that assess the
setting of weekly restraint goals and attempts at not lighting up when tempted to
smoke. Participant SRQ scores positively correlated with EMA data on plans to
restrain (p < .001) and frequency of restraint attempts (p < .001). These
correlations suggest that the SRQ has good predictive validity in relation to the
intention and behaviors of smoking reduction. The SRQ is promising as a measure
of smoking restraint and may enable further research and insights into smoking
reduction and cessation.
PMID- 26551268
TI - The Puerto Rico Healthcare Crisis.
AB - The Commonwealth of Puerto Rico is an organized nonincorporated territory of the
United States with a population of more than 3.5 million U.S. citizens. The
island has been the focus of much recent attention due to the recent default on
its debt (estimated at more than $70 billion), high poverty rates, and increasing
unemployment. Less attention, however, has been given to the island's healthcare
system, which many believe is on the verge of collapsing. Healthcare makes up 20%
of the Puerto Rican economy, and this crisis affects reimbursement rates for
physicians while promoting the disintegration of the island's healthcare
infrastructure. A major contributor relates to a disparity in federal funding
provided to support the island's healthcare system when compared with that
provided to the states in the mainland and Hawaii. Puerto Rico receives less
federal funding for healthcare than the other 50 states and the District of
Columbia even though it pays its share of social security and Medicare taxes. To
make matters worse, the U.S. Center for Medicaid and Medicare Services is
planning soon to implement another 11% cut in Medical Advantage reimbursements.
This disparity in support for healthcare is considered responsible for ~$25
billion of Puerto Rico's total debt. The impact of these events on the health of
Puerto Ricans in the island cannot be entirely predicted, but the loss of
healthcare providers and diminished access to care are a certainty, and quality
care will suffer, leading to serious implications for those with chronic medical
disorders including respiratory disease.
PMID- 26551267
TI - Emotions and family interactions in childhood: Associations with leukocyte
telomere length emotions, family interactions, and telomere length.
AB - Conceptualizations of links between stress and cellular aging in childhood
suggest that accumulating stress predicts shorter leukocyte telomere length
(LTL). At the same time, several models suggest that emotional reactivity to
stressors may play a key role in predicting cellular aging. Using intensive
repeated measures, we tested whether exposure or emotional "reactivity" to
conflict and warmth in the family were related to LTL. Children (N=39; 30 target
children and 9 siblings) between 8 and 13 years of age completed daily diary
questionnaires for 56 consecutive days assessing daily warmth and conflict in the
marital and the parent-child dyad, and daily positive and negative mood. To
assess exposure to conflict and warmth, diary scale scores were averaged over the
56 days. Mood "reactivity" was operationalized by using multilevel modeling to
generate estimates of the slope of warmth or conflict scores (marital and parent
child, separately) predicting same-day mood for each individual child. After
diary collection, a blood sample was collected to determine LTL. Among children
aged 8-13 years, a stronger association between negative mood and marital
conflict, suggesting greater negative mood reactivity to marital conflict, was
related to shorter LTL (B=-1.51, p<.01). A stronger association between positive
mood and marital affection, suggesting positive mood reactivity, was related to
longer LTL (B=1.15, p<.05). These effects were independent of exposure to family
and marital conflict and warmth, and positive and negative mood over a two-month
period. To our knowledge, these findings, although cross-sectional, represent the
first evidence showing that link between children's affective responses and daily
family interactions may have implications for telomere length.
PMID- 26551270
TI - A novel greenish yellow-orange red Ba3Y4O9:Bi(3+),Eu(3+) phosphor with efficient
energy transfer for UV-LEDs.
AB - A series of novel color-tunable Ba3Y4O9:Bi(3+),Eu(3+) phosphors were prepared for
the first time via the high-temperature solid-state reaction route. The effect of
Bi(3+) concentration on the emission intensity of Ba3Y4O9:Bi(3+) was
investigated. The emission spectra of the Ba3Y4O9:Bi(3+),Eu(3+) phosphors present
both a greenish yellow band of Bi(3+) emission centered at 523 nm, and many
characteristic emission lines of Eu(3+), derived from the allowed (3)P1-(1)S0
transition of the Bi(3+) ion and the (5)D0-(7)FJ transition of the Eu(3+) ion,
respectively. The energy transfer phenomenon from Bi(3+) to Eu(3+) ions is
observed under UV excitation in Bi(3+), Eu(3+) co-doped Ba3Y4O9 phosphors, and
their transfer mechanism is demonstrated to be a resonant type via dipole
quadrupole interaction. The critical distance between Bi(3+) and Eu(3+) for the
energy transfer effect was calculated via the concentration quenching and
spectral overlap methods. Results show that color tuning from greenish yellow to
orange red can be realized by adjusting the mole ratio of Bi(3+) and Eu(3+)
concentrations based on the principle of energy transfer. Moreover, temperature
dependent PL properties, CIE chromaticity coordinates and quantum yields of
Ba3Y4O9:Bi(3+),Eu(3+) phosphors were also supplied. It is illustrated that the as
prepared Ba3Y4O9:Bi(3+),Eu(3+) phosphors can be potential candidates for color
tunable phosphors applied in UV-pumped LEDs.
PMID- 26551269
TI - Healthcare professionals' views on the mutual consistency of the Finnish
Classification of Nursing Interventions and the Oulu Patient Classification.
AB - BACKGROUND: Various classification schemes have been used for clinical and
administrative purposes, but their concepts have seldom been cross-mapped. Cross
mapping is a formal method that can be used for examining existing
classifications' validity. AIM: The aim was to cross-map two nursing
classifications - the Finnish Classification of Nursing Interventions (FiCNI,
version 3.0), developed for structured nursing documentation, and the Oulu
Patient Classification (OPCq), created for daily assessment of nursing intensity
and evaluate their mutual consistency. The objective was to obtain information
on the content equivalence of the two classifications and the consistency of the
concepts used, to inform further development of both. METHODS: The Delphi method
was utilised, with a panel of experts that included terminology developers,
researchers, teachers and nurses (n = 16). Four Delphi rounds were required. In
these, panellists selected the most relevant content from the OPCq subareas (n =
6) for each FiCNI main category and subcategory (n = 307). In cases of doubt,
respondents were asked to justify their choices. RESULTS: Response rates ranged
from 68.8 to 93.8% in the Delphi rounds. After three rounds, 81.4% of the FiCNI
categories were cross-mapped with sufficient consensus. A fourth round was needed
for 57 FiCNI categories (18.6%). Most FiCNI categories (29.3%) were cross-mapped
to the OPCq's subarea 2. Deficiencies in the concepts' clarity and in the OPCq's
content areas complicated the cross-mapping. The most unambiguous categories of
the FiCNI were found in the respiratory, cardiac and activity components. The
components showing greatest ambiguity were skin integrity, mental balance and
safety. CONCLUSION: The content and concepts used in both classifications need
further development. The cross-mapping results can be utilised for developing
reuse of structured nursing data in assessment of nursing intensity and in
decision-making in human-resources planning.
PMID- 26551271
TI - Regional Shape Control of Strategically Assembled Multishape Memory Vitrimers.
AB - Hot-pressing shape memory vitrimers lead to multishape memory,
multifunctionality, easy reconfiguration, and the possibility of mass production
of arbitrary smart structures.
PMID- 26551273
TI - Transient junction anisotropies orient annular cell polarization in the
Drosophila airway tubes.
AB - In contrast to planes, three-dimensional (3D) structures such as tubes are
physically anisotropic. Tubular organs exhibit a striking orientation of
landmarks according to the physical anisotropy of the 3D shape, in addition to
planar cell polarization. However, the influence of 3D tissue topography on the
constituting cells remains underexplored. Here, we identify a regulatory network
polarizing cellular biochemistry according to the physical anisotropy of the 3D
tube geometry (tube cell polarization) by a genome-wide, tissue-specific RNAi
screen. During Drosophila airway remodelling, each apical cellular junction is
equipotent to establish perpendicular actomyosin cables, irrespective of the
longitudinal or transverse tube axis. A dynamic transverse enrichment of atypical
protein kinase C (aPKC) shifts the balance and transiently targets activated
small GTPase RhoA, myosin phosphorylation and Rab11 vesicle trafficking to
longitudinal junctions. We propose that the PAR complex translates tube physical
anisotropy into longitudinal junctional anisotropy, where cell-cell communication
aligns the contractile cytoskeleton of neighbouring cells.
PMID- 26551275
TI - Wild rufous hummingbirds use local landmarks to return to rewarded locations.
AB - Animals may remember an important location with reference to one or more visual
landmarks. In the laboratory, birds and mammals often preferentially use
landmarks near a goal ("local landmarks") to return to that location at a later
date. Although we know very little about how animals in the wild use landmarks to
remember locations, mammals in the wild appear to prefer to use distant landmarks
to return to rewarded locations. To examine what cues wild birds use when
returning to a goal, we trained free-living hummingbirds to search for a reward
at a location that was specified by three nearby visual landmarks. Following
training we expanded the landmark array to test the extent that the birds relied
on the local landmarks to return to the reward. During the test the hummingbirds'
search was best explained by the birds having used the experimental landmarks to
remember the reward location. How the birds used the landmarks was not clear and
seemed to change over the course of each test. These wild hummingbirds, then, can
learn locations in reference to nearby visual landmarks.
PMID- 26551276
TI - Predicting the long-term durability of hemp-lime renders in inland and coastal
areas using Mediterranean, Tropical and Semi-arid climatic simulations.
AB - Hemp-based composites are eco-friendly building materials as they improve energy
efficiency in buildings and entail low waste production and pollutant emissions
during their manufacturing process. Nevertheless, the organic nature of hemp
enhances the bio-receptivity of the material, with likely negative consequences
for its long-term performance in the building. The main purpose of this study was
to study the response at macro- and micro-scale of hemp-lime renders subjected to
weathering simulations in an environmental cabinet (one year was condensed in
twelve days), so as to predict their long-term durability in coastal and inland
areas with Mediterranean, Tropical and Semi-arid climates, also in relation with
the lime type used. The simulated climatic conditions caused almost unnoticeable
mass, volume and colour changes in hemp-lime renders. No efflorescence or
physical breakdown was detected in samples subjected to NaCl, because the salt
mainly precipitates on the surface of samples and is washed away by the rain.
Although there was no visible microbial colonisation, alkaliphilic fungi (mainly
Penicillium and Aspergillus) and bacteria (mainly Bacillus and Micrococcus) were
isolated in all samples. Microbial growth and diversification were higher under
Tropical climate, due to heavier rainfall. The influence of the bacterial
activity on the hardening of samples has also been discussed here and related
with the formation and stabilisation of vaterite in hemp-lime mixes. This study
has demonstrated that hemp-lime renders show good durability towards a wide range
of environmental conditions and factors. However, it might be useful to take some
specific preventive and maintenance measures to reduce the bio-receptivity of
this material, thus ensuring a longer durability on site.
PMID- 26551277
TI - Long-term impact of biochar on the immobilisation of nickel (II) and zinc (II)
and the revegetation of a contaminated site.
AB - A field remediation treatment was carried out to examine the long-term effect of
biochar on the immobilisation of metals and the revegetation of a contaminated
site in Castleford, UK. The extracted concentrations of nickel (Ni) (II) and zinc
(Zn) (II) in the carbonic acid leaching tests were reduced by 83-98% over three
years. The extracted Ni (II) and Zn (II) concentrations three years after the
treatment were comparable to a cement-based treatment study carried out in a
parallel manner on the same site. The sequential extraction results indicated
that biochar addition (0.5-2%) increased the residue fractions of Ni (II) (from
51% to 61-66%) and Zn (II) (from 7% to 27-35%) in the soils through competitive
sorption, which may have resulted in the reduction of leachabilities of Ni (II)
(from 0.35% to 0.12-0.15%) and Zn (II) (from 0.12% to 0.01%) in the plots with
biochar compared with that without biochar three years after the treatment. The
germination of grass in the plots on site failed. Further laboratory pot study
suggested that larger amounts of biochar (5% or more) and compost (5% or more)
were needed for the success of revegetation on this site. This study suggests the
effectiveness and potential of biochar application in immobilising heavy metals
in contaminated site in the long term.
PMID- 26551274
TI - IRE1alpha is an endogenous substrate of endoplasmic-reticulum-associated
degradation.
AB - Endoplasmic reticulum (ER)-associated degradation (ERAD) represents a principle
quality control mechanism to clear misfolded proteins in the ER; however, its
physiological significance and the nature of endogenous ERAD substrates remain
largely unexplored. Here we discover that IRE1alpha, the sensor of the unfolded
protein response (UPR), is a bona fide substrate of the Sel1L-Hrd1 ERAD complex.
ERAD-mediated IRE1alpha degradation occurs under basal conditions in a BiP
dependent manner, requires both the intramembrane hydrophilic residues of
IRE1alpha and the lectin protein OS9, and is attenuated by ER stress. ERAD
deficiency causes IRE1alpha protein stabilization, accumulation and mild
activation both in vitro and in vivo. Although enterocyte-specific Sel1L-knockout
mice (Sel1L(DeltaIEC)) are viable and seem normal, they are highly susceptible to
experimental colitis and inflammation-associated dysbiosis, in an IRE1alpha
dependent but CHOP-independent manner. Hence, Sel1L-Hrd1 ERAD serves a distinct,
essential function in restraint of IRE1alpha signalling in vivo by managing its
protein turnover.
PMID- 26551278
TI - Seasonal characteristics and current sources of OCPs and PCBs and enantiomeric
signatures of chiral OCPs in the atmosphere of Vietnam.
AB - Passive air samplers (PAS) were deployed concurrently at 15 locations (nine urban
sites and six rural sites) in Vietnam and exposed for approximately 6 weeks from
June 26, 2012 to August 26, 2012 and from December 8, 2012 to February 8, 2013.
The concentration, composition and enantiomeric signatures of the target compound
and Air Mass Backward Trajectories of the 15 sampling sites are presented and
discussed in this study. Relatively clean air mass from ocean and similar
concentrations and composition of POPs between the south and north of Vietnam
indicate that local emissions is most likely the major source of POPs in Vietnam.
Technical DDT and technical HCH were widely used in Vietnam and corresponding
quantitative data suggests the sporadic use. The preferential degradation of (+)
alpha-HCH was found in all sampling sites, which could be a regional
characteristic of Vietnam. High trans-/cis-chlordane (TC/CC) ratios indicate the
current use of technical chlordane for termite control. PCA estimated that main
source of PCBs present in the atmosphere of Vietnam was uncontrolled discarded e
waste.
PMID- 26551279
TI - Modular organization of Interleukin-6 and Interleukin-11 alpha-receptors.
AB - Interleukin (IL)-6 and IL-11 are the only canonical members of the IL-6 family of
cytokines that induce signaling through a homodimer of the common beta-receptor
glycoprotein (gp)130. A pre-requisite for signal transduction is the initial
binding of the cytokines to their unique alpha-receptors, IL-6R and IL-11R. The
cell-type specific expression of the two receptors determines the target cells of
IL-6 and IL-11, because gp130 is ubiquitously expressed. However, ciliary
neurotrophic factor (CNTF) and IL-27p28/IL-30 have been described as additional
ligands for the IL-6R, underlining a remarkable plasticity among the cytokines of
the IL-6 family and their receptors. In this study, we show that neither IL-6 nor
IL-11 can bind to and signal through the alpha-receptor of the respective other
cytokine. We further create eight chimeric IL-6/IL-11 receptors, which are all
biologically active. We find that the domains D1 to D3, which contain the
cytokine binding module (CBM), determine which cytokine can activate the chimeric
receptor, whereas the stalk region, the transmembrane region, or the
intracellular region do not participate in the ligand selectivity of the receptor
and are therefore interchangeable between IL-6R and IL-11R. These results suggest
a modular organization of the IL-6R and IL-11R, and a similar signal transduction
complex of the two cytokines.
PMID- 26551280
TI - Joint surgery in von Willebrand disease: a multicentre cross-sectional study.
AB - BACKGROUND: Joint bleeds are reported by 23% of von Willebrand disease (VWD)
patients and associated with orthopaedic surgery. Limited data are available on
joint surgery in VWD. AIM: To assess the prevalence, indications, management and
complications of joint surgery in VWD patients. METHODS: 804 VWD patients with
historically lowest von Willebrand factor (VWF) activity <=30 U dL-1 completed a
questionnaire on joint bleeds, joint damage and orthopaedic surgery. We retrieved
additional medical file data of patients who underwent surgery on large joints
(shoulder, elbow, hip, knee or ankle). RESULTS: 116 out of 804 patients (14%)
reported large joint surgery. Compared to VWD patients without previous
orthopaedic surgery, these 116 patients reported more frequently a history of
joint bleeds and joint damage (41% vs. 20%, P < 0.001 and 61% vs. 20%, P <
0.001). Medical file data on 126 large joint surgeries in 79 VWD patients
revealed that this surgery was associated with joint damage due to prior joint
bleeds in 24% of the procedures. Preoperative clotting factor correction (CFC) to
prevent bleeding was administered in most cases (81%). Documentation on
postoperative bleeding was found in 23 surgeries (18%). CONCLUSIONS: Large joint
surgery is reported by 14% of VWD patients, related to joint bleeds in 24% and
seems associated with bleeding complications frequently despite perioperative
CFC.
PMID- 26551281
TI - A novel BRD4-NUT fusion in an undifferentiated sinonasal tumor highlights
alternative splicing as a contributing oncogenic factor in NUT midline carcinoma.
AB - NUT midline carcinoma (NMC) is a fatal cancer that arises in various tissues
along the upper midline of the body. The defining molecular feature of NMC is a
chromosomal translocation that joins (in the majority of cases) the nuclear
testis gene NUT (NUTM1) to the bromodomain protein family member 4 (BRD4) and
thereby creating a fusion oncogene that disrupts cellular differentiation and
drives the disease. In this study, we report the case of an adolescent NMC
patient presenting with severe facial pain, proptosis and visual impairment due
to a mass arising from the ethmoid sinus that invaded the right orbit and frontal
lobe. Treatment involved radical resection, including exenteration of the
affected eye with the view to consolidate treatment with radiation therapy;
however, the patient experienced rapid tumor progression and passed away 79 days
post resection. Molecular analysis of the tumor tissue identified a novel in
frame BRD4-NUT transcript, with BRD4 exon 15 fused to the last 124 nucleotides of
NUT exon 2 (BRD4-NUT ex15:ex2Deltant1-585). The partial deletion of NUT exon 2
was attributed to a mid-exonic genomic breakpoint and the subsequent activation
of a cryptic splice site further downstream within the exon. Inhibition of the
canonical 3' acceptor splice site of NUT intron 1 in cell lines expressing the
most common NMC fusion transcripts (PER-403, BRD4-NUT ex11:ex2; PER-624, BRD4-NUT
ex15:ex2) induced alternative splicing from the same cryptic splice site as
identified in the patient. Detection of low levels of an in-frame BRD4-NUT
ex11:ex2Deltant1-585 transcript in PER-403 confirmed endogenous splicing from
this alternative exon 2 splice site. Although further studies are necessary to
assess the clinical relevance of the increasing number of variant fusions
described in NMC, the findings presented in this case identify alternative
splicing as a mechanism that contributes to this pathogenic complexity.
PMID- 26551282
TI - Insulin-related signaling pathways elicited by light in photoreceptor nuclei from
bovine retina.
AB - Retina light stimulation triggers phototransduction events as well as different
signaling mechanisms in outer segments (sensorial portion) of photoreceptor
cells. We have recently reported a novel light-dependent activation of
diacylglycerol kinase (DAGK) and protein kinase C (PKC) at the nuclear level of
photoreceptor cells. The aim of the present study was to analyze whether ex-vivo
light exposure of bovine retinas also modulates insulin-related signaling
pathways in nuclei from photoreceptor cells. To this end, a nuclear fraction
enriched in small nuclei from photoreceptor cells (PNF) was obtained using a
modified nuclear isolation protocol. In PNF obtained from bovine retinas exposed
to light or darkness, the presence of insulin receptor (IR) and phosphorylated
insulin receptor (pIR), the activation of Akt, p38 and extracellular signal
regulated kinase (ERK1/2) and the local action of insulin on lipid kinases were
studied. Immunofluorescence (IF) and Western blot (WB) studies revealed the
presence of IR in photoreceptor nuclei. In PNF a light-dependent increase in IR
total content was observed. The presence of activated IR (pIR) was also observed
in PNF by WB, being its content higher in PNF from light than in to darkness.
Light exposure also produced a significant increase in the content of p-Akt (3
fold) and p-p38 (60%) without changes in total Akt and p38. In addition, an
increase in the content of total ERK1/2 (2 fold) was found without changes in p
ERK/total ERK ratio, indicating that light induces translocation of p-ERK to the
nucleus. Polyphosphoinositide kinase and diacylglycerol kinase (DAGK) activities
were measured in isolated nuclei from light-activated or darkness-adapted retinas
through the formation of polyphosphoinositides (PPIs) and phosphatidic acid (PA)
using nuclear lipid substrates and [gamma-(32)P]ATP as radioactive substrate. A
light-dependent increase in PPIs and PA formation was detected when isolated
nuclei were exposed to 0.8 MUM insulin plus 0.2 mM vanadate. WB studies revealed
that retina's exposure to insulin under light condition increased nuclear IR
content. In addition, PNF exposure to insulin increased ERK1/2 phosphorylation
with no changes in total ERK1/2. Our results demonstrate the presence and the
functional state of IR in the nucleus from photoreceptor cells. They also show
that molecular signaling components linked to tyrosine kinase receptors and MAPK
pathways, such as Akt and ERK1/2, respectively, are present in photoreceptor
nuclei and are regulated by insulin and light.
PMID- 26551283
TI - Quantification of Food Waste Disposal in the United States: A Meta-Analysis.
AB - Food waste has major consequences for social, nutritional, economic, and
environmental issues, and yet the amount of food waste disposed in the U.S. has
not been accurately quantified. We introduce the transparent and repeatable
methods of meta-analysis and systematic reviewing to determine how much food is
discarded in the U.S., and to determine if specific factors drive increased
disposal. The aggregate proportion of food waste in U.S. municipal solid waste
from 1995 to 2013 was found to be 0.147 (95% CI 0.137-0.157) of total disposed
waste, which is lower than that estimated by U.S. Environmental Protection Agency
for the same period (0.176). The proportion of food waste increased significantly
with time, with the western U.S. region having consistently and significantly
higher proportions of food waste than other regions. There were no significant
differences in food waste between rural and urban samples, or between
commercial/institutional and residential samples. The aggregate disposal rate for
food waste was 0.615 pounds (0.279 kg) (95% CI 0.565-0.664) of food waste
disposed per person per day, which equates to over 35.5 million tons (32.2
million tonnes) of food waste disposed annually in the U.S.
PMID- 26551284
TI - The Global Nutrition Report 2015: what we need to do to advance progress in
addressing malnutrition in all its forms.
PMID- 26551285
TI - Epithelial-mesenchymal transition in prostatic disease.
AB - A fully differentiated epithelium of the normal prostate gland allows epithelial
cells to de-differentiate into mesenchymal-like derivatives via the process of
epithelial-mesenchymal transition (EMT) and redifferentiate via the reverse
process, mesenchymal-epithelial transition. This review discusses the phenotypic
changes associated with EMT and its programming in the development of the two
growth disorders of the aging prostate gland, benign prostatic hyperplasia and
prostate adenocarcinoma. Considering the cellular heterogeneity that
characterizes both conditions, identifying the transcriptional programming of the
phenotypic framework defining EMT and its reverse process mesenchymal-epithelial
transition in their pathological landscape will enable novel platforms for
biomarker-driven therapeutics and their implementation in benign prostatic
hyperplasia and prostate cancer.
PMID- 26551286
TI - The 2014 Lush Prize awards.
PMID- 26551287
TI - Barriers to the Uptake of Human-based Test Methods, and How to Overcome Them.
AB - Although there is growing concern as to the questionable value of animal-based
methods for determining the safety and efficacy of new medicines, which has in
turn led to many groups developing innovative human-based methods, there are many
barriers to their adoption for regulatory submissions. The reasons for this are
various, and include a lack of confidence that the available human-based methods,
be they in vivo, in silico or in vitro, can be sufficiently predictive of
clinical outcomes. However, this is not the only problem: the issue of validation
presents a serious impediment to progress, a particularly frustrating situation,
in view of the fact that the existing animal-based methods have never themselves
been formally validated. Superimposed upon this is the issue of regulatory
requirements, where, although regulators may be willing to accept non-animal
approaches in place of particular animal tests, nowhere is this explicitly stated
in their guidelines. Such problems are far from trivial, and represent major
hurdles to be overcome. In addition, there are a range of other barriers, real or
self-imposed, that are hindering a more-predictive approach to establishing a new
drug's clinical safety and efficacy profiles. Some of these barriers are
identified, and ways forward are suggested.
PMID- 26551288
TI - 2014 Lush Science Prize.
AB - The Lush Prize supports animal-free testing by rewarding the most effective
projects and individuals who have been working toward the goal of replacing
animals in product or ingredient safety testing. A Background Paper is prepared
each year, prior to the judging process, to provide the panel with a brief
overview of current developments in the field of Replacement alternatives,
particularly those relevant to the concept of toxicity pathways. This Background
Paper includes information on recent work by the relevant scientific institutions
and projects in this area, including AXLR8, OECD, CAAT, The Hamner Institutes,
the Human Toxome Project, EURL ECVAM, ICCVAM, the US Tox21 Programme, the ToxCast
programme, and the Human Toxicology Project Consortium. Recent developments in
toxicity pathway research are also assessed by reviewing the relevant literature,
with a view to presenting the two papers receiving the highest score to the
judges for consideration.
PMID- 26551289
TI - Toward the Replacement of Animal Experiments through the Bioinformatics-driven
Analysis of 'Omics' Data from Human Cell Cultures.
AB - This paper outlines the work for which Roland Grafstrom and Pekka Kohonen were
awarded the 2014 Lush Science Prize. The research activities of the Grafstrom
laboratory have, for many years, covered cancer biology studies, as well as the
development and application of toxicity-predictive in vitro models to determine
chemical safety. Through the integration of in silico analyses of diverse types
of genomics data (transcriptomic and proteomic), their efforts have proved to fit
well into the recently-developed Adverse Outcome Pathway paradigm. Genomics
analysis within state-of-the-art cancer biology research and Toxicology in the
21st Century concepts share many technological tools. A key category within the
Three Rs paradigm is the Replacement of animals in toxicity testing with
alternative methods, such as bioinformatics-driven analyses of data obtained from
human cell cultures exposed to diverse toxicants. This work was recently expanded
within the pan-European SEURAT-1 project (Safety Evaluation Ultimately Replacing
Animal Testing), to replace repeat-dose toxicity testing with data-rich analyses
of sophisticated cell culture models. The aims and objectives of the SEURAT
project have been to guide the application, analysis, interpretation and storage
of 'omics' technology-derived data within the service-oriented sub-project,
ToxBank. Particularly addressing the Lush Science Prize focus on the relevance of
toxicity pathways, a 'data warehouse' that is under continuous expansion, coupled
with the development of novel data storage and management methods for toxicology,
serve to address data integration across multiple 'omics' technologies. The prize
winners' guiding principles and concepts for modern knowledge management of
toxicological data are summarised. The translation of basic discovery results
ranged from chemical-testing and material-testing data, to information relevant
to human health and environmental safety.
PMID- 26551291
TI - The 'Genomic Revolution' and its Impact on Medical Research.
PMID- 26551290
TI - Humane Education in Brazil: Organisation, Challenges and Opportunities.
AB - Humane education and the debate on alternatives to harmful animal use for
training is a relatively recent issue in Brazil. While animal use in secondary
education has been illegal since the late 1970s, animal use in higher science
education is widespread. However, alternatives to animal experiments in research
and testing have recently received attention from the Government, especially
after the first legislation on animal experiments was passed, in 2008. This
article proposes that higher science education should be based on a critical and
humane approach. It outlines the recent establishment of the Brazilian Network
for Humane Education (RedEH), as a result of the project, Mapping Animal Use for
Undergraduate Education in Brazil, which was recognised by the 2014 Lush Prize.
The network aims to create a platform to promote change in science education in
Brazil, starting by quantitatively and qualitatively understanding animal use,
developing new approaches adapted to the current needs in Brazil and Latin
America, and communicating these initiatives nationally. This paper explores the
trajectory of alternatives and replacement methods to harmful animal use in
training and education, as well as the status of humane education in Brazil, from
the point of view of educators and researchers engaged with the network.
PMID- 26551293
TI - 19. Russell and Burch after 1959.
PMID- 26551292
TI - A New Approach to Optimising the Use of Animal Models in Drug Discovery through
Big Data Sharing.
PMID- 26551294
TI - Immune system disturbances in Clouston syndrome.
AB - BACKGROUND: Clouston syndrome belongs to the family of ectodermal dysplasias. So
far, a defective immune response has not been reported in Clouston syndrome. We
report, for the first time, immunological particularities of a large
multigenerational Polish family with Clouston syndrome. METHODS: Five members of
the same family with Clouston syndrome, aged 6-76 years, and 20 healthy
volunteers, aged 19-73 years, were enrolled in the study. In all participants,
the ability of neutrophils to phagocytize opsonized Escherichia coli was
assessed. Granulocyte oxidative burst was determined quantitatively, and an
isolation of peripheral blood mononuclear cells and the detection of lymphocyte
subsets were performed. All patients with Clouston syndrome underwent microscopic
assessment of hair shafts, x-rays of the skull and hand bones, extra- and
intraoral examination, and panoramic x-rays. RESULTS: Compared to the controls,
all patients with Clouston syndrome presented with significantly reduced
phagocytic activities of granulocytes and monocytes (P < 0.05). The percentages
of granulocytes and monocytes being positive for oxidative burst were also
significantly reduced in all patients with Clouston syndrome (P < 0.05). No
disturbances in the percentages and absolute counts of T CD3+, T CD3+/CD4+, T
CD3+/CD8+, natural killer, and B CD19+ cells were found. CONCLUSION: Although
this study expands knowledge about Clouston syndrome, it also raises many
questions. The results provide evidence of significantly reduced phagocytic
activity and oxidative bursts of cells playing crucial roles in a nonspecific
immune response. Further studies are required to understand the underlying
mechanism of the hereby described abnormalities.
PMID- 26551295
TI - ChromClust: A semi-supervised chromatin clustering toolkit for mining histone
modifications interplay.
AB - Mining patterns of histone modifications interplay from epigenomic profiles are
one of the leading research areas these days. Various methods based on clustering
approaches and hidden Markov models have been presented so far with some
limitations. Here we present ChromClust, a semi-supervised clustering tool for
mining commonly occurring histone modifications at various locations of the
genome. Applying our method to 11 chromatin marks in nine human cell types
recovered 11 clusters based on distinct chromatin signatures mapping to various
elements of the genome. Our approach is efficient in respect to time and space
usage along with the added facility of maintaining database at the backend. It
outperforms the existing methods with respect to mining patterns in a semi
supervised fashion mapping to various functional elements of the genome. It will
aid in future by saving the resources of time and space along with efficiently
retrieving the hidden interplay of histone combinations.
PMID- 26551296
TI - Reoperations following Robot-Assisted Radical Cystectomy: A Decade of Experience.
AB - PURPOSE: There is a paucity of data regarding the operative management of
complications after robot-assisted radical cystectomy. We reviewed operative
management of robot-assisted radical cystectomy specific complications during our
10-year experience with this procedure and assessed the feasibility, safety and
outcomes of robot-assisted reoperations. MATERIALS AND METHODS: We
retrospectively reviewed the records of all patients who underwent surgical
interventions for robot-assisted radical cystectomy specific complications
between 2005 and 2015. Univariable and multivariable logistic regression models
were fit to evaluate predictors of surgical intervention after robot-assisted
radical cystectomy. Kaplan-Meier curves were used to describe time to surgical
interventions. RESULTS: A total of 92 patients (23%) underwent surgical
intervention after robot-assisted radical cystectomy. Mean followup was 27
months. Average time to any surgical intervention after cystectomy was 14 months.
The reoperation rate was 5%, 2% and 16% at 30, 31 to 90 and greater than 90 days,
respectively. Using the Kaplan-Meier method surgical interventions occurred at a
rate of 30% at 2 years and 46% at 5 years. Interventions for ureteroileal
complications were the most common (48 cases) followed by interventions for bowel
obstruction, fistulas and abdominal wall related complications (11 cases).
Clavien 3 or greater complications and neoadjuvant chemotherapy were associated
with surgical intervention. CONCLUSIONS: Even in experienced hands the long-term
complications of robot-assisted radical cystectomy are notable. Of our patients
23% required surgical interventions after the procedure. Our initial experience
with robot-assisted management of robot-assisted radical cystectomy complications
appears safe and feasible, although the decision to proceed is determined
primarily by surgeon experience.
PMID- 26551297
TI - Development of Castration Resistant Prostate Cancer can be Predicted by a DNA
Hypermethylation Profile.
AB - PURPOSE: Detection of DNA hypermethylation has emerged as a novel molecular
biomarker for prostate cancer diagnosis and evaluation of prognosis. We sought to
define whether a hypermethylation profile of patients with prostate cancer on
androgen deprivation would predict castrate resistant prostate cancer. MATERIALS
AND METHODS: Genome-wide methylation analysis was performed using a methylation
cancer panel in 10 normal prostates and 45 tumor samples from patients placed on
androgen deprivation who were followed until castrate resistant disease
developed. Castrate resistant disease was defined according to EAU (European
Association of Urology) guideline criteria. Two pathologists reviewed the Gleason
score, Ki-67 index and neuroendocrine differentiation. Hierarchical clustering
analysis was performed and relationships with outcome were investigated by Cox
regression and log rank analysis. RESULTS: We found 61 genes that were
significantly hypermethylated in greater than 20% of tumors analyzed. Three
clusters of patients were characterized by a DNA methylation profile, including 1
at risk for earlier castrate resistant disease (log rank p = 0.019) and specific
mortality (log rank p = 0.002). Hypermethylation of ETV1 (HR 3.75) and ZNF215 (HR
2.89) predicted disease progression despite androgen deprivation.
Hypermethylation of IRAK3 (HR 13.72), ZNF215 (HR 4.81) and SEPT9 (HR 7.64) were
independent markers of prognosis. Prostate specific antigen greater than 25
ng/ml, Gleason pattern 5, Ki-67 index greater than 12% and metastasis at
diagnosis also predicted a negative response to androgen deprivation. Study
limitations included the retrospective design and limited number of cases.
CONCLUSIONS: Epigenetic silencing of the mentioned genes could be novel molecular
markers for the prognosis of advanced prostate cancer. It might predict castrate
resistance during hormone deprivation and, thus, disease specific mortality. Gene
hypermethylation is associated with disease progression in patients who receive
hormone therapy. It could serve as a marker of the treatment response.
PMID- 26551298
TI - Quantitative Ultrasound for Measuring Obstructive Severity in Children with
Hydronephrosis.
AB - PURPOSE: We define sonographic biomarkers for hydronephrotic renal units that can
predict the necessity of diuretic nuclear renography. MATERIALS AND METHODS: We
selected a cohort of 50 consecutive patients with hydronephrosis of varying
severity in whom 2-dimensional sonography and diuretic mercaptoacetyltriglycine
renography had been performed. A total of 131 morphological parameters were
computed using quantitative image analysis algorithms. Machine learning
techniques were then applied to identify ultrasound based safety thresholds that
agreed with the t1/2 for washout. A best fit model was then derived for each
threshold level of t1/2 that would be clinically relevant at 20, 30 and 40
minutes. Receiver operating characteristic curve analysis was performed.
Sensitivity, specificity and area under the receiver operating characteristic
curve were determined. Improvement obtained by the quantitative imaging method
compared to the Society for Fetal Urology grading system and the hydronephrosis
index was statistically verified. RESULTS: For the 3 thresholds considered and at
100% sensitivity the specificities of the quantitative imaging method were 94%,
70% and 74%, respectively. Corresponding area under the receiver operating
characteristic curve values were 0.98, 0.94 and 0.94, respectively. Improvement
obtained by the quantitative imaging method over the Society for Fetal Urology
grade and hydronephrosis index was statistically significant (p <0.05 in all
cases). CONCLUSIONS: Quantitative imaging analysis of renal sonograms in children
with hydronephrosis can identify thresholds of clinically significant washout
times with 100% sensitivity to decrease the number of diuretic renograms in up to
62% of children.
PMID- 26551299
TI - Identification and analysis of house-keeping and tissue-specific genes based on
RNA-seq data sets across 15 mouse tissues.
AB - Recently, RNA-seq has become widely used technology for transcriptome profiling
due to its single-base accuracy and high-throughput speciality. In this study, we
applied a computational approach on an integrated RNA-seq dataset across 15
normal mouse tissues, and consequently assigned 8408 house-keeping (HK) genes and
2581 tissue-specific (TS) genes among UCSC RefGene annotation. Apart from some
basic genomic features, we also performed expression, function and pathway
analysis with clustering, DAVID and Ingenuity Pathway Analysis, indicating the
physiological connections (tissues) and diverse biological roles of HK genes
(fundamental processes) and TS genes (tissue-corresponding processes). Moreover,
we used RT-PCR method to test 18 candidate HK genes and finally identified a
novel list of highly stable internal control genes: Ywhae, Ddb 1, Eif4h, etc. In
summary, this study provides a new HK gene and TS gene resource for further
genetic and evolution research and helps us better understand morphogenesis and
biological diversity in mouse.
PMID- 26551300
TI - Identification and characterization of the cDNA sequence encoding amelogenin in
rabbit (Oryctolagus cuniculus).
AB - Amelogenins, the most abundant proteins in tooth enamel extracellular matrix
(ECM), are essential for tooth amelogenesis. The nucleotide sequence of
amelogenin gene (AMEL) for rabbit, as an important member of mammals and good
continuously growing incisor model, is important for comparative and evolutional
study. Previous studies about rabbit amelogenin proteins got no consensus yet
even as to their existence or size. In this study, with combined usage of in
silico and molecular cloning technologies, we identified sequences of two
transcripts of rabbit amelogenin, resulting from the alternative splicing of the
45-bp exon 4. The coding regions of the two transcripts are of 567- and 522-bp,
encoding 188 and 173 amino acids including a 17-residue signal peptide,
respectively. Sequence analysis revealed that rabbit amelogenin features in
extremely high GC-content in nucleotide sequence and Alanine content in protein
sequence. Detailed comparison of amino acid sequence with other mammals showed
that the rabbit amelogenin protein is conserved in the sites and regions
important for protein functions. Overall, our results uncovered the mysteries
about rabbit amelogenin and revealed its sequence peculiarities.
PMID- 26551301
TI - Revealing the function of a novel splice-site mutation of CHD7 in CHARGE
syndrome.
AB - Most cases of CHARGE syndrome are sporadic and autosomal dominant. CHD7 is a
major causative gene of CHARGE syndrome. In this study, we screened CHD7 in two
Turkish patients demonstrating symptoms of CHARGE syndrome such as coloboma,
heart defect, choanal atresia, retarded growth, genital abnomalities and ear
anomalies. Two mutations of CHD7 were identified including a novel splice-site
mutation (c.2443-2A>G) and a previously known frameshift mutation
(c.2504_2508delATCTT). We performed exon trapping analysis to determine the
effect of the c.2443-2A>G mutation at the transcriptional level, and found that
it caused a complete skip of exon 7 and splicing at a cryptic splice acceptor
site. Our current study is the second study demonstrating an exon 7 deficit in
CHD7. Results of previous studies suggest that the c.2443-2A>G mutation affects
the formation of nasal tissues and the neural retina during early development,
resulting in choanal atresia and coloboma, respectively. The findings of the
present study will improve our understanding of the genetic causes of CHARGE
syndrome.
PMID- 26551302
TI - Manganese Oxide-Based Chemically Powered Micromotors.
AB - Chemically powered micromotors represent an exciting research area in
nanotechnology. Such artificial devices are typically driven by catalytic bubble
formation, taking place at the solid-liquid interface. Platinum has been most
frequently used for the fabrication of different micromotors due to its superior
catalytic efficiency. Other materials typically suffer from slow speeds and
require very high concentrations of chemical fuel. Here, we report preparation
and characterization of fast moving micromotors based on manganese oxide (MnO2)
with different geometrical shapes (tubes, rods, and spheres). On the basis of the
results, the prepared micromotors reached the highest speeds (up to ~900 MUm s(
1) in 10% H2O2) reported to date for any MnO2-based micromotors. Moreover, they
moved by bubble propulsion even at very low concentrations of peroxide fuel.
Thus, MnO2 represents a promising material for the preparation of micromotors for
various biomedical or environmental applications, where high speeds are desired.
PMID- 26551303
TI - Impact of Water-Depletion Layer on Transport in Hydrophobic Nanochannels.
AB - Recent experiments showed that by fabricating nanofluidic channels with
hydrophobic materials, the measured amplitudes of both electroosmotic flow (EOF)
and ionic current deviated significantly from the conventional electrokinetic
modeling indication. Among these unexpected observations, the complicated
dependence of EOF on the surface charge concentration of the channel wall remains
most confusing. In this work we give a complete and unified picture for the
phenomena by outlining the competing two mechanisms in the water-depletion layer
around the channel wall: the decreasing trend of fluidic flow due to the
redistribution of net charges, and the increasing trend because of the reduced
solution viscosity there. Our quantitative evaluation illustrates that the
alternate dominating by the two mechanisms leads to the observed transport
behaviors. Furthermore, by considering the decreasing of ionic mobility in the
depletion layer, our calculations show quantitative agreement with the latest
experiments using BN nanotube channels.
PMID- 26551272
TI - A Randomized Trial of Intensive versus Standard Blood-Pressure Control.
AB - BACKGROUND: The most appropriate targets for systolic blood pressure to reduce
cardiovascular morbidity and mortality among persons without diabetes remain
uncertain. METHODS: We randomly assigned 9361 persons with a systolic blood
pressure of 130 mm Hg or higher and an increased cardiovascular risk, but without
diabetes, to a systolic blood-pressure target of less than 120 mm Hg (intensive
treatment) or a target of less than 140 mm Hg (standard treatment). The primary
composite outcome was myocardial infarction, other acute coronary syndromes,
stroke, heart failure, or death from cardiovascular causes. RESULTS: At 1 year,
the mean systolic blood pressure was 121.4 mm Hg in the intensive-treatment group
and 136.2 mm Hg in the standard-treatment group. The intervention was stopped
early after a median follow-up of 3.26 years owing to a significantly lower rate
of the primary composite outcome in the intensive-treatment group than in the
standard-treatment group (1.65% per year vs. 2.19% per year; hazard ratio with
intensive treatment, 0.75; 95% confidence interval [CI], 0.64 to 0.89; P<0.001).
All-cause mortality was also significantly lower in the intensive-treatment group
(hazard ratio, 0.73; 95% CI, 0.60 to 0.90; P=0.003). Rates of serious adverse
events of hypotension, syncope, electrolyte abnormalities, and acute kidney
injury or failure, but not of injurious falls, were higher in the intensive
treatment group than in the standard-treatment group. CONCLUSIONS: Among patients
at high risk for cardiovascular events but without diabetes, targeting a systolic
blood pressure of less than 120 mm Hg, as compared with less than 140 mm Hg,
resulted in lower rates of fatal and nonfatal major cardiovascular events and
death from any cause, although significantly higher rates of some adverse events
were observed in the intensive-treatment group. (Funded by the National
Institutes of Health; ClinicalTrials.gov number, NCT01206062.).
PMID- 26551304
TI - Effect of Clopidogrel and Aspirin vs Aspirin Alone on Migraine Headaches After
Transcatheter Atrial Septal Defect Closure: The CANOA Randomized Clinical Trial.
AB - IMPORTANCE: The occurrence of new-onset migraine attacks is a complication of
transcatheter atrial septal defect (ASD) closure. It has been suggested that
clopidogrel may reduce migraine attacks after ASD closure. OBJECTIVE: To assess
the efficacy of clopidogrel, used in addition to taking aspirin, for the
prevention of migraine attacks following ASD closure. DESIGN, SETTING, AND
PARTICIPANTS: Randomized, double-blind clinical trial performed in 6 university
hospitals in Canada. Participants were 171 patients with an indication for ASD
closure and no history of migraine. INTERVENTIONS: Patients were randomized (1:1)
to receive dual antiplatelet therapy (aspirin + clopidogrel [the clopidogrel
group], n = 84) vs single antiplatelet therapy (aspirin + placebo [the placebo
group], n = 87) for 3 months following transcatheter ASD closure. The first
patient was enrolled in December 2008, and the last follow-up was completed in
February 2015. MAIN OUTCOMES AND MEASURES: The primary efficacy outcome was the
monthly number of migraine days within the 3 months following ASD closure in the
entire study population. The incidence and severity of new-onset migraine
attacks, as evaluated by the Migraine Disability Assessment questionnaire, were
prespecified secondary end points. A zero-inflated Poisson regression model was
used for data analysis. RESULTS: The mean (SD) age of the participants was 49
(15) years and 62% (106) were women. Patients in the clopidogrel group had a
reduced mean (SD) number of monthly migraine days within the 3 months following
the procedure (0.4 [95% CI, 0.07 to 0.69] days) vs the placebo group (1.4 [95%
CI, 0.54 to 2.26] days; difference, -1.02 days [95% CI, -1.94 to -0.10 days];
incident risk ratio [IRR], 0.61 [95% CI, 0.41 to 0.91]; P = .04) and a lower
incidence of migraine attacks following ASD closure (9.5% for the clopidogrel
group vs 21.8% for the placebo group; difference, -12.3% [95% CI, -23% to -1.6%];
odds ratio [OR], 0.38 [95% CI, 0.15 to 0.89]; P = .03). Among patients with
migraines, those in the clopidogrel group had less-severe migraine attacks (zero
patients with moderately or severely disabling migraine attacks vs 37% [7
patients] in the placebo group; difference, -36.8% [95% CI, -58.5% to -15.2%]; P
= .046). There were no between-group differences in the rate of patients with at
least 1 adverse event (16.7% [14 patients] in the clopidogrel group vs 21.8% [19
patients] in the placebo group; difference, -5.2% [95% CI, -17% to 6.6%]; P =
.44). CONCLUSIONS AND RELEVANCE: Among patients who underwent transcatheter ASD
closure, the use of clopidogrel and aspirin, compared with aspirin alone,
resulted in a lower monthly frequency of migraine attacks over 3 months. Further
studies are needed to assess generalizability and durability of this effect.
TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT00799045.
PMID- 26551306
TI - Laterally Mounted Azobenzenes on Platforms.
AB - Triazatriangulenium ions have previously been used as platforms to prepare self
assembled monolayers of functional molecules such as azobenzenes with vertical
orientation and that are free-standing on gold surfaces. We have now prepared
azobenzenes that are spanned between two posts which are attached on two
platforms. Absorbed on a gold surface, the azobenzene should be laterally
oriented at a distance of more than 4 A above and thus electronically decoupled
from the surface, and the system should perform a muscle-type movement upon
isomerization.
PMID- 26551305
TI - Overview: New Modality for Cancer Treatment.
AB - Cancer immunotherapy is now becoming a promising modality of cancer treatment
upon the clinical successes of adoptive T-cell transfer and immune checkpoint
blockade. At the 30th Nagoya International Cancer Treatment Symposium, Marcel
R.M. van den Brink (Memorial Sloan Kettering Cancer Center, MSKCC, New York,
N.Y., USA) showed novel strategies to control malignant relapse and graft-versus
host disease, both major obstacles for clinical benefits in allogeneic
hematopoietic stem cell transplantation. Alexander M. Lesokhin (MSKCC, New York,
N.Y., USA) presented an overview of immune checkpoint blockade, particularly
focusing on hematologic malignancies stressing the importance of immunomonitoring
to identify biomarkers.
PMID- 26551307
TI - Rotational Diffusion of Nonpolar and Ionic Solutes in 1-Alkyl-3-methylimidazolium
Tetrafluoroborate-LiBF4 Mixtures: Does the Electrolyte Induce the Structure
Making or Structure-Breaking Effect?
AB - Rotational diffusion of three structurally similar solutes, 9-phenylanthracene (9
PA), fluorescein (FL), and rhodamine 110 (R110), has been investigated in 1-butyl
3-methylimidazolium tetrafluoroborate-lithium tetrafluoroborate ([BMIM][BF4]
LiBF4) mixtures to understand the influence of the added electrolyte on the
mobility of nonpolar, anionic, and cationic solute molecules. It has been
observed that the reorientation times of the nonpolar solute 9-PA become
progressively shorter with an increase in the concentration of LiBF4 at a given
viscosity (eta) and temperature (T). In the case of ionic solutes also, a
decrease in the reorientation times has been observed upon the addition of the
electrolyte compared to those obtained in the neat ionic liquid at a given eta/T.
However, this decrease is found to be independent of [LiBF4]. 9-PA being a
nonpolar solute is located in the nonpolar domains of the ionic liquid. An
enhancement in [LiBF4] leads to an increase in the sizes of the nonpolar domains
resulting in the faster rotation of the solute. Anionic solute FL and cationic
solute R110, which are located in the ionic region experience specific
interactions with the cation and anion of the ionic liquid, respectively. In the
presence of electrolyte, however, the strengths of these specific interactions
diminish as the ions of the ionic liquid are not readily accessible to the solute
molecules due to the organized structure, which results in faster rotation. These
observations suggest that addition of LiBF4 induces a structure-making effect in
the ionic liquid.
PMID- 26551308
TI - [At the border of pluri- and multipotency: the neural crest stem cells].
AB - The neural crest is a transient, multipotent, migratory cell population that is
unique to vertebrate embryos and gives rise to many derivatives, ranging from the
neuronal and glial components of the peripheral nervous system to the
ectomesenchymal derivatives of the craniofacial area and pigment cells in the
skin. Intriguingly, the neural crest derived stem cells are not only present in
the embryonic neural crest, but also in their target tissues in the fetus and
adult. These postmigratory stem cells, at least partially, resemble their
multipotency. Moreover, fully differentiated neural crest-derived cells such as
Schwann cells and melanocytes are able to dedifferentiate into stem-like
progenitors. Here the authors review current understanding of this unique
plasticity and its potential application in stem cell biology as well as in
regenerative medicine.
PMID- 26551309
TI - [Inborn error of cholesterol biosynthesis: Smith-Lemli-Opitz syndrome].
AB - Smith-Lemli-Opitz syndrome is an autosomal recessive mental retardation and
multiple malformation syndrome caused by deficiency of the 7-dehydrocholesterol
reductase, the enzyme catalyzing the last step in cholesterol biosynthesis. The
authors summarize the pathophysiology, epidemiology, clinical picture,
diagnostics and therapy of the disease based on a review of the international
literature. Since 2004, fourteen patients have been diagnosed with Smith-Lemli
Opitz syndrome in Hungary, which suggests an underdiagnosis of the disease based
upon estimated incidence data. Due to deficiency of the 7-dehydrocholesterol
reductase, serum cholesterol concentration is low and 7-dehydrocholesterol
concentration is elevated in blood and tissues; the latter being highly specific
for the syndrome. Detection of disease-causing mutations makes the prenatal
diagnosis possible. The clinical spectrum is wide, the most common symptom is
syndactyly of the second and third toes. Standard therapy is cholesterol
supplementation. Recent publications suggest that oxidative compounds of 7
dehydrocholesterol may play a role in the pathophysiology of the disease as well.
PMID- 26551310
TI - [Palliative care in non-cancer, chronic, progressive diseases].
AB - Malignant and other chronic diseases cause the death of 2.5 million people in
Europe annually. It is anticipated that this number will grow due to the aging of
the European population. The death of a significant proportion of patients having
progressive chronic disease is preceded by an extended end of life stadium. In
this stage the patients have severe symptoms and pain that necessitate their
symptomatic treatment and palliative care. The assessment of the life expectancy
of patients, estimation of the prognosis of their illness and, therefore,
selection of patients with a need of intensified palliative care often pose
difficulties. This paper provides a summary on the basic elements of "good
palliative care". It introduces the most frequent models for the procession of
chronic diseases and those indicators that help practicing doctors to recognise
easier patients with a need of intensified palliative care, and as a result
provides more adequate medical attendance that is better suited to the specific
needs of the patients.
PMID- 26551311
TI - [Effect of healthy diet and physical activity on the level of non-HDL cholesterol
in obese subjects without cardiovascular disease and diabetes mellitus].
AB - INTRODUCTION: Prevention program including lifestyle changes was initiated with
the participation of obese and overweight subjects recruited from the practices
of 29 family doctors. AIM: The aim of the author was to analyse changes of non
HDL-cholesterol levels, especially when triglyceride levels were above 2.26
mmol/l, and when non-HDL cholesterol levels were high in association with low HDL
cholesterol levels in overweight or obese subjects who had no cardiovascular
disease and diabetes mellitus. METHOD: Data obtained from 1192 subjects (424 men
and 768 women) before and 12 month after inclusion into the prevention program
was analysed. RESULTS: The average level of non-HDL-cholesterol in the whole
group of subjects decreased from 4.74 to 4.64 mmol/l, but the change was not
significant. However, the average concentration of non-HDL-cholesterol was
reduced significantly from 4.87 to 4.4 mmol/l in men, whereas no significant
change was detected in women. In cases when triglyceride levels were higher than
2.26 mmol/l, the non-HDL-cholesterol level was reduced by 0.65 mmol/l. In cases
when the non-HDL-cholesterol level was high in association with low HDL
cholesterol level, the non-HDL-cholesterol was significantly decreased from 5.22
to 4.48 mmol/l. In addition, in cases when HDL-cholesterol levels were low, the
average level of the HDL-cholesterol significantly increased from 0.84 to 1.3
mmol/l. CONCLUSIONS: Lifestyle changes decrease the level of atherogenic lipid
fractions, particularly in men with high triglyceride levels. Improvement of the
atherogenic lipid profile in response to lifestyle changes is related not only to
the reduction of atherogenic lipid fractions, but also to the increase of HDL
cholesterol level.
PMID- 26551312
TI - [A new questionnaire for the assessment of parental health literacy].
AB - INTRODUCTION: Parental health literacy is an important factor of pediatric
health. Although it is thorougly studied in other countries, neither proper
definition, nor adequate tool for its measurement exists in Hungarian. AIM: The
aim of this work was to define the dimensions of parental health literacy and to
introduce a questionnaire for its measurement. METHOD: Opinions of parents,
pediatric nurses and pediatricians on parental health literacy were used to
establish the definition and basic components. Based on these and previously
standardized tests a new questionnaire was developed. RESULTS: Four dimensions of
parental health literacy were formulated such as knowledge, functional literacy,
self-confidence and motivation. The new questionnaire assesses all four dimension
through eight topics. CONCLUSIONS: This is the first culturally adapted
definition and test of parental health literacy in Hungarian. With its
application the efficacy of both primary care services and health education may
be improved and the correlation between parental health literacy and pediatric
health may be properly studied.
PMID- 26551314
TI - CYP2D6 copy number distribution in the US population.
AB - The cytochrome P450 2D6 (CYP2D6) gene is perhaps the most well characterized gene
involved in drug metabolism and is known to have both gene duplication and
deletion variants that are inheritable and stable. In a set of over 30,000
deidentified clinical samples we found that 12.6% of all patients tested had
zero, one, or three or more copies of the CYP2D6 gene. On the basis of the
combined frequency and impact of these variants, we believe that CYP2D6 copy
number variation may account for the single most impactful genetic anomaly as it
relates to pharmacogenetic directed therapies.
PMID- 26551315
TI - Metalaxyl Resistance in Phytophthora infestans: Assessing Role of RPA190 Gene and
Diversity Within Clonal Lineages.
AB - Prior work has shown that the inheritance of resistance to metalaxyl, an oomycete
specific fungicide, is complex and may involve multiple genes. Recent research
indicated that a single nucleotide polymorphism (SNP) in the gene encoding
RPA190, the largest subunit of RNA polymerase I, confers resistance to metalaxyl
(or mefenoxam) in some isolates of the potato late blight pathogen Phytophthora
infestans. Using both DNA sequencing and high resolution melt assays for
distinguishing RPA190 alleles, we show here that the SNP is absent from certain
resistant isolates of P. infestans from North America, Europe, and Mexico. The
SNP is present in some members of the US-23 and US-24 clonal lineages, but these
tend to be fairly sensitive to the fungicide based on artificial media and field
test data. Diversity in the level of sensitivity, RPA190 genotype, and RPA190
copy number was observed in these lineages but were uncorrelated. Controlled
laboratory crosses demonstrated that RPA190 did not cosegregate with metalaxyl
resistance from a Mexican and British isolate. We conclude that while metalaxyl
may be used to control many contemporary strains of P. infestans, an assay based
on RPA190 will not be sufficient to diagnose the sensitivity levels of isolates.
PMID- 26551316
TI - Nanomedicine for Infectious Disease Applications: Innovation towards Broad
Spectrum Treatment of Viral Infections.
AB - Nanomedicine enables unique diagnostic and therapeutic capabilities to tackle
problems in clinical medicine. As multifunctional agents with programmable
properties, nanomedicines are poised to revolutionize treatment strategies. This
promise is especially evident for infectious disease applications, for which the
continual emergence, re-emergence, and evolution of pathogens has proven
difficult to counter by conventional approaches. Herein, a conceptual framework
is presented that envisions possible routes for the development of nanomedicines
as superior broad-spectrum antiviral agents against enveloped viruses. With lipid
membranes playing a critical role in the life cycle of medically important
enveloped viruses including HIV, influenza, and Ebola, cellular and viral
membrane interfaces are ideal elements to incorporate into broad-spectrum
antiviral strategies. Examples are presented that demonstrate how nanomedicine
strategies inspired by lipid membranes enable a wide range of targeting
opportunities to gain control of critical stages in the virus life cycle through
either direct or indirect approaches involving membrane interfaces. The
capabilities can be realized by enabling new inhibitory functions or improving
the function of existing drugs through nanotechnology-enabled solutions. With
these exciting opportunities, due attention is also given to the clinical
translation of nanomedicines for infectious disease applications, especially as
pharmaceutical drug-discovery pipelines demand new routes of innovation.
PMID- 26551318
TI - Need for Rectal Biopsy for Childhood Constipation Predicts Severity of Illness
and Need for Laxatives.
AB - OBJECTIVES: This study aimed to examine the long-term clinical outcomes of
children with severe constipation, as defined by need for rectal biopsy (RB), and
to determine which baseline characteristics were predictors of successful
outcome. METHODS: Children with severe constipation who underwent RB for
evaluation of Hirschsprung disease at a tertiary medical center were eligible. A
cohort of children with constipation without a history of RB served as controls
(matched 2:1 by sex and age). Retrospective chart review of clinic visits was
performed at baseline, 3, 6, 12, 18, and 24 months. Successful clinical outcomes
were defined as >=3 bowel movements weekly for >=4 weeks, with <=2 fecal
incontinence episodes monthly, irrespective of laxative use. RESULTS: A total of
175 RB children (90 boys, mean age: 6.7 years) were matched to 350 controls. Mean
duration of constipation symptoms before intake in the RB group was significantly
longer compared with controls (3.7 vs 0.4 years, P < 0.001). By 24 months, the
cumulative percentage of children achieving at least 1 period of successful
outcome was significantly higher in the control group compared with RB population
(73% vs 24%, P < 0.001). Multivariate analysis revealed that younger age (P =
0.001, odds ratio 0.87) and shorter duration of constipation before RB (P = 0.03,
odds ratio 0.45) were significant predictors of successful outcome. CONCLUSIONS:
Only one-quarter of patients with severe constipation achieved successful outcome
during 2-year follow-up. Younger age and shorter duration of constipation at time
of biopsy were predictors of successful outcomes, emphasizing the importance of
early diagnosis and initiation of treatment in this population.
PMID- 26551317
TI - Practical Use of Infliximab Concentration Monitoring in Pediatric Crohn Disease.
AB - OBJECTIVES: Therapeutic drug monitoring (TDM) that guides infliximab (IFX)
intensification strategies has been shown to improve IFX efficacy. We conducted a
review to evaluate the utility of TDM in the assessment and subsequent management
of IFX loss of response in our pediatric population with Crohn disease (CD).
METHODS: Single-center retrospective study of patients with CD receiving IFX that
had TDM from December 2009 to September 2013. We defined subtherapeutic trough as
a drug level below the detection limit of the Prometheus enzyme-linked
immunoabsorbant assay and Anser reference values (1.4 and 1 MUg/mL, respectively)
or a mid-interval level <12 MUg/mL. RESULTS: One hundred ninety-one IFX
concentration tests were performed on 72 patients with CD with loss of response
to therapy as the primary indication (72%). 34% of all TDM were subtherapeutic.
After initial TDM, 25 of the 72 patients received regimen intensification with
72% in clinical remission at 6 months. Including all of the TDM that resulted in
IFX dose intensification, we found a significant improvement in 6-month remission
rates whether intensification followed mid-interval (88% remission) or trough
(56% remission) testing (P = 0.026). Antibody to infliximab was found in 14
patients with 5 occurring in the first year of therapy. Furthermore, 71% of
patients with antibody to infliximab that were switched to an alternative anti
tumor necrosis factor achieved clinical remission at six months. In multivariable
regression analysis, we found IFX dose (mg/kg), IFX dosing frequency (weeks), and
the erythrocyte sedimentation rate at the previous infusion were significantly
associated with the IFX concentration. CONCLUSIONS: TDM in our pediatric
population with CD led to informed clinical decisions and improved rates of
clinical remission.
PMID- 26551319
TI - Decreased Expression of Enterocyte Nutrient Assimilation Genes and Proteins in
the Small Intestine of Cystic Fibrosis Mouse.
AB - OBJECTIVES: Cystic fibrosis (CF) has major effects on the intestinal tract with
potential consequences on nutrition, but these are not fully understood. I
investigated the possibility of altered enterocyte maturation in CF, as suggested
by decreased enterocyte nutrient assimilation gene expression in published
transcriptome analysis of the small intestine of CF mouse. METHODS: In CF and
wild-type (WT) mice, enterocyte gene/protein expression was analyzed by
quantitative realtime polymerase chain reaction (qRT-PCR), enzyme histochemistry,
immunohistochemistry, and Western blot. One group of mice was maintained on a
control liquid diet; to manipulate the gut microbiota, a second group was treated
with oral antibiotics; to improve hydration of the gut lumen, a third group was
given a laxative drinking solution. RESULTS: On the control diet in the CF
intestine, there were decreased levels (67%-85% reduction of WT levels) of
enterocyte genes/proteins. Antibiotics did not normalize the expression of
enterocyte markers in the CF mouse. In contrast, the laxative treatment of CF
mice significantly increased expression to near WT levels. CONCLUSIONS: These
studies suggest that the environment of the CF intestinal lumen plays a role in
reduced maturation of enterocytes. Because changing the gut lumen environment can
affect enterocyte maturation, this is not a cell-autonomous effect of loss of CF
transmembrane conductance regulator.
PMID- 26551320
TI - Electrochemically Functionalized Seamless Three-Dimensional Graphene-Carbon
Nanotube Hybrid for Direct Electron Transfer of Glucose Oxidase and
Bioelectrocatalysis.
AB - Three-dimensional seamless chemical vapor deposition (CVD) grown graphene-carbon
nanotubes (G-CNT) hybrid film has been studied for its potential in achieving
direct electron transfer (DET) of glucose oxidase (GOx) and its
bioelectrocatalytic activity in glucose detection. A two-step CVD method was
employed for the synthesis of seamless G-CNT hybrid film where CNTs are grown on
already grown graphene film on copper foil using iron as a catalyst. Physical
characterization using SEM and TEM show uniform dense coverage of multiwall
carbon nanotubes (MWCNT) grown directly on graphene with seamless contacts. The G
CNT hybrid film was electrochemically modified to introduce oxygenated functional
groups for DET favorable immobilization of GOx. Pristine and electrochemically
functionalized G-CNT film was characterized by electrochemical impedance
spectroscopy (EIS), cyclic voltammetry, X-ray photoelectron-spectroscopy, and
Raman spectroscopy. The DET between GOx and electrochemically oxidized G-CNT
electrode was studied using cyclic voltammetry which showed a pair of well
defined and quasi-reversible redox peaks with a formal potential of -459 mV at pH
7 corresponding to the redox site of GOx. The constructed electrode detected
glucose concentration over the clinically relevant range of 2-8 mM with the
highest sensitivity of 19.31 MUA/mM/cm(2) compared to reported composite hybrid
electrodes of graphene oxide and CNTs. Electrochemically functionalized CVD grown
seamless G-CNT structure used in this work has potential to be used for
development of artificial mediatorless redox enzyme based biosensors and biofuel
cells.
PMID- 26551321
TI - Wearing a Wetsuit Alters Upper Extremity Motion during Simulated Surfboard
Paddling.
AB - Surfers often wear wetsuits while paddling in the ocean. This neoprene covering
may be beneficial to upper extremity movement by helping to improve
proprioceptive acuity, or it may be detrimental by providing increased
resistance. The purpose of this study was to evaluate the effects of wearing a
wetsuit on muscle activation, upper extremity motion, heart rate, and oxygen
consumption during simulated surfboard paddling in the laboratory. Twelve male,
recreational surfers performed two paddling trials at a constant workload on a
swim bench ergometer both with and without a wetsuit. Kinematic data and EMG were
acquired from the right arm via motion capture, and oxygen consumption and heart
rate were recorded with a metabolic cart and heart rate monitor. Wearing a
wetsuit had no significant effect on oxygen consumption or heart rate. A
significant increase in EMG activation was observed for the middle deltoid but
not for any of the other shoulder muscle evaluated. Finally, approximate entropy
and estimates of the maximum Lyapunov exponent increased significantly for
vertical trajectory of the right wrist (i.e. stroke height) when a wetsuit was
worn. These results suggest that a 2mm wetsuit has little effect on the energy
cost of paddling at lower workloads but does affect arm motion. These changes may
be the result of enhanced proprioceptive acuity due to mechanical compression
from the wetsuit.
PMID- 26551322
TI - Soybean phosphatidylcholine liposomes as model membranes to study lipid
peroxidation photoinduced by pterin.
AB - Oxidized pterins, efficient photosensitizers under UVA irradiation, accumulate in
the skin of patients suffering from vitiligo, a chronic depigmentation disorder.
Soybean phosphatidylcholine (SoyPC) liposomes were employed as model membranes to
investigate if pterin (Ptr), the parent compound of oxidized pterins, is able to
photoinduced lipid peroxidation. Size exclusion chromatography and dialysis
experiments showed that Ptr is not encapsulated inside the liposomes and the
lipid membrane is permeable to this compound. The formation of conjugated dienes
and trienes, upon UVA irradiation, was followed by absorption at 234 and 270 nm,
respectively. The photoproducts were characterized by mass spectrometry and
oxygenation of SoyPC was demonstrated. In addition, analysis of MS/MS spectra
suggested the formation hydroperoxides. Finally, the biological implications of
the findings are discussed.
PMID- 26551323
TI - Sub-ten-nanometer heterogeneity of solid supported lipid membranes determined by
solution atomic force microscopy.
AB - Visually detecting nanoscopic structures in lipid membranes is important for
elucidating lipid-lipid interactions, which are suggested to play a role in
mediating membrane rafts. We use solution atomic force microscopy (AFM) to study
lateral and normal organization in multicomponent lipid membranes supported by
mica substrate. Nanoscopic heterogeneity is observed in a three-component system
composed of 1-palmitoyl-2-oleoyl-sn-glycero-3-phosphocholine (POPC)/brain
sphingomyelin (bSM)/cholesterol (Chol). We find sub-ten-nanometer correlation
lengths that are used to describe membrane lateral organization. In addition, we
find that the correlation length is independent on cholesterol concentration,
while the height fluctuation (variation) is not. To explore the mechanism that
controls the size of membrane heterogeneity, we extend our study to a four
component system composed of 1,2-dioleoyl-sn-glycero-3-phosphocholine
(DOPC)/POPC/bSM/Chol. By systematically adjusting the relative amount of DOPC and
POPC, we obtain macroscopic-to-nanoscopic size transition of membrane
heterogeneity. In contrast to the results from vesicle based fluorescence
microscopy, we find that the structural transition is continuous both in the
lateral and normal directions. We compare our nanoscopic structures to two
theoretical models, and find that both the critical fluctuations and the
nanodomain models are not sufficient to account for our solution AFM data.
Finally, we propose a nanoheterogeneity model that could serve as the
organization principle of the observed nanoscopic structures in multicomponent
lipid membranes.
PMID- 26551324
TI - Boosting Local Field Enhancement by on-Chip Nanofocusing and Impedance-Matched
Plasmonic Antennas.
AB - Strongly confined surface plasmon-polariton modes can be used for efficiently
delivering the electromagnetic energy to nanosized volumes by reducing the cross
sections of propagating modes far beyond the diffraction limit, that is, by
nanofocusing. This process results in significant local-field enhancement that
can advantageously be exploited in modern optical nanotechnologies, including
signal processing, biochemical sensing, imaging, and spectroscopy. Here, we
propose, analyze, and experimentally demonstrate on-chip nanofocusing followed by
impedance-matched nanowire antenna excitation in the end-fire geometry at telecom
wavelengths. Numerical and experimental evidence of the efficient excitation of
dipole and quadrupole (dark) antenna modes are provided, revealing underlying
physical mechanisms and analogies with the operation of plane-wave Fabry-Perot
interferometers. The unique combination of efficient nanofocusing and nanoantenna
resonant excitation realized in our experiments offers a major boost to the field
intensity enhancement up to ~12000, with the enhanced field being evenly
distributed over the gap volume of 30 * 30 * 10 nm(3), and promises thereby a
variety of useful on-chip functionalities within sensing, nonlinear spectroscopy
and signal processing.
PMID- 26551325
TI - Haemaphysalis longicornis tick bites are a possible cause of red meat allergy in
Japan.
AB - Recent studies revealed that Amblyomma or Ixodes tick bites may cause red meat
allergy, in which galactose-alpha-1,3-galactose (alpha-Gal) is a major IgE
binding epitope. The incidence of red meat allergy is high in Shimane Prefecture,
as is tick-transmitted Japanese spotted fever. Therefore, we speculated that tick
bites may cause these meat allergies. The carbohydrate alpha-Gal was detected in
the salivary gland protein of Haemaphysalis longicornis (H. longicornis), the
vector for Japanese spotted fever, by immunoblotting using anti-alpha-Gal
antibody. H. longicornis salivary gland protein-specific IgE was detected in the
sera of 24 of 30 patients with red meat allergies. Sensitization to tick salivary
gland protein containing alpha-Gal is possibly a major etiology of red meat
allergy; the carbohydrate plays a crucial role in its allergenicity. These
results further indicate that the alpha-Gal epitope is present not only in
Amblyomma or Ixodes, but also in Haemaphysalis.
PMID- 26551327
TI - Breastsleeping or not?
PMID- 26551326
TI - Trinitrotoluene Induces Endoplasmic Reticulum Stress and Apoptosis in HePG2
Cells.
AB - BACKGROUND: This study aims to describe trinitrotoluene (TNT)-induced endoplasmic
reticulum stress (ERS) and apoptosis in HePG2 cells. MATERIAL AND METHODS: HePG2
cells were cultured in vitro with 0, 6, 12, or 24 MUg/ml TNT solution for 12, 24,
and 48 h. Western blotting was performed to detect intracellular ERS-related
proteins, including glucose-regulated protein (GRP) 78, GRP94, Caspase 4, p-Jun N
terminal kinase (JNK), and C/EBP homologous protein (CHOP). Real-time PCR was
used to measure mRNA expression from the respective genes. RESULTS: The
expressions of ERS-related proteins GRP78 and GRP94 as well as mRNA and protein
expression of ERS signaling apoptotic CHOP in the TNT treatment group were
significantly increased. In addition, the mRNA and protein expression levels of
ERS-induced apoptotic protein Caspase-4 were significantly increased. Flow
cytometry revealed that after TNT treatment, the apoptosis rate also
significantly increased. CONCLUSIONS: TNT could increase the expression levels of
GRP78, GRP94, Caspase-4, and CHOP in HePG2 cells; this increase in protein
expression might be involved in HePG2 apoptosis through the induction of the ERS
pathway.
PMID- 26551329
TI - A Novel, Real-Time, In Vivo Mouse Retinal Imaging System.
AB - PURPOSE: To develop an efficient, low-cost instrument for robust real-time
imaging of the mouse retina in vivo, and assess system capabilities by evaluating
various animal models. METHODS: Following multiple disappointing attempts to
visualize the mouse retina during a subretinal injection using commercially
available systems, we identified the key limitation to be inadequate illumination
due to off axis illumination and poor optical train optimization. Therefore, we
designed a paraxial illumination system for Greenough-type stereo dissecting
microscope incorporating an optimized optical launch and an efficiently coupled
fiber optic delivery system. Excitation and emission filters control spectral
bandwidth. A color coupled-charged device (CCD) camera is coupled to the
microscope for image capture. Although, field of view (FOV) is constrained by the
small pupil aperture, the high optical power of the mouse eye, and the long
working distance (needed for surgical manipulations), these limitations can be
compensated by eye positioning in order to observe the entire retina. RESULTS:
The retinal imaging system delivers an adjustable narrow beam to the dilated
pupil with minimal vignetting. The optic nerve, vasculature, and posterior pole
are crisply visualized and the entire retina can be observed through eye
positioning. Normal and degenerative retinal phenotypes can be followed over
time. Subretinal or intraocular injection procedures are followed in real time.
Real-time, intravenous fluorescein angiography for the live mouse has been
achieved. CONCLUSIONS: A novel device is established for real-time viewing and
image capture of the small animal retina during subretinal injections for
preclinical gene therapy studies.
PMID- 26551330
TI - Phenotype Characteristics of Fellow Eyes in Patients With Early Onset of
Neovascular Age-Related Macular Degeneration.
AB - PURPOSE: To investigate phenotype characteristics of fellow eyes in patients with
early onset of neovascular age-related macular degeneration (NVAMD). METHODS:
Patients with new-onset unilateral NVAMD between 50 and 65 years (n = 57, early
onset choroidal neovascularization [CNV] group) or >80 years (n = 47, late-onset
CNV group) or with nonneovascular AMD (n = 98, no-CNV group) were included.
Fellow eyes in both CNV groups and the eyes with the more severe AMD staging in
the no-CNV group were used to evaluate number and size of macular drusen,
extramacular drusen (EMD), pigmentary abnormalities, and retinal pigment
epithelium (RPE) atrophy on color photographs and hyperreflective dots (HRD) and
reticular pseudodrusen (RPD) on spectral-domain optical coherence tomography
(SDOCT) scans. Regression analysis was used to compare groups. RESULTS:
Occurrence of >20 macular drusen was more frequent in the early-onset CNV group
than the late-onset CNV group (odds ratio [OR] 2.93; P = 0.01) or the no-CNV
group (OR 2.17; P = 0.02). Retinal pigment epithelium atrophy, RPD, and HRD
appeared less frequently in the early-onset CNV group than in the late-onset CNV
group (RPE atrophy: OR 0.11; P = 0.005; RPD: OR 0.04; P = 9.38 * 10-10, HRD: OR
0.30; P = 0.004) and no-CNV group (RPE atrophy: OR 0.12; P = 0.005; RPD: OR 0.40,
P = 0.03, HRD: not significant). No differences were detected regarding presence
of large drusen, pigmentary abnormalities, and EMD. CONCLUSIONS: A large number
of macular drusen in the fellow eye appeared to be characteristic for early onset
of NVAMD, whereas RPE atrophy, HRD, and RPD were more frequently present in AMD
patients > 80 years. Prospective trials with patients converting to NVAMD are
required to further analyze morphologic characteristics for early versus late
development of advanced AMD.
PMID- 26551331
TI - Quantitative Fundus Autofluorescence and Optical Coherence Tomography in ABCA4
Carriers.
AB - PURPOSE: To assess whether carriers of ABCA4 mutations have increased RPE
lipofuscin levels based on quantitative fundus autofluorescence (qAF) and whether
spectral-domain optical coherence tomography (SD-OCT) reveals structural
abnormalities in this cohort. METHODS: Seventy-five individuals who are
heterozygous for ABCA4 mutations (mean age, 47.3 years; range, 9-82 years) were
recruited as family members of affected patients from 46 unrelated families. For
comparison, 57 affected family members with biallelic ABCA4 mutations (mean age,
23.4 years; range, 6-67 years) and two noncarrier siblings were also enrolled.
Autofluorescence images (30 degrees , 488-nm excitation) were acquired with a
confocal scanning laser ophthalmoscope equipped with an internal fluorescent
reference. The gray levels (GLs) of each image were calibrated to the reference,
zero GL, magnification, and normative optical media density to yield qAF.
Horizontal SD-OCT scans through the fovea were obtained and the thicknesses of
the outer retinal layers were measured. RESULTS: In 60 of 65 carriers of ABCA4
mutations (age range, 9-60), qAF levels were within normal limits (95% confidence
level) observed for healthy noncarrier subjects, while qAF levels of affected
family members were significantly increased. Perifoveal fleck-like abnormalities
were observed in fundus AF images in four carriers, and corresponding changes
were detected in the outer retinal layers in SD-OCT scans. Thicknesses of the
outer retinal layers were within the normal range. CONCLUSIONS: With few
exceptions, individuals heterozygous for ABCA4 mutations and between the ages of
9 and 60 years do not present with elevated qAF. In a small number of carriers,
perifoveal fleck-like changes were visible.
PMID- 26551333
TI - Effects of Flavor and Texture on the Sensory Perception of Gouda-Type Cheese
Varieties during Ripening Using Multivariate Analysis.
AB - The impact of flavor composition, texture, and other factors on desirability of
different commercial sources of Gouda-type cheese using multivariate analyses on
the basis of sensory and instrumental analyses were investigated. Volatile aroma
compounds were measured using headspace solid-phase microextraction gas
chromatography/mass spectrometry (GC/MS) and steam distillation extraction (SDE)
GC/MS, and fatty acid composition, low-molecular-weight compounds, including
amino acids, and organic acids, as well pH, texture, and color were measured to
determine their relationship with sensory perception. Orthogonal partial least
squares-discriminant analysis (OPLS-DA) was performed to discriminate between 2
different ripening periods in 7 sample sets, revealing that ethanol, ethyl
acetate, hexanoic acid, and octanoic acid increased with increasing sensory
attribute scores for sweetness, fruity, and sulfurous. A partial least squares
(PLS) regression model was constructed to predict the desirability of cheese
using these parameters. We showed that texture and buttery flavors are important
factors affecting the desirability of Gouda-type cheeses for Japanese consumers
using these multivariate analyses.
PMID- 26551332
TI - Compromised Optic Nerve Blood Flow and Autoregulation Secondary to Neural
Degeneration.
AB - PURPOSE: To test the hypothesis that optic nerve head (ONH) blood flow (BF) and
autoregulation compromise are consequences of optic nerve degeneration induced by
surgical optic nerve transection (ONT). METHODS: In both eyes of five nonhuman
primates, peripapillary retinal nerve fiber layer thickness (RNFLT) was measured
by spectral-domain optical coherence tomography. Optic nerve head BF and dynamic
autoregulation responses to a rapid manometric IOP increase (from 10-40 mm Hg)
were measured by Laser Speckle Flowgraphy. The measurements were conducted every
10 to 15 days before and after unilateral ONT. Post-ONT measurements were
repeated until RNFLT in the ONT eye was reduced by more than 40% of baseline
value. RESULTS: After ONT, RNFLT, and ONH BF progressively declined over time (P
< 0.0001 and P = 0.02, respectively). Longitudinal changes between the two were
highly correlated (P < 0.0001). When data was grouped by test session, the first
significant decreases for RNFLT and BF were found 13 +/- 0.8 and 24 +/- 3.2 days
post ONT, respectively (P < 0.05, both). At the final time point (55 +/- 0.5 days
post ONT), RNFLT, and BF were reduced by 44% +/- 2.0% and 38 +/- 5.0% from
baseline, respectively. Dynamic autoregulation analysis showed marginal increased
response time in post-ONT eyes (P = 0.05). Control eyes showed no longitudinal
changes for any parameter. CONCLUSIONS: The close association between RNFLT loss
and ONH BF decrease following optic nerve degeneration demonstrated a clear cause
and effect relationship. Increased BF response time appears to be a sign of
dynamic autoregulation dysfunction in this ONT model.
PMID- 26551334
TI - NIR-Laser-Switched In Vivo Smart Nanocapsules for Synergic Photothermal and
Chemotherapy of Tumors.
AB - In vivo MEO2 MA@MEO2 MA-co-OEGMA-CuS-DOX (G-CuS-DOX) nanocapsules increase the
temperature of tumors from room temperature to 57 degrees C due to the
photothermal effect under irradiation from a 915-nm laser. When the temperature
exceeds 42 degrees C, photothermal therapy of G-CuS-DOX is switched on.
Simultaneously, higher temperatures (>LCST, 42 degrees C) induce volume
shrinkage of G-CuS-DOX in vivo, leading to the controllable release of the
anticancer drug DOX. If the NIR laser is switched off, both therapy effects are
interrupted immediately.
PMID- 26551335
TI - First report of the nutritional profile and antioxidant potential of Holothuria
arguinensis, a new resource for aquaculture in Europe.
AB - This work reports for the first time the nutritional profile and antioxidant
potential of the edible sea cucumber Holothuria arguinensis from the North
eastern Atlantic. H. arguinensis has high levels of protein, with the amino acids
profile dominated by alanine, glycine and proline and low lysine/arginine ratios.
Its carbohydrate and energetic contents are also low as well as the total lipid
levels, although its lipid profile is rich in polyunsaturated fatty acids (PUFA),
especially arachidonic, eicosapentaenoic and docosahexaenoic acids. In addition,
H. arguinensis has high levels of calcium. The water and ethanol extracts show
ability to scavenge free radicals and to chelate copper and iron ions. Our
results indicate that H. arguinensis has a balanced nutritional quality suitable
for human consumption. In addition, it contains compounds with antioxidant
potential; thus its intake can contribute for a healthy and well-balanced diet.
PMID- 26551336
TI - Isothermal Amplification of Nucleic Acids.
AB - Isothermal amplification of nucleic acids is a simple process that rapidly and
efficiently accumulates nucleic acid sequences at constant temperature. Since the
early 1990s, various isothermal amplification techniques have been developed as
alternatives to polymerase chain reaction (PCR). These isothermal amplification
methods have been used for biosensing targets such as DNA, RNA, cells, proteins,
small molecules, and ions. The applications of these techniques for in situ or
intracellular bioimaging and sequencing have been amply demonstrated. Amplicons
produced by isothermal amplification methods have also been utilized to construct
versatile nucleic acid nanomaterials for promising applications in biomedicine,
bioimaging, and biosensing. The integration of isothermal amplification into
microsystems or portable devices improves nucleic acid-based on-site assays and
confers high sensitivity. Single-cell and single-molecule analyses have also been
implemented based on integrated microfluidic systems. In this review, we provide
a comprehensive overview of the isothermal amplification of nucleic acids
encompassing work published in the past two decades. First, different isothermal
amplification techniques are classified into three types based on reaction
kinetics. Then, we summarize the applications of isothermal amplification in
bioanalysis, diagnostics, nanotechnology, materials science, and device
integration. Finally, several challenges and perspectives in the field are
discussed.
PMID- 26551337
TI - Molecular Dynamics Simulations and Structural Analysis of Giardia duodenalis 14-3
3 Protein-Protein Interactions.
AB - Giardiasis is a gastrointestinal diarrheal illness caused by the protozoan
parasite Giardia duodenalis, which affects annually over 200 million people
worldwide. The limited antigiardial drug arsenal and the emergence of clinical
cases refractory to standard treatments dictate the need for new
chemotherapeutics. The 14-3-3 family of regulatory proteins, extensively involved
in protein-protein interactions (PPIs) with pSer/pThr clients, represents a
highly promising target. Despite homology with human counterparts, the single 14
3-3 of G. duodenalis (g14-3-3) is characterized by a constitutive phosphorylation
in a region critical for target binding, thus affecting the function and the
conformation of g14-3-3/clients interaction. However, to approach the design of
specific small molecule modulators of g14-3-3 PPIs, structural elucidations are
required. Here, we present a detailed computational and crystallographic study
exploring the implications of g14-3-3 phosphorylation on protein structure and
target binding. Self-Guided Langevin Dynamics and classical molecular dynamics
simulations show that phosphorylation affects locally and globally g14-3-3
conformation, inducing a structural rearrangement more suitable for target
binding. Profitable features for g14-3-3/clients interaction were highlighted
using a hydrophobicity-based descriptor to characterize g14-3-3 client peptides.
Finally, the X-ray structure of g14-3-3 in complex with a mode-1 prototype
phosphopeptide was solved and combined with structure-based simulations to
identify molecular features relevant for clients binding to g14-3-3. The data
presented herein provide a further and structural understanding of g14-3-3
features and set the basis for drug design studies.
PMID- 26551338
TI - Developing ALK Immunohistochemistry and In Situ Hybridization Proficiency Testing
for Non-Small Cell Lung Cancer in Canada: Canadian Immunohistochemistry Quality
Control Challenges and Successes.
AB - Intrachromosomal rearrangements involving the ALK gene are found in 3% to 5% of
non-small cell lung cancers. Crizotinib is a tyrosine kinase inhibitor that has
been shown to prolong progression-free survival in patients with advanced non
small cell lung cancer harboring ALK gene rearrangements. In Canada, ALK
immunohistochemistry (IHC) is used as a screening test before confirmation by
fluorescence in situ hybridization (FISH). Canadian Immunohistochemistry Quality
Control (CIQC) provides ALK (Lung Cancer) proficiency testing (PT) for Canadian
IHC laboratories. Samples included 32 previously characterized cases (IHC and
FISH) either from the Canadian ALK (CALK) project or from CIQC reference
laboratories. The same design was used for both runs. A total of 20 laboratories
participated in Run 1 and 22 in Run 2. Some laboratories participated in the
anticipation of future need and used the PT exercise as a part of test
development and validation. Results of the IHC testing were first self-reported
using the CIQC TMA Scorer and then evaluated by expert assessment. FISH results
were self-reported only. Participants also reported details about IHC and FISH
protocols. The kappa-values were calculated, for which values >0.80 were used as
acceptable results, respectively. The pass rate between the 2 runs and between
different primary antibodies were compared. Six of the 22 protocols (27%) in Run
1 and 15 of the 22 (68%) protocols in Run 2 passed the CIQC PT criteria for IHC
testing. The increase in the pass rate for Run 2 was significant (P=0.03,
Wilcoxon signed-rank test). All reported FISH results were correct. CALK
laboratories had significantly higher kappa-values than non-CALK laboratories
(P=0.002, t test). PT for IHC for rare diseases such as ALK-positive lung cancer
is feasible, but challenging. The academic nature of the CIQC program and
collaboration on a national level facilitated the development of appropriate PT
samples. Participating laboratories made use of the PT exercise either to confirm
that their testing was properly calibrated or to improve their protocols, which
was confirmed by the achievement of significantly better results in Run 2. They
also used CIQC's PT program for new test development and optimization.
PMID- 26551340
TI - Patterns and Significance of PIM Kinases in Urothelial Carcinoma.
AB - BACKGROUND: The Provirus integrating site Moloney murine leukemia virus (Pim)
family are proteins with serine/threonine kinase activity. Studies have
demonstrated overexpression of Pims in cancer. To our knowledge, only a single
study has examined Pim-1 in urothelial carcinoma. The aim of this investigation
was to evaluate Pim-1, Pim-2, and Pim-3 in urothelial carcinoma and assess for
expression that may contribute to disease progression and serve as a site for
targeted therapy. METHODS: This retrospective study included 137 cases taken from
specimens from the University of Utah, Department of Pathology (2008 to 2011).
Tissue was stained with antibodies against Pim-1, Pim-2, and Pim-3. Cases were
classified into 3 groups, based upon current World Health Organization criteria
(invasive high-grade urothelial carcinoma [IHG] [n=84], noninvasive high-grade
urothelial carcinoma/carcinoma in situ [n=32], and noninvasive low-grade
urothelial carcinoma [NILG] [n=21]). Cases were scored and recorded as positive
or negative on the basis of the percentage of cells with cytoplasmic and/or
nuclear staining. RESULTS: NILG showed higher expression of Pim-1 (relative
expression rate [RER]=2.28; 95% confidence interval [CI], 0.183-0.764) and Pim-3
(RER=3.06; 95% CI, 0.423-0.816) compared with other lesions. IHG had lower
expression of Pim-1 (RER=0.31; 95% CI, 0.401-0.844) and Pim-3 (RER=0.354; 95% CI,
0.322-0.816) and noninvasive high-grade urothelial carcinoma (NIHG) demonstrated
increased expression of Pim-1 and (RER=2.09; 95% CI, 0.124-0.739) and Pim-2
(RER=1.70; 95% CI, 0.151-0.591). At least 1 Pim kinase protein was expressed at
the following rates: 49% in IHG, 66% in NIHG, and 76% in NILG. CONCLUSION: A high
percentage of urothelial carcinomas express Pim kinases. Pim expression differs
in NILG, NIHG, and IHG lesions.
PMID- 26551339
TI - The Clinicopathologic Spectrum of Rapidly Progressive Glomerulonephritis Based on
Glomerular Immune Deposition and Antineutrophil Cytoplasmic Antibody.
AB - Rapidly progressive glomerulonephritis presents crescentic glomerulonephritis
(CrGN) pathologically. Immune complex (IC)-mediated CrGN is characterized by
glomerular IC deposits, whereas pauci-immune CrGN is characterized by presence of
antineutrophil cytoplasmic antibody (ANCA) and absence of glomerular IC deposits.
CrGN cases presenting both IC deposits and ANCA were common. We retrospectively
investigated 91patients with rapidly progressive glomerulonephritis, including 36
patients with idiopathic IC-mediated CrGN and 55 patients with pauci-immune CrGN.
On the basis of ANCA and IC deposits, there were 42 patients with ANCA alone
(ANCA+IC-), 6 patients with IC deposits alone (ANCA-IC+), 30 patients with both
ANCA and IC deposits (ANCA+IC+), and 13 patients with neither ANCA nor IC
deposits. The patients with IC-mediated CrGN had more proteinuria, lower
estimated glomerular filtration rate (eGFR), higher percentage of cellular
crescent formation, and a worse renal outcome compared with those with pauci
immune CrGN. The ANCA+IC+ CrGN patients had lower eGFR level, higher percentage
of crescent formation and a tendency of more proteinuria, and worse renal outcome
compared with ANCA+IC- CrGN patients, but had no significant differences on the
above characteristics compared with ANCA-IC+ CrGN patients. Within a median 7.1
months, 22 patients developed end-stage renal disease. Cox regression revealed
the factors including lower eGFR level, more proteinuria, lower platelet level,
higher glomerular global sclerosis rate, and glomerular IgG deposits were the
independent factors for worse renal outcome. In conclusion, the clinicopathologic
spectrum of ANCA+IC+ CrGN was similar with IC-mediated CrGN and glomerular IgG
deposition was one of the independent factors for worse renal outcome.
PMID- 26551341
TI - Novel Clonal der(9)t(5;9)(q31;q34) Cytogenetic Translocation in a Giant Cell
Tumor of Bone.
AB - Giant cell tumor of the bone (GCTB) is a benign but locally aggressive neoplasm
of long bones with higher chances of local recurrence. Many cytogenetic studies
have reported clonal telomeric associations with GCTB. Here, we report for the
first time a novel clonal translocation, der(9)t(5;9)(q31;q34), in a 25-year-old
male patient with GCTB in the left distal femur. The biological significance of
this translocation remains to be determined.
PMID- 26551342
TI - Meroterpenoids from a Tropical Dysidea sp. Sponge.
AB - Six new meroterpenoids (1-6), along with arenarol (7), a known rearranged drimane
sesquiterpene hydroquinone, were isolated from a Dysidea sp. sponge collected
from the Federated States of Micronesia. On the basis of the results of combined
spectroscopic analysis, compound 1 was determined to be the cyclic ether
derivative of 7, whereas 2 and 3 were assigned as the corresponding sesquiterpene
quinones containing taurine-derived substituents. Compounds 4-6 possess a novel
tetracyclic skeleton formed by a direct linkage between the quinone and
sesquiterpene moieties. The configurations of these new compounds were assigned
on the basis of combined NOESY and ECD analysis. These compounds exhibited
cytotoxic and antimicrobial activities and weak inhibition against Na(+)/K(+)
ATPase.
PMID- 26551343
TI - Poly(ethylenimine) conjugated bioreducible dendrimer for efficient gene delivery.
AB - Branched poly(ethylenimine) (PEI) 25 kDa is an efficient gene delivery vector
with outstanding gene condensation ability and great endosome escape activity.
However, it also induces higher cytotoxicity. Transfection efficiency and
toxicity of PEI are highly dependent upon their molecular weight and structure.
We developed a bioreducible poly(ethylenimine) (PEI (-s-s-)) derived from low
molecular weight PEI (1.8 kDa) for efficient gene delivery. Bioreducible core
molecule is expected to increase molecular weight and reduce the cytotoxicity of
the copolymer. PEI (-s-s-) polyplexes showed higher transfection efficiency and
lower cytotoxicity compared to branched PEI 25 kDa, Lipofectamine(r) 2000 and,
FuGENE(r) 6. In addition, PEI (-s-s-) derivative (16 kDa) formed stable
polyplexes with a zeta-potential value of +34 mV and polyplex size of 61 nm. PEI
(-s-s-) derivative (16 kDa) showed excellent transfection efficiency: 3.6 times
higher than branched PEI 25 kDa in HeLa cells and 7.4 times higher than
Lipofectamine(r) 2000 in H9C2 cell. The derivatives also showed lower
cytotoxicity compared with Lipofectamine(r) 2000 and PEI 25 kDa in various cell
types. In addition, newly synthesized PEI (-s-s-) derivatives have high
reproducibility.
PMID- 26551344
TI - Development and characterization of sorafenib-loaded PLGA nanoparticles for the
systemic treatment of liver fibrosis.
AB - Sorafenib is a tyrosine kinase inhibitor that has recently been shown to be a
potential antifibrotic agent. However, a narrow therapeutic window limits the
clinical use and therapeutic efficacy of sorafenib. Herein, we have developed and
optimized nanoparticle (NP) formulations prepared from a mixture of poly(ethylene
glycol)-b-poly(lactic-co-glycolic acid) (PEG-PLGA) copolymers with poly(lactic-co
glycolic acid) (PLGA) for the systemic delivery of sorafenib into the fibrotic
livers of CCl4-induced fibrosis mouse models. We characterized and compared the
pharmaceutical and biological properties of two different PLGA nanoparticles
(NPs)--PEG-PLGA NPs (PEG-PLGA/PLGA=10/0) and PEG-PLGA/PLGA NPs (PEG
PLGA/PLGA=5/5). Increasing the PLGA content in the PEG-PLGA/PLGA mixture led to
increases in the particle size and drug encapsulation efficacy and a decrease in
the drug release rate. Both PEG-PLGA and PEG-PLGA/PLGA NPs significantly
prolonged the blood circulation of the cargo and increased the uptake by the
fibrotic livers. The systemic administration of PEG-PLGA or PEG-PLGA/PLGA NPs
containing sorafenib twice per week for a period of 4 weeks efficiently
ameliorated liver fibrosis, as indicated by decreased alpha-smooth muscle actin
(alpha-SMA) content and collagen production in the livers of CCl4-treated mice.
Furthermore, sorafenib-loaded PLGA NPs significantly shrank the abnormal blood
vessels and decreased microvascular density (MVD), leading to vessel
normalization in the fibrotic livers. In conclusion, our results reflect the
clinical potential of sorafenib-loaded PLGA NPs for the prevention and treatment
of liver fibrosis.
PMID- 26551345
TI - Lipid-dendrimer hybrid nanosystem as a novel delivery system for paclitaxel to
treat ovarian cancer.
AB - Combining lipids and dendrimers into one formulation is an emerging platform in
the drug delivery field. This study aims to (i) develop and characterize a lipid
dendrimer hybrid (LDH) nanosystem for the hydrophobic anticancer drug paclitaxel,
and (ii) evaluate its in vitro and in vivo anti-cancer activity in ovarian cancer
models. The LDH nanosystems were prepared from 1,2-dipalmitoyl-sn-glycero-3
phosphocholine and poly (amidoamine) (PAMAM) G4.0. The size and zeta potential of
the LDH nanosystem were 37.6 +/- 6.1n m and +2.9 +/- 0.1 mV, respectively, with
vesicular morphology observed under cryo-TEM. The encapsulation efficiency of
paclitaxel in the LDH system was 78.0 +/- 2.1%. The potency of paclitaxel could
be significantly improved by 37-fold when presented in the LDH nanosystem as
compared to free drug, whereby paclitaxel and PAMAM G4.0 acted synergistically in
killing the ovarian cancer cells. As shown by fluorescence confocal microscopy,
majority of the lipids in the LDH nanosystem were located in the plasma membrane,
while the dendrimers were distributed intracellularly upon uptake. Despite the
use of a 10-fold lower paclitaxel dose, the survival of IGROV-1 ovarian tumor
bearing animals could be significantly prolonged by the paclitaxel-loaded LDH
nanosystem, as reflected by a 50% increase in the median survival time. Such
hybrid nanosystem emerged from combining two established drug delivery platforms
could pave way for the development of multifunctional delivery systems for
potential theranostic applications.
PMID- 26551347
TI - Influence of spin multiplicity on the melting of Na55(+).
AB - The influence of spin multiplicity on the melting of the Na55(+) cluster has been
investigated by means of all-electron Kohn-Sham Born-Oppenheimer molecular
dynamics simulations. On the basis of the quantitative agreement between the
experimental and theoretical melting temperature and latent heat a detailed
analysis of the cluster dynamics was performed. This analysis showed a
significant structure deformation of the cluster that is inconsistent with the
geometrical shell closing concept. In subsequent structure optimizations a high
spin ground state in perfect icosahedral symmetry was found for the Na55(+)
cluster. The Born-Oppenheimer molecular dynamics of this high-spin Na55(+)
cluster indicates a particular thermal stability of the icosahedral cluster
structure. A new electronic mechanism, named subshell closing, is suggested as
the origin for this enhanced thermal stability of the icosahedral cluster
structure. This mechanism is a natural extension of the common jellium model. By
its nature, the subshell closing mechanism is general for finite systems and
expected to be found in many other clusters for which the jellium model is
applicable.
PMID- 26551346
TI - PEG modified liposomes containing CRX-601 adjuvant in combination with
methylglycol chitosan enhance the murine sublingual immune response to influenza
vaccination.
AB - The mucosa is the primary point of entry for pathogens making it an important
vaccination site to produce a protective mucosal immune response. While the
sublingual (SL) mucosa presents several barriers to vaccine penetration, its
unique anatomy and physiology makes it one of the best options for mucosal
vaccination. Efficient and directed delivery of adjuvants and antigens to
appropriate immune mediators in the SL tissue will aid in development of
effective SL vaccines against infectious diseases. Herein we demonstrate a robust
immune response against influenza antigens co-delivered sublingually with
engineered liposomes carrying the synthetic Toll-like receptor-4 agonist, CRX
601. Liposome modification with PEG copolymers (Pluronics), phospholipid-PEG
conjugates and chitosan were evaluated for their ability to generate an immune
response in a SL murine influenza vaccine model. Phospholipid-PEG conjugates were
more effective than Pluronic copolymers in generating stable, surface neutral
liposomes. SL vaccination with surface modified liposomes carrying CRX-601
adjuvant generated significant improvements in flu-specific responses compared
with unmodified liposomes. Furthermore, the coating of modified liposomes with
methylglycol chitosan produced the most effective flu-specific immune response.
These results demonstrate efficient SL vaccine delivery utilizing a combination
of a muco-adhesive and surface neutral liposomes to achieve a robust mucosal and
systemic immune response.
PMID- 26551348
TI - HYPOFRACTIONATED RADIOTHERAPY FOR MACROSCOPIC CANINE SOFT TISSUE SARCOMA: A
RETROSPECTIVE STUDY OF 50 CASES TREATED WITH A 5 * 6 GY PROTOCOL WITH OR WITHOUT
METRONOMIC CHEMOTHERAPY.
AB - Wide surgical resection or a marginal/incomplete resection followed by full
course radiation therapy is the current standard of care for canine soft tissue
sarcoma. The purpose of this retrospective, descriptive, bi-institutional study
was to determine the effectiveness and toxicity of a hypofractionated 5 * 6 Gy
protocol on macroscopic canine soft tissue sarcoma in terms of progression-free
interval (PFI) and overall survival (OS), and to identify prognostic factors for
patient outcome. Dogs with macroscopic soft tissue sarcoma irradiated with 5 * 6
Gy were eligible for the study. Progression-free interval and OS were compared
with respect to different tumor and patient characteristics by the Kaplan-Meier
method and multivariable Cox regression analysis. Fifty dogs with macroscopic
disease were included. All dogs received the same radiation therapy protocol;
part of the group (n = 20) received postradiation metronomic chemotherapy. Median
PFI for all cases was 419 days (95% confidence interval (CI): 287-551) and median
OS was 513 days (95% CI: 368-658). Dogs with tumors on the limbs had
significantly longer PFI and OS, compared with head or trunk. Increasing tumor
burden decreased OS. The addition of metronomic chemotherapy yielded a
significantly longer OS (757 days (95% CI: 570-944) compared with dogs that did
not receive systemic treatment (286 days (95% CI: 0-518), (P = 0.023)), but did
not influence progression-free interval. Toxicity was low throughout all
treatments. The 5 * 6 Gy radiation therapy protocol was well tolerated and
provided long PFI and OS in dogs with macroscopic soft tissue sarcoma.
PMID- 26551349
TI - Investigation of long noncoding RNAs expression profile as potential serum
biomarkers in patients with hepatocellular carcinoma.
AB - There is an increasing interest in using long noncoding RNAs (lncRNAs) as
biomarkers in cancer. Predictive biomarkers in hepatocellular carcinoma (HCC)
have great benefit in the choice of therapeutic modality for HCC. The aim of this
study is to assess lncRNA-urothelial carcinoma associated-1 (lncRNA-UCA1) and WD
repeat containing, antisense to TP53 (WRAP53) expression as novel noninvasive
biomarkers for diagnosis of HCC in sera of HCC patients compared with chronic
hepatitis C virus (HCV) patients and healthy volunteers and to analyze their
relationship with respect to the clinicopathologic features. We retrieved HCC
characteristic lncRNAs, lncRNA-UCA1 and lncRNA-WRAP53, based on the microarray
signature profiling (released by LncRNADisease database). Quantitative reverse
transcriptase polymerase chain reaction assay (RT-qPCR) was then used to evaluate
the expression of selected lncRNAs in the serum of 160 participants. Furthermore,
in 20 of 82 HCC cases involved in the study, we examined the expression of lncRNA
UCA1 and lncRNA-WRAP53 in 20 HCC tissues and adjacent nontumor tissues and
analyzed its correlation with the serum level of these lncRNAs. The prognostic
significance of the investigated parameters in HCC patients was explored. We
found that lncRNA-UCA1 and lncRNA-WRAP53 were significantly higher in sera of HCC
than those with chronic HCV infection or healthy volunteers. Our data suggested
that the increased expression of UCA1 and WRAP53 was associated with advanced
clinical parameters in HCC. Of note, tissue levels of the chosen lncRNAs strongly
correlate with their sera level. The combination of both lncRNAs with serum alpha
fetoprotein resulted in improved sensitivity to 100%. The median follow-up period
was 21.5 months. LncRNA-WRAP53 was significant independent prognostic markers in
relapse-free survival. LncRNA-UCA1 and lncRNA-WRAP53 upregulation may serve as
novel serum biomarkers for HCC diagnosis and prognosis.
PMID- 26551350
TI - Calcium-sensing receptor (CaSR)-mediated anti-inflammatory effects of L-amino
acids in intestinal epithelial cells.
AB - Calcium-sensing receptor (CaSR) plays an essential role in sensing nutrients and
monitoring ion balance in the human gut. However, no discovery of CaSR-mediated
anti-inflammatory effect of l-amino acids (l-AAs) on the gut system has been
reported. The aim of this study is to screen and identify the anti-inflammatory
activity of various l-AAs in intestinal epithelial cells (IECs) and stepwise
illustrate a possible molecular mechanism for anti-inflammation. We used Caco-2
and HT-29 cell lines to evaluate the anti-inflammatory activity of l-AAs and
revealed that l-tryptophan (l-Trp) and l-valine (l-Val) have strong anti
inflammatory activity consistent in both cell lines. l-Trp treatment (5 mM)
reduced TNF-alpha-induced IL-8 secretion from HT-29 or Caco-2 cells to about 50
or 40%, respectively. l-Trp also significantly inhibited the expression of
phosphorylation of JNK or IkappaBalpha to around 50% in HT-29 cells. However, the
above inhibitory effects of l-Trp on inflammatory responses in TNF-alpha-induced
HT-29 cells were abrogated by NPS-2143. The result of CaSR antagonist NPS-2143
pretreatment study suggests l-Trp exerts anti-inflammatory effects on IECs
through CaSR activation. The involvement of beta-arrestin2 was then found to
block tumor necrosis factor (TNF)-alpha-induced signaling pathways after CaSR
activated by l-Trp. These results validate a novel mechanism underlying CaSR
agonistic l-AAs exerting anti-inflammatory effects on human intestinal epithelia.
PMID- 26551352
TI - Primary gingival squamous cell carcinoma in a xeroderma pigmentosum type C
patient.
PMID- 26551351
TI - Capuchin monkeys (Cebus apella) modulate their use of an uncertainty response
depending on risk.
AB - Metacognition refers to thinking about thinking, and there has been a great deal
of interest in how this ability manifests across primates. Based on much of the
work to date, a tentative division has been drawn with New World monkeys on 1
side and Old World monkeys and apes on the other. Specifically, Old World
monkeys, apes, and humans often show patterns reflecting metacognition, but New
World monkeys typically do not, or show less convincing behavioral patterns.
However, recent data suggest that this difference may relate to other aspects of
some experimental tasks. For example, 1 possibility is that risk tolerance
affects how capuchin monkeys, a New World primate species, tend to perform.
Specifically, it has recently been argued that on tasks in which there are 2 or 3
options, the "risk" of guessing is tolerable for capuchins because there is a
high probability of being correct even if they "know they do not know" or feel
something akin to uncertainty. The current study investigated this possibility by
manipulating the degree of risk (2-choices vs. 6-choices) and found that capuchin
monkeys used the uncertainty response more on 6-choice trials than on 2-choice
trials. We also found that rate of reward does not appear to underlie these
patterns of performance, and propose that the degree of risk is modulating
capuchin monkeys' use of the uncertainty response. Thus, the apparent differences
between New and Old World monkeys in metacognition may reflect differences in
risk tolerance rather than access to metacognitive states.
PMID- 26551353
TI - Pentannulation of Heterocycles by Virtue of Precious Metal Catalysis.
AB - Pentannulated heterocycles are the key structural subunit of many natural and
biologically active compounds. Over the last decades, many precious metal
assisted pentannulations have been described as a consequence of an extensive
research. This Focus Review gives an overview of precious metal-catalyzed
reactions applied to the synthesis of cyclopenta-fused heterocycles in the last
five years.
PMID- 26551354
TI - Update on Medical Practices That Should Be Questioned in 2015.
AB - IMPORTANCE: Overuse of medical care, consisting primarily of overdiagnosis and
overtreatment, is a common clinical problem. OBJECTIVES: To identify and
highlight articles published in 2014 that are most likely to influence medical
overuse, organized into the categories of overdiagnosis, overtreatment, and
methods to avoid overuse, and to review these articles and interpret them for
their importance to clinical medicine. EVIDENCE REVIEW: A structured review of
English-language articles in PubMed published in 2014 and a review of tables of
contents of relevant journals to identify potential articles that related to
medical overuse in adults. FINDINGS: We reviewed 910 articles, of which 440
addressed medical overuse. Of these, 104 were deemed most relevant based on the
presentation of original data, quality of methods, magnitude of clinical effect,
and number of patients potentially affected. The 10 most influential articles
were selected by author consensus using the same criteria. Findings included lack
of benefit for screening pelvic examinations (positive predictive value <5%),
carotid artery screening (no reduction in stroke), and thyroid ultrasonography
(15-fold increase in thyroid cancer). The harms of cancer screening included
unnecessary surgery and complications. Head computed tomography was an overused
diagnostic test (clinically significant findings in 4% [7 of 172] of head
computed tomographic scans). Overtreatment included acetaminophen for low back
pain, perioperative aspirin use, medications to increase high-density lipoprotein
cholesterol level, stenting for renal artery stenosis, and prolonged opioid use
after surgery (use >90 days in 3% [1229 of 39,140] of patients). CONCLUSIONS AND
RELEVANCE: Many common medical practices should be reconsidered. It is
anticipated that our review will promote reflection on these 10 articles and lead
to questioning of other non-evidence-based practices.
PMID- 26551356
TI - Dissociable contribution of nucleus accumbens and dorsolateral striatum to the
acquisition of risk choice behavior in the rat.
AB - While a growing body of research has suggested that the mesocorticolimbic
dopamine systems play a key role in decision making under risk, how the nucleus
accumbens (NAC) is involved in the acquisition of risk choice behavior remains
unclear. This study used a T-maze task to assess risk-based decision making in
which the rat was required to assess the risk by choosing to enter either a small
and certain reward arm or a large but uncertain reward arm of the maze. The
latter option, when chosen, resulted in provision of 2, 4, or 8 sweeten pellets
with a probability (p) of 0.5, 0.25, or 0.125, respectively. Thus the latter arm
provided three different conditions of reward ratio, compared to the choice of
former arm, which always provided 1 pellet with p=1. This risk choice task was
then run with the expected value being equality between the binary choice
options. The experimental rats first received an excitoneurotoxic lesion
affecting either the NAC or the dorsolateral striatum (DLS) and this was followed
by post-lesion behavioral examination. The sham lesion control rats acquired a
stable risk choice with regard to each reward ratio over a 10-day test. The
pattern of choice behavior appeared in risk-seeking when p=0.5 to obtain 2
pellets, and was risk-averse when larger reward resulted in lower p. The NAC
lesion significantly disrupted the acquisition of the aforementioned risk choice
behavior and apparently shifted the choice into a risk-averse style for all three
reward ratios. No such effect was observed in the rats with DLS lesions. Neither
the gross motor action nor the discrimination of different reward magnitudes was
impaired by the lesions affecting either the NAC or DLS as assessed by an
additional experiment. These findings suggest that firstly there is heterogeneity
between NAC and DLS with respect to risk-based decision making, and that secondly
the NAC is involved and critical to the acquisition of behavioral choice under
risk, specially when the expected value of the reward under the two choice
options is equal.
PMID- 26551355
TI - Noncytolytic CD8+ Cell Mediated Antiviral Response Represents a Strong Element in
the Immune Response of Simian Immunodeficiency Virus-Infected Long-Term Non
Progressing Rhesus Macaques.
AB - The ability of long term non progressors to maintain very low levels of HIV/SIV
and a healthy state, involves various host genetic and immunological factors.
CD8+ non-cytolytic antiviral response (CNAR) most likely plays an important role
in this regard. In order to gain a deeper insight into this unique phenomenon,
the ability of CD8+ T cells to suppress viral replication in vitro was
investigated in 16 uninfected, longitudinally in 23 SIV-infected long-term non
progressing (LTNPs), and 10 SIV-infected rhesus macaques with progressing
disease. An acute infection assay utilizing CD4+ cells from MHC-mismatched
monkeys to avoid cytolytic responses was employed. The study has identified CNAR
as a long-term stable activity that inversely correlated with plasma viral load.
The activity was also detected in CD8+ cells of uninfected macaques, which
indicates that CNAR is not necessarily a virus specific response but increases
after SIV-infection. Physical contact between CD4+ and CD8+ cells was mainly
involved in mediating viral inhibition. Loss of this activity appeared to be due
to a loss of CNAR-expressing CD8+ cells as well as a reduction of CNAR-responsive
CD4+ cells. In contrast, in vitro viral replication did not differ in CD4+ cells
from un-infected macaques, CNAR(+) and CNAR(-) LTNPs. A role for transitional
memory cells in supporting CNAR in the macaque model of AIDS was questionable.
CNAR appears to represent an important part of the immune response displayed by
CD8+ T cells which might be underestimated up to now.
PMID- 26551357
TI - Local Climate Experts: The Influence of Local TV Weather Information on Climate
Change Perceptions.
AB - Individuals who identify changes in their local climate are also more likely to
report that they have personally experienced global climate change. One way that
people may come to recognize that their local climate is changing is through
information provided by local TV weather forecasters. Using random digit dialing,
2,000 adult local TV news viewers in Virginia were surveyed to determine whether
routine exposure to local TV weather forecasts influences their perceptions of
extreme weather in Virginia, and their perceptions about climate change more
generally. Results indicate that paying attention to TV weather forecasts is
associated with beliefs that extreme weather is becoming more frequent in
Virginia, which in turn is associated with stronger beliefs and concerns about
climate change. These associations were strongest for individuals who trust their
local TV weathercaster as a source of information about climate change, and for
those who identify as politically conservative or moderate. The findings add
support to the literature suggesting that TV weathercasters can play an important
role in educating the public about climate change.
PMID- 26551358
TI - Psychosocial Determinants of Cannabis Dependence: A Systematic Review of the
Literature.
AB - BACKGROUND: Many studies have examined factors associated with the first onset of
cannabis use and abuse. Currently, there is relatively little research regarding
conditions under which cannabis dependence is more likely to emerge. Although
previous studies have examined different potential determinants of cannabis
dependence, to our knowledge, a systematic review is lacking. AIMS: The study
aims to identify recent findings regarding psychosocial determinants of cannabis
dependence and to summarize them systematically. METHODS: A literature search in
4 databases - Embase, Medline, PsycINFO and PSYNDEX - was conducted. Searches
were limited to publications between 2000 and April 2014, English and German as
languages and humans as study subjects. RESULTS: Our search detected a total of
10,568 studies. Twenty-six studies finally met inclusion criteria. Consumption
patterns such as a regular cannabis use independent of social context and an
early onset of use (11-15 years) were correlates of cannabis dependence.
Moreover, early reactions to cannabis use and coping-oriented use motives
explained additional variance. Stress factors and critical life events such as
parental separation and early parental death as well as mental and social
conflicts have also been linked with development of cannabis dependence.
Additionally, comorbid mental disorders correlated with cannabis dependence.
CONCLUSION: Numerous factors were shown to have an impact on transition to
cannabis dependence. In particular, a wide range of mental disorders has been
linked to an elevated risk of becoming dependent. The development of a dependence
syndrome seems to be associated with diverse processes, in which social,
biological and intra-individual factors interact in a complex manner.
Nevertheless, the link between cannabis dependence and predisposing factors could
not be resolved convincingly by most studies due to methodological weaknesses
regarding dependence criteria.
PMID- 26551359
TI - Effects of post-mortem aging time and type of aging on palatability of low
marbled beef loins.
AB - The study objective was to evaluate the effect of post-mortem aging period (14 to
49days), dry vs. wet (D vs W) type of aging on the palatability of bone-in (BI)
beef short loins (n=96) and boneless (BL) strip loins (n=96) possessing United
States Department of Agriculture marbling scores between Slight and Small. Warner
Bratzler shear force (WBSF) scores decreased linearly over time (P=0.0001). WBSF
was not influenced by aging method or loin type. Aged flavor was higher for DBL
than for DBI with WBL and WBI intermediate. Dry aging strip loins increase aged
flavor yet did not improve beefy flavor compared to wet aging. Based on objective
data and panelist's scores for tenderness, juiciness and aged flavor, a boneless,
28days wet aged strip steak, cooked to 71 degrees C would provide the best
combination of eating satisfaction and value.
PMID- 26551360
TI - Dexmedetomidine: A Review of a Newer Sedative in Dentistry.
AB - Dexmedetomidine is a central alpha-2 agonist, similar to Clonidine, but 8 times
more specific for the central alpha-2 receptor which causes sedation with minimal
depression of respiration, making it safe for sedation during procedures. It is
widely used in the field of medicine for many procedures especially
premedication, awake intubation, and sedation of patients in intensive care units
and pediatric procedural sedation. OBJECTIVE: To do a systematic review of the
pharmacology, pharmacodynamics, as well as the usage of newer sedative drug-
Dexmedetomidine in dentistry. STUDY DESIGN: The search for articles was conducted
in Pub Med, including the articles published in English until Oct 2014. Both
animal and human studies were included using the key words, "Dexmedetomidine",
"Dexmedetomidine in sedation", "Dexmedetomidine in Dentistry", and
"Dexmedetomidine in Pediatric dentistry". The Articles obtained were checked for
their quality methodology and inference of the studies and selected for review.
RESULTS: Initial search retrieved 2436 articles, out of which 44 articles were on
the subject of Dexmedetomidine in dentistry. Five of which articles were on the
usage of Dexmedetomidine in pediatric dentistry. These studies were included in
systematic review. CONCLUSION: The study revealed that Dexmedetomidine being a
new drug with its added advantages makes a better choice for sedation in
dentistry. But with limited studies on Dexmedetomidine, the recommendation to use
the drug exclusively is still under debate.
PMID- 26551361
TI - Prosthetic Oral Rehabilitation of a Child With S-ECC: A Case Report with
Histopathologic Analysis.
AB - The aim of this case report is to describe the treatment planning of a young
child with severe early childhood caries (S-ECC) as well as the prosthetic
rehabilitation technique. A 3-year-old female child was referred to the pediatric
dentistry clinic with the chief complaint of tooth pain, difficulty in eating and
recurrent hospitalizations caused by dental infections. The mother reported
intermittent episodes of fever and recurrent swelling of child's face. The girl
presented angular cheilitis and was referred to a dietitian. The treatment plain
consisted on a behavior changes in oral hygiene habits, exodontias of all primary
teeth and oral rehabilitation with a prosthesis. The extracted teeth with
periapical lesions were submitted to histopathologic analysis (hematoxilin and
eosin staining) and revealed an inflammatory infiltrate. The aesthetic
requirement of children with S-ECC has been a challenge to pediatric dentists. In
the present case, the oral rehabilitation provided for the children better
aesthetic, nutrition, phonation, and functional conditions.
PMID- 26551362
TI - Native Language Spoken as a Risk Marker for Tooth Decay.
AB - OBJECTIVE: The purpose of this study was to assess dmft, the number of decayed,
missing (due to caries), and/ or filled primary teeth, of English-speaking and
non-English speaking patients of a hospital based pediatric dental clinic under
the age of 72 months to determine if native language is a risk marker for tooth
decay. STUDY DESIGN: Records from an outpatient dental clinic which met the
inclusion criteria were reviewed. Patient demographics and dmft score were
recorded, and the patients were separated into three groups by the native
language spoken by their parents: English, Spanish and all other languages.
RESULTS: A total of 419 charts were assessed: 253 English-speaking, 126 Spanish
speaking, and 40 other native languages. After accounting for patient
characteristics, dmft was significantly higher for the other language group than
for the English-speaking (p<0.001) and Spanish-speaking groups (p<0.05), however
the English-speaking and Spanish-speaking groups were not different from each
other (p>0.05). CONCLUSIONS: Those patients under 72 months of age whose parents'
native language is not English or Spanish, have the highest risk for increased
dmft when compared to English and Spanish speaking patients. Providers should
consider taking additional time to educate patients and their parents, in their
native language, on the importance of routine dental care and oral hygiene.
PMID- 26551363
TI - Levels of Stress among General Practitioners, Students and Specialists In
Pediatric Dentistry during Dental Treatment.
AB - OBJECTIVE: To assess self-reported stress during the performance of different
procedures in pediatric dentistry, according to the professional experience of
the dentists. STUDY DESIGN: During the years 2010 to 2011, an anonymous survey
was administered by means of an internet link, and by distribution at
professional meetings of dentists . RESULTS: No statistically significant
differences in stress were reported for maxilla and mandibular procedures.
Placement of a rubber dam was rated as the most stressful procedure among dental
students. For general practitioners and specialists, injection of local
anesthesia to an anxious child was the most stressful procedure, regardless of
age, sex, or years of professional experience. A negative correlation was found
between years of experience and level of stress for all the procedures surveyed,
but not for the use of nitrous oxide. No differences were found between male and
female dentists in stress scores for any of the procedures. CONCLUSION: Higher
rates of stress during operative procedures were reported among dental students
than among experienced dentists. Anxiety of the pediatric patients, but not the
location of the procedure: maxillary or mandibular, affected the dentists'
reported level of stress.
PMID- 26551364
TI - Comparison of Parental Satisfaction with Three Tooth-Colored Full-Coronal
Restorations in Primary Maxillary Incisors.
AB - OBJECTIVES: To evaluate and compare the parental satisfaction among resin
composite strip crown, preveneered stainless steel crown (PVSSC) and the newly
introduced pre-fabricated primary zirconia crown for restoring maxillary primary
incisors. STUDY DESIGN: A prospective clinical study on 39 children with carious
or traumatized primary maxillary incisors. They were randomly and equally
distributed in three groups and received one of the full-coronal restorations.
Children were recalled to evaluate and compare parental satisfaction about
performance of crowns after one year through a questionnaire. RESULTS: Parents
were satisfied with all three tooth colored full-coronal restoration techniques.
A significant relationship was found between colour of PVSSC (p=0.003) and
durability of resin strip crowns (p=0.009) with the overall parental satisfaction
levels. Parents who gave poor ratings in these two variables however rated their
overall acceptance levels as being satisfied. CONCLUSION: Parental overall
satisfaction was highest for zirconia primary crowns followed by resin composite
strip crowns and lowest satisfaction was reported for pre-veneered SSCs. Parents
were least satisfied with durability of resin composite strip crowns and colour
of pre-veneered stainless steel crowns. However, this did not affect their
overall satisfaction with these crowns.
PMID- 26551366
TI - Effect of Honey and Green Tea Solutions on Streptococcus mutans.
AB - OBJECTIVES: The aim of this cross-sectional in vivo study was to assess the
effect of green tea and honey solutions on the level of salivary Streptococcus
mutans. STUDY DESIGN: A convenient sample of 30 Saudi boys aged 7-10 years were
randomly assigned into 2 groups of 15 each. Saliva sample was collected for
analysis of level of S. mutans before rinsing. Commercial honey and green tea
were prepared for use and each child was asked to rinse for two minutes using 10
mL of the prepared honey or green tea solutions according to their group. Saliva
samples were collected again after rinsing. The collected saliva samples were
prepared and colony forming unit (CFU) of S. mutans per mL of saliva was
calculated. RESULTS: The mean number of S. mutans before and after rinsing with
honey and green tea solutions were 2.28* 10(8)(2.622*10(8)), 5.64
*10(7)(1.03*10(8)), 1.17*10(9)(2.012*10(9)) and 2.59*10(8) (3.668*10(8))
respectively. A statistically significant reduction in the average number of S.
mutans at baseline and post intervention in the children who were assigned to the
honey (P=0.001) and green tea (P=0.001) groups was found. CONCLUSIONS: A single
time mouth rinsing with honey and green tea solutions for two minutes effectively
reduced the number of salivary S. mutans of 7-10 years old boys.
PMID- 26551365
TI - Evaluation of Solubility and Microleakage of Glass Carbomer Sealant.
AB - AIM: This study was carried out to evaluate and compare solubility and
microleakage of the newly introduced moisture tolerant glass carbomer sealant.
STUDY DESIGN: For evaluation of solubility, 20 specimens of glass carbomer and
conventional glass ionomer were prepared and immersed in artificial saliva of pH
4 and 6 for seven days. The difference between initial and final weight was
calculated. For evaluation of microleakage, glass carbomer was compared with a
conventional resin sealant. 20 premolar teeth indicated for orthodontic
extraction were collected and divided into two groups and the respective sealants
were applied. It was subjected to thermocycling and then kept immersed in
methylene blue for 24 hours. Dye penetration was scored. RESULTS: The glass
carbomer specimens were less soluble than the conventional glass ionomer at both
pH values. There was no significant difference in the microleakage. CONCLUSION:
Being moisture resistant, glass carbomer can be used as an alternative fissure
sealant material; especially in young children with partially erupted teeth and
where obtaining moisture control is difficult.
PMID- 26551367
TI - Acquired Oral Microflora of Newborns During the First 48 Hours of Life.
AB - OBJECTIVE: To compare the oral microflora of a newborn during first hours after
birth and after two days, and determine whether the newborn acquires his mother's
microflora during this period. STUDY DESIGN: Saliva samples were taken from 50
newborns, on their first day of life, two days after, and from their mothers.
Those samples were checked for total aerobic cultivated bacteria and mutans
streptococci. RESULTS: Soon after birth, most newborns lacked any of the tested
microorganisms in their oral cavity. Two days later, oral microorganisms were
detected. A significant correlation was found between the total aerobic
cultivated bacteria counts of the mothers, and of their newborns. CONCLUSIONS: It
can be assumed, that on the first 48 hours of life, the newborn gains a major
part of his oral microflora from his mother. These results might shade light on a
possible to control and change the acquired microflora, at the very beginning of
a human's life, creating a new, but less cariogenic flora. An accurate protocol
should be examine to avoid this initial transmission during these days, while the
mother and her newborn are still in the hospital, and thus might be possible to
reduce caries prevalence in the future.
PMID- 26551368
TI - Efficiency and Reliability of Thermal and Electrical Tests to Evaluate Pulp
Status in Primary Teeth with Assessment of Anxiety Levels in Children.
AB - OBJECTIVE: Assessing the pulp status plays a vital role in diagnosis and
treatment planning in dentistry especially in children, who may not be able to
verbalize their dental symptoms. Pulp sensibility test is used as a valuable
investigation to evaluate the state of pulp. The aim of this study is to assess
the efficiency and reliability of thermal and electrical pulp tests in primary
teeth and to rule out the anxiety level involved in each tests. STUDY DESIGN: 30
children aged between 6 to 8 years with carious primary molar teeth in need of
conservative pulp therapy were included in this study. 3 tests at random were
employed on each tooth which includes cold, heat, electrical pulp test. The
sensitivity, specificity, positive predictive value and negative predictive value
were evaluated based on the clinical visual examination on access opening and the
accuracy for each test was calculated. The Facial Image Scale (FIS) was used to
assess the state of dental anxiety in children due to these pulp sensibility
tests. RESULTS: The highest accuracy rate was calculated for EPT (0.814) followed
by cold test (0.777) and heat test (0.759). CONCLUSION: No significant
association was found between the accuracy of all the three tests. (P value >
0.05). Cold test is the most reliable test due to its simplicity and ease to
perform. (FIS -1.53).
PMID- 26551369
TI - Dentin Optical Density in Molars Subjected to Partial Carious Dentin Removal.
AB - AIM: This study aimed to evaluate changes in the optical density of dentin in
primary molars with deep caries three to six months after they were subjected to
partial carious dentin removal. STUDY DESIGN: This was a blind controlled,
clinical therapy study. Standardized digitalized bitewing radiographs of 42 teeth
were analyzed using Adobe Photoshop((r)) to quantitatively determine the gray
scale of the affected dentin beneath the restoration, in comparison with healthy
dentin. A mixed-effects model was used for statistical analysis. The gray tone
level was considered a dependent variable; the tooth region and the time, in
addition to the interaction between them, were the independent variables. Values
of p < 0.05 were significant. RESULTS: During the interval between time zero and
three months, the gray tone levels of affected dentin varied from 80.99 +/- 3.17
to 98.57 +/- 3.17; i.e., an estimated increase of 18 (p < 0.0001). The values for
healthy dentin ranged from 118.22 +/- 3.17 to 122.02 +/- 3.17; i.e., a mean
increase of four in the gray tone levels (p = 0.0003). During the interval
between three and six months, both healthy and affected dentin showed similar
behavior (98.57 +/- 3.17 to 103.32 +/- 3.20 and 122.02 +/- 3.7 to 126.56 +/-
3.20, respectively) (p = 0.0001). CONCLUSIONS: Significant increments were
observed in the optical density of the affected dentin after three months
compared to that of healthy dentin in primary molars treated using the partial
carious dentin removal technique.
PMID- 26551370
TI - Association of Amelogenin with High Caries Experience in Indian Children.
AB - OBJECTIVE: The purpose of this study was to identify alterations in amelogenin
gene that are associated with dental caries susceptibility and to develop a non
invasive early screening test for caries risk. STUDY DESIGN: 60 individuals were
selected for the study based on the inclusion and exclusion criteria and were
divided into two groups based on DMFT score. DMFT was scored according to World
Health Organization guidelines. Saliva obtained from all participants was stored
in Indogenix DNA Self-Collection kits at 4 degrees C. DNA was extracted according
to the manufacturer's instructions. Once the entire DNA was isolated from each
sample it was put forward for PCR amplification. The amplified amelogenin gene
was then run on 2% agarose gel stained with ethidium bromide. The amplified gene
was processed by SSCP technique to find out the altered bands and then subjected
to DNA sequencing for identification of alterations in the amino acid sequence of
amelogenin gene. RESULTS: The sequencing data showed the presence of mutation.
Samples showing mutation (43.3%) showed high correlation with caries (80.7%)
experience which was statistically significant. CONCLUSION: Understanding the
genetics of dental caries susceptibility will provide new insights into the
caries process in individuals and will facilitate the development of targeted
preventive strategies.
PMID- 26551371
TI - Evaluation of Different Root Canal Obturation Methods in Primary Teeth Using Cone
Beam Computerized Tomography.
AB - OBJECTIVE: To evaluate the efficiency of 3 different obturation techniques; motor
driven lentulospiral, hand held lentulospiral and reamer in primary anterior
teeth and presence of voids by analyzing with CBCT. STUDY DESIGN: 60 single
rooted extracted primary teeth were prepared and obturated with ZOE paste.
Obturation methods were divided into three groups. GROUP I- motor driven lentulo
spiral, II- hand held lentulo spiral and III- reamer. Obturated samples were
scanned in CBCT machine and images were analysed for the evaluation of their
quality of fill comprising presence, location and size of voids. RESULTS: At all
the locations, Group II had maximum number of sites with voids when compared to
other two groups. However, statistically significant intergroup differences were
observed only at coronal third location (p=0.001) and overall assessment
(p=0.003). Number of affected sites revealed the difference between Groups I and
II be significant statistically (p=0.002) while the difference between Groups I
and III and between Groups II and III was not significant statistically (p>0.05).
At all the locations as well as for combined assessment, Group I had minimum mean
size of the void. CONCLUSION: Motor driven lentulo spiral technique demonstrated
more number of optimal fills with fewer voids when compared to hand held lentulo
spiral technique and reamer.
PMID- 26551372
TI - Pain Perception: Computerized versus Traditional Local Anesthesia in Pediatric
Patients.
AB - BACKGROUND: Local anesthetic injection is one of the most anxiety- provoking
procedure for both children and adult patients in dentistry. A computerized
system for slow delivery of local anesthetic has been developed as a possible
solution to reduce the pain related to the local anesthetic injection. STUDY
DESIGN: The present study was conducted to evaluate and compare pain perception
rates in pediatric patients with computerized system and traditional methods,
both objectively and subjectively. STUDY DESIGN: It was a randomized controlled
study in one hundred children aged 8-12 years in healthy physical and mental
state, assessed as being cooperative, requiring extraction of maxillary primary
molars. Children were divided into two groups by random sampling - Group A
received buccal and palatal infiltration injection using Wand, while Group B
received buccal and palatal infiltration using traditional syringe. Visual Analog
scale (VAS) was used for subjective evaluation of pain perception by patient.
Sound, Eye, Motor (SEM) scale was used as an objective method where sound, eye
and motor reactions of patient were observed and heart rate measurement using
pulse oximeter was used as the physiological parameter for objective evaluation.
RESULTS: Patients experienced significantly less pain of injection with the
computerized method during palatal infiltration, while less pain was not
statistically significant during buccal infiltration. Heart rate increased during
both buccal and palatal infiltration in traditional and computerized local
anesthesia, but difference between traditional and computerized method was not
statistically significant. CONCLUSION: It was concluded that pain perception was
significantly more during traditional palatal infiltration injection as compared
to computerized palatal infiltration, while there was no difference in pain
perception during buccal infiltration in both the groups.
PMID- 26551373
TI - Tuberculosis of the Cheek: A Rare Presentation.
AB - Tuberculosis (TB) typically attacks the lungs. The oral lesions either primary or
secondary are rarely seen and often overlooked by the clinician. More so, their
atypical presentations make the diagnosis challenging; especially when they are
present before the systemic symptoms become apparent. We report a case of primary
tuberculosis in a 4 year old female child in a very uncommon location, the cheek.
The timely diagnosis and antitubercular therapy resulted in complete resolution
of the swelling within 6 months.
PMID- 26551374
TI - A Retrospective Study of 248 Pediatric Oral Sedations Utilizing the Combination
of Meperidine and Hydroxyzine for Dental Treatment.
AB - Oral sedation for pre-cooperative and anxious pediatric patients is an important
tool for the pediatric dentist. Few studies have examined the sedation regimen of
meperidine and hydroxyzine. OBJECTIVES: The primary goal of this study was to
evaluate the overall safety and effectiveness of the meperidine/hydroxyzine drug
combination. Secondary goals included detecting potential factors that alter
sedation effectiveness. STUDY DESIGN: Two hundred and forty eight electronic
health records of pediatric patients (131 females, 117 males) who received
meperidine/hydroxyzine sedations in a university setting were evaluated.
Pediatric dental residents rated each case according to the Frankl behavioral
scale and for effectiveness. Numerous factors were analyzed to evaluate their
significance on overall effectiveness. Factors examined included age at time of
treatment, gender, ASA status, Frankl score at various points during treatment,
sextant of treatment, operator experience, dosage, use of nitrous oxide, and any
complications encountered during treatment, both major and minor. RESULTS: Over
81% of sedations were considered effective or very effective. Statistically
significant findings included age of patient, pre-sedation behavior, and
willingness to take the medication. Less than 5% of sedations were aborted due to
behavior. Only one major complication was found, which was not related to the
sedation. CONCLUSIONS: Meperidine combined with hydroxyzine is a safe and
effective sedation regimen for uncooperative or pre-cooperative children during
dental treatment.
PMID- 26551375
TI - Retrospective Study of Association between Displacement of Maxillary Canine and
Tooth Agenesis.
AB - OBJECTIVE: The purpose of this study was to investigate the interrelationships
between displacement of maxillary canine and tooth agenesis in age from 10 to 19
years. STUDY DESIGN: The panoramic radiographs of 128 subjects with displacement
of maxillary canine and 600 subjects without displacement of maxillary canine
were examined. The panoramic radiographs taken between 2003 and 2013 were used
for diagnosis other related dental anomalies, including permanent tooth agenesis
and small maxillary lateral incisor. RESULTS: Patients with maxillary canine
displacement had a significantly higher prevalence rate of permanent tooth
agenesis excluding of third molars (p < 0.05). Significant increase in occurrence
of tooth agenesis of maxillary lateral incisor (p < 0.05), maxillary second
premolar (p < 0.05) and small maxillary lateral incisor (p < 0.05). In contrast,
the mandibular second premolar did not show any significant difference (p >
0.05). CONCLUSION: This study indicates that there is positive relationship
between displacement of maxillary canine, small maxillary lateral incisor and
permanent tooth agenesis. Especially, maxillary lateral incisor and maxillary
second premolar have strong association with maxillary canine displacement.
Consequently, permanent tooth agenesis and small maxillary lateral incisor can be
a predictor of maxillary canine displacement.
PMID- 26551376
TI - The Many Paradoxes of Our Modern World: Is There Really an Obesity Paradox or Is
It Only a Matter of Adiposity Assessment?
PMID- 26551377
TI - Laser-mediated Photodynamic Therapy: An Alternative Treatment for Actinic
Keratosis?
AB - Photodynamic therapy (PDT) with light emitting diode (LED) illumination is a
frequently used treatment modality for actinic keratosis (AK) with excellent
cosmetic outcome. A major disadvantage, however, is the high pain score. Pulsed
dye laser (PDL) illumination has been suggested, but the long-term efficacy of
this treatment is unknown. In this split-face study we prospectively treated 61
patients with AK, with both LED-PDT and PDL-PDT. The mean change in the number of
lesions between the end of follow-up and start of therapy was -4.25 (95%
confidence interval (95% CI) -5.07; -3.43) for LED-PDT and -3.88 (95% CI -4,76;
2.99) for PDL-PDT, with a non-significant difference (p = 0.258) of -0.46 (95% CI
-1.28; 0.35). The percentage decrease from baseline in the total number of AK was
55.8% and 47.8%, respectively, at 12-month follow-up. Visual analogue scale pain
score was lower after PDL (mean 2.64) compared with LED illumination (mean 6.47).
These findings indicate that PDL-PDT is an effective alternative illumination
source fo.
PMID- 26551378
TI - An in vivo evaluation of PLLA/PLLA-gHA nano-composite for internal fixation of
mandibular bone fractures.
AB - Internal fixation of bone fractures using biodegradable poly(L-lactic-acid)
(PLLA)-based materials has attracted the attention of many researchers. In the
present study, 36 male beagle dogs were randomly assigned to two groups:
PLLA/PLLA-gHA (PLLA-grafted hydroxyapatite) group and PLLA group. PLLA/PLLA-gHA
and PLLA plates were embedded in the muscular bags of the erector spinae and also
implanted to fix mandibular bone fractures in respective groups. At 1, 2, 3, 6,
9, and 12 months postoperatively, the PLLA/PLLA-gHA and PLLA plates were
evaluated by adsorption and degradation tests, and the mandibles were examined
through radiographic analysis, biomechanical testing, and histological analysis.
The PLLA/PLLA-gHA plates were non-transparent and showed a creamy white color,
and the PLLA plates were transparent and faint yellow in color. At all time
points following surgery, adsorption and degradation of the PLLA/PLLA-gHA plates
were significantly less than those of the PLLA plates, and the lateral and
longitudinal bending strengths of the surgically treated mandibles of the beagle
dogs in the PLLA/PLLA-gHA group were significantly greater than those of the PLLA
group and reached almost the value of intact mandibles at 12 months
postoperatively. Additionally, relatively rapid bone healing was observed in the
PLLA/PLLA-gHA group with the formation of new lamellar bone tissues at 12 months
after the surgery. The PLLA/PLLA-gHA nano-composite can be employed as a
biodegradable material for internal fixation of mandibular bone fractures.
PMID- 26551379
TI - Are community matrons truly invisible?
PMID- 26551380
TI - Use of oral nutrition supplements in the diet of malnourished older people.
PMID- 26551381
TI - Recommendations for assessing and preventing falls in adults of all ages with
rheumatoid arthritis.
AB - Rheumatoid arthritis (RA) is a debilitating disease that affects younger as well
as older adults. It is associated with a high risk of injurious falls due to
problems such as lower-limb muscle weakness, balance impairment, swollen and
tender joints, pain, and fatigue. Falls are typically associated with older
people; hence, many professionals do not recognise the risks for younger persons
with diseases such as RA. Falls can lead to devastating consequences, such as
fatalities, hip fractures (with 50% of those affected never regaining their
previous level of mobility and 30% dying within 1 year), or loss of independence
and confidence. Research has shown that many people are either unaware or deny
their risk of falling. Therefore, it is important that health professionals, such
as community nurses, are aware of the risk factors, methods of assessment, and
evidence-based preventative measures, so that falls can be avoided in this
population. This article presents research and practice implications for
community nurses to enable them to assess, treat, and appropriately refer adults
with RA who are also at risk of falls.
PMID- 26551382
TI - Learning to deal with crisis in the home: Part 1--developing community simulation
scenarios.
AB - Contemporary health care is increasingly being located in the community. From the
evident changes in demographics and general health, it can be derived that the
people whom student nurses care for are likely to have multiple comorbidities and
be vulnerable to clinical deterioration. These nurses are required to develop a
range of transferrable skills to meet the demands of the population. The
challenge for educators of preregistration student nurses is to develop
educational strategies to prepare them with the skills, knowledge, and confidence
necessary to recognise and manage deterioration appropriately in any context.
This article is the first of two papers discussing the stimulus, design, and
delivery of an educational innovation that focuses on the recognition and
management of the deteriorating patient. The article explores current literature
about community-focused simulation highlighting the potential learning
opportunities resulting from contextualising simulation scenarios specific to the
community setting.
PMID- 26551383
TI - Emphasising the role of community and district nurses in Parkinson's care.
PMID- 26551384
TI - 'I can't walk away': understanding the complexities in embedding a new nurse
role.
AB - BACKGROUND: The community matron (CM) role was introduced in England to provide a
single point of access to patients living with comorbid long-term conditions who
had, or were at risk of, frequent emergency hospitalisation. AIM: This study
explored the factors that affected embedding of the CM role. The qualitative
study was undertaken in community health services in two cities and a rural area
in central England. METHOD: Participants comprised health professionals (n=30)
including CMs, community service managers, and service commissioners; patients
(n=10); and their family carers (n=5). RESULTS: Findings reveal that participants
were largely positive about the role. However, difficulties with role setup had
led to numerous changes in service delivery, which affected how the role has
embedded. CONCLUSION: Many aspects of the CM role are invisible to other health
professionals. Invisibility of community nursing, rather than autonomy of the CM
role, seems to be a key factor in the challenges of embedding the role.
PMID- 26551385
TI - Selecting appropriate absorbent products to treat urinary incontinence.
AB - Urinary incontinence can have a significant negative effect on a person's life,
especially if left unmanaged and untreated. Continence assessment, often carried
out by community nurses, is an important element in the management of a person's
urinary incontinence, and so is the selection of appropriate absorbent
incontinence pads. This article reviews: the causes and effects of urinary
incontinence; how to derive the most appropriate information from a continence
assessment; strategies for selecting incontinence pads for a person, on the basis
of the results of the continence assessment; and some of the problems and risks
associated with the use of incontinence pads.
PMID- 26551386
TI - Innovating in rural health in Wales: applied findings from the practitioner's
perspective.
AB - The demand for novel and innovative activity is commonplace in health and social
care owing to multiple factors, such as ageing, lack of new resources, or the
rising prevalence of long-term conditions. These factors are felt more acutely in
rural communities because of a variety of influences, for example, access and a
more rapidly ageing population. This study, conducted in rural Wales from 2011 to
2012, aims to explore practitioners' perceptions of the process of innovating in
health and social care. A mixed-methods approach, including a questionnaire and
interviews, was employed. Findings centre on the phases of innovating from
generation to future activity. The lack of clarity around the term 'innovation'
is found to hinder the innovation process, with risk (averseness) further
stifling activity. An organisational culture of expectation and support is
reported to be fundamental to initiating innovative activity.
PMID- 26551387
TI - Understanding the Code: safeguarding vulnerable adults.
AB - Under the provisions of the Nursing and Midwifery Council's revised Code (2015) ,
all district and community nurses have a professional duty to safeguard
vulnerable adults from abuse. With adult abuse continuing to increase, all
members of the district and community nursing teams are well placed to identify
and take action to safeguard the vulnerable. In this article, Richard Griffith
explains how the Care Act 2014 seeks to improve the safeguarding of vulnerable
adults and the role of district and community nurses in that process.
PMID- 26551388
TI - Looking at palliative care from a wider perspective.
PMID- 26551389
TI - Contending with the chronicity of HIV and associated comorbidities.
PMID- 26551390
TI - Learning from excellence.
PMID- 26551392
TI - An effect of the substituent position and metal type on the electropolymerization
properties of chalcone substituted metallophthalocyanines.
AB - Cobalt(II) and manganese(III) phthalocyanines bearing peripherally and non
peripherally tetra substituted {(2E)-3-[4-(dimethylamino)phenyl]prop-2
enoyl}phenoxy groups were synthesized by cyclotetramerization of the
phthalonitrile derivatives and their electrochemical properties were examined
using CV and SWV techniques for the first time. The novel compounds were
characterized by using IR, (1)H-NMR, (13)C-NMR, UV-Vis and MS spectral data.
Cyclic and square wave voltammetry revealed well-defined metal-based and ligand
based reduction processes within the complexes. Electrochemical measurements
exhibit that all complexes oxidatively electropolymerized on the Pt working
electrode during repetitive cyclic voltammetry measurements. This study is the
first example of electropolymerization of peripherally and non-peripherally tetra
chalcone substituted cobalt(II) and manganese(III) phthalocyanines. The types of
the metal centers of the complexes and the position of substituents affect the
character of the polymerization processes.
PMID- 26551391
TI - Potential therapeutic effects of mTOR inhibition in atherosclerosis.
AB - Despite significant improvement in the management of atherosclerosis, this slowly
progressing disease continues to affect countless patients around the world.
Recently, the mechanistic target of rapamycin (mTOR) has been identified as a pre
eminent factor in the development of atherosclerosis. mTOR is a constitutively
active kinase found in two different multiprotein complexes, mTORC1 and mTORC2.
Pharmacological interventions with a class of macrolide immunosuppressive drugs,
called rapalogs, have shown undeniable evidence of the value of mTORC1 inhibition
to prevent the development of atherosclerotic plaques in several animal models.
Rapalog-eluting stents have also shown extraordinary results in humans, even
though the exact mechanism for this anti-atherosclerotic effect remains elusive.
Unfortunately, rapalogs are known to trigger diverse undesirable effects owing to
mTORC1 resistance or mTORC2 inhibition. These adverse effects include
dyslipidaemia and insulin resistance, both known triggers of atherosclerosis.
Several strategies, such as combination therapy with statins and metformin, have
been suggested to oppose rapalog-mediated adverse effects. Statins and metformin
are known to inhibit mTORC1 indirectly via 5' adenosine monophosphate-activated
protein kinase (AMPK) activation and may hold the key to exploit the full
potential of mTORC1 inhibition in the treatment of atherosclerosis. Intermittent
regimens and dose reduction have also been proposed to improve rapalog's mTORC1
selectivity, thereby reducing mTORC2-related side effects.
PMID- 26551393
TI - Independent Control of Topography for 3D Patterning of the ECM Microenvironment.
AB - Biomimetic extracellular matrix (ECM) topographies driven by the magnetic-field
directed self-assembly of ECM protein-coated magnetic beads are fabricated. This
novel bottom-up method allows us to program isotropic, anisotropic, and diverse
hybrid ECM patterns without changing other physicochemical properties of the
scaffold material. It is demonstrated that this 3D anisotropic matrix is able to
guide the dendritic protrusion of cells.
PMID- 26551394
TI - Redefining Blood-Pressure Targets--SPRINT Starts the Marathon.
PMID- 26551395
TI - Class D beta-lactamases do exist in Gram-positive bacteria.
AB - Production of beta-lactamases of one of four molecular classes (A, B, C and D) is
the major mechanism of bacterial resistance to beta-lactams, the largest class of
antibiotics, which have saved countless lives since their inception 70 years ago.
Although several hundred efficient class D enzymes have been identified in Gram
negative pathogens over the last four decades, none have been reported in Gram
positive bacteria. Here we demonstrate that efficient class D beta-lactamases
capable of hydrolyzing a wide array of beta-lactam substrates are widely
disseminated in various species of environmental Gram-positive organisms. Class D
enzymes of Gram-positive bacteria have a distinct structural architecture and
employ a unique substrate-binding mode that is quite different from that of all
currently known class A, C and D beta-lactamases. These enzymes thus constitute a
previously unknown reservoir of novel antibiotic-resistance enzymes.
PMID- 26551396
TI - Structural determinants of reductive terpene cyclization in iridoid biosynthesis.
AB - The carbon skeleton of ecologically and pharmacologically important iridoid
monoterpenes is formed in a reductive cyclization reaction unrelated to canonical
terpene cyclization. Here we report the crystal structure of the recently
discovered iridoid cyclase (from Catharanthus roseus) bound to a mechanism
inspired inhibitor that illuminates substrate binding and catalytic function of
the enzyme. Key features that distinguish iridoid synthase from its close homolog
progesterone 5beta-reductase are highlighted.
PMID- 26551397
TI - Kindlin-2 promotes invasiveness of prostate cancer cells via NF-kappaB-dependent
upregulation of matrix metalloproteinases.
AB - Invasive progression is the major lethal cause of prostate cancer. In this study,
we aimed to investigate the role of kindlin-2, an integrin-binding focal adhesion
protein, in the regulation of invasiveness of prostate cancer. We found that
downregulation of kindlin-2 using small interfering RNA (siRNA) technology
significantly inhibited the invasion of PC-3 and DU-145 prostate cancer cells in
a Matrigel Transwell assay. Conversely, overexpression of kindlin-2 promoted the
invasiveness of prostate cancer cells. Kindlin-2 overexpression was found to
activate nuclear factor (NF)-kappaB-dependent signaling and upregulate the
expression of matrix metalloproteinase-9 (MMP-9) and MMP-2, whereas kindlin-2
silencing led to opposing effects on the expression of NF-kappaB and MMPs. Most
importantly, kindlin-2-induced invasiveness was almost completely abolished by
pretreatment with pyrrolidine dithiocarbamate (an inhibitor of NF-kappaB
signaling) or co-transfection with MMP-9 or MMP-2 siRNA. Taken together, our data
indicate that kindlin-2 promotes the invasiveness of prostate cancer cells
largely through NF-kappaB-dependent upregulation of MMP-9 and MMP-2. Further
studies are warranted to evaluate the significance of kindlin-2 as a therapeutic
target for metastatic prostate cancer.
PMID- 26551398
TI - Gene expression profile of vascular ischemia-reperfusion injury in rhesus
monkeys.
AB - The vascular system particularly endothelium is sensitive to ischemia-reperfusion
(I/R) injury, which is a big challenge in surgical practices and many vascular
disorders. In the present study, we reported the global gene expression changes
in a 2-h ischemia and 4-h reperfusion injury induced in the hind limb vessels of
rhesus monkeys (Macaca mulatta) using microarray technique. RESULTS: The
histological results showed abnormal morphology of endothelial cells after 2-h
ischemia and the hematological detection found slightly extension of coagulation
time after I/R treatment. Furthermore, we found distinct alterations in gene
expression patterns during I/R process. These identified genes are mostly
involved in inflammation, immune response, apoptosis, and cell stress signaling
pathways. The significantly up-regulated genes included IL-6, regulator of G
protein signaling 8, selectin E, and metallothionein 2A, et al. Whist, the
robustly down-regulated genes included NECAP endocytosis associated 2,
transglutaminase 2, and fibronectin 1, et al. CONCLUSION: Our results indicate
that inflammation, primarily characterized by gene expression changes of
cytokines and chemokines is the most important event in the early stage of I/R
injury in blood vessels.
PMID- 26551399
TI - Impact of childhood emotional abuse on neocortical neurometabolites and complex
emotional processing in patients with generalized anxiety disorder.
AB - BACKGROUND: The rostral prefrontal cortex (RPFC) is involved in reflective
thought processes such as self-knowledge and person perception. We hypothesized
that childhood emotional abuse, which is disruptive of emotional regulation,
would differentially impact neurometabolite concentrations of the RPFC, and
related neocortical areas, in adults with generalized anxiety disorder (GAD)
versus healthy controls. METHODS: GAD patients (n=16; females=11) and medically
healthy volunteers (n=16; F=10) were assessed using the Childhood Trauma
Questionnaire (CTQ), specifically the emotional abuse category. Proton magnetic
resonance spectroscopy imaging examined 3 regions of interest (ROI) from the most
rostral slice from the Duyn et al. (1993) multivoxel imaging modality: rostral
prefrontal cortex (BA 10,9), premotor cortex (BA 6,8) and secondary somatosensory
and associated parietal cortex (BA 5,7). Metabolites included N-acetyl-aspartate,
creatine, and choline. RESULTS: GAD patients reported higher emotional abuse
scores versus controls. An omnibus general linear model including 3 ROI, 3
metabolites, and laterality as dependent variables revealed a significant
diagnosis by CTQ emotional abuse score interactive effect. In controls, all 3 ROI
for all 3 metabolites on both sides demonstrated a significant inverse
relationship with emotional abuse scores; none were significant in GAD patients.
LIMITATIONS: A major limitation is the uneven distribution of emotional abuse
scores between the controls and GAD patients, with GAD patients reporting higher
scores. CONCLUSION: Unlike controls, GAD patients appear compromised in forming a
molecular representation reflective of magnitude of childhood emotional abuse.
The neurometabolites in GAD patients appear non-aligned to childhood emotional
abuse, suggesting potential consequences for normative "theory of mind" processes
and emotional function in certain anxiety disorders.
PMID- 26551400
TI - Momentary assessment of PTSD symptoms and sexual risk behavior in male
OEF/OIF/OND Veterans.
AB - BACKGROUND: Post-traumatic stress disorder (PTSD) in Veterans is associated with
increased sexual risk behaviors, but the nature of this association is not well
understood. Typical PTSD measurement deriving a summary estimate of symptom
severity over a period of time precludes inferences about symptom variability,
and whether momentary changes in symptom severity predict risk behavior. METHODS:
We assessed the feasibility of measuring daily PTSD symptoms, substance use, and
high-risk sexual behavior in Veterans using ecological momentary assessment
(EMA). Feasibility indicators were survey completion, PTSD symptom variability,
and variability in rates of substance use and sexual risk behavior. Nine male
Veterans completed web-based questionnaires by cell phone three times per day for
28 days. RESULTS: Median within-day survey completion rates maintained near 90%,
and PTSD symptoms showed high within-person variability, ranging up to 59 points
on the 80-point scale. Six Veterans reported alcohol or substance use, and
substance users reported use of more than one drug. Eight Veterans reported 1 to
28 high-risk sexual events. Heightened PTSD-related negative affect and
externalizing behaviors preceded high-risk sexual events. Greater PTSD symptom
instability was associated with having multiple sexual partners in the 28-day
period. LIMITATIONS: These results are preliminary, given this small sample size,
and multiple comparisons, and should be verified with larger Veteran samples.
CONCLUSIONS: Results support the feasibility and utility of using of EMA to
better understand the relationship between PTSD symptoms and sexual risk behavior
in Veterans. Specific antecedent-risk behavior patterns provide promise for
focused clinical interventions.
PMID- 26551401
TI - The microtubule-associated molecular pathways may be genetically disrupted in
patients with Bipolar Disorder. Insights from the molecular cascades.
AB - Bipolar Disorder is a severe disease characterized by pathological mood swings
from major depressive episodes to manic ones and vice versa. The biological
underpinnings of Bipolar Disorder have yet to be defined. As a consequence,
pharmacological treatments are suboptimal. In the present paper we test the
hypothesis that the molecular pathways involved with the direct targets of
lithium, hold significantly more genetic variations associated with BD. A
molecular pathway approach finds its rationale in the polygenic nature of the
disease. The pathways were tested in a sample of ~ 7,000 patients and controls.
Data are available from the public NIMH database. The definition of the pathways
was conducted according to the National Cancer Institute
(http://pid.nci.nih.gov/). As a result, 3 out of the 18 tested pathways related
to lithium action resisted the permutation analysis and were found to be
associated with BD. These pathways were related to Reelin, Integrins and Aurora.
A pool of genes selected from the ones linked with the above pathways was further
investigated in order to identify the fine molecular mechanics shared by our
significant pathways and also their link with lithium mechanism of action. The
data obtained point out to a possible involvement of microtubule-related
mechanics.
PMID- 26551402
TI - Mental health symptom severity in cannabis using and non-using Veterans with
probable PTSD.
AB - BACKGROUND: Posttraumatic Stress Disorder (PTSD) is a disabling illness suffered
by many Veterans returning from war. Some Veterans believe that cannabis may be
therapeutic for PTSD. The purpose of this study was to better understand the
association between cannabis use and PTSD symptoms. METHODS: The study was a
matched case-control cross-sectional evaluation of the psychiatric and
sociocultural associations of cannabis use in Veterans with probable PTSD.
Patient self-report measures were examined comparing cannabis users (cases) to
non-users (controls) who were case-matched on age and gender. RESULTS: Results
indicated that there were no significant differences between cases and controls
in mean PTSD Checklist-Civilian version (PCL-C) scores (59.2 and 59.1,
respectively). There was also no association between PTSD scores and frequency of
cannabis use. It was also observed that cases were more likely to be non
Caucasian, financially challenged, and unmarried. LIMITATIONS: The sample is a
convenience sample of Veterans being referred for a clinical assessment and
therefore, sampling biases may limit the generalizability of the results to other
populations including Veterans not seeking health care in the Veterans Affairs
(VA) system. CONCLUSIONS: The results do not support the theory that cannabis use
would be associated with less severe PTSD symptoms. Results do suggest important
sociocultural differences in cannabis users compared to controls.
PMID- 26551403
TI - Emotional reactivity to valence-loaded stimuli are related to treatment response
of neurocognitive therapy.
AB - Emotional Context Insensitivity (ECI) is a psychological feature observed in
depressed patients characterized by a decreased emotional reactivity when
presented to positive- and negative valence-loaded stimuli. Given that fronto
cingulate-limbic circuits are implicated in abnormal reactivity to valence-loaded
stimuli, neurocognitive treatments engaging the prefrontal cortex may be able to
modulate this emotional blunting observed in MDD. Therefore, our goal was to
evaluate emotional reactivity in depressed patients before and after a
combination of neurocognitive interventions that engage the prefrontal cortex
(cognitive control training and/or transcranial direct current stimulation). In
line with the premises of the ECI framework, before the start of the
antidepressant intervention, patients showed blunted emotional reactivity after
exposure to negative valence-loaded stimuli. This emotional reactivity pattern
changed after 9 sessions of the intervention: positive affect decreased and
negative affect increased after watching a series of negative valence-loaded
stimuli (i.e. images). Interestingly, higher emotional reactivity (as indexed by
a larger increase in negative affect after watching the valence-loaded stimuli)
at baseline predicted reductions in depression symptoms after the intervention.
On the other hand, higher emotional reactivity (as indexed by a decrease in
positive affect) after the intervention was marginally associated with reductions
in depression symptoms. To conclude, emotional reactivity increased after the
neurocognitive antidepressant intervention and it was directly associated to the
degree of depression improvement.
PMID- 26551404
TI - Lighting the mood of depressed youth: Feasibility and efficacy of a 2 week
placebo controlled bright light treatment for juvenile inpatients.
PMID- 26551405
TI - Dropout from exercise randomized controlled trials among people with depression:
A meta-analysis and meta regression.
AB - OBJECTIVE: Exercise has established efficacy in improving depressive symptoms.
Dropouts from randomized controlled trials (RCT's) pose a threat to the validity
of this evidence base, with dropout rates varying across studies. We conducted a
systematic review and meta-analysis to investigate the prevalence and predictors
of dropout rates among adults with depression participating in exercise RCT's.
METHOD: Three authors identified RCT's from a recent Cochrane review and
conducted updated searches of major electronic databases from 01/2013 to 08/2015.
We included RCT's of exercise interventions in people with depression (including
major depressive disorder (MDD) and depressive symptoms) that reported dropout
rates. A random effects meta-analysis and meta regression were conducted.
RESULTS: Overall, 40 RCT's were included reporting dropout rates across 52
exercise interventions including 1720 people with depression (49.1 years
(range=19-76 years), 72% female (range=0-100)). The trim and fill adjusted
prevalence of dropout across all studies was 18.1% (95%CI=15.0-21.8%) and 17.2%
(95%CI=13.5-21.7, N=31) in MDD only. In MDD participants, higher baseline
depressive symptoms (beta=0.0409, 95%CI=0.0809-0.0009, P=0.04) predicted greater
dropout, whilst supervised interventions delivered by physiotherapists (beta=
1.2029, 95%CI=-2.0967 to -0.3091, p=0.008) and exercise physiologists (beta=
1.3396, 95%CI=-2.4478 to -0.2313, p=0.01) predicted lower dropout. A comparative
meta-analysis (N=29) established dropout was lower in exercise than control
conditions (OR=0.642, 95%CI=0.43-0.95, p=0.02). CONCLUSIONS: Exercise is well
tolerated by people with depression and drop out in RCT's is lower than control
conditions. Thus, exercise is a feasible treatment, in particular when delivered
by healthcare professionals with specific training in exercise prescription.
PMID- 26551406
TI - Characterizing exercise-induced feelings after one bout of exercise among
adolescents with and without bipolar disorder.
AB - BACKGROUND: Exercise may be a practical, non-pharmacological strategy for symptom
and health management for adolescents with bipolar disorder (BD). The purpose of
this study was to determine if adolescents with BD experience changes in exercise
induced feelings from one bout of exercise similar to their otherwise healthy
peers. METHODS: Thirty-two adolescents with BD (Age (SD)=16.91 (1.4)) and 31
healthy adolescents (Age (SD)=15.68 (1.76)) completed the Exercise-Induced
Feeling Inventory (EFI) before and after a 20-min bout of moderate intensity
exercise (heart rate goal of 60-80% of the age estimated maximum [220 - 0.7*age])
on a cycle ergometer. Repeated-Measures ANCOVA was conducted on the four EFI
subscales, controlling for age and BMI. RESULTS: There were no significant
between-group differences on any subscales. An increase in Physical Exhaustion
was of negligible effect size in both groups (BD: d=0.05; CONTROL: d=0.16). There
was an improvement in Revitalization (BD: d=0.49; CONTROL: d=0.61) and a
reduction in Tranquility (BD: d=-0.33; CONTROL: d=-0.29) post-exercise of
moderate and small effect size, respectively. The control group reported an
increase in Positive Engagement that was of small-to-medium effect size, (d=0.41)
with negligible change in the BD group (d=0.17). Healthy adolescents reported a
significantly greater tolerance for high intensity exercise than adolescents with
BD. LIMITATIONS: Emotions were only assessed at two time points. CONCLUSIONS:
Adolescents with BD experience similar exercise-induced emotional benefits as
their healthy peers. Experimental research is needed to examine the role of
exercise as a strategy to regulate mood-related symptoms.
PMID- 26551407
TI - The effect of omega-3 polyunsaturated fatty acid supplementation on emotional
dysregulation, oppositional behaviour and conduct problems in ADHD: A systematic
review and meta-analysis.
AB - BACKGROUND: A number of randomised controlled trials report a beneficial effect
of omega-3 polyunsaturated fatty acid (n-3 PUFA) supplementation on emotional
lability (EL) and related domains (e.g. oppositional behaviour, conduct
problems). Given that n-3 PUFA supplementation shows a significant effect on
reducing symptoms of attention-deficit/hyperactivity disorder (ADHD) and that EL
and related behaviours commonly co-occurs with ADHD, it is important that there
is a more conclusive picture as to the effect of n-3 PUFA on these co-occurring
clinical domains. METHODS: Databases (Ovid Medline, Embase, Psychinfo) were
searched for trials assessing the effects of n-3 PUFA on EL, oppositional
behaviour, aggression and conduct problems. We included trials in children who
had ADHD or a related neurodevelopmental disorder. RESULTS: Of the 1775
identified studies, 10 were included in the meta-analysis. In the primary
analyses n-3 PUFA supplementation did not show improvements in measures of EL,
oppositional behaviour, conduct problems or aggression. However subgroup analyses
of higher quality studies and those meeting strict inclusion criteria found a
significant reduction in EL and oppositional behaviour. LIMITATIONS: A number of
treatment effects may have failed to reach statistical significance due to small
sample sizes and within and between study heterogeneity in terms of design and
study participants. CONCLUSIONS: These results exclude the possibility of
moderate to large effects. They provide suggestive evidence of small effects of n
3 PUFA on reducing EL and oppositional behaviour in subgroups of children with
ADHD.
PMID- 26551409
TI - US experience with recombinant factor VIIa for surgery and other invasive
procedures in acquired haemophilia: analysis from the Hemostasis and Thrombosis
Research Society Registry.
AB - INTRODUCTION: Acquired haemophilia (AH) is a rare disorder caused by
autoantibodies against factor VIII. AIM: The Hemostasis & Thrombosis Research
Society (HTRS) Registry was used to monitor the safety of recombinant FVII
(rFVIIa). This study aims to report data from the HTRS Registry regarding safety
and efficacy of rFVIIa for haemostatic management of surgeries and other invasive
procedures in patients with AH. METHODS: For each rFVIIa-treated procedure, the
initial dose, total dose, average infused dose, number of doses and treatment
duration were calculated. Efficacy was assessed on a 4-point scale. RESULTS: Of
166 registered patients with AH, 37 patients underwent 58 procedures [30 (51%)
rFVIIa-treated]. The median (range) age of all patients undergoing procedures was
70 (13-93) years; for rFVIIa-treated patients, 74 (28-89) years. Approximately
67% (39/58) of all procedures were elective. Overall, the most common procedures
were endoscopy (12) and central venous access device (10); rFVIIa was used
preoperatively (11), postoperatively (13) and during six follow-up procedures
during ongoing postoperative rFVIIa treatment. The median (range) initial dose
was 90.0 (44-187) MUg kg(-1) preoperatively and 106.0 (56-270) MUg kg(-1)
postoperatively. For rFVIIa-treated episodes with a reported outcome, 20 (91%)
were rated excellent/good or no additional agents used and 2 (9%) were rated as
poor/ineffective requiring a switch to another bypassing agent. No thromboembolic
events were reported. CONCLUSIONS: Adequate haemostasis was provided for 91% of
rFVIIa-treated procedures at doses largely conforming to the package insert. No
safety concerns were reported.
PMID- 26551410
TI - Bioaccessibility of PAHs in Fuel Soot Assessed by an in Vitro Digestive Model
with Absorptive Sink: Effect of Food Ingestion.
AB - We investigated the effects of changing physiological conditions in the digestive
tract expected with food ingestion on the apparent bioaccessibility (Bapp) of 11
polycyclic aromatic hydrocarbons (PAHs) in a fuel soot. A previously established
in vitro digestive model was applied that included silicone sheet as a third
phase absorptive sink simulating passive transfer of PAHs to intestinal
epithelium in the small intestine stage. The Bapp is defined as the fraction
found in the digestive fluid plus sheet after digestion. We determined that Bapp
was independent of gastric pH and addition of nonlipid milk representing dietary
proteins and carbohydrates, whereas it increased with bile acids concentration
(2.0-10 g/L), small intestinal pH (5.00-7.35), and addition of soybean oil
representing dietary lipid (100% and 200% of the mean daily ingestion by 2-5 year
olds in the U.S.). Bapp of PAHs increases with small intestinal pH due to the
combined effects of mass transfer promotion from nonlabile to labile sorbed
states in the soot, weaker sorption of the labile state, and increasingly
favorable partitioning from the digestive fluid to the silicone sink. Under fed
conditions, Bapp increases with inclusion of lipids due to the combined effects
of mass transfer promotion from nonlabile to labile states, and increasingly
favorable partitioning into bile acid micelles. Our results indicate significant
variability in soot PAH bioaccessibility within the range of physiological
conditions experienced by humans, and suggest that bioaccessibility will increase
with coconsumption of food, especially food with high fat content.
PMID- 26551408
TI - Resting-state functional network connectivity in prefrontal regions differs
between unmedicated patients with bipolar and major depressive disorders.
AB - BACKGROUND: Differentiating bipolar disorder (BD) from major depressive disorder
(MDD) often poses a major clinical challenge, and optimal clinical care can be
hindered by misdiagnoses. This study investigated the differences between BD and
MDD in resting-state functional network connectivity (FNC) using a data-driven
image analysis method. METHODS: In this study, fMRI data were collected from
unmedicated subjects including 13 BD, 40 MDD and 33 healthy controls (HC). The
FNC was calculated between functional brain networks derived from fMRI using
group independent component analysis (ICA). Group comparisons were performed on
connectivity strengths and other graph measures of FNC matrices. RESULTS:
Statistical tests showed that, compared to MDD, the FNC in BD was characterized
by more closely connected and more efficient topological structures as assessed
by graph theory. The differences were found at both the whole-brain-level and the
functional-network-level in prefrontal networks located in the
dorsolateral/ventrolateral prefrontal cortex (DLPFC, VLPFC) and anterior
cingulate cortex (ACC). Furthermore, interconnected structures in these networks
in both patient groups were negatively associated with symptom severity on
depression rating scales. LIMITATIONS: As patients were unmedicated, the sample
sizes were relatively small, although they were comparable to those in previous
fMRI studies comparing BD and MDD. CONCLUSIONS: Our results suggest that the
differences in FNC of the PFC reflect distinct pathophysiological mechanisms in
BD and MDD. Such findings ultimately may elucidate the neural pathways in which
distinct functional changes can give rise to the clinical differences observed
between these syndromes.
PMID- 26551411
TI - In vivo assessment of closantel ovicidal activity in Fasciola hepatica eggs.
AB - Anthelmintic resistance in livestock parasites is currently a worldwide problem.
Fasciola hepatica is a cosmopolitan parasite which causes considerable loss in
sheep and cattle production systems all over the world. Chemotherapy is currently
the main tool available for its control. The intensive use of triclabendazole,
the drug of choice for more than 20 years, has resulted in the development of
resistant strains. The therapeutic options are adulticides such as closantel
(salicylanilide anthelmintic that binds extensively to plasma albumin) to treat
chronic fascioliasis in sheep, and cattle. In the present work, an Egg Hatch
Assay (EHA) and morphometric studies were used to evaluate in vivo the ovicidal
activity and morphology F. hepatica eggs, recovered from closantel treated sheep
collected at different time intervals post treatment. Statistically significant
differences (p < 0.0001) were observed in egg morphometry between the control and
the treated groups in all the parameters studied. Eggs recovered from treated
animals tend to be narrower and longer. Significant differences were found in the
embryonation and hatching of eggs between 36 h post treatment (32, 5%) vs.
approximately 85% in control, 12 h and 24 h post treatment. Our results confirm
that closantel affects in vivo the normal development of the eggs. As one of the
first effects, this drug affects the performance of the trematode's reproductive
physiology. Even though closantel treated animals may still eliminate eggs in the
first days post treatment, these are not viable.
PMID- 26551412
TI - Immunization with Neospora caninum profilin induces limited protection and a
regulatory T-cell response in mice.
AB - Profilins are actin-binding proteins that regulate the polymerization of actin
filaments. In apicomplexan parasites, they are essential for invasion. Profilins
also trigger the immune response of the host by activating TLRs on dendritic
cells (DCs), inducing the production of pro-inflammatory cytokines. In this study
we characterized for the first time the immune response and protection elicited
by a vaccine based on Neospora caninum profilin in mice. Groups of eight BALB/c
mice received either two doses of a recombinant N. caninum profilin expressed in
Escherichia coli. (rNcPRO) or PBS, both formulated with an aqueous soy-based
adjuvant enriched in TLR-agonists. Specific anti-profilin antibodies were
detected in rNcPRO-vaccinated animals, mainly IgM and IgG3, which were consumed
after infection. Splenocytes from rNcPRO-immunized animals proliferated after an
in vitro stimulation with rNcPRO before and after challenge. An impairment of the
cellular response was observed in NcPRO vaccinated and infected mice following an
in vitro stimulation with native antigens of N. caninum, related to an increase
in the percentage of CD4+CD25+FoxP3+. Two out of five rNcPRO-vaccinated
challenged mice were protected; they were negative for parasite DNA in the brain
and showed no histopathological lesions, which were found in all PBS-vaccinated
animals. As a whole, our results provide evidence of a regulatory response
elicited by immunization with rNcPRO, and suggest a role of profilin in the
modulation and/or evasion of immune responses against N. caninum.
PMID- 26551413
TI - Protective effect of chorioamnionitis on the development of bronchopulmonary
dysplasia triggered by postnatal systemic inflammation in neonatal rats.
AB - BACKGROUND: Prenatal or postnatal systemic inflammation can contribute to the
development of bronchopulmonary dysplasia (BPD). We investigated whether prenatal
intra-amniotic (i.a.) inflammation or early postnatal systemic inflammation can
induce BPD in a rat model. METHODS: One microgram of lipopolysaccharide (LPS) or
vehicle was injected into the amniotic sacs 2 d before delivery (E20). After
birth, 0.25 mg/kg of LPS or vehicle was injected into the peritoneum of pups on
postnatal day (P)1, P3, and P5. On P7 and P14, peripheral blood (PB),
bronchoalveolar lavage fluid (BALF), and lung tissue were obtained and analyzed.
RESULTS: Postnatal i.p. injections of LPS significantly increased neutrophil
counts in PB and BALF on P7 and P14. Similarly, proinflammatory cytokine and
angiogenic factor transcript levels were increased in the lung by i.p. LPS on P7.
Alveolar and pulmonary vascular development was markedly disrupted by i.p. LPS on
P14. However, pretreatment with i.a. LPS significantly negated the detrimental
effects of postnatal i.p. LPS on PB and BALF neutrophil counts and on lung
proinflammatory cytokine expression and histopathological changes. CONCLUSION:
Exposure to early postnatal systemic LPS induces BPD, an arrest in
alveolarization, in neonatal rats. Preceding exposure to i.a. LPS protects the
lungs against BPD triggered by postnatal systemic inflammation.
PMID- 26551415
TI - Risk of secondary solid malignancies after allogeneic hematopoietic stem cell
transplantation and preventive strategies.
AB - The risk of secondary solid malignancies is increased after allogeneic
hematopoietic stem cell transplantation (HSCT). The risk starts at about 10 years
after HSCT and continues even 20 years later. The most common secondary
malignancies include squamous cell carcinoma of skin, genitourinary tract and
oral cavity; lung and breast cancers. The use of total body irradiation or
conditioning chemotherapy, chronic graft-versus-host disease and duration since
HSCT can influence the risk of secondary solid malignancies. Secondary solid
malignancies are common causes of nonrelapse mortality in long-term survivors and
may account for up to 10% of late deaths. Avoiding smoking, alcohol use and
excess sun exposure may reduce the risk. Cancer prevention guidelines are largely
consensus-driven and follow the recommendations for general population.
PMID- 26551414
TI - Systematic revision of the adeleid haemogregarines, with creation of Bartazoon n.
g., reassignment of Hepatozoon argantis Garnham, 1954 to Hemolivia, and molecular
data on Hemolivia stellata.
AB - Life cycles and molecular data for terrestrial haemogregarines are reviewed in
this article. Collection material was re-examined: Hepatozoon argantis Garnham,
1954 in Argas brumpti was reassigned to Hemolivia as Hemolivia argantis (Garnham,
1954) n. comb.; parasite DNA was extracted from a tick crush on smear of an
archived slide of Hemolivia stellata in Amblyomma rotondatum, then the 18S ssrRNA
gene was amplified by PCR. A systematic revision of the group is proposed, based
on biological life cycles and phylogenetic reconstruction. Four types of life
cycles, based on parasite vector, vertebrate host and the characteristics of
their development, are defined. We propose combining species, based on their
biology, into four groups (types I, II, III and IV). The characters of each type
are defined and associated with a type genus and a type species. The biological
characters of each type are associated with a different genus and a type species.
The phylogenetic reconstruction with sequences deposited in the databases and our
own new sequence of Hemolivia stellata is consistent with this classification.
The classification is as follows: Type I, Hepatozoon Miller, 1908, type species
H. perniciosum Miller, 1908; Type II, Karyolysus Labbe, 1894, type species K.
lacertae (Danilewsky, 1886) Reichenow, 1913; Type III Hemolivia Petit et al.,
1990, type species H. stellata, Petit et al., 1990; and Type IV: Bartazoon n. g.,
type species B. breinli (Mackerras, 1960).
PMID- 26551416
TI - Three new serotypes of Rhodococcus equi in Prescott's serotyping system - Short
communication.
AB - Three new serotypes were found among Rhodococcus equi strains, which could not be
assigned into any of the seven serotypes of Prescott's system. Fortythree R. equi
strains out of 44 previously nontypable ones isolated in Hungary could be
allocated into one of the three new serotypes using the agar gel immunodiffusion
(AGID) test. The three new suggested serotypes are serotype 8 (proposed reference
strain: HNCMB-138003), serotype 9 (proposed reference strain: HNCMB-138004) and
serotype 10 (proposed reference strain: HNCMB-138005). Hyperimmune sera produced
in rabbits against the new serotypes and reference strains gave precipitation
only with their homologous antigens, and no crossreactions were observed. All of
the previously nontypable isolates from clinical samples of horses (lung
abscesses, intestinal lymph nodes, mediastinal lymph nodes) proved to be serotype
8, while strains of serotypes 8, 9 and 10 could be isolated from nasal and rectal
swabs of horses and from the soil. Serotype 9 dominated among the previously
nontypable strains of swine origin. One of the previously nontypable human
strains was serotype 10. This serotype was also isolated from pigs, horses and
the soil. The description of the three new serotypes can help us reveal new
correlations between the host species, geographical origin and serotype of R.
equi isolates.
PMID- 26551417
TI - Vertical transmission of Mycoplasma wenyonii in cattle, supported by analysis of
the ribonuclease P RNA gene - Short communication.
AB - The vertical transmission of Mycoplasma (M.) wenyonii was investigated in beef
cattle raised on a farm in Japan by analysing the ribonuclease P RNA (rnpB) gene
sequence using PCR. Peripheral blood samples from 17 dams infected with M.
wenyonii and from their neonatal calves were collected and colostrum samples were
taken from cows immediately after parturition, and subsequently the blood samples
of calves were monitored continuously for three months. At birth on day 0,
although no rnpB gene was detected in the colostrum of any of the dams, four
(23.5%) of the 17 calves born were positive. At three months after delivery, the
number of positive calves decreased to three. Although horizontal transmission by
blood-feeding arthropod vectors has been basically accepted as the most common
route of haemoplasma infection, these findings suggest that vertical transmission
is, at least in part, another most likely route of M. wenyonii infection in
cattle.
PMID- 26551418
TI - Complement sensitivity and factor H binding of European Francisella tularensis
ssp. holarctica strains in selected animal species.
AB - Francisella tularensis is a Gram-negative bacterium, the causative agent of the
zoonotic disease tularaemia. The bacterium has developed several extracellular
and intracellular strategies to evade the hosts' innate and adaptive immune
responses. The aims of the study were to examine complement sensitivity of wild
and attenuated F. tularensis ssp. holarctica strains in animal hosts of distinct
sensitivity to the bacterium, to compare the complement-evading ability of wild
strains of different phylogeographic background, and to examine the role of
factor H in the host-pathogen interactions. Complement sensitivity assays were
carried out on various F. tularensis ssp. holarctica wild strains and on the
attenuated live vaccine strain (LVS) with sera of the highly sensitive house
mouse (Mus musculus), the moderately sensitive European brown hare (Lepus
europaeus) and the relatively resistant cattle (Bos taurus). Specific binding of
complement regulator factor H to bacterial membrane proteins was examined by
Western blot assays. All wild strains interacted with the hosts' complement
system and showed no significant differences in their survivability. The
attenuated LVS was resistant to serum killing in mouse, but was lysed in the sera
of hare and cattle. Direct binding of factor H to F. tularensis membrane proteins
was not detected.
PMID- 26551419
TI - Vaccine potential of a nonflagellated, virulence-plasmid-cured (fliD-, pSEVDelta)
mutant of Salmonella Enteritidis for chickens.
AB - The aim of these studies was to assess residual virulence and early protective
capacity of a negatively markered live attenuated vaccine candidate Salmonella
Enteritidis mutant against a highly virulent S. Enteritidis strain using a dayold
chicken model. Nonflagellated FliD negative mutants of Salmonella Enteritidis 11
(SE11) with and without the virulence plasmid proved to be sufficiently
attenuated (limited invasiveness in vitro/in vivo) without reduced ability to
colonise chicken gut. The early protective activity of a nonflagellated,
virulence-plasmidcured (fliD-, pSEVDelta) mutant against organ invasion, caecal
colonisation and faecal shedding by the highly virulent challenge strain S.
Enteritidis 147 Nal(R) proved to be effective and safe. The innate and adaptive
immunity was demonstrable during the first four weeks of life, and the
serological response was clearly distinguishable from the response induced by the
wild parental strain. In conclusion, we provided data for the first time about a
virulence-plasmid-cured, nonflagellated mutant of S. Enteritidis to serve as a
basis for development of a negatively markered potential live oral vaccine
against virulent S. Enteritidis in chicken.
PMID- 26551420
TI - Pregnancy-associated changes of serum biochemical values in Lipizzaner
broodmares.
AB - The aims of this study were to detect physiological changes in blood biochemical
parameters throughout gestation, to compare the findings in nonpregnant and
pregnant Lipizzaner mares in early-mid and late pregnancy, and to provide
reference values for clinical chemistry parameters in this horse breed. A total
of 136 venous blood samples were collected from 20 pregnant and 10 nonpregnant
(control) asymptomatic Lipizzaner broodmares for biochemical analyses. Twelve
parameters (albumin, total protein, urea, triglycerides, glucose, creatinine,
alkaline phosphatase, aspartate transaminase, glutamate dehydrogenase,
gammaglutamyltransferase, creatine kinase and lactate dehydrogenase) were
measured. For the statistical analyses, correlation, analysis of variance and
Kruskal-Wallis H-test were used to evaluate the possible associations between
parameters. Serum triglyceride levels proved to be significantly different in
pregnant mares compared to the control group. Total protein and urea levels
significantly decreased, while glucose, triglyceride and glutamate dehydrogenase
values increased from approx. the fifth month of gestation until parturition.
Four biochemical parameters (albumin, aspartate transaminase, total protein and
urea) were lower and three other variables (glucose, alkaline phosphatase and
creatinine) were significantly higher in late-term pregnant mares than in mares
in early or mid-gestation. It is concluded that reference values not only reflect
the species, breed and sex but also the reproductive status of animals.
PMID- 26551421
TI - Comparison of a qualitative canine C-reactive protein test to a quantitative test
and traditional markers of inflammation - Short communication.
AB - Qualitative tests for C-reactive protein (CRP) are available for use in dogs, and
provide a rapid in-house method of detecting acute inflammation. The aim of this
study was to compare results from a qualitative CRP lateral flow test (Teco CRP
FASTest) to those obtained from a quantitative CRP ELISA and to traditional
methods of detecting inflammation, including total leukocyte and neutrophil
numbers, presence of immature neutrophils and a left shift, presence or absence
of toxic changes in neutrophils and plasma fibrinogen concentration in whole
blood and serum samples collected from 113 client-owned dogs. More dogs had CRP
FASTest positive results than had quantitatively increased CRP (ELISA) or
increases in traditional methods used for measuring inflammation. Few dogs had
increases in markers of inflammation but no elevated CRP. The qualitative CRP
FASTest was found to be a sensitive test for detecting increased CRP
concentration and was positive more frequently than were traditional markers of
inflammation.
PMID- 26551422
TI - Characteristics of urolithiasis in the dog population of Hungary from 2001 to
2012.
AB - The objective of this study was to describe the epidemiology of canine
urolithiasis in Hungary in order to determine the annual incidence of
urolithiasis and to identify breeds at risk for different types of urolithiasis.
Data of a total of 2,543 canine uroliths analysed in the laboratory of the
Budapest Urolith Centre were evaluated retrospectively from 2001 to 2012.
Logistic regression was used to assess odds ratios for the proportion of each
affected breed compared to those of crossbreeds. The annual incidence of
urolithiasis was evaluated by the number of submissions compared to the estimated
number of dogs in the population from which the samples originated. Epidemiologic
data revealed a relatively high and increasing proportion of struvite
urolithiasis. Statistical analysis of breed predispositions resulted in the
detection of breeds not having been reported at risk (e.g. Bernese Mountain dog -
struvite, Bichon Frise, Bolognese, Tibetan Terrier - purine, French Bulldog -
cystine). Conflicting results were revealed for some other breeds previously
described as being affected by certain types of urolithiasis (Chihuahua,
Pekingese, Shih Tzu, English Cocker Spaniel). Regardless of the type of
urolithiasis, its average cumulative incidence in the dog population of Hungary
was found to be 1.76/10,000/year.
PMID- 26551423
TI - Coxiella burnetii antibody dynamics in heifers born to vaccinated versus non
vaccinated dams in a chronically infected dairy herd.
AB - This study was designed to compare Coxiella burnetii antibody dynamics in heifers
born to vaccinated or non-vaccinated dams in a single high-producing dairy herd
chronically infected with the bacterium. Antibody dynamics were examined from
birth to the postpartum period in replacement heifers (n = 14) born to non
vaccinated dams (n = 7) or to dams that had been vaccinated on gestation days 171
177 (n = 7) and 192-198. Samples of blood, milk, faeces, vaginal fluid, colostrum
and cotyledons (the latter two only at parturition) were obtained in the dams
over the period from gestation days 171-177 to postpartum days 91-97. Blood
samples were used to detect antibodies against C. burnetii and remaining samples
for PCR identification of the bacterium. In their calves/heifers, blood samples
for antibody determinations were collected from birth to postpartum at the time
points 1-7 and 22-28 days and 3, 6 and 12 months of age; 90-96 and 210-216 days
of gestation; and 22-28 days postpartum. All calves were born seronegative for C.
burnetii. Irrespective of the shedding status of their mothers (7 were C.
burnetii shedders), seroconversion occurred after colostrum intake in all calves
born to seropositive cows (n = 9) and in two of three vaccinated seronegative
dams. Thereafter antibody titres gradually declined and by 6 months of age all
calves were seronegative. Seronegativity persisted until their first postpartum
period. These findings indicate that cows vaccinated during advanced pregnancy
transfer immunity to their calves via the colostrum. Maternal C. burnetii
antibodies in calves persisted for three months in calves born both to
seronegative vaccinated and seropositive dams.
PMID- 26551424
TI - First record of Ixodes ariadnae in Germany - Short communication.
AB - A long-legged tick was collected from a hibernating greater mouse-eared bat
(Myotis myotis) in Baden-Wurttemberg, Germany. Based on morphological
characteristics as well as on partial COI and 16S rDNA gene sequences the tick
was identified as an engorged female of Ixodes ariadnae. The greater mouseeared
bat is a new host record for this tick species. Taking into account the
geographical position of the collection site and the known migration distance of
the greater mouse-eared bat, the present data suggest the autochthonous
occurrence of I. ariadnae in Germany. This is the first record of I. ariadnae in
Germany, and in any country other than Hungary, where this species has been
recently discovered.
PMID- 26551425
TI - Treatment of naturally acquired demodectic mange with amitraz in two harbour
seals (Phoca vitulina).
AB - Two male harbour seals (Phoca vitulina; 33 and 35 years old, respectively),
housed since 2002 at a zoo for exhibition purposes, developed severe, multifocal
and diffuse skin lesions. Skin scrapings and microscopy for parasites as well as
pure cultures for bacteria and dermatophytes were carried out to identify the
aetiological agent. Skin scrapings showed that lesions appearing on the seals
were caused by an infestation of Demodex mites, which is uncommon in marine
mammals, and were not due to other causative agents (parasites, bacteria or
dermatophytes). Treatment with amitraz (0.01%) once a week for three weeks and
with ampicillin (10 mg/kg SID per os) for six days eliminated the mites and
resolved the clinical signs of demodectic mange in the harbour seals. The purpose
of this report is to describe the successful treatment of naturally acquired
demodectic mange with amitraz in harbour seals.
PMID- 26551426
TI - Permanent prevalence of Nosema ceranae in honey bees (Apis mellifera) in Hungary.
AB - Nosema ceranae is present in honey bee (Apis mellifera L.) colonies worldwide.
Studies on the comparative virulence of N. ceranae and N. apis showed significant
differences in individual mortality, and the prevalence of N. ceranae seems to be
predominant in both the continental and the Mediterranean climate regions. This
study attempted to monitor the geographical and seasonal distribution of these
two Nosema species in Hungary, using a simple laboratory method. The distribution
of N. ceranae and N. apis infection rates along all seasons was homogeneous (P =
0.57). In co-infected samples, the intensity of N. ceranae infection was always
significantly higher than that of N. apis infection (P < 0.001). The infection
rate of infected bees in exterior samples was higher than in interior samples in
each season; however, the differences were not statistically significant. The
species N. ceranae had been present in Hungary already in 2004. Statistical
analysis of data shows that the infection level is best represented by sampling
exterior bees to establish the proportion of infected bees rather than by
determining the mean spore count.
PMID- 26551427
TI - Correlation between bull fertility and sperm cell velocity parameters generated
by computer-assisted semen analysis.
AB - Motility is one of the most important characteristics associated with the
fertilising ability of spermatozoa indicating their viability and structural
integrity. Therefore, the examination of motility constitutes an integral part of
semen analysis. Computer-assisted semen analysis (CASA) allows an accurate and
objective assessment of different sperm motion characteristics with high
repeatability. The aim of this study was to evaluate the different kinematic
(velocity) parameters of frozen/thawed bull semen and determine if any of them
could be correlated with their fertilising capability after insemination based on
the achieved pregnancy rate. Ejaculates from 10 bulls were collected and frozen.
The kinematic/velocity parameters of spermatozoa were measured by CASA and
compared to the pregnancy results of almost 9,000 females artificially
inseminated (AI) with frozen semen of any of the 10 tested bulls. The data of the
experiments are summarised mainly with a focus on the effects of individual
velocities (curvilinear velocity: VCL, straight-line velocity: VSL, average path
velocity: VAP) on fertility rather than on the influence of progressive motility
as a whole. We conclude that VAP is the most useful semen motility characteristic
which has clinical relevance in the prediction of fertility.
PMID- 26551428
TI - Survey indicates circulation of 4/91 and QX-type infectious bronchitis viruses in
Hungary in 2014 - Short communication.
AB - Understanding the epidemiology and improving vaccinal protection against the
highly variable chicken infectious bronchitis virus (IBV) requires the knowledge
of circulating IBV serotypes/genotypes in defined geographic areas. Accordingly,
the authors initiated a survey among the major poultry producers in Hungary in
order to reveal the prevailing IBV serotypes in the country. Tracheal swabs and
organ samples (caecal tonsils, kidneys, and trachea) were collected from broiler,
layer, and meat-type breeder flocks, and were subjected to IBV detection by virus
isolation and polymerase chain reaction (PCR). The IBV-positive samples were
further characterised by nucleotide sequencing and phylogenetic analysis of a
portion of the S1 IBV gene. Seventeen out of the 26 submitted samples proved to
be positive for IBV. Sequence analyses revealed ten 4/91 and six QX serotypes,
and a single D274 type IB virus. One sample contained a mixture of QX and
Massachusetts serotype viruses. Presumably most of the 4/91 and D274 type viruses
were vaccine strains. The proportion of QX type viruses and their observed
variation are in good agreement with the situation in a few other European
countries. The detected viruses clustered largely according to their geographic
origin, with a few exceptions. If updated regularly, the preliminary 'virus map'
will be useful for the adjustment of vaccination protocols.
PMID- 26551429
TI - Serum inoculation as a possibility for elimination of porcine reproductive and
respiratory syndrome (PRRS) from a farrow-to-finish pig farm.
AB - The large heterogeneity among porcine reproductive and respiratory syndrome virus
(PRRSV) isolates is probably the main obstacle to its effective control using
current commercial vaccines. Intentionally exposing all breeding pigs to PRRSV
circulating on the farm could eliminate porcine reproductive and respiratory
syndrome (PRRS) from the herd. The objective of this study was to eliminate PRRS
from a farrow-to-finish pig farm by serum inoculation. The owner was acquainted
with the strict biosecurity measures. Breeding pigs were immunised with serum,
which was obtained from PRRSV-positive weaners from the same farm. The percent of
antibody high positive breeding pigs decreased six months after serum
inoculation, while 34 months after serum inoculation no more antibody high
positive pigs were detected and 56.8% of breeding pigs and all other categories
were free of antibodies. In the breeding herd no virus was detected during all
testing while PRRSV circulated in 2-month-old weaners until 12 months after serum
inoculation. Later all tested samples from weaners, growers and fatteners were
negative. Herd closure and the adoption of strict biosecurity measures are
essential. Serum inoculation of the breeding herd proved to be a successful
measure for eliminating PRRS from this farrow-to-finish farm.
PMID- 26551431
TI - TNF-alpha from hippocampal microglia induces working memory deficits by acute
stress in mice.
AB - The role of microglia in stress responses has recently been highlighted, yet the
underlying mechanisms of action remain unresolved. The present study examined
disruption in working memory due to acute stress using the water-immersion
resistant stress (WIRS) test in mice. Mice were subjected to acute WIRS, and
biochemical, immunohistochemical, and behavioral assessments were conducted.
Spontaneous alternations (working memory) significantly decreased after exposure
to acute WIRS for 2h. We employed a 3D morphological analysis and site- and
microglia-specific gene analysis techniques to detect microglial activity.
Morphological changes in hippocampal microglia were not observed after acute
stress, even when assessing ramification ratios and cell somata volumes.
Interestingly, hippocampal tumor necrosis factor (TNF)-alpha levels were
significantly elevated after acute stress, and acute stress-induced TNF-alpha was
produced by hippocampal-ramified microglia. Conversely, plasma concentrations of
TNF-alpha were not elevated after acute stress. Etanercept (TNF-alpha inhibitor)
recovered working memory deficits in accordance with hippocampal TNF-alpha
reductions. Overall, results suggest that TNF-alpha from hippocampal microglia is
a key contributor to early-stage stress-to-mental responses.
PMID- 26551430
TI - Effect of Medicaid Expansions on Health Insurance Coverage and Access to Care
among Low-Income Adults with Behavioral Health Conditions.
AB - OBJECTIVE: To examine the effect of Medicaid expansions on health insurance
coverage and access to care among low-income adults with behavioral health
conditions. DATA SOURCES/STUDY SETTING: Nine years (2004-2012) of individual
level cross-sectional data from a restricted-access version of National Survey on
Drug Use and Health. STUDY DESIGN: A quasi-experimental difference-in-differences
design comparing outcomes among residents in 14 states that implemented Medicaid
expansions for low-income adults under the Section S1115 waiver with those
residing in the rest of the country. DATA COLLECTION/EXTRACTION METHODS: The
analytic sample includes low-income adult respondents with household incomes
below 200 percent of the federal poverty level who have a behavioral health
condition: approximately 28,400 low-income adults have past-year serious
psychological distress and 24,900 low-income adults have a past-year substance
use disorder (SUD). PRINCIPAL FINDINGS: Among low-income adults with behavioral
health conditions, Medicaid expansions were associated with a reduction in the
rate of uninsurance (p < .05), a reduction in the probability of perceiving an
unmet need for mental health (MH) treatment (p < .05) and for SUD treatment (p <
.05), as well as an increase in the probability of receiving MH treatment (p <
.01). CONCLUSIONS: The ongoing implementation of Medicaid expansions has the
potential to improve health insurance coverage and access to care for low-income
adults with behavioral health conditions.
PMID- 26551432
TI - Sevoflurane aggregates cognitive dysfunction and hippocampal oxidative stress
induced by beta-amyloid in rats.
AB - AIMS: To investigate the effects of sevoflurane inhalation on beta-amyloid
(Abeta)-induced cognitive disorders and hippocampal oxidative stress in rat
models. MATERIALS AND METHODS: Cognitive dysfunction is induced by hippocampal
injection of Abeta1-40 (10MUg in 2MUl) for 22days. To explore the effect of
sevoflurane inhalation on Abeta1-40 induced cognitive disorder, two doses of
sevoflurane inhalation are used: 1.3% (Abeta+S1) and 2.6% (Abeta+S2). Sham
operation (Sham, for operation control), saline injection (Control, for injection
control) and 30% oxygen inhalation after Abeta1-40 injection (Abeta+O2, for
inhalation control) were used as controls. All rats were further tested in
electrical Y-maze and Morris water maze. Serum S100beta levels, hippocampal
superoxide dismutase (SOD) activity, S100beta expression and malonyldialdehyde
(MDA) concentrations were further quantified. KEY FINDINGS: Rats in Abeta+O2,
Abeta+S1 and Abeta+S2 groups had lower number of correct actions in the
electrical Y maze task, longer escape latencies, less time exploring the original
platform, elevated serum S100beta levels, depressed hippocampal SOD activity,
S100beta expression and higher MDA concentrations compared to control group
(p<0.05). Such difference was not significant between Abeta+S1 and Abeta+O2 rats.
Rats in Abeta+S2 group, however, showed significantly impaired performances
compared to those in Abeta+S1 group (p<0.05). SIGNIFICANCE: Sevoflurane (2.6%)
can aggravate the Abeta-induced cognitive dysfunction, possibly via the
intracerebral oxidative stress response.
PMID- 26551433
TI - Predicting SGA neonates using first-trimester screening: influence of previous
pregnancy's birthweight and PAPP-A MoM.
AB - OBJECTIVE: Investigating the proportions of anamnestic and biochemical variables
of the previous and current pregnancies for the prediction of small for
gestational age (SGA) neonates in the current pregnancy. METHODS: In this
observational retrospective study, 45 029 pregnancies were examined, including
3862 patients with more than one pregnancy. Odds ratios for SGA using anamnestic
parameters and pregnancy-associated plasma protein A (PAPP-A) values from all
pregnancies were estimated by using a logistic regression model. RESULTS: There
were 2552 (5.7%) SGA neonates. Two threshold PAPP-A values were identified at
0.15 MoM and 0.33 MoM with probabilities for SGA of 23% and 17%, respectively. A
previous SGA < 10th centile and a current PAPP-A MoM value < 5th centile result
in odds ratios of 4.8 (95% CI: 3.5-6.5) and 3.0 (95% CI: 1.8-5.0), respectively.
The parameters' combined odds ratio is 14.1 (95% CI: 3.9-50.3) with a number
needed to screen of ten for one SGA neonate at a detection rate of 37%.
CONCLUSION: Information on previous pregnancies affected by SGA and a current
pregnancy's low PAPP-A value are reliable predictors for a SGA delivery. First
trimester biochemical analysis should be maintained to detect women at risk for
delivering a SGA neonate.
PMID- 26551434
TI - Reprint of "Characterisation and modelling of the thermorheological properties of
pharmaceutical polymers and their blends using capillary rheometry: Implications
for hot melt processing of dosage forms".
AB - Given the growing interest in thermal processing methods, this study describes
the use of an advanced rheological technique, capillary rheometry, to accurately
determine the thermorheological properties of two pharmaceutical polymers,
Eudragit E100 (E100) and hydroxypropylcellulose JF (HPC) and their blends, both
in the presence and absence of a model therapeutic agent (quinine, as the base
and hydrochloride salt). Furthermore, the glass transition temperatures (Tg) of
the cooled extrudates produced using capillary rheometry were characterised using
Dynamic Mechanical Thermal Analysis (DMTA) thereby enabling correlations to be
drawn between the information derived from capillary rheometry and the glass
transition properties of the extrudates. The shear viscosities of E100 and HPC
(and their blends) decreased as functions of increasing temperature and shear
rates, with the shear viscosity of E100 being significantly greater than that of
HPC at all temperatures and shear rates. All platforms were readily processed at
shear rates relevant to extrusion (approximately 200-300s(-1)) and injection
moulding (approximately 900s(-1)). Quinine base was observed to lower the shear
viscosities of E100 and E100/HPC blends during processing and the Tg of
extrudates, indicative of plasticisation at processing temperatures and when
cooled (i.e. in the solid state). Quinine hydrochloride (20% w/w) increased the
shear viscosities of E100 and HPC and their blends during processing and did not
affect the Tg of the parent polymer. However, the shear viscosities of these
systems were not prohibitive to processing at shear rates relevant to extrusion
and injection moulding. As the ratio of E100:HPC increased within the polymer
blends the effects of quinine base on the lowering of both shear viscosity and Tg
of the polymer blends increased, reflecting the greater solubility of quinine
within E100. In conclusion, this study has highlighted the importance of
capillary rheometry in identifying processing conditions, polymer miscibility and
plasticisation phenomena.
PMID- 26551435
TI - Modeling the Role of Bulk and Surface Characteristics of Carbon Fiber on Thermal
Conductance across the Carbon-Fiber/Matrix Interface.
AB - The rapid heating of carbon-fiber-reinforced polymer matrix composites leads to
complex thermophysical interactions which not only are dependent on the thermal
properties of the constituents and microstructure but are also dependent on the
thermal transport between the fiber and resin interfaces. Using atomistic
molecular dynamics simulations, the thermal conductance across the interface
between a carbon-fiber near-surface region and bismaleimide monomer matrix is
calculated as a function of the interface and bulk features of the carbon fiber.
The surface of the carbon fiber is modeled as sheets of graphitic carbon with (a)
varying degrees of surface functionality, (b) varying defect concentrations in
the surface-carbon model (pure graphitic vs partially graphitic), (c) varying
orientation of graphitic carbon at the interface, (d) varying interface
saturation (dangling vs saturated bonds), (e) varying degrees of surface
roughness, and (f) incorporating high conductive fillers (carbon nanotubes) at
the interface. After combining separately equilibrated matrix system and
different surface-carbon models, thermal energy exchange is investigated in terms
of interface thermal conductance across the carbon fiber and the matrix. It is
observed that modifications in the studied parameters (a-f) often lead to
significant modulation of thermal conductance across the interface and, thus,
showcases the role of interface tailoring and surface-carbon morphology toward
thermal energy exchange. More importantly, the results provide key bounds and a
realistic degree of variation to the interface thermal conductance values at
fiber/matrix interfaces as a function of different surface-carbon features.
PMID- 26551437
TI - Subarachnoid Hemorrhage and Loss of Consciousness.
PMID- 26551436
TI - Enhancing Protein Capture Using a Combination of Nanoyeast Single-Chain Fragment
Affinity Reagents and Alternating Current Electrohydrodynamic Forces.
AB - New high-performance detection technologies and more robust protein capture
agents can be combined to both rapidly and specifically capture and detect
protein biomarkers associated with disease in complex biological samples. Here we
demonstrate the use of recently developed recombinant affinity reagents, namely
nanoyeast-scFv, in combination with alternating current electrohydrodynamic (ac
EHD)-induced shear forces, to enhance capture performance during protein
biomarker analysis. The use of ac-EHD significantly improves fluid transport
across the capture domain, resulting in enhanced sensor-target interaction and
simultaneous displacement of nonspecific molecules from the electrode surface. We
demonstrate this simple proof-of-concept approach for the capture and detection
of Entamoeba histolytica antigens from disinfected stool, within a span of 5 min
using an ac-EHD microfluidic device. Under an ac-EHD field, antigens were
captured on a nanoyeast-scFv immobilized device and subsequently detected using a
quantum dot conjugated antibody. This immunosensor specifically detected antigen
in disinfected stool with low background noise at concentrations down to 58.8 fM
with an interassay reproducibility (%RSD of n = 3) < 17.2%, and in buffer down to
5.88 fM with an interassay reproducibility (% RSD, n = 3) of 8.4%. Furthermore,
antigen detection using this immunosensor was 10 times more sensitive than
previously obtained with the same nanoyeast-scFv reagents in a microfluidic
device employing surface-enhanced Raman scattering (SERS) detection in buffer and
at least 200 times more sensitive than methods using screen printed gold
electrodes in disinfected stool. We predict this rapid and sensitive approach
using these stable affinity reagents may offer a new methodology to detect
protein disease biomarkers from biological matrices.
PMID- 26551438
TI - Quinolone-Hydroxyquinoline Tautomerism in Quinolone 3-Esters. Preserving the 4
Oxoquinoline Structure To Retain Antimalarial Activity.
AB - Recent publications report in vitro activity of quinolone 3-esters against the
bc1 protein complex of Plasmodium falciparum and the parasite. Docking studies
performed in silico at the yeast Qo site established a key role for the 4-oxo and
N-H groups in drug-target interactions. Thus, the possibility of 4-oxoquinoline/4
hydroxyquinoline tautomerism may impact in pharmacologic profiles and should be
investigated. We describe the synthesis, structure, photochemistry, and activity
against multidrug-resistant P. falciparum strain Dd2 of ethyl 4-oxo-7
methylquinoline-3-carboxylate (7Me-OQE) and ethyl 4-hydroxy-5-methylquinoline-3
carboxylate (5Me-HQE), obtained from diethyl 2-[((3
methylphenyl)amino)methylene]malonate. Theoretically (B3LYP/6-311++G(d,p)), 5Me
HQE and 7Me-OQE show clear preference for the hydroxyquinoline form. The
difference between the lowest energy hydroxyquinoline and quinolone forms is 27
and 38 kJ mol(-1), for 5Me-HQE and 7Me-OQE, respectively. Calculations of
aromaticity indexes show that in 5Me-HQE both rings are aromatic, while in the
corresponding oxo tautomers the nitrogen-containing ring is essentially non
aromatic. The structure of monomeric 5Me-HQE was studied using matrix isolation
coupled to FTIR spectroscopy. No traces of 4-oxoquinoline tautomers were found in
the experimental IR spectra, revealing that the species present in the crystal,
5Me-HQE.HCl, was lost HCl upon sublimation but did not tautomerize. Continuous
broadband irradiation (lambda > 220 nm; 130 min) of the matrix led to only
partial photodecomposition of 5Me-HQE (ca. 1/3).
PMID- 26551439
TI - (13)C NMR Studies, Molecular Order, and Mesophase Properties of Thiophene
Mesogens.
AB - Three-ring mesogens with a core comprising thiophene linked to one phenyl ring
directly and to the other via flexible ester are synthesized with terminal alkoxy
chains to probe the mesophase properties and find the molecular order. The phenyl
thiophene link in the core offers a comparison of the mesophase features with the
molecular shape of the mesogen. The synthesized mesogens display enantiotropic
polymesomorphism and accordingly nematic, smectic A, smectic C and smectic B
mesophases are perceived depending upon the terminal chain length. For some of
the homologues, monotropic higher order smectic phases such as smectic F and
crystal E are also witnessed. The existence of polymesomorphism are originally
observed by HOPM and DSC and further confirmed by powder X-ray diffraction
studies. For the C8 homologue, high resolution solid state (13)C NMR spectroscopy
is employed to find the molecular structure in the liquid crystalline phase and
using the 2D SLF technique, the (13)C-(1)H dipolar couplings are extracted to
calculate the order parameter. By comparing the ratio of local order of thiophene
as well as phenyl rings, we establish the bent-core shape of the mesogen.
Importantly, for assigning the carbon chemical shifts of the core unit of aligned
C8 mesogen, the (13)C NMR measured in mesophase of the synthetic intermediate is
employed. Thus, the proposed approach addresses the key step in the spectral
assignment of target mesogens with the use of (13)C NMR data of mesomorphic
intermediate.
PMID- 26551440
TI - Separation efficiency of a microfluidic sperm sorter to minimize sperm DNA
damage.
AB - OBJECTIVE: To evaluate whether microfluidic sperm sorters (MFSSs) allow effective
recovery of sorted motile sperm without DNA damage compared with the
centrifugation and swim-up procedure. DESIGN: Experimental laboratory study. All
participants completed questionnaires regarding previous and/or current diseases,
surgery, reproductive experiences, lifestyle factors, and date of the preceding
ejaculation. SETTING: University research laboratory. PATIENT(S): Male volunteers
were recruited without setting conditions. Semen samples from healthy volunteers
(n = 37) were collected in sterile containers by masturbation. INTERVENTION(S):
Flow cytometric measurement and sperm chromatin structure assay analysis of DNA
damage after sperm preparation using MFSS and the centrifugation and swim-up
procedure. MAIN OUTCOME MEASURE(S): Efficacy and efficiency of sperm preparation,
correlation between sperm DNA fragmentation index (DFI) and semen parameters, and
relationship between basic characteristics and DFI after the centrifugation and
swim-up procedure. RESULT(S): Final sperm concentration and motility were
significantly different between the centrifugation and swim-up procedure and MFSS
sperm preparations. A significantly lower sperm DNA fragmentation rate was
detected with MFSS compared with the centrifugation and swim-up procedure use. No
correlation was observed between DFI and smoking or drinking, but significant
correlations were observed between DFI and medication use and sexual abstinence
duration. CONCLUSION(S): MFSSs can be used to efficiently and reliably prepare
sperm compared with the centrifugation and swim-up procedure. Further research on
the clinical use of MFSSs is required to evaluate the safety and usefulness of
this device.
PMID- 26551441
TI - Outcomes of in vitro fertilization with preimplantation genetic diagnosis: an
analysis of the United States Assisted Reproductive Technology Surveillance Data,
2011-2012.
AB - OBJECTIVE: To assess the characteristics of IVF cycles for which preimplantation
genetic diagnosis (PGD) was used and to evaluate indications for PGD and
treatment outcomes associated with this procedure as compared with cycles without
PGD with the data from the U.S. National ART Surveillance System. DESIGN:
Retrospective cohort study. SETTING: None. PATIENT(S): Fresh autologous cycles
that involved transfer of at least one embryo at blastocyst when available.
INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): PGD indications and age-specific
reproductive outcomes. RESULT(S): There were a total of 97,069 non-PGD cycles and
9,833 PGD cycles: 55.6% were performed for aneuploidy screening (PGD Aneuploidy),
29.1% for other reasons (PGD Other), and 15.3% for genetic testing (PGD Genetic).
In comparison to non-PGD cycles, PGD Aneuploidy cycles showed a decreased odds of
miscarriage among women 35-37 years (adjusted odds ratio [aOR] 0.62; 95% CI, 0.45
0.87) and women >37 years (aOR 0.55; 95% CI, 0.43-0.70); and an increased odds of
clinical pregnancy (aOR 1.18; 95% CI, 1.05-1.34), live-birth delivery (aOR 1.43;
95% CI, 1.26-1.62), and multiple-birth delivery (aOR 1.98; 95% CI, 1.52-2.57)
among women >37 years. CONCLUSION(S): Aneuploidy screening was the most common
indication for PGD. Use of PGD was not observed to be associated with an
increased odds of clinical pregnancy or live birth for women <35 years. PGD for
aneuploidy was associated with a decreased odds of miscarriage for women >35
years, but an increased odds of a live-birth and a multiple live-birth delivery
among women >37 years.
PMID- 26551442
TI - Vigorous exercise is associated with superior metabolic profiles in polycystic
ovary syndrome independent of total exercise expenditure.
AB - OBJECTIVE: To characterize metabolic features of women with polycystic ovary
syndrome (PCOS) by exercise behavior and determine relative health benefits of
different exercise intensities. DESIGN: Cross-sectional study. SETTING: Tertiary
academic institution. PATIENT(S): Three hundred and twenty-six women aged 14-52
years-old with PCOS by Rotterdam criteria examined between 2006 and 2013.
INTERVENTION(S): International Physical Activity Questionnaire (IPAQ)
administered to classify patients into three groups based on Department of Health
and Human Services (DHHS) Guidelines of vigorous, moderate, and inactive, along
with physical examination and serum testing. MAIN OUTCOME MEASURE(S): Blood
pressure, body mass index (BMI), waist circumference, fasting lipids, fasting
glucose and insulin, 2-hour 75-gram oral glucose tolerance, homeostatic model
assessment of insulin resistance (HOMA-IR). RESULT(S): The DHHS guidelines for
adequate physical activity were met by 182 (56%) women. Compared with moderate
exercisers and inactive women, the vigorous exercisers had lower BMI and lower
HOMA-IR; higher levels of high-density lipoprotein cholesterol and sex hormone
binding globulin; and a reduced prevalence of the metabolic syndrome. In a
multivariate logistic regression analysis controlling for age, BMI, and total
energy expenditure, every hour of vigorous exercise reduced a patient's odds of
metabolic syndrome by 22% (odds ratio 0.78; 95% confidence interval, 0.62, 0.99).
CONCLUSION(S): Women with PCOS who met DHHS guidelines for exercise demonstrated
superior metabolic health parameters. Vigorous but not moderate activity is
associated with reduced odds of the metabolic syndrome, independent of age, BMI,
and total energy expenditure. PCOS patients should be encouraged to meet activity
guidelines via vigorous physical activity.
PMID- 26551443
TI - Exposure Duration-Dependent Ovarian Recovery in Methoxychlor-Treated Mice.
AB - The pesticide methoxychlor (MXC) is known to target ovarian antral follicles in
the mouse. In previous in vivo studies, MXC administration for 20 days increased
atresia, but did not affect female fertility immediately after dosing. Thus, we
hypothesized that perhaps not enough time had elapsed between the onset of MXC
induced atresia and actual follicle loss to result in reduced fertility. The
current study was undertaken to determine whether MXC treatment for 20 days
results in reduced antral follicle numbers and fertility at 30 and 60 days after
dosing. To test this hypothesis, adult CD-1 female mice were dosed with vehicle
control or MXC (64 mg/kg/day) for 20 days. At 30 and 60 days postdosing, the mice
were either subjected to fertility tests or their ovaries were collected and
subjected to histological evaluation of follicle numbers and atresia. The results
indicate that at 30 days after the completion of dosing, MXC significantly
increased atresia and reduced primordial and total follicle numbers, but did not
affect fertility compared to controls. At 60 days after completion of dosing, MXC
did not significantly affect fertility, follicle numbers, or atresia compared to
controls. Collectively, these data indicate that the ovary may be able to recover
from MXC treatment for 20 days.
PMID- 26551445
TI - Effectiveness of Lactobacillus reuteri DSM 17938 for the Prevention of Nosocomial
Diarrhea in Children: A Randomized, Double-blind, Placebo-controlled Trial.
AB - BACKGROUND: Multiple studies of probiotics used to prevent nosocomial diarrhea
have provided conflicting results. The effects likely depend on the probiotic
strain and/or dosage. The aim of this study was to assess the effectiveness of
Lactobacillus reuteri DSM 17938 (L. reuteri; daily dose of 1 * 10 colony forming
units) for preventing nosocomial diarrhea in children. METHODS: We conducted a
multicenter, randomized, double-blind, placebo-controlled trial in 184 children,
1-48 months of age, admitted to the hospital for reasons other than diarrhea. A
computer-generated randomization scheme was used to allocate participants to
receive either L. reuteri (n = 91) at a daily dose of 1 * 10 colony forming
units, for the duration of hospitalization, or an identical appearing placebo (n
= 93). Patients, study personnel and data analysts were blinded to assignment.
The primary outcome was the occurrence of nosocomial diarrhea (>=3 loose or
watery stools in 24 hours that occurred >72 hours after admission). Analysis was
by intention-to-treat. RESULTS: Baseline characteristics were similar in the 2
groups. Nosocomial diarrhea occurred in 13 (7.1%) children. No difference was
found between the L. reuteri and the placebo groups (7/91 vs 6/93, respectively;
relative risk: 1.19; 95% confidence interval: 0.43-3.27). There was also no
difference between the L. reuteri and placebo groups for any of the secondary
outcomes, including adverse effects. Rotavirus vaccination status had no effect
on the results. CONCLUSION: L. reuteri in the dosage regimen used was not
effective in preventing nosocomial diarrhea in children.
PMID- 26551444
TI - [Evidence-based therapy of polycystic ovarian syndrome].
AB - Polycystic ovary syndrome is recognized as the most common hormonal and metabolic
disorder likely to affect women. The heterogeneous endocrinopathy is
characterized by clinical and/or biochemical hyperandrogenism, oligo- or
amenorrhoea, anovulatory infertility, and polycystic ovarian morphology. The
syndrome is often associated with obesity, hyperinsulinemia and adversely affects
endocrine, metabolic, and cardiovascular health. The symptoms and complaint of
the patients vary with age. To maximise health gain of the syndrome, adequate,
evidence based effective, efficient and safe treatment is necessary. This article
summarises the highest available evidence provided by studies, meta-analysis and
systematic reviews about the therapeutical possibilities for treating obesity,
hyperandrogenism, menstrual abnormalities, infertility and psychological problems
related to polycystic ovary syndrome.
PMID- 26551446
TI - Assessment of Prime-boost Vaccination Using an AS03B-adjuvanted Influenza A
(H5N1) Vaccine: A Randomized Trial in Children of Three to Less Than Eighteen
Years of Age.
AB - BACKGROUND: Heterologous prime-boost vaccination is a pandemic response strategy
utilizing subtype-matched vaccine at pandemic onset followed by strain-matched
vaccine once available. Persistence of immune response and safety of influenza A
(H5N1) vaccine adjuvanted with adjuvant system containing alpha-tocopherol and
squalene in an oil-in-water emulsion (AS03B) were evaluated. METHODS: An open
phase 3 active-controlled study (www.clinicaltrials.gov NCT01379937) assessed
immunogenicity and reactogenicity of a heterologous booster dose of
A/turkey/Turkey/1/2005-H5N1-AS03B in children 3 to <18 years of age, given 6
months after 2-dose priming with A/Indonesia/05/2005-H5N1-AS03B (H5N1(2) -H5N1
group) compared with a single dose of A/turkey/Turkey/1/2005-H5N1-AS03B in
unprimed subjects (hepatitis A vaccine (HAV)-H5N1 group). Hemagglutinin
inhibition responses and microneutralization antibodies were assessed to 6 months
after booster vaccination. RESULTS: Hemagglutinin inhibition antibody responses
against A/turkey/Turkey/1/2005-H5N1 were superior in the H5N1(2)-H5N1 versus the
hepatitis A vaccine-H5N1 group overall and in each age strata (3 to <10 and 10 to
<18 years). Anamnestic immune responses were demonstrated against vaccine
homologous/heterologous strains in the H5N1(2)-H5N1 group. Injection site pain
and fever increased with consecutive doses for children <6 years (H5N1(2)-H5N1).
Immune responses to vaccine-homologous/heterologous strains persisted to 6 months
after booster vaccination in the H5N1(2)-H5N1 group. CONCLUSIONS: Heterologous
H5N1-AS03B-adjuvanted booster vaccination in children/adolescents was immunogenic
for vaccine-homologous and heterologous strains following 2-dose priming, with
immune persistence for at least 6 months. Prime-boost strategies using H5N1-AS03
could be effectively employed in this age group.
PMID- 26551447
TI - Absence of Bordetella pertussis Among Infants Hospitalized for Bronchiolitis in
Finland, 2008-2010.
AB - In 169 Finnish infants hospitalized for bronchiolitis at age <6 months in 2008
2010, nasopharyngeal aspirates were tested by polymerase chain reaction for
Bordetella pertussis and 16 viruses. Respiratory viruses were detected in 89%
(71% with respiratory syncytial virus), but no infant had B. pertussis. The
latter finding may reflect a positive effect from the broadening of the Finnish
pertussis vaccination program in 2005.
PMID- 26551448
TI - Virulence and Simple Sequence Repeat Marker Segregation in a Puccinia striiformis
f. sp. tritici Population Produced by Selfing a Chinese Isolate on Berberis
shensiana.
AB - Puccinia striiformis f. sp. tritici, the causal agent of wheat stripe rust,
frequently produces new races overcoming resistance in wheat cultivars. A
recently identified race, V26 with virulence to Yr26 and many other stripe rust
resistance genes, has a high potential to cause epidemics in China. In this
study, teliospores from a single-urediniospore isolate of V26 (Pinglan 17-7)
produced on the wheat line 92R137 (Yr26) were used to produce a sexual population
through selfing by infecting Berberis shensiana plants under controlled
conditions. One hundred and eighteen progeny isolates and the parental isolate
were phenotyped for virulence/avirulence on 24 Yr gene lines of wheat. These
progeny isolates were all avirulent to Yr5, Yr8, Yr15, and YrTr1 and virulent to
Yr1, Yr2, Yr7, Yr9, Yr10, Yr17, Yr24, Yr25, Yr26, YrA, YrExp2, and YrV23,
indicating that the parental isolate is homozygous avirulent or homozygous
virulent at these loci. The progeny population segregated for avirulence to Yr6,
Yr43, and YrSP at one locus (3 avirulent:1 virulent ratio); for virulence to Yr27
and Yr28 at one locus (3 virulent:1 avirulent); and for Yr4, Yr32, and Yr44 at
two loci (15 virulent:1 avirulent). Among the eight segregating
avirulence/virulence loci, association was found between virulence to Yr4 and
Yr32, as well as between virulence to Yr6 and Yr43 based on chi(2) tests. From 82
genotypically different progeny isolates, 24 pathotypes and 82 multilocus
genotypes were identified. The results show that a highly diverse population can
be produced from a single isolate by selfing on a barberry plant and sexually
produced population can be used to genetically characterize virulence of the
stripe rust pathogen.
PMID- 26551449
TI - Localization of 'Candidatus Liberibacter solanacearum' and Evidence for Surface
Appendages in the Potato Psyllid Vector.
AB - The potato psyllid Bactericera cockerelli is implicated as the vector of the
causal agent of zebra chip of potato and vein-greening of tomato diseases. Until
now, visual identification of bacteria in the genus 'Candidatus Liberibacter' has
relied on direct imaging by light and electron microscopy without labeling, or
with whole-organ fluorescence labeling only. In this study, aldehyde fixative
followed by a coagulant fixative, was used to process adult psyllids for
transmission electron microscopy (TEM) colloidal gold in situ hybridization
experiments. Results indicated that 'Ca. Liberibacter solanacearum' (CLso)
specific DNA probes annealed to a bacterium that formed extensive, monocultural
biofilms on gut, salivary gland, and oral region tissues, confirming that it is
one morphotype of potentially others, that is rod-shaped, approximately 2.5 um in
diameter and of variable length, and has a rough, granular cytosol. In addition,
CLso, prepared from shredded midguts, and negatively stained for TEM, possessed
pili- and flagella-like surface appendages. Genes implicating coding capacity for
both types of surface structures are encoded in the CLso genome sequence. Neither
type was seen for CLso associated with biofilms within or on digestive organs,
suggesting that their production is stimulated only in certain environments,
putatively, in the gut during adhesion leading to multiplication, and in
hemolymph to afford systemic invasion.
PMID- 26551450
TI - Diversity of Xanthomonas campestris Isolates from Symptomatic Crucifers in New
York State.
AB - To assess the diversity of Xanthomonas campestris spp. infecting crucifers in New
York, 154 isolates were collected over 10 years across the state. The goal was to
determine if isolates of the pathogen were overwintering in New York and serving
as primary inoculum in subsequent years, or if novel isolates were entering the
state each year. Pure cultures of isolates were characterized using multilocus
sequence analysis (MLSA), a greenhouse pathogenicity assay, repetitive element
polymerase chain reaction (Rep-PCR) using the BOX-A1R primer, and enzyme-linked
immunosorbent assay. The MLSA scheme proved to be more efficient than Rep-PCR for
a large sample population and for comparison with global isolates. X. campestris
isolated from crucifers in New York comprised of X. campestris pv. campestris and
X. campestris pv. raphani, with X. campestris pv. raphani being predominately
isolated from transplants. Evidence for unique haplotypes persisting on the same
farm for several years due to improper seedbed rotations was documented in
addition to novel haplotypes being spread throughout states through infected
transplants and seed. Rep-PCR confirmed the high diversity of X. campestris and
was used to generate 15 unique fingerprint patterns from isolates collected in
the first 5 years. A worldwide comparison of isolates suggests that the X.
campestris pv. campestris population appears to be very homogenous with dominant
haplotypes persisting for extended periods and being globally disseminated.
PMID- 26551451
TI - Host Transcriptional Profiling at Early and Later Stages of the Compatible
Interaction Between Phaseolus vulgaris and Meloidogyne incognita.
AB - The root-knot nematode (Meloidogyne incognita) is one of most devastating
pathogens that attack the common bean crop. Although there is evidence that some
cultivars have race-specific resistance against M. incognita, these resistance
sources have not proved effective, and nematodes are able to circumvent the
host's defense system. We constructed RNA-seq based libraries and used a high
throughput sequencing platform to analyze the plant responses to M. incognita.
Assessments were performed at 4 and 10 days after inoculation corresponding to
the stages of nematode penetration and giant cell development, respectively.
Large-scale transcript mapping to the common bean reference genome (G19833)
resulted in the identification of 27,195 unigenes. Of these, 797 host genes were
found to be differentially expressed. The functional annotation results confirm
the complex interplay between abiotic and biotic stress signaling pathways. High
expression levels of the wounding-responsive genes were observed over the
interaction. At early response, an overexpression of the N gene, a TIR-NBS-LRR
resistance gene, was understood as a host attempt to overcome the pathogen
attack. However, the repression of heat shock proteins resulted in a lack of
reactive oxygen species accumulation and absence of a hypersensitive response.
Furthermore, the host basal response was broken by the repression of the
ethylene/jasmonate pathway later in the response, resulting in a continuous
compatible process with consequent plant susceptibility.
PMID- 26551452
TI - Porous Hybrid Composites of Few-Layer MoS2 Nanosheets Embedded in a Carbon Matrix
with an Excellent Supercapacitor Electrode Performance.
AB - Porous hierarchical architectures of few-layer MoS2 nanosheets dispersed in
carbon matrix are prepared by a microwave-hydrothermal method followed by
annealing treatment via using glucose as C source and structure-directing agent
and (NH4 )2 MoS4 as both Mo and S sources. It is found that the morphology and
size of the secondary building units (SBUs), the size and layer number of MoS2
nanosheets as well as the distribution of MoS2 nanosheets in carbon matrix, can
be effectively controlled by simply adjusting the molar ratio of (NH4 )2 MoS4 to
glucose, leading to the materials with a low charge-transfer resistance, many
electrochemical active sites and a robust structure for an outstanding energy
storage performance including a high specific capacitance (589 F g(-1) at 0.5 A
g(-1) ), a good rate capability (364 F g(-1) at 20 A g(-1) ), and an excellent
cycling stability (retention 104% after 2000 cycles) for application in
supercapacitors. The exceptional rate capability endows the electrode with a high
energy density of 72.7 Wh kg(-1) and a high power density of 12.0 kW kg(-1)
simultaneously. This work presents a facile and scalable approach for
synthesizing novel heterostructures of MoS2 -based electrode materials with an
enhanced rate capability and cyclability for potential application in
supercapacitor.
PMID- 26551453
TI - Segmentation of liver and spleen based on computational anatomy models.
AB - Accurate segmentation of abdominal organs is a key step in developing a computer
aided diagnosis (CAD) system. Probabilistic atlas based on human anatomical
structure, used as a priori information in a Bayes framework, has been widely
used for organ segmentation. How to register the probabilistic atlas to the
patient volume is the main challenge. Additionally, there is the disadvantage
that the conventional probabilistic atlas may cause a bias toward the specific
patient study because of the single reference. Taking these into consideration, a
template matching framework based on an iterative probabilistic atlas for liver
and spleen segmentation is presented in this paper. First, a bounding box based
on human anatomical localization, which refers to the statistical geometric
location of the organ, is detected for the candidate organ. Then, the
probabilistic atlas is used as a template to find the organ in this bounding box
by using template matching technology. We applied our method to 60 datasets
including normal and pathological cases. For the liver, the Dice/Tanimoto volume
overlaps were 0.930/0.870, the root-mean-squared error (RMSE) was 2.906mm. For
the spleen, quantification led to 0.922 Dice/0.857 Tanimoto overlaps, 1.992mm
RMSE. The algorithm is robust in segmenting normal and abnormal spleens and
livers, such as the presence of tumors and large morphological changes. Comparing
our method with conventional and recently developed atlas-based methods, our
results show an improvement in the segmentation accuracy for multi-organs
(p<0.00001).
PMID- 26551454
TI - Sieve-based relation extraction of gene regulatory networks from biological
literature.
AB - BACKGROUND: Relation extraction is an essential procedure in literature mining.
It focuses on extracting semantic relations between parts of text, called
mentions. Biomedical literature includes an enormous amount of textual
descriptions of biological entities, their interactions and results of related
experiments. To extract them in an explicit, computer readable format, these
relations were at first extracted manually from databases. Manual curation was
later replaced with automatic or semi-automatic tools with natural language
processing capabilities. The current challenge is the development of information
extraction procedures that can directly infer more complex relational structures,
such as gene regulatory networks. RESULTS: We develop a computational approach
for extraction of gene regulatory networks from textual data. Our method is
designed as a sieve-based system and uses linear-chain conditional random fields
and rules for relation extraction. With this method we successfully extracted the
sporulation gene regulation network in the bacterium Bacillus subtilis for the
information extraction challenge at the BioNLP 2013 conference. To enable
extraction of distant relations using first-order models, we transform the data
into skip-mention sequences. We infer multiple models, each of which is able to
extract different relationship types. Following the shared task, we conducted
additional analysis using different system settings that resulted in reducing the
reconstruction error of bacterial sporulation network from 0.73 to 0.68, measured
as the slot error rate between the predicted and the reference network. We
observe that all relation extraction sieves contribute to the predictive
performance of the proposed approach. Also, features constructed by considering
mention words and their prefixes and suffixes are the most important features for
higher accuracy of extraction. Analysis of distances between different mention
types in the text shows that our choice of transforming data into skip-mention
sequences is appropriate for detecting relations between distant mentions.
CONCLUSIONS: Linear-chain conditional random fields, along with appropriate data
transformations, can be efficiently used to extract relations. The sieve-based
architecture simplifies the system as new sieves can be easily added or removed
and each sieve can utilize the results of previous ones. Furthermore, sieves with
conditional random fields can be trained on arbitrary text data and hence are
applicable to broad range of relation extraction tasks and data domains.
PMID- 26551455
TI - Long non-coding MIAT mediates high glucose-induced renal tubular epithelial
injury.
AB - BACKGROUND AND OBJECTIVE: Long non-coding RNAs (lncRNAs) constitute a novel class
of non-coding RNAs that take part in occurrence and development of diabetes
complication via regulating gene expression. However, litter is known about
lncRNAs in the setting of diabetes induced nephropathy. The aim of this study was
to examine whether lncRNA-myocardial infarction-associated transcript (MIAT) is
involved in diabetes induced renal tubules injury. METHODS: Adult Wister rats
were randomly assigned to receive intraperitoneal STZ (65 mg/kg) to induce
diabetes. Rats treated with equal volume of citrate buffer were as control. Renal
function was evaluated by analysis of serum creatinine and blood urea nitrogen
(BUN) every four weeks after STZ administration. Also tubules of all rats were
collected for determination of MIAT and Nrf2 level at the corresponding phase.
The in vitro high glucose-triggered human renal tubular epithelial cell line (HK
2) was used to explore the mechanism underling MIAT regulated high glucose
induced tubular damage. RESULTS: In diabetic rats, MIAT showed the lower level
and its expression is negatively correlated with serum creatinine and BUN.
Consistent with diabetic rat, exposed to high glucose, HK-2 cells expressed lower
level of MIAT and Nrf2, and also showed reduction in cell viability. By pcDNA
MIAT plasmid transfection, we observed that MIAT overexpression reversed
inhibitory action of Nrf2 expression by high glucose. Moreover, the data of RNA
pull-down and RIP showed that MIAT controlled Nrf2 cellular through enhancing
Nrf2 stability, which was confirmed by CHX and MG132 administration. Inhibitory
effect of cell viability by silencing MIAT was also reversed by Nrf2
overexpression. CONCLUSION: In summary, our data suggested that MIAT/Nrf2 served
as an important signaling pathway for high glucose induced renal tubular
epithelial injury.
PMID- 26551456
TI - Solvent exposure of Tyr10 as a probe of structural differences between monomeric
and aggregated forms of the amyloid-beta peptide.
AB - Aggregation of amyloid-beta (Abeta) peptides is a characteristic pathological
feature of Alzheimer's disease. We have exploited the relationship between
solvent exposure and intrinsic fluorescence of a single tyrosine residue, Tyr10,
in the Abeta sequence to probe structural features of the monomeric, oligomeric
and fibrillar forms of the 42-residue Abeta1-42. By monitoring the quenching of
Tyr10 fluorescence upon addition of water-soluble acrylamide, we show that in
Abeta1-42 oligomers this residue is solvent-exposed to a similar extent to that
found in the unfolded monomer. By contrast, Tyr10 is significantly shielded from
acrylamide quenching in Abeta1-42 fibrils, consistent with its proximity to the
fibrillar cross-beta core. Furthermore, circular dichroism measurements reveal
that Abeta1-42 oligomers have a considerably lower beta-sheet content than the
Abeta1-42 fibrils, indicative of a less ordered molecular arrangement in the
former. Taken together these findings suggest significant differences in the
structural assembly of oligomers and fibrils that are consistent with differences
in their biological effects.
PMID- 26551457
TI - Carbonic anhydrases and their functional differences in human and mouse sperm
physiology.
AB - Fertilization is a key reproductive event in which sperm and egg fuse to generate
a new individual. Proper regulation of certain parameters (such as intracellular
pH) is crucial for this process. Carbonic anhydrases (CAs) are among the
molecular entities that control intracellular pH dynamics in most cells.
Unfortunately, little is known about the function of CAs in mammalian sperm
physiology. For this reason, we re-explored the expression of CAI, II, IV and
XIII in human and mouse sperm. We also measured the level of CA activity,
determined by mass spectrometry, and found that it is similar in non-capacitated
and capacitated mouse sperm. Importantly, we found that CAII activity accounts
for half of the total CA activity in capacitated mouse sperm. Using the general
CA inhibitor ethoxyzolamide, we studied how CAs participate in fundamental sperm
physiological processes such as motility and acrosome reaction in both species.
We found that capacitated human sperm depend strongly on CA activity to support
normal motility, while capacitated mouse sperm do not. Finally, we found that CA
inhibition increases the acrosome reaction in capacitated human sperm, but not in
capacitated mouse sperm.
PMID- 26551458
TI - Mammalian farnesyltransferase alpha subunit regulates vacuolar protein sorting
associated protein 4A (Vps4A)--dependent intracellular trafficking through
recycling endosomes.
AB - The protein farnesyltransferase (FTase) mediates posttranslational modification
of proteins with isoprenoid lipids. FTase is a heterodimer and although the beta
subunit harbors the active site, it requires the alpha subunit for its activity.
Here we explore the other functions of the FTase alpha subunit in addition to its
established role in protein prenylation. We found that in the absence of the beta
subunit, the alpha subunit of FTase forms a stable autonomous dimeric structure
in solution. We identify interactors of FTase alpha using mass spectrometry,
followed by rapid in vitro analysis using the Leishmania tarentolae cell - free
system. Vps4A was validated for direct binding to the FTase alpha subunit both in
vitro and in vivo. Analysis of the interaction with Vps4A in Hek 293 cells
demonstrated that FTase alpha controls trafficking of transferrin receptor
upstream of this protein. These results point to the existence of previously
undetected biological functions of the FTase alpha subunit that includes control
of intracellular membrane trafficking.
PMID- 26551459
TI - Expression of long noncoding RNA lncRNA-n336928 is correlated with tumor stage
and grade and overall survival in bladder cancer.
AB - Long noncoding RNAs (lncRNAs) have been implicated playing important roles in
human urologic cancers. In the present study, microarray analysis was initially
performed to screen the differentially expressed lncRNAs between bladder cancer
tissues and paired adjacent non-cancerous tissues (n = 3). Subsequent qRT-PCR
validation was conducted using tissue samples from 95 patients with bladder
cancer. Results showed that the expression level of lncRNA-n336928 (noncode
database ID: n336928) was significantly higher in bladder cancer tissues compared
to that in adjacent noncancerous tissues (P < 0.001). Chi-square test showed that
expression of lncRNA-n336928 was positively correlated with bladder tumor stage
and histological grade (P < 0.001). Kaplan-Meier survival analysis revealed that
patients with bladder cancer with high expression of lncRNA-n336928 had shorter
overall survival time compared to the patients with low expression of lncRNA
n336928. Multivariate analysis indicated that lncRNA-n336928 was an independent
prognostic factor for overall survival for bladder cancer patients. Collectively,
our study shows that high expression of lncRNA-n336928 is associated with the
progression of bladder cancer, and that lncRNA-n336928 might serve as a biomarker
for prognosis of bladder cancer.
PMID- 26551460
TI - High-affinity interaction between interleukin-11 and S100P protein.
AB - Interleukin-11 (IL-11) and S100P are oncoproteins co-expressed in numerous
cancers, which might favor their interaction during oncogenesis. We have explored
the possibility of this interaction by surface plasmon resonance spectroscopy,
intrinsic fluorescence, and chemical crosslinking. Recombinant forms of IL-11 and
S100P interact with each other under physiological level of calcium ions. IL-11
molecule has at least two S100P-binding sites with dissociation constants of 32
nM and 288 nM, which is 5-13-fold lower than its affinity to extracellular domain
of IL-11 receptor subunit alpha. S100P does not alter IL-11-induced STAT3
activation in HEK293 cells co-expressing IL-11 receptors, but could affect other
tumorigenic signaling pathways. The highly specific IL-11 - S100P interaction
occurring under physiologically relevant conditions should be taken into
consideration upon development of the antineoplastics inhibiting IL-11 signaling.
PMID- 26551461
TI - Temporal and organ-specific detection of cNMPs including cUMP in the zebrafish.
AB - The cyclic pyrimidine nucleotides cCMP and cUMP occur in mammalian cell lines.
Recently, cCMP was also identified in mouse organs. Due to technical
difficulties, it has not been possible to detect cUMP in organs or tissues yet.
Here, we have generated a temporal profile of the occurrence of nucleoside 3',5'
cyclic monophosphates during different developmental stages of embryogenesis and
in different organs of the adult zebrafish Danio rerio. Cyclic nucleotides were
quantified by high performance liquid chromatography quadrupole tandem mass
spectrometry. The identity of cCMP and cUMP in the zebrafish was confirmed by
high performance liquid chromatography quadrupole time-of-flight mass
spectrometry. We show for the first time that cUMP can be detected during
embryogenesis and in adult organs of this vertebrate model system.
PMID- 26551462
TI - Overexpression of hepatocyte growth factor in SBMA model mice has an additive
effect on combination therapy with castration.
AB - Spinal and bulbar muscular atrophy (SBMA) is an inherited motor neuron disease
caused by the expansion of a polyglutamine (polyQ)-encoding tract within the
androgen receptor (AR) gene. The pathologic features of SBMA are motor neuron
loss in the spinal cord and brainstem and diffuse nuclear accumulation and
nuclear inclusions of mutant AR in residual motor neurons and certain visceral
organs. Hepatocyte growth factor (HGF) is a polypeptide growth factor which has
neuroprotective properties. To investigate whether HGF overexpression can affect
disease progression in a mouse model of SBMA, we crossed SBMA transgenic model
mice expressing an AR gene with an expanded CAG repeat with mice overexpressing
HGF. Here, we report that high expression of HGF induces Akt phosphorylation and
modestly ameliorated motor symptoms in an SBMA transgenic mouse model treated
with or without castration. These findings suggest that HGF overexpression can
provide a potential therapeutic avenue as a combination therapy with disease
modifying therapies in SBMA.
PMID- 26551463
TI - Aging related ER stress is not responsible for anabolic resistance in mouse
skeletal muscle.
AB - Anabolic resistance reflects the inability of skeletal muscle to maintain protein
mass by appropriate stimulation of protein synthesis. We hypothesized that
endoplasmic reticulum (ER) stress contributes to anabolic resistance in skeletal
muscle with aging. Muscles were isolated from adult (8 mo) and old (26 mo) mice
and weighed. ER stress markers in each muscle were quantified, and the anabolic
response to leucine was assessed by measuring the phosphorylation state of S6K1
in soleus and EDL using an ex vivo muscle model. Aging reduced the muscle-to-body
weight ratio in soleus, gastrocnemius, and plantaris, but not in EDL and tibialis
anterior. Compared to adult mice, the expression of ER stress markers BiP and
IRE1alpha was higher in EDL, and phospho-eIF2alpha was higher in soleus and EDL
of old mice. S6K1 response to leucine was impaired in soleus, but not in EDL,
suggesting that anabolic resistance contributes to soleus weight loss in old
mice. Pre-incubation with ER stress inducer tunicamycin before leucine
stimulation increased S6K1 phosphorylation beyond the level reached by leucine
alone. Since tunicamycin did not impair leucine-induced S6K1 response, and based
on the different ER stress marker regulation patterns, ER stress is probably not
involved in anabolic resistance in skeletal muscle with aging.
PMID- 26551465
TI - Indomethacin-induced intestinal epithelial cell damage is mediated by pVHL
activation through the degradation of collagen I and HIF-1alpha.
AB - Non-steroidal anti-inflammatory drug (NSAID)-induced epithelial cell damage
occurs not only in the stomach but also in the intestines and colon. Although
several studies have investigated the related mechanism underlying lower
gastrointestinal tract injury, the details of this mechanism are still unclear.
Since it was reported that protein degradation might play an important role,
herein, we focused on one of the major ubiquitin E3 ligases, the von Hippel
Lindau protein (pVHL). To understand whether pVHL is involved in the observed
cell damage, we examined whether indomethacin (IM) treatment affects pVHL
expression in the rat IEC6 intestinal epithelial cell line. We showed that pVHL
was upregulated after IM treatment through increased oxidative stress. pVHL siRNA
prevented cell injury after IM treatment. Furthermore, the collagen I and HIF
1alpha protein band intensities were both decreased after IM treatment, whereas
MG132 reversed the proteins' downregulation, indicating that the IM treatment
induced downregulation was due to the degradation through pVHL-mediated
polyubiquitination. Co-immunoprecipitation showed that pVHL interacted with both
collagen I and HIF-1alpha. The degradation of collagen I and HIF-1alpha after IM
treatment was reversed by siVHL or a Mn-SOD mimetic, Mn(III)TMPyP. The expression
of collagen I and HIF-1alpha was correlated with pVHL expression level, whereas
only HIF-1alpha, not collagen I, was upregulated after the treatment of a prolyl
hydroxylase inhibitor, CoCl2. The effect of pVHL on the intestinal epithelium
after IM treatment was also tested in vivo. Western blot analyses were used to
test whether pVHL's protein expression level might increase after oral
administration of IM to mice, and which showed that IM upregulated pVHL
expression and degraded collagen I and HIF-1alpha, consistent with the data
obtained in IEC6 cells. These data suggested that intestinal epithelial cells
were injured after IM treatment through the pVHL overexpression-induced
degradation of collagen I or HIF-1alpha. Therefore, pVHL might be a molecular
target for IM-induced intestinal epithelial cell injury.
PMID- 26551464
TI - Mutation of the gene encoding the ribonuclease P RNA in the hyperthermophilic
archaeon Thermococcus kodakarensis causes decreased growth rate and impaired
processing of tRNA precursors.
AB - Ribonuclease P (RNase P) catalyzes the processing of 5' leader sequences of tRNA
precursors in all three phylogenetic domains. RNase P also plays an essential
role in non-tRNA biogenesis in bacterial and eukaryotic cells. For archaeal RNase
Ps, additional functions, however, remain poorly understood. To gain insight into
the biological function of archaeal RNase Ps in vivo, we prepared archaeal
mutants KUWDeltaP3, KUWDeltaP8, and KUWDeltaP16, in which the gene segments
encoding stem-loops containing helices, respectively, P3, P8 and P16 in RNase P
RNA (TkopRNA) of the hyperthermophilic archaeon Thermococcus kodakarensis were
deleted. Phenotypic analysis showed that KUWDeltaP3 and KUWDeltaP16 grew slowly
compared with wild-type T. kodakarensis KUW1, while KUWDeltaP8 displayed no
difference from T. kodakarensis KUW1. RNase P isolated using an affinity-tag from
KUWDeltaP3 had reduced pre-tRNA cleavage activity compared with that from T.
kodakarensis KUW1. Moreover, quantitative RT-PCR (qRT-PCR) and Northern blots
analyses of KUWDeltaP3 showed greater accumulation of unprocessed transcripts for
pre-tRNAs than that of T. kodakarensis KUW1. The current study represents the
first attempt to prepare mutant T. kodakarensis with impaired RNase P for
functional investigation. Comparative whole-transcriptome analysis of T.
kodakarensis KUW1 and KUWDeltaP3 should allow for the comprehensive
identification of RNA substrates for archaeal RNase Ps.
PMID- 26551466
TI - Kibra and aPKC regulate starvation-induced autophagy in Drosophila.
AB - Autophagy is a bulk degradation system that functions in response to cellular
stresses such as metabolic stress, endoplasmic reticulum stress, oxidative
stress, and developmental processes. During autophagy, cytoplasmic components are
captured in double-membrane vesicles called autophagosomes. The autophagosome
fuses with the lysosome, producing a vacuole known as an autolysosome. The
cellular components are degraded by lysosomal proteases and recycled. Autophagy
is important for maintaining cellular homeostasis, and the process is
evolutionarily conserved. Kibra is an upstream regulator of the hippo signaling
pathway, which controls organ size by affecting cell growth, proliferation, and
apoptosis. Kibra is mainly localized in the apical membrane domain of epithelial
cells and acts as a scaffold protein. We found that Kibra is required for
autophagy to function properly. The absence of Kibra caused defects in the
formation of autophagic vesicles and autophagic degradation. We also found that
the well-known cell polarity protein aPKC interacts with Kibra, and its activity
affects autophagy upstream of Kibra. Constitutively active aPKC decreased
autophagic vesicle formation and autophagic degradation. We confirmed the
interaction between aPKC and Kibra in S2 cells and Drosophila larva. Taken
together, our data suggest that Kibra and aPKC are essential for regulating
starvation-induced autophagy.
PMID- 26551467
TI - A salmon DNA scaffold promotes osteogenesis through activation of sodium
dependent phosphate cotransporters.
AB - We previously reported the promotion of bone regeneration in calvarial defects of
both normal and ovariectomy-induced osteoporotic rats, with the use of
biodegradable DNA/protamine scaffold. However, the method by which this DNA
containing scaffold promotes bone formation is still not understood. We
hypothesize that the salmon DNA, from which this scaffold is derived, has an
osteoinductive effect on pre-osteoblasts and osteoblasts. We examined the effects
of salmon DNA on osteoblastic differentiation and calcification in MC3T3-E1
cells, mouse osteoblasts, in vitro and bone regeneration in a calvarial defect
model of aged mouse in vivo. The salmon DNA fragments (300 bps) upregulated the
expression of the osteogenic markers, such as alkaline phosphatase, Runx2, and
osterix (Osx) in MC3T3E1 cells compared with incubation with osteogenic induction
medium alone. Measurement of phosphate ion concentrations in cultures showed that
the DNA scaffold degraded phosphate ions were released to the cell cultures.
Interestingly, we found that the inclusion of DNA in osteoblastic cell cultures
upregulated the expression of sodium-dependent phosphate (NaPi) cotransporters,
SLC20A1 and SLC34A2, in MC3T3-E1 cells in a time dependent manner. Furthermore,
the inclusion of DNA in cell cultures increased the transcellular permeability of
phosphate. Conversely, the incubation of phosphonoformic acid, an inhibitor of
NaPi cotransporters, attenuated the DNA-induced expression and activation of
SLC20A1 and SLC34A2 in MC3T3-E1 cells, resulting in suppression of the osteogenic
markers. The implantation of a salmon DNA scaffold disk promoted bone
regeneration using calvarial defect models in 30-week-old mice. Our results
indicate that the phosphate released from salmon DNA upregulated the expression
and activation of NaPi cotransporters, resulting in the promotion of bone
regeneration.
PMID- 26551470
TI - What you can do to improve adult immunization rates.
PMID- 26551468
TI - Characterization of Remitting and Relapsing Hyperglycemia in Post-Renal
Transplant Recipients.
AB - BACKGROUND: Hyperglycemia following solid organ transplant is common among
patients without pre-existing diabetes mellitus (DM). Post-transplant
hyperglycemia can occur once or multiple times, which if continued, causes new
onset diabetes after transplantation (NODAT). OBJECTIVE: To study if the first
and recurrent incidence of hyperglycemia are affected differently by
immunosuppressive regimens, demographic and medical-related risk factors, and
inpatient hyperglycemic conditions (i.e., an emphasis on the time course of post
transplant complications). METHODS: We conducted a retrospective analysis of 407
patients who underwent kidney transplantation at Mayo Clinic Arizona. Among
these, there were 292 patients with no signs of DM prior to transplant. For this
category of patients, we evaluated the impact of (1) immunosuppressive drugs
(e.g., tacrolimus, sirolimus, and steroid), (2) demographic and medical-related
risk factors, and (3) inpatient hyperglycemic conditions on the first and
recurrent incidence of hyperglycemia in one year post-transplant. We employed two
versions of Cox regression analyses: (1) a time-dependent model to analyze the
recurrent cases of hyperglycemia and (2) a time-independent model to analyze the
first incidence of hyperglycemia. RESULTS: Age (P = 0.018), HDL cholesterol (P =
0.010), and the average trough level of tacrolimus (P<0.0001) are significant
risk factors associated with the first incidence of hyperglycemia, while age
(P<0.0001), non-White race (P = 0.002), BMI (P = 0.002), HDL cholesterol (P =
0.003), uric acid (P = 0.012), and using steroid (P = 0.007) are the significant
risk factors for the recurrent cases of hyperglycemia. DISCUSSION: This study
draws attention to the importance of analyzing the risk factors associated with a
disease (specially a chronic one) with respect to both its first and recurrent
incidence, as well as carefully differentiating these two perspectives: a fact
that is currently overlooked in the literature.
PMID- 26551469
TI - Femtosecond Laser-Controlled Tip-to-Tip Assembly and Welding of Gold Nanorods.
AB - Directed assembly of gold nanorods through the use of dithiolated molecular
linkers is one of the most efficient methodologies for the morphologically
controlled tip-to-tip assembly of this type of anisotropic nanocrystals. However,
in a direct analogy to molecular polymerization synthesis, this process is
characterized by difficulties in chain-growth control over nanoparticle
oligomers. In particular, it is nearly impossible to favor the formation of one
type of oligomer, making the methodology hard to use for actual applications in
nanoplasmonics. We propose here a light-controlled synthetic procedure that
allows obtaining selected plasmonic oligomers in high yield and with reaction
times in the scale of minutes by irradiation with low fluence near-infrared (NIR)
femtosecond laser pulses. Selective inhibition of the formation of gold nanorod n
mers (trimers) with a longitudinal localized surface plasmon in resonance with a
800 nm Ti:sapphire laser, allowed efficient trapping of the (n - 1)-mers (dimers)
by hot spot mediated photothermal decomposition of the interparticle molecular
linkers. Laser irradiation at higher energies produced near-field enhancement at
the interparticle gaps, which is large enough to melt gold nanorod tips, offering
a new pathway toward tip-to-tip welding of gold nanorod oligomers with a
plasmonic response at the NIR. Thorough optical and electron microscopy
characterization indicates that plasmonic oligomers can be selectively trapped
and welded, which has been analyzed in terms of a model that predicts with
reasonable accuracy the relative concentrations of the main plasmonic species.
PMID- 26551471
TI - Generalized, well-dispersed rash . wheal development after tactile irritation .
normal vital signs . Dx?
PMID- 26551472
TI - PURLs: This adjunct medication can speed CAP recovery.
AB - Adding prednisone to the antibiotic regimen can help patients hospitalized with
community-acquired pneumonia to stabilize more quickly and leave the hospital
sooner.
PMID- 26551473
TI - Rash, diarrhea, and eosinophilia.
PMID- 26551474
TI - Drug-induced liver injury: Diagnosing (and treating) it early.
AB - Drug-induced liver injury can have an insidious--and unpredictable--course. Left
unchecked, it can progress to liver failure. This article and algorithm can
facilitate prompt diagnosis and treatment.
PMID- 26551475
TI - Help Desk Answers: Are overweight children likely to become overweight adults?
PMID- 26551476
TI - Office visits should be a "dance," not a dictate.
PMID- 26551477
TI - COPD: Optimizing treatment.
PMID- 26551478
TI - Clinical Inquiry: Does high dietary soy intake affect a woman's risk of primary
or recurrent breast cancer?
PMID- 26551479
TI - Linear rash from shoulder to wrist.
PMID- 26551480
TI - Left subconjunctival hemorrhage . renal dysfunction . international normalized
ratio of 4.5 . Dx?
PMID- 26551481
TI - YouTube as a patient-information source for root canal treatment.
AB - AIM: To assess the content and completeness of YoutubeTM as an information source
for patients undergoing root canal treatment procedures. METHODOLOGY: YouTubeTM
(https://www.youtube.com/) was searched for information using three relevant
treatment search terms ('endodontics', 'root canal' and 'root canal treatment').
After exclusions (language, no audio, >15 min, duplicates), 20 videos per search
term were selected. General video assessment included duration, ownership, views,
age, likes/dislikes, target audience and video/audio quality, whilst content was
analysed under six categories ('aetiology', 'anatomy', 'symptoms', 'procedure',
'postoperative course' and 'prognosis'). Content was scored for completeness
level and statistically analysed using anova and post hoc Tukey's test (P <
0.05). RESULTS: To obtain 60 acceptable videos, 124 were assessed. Depending on
the search term employed, the video content and ownership differed markedly.
There was wide variation in both the number of video views and 'likes/dislikes'.
The average video age was 788 days. In total, 46% of videos were 'posted' by a
dentist/specialist source; however, this was search term specific rising to 70%
of uploads for the search 'endodontic', whilst laypersons contributed 18% of
uploads for the search 'root canal treatment'. Every video lacked content in the
designated six categories, although 'procedure' details were covered more
frequently and in better detail than other categories. Videos posted by dental
professional (P = 0.046) and commercial sources (P = 0.009) were significantly
more complete than videos posted by laypeople. CONCLUSIONS: YouTubeTM videos for
endodontic search terms varied significantly by source and content and were
generally incomplete. The danger of patient reliance on YouTubeTM is highlighted,
as is the need for endodontic professionals to play an active role in directing
patients towards alternative high-quality information sources.
PMID- 26551483
TI - Safety and effectiveness of insulin detemir in combination with oral antidiabetic
agents in an outpatient specialist setting: results of the Italian SOLVETM
observational study.
AB - AIM: The addition of basal insulin to oral antidiabetics (OADs) is described by a
large number of guidelines and commonly used in clinical practice as a way to
start insulin therapy in patients with type 2 diabetes mellitus in order to
maximize compliance and minimise the impact of side effects (mainly hypoglycemia
and body weight increase). METHODS: SOLVETM was a 24-week international
observational study conducted in 10 countries (including Italy) for the
evaluation of the safety and effectiveness of once-daily insulin detemir as add
on therapy in patients with type 2 diabetes mellitus (T2DM) already treated with
one or more OADs. The Italian arm of the SolveTM Study aimed to evaluate the
safety and the effectiveness of once-daily insulin detemir in combination with
OAD agents for the treatment of patients with T2DM in the Italian outpatient
specialist setting. The primary endpoint was to assess the incidence of serious
adverse drug reactions (SADRs) including in the specific major hypoglycemic
events during 24 weeks of once-daily insulin detemir treatment. RESULTS: A total
of 4625 patients were enrolled in the study by 223 Italian centres for diabetes
care. At baseline the mean (+/-SD) demographic characteristics of the patients
were: age 66.5 (+/-10.0) years, duration of diabetes 13.25 (+/-8.14) years,
weight 78.95 (+/-15.86) kg and BMI 29.5 (+/-5.0) kg/m2. At the end of the study,
3 SADRs (of which 2 were major hypoglycemia) were reported in 2 patients (<0.1%).
The percentage of patients with at least 1 minor hypoglycemic event during the 4
weeks preceding insulin initiation was 3.6%. Following insulin initiation, 5.7%
(as recorded at baseline visit) had at least 1 minor hypoglycemic event, which
decreased slightly by the end of the study compared to baseline (4.8%). In
addition, before insulin initiation the mean (+/-SD) glycemic control values
were: fasting plasma glucose (FPG) 11.43 (+/-3.2) mmol/L and HbA1c 9.16% (+/
1.46). At the end of the study, HbA1c was reduced by 1.35% (+/-1.57) (P<0.001),
FPG was reduced by 3.34 mmol/L (P<0.001) and the percentage of patients with
HbA1c<7% was 21.9%. A mean reduction of 0.52 kg of body weight (P<0.001) was
observed compared to before insulin initiation; the body weight reduction was
more pronounced in patients with higher BMI before insulin initiation (-1.0 kg
for 3095% and provide
the real possibility of eliminating hepatitis C virus.
PMID- 26551492
TI - The use of ambulatory blood pressure measurement.
AB - Measurement of ambulatory blood pressure is recommended by the National Institute
for Health and Care Excellence guidelines to confirm the diagnosis of
hypertension in the UK. This article describes the use of ambulatory devices, and
discusses the benefits and disadvantages of their use in clinical practice.
PMID- 26551493
TI - Deciding on a combination of anticoagulant and antiplatelet drugs.
AB - Patients being treated for atrial fibrillation may develop cardiovascular disease
before or after the onset of their heart rhythm problem, and may require a
combination of antiplatelet and anticoagulant drugs. This article describes the
evidence for the combinations used to treat acute coronary syndrome in patients
with atrial fibrillation.
PMID- 26551494
TI - Promoting equality by assessing discrimination in clinical practice.
AB - How well do you understand your impact on the opportunities of others, and their
impact on your opportunities? Do you reflect on the equality of your values and
whether your behaviour could be improved? This article gives an overview of these
issues for hospital doctors.
PMID- 26551495
TI - Diagnosing and treating hepatic encephalopathy.
AB - Hepatic encephalopathy is a complex condition. This article considers the
efficacy of the methods used in its diagnosis and management and discusses the
impact of minimal hepatic encephalopathy on patients and the ethics of its
treatment.
PMID- 26551496
TI - Readmission rates and financial penalties after ear, nose and throat surgery: how
can we improve?
AB - INTRODUCTION: Since April 2011, all patient readmissions within 30 days have
resulted in a financial penalty to the hospital trust, and therefore the
responsible department. These costs may be substantial and potentially
preventable. METHODS: A service evaluation of readmissions within 30 days of
discharge, over a 12-month period (January-December 2012), was performed in the
ear, nose and throat department of a district general hospital, and findings were
used as a basis to suggest areas for potential quality improvement. AIMS: To
determine the number of readmissions, causes of readmission and resulting costs,
and to explore how these readmissions may be prevented. RESULTS: The departmental
30-day readmission rate over the study period was 3.12% (81/2606). The commonest
causes of readmission (33.3%) were complications following tonsillectomy (27/81)
such as pain, infection or bleeding. Over a third of these patients (30/81) were
readmitted for less than 24 hours, with the average length of stay being less
than 2.5 days. Financial implications: In 2011 the trust had 7526 emergency
readmissions which were eligible for penalty within the 30-day time frame. This
resulted in a loss of income of more than L60 000 to the ear, nose and throat
department. CONCLUSIONS: Optimizing postoperative care and improving patient
understanding of common complications may reduce readmission rates, thus limiting
the financial burden on the trust. These areas could serve as a basis for future
quality improvement projects.
PMID- 26551498
TI - John Coakley Lettsom: physician and philanthropist.
PMID- 26551497
TI - Performing lumbar punctures for suspected CNS infections: experience and practice
of trainee doctors.
AB - Lumbar punctures are essential in the management of suspected CNS infections.
However, despite clear guidelines their use can be haphazard. This survey
investigated the training, knowledge and experience of UK doctors in training in
relation to lumbar punctures.
PMID- 26551499
TI - Renal tubular acidosis type 1 causing hypokalaemic periodic paralysis.
PMID- 26551500
TI - Stomal metastasis of colorectal cancer.
PMID- 26551501
TI - Timing of surgery following recent ischaemic stroke.
PMID- 26551502
TI - Relapsing polychondritis presenting as recurrent otitis externa.
PMID- 26551503
TI - Tackling NHS litigation costs needs better professional oversight.
PMID- 26551504
TI - Erratum.
PMID- 26551506
TI - Is there a role for codeine in paediatric anaesthetic practice?
PMID- 26551507
TI - Cutaneous lupus erythematosus.
PMID- 26551508
TI - Cutaneous drug rashes.
PMID- 26551509
TI - The Koebner phenomenon.
PMID- 26551510
TI - Pyoderma gangrenosum.
PMID- 26551512
TI - Looking Back at the 30th Nagoya International Cancer Treatment Symposium.
PMID- 26551513
TI - Alkaloids with Different Carbon Units from Myrioneuron faberi.
AB - Three new Myrioneuron alkaloids, myrifamines A-C (1-3), with unique skeletons
were isolated from Myrioneuron faberi. The absolute configuration of 1 was
confirmed by single-crystal X-ray diffraction analysis, and the stereochemistry
of the other two alkaloids was determined using a combination of ROESY
experiments and calculated and experimental electronic circular dichroism
spectra. Myrifamine C (3) is the first example of a symmetric dimer among the
Myrioneuron alkaloids. Known alkaloids myrionamide (4) and schoberine (5) were
also isolated, and experimental NMR and X-ray diffraction data suggest their
structural revision. Compound 2 showed significant inhibitory activity toward the
hepatitis C virus in vitro, with a therapeutic index (CC50/EC50) greater than
108.7.
PMID- 26551514
TI - Reply to "Repurposing of metformin in liver injury: The JNK conundrum".
PMID- 26551515
TI - Capitalising on improved rates of diagnosis of early hepatocellular carcinoma.
PMID- 26551516
TI - Prognosis of hepatocellular carcinoma: Assessment of eleven staging systems.
AB - BACKGROUND & AIMS: Multiple staging systems have been proposed for hepatocellular
carcinoma (HCC). However there is no consensus regarding which system provides
the best prognostic accuracy. We aimed to investigate the performance of 11
currently used HCC staging systems. METHODS: Between 2002 and 2013, a large
prospective dataset of 3182 HCC patients were enrolled. The baseline
characteristics and staging information were collected. Independent predictors of
survival were identified. Homogeneity and corrected Akaike information criterion
(AICc) were compared between each system. RESULTS: The median follow-up duration
was 17months. Independent predictors of adverse outcome were serum albumin
<3.5g/dl, bilirubin ?1mg/dl, creatinine ?1mg/dl, alpha-fetoprotein ?20ng/ml,
alkaline phosphatase ?200IU/L, presence of ascites, multiple tumor nodules,
maximal tumor size >5cm, presence of vascular invasion, presence of extrahepatic
metastasis, and poor performance status (all p<0.001). Significant differences in
survival were found across all stages of the 11 systems except between Hong Kong
Liver Cancer stage IV and V, Japan Integrated Staging score 4 and 5, and Tokyo
score 5 through 8. The Cancer of the Liver Italian Program (CLIP) score was
associated with the highest homogeneity and lowest AICc value in the entire
cohort. In subgroup analysis, the CLIP score was also superior in patients with
hepatitis B- or hepatitis C-related HCC and in patients receiving curative or non
curative treatments. CONCLUSIONS: The CLIP staging system is stable and
consistently the best prognostic model in all patients and in patients with
different viral etiology and treatment strategy.
PMID- 26551517
TI - Adverse effects of platelets on post-hepatectomy outcomes in patients with
hepatocellular carcinoma.
PMID- 26551511
TI - Small Molecule Active Site Directed Tools for Studying Human Caspases.
AB - Caspases are proteases of clan CD and were described for the first time more than
two decades ago. They play critical roles in the control of regulated cell death
pathways including apoptosis and inflammation. Due to their involvement in the
development of various diseases like cancer, neurodegenerative diseases, or
autoimmune disorders, caspases have been intensively investigated as potential
drug targets, both in academic and industrial laboratories. This review presents
a thorough, deep, and systematic assessment of all technologies developed over
the years for the investigation of caspase activity and specificity using
substrates and inhibitors, as well as activity based probes, which in recent
years have attracted considerable interest due to their usefulness in the
investigation of biological functions of this family of enzymes.
PMID- 26551518
TI - Molecular Dynamics of Membrane-Spanning DNA Channels: Conductance Mechanism,
Electro-Osmotic Transport, and Mechanical Gating.
AB - DNA self-assembly has emerged as a new paradigm for design of biomimetic membrane
channels. Several experimental groups have already demonstrated assembly and
insertion of DNA channels into lipid bilayer membranes; however, the structure of
the channels and their conductance mechanism have remained undetermined. Here, we
report the results of molecular dynamics simulations that characterized the
biophysical properties of the DNA membrane channels with atomic precision. We
show that, while overall remaining stable, the local structure of the channels
undergoes considerable fluctuations, departing from the idealized design. The
transmembrane ionic current flows both through the central pore of the channel as
well as along the DNA walls and through the gaps in the DNA structure.
Surprisingly, we find that the conductance of DNA channels depend on the membrane
tension, making them potentially suitable for force-sensing applications.
Finally, we show that electro-osmosis governs the transport of druglike molecules
through the DNA channels.
PMID- 26551519
TI - The extent of default visual perspective taking in complex layouts.
AB - Emerging research suggests that visual perspective taking might be based in part
on a default, early developing cognitive process. This hypothesis receives
support from experiments demonstrating that adults experience interference from
task-irrelevant perspectives of depicted agents even when participants are making
judgments about their own perspective. However, a number of recent articles
conclude that this self-judgment interference effect may be because of simple
directional cues alone, and might, therefore, not reflect processes specific to
visual perspective taking. In 3 studies, we demonstrate that self-judgment
interference is constrained by agents' apparent line-of-sight access to subspaces
in realistic rendered scenes. Participants displayed processing costs when their
perspective conflicted with that of an avatar, who faced in the direction of all
possible targets but could not see some of the targets because of occlusion. This
interference effect occurred using 2 different configurations of occluders, and
disappeared when windows were added to the occluders, allowing avatars line of
sight access to all of the targets visible to the participant. These results
demonstrate that default perspective taking is not attributable to directional
cues alone but instead reflects a relatively sophisticated calculation of an
agent's line of sight. (PsycINFO Database Record
PMID- 26551521
TI - Cystic fibrosis program directors' attitudes toward sexual and reproductive
health in young women with CF.
AB - INTRODUCTION: Advancements in therapy have led to dramatic increases in the life
expectancy of patients with cystic fibrosis (CF). As survival improves, young
women with CF will have expectations for their sexual and reproductive health
(SRH) futures similar to their counterparts without CF. As they face unique CF
specific SRH concerns, they may rely on CF care providers for disease-specific
SRH care provision. The purpose of this study was to investigate the attitudes of
CF providers toward female SRH and perceived barriers in the current CF care
model. MATERIALS AND METHODS: U.S. CF program directors (n = 16) participated in
qualitative interviews investigating attitudes and barriers to female CF SRH
care. Interviews were audio-recorded, transcribed, and coded using a thematic
analysis approach. RESULTS: Participants (nine pediatric, five adult, and two
combined) all agreed CF providers have a fundamental role in CF female SRH care.
Most respondents named lack of time and patient and provider discomfort as
significant barriers to effective SRH communication. Other reported barriers
included: lack of training in SRH, family members in the room, low priority of
SRH in setting of other CF issues, and lack of adequate rapport with patients.
DISCUSSION: This is the first study to assess the attitudes and experiences of CF
care providers toward SRH discussion and care among female CF patients. Despite
their perceived fundamental role in CF female SRH care, CF providers face
significant barriers. Investment in provider training is needed to better address
the complex SRH needs of young female patients.
PMID- 26551520
TI - Subacute cutaneous lupus erythematosus induced by capecitabine: 5-FU was
innocent.
PMID- 26551522
TI - Structural Insights into Ternary Complex Formation of Human CARM1 with Various
Substrates.
AB - Coactivator-associated arginine methyltransferase 1 (CARM1) is a protein arginine
N-methyltransferase (PRMT) enzyme that has been implicated in a variety of
cancers. CARM1 is known to methylate histone H3 and nonhistone substrates. To
date, several crystal structures of CARM1 have been solved, including structures
with small molecule inhibitors, but no ternary structures with nucleoside and
peptide substrates have been reported. Here, the crystal structures of human
CARM1 with the S-adenosylmethione (SAM) mimic sinefungin and three different
peptide sequences from histone H3 and PABP1 are presented, with both
nonmethylated and singly methylated arginine residues exemplified. This is the
first example of multiple substrate sequences solved in a single PRMT enzyme and
demonstrates how the CARM1 binding site is capable of accommodating a variety of
peptide sequences while maintaining a core binding mode for the unmethylated and
monomethylated substrates. Comparison of these with other PRMT enzyme-peptide
structures shows hydrogen bonding patterns that may be thematic of these binding
sites.
PMID- 26551523
TI - CD/MCD/VTVH-MCD Studies of Escherichia coli Bacterioferritin Support a Binuclear
Iron Cofactor Site.
AB - Ferritins and bacterioferritins (Bfrs) utilize a binuclear non-heme iron binding
site to catalyze oxidation of Fe(II), leading to formation of an iron mineral
core within a protein shell. Unlike ferritins, in which the diiron site binds
Fe(II) as a substrate, which then autoxidizes and migrates to the mineral core,
the diiron site in Bfr has a 2-His/4-carboxylate ligand set that is commonly
found in diiron cofactor enzymes. Bfrs could, therefore, utilize the diiron site
as a cofactor rather than for substrate iron binding. In this study, we applied
circular dichroism (CD), magnetic CD (MCD), and variable-temperature, variable
field MCD (VTVH-MCD) spectroscopies to define the geometric and electronic
structures of the biferrous active site in Escherichia coli Bfr. For these
studies, we used an engineered M52L variant, which is known to eliminate binding
of a heme cofactor but to have very minor effects on either iron oxidation or
mineral core formation. We also examined an H46A/D50A/M52L Bfr variant, which
additionally disrupts a previously observed mononuclear non-heme iron binding
site inside the protein shell. The spectral analyses define a binuclear and an
additional mononuclear ferrous site. The biferrous site shows two different five
coordinate centers. After O2 oxidation and re-reduction, only the mononuclear
ferrous signal is eliminated. The retention of the biferrous but not the
mononuclear ferrous site upon O2 cycling supports a mechanism in which the
binuclear site acts as a cofactor for the O2 reaction, while the mononuclear site
binds the substrate Fe(II) that, after its oxidation to Fe(III), migrates to the
mineral core.
PMID- 26551524
TI - Surgical Intervention in Terminal Illness--Doing Everything: A Teachable Moment.
PMID- 26551525
TI - Comparison of vaginal microbial community structure in healthy and endometritis
dairy cows by PCR-DGGE and real-time PCR.
AB - The normal vaginal microflora provides protection against infections of the
reproductive tract. Previous studies have focused on the isolation and screening
of probiotic strains from the vagina of cows; however, the vaginal microflora of
postpartum cows is poorly characterized. The present study was conducted to
evaluate and characterize the vaginal microflora of healthy postpartum cows in
relation to postpartum cows with endometritis by using PCR followed by denaturing
gradient gel electrophoresis (PCR-DGGE) and Real-time PCR. The study population
comprised 5 healthy cows and 5 cows with endometritis. The results indicated that
the vaginal bacterial microflora of healthy postpartum cows was dominated by
Lactobacillus sakei subsp. and Weissella koreensis, while there were no dominant
bacterial species in the vaginal microflora of postpartum cows with endometritis.
Common microorganisms such as Bacteroides spp., Fusobacterium spp., Enterococcus
spp., Prevotella spp., Clostridium perfringens strains, and Escherichia coli were
detected in both groups of cows by Real-time PCR. The bacterial diversity in the
vagina of cows with endometritis was significantly higher than that in healthy
cows. The results indicated that the vaginal microflora of cows with endometritis
was more diverse and lacked dominant bacterial species as compared to that of the
healthy cows, suggesting that disruption of the normal vaginal microflora may
contribute to the onset of endometritis. This microbial community analysis
provided information that might be used to develop probiotics to treat
endometritis in cows; however, further investigation is needed.
PMID- 26551526
TI - SH2 Ligand-Like Effects of Second Cytosolic Domain of Na/K-ATPase alpha1 Subunit
on Src Kinase.
AB - Our previous studies have suggested that the alpha1 Na/K-ATPase interacts with
Src to form a receptor complex. In vitro binding assays indicate an interaction
between second cytosolic domain (CD2) of Na/K-ATPase alpha1 subunit and Src SH2
domain. Since SH2 domain targets Src to specific signaling complexes, we
expressed CD2 as a cytosolic protein and studied whether it could act as a Src
SH2 ligand in LLC-PK1 cells. Co-immunoprecipitation analyses indicated a direct
binding of CD2 to Src, consistent with the in vitro binding data. Functionally,
CD2 expression increased basal Src activity, suggesting a Src SH2 ligand-like
property of CD2. Consistently, we found that CD2 expression attenuated several
signaling pathways where Src plays an important role. For instance, although it
increased surface expression of Na/K-ATPase, it decreased ouabain-induced
activation of Src and ERK by blocking the formation of Na/K-ATPase/Src complex.
Moreover, it also attenuated cell attachment-induced activation of Src/FAK.
Consequently, CD2 delayed cell spreading, and inhibited cell proliferation.
Furthermore, these effects appear to be Src-specific because CD2 expression had
no effect on EGF-induced activation of EGF receptor and ERK. Hence, the new
findings indicate the importance of Na/K-ATPase/Src interaction in ouabain
induced signal transduction, and support the proposition that the CD2 peptide may
be utilized as a Src SH2 ligand capable of blocking Src-dependent signaling
pathways via a different mechanism from a general Src kinase inhibitor.
PMID- 26551527
TI - Simultaneous [18F]FDG-PET/MRI: Correlation of Apparent Diffusion Coefficient
(ADC) and Standardized Uptake Value (SUV) in Primary and Recurrent Cervical
Cancer.
AB - OBJECTIVES: Previous non-simultaneous PET/MR studies have shown heterogeneous
results about the correlation between standardized uptake values (SUVs) and
apparent diffusion coefficients (ADCs). The aim of this study was to investigate
correlations in patients with primary and recurrent tumors using a simultaneous
PET/MRI system which could lead to a better understanding of tumor biology and
might play a role in early response assessment. METHODS: We included 31 patients
with histologically confirmed primary (n = 14) or recurrent cervical cancer (n =
17) who underwent simultaneous whole-body 18F-FDG-PET/MRI comprising DWI. Image
analysis was performed by a radiologist and a nuclear physician who identified
tumor margins and quantified ADC and SUV. Pearson correlations were calculated to
investigate the association between ADC and SUV. RESULTS: 92 lesions were
detected. We found a significant inverse correlation between SUVmax and ADCmin (r
= -0.532, p = 0.05) in primary tumors as well as in primary metastases (r =
0.362, p = 0.05) and between SUVmean and ADCmin (r = -0.403, p = 0.03). In
recurrent local tumors we found correlations for SUVmax and ADCmin (r = -0.747, p
= 0.002) and SUVmean and ADCmin (r = -0.773, p = 0.001). Associations for
recurrent metastases were not significant (p>0.05). CONCLUSIONS: Our study
demonstrates the feasibility of fast and reliable measurement of SUV and ADC with
simultaneous PET/MRI. In patients with cervical cancer we found significant
inverse correlations for SUV and ADC which could play a major role for further
tumor characterization and therapy decisions.
PMID- 26551528
TI - Olive Oil, Sunflower Oil or no Oil for Baby Dry Skin or Massage: A Pilot,
Assessor-blinded, Randomized Controlled Trial (the Oil in Baby SkincaRE [OBSeRvE]
Study).
AB - Topical oils on baby skin may contribute to development of childhood atopic
eczema. A pilot, assessor-blinded, randomized controlled trial assessed
feasibility of a definitive trial investigating their impact in neonates. One
hundred and fifteen healthy, full-term neonates were randomly assigned to olive
oil, sunflower oil or no oil, twice daily for 4 weeks, stratified by family
history of atopic eczema. We measured spectral profile of lipid lamellae, trans
epidermal water loss (TEWL), stratum corneum hydration and pH and recorded
clinical observations, at baseline, and 4 weeks post-birth. Recruitment was
challenging (recruitment 11.1%; retention 80%), protocol adherence reasonable (79
100%). Both oil groups had significantly improved hydration but significantly
less improvement in lipid lamellae structure compared to the no oil group. There
were no significant differences in TEWL, pH or erythema/skin scores. The study
was not powered for clinical significance, but until further research is
conducted, caution should be exercised when recommending oils for neonatal skin.
PMID- 26551529
TI - An Autopsy Case of Dementia with Lewy Bodies with Vocal Cord Abductor Paralysis.
PMID- 26551530
TI - Midlife Eriksonian psychosocial development: Setting the stage for late-life
cognitive and emotional health.
AB - Erikson's (1950) model of adult psychosocial development outlines the
significance of successful involvement within one's relationships, work, and
community for healthy aging. He theorized that the consequences of not meeting
developmental challenges included stagnation and emotional despair. Drawing on
this model, the present study uses prospective longitudinal data to examine how
the quality of assessed Eriksonian psychosocial development in midlife relates to
late-life cognitive and emotional functioning. In particular we were interested
to see whether late-life depression mediated the relationship between Eriksonian
development and specific domains of cognitive functioning (i.e., executive
functioning and memory). Participants were 159 men from the over-75 year
longitudinal Study of Adult Development. The sample was comprised of men from
both higher and lower socioeconomic strata. Eriksonian psychosocial development
was coded from men's narrative responses to interviews between the ages of 30-47
(Vaillant & Milofsky, 1980). In late life (ages 75-85) men completed a
performance-based neuropsychological assessment measuring global cognitive
status, executive functioning, and memory. In addition depressive symptomatology
was assessed using the Geriatric Depression Scale. Our results indicated that
higher midlife Eriksonian psychosocial development was associated with stronger
global cognitive functioning and executive functioning, and lower levels of
depression 3 to 4 decades later. There was no significant association between
Eriksonian development and late-life memory. Late-life depression mediated the
relationship between Eriksonian development and both global cognition and
executive functioning. All of these results controlled for highest level of
education and adolescent intelligence. Findings have important implications for
understanding the lasting benefits of psychosocial engagement in mid-adulthood
for late-life cognitive and emotional health. In addition, it may be that less
successful psychosocial development increases levels of depression making
individuals more vulnerable to specific areas of cognitive decline.
PMID- 26551531
TI - Summaries for Patients. Normal-Weight Central Obesity and Mortality.
PMID- 26551532
TI - Does marital status matter in an HIV hyperendemic country? Findings from the 2012
South African National HIV Prevalence, Incidence and Behaviour Survey.
AB - South Africa has experienced declining marriage rates and the increasing practice
of cohabitation without marriage. This study aims to improve the understanding of
the relationship between marital status and HIV in South Africa, an HIV
hyperendemic country, through an analysis of findings from the 2012 South African
National HIV Prevalence, Incidence and Behaviour Survey. The nationally
representative population-based cross-sectional survey collected data on HIV and
socio-demographic and behavioural determinants in South Africa. This analysis
considered respondents aged 16 years and older who consented to participate in
the survey and provided dried blood spot specimens for HIV testing (N = 17,356).
After controlling for age, race, having multiple sexual partners, condom use at
last sex, urban/rural dwelling and level of household income, those who were
married living with their spouse had significantly reduced odds of being HIV
positive compared to all other marital spouses groups. HIV incidence was 0.27%
among respondents who were married living with their spouses; the highest HIV
incidence was found in the cohabiting group (2.91%). Later marriage (after age
24) was associated with increased odds of HIV prevalence. Our analysis suggests
an association between marital status and HIV prevalence and incidence in
contemporary South Africa, where odds of being HIV-positive were found to be
lower among married individuals who lived with their spouses compared to all
other marital status groups. HIV prevention messages therefore need to be
targeted to unmarried populations, especially cohabitating populations. As low
socio-economic status, low social cohesion and the resulting destabilization of
sexual relationships may explain the increased risk of HIV among unmarried
populations, it is necessary to address structural issues including poverty that
create an environment unfavourable to stable sexual relationships.
PMID- 26551533
TI - A HPLC-MS/MS method for the quantitation of free, conjugated, and total HDND-7, a
novel hesperetin derivative, in rat plasma and tissues: Application to the
pharmacokinetic and tissue distribution study.
AB - A sensitive and reliable HPLC-MS/MS method was developed and validated for the
determination of free (unconjugated), glucuronidated, sulfated, and total (free
and conjugated) HDND-7 in rat plasma and tissues. Plasma and tissues samples were
treated prior to and after the enzyme hydrolysis. Chromatographic separation was
achieved on a Phenomenex Luna C18 column (150 * 4.6mm, 3 MUm), using isocratic
mobile phase consisting of 0.1% formic acid-acetonitrile (50:50, v/v) at a flow
rate of 300 MUl/min. The detection was performed on a triple quadruple tandem
mass spectrometer using positive electrospray ionization (ESI) source with a
chromatographic run time of 5.0 min. The detection was operated by multiple
reaction monitoring (MRM) of the transitions of m/z 429.3 -> 223.9 for HDND-7 and
272.9 -> 152.9 for naringenin (IS), respectively. This method was validated in
terms of specificity, linearity, precision, accuracy, and stability. The
calibration curves for plasma and tissues were linear over a wide concentration
range of 0.02-40 MUg/ml with a lower limit of quantification (LLOQ) of 0.02
MUg/ml. Mean extraction recoveries in plasma and tissues ranged from 87.4 to
97.1% and from 54.2 to 70.5%, respectively. The intra- and inter-day precision
values were below 15% and the accuracy was within +/- 15%. The samples were
stable under all the tested conditions. This method has been successfully applied
to the pharmacokinetic study following oral doses of 25, 50 and 100mg/kg and
intravenous dose of 25mg/kg, and tissue distribution study following oral dose of
50mg/kg.
PMID- 26551534
TI - Determination of endogenous concentration of gamma-hydroxybutyric acid (GHB) in
hair through an ad hoc GC-MS analysis: A study on a wide population and influence
of gender and age.
AB - gamma-Hydroxybutyric acid (GHB) spread for recreational purposes or as "rape
drug" represents a hard issue for forensic toxicologists due to its endogenous
nature. It is clear that an actual and reliable discrimination between basal and
exogenous levels is mandatory to achieve a correct evaluation of
conscious/unconscious administration. This research aimed to study the GHB
baseline in hair samples, collected from 150 volunteers, non-consumers of any
drugs of abuse, in order to evaluate if a generic cut-off value could be
accepted, also focusing on potential influences of gender and age. The analysis
consisted of an overnight incubation with NaOH at 56 degrees C, liquid-liquid
extraction with ethylacetate and trimethylsylil derivatization. Detection was
carried out through gas chromatography-mass spectrometry in single ion monitoring
(m/z 233, 234, 147 for GHB; m/z 239, 240 and 147 for GHB-d6). The endogenous
amount in "blank" hair was estimated by the standard addition method.
Concentration range was 0.279-2.839 ng/mg. In males, the average GHB levels were
higher than in females (0.829 vs 0.596 ng/mg, respectively), especially in the
first age category (<30 years, 1.008 vs 0.606 ng/mg, respectively). Age
influences on GHB levels seemed to be different among the two sexes: in male
population concentrations were higher <30 (1.008 ng/mg) and similar in the other
age ranges (0.762 ng/mg, 30-50; 0.763 ng/mg, >50); in female, quite similar
levels were registered throughout all the age categories (0.606 ng/mg, <30; 0.536
ng/mg, 30-50; 0.691 ng/mg, >50). Further study should be performed on GHB
physiology in order to better understand these differences among ages and
genders. Moreover, we demonstrated that for hair analysis a cut-off reference
value is not strictly mandatory, underlining the great interpretative valence of
segmental analysis.
PMID- 26551535
TI - Screening and identification of three typical phenylethanoid glycosides
metabolites from Cistanches Herba by human intestinal bacteria using UPLC/Q-TOF
MS.
AB - Acteoside, isoacteoside, and 2'-acetylacteoside are three representative
phenylethanoid glycosides (PhGs), which are widely distributed in many plants and
also known as the active components of Cistanches Herba. However, the extremely
low oral bioavailability of acteoside in rats implies that these structural
similar components may go through multiple sequential routes of hydrolysis in
gastrointestinal tract before they are absorbed into blood. Therefore, the
metabolites of these three components and other PhGs from gastrointestinal tract
such as echinacoside, are supposed to be the bioactive elements. In this study,
we established an approach combining ultra-performance liquid
chromatography/quadrupole time-of-flight mass spectrometry (UPLC/Q-TOF-MS) with
MS(E) technology and MetaboLynxTM software for the rapid metabolic profiling of
acteoside, isoacteoside, and 2'-acetylacteoside by human intestinal bacteria. As
a result, 11 metabolites of acteoside, 7 metabolites of isoacteoside, and 11
metabolites of 2'-acetylacteoside were identified respectively. 8 metabolic
pathways including deglycosylation, de-rhamnose, de-hydroxytyrosol, de-caffeoyl,
deacetylation, reduction, acetylation, and sulfate conjugation were proposed to
involve in the generation of these metabolites. Furthermore, we found that the
degraded metabolites hydroxytyrosol (HT) and 3-hydroxyphenylpropionic (3-HPP)
were transformed from acteoside, isoacteoside, and 2'-acetylacteoside by human
intestinal bacteria and demonstrated similar bioactivities to their precursors.
These findings are significant for our understanding of the metabolism of PhGs
and the proposed metabolic pathways of bioactive components might be crucial for
further pharmacokinetic evaluations of Cistanches Herba.
PMID- 26551536
TI - A novel fluorescence aptasensor for 8-hydroxy-2'-deoxyguanosine based on the
conformational switching of K(+)-stabilized G-quadruplex.
AB - A sensitive, lable-free and low cost fluorescence aptasensor was developed for
detecting 8-hydroxy-2'-deoxyguanosine (8-OHdG) by using 8-OHdG aptamer (Apt) as a
recognition probe and N-methyl mesoporphyrin IX (NMM) as a reporter. The method
is based on the conformational switching of a K(+)-stabilized G-quadruplex to a 8
OHdG-stabilized one. NMM can selectively bind to K(+)-stabilized G-quadruplex
instead of 8-OHdG-stabilized one. The addition of 8-OHdG in the solution of Apt -
K(+) ions leads to a sharp change in fluorescence intensity, which showed a good
linear response toward 8-OHdG concentration ranging from 3.96 nM to 211 nM with a
detection limit of 1.19 nM. The relative standard deviation and the recovery were
1.23-3.26% (n=11) and 94.8-106.7%, respectively. The proposed aptasensor consists
of only an aptamer probe and a specific dye NMM, avoiding the complex and
expensive labeling procedure. Thus it is much cheaper and more applicable.
PMID- 26551537
TI - Identification, characterization and distribution of monoterpene indole alkaloids
in Rauwolfia species by Orbitrap Velos Pro mass spectrometer.
AB - Monoterpene indole alkaloids (MIAs) are medicinally important class of compounds
abundant in the roots of Rauwolfia species (Apocynaceae). MIAs such as yohimbine
(aphrodisiac agent) and reserpine (antihypertensive, tranquilizer) are the
official drugs included in Model List of Essential Drugs of World Health
Organization (WHO). Therefore, we have attempt to identify and characterize the
MIAs in the crude extracts of six Rauwolfia species using ultrahigh-performance
liquid chromatography coupled with Orbitrap Velos Pro hybrid mass spectrometer.
The identity of the MIAs were construed using the high resolution tandem mass
spectrometry (HRMS/MS) spectra of standard compounds 'yohimbine' and 'reserpine'
in higher energy collisional dissociation (HCD) and collision-induced
dissociation (CID) modes. The diagnostic fragment ions found in HCD mode was
highly affected by variation of normalized collision energy (NCE) and gave few
product ions ('C-F') while CID produced intense and more diagnostic product ions
('A-F'). Consequently, CID-MS/MS mode provided significantly more structural
information about basic skeleton and therefore the recommended mode for analysis
of MIAs. Furthermore, six diagnostic fragmentation pathways were established by
multi-stage mass analysis (MS(n) (n=5)) analysis which gave information regarding
the substitution. Fragment ions 'A-F' revealed the number and position of
substituents on indole and terpene moieties. The proposed diagnostic
fragmentation pathways have been successfully applied for identification and
characterization of MIAs in crude root extracts of six Rauwolfia species. Ten
bioactive reserpine class of MIAs were tentatively identified and characterized
on the basis of chromatographic and mass spectrometric features as well as
HRMS/MS an MS(n) (n=4) analysis.
PMID- 26551539
TI - Self-Healing, Fully Functional, and Multiparametric Flexible Sensing Platform.
AB - A non-biological and flexible self-healing platform has tailored sensitivity
toward one or a combination of pressure, strain, gas analytes, and temperature.
For demonstration, a complete self-healing device is described in the form of a
bendable and stretchable chemiresistor, where every part is self-healing.
PMID- 26551538
TI - Evaluation of an oral uracil loading test to identify DPD-deficient patients
using a limited sampling strategy.
AB - AIM: Dihydropyrimidine dehydrogenase (DPD) deficiency can lead to severe toxicity
following 5-fluorouracil (5FU) or capecitabine (CAP) treatment. Uracil (U) can be
used as a probe to determine systemic DPD activity. The present study was
performed to assess the sensitivity and specificity of a U loading dose for
detecting DPD deficiency. METHODS: Cancer patients with Common Toxicity Score
(CTC) grade III or IV toxicity after the first or second cycle of 5-FU or CAP
treatment were asked to participate. Based on DPD activity in PBMCs, patients
were divided into two groups: DPD activity in peripheral blood mononuclear cells
(PBMCs) <5 nmol mg(-1) *h(-1) (deficient group) and >= 5 nmol mg(-1) *h(-1) . U
500 mg m(-2) was administered orally and plasma concentrations of U and
dihydrouracil (DHU) were determined. In the deficient group, polymerase chain
reaction amplification of all 23 coding exons and flanking intronic regions of
DPYD was performed. A U pharmacokinetic model was developed and used to determine
the maximum enzymatic conversion capacity (Vmax ) of the DPD enzyme for each
patient. The sensitivity and specificity of Vmax, U concentration and the U/DHU
concentration ratio were determined. RESULTS: A total of 47 patients were
included (19 DPD deficient, 28 DPD normal). Of the pharmacokinetic parameters
investigated, a sensitivity and specificity of 80% and 98%, respectively, was
obtained for the U/DHU ratio at t = 120 min. CONCLUSIONS: The high sensitivity of
the U/DHU ratio at t = 120 min for detecting DPD deficiency, as defined by DPD
activity in PBMCs, showed that the oral U loading dose can effectively identify
patients with reduced DPD activity.
PMID- 26551540
TI - CLINICAL DECISIONS. Blood-Pressure Control.
PMID- 26551541
TI - Climbing fibers encode a temporal-difference prediction error during cerebellar
learning in mice.
AB - Climbing fiber inputs to Purkinje cells are thought to be involved in generating
the instructive signals that drive cerebellar learning. To investigate how these
instructive signals are encoded, we recorded the activity of individual climbing
fibers during cerebellum-dependent eyeblink conditioning in mice. We found that
climbing fibers signaled both the unexpected delivery and the unexpected omission
of the periocular airpuff that served as the instructive signal for eyeblink
conditioning. In addition, we observed that climbing fibers activated by
periocular airpuffs also responded to stimuli from other sensory modalities if
those stimuli were novel or if they predicted that the periocular airpuff was
about to be presented. This pattern of climbing fiber activity is markedly
similar to the responses of dopamine neurons during reinforcement learning, which
have been shown to encode a particular type of instructive signal known as a
temporal difference prediction error.
PMID- 26551542
TI - G9a is essential for epigenetic silencing of K(+) channel genes in acute-to
chronic pain transition.
AB - Neuropathic pain is a debilitating clinical problem and difficult to treat. Nerve
injury causes a long-lasting reduction in K(+) channel expression in the dorsal
root ganglion (DRG), but little is known about the epigenetic mechanisms
involved. We found that nerve injury increased dimethylation of Lys9 on histone
H3 (H3K9me2) at Kcna4, Kcnd2, Kcnq2 and Kcnma1 promoters but did not affect
levels of DNA methylation on these genes in DRGs. Nerve injury increased activity
of euchromatic histone-lysine N-methyltransferase-2 (G9a), histone deacetylases
and enhancer of zeste homolog-2 (EZH2), but only G9a inhibition consistently
restored K(+) channel expression. Selective knockout of the gene encoding G9a in
DRG neurons completely blocked K(+) channel silencing and chronic pain
development after nerve injury. Remarkably, RNA sequencing analysis revealed that
G9a inhibition not only reactivated 40 of 42 silenced genes associated with K(+)
channels but also normalized 638 genes down- or upregulated by nerve injury. Thus
G9a has a dominant function in transcriptional repression of K(+) channels and in
acute-to-chronic pain transition after nerve injury.
PMID- 26551543
TI - Optogenetic acidification of synaptic vesicles and lysosomes.
AB - Acidification is required for the function of many intracellular organelles, but
methods to acutely manipulate their intraluminal pH have not been available. Here
we present a targeting strategy to selectively express the light-driven proton
pump Arch3 on synaptic vesicles. Our new tool, pHoenix, can functionally replace
endogenous proton pumps, enabling optogenetic control of vesicular acidification
and neurotransmitter accumulation. Under physiological conditions, glutamatergic
vesicles are nearly full, as additional vesicle acidification with pHoenix only
slightly increased the quantal size. By contrast, we found that incompletely
filled vesicles exhibited a lower release probability than full vesicles,
suggesting preferential exocytosis of vesicles with high transmitter content. Our
subcellular targeting approach can be transferred to other organelles, as
demonstrated for a pHoenix variant that allows light-activated acidification of
lysosomes.
PMID- 26551544
TI - Piezo2 is the principal mechanotransduction channel for proprioception.
AB - Proprioception, the perception of body and limb position, is mediated by
proprioceptors, specialized mechanosensory neurons that convey information about
the stretch and tension experienced by muscles, tendons, skin and joints. In
mammals, the molecular identity of the stretch-sensitive channel that mediates
proprioception is unknown. We found that the mechanically activated nonselective
cation channel Piezo2 was expressed in sensory endings of proprioceptors
innervating muscle spindles and Golgi tendon organs in mice. Two independent
mouse lines that lack Piezo2 in proprioceptive neurons showed severely
uncoordinated body movements and abnormal limb positions. Moreover, the
mechanosensitivity of parvalbumin-expressing neurons that predominantly mark
proprioceptors was dependent on Piezo2 expression in vitro, and the stretch
induced firing of proprioceptors in muscle-nerve recordings was markedly reduced
in Piezo2-deficient mice. Together, our results indicate that Piezo2 is the major
mechanotransducer of mammalian proprioceptors.
PMID- 26551546
TI - Decreased amyloid-beta and increased neuronal hyperactivity by immunotherapy in
Alzheimer's models.
AB - Among the most promising approaches for treating Alzheimer's disease is
immunotherapy with amyloid-beta (Abeta)-targeting antibodies. Using in vivo two
photon imaging in mouse models, we found that two different antibodies to Abeta
used for treatment were ineffective at repairing neuronal dysfunction and caused
an increase in cortical hyperactivity. This unexpected finding provides a
possible cellular explanation for the lack of cognitive improvement by
immunotherapy in human studies.
PMID- 26551547
TI - Impact of topical application of sulfur mustard on mice skin and distant organs
DNA repair enzyme signature.
AB - Sulfur mustard (SM) is a chemical warfare agent that, upon topical application,
damages skin and reaches internal organs through diffusion in blood. Two major
toxic consequences of SM exposure are inflammation, associated with oxidative
stress, and the formation of alkylated DNA bases. In the present study, we
investigated the impact of exposure to SM on DNA repair, using two different
functional DNA repair assays which provide information on several Base Excision
Repair (BER) and Excision/Synthesis Repair (ESR) activities. BER activities were
reduced in all organs as early as 4h after exposure, with the exception of the
defense systems against 8-oxo-guanine and hypoxanthine which were stimulated.
Interestingly, the resulting BER intermediates could activate inflammation
signals, aggravating the inflammation triggered by SM exposure and leading to
increased oxidative stress. ESR activities were found to be mostly inhibited in
skin, brain and kidneys. In contrast, in the lung there was a general increase in
ESR activities. In summary, exposure to SM leads to a significant decrease in DNA
repair in most organs, concomitant with the formation of DNA damage. These
synergistic genotoxic effects are likely to participate in the high toxicity of
this alkylating agent. Lungs, possibly better equipped with repair enzymes to
handle exogenous exposure, are the exception.
PMID- 26551545
TI - Parcellating cortical functional networks in individuals.
AB - The capacity to identify the unique functional architecture of an individual's
brain is a crucial step toward personalized medicine and understanding the neural
basis of variation in human cognition and behavior. Here we developed a cortical
parcellation approach to accurately map functional organization at the individual
level using resting-state functional magnetic resonance imaging (fMRI). A
population-based functional atlas and a map of inter-individual variability were
employed to guide the iterative search for functional networks in individual
subjects. Functional networks mapped by this approach were highly reproducible
within subjects and effectively captured the variability across subjects,
including individual differences in brain lateralization. The algorithm performed
well across different subject populations and data types, including task fMRI
data. The approach was then validated by invasive cortical stimulation mapping in
surgical patients, suggesting potential for use in clinical applications.
PMID- 26551548
TI - Zebra mussel as a new tool to show evidence of freshwater contamination by
waterborne Toxoplasma gondii.
AB - AIMS: The objective of this study was to evaluate if freshwater bivalves can be
used to detect the presence of Toxoplasma gondii in water bodies. METHODS AND
RESULTS: Zebra mussels (Dreissena polymorpha) were caged for 1 month upstream and
downstream of the discharge points of wastewater treatment plants (WWTPs).
Physiological status was assessed to assure good health of bivalves during
transplantation. The presence of T. gondii was investigated in mussel tissues by
qPCR. In autumn, T. gondii was detected in mussels caged downstream of the
discharge points of two WWTPs. In spring, it was detected upstream of one WWTP.
CONCLUSIONS: For the first time, T. gondii DNA has been shown in a continental
mollusc in environmental conditions. This highlights the interest of an active
approach that could be applied independently of the presence or accessibility of
autochthonous populations, and underlines the presence of T. gondii in natural
waters under pressure of WWTP discharge at a certain time of the year.
SIGNIFICANCE AND IMPACT OF THE STUDY: This study shows that transplanted zebra
mussels could be used as biosamplers to reveal contamination of freshwater
systems by T. gondii.
PMID- 26551549
TI - Genomic Characterization of Dehalococcoides mccartyi Strain JNA That Reductively
Dechlorinates Tetrachloroethene and Polychlorinated Biphenyls.
AB - Dehalococcoides mccartyi strain JNA detoxifies highly chlorinated polychlorinated
biphenyl (PCB) mixtures via 85 distinct dechlorination reactions, suggesting that
it has great potential for PCB bioremediation. However, its genomic and
functional gene information remain unknown due to extremely slow growth of strain
JNA with PCBs. In this study, we used tetracholorethene (PCE) as an alternative
electron acceptor to grow sufficient biomass of strain JNA for subsequent genome
sequencing and functional gene identification. Analysis of the assembled draft
genome (1 462 509 bp) revealed the presence of 29 putative reductive dehalogenase
(RDase) genes. Among them, JNA_RD8 and JNA_RD11 genes were highly transcribed in
both PCE- and PCB-fed cultures. Furthermore, in vitro assays with crude cell
lysate from PCE grown cells revealed dechlorination activity against both PCE and
2,2',3,4,4',5,5'-heptachlorobiphenyl. These data suggest that both JNA_RD8 and
JNA_RD11 may be bifunctional PCE/PCB RDases. This study deepens the knowledge of
organohalide respiration of PCBs and facilitates in situ PCB-bioremediation with
strain JNA.
PMID- 26551550
TI - Ramosetron Reduces Symptoms of Irritable Bowel Syndrome With Diarrhea and
Improves Quality of Life in Women.
AB - BACKGROUND & AIMS: Previous studies have indicated that serotonin-3-receptor
antagonists might have a sex-specific effect in patients with irritable bowel
syndrome with diarrhea (IBS-D). Alosetron has been approved for the treatment of
only women, and ramosetron has been approved for the treatment for only men. We
performed a randomized, placebo-controlled, phase 3 study to determine whether
ramosetron reduces symptoms of IBS-D in women. METHODS: We performed a
prospective study of 576 female outpatients with IBS-D (according to the Rome III
criteria), from February 2013 through February 2014, at 70 academic
Gastroenterology Departments in Japan. After a 1-week baseline period, subjects
received either 2.5 MUg ramosetron (n = 292) or placebo (n = 284) once daily for
12 weeks. Primary end points were the monthly rates of response for relief from
overall IBS symptoms and increased stool consistency at the last evaluation
point. Quality of life (QOL) also was quantified. RESULTS: A significantly higher
proportion of patients given ramosetron reported global improvement (50.7%; 95%
confidence interval [CI], 44.8-56.6) than patients given placebo (32.0%; 95% CI,
26.7-37.8)--a difference of 18.6% (95% CI, 10.7-26.5; P < .001). The relative
risk was 1.58 (95% CI, 1.29-1.94) and the number needed to treat was 6 (95% CI, 4
10). A significantly higher proportion of patients in the ramosetron group
reported increased stool consistency (40.8%; 95% CI, 35.1%-46.6%) than in the
placebo group (24.3%; 95% CI, 19.4%-29.7%)--a difference of 16.5% (95% CI, 8.9%
24.0%; P < .001). Patients receiving ramosetron had significant reductions in
abdominal pain and discomfort (P = .001) and greater improvement in QOL (P =
.002) compared with placebo. Ramosetron induced constipation in 11.0% of
patients. CONCLUSIONS: In a randomized, placebo-controlled study of 576 women
with IBS-D, 2.5 MUg ramosetron per day reduced symptoms and increased stool
consistency and QOL. Clinicaltrials.gov no: NCT01870895.
PMID- 26551551
TI - Chronic Infection With Camelid Hepatitis E Virus in a Liver Transplant Recipient
Who Regularly Consumes Camel Meat and Milk.
AB - There have been increasing reports of food-borne zoonotic transmission of
hepatitis E virus (HEV) genotype 3, which causes chronic infections in
immunosuppressed patients. We performed phylogenetic analyses of the HEV sequence
(partial and full-length) from 1 patient from the Middle East who underwent liver
transplantation, and compared it with other orthohepevirus A sequences. We found
the patient to be infected by camelid HEV. This patient regularly consumed camel
meat and milk, therefore camelid HEV, which is genotype 7, might infect human
beings. Our finding links consumption of camel-derived food products to post
transplantation hepatitis E, which, if detected at early stages, can be cured
with antiviral therapy and reduced administration of immunosuppressive agents.
PMID- 26551553
TI - Double esophageal perforation by ingested foreign body: Endoscopic and surgical
approach. A case report.
AB - INTRODUCTION: Esophageal perforation in adults is most frequently caused by
ingested foreign bodies. They can migrate through the esophageal wall, damaging
the nearby organs such as the aorta or the trachea, with fatal outcome. After the
diagnosis, the viable treatments for extracting the foreign body and repairing
the perforation are several. The appropriate treatment, may be endoscopic,
surgical or combined, depending on the level of the perforation, on the co
morbidities of the patient and on the available resources. PRESENTATION OF CASE:
This paper describes a case of a 68 years old patient with a double EP caused by
a meat-bone that perforated the thoracic esophageal wall, approaching the aorta
on the left side and the azygos vein on the right side. DISCUSSION: Because of
the double transfixion and the position near the aorta and the azygos, it was not
possible to remove safely the bone during the endoscopy. The management required
a combined endoscopic and surgical approach. This way it was possible to detect
easily the location of the perforation, to remove safely the foreign body, to
repair the perforation both from the outside and from the inside, and to place
the nasogastric tube under direct vision. CONCLUSION: Even when the type of
esophageal perforation requires surgical treatment, the simultaneous use of
endoscopy proved to be an advantage in order to extract the foreign body safely,
to perform a double repair of the perforation and to place the nasogastric tube
under direct vision.
PMID- 26551554
TI - A case of Menetrier's disease without Helicobacter pylori or hypoalbuminemia.
AB - INTRODUCTION: Menetrier's disease is a rare premalignant hypertrophic
gastropathy. It is characterized by huge gastric mucosal folds, peripheral edema
due to protein loss and upper GIT symptoms such as epigastric pain, discomfort,
nausea and vomiting. PRESENTATION OF CASE: Female patient 35 years old
complaining of severe epigastric pain, dyspepsia, nausea and vomiting for 1 year.
Upper GIT endoscopy and CT scan revealed thickening of gastric mucosa. Endoscopic
biopsy was non-specific but showed moderate grade dysplasia and no Helicobacter
pylori infection. All laboratory investigations were within normal including
serum albumin. She underwent total gastrectomy with marked postoperative
improvement of symptoms after recovery. Postoperative pathology revealed gastric
fovular hyperplasia and glandular atrophy which are diagnostic for Menetrier's
disease. DISCUSSION: the preoperative diagnosis of Menetrier's disease in this
case was challenged by its unusual features. There were neither H. pylori nor
hypoalbuminemia. Literature review showed similarcases which can raise the
suspicion of the presence of an undescribed subtype of the disease. CONCLUSION:
Menetrier's disease should be suspected in cases of upper GIT symptoms and
hypertrophied gastric mucosa with or without H. pylori or hypoalbuminemia. The
preoperative diagnosis could not be confirmed unless a whole mucosal thickness
biopsy is performed. Surgical management is a good option when medical treatment
fails to relieve the symptoms and erase the risk of malignancy.
PMID- 26551555
TI - Sigmoid volvulus during pregnancy: A rare non-obstetric complication. Report of a
case and review of the literature.
AB - INTRODUCTION: Sigmoid volvulus is a rare cause of intestinal obstruction during
pregnancy associated with high maternal and foetal mortality. Effective
management represents a challenge due to delayed presentation, obstructive
symptoms regarded as pregnancy-related and hesitation in using radiological
evaluation. PRESENTATION OF CASE: We report a case of a lady, pregnant for 26
weeks and with a 5 day history of abdominal pain and constipation. She underwent
concomitant caesarean section and laparotomy for intestinal obstruction. Intra
operatively, the sigmoid colon was extensively dilated and gangrenous. The
ischemic colon was resected and a Hartmann's procedure was performed. A preterm
male child was delivered and admitted to neonatal intensive care. The post
operative course was uneventful and the patient was discharged home on the 9th
post-operative day. Six months later she underwent an uneventful reversal of the
Hartmann's procedure. DISCUSSION: Sigmoid volvulus is the most common cause of
bowel obstruction during pregnancy, accounting for up to 44% of reported cases.
We have reviewed the available literature on this topic and present another case
managed at our institution. CONCLUSION: Diagnosis of sigmoid volvulus in
pregnancy is a challenge, but a delay in diagnosis increases the rates of feto
maternal mortality. A high incidence of clinical suspicion and timely surgical
intervention are the key to a favourable outcome.
PMID- 26551556
TI - A delayed foreskin-sparing approach to the management of penile fractures in
uncircumcised Jamaican men.
AB - INTRODUCTION: The traditional surgical approach to penile fracture is to perform
a circumferential subcoronal degloving incision emergently to repair the injury.
This approach necessitates circumcision to avoid foreskin complications. We
present four men who had a delayed foreskin-sparing approach and discuss its
advantages. PRESENTATION OF CASE: Four of five uncircumcised patients who had
suspected penile fractures secondary to coital injury, and without suspicion of
concomitant urethral injury, had a delayed exploration, seven days after injury,
utilizing an incision directly over the palpable haematoma, at the location of
the tunical defect, thereby resulting in foreskin preservation. Two of 5 patients
had repair under general anaesthesia, one under local anaesthesia and surgery was
cancelled in another because upon reassessment at seven days he had normal
erections and a normal penile examination. At follow up, all men had good
functional and cosmetic outcomes. DISCUSSION: Uncircumcised patients with penile
fractures, without suspicion of urethral injury, may undergo a delayed repair
without prophylactic circumcision since there is minimal risk of foreskin
complications. Delayed repair decreases the incidence of negative explorations by
fostering a conservative approach in mimicking conditions such as superficial
vein lacerations. It also enables the use of local anaesthesia in an elective
ambulatory setting. CONCLUSION: Delayed repair of penile fractures results in
foreskin preservation, facilitates elective ambulatory care under local
anaesthesia and decreases the incidence of negative surgical explorations.
PMID- 26551557
TI - Stability analysis and optimal control of an epidemic model with awareness
programs by media.
AB - The impact of awareness campaigns and behavioral responses on epidemic outbreaks
has been reported at times. However, to what extent does the provision of
awareness and behavioral changes affect the epidemic trajectory is unknown, but
important from the public health standpoint. To address this question, we
formulate a mathematical model to study the effect of awareness campaigns by
media on the outbreak of an epidemic. The awareness campaigns are treated as an
intervention for the emergent disease. These awareness campaigns divide the whole
populations into two subpopulation; aware and unaware, by inducing behavioral
changes amongst them. The awareness campaigns are included explicitly as a
separate dynamic variable in the modeling process. The model is analyzed
qualitatively using stability theory of differential equations. We have also
identified an optimal implementation rate of awareness campaigns so that disease
can be controlled with minimal possible expenditure on awareness campaigns, using
optimal control theory. The control setting is investigated analytically using
optimal control theory, and the numerical solutions illustrating the optimal
regimens under various assumptions are also shown.
PMID- 26551552
TI - Leukocyte Trafficking to the Small Intestine and Colon.
AB - Leukocyte trafficking to the small and large intestines is tightly controlled to
maintain intestinal immune homeostasis, mediate immune responses, and regulate
inflammation. A wide array of chemoattractants, chemoattractant receptors, and
adhesion molecules expressed by leukocytes, mucosal endothelium, epithelium, and
stromal cells controls leukocyte recruitment and microenvironmental localization
in intestine and in the gut-associated lymphoid tissues (GALTs). Naive
lymphocytes traffic to the gut-draining mesenteric lymph nodes where they undergo
antigen-induced activation and priming; these processes determine their
memory/effector phenotypes and imprint them with the capacity to migrate via the
lymph and blood to the intestines. Mechanisms of T-cell recruitment to GALT and
of T cells and plasmablasts to the small intestine are well described. Recent
advances include the discovery of an unexpected role for lectin CD22 as a B-cell
homing receptor GALT, and identification of the orphan G-protein-coupled receptor
15 (GPR15) as a T-cell chemoattractant/trafficking receptor for the colon. GPR15
decorates distinct subsets of T cells in mice and humans, a difference in species
that could affect translation of the results of mouse colitis models to humans.
Clinical studies with antibodies to integrin alpha4beta7 and its vascular ligand
mucosal vascular addressin cell adhesion molecule 1 are proving the value of
lymphocyte trafficking mechanisms as therapeutic targets for inflammatory bowel
diseases. In contrast to lymphocytes, cells of the innate immune system express
adhesion and chemoattractant receptors that allow them to migrate directly to
effector tissue sites during inflammation. We review the mechanisms for innate
and adaptive leukocyte localization to the intestinal tract and GALT, and discuss
their relevance to human intestinal homeostasis and inflammation.
PMID- 26551558
TI - Cicada Wing Surface Topography: An Investigation into the Bactericidal Properties
of Nanostructural Features.
AB - Recently, the surface of the wings of the Psaltoda claripennis cicada species has
been shown to possess bactericidal properties and it has been suggested that the
nanostructure present on the wings was responsible for the bacterial death. We
have studied the surface-based nanostructure and bactericidal activity of the
wings of three different cicadas (Megapomponia intermedia, Ayuthia spectabile and
Cryptotympana aguila) in order to correlate the relationship between the observed
surface topographical features and their bactericidal properties. Atomic force
microscopy and scanning electron microscopy performed in this study revealed that
the tested wing species contained a highly uniform, nanopillar structure on the
surface. The bactericidal properties of the cicada wings were investigated by
assessing the viability of autofluorescent Pseudomonas fluorescens cells
following static adhesion assays and targeted dead/live fluorescence staining
through direct microscopic counting methods. These experiments revealed a 20-25%
bacterial surface coverage on all tested wing species; however, significant
bactericidal properties were observed in the M. intermedia and C. aguila species
as revealed by the high dead:live cell ratio on their surfaces. The combined
results suggest a strong correlation between the bactericidal properties of the
wings and the scale of the nanotopography present on the different wing surfaces.
PMID- 26551559
TI - Update on pathology, staging and molecular pathology of endometrial (uterine
corpus) adenocarcinoma.
AB - Endometrial carcinoma is comprised of two major groups: type I that is hormonally
driven with a good prognosis and type II that is hormone independent with a poor
prognosis. The two most common subtypes are endometrioid adenocarcinoma, the
prototypic type I cancer, and uterine serous carcinoma, the prototypic type II
cancer, each with their own distinct precursor lesion. The histologic type, as
codified by the WHO Tumor Classification system, grade, and stage are used to
guide treatment. There is an increasing interest in screening for familial risk
factors, specifically Lynch syndrome. A molecular classification of endometrial
cancers holds promise for future improvements in care.
PMID- 26551560
TI - Chromatin dynamics and the role of G9a in gene regulation and enhancer silencing
during early mouse development.
AB - Early mouse development is accompanied by dynamic changes in chromatin
modifications, including G9a-mediated histone H3 lysine 9 dimethylation
(H3K9me2), which is essential for embryonic development. Here we show that genome
wide accumulation of H3K9me2 is crucial for postimplantation development, and
coincides with redistribution of enhancer of zeste homolog 2 (EZH2)-dependent
histone H3 lysine 27 trimethylation (H3K27me3). Loss of G9a or EZH2 results in
upregulation of distinct gene sets involved in cell cycle regulation, germline
development and embryogenesis. Notably, the H3K9me2 modification extends to
active enhancer elements where it promotes developmentally-linked gene silencing
and directly marks promoters and gene bodies. This epigenetic mechanism is
important for priming gene regulatory networks for critical cell fate decisions
in rapidly proliferating postimplantation epiblast cells.
PMID- 26551561
TI - Cell-cycle quiescence maintains Caenorhabditis elegans germline stem cells
independent of GLP-1/Notch.
AB - Many types of adult stem cells exist in a state of cell-cycle quiescence, yet it
has remained unclear whether quiescence plays a role in maintaining the stem cell
fate. Here we establish the adult germline of Caenorhabditis elegans as a model
for facultative stem cell quiescence. We find that mitotically dividing germ
cells--including germline stem cells--become quiescent in the absence of food.
This quiescence is characterized by a slowing of S phase, a block to M-phase
entry, and the ability to re-enter M phase rapidly in response to re-feeding.
Further, we demonstrate that cell-cycle quiescence alters the genetic
requirements for stem cell maintenance: The signaling pathway required for stem
cell maintenance under fed conditions--GLP-1/Notch signaling--becomes dispensable
under conditions of quiescence. Thus, cell-cycle quiescence can itself maintain
stem cells, independent of the signaling pathway otherwise essential for such
maintenance.
PMID- 26551562
TI - Propagated infra-slow intrinsic brain activity reorganizes across wake and slow
wave sleep.
AB - Propagation of slow intrinsic brain activity has been widely observed in
electrophysiogical studies of slow wave sleep (SWS). However, in human resting
state fMRI (rs-fMRI), intrinsic activity has been understood predominantly in
terms of zero-lag temporal synchrony (functional connectivity) within systems
known as resting state networks (RSNs). Prior rs-fMRI studies have found that
RSNs are generally preserved across wake and sleep. Here, we use a recently
developed analysis technique to study propagation of infra-slow intrinsic blood
oxygen level dependent (BOLD) signals in normal adults during wake and SWS. This
analysis reveals marked changes in propagation patterns in SWS vs. wake. Broadly,
ordered propagation is preserved within traditionally defined RSNs but lost
between RSNs. Additionally, propagation between cerebral cortex and subcortical
structures reverses directions, and intra-cortical propagation becomes
reorganized, especially in visual and sensorimotor cortices. These findings show
that propagated rs-fMRI activity informs theoretical accounts of the neural
functions of sleep.
PMID- 26551563
TI - Neuromodulation of excitatory synaptogenesis in striatal development.
AB - Dopamine is released in the striatum during development and impacts the activity
of Protein Kinase A (PKA) in striatal spiny projection neurons (SPNs). We
examined whether dopaminergic neuromodulation regulates activity-dependent
glutamatergic synapse formation in the developing striatum. Systemic in vivo
treatment with Galphas-coupled G-protein receptors (GPCRs) agonists enhanced
excitatory synapses on direct pathway striatal spiny projection neurons (dSPNs),
whereas rapid production of excitatory synapses on indirect pathway neurons
(iSPNs) required the activation of Galphas GPCRs in SPNs of both pathways.
Nevertheless, in vitro Galphas activation was sufficient to enhance spinogenesis
induced by glutamate photolysis in both dSPNs and iSPNs, suggesting that iSPNs in
intact neural circuits have additional requirements for rapid synaptic
development. We evaluated the in vivo effects of enhanced glutamate release from
corticostriatal axons and postsynaptic PKA and discovered a mechanism of
developmental plasticity wherein rapid synaptogenesis is promoted by the
coordinated actions of glutamate and postsynaptic Galphas-coupled receptors.
PMID- 26551564
TI - A G-protein activation cascade from Arl13B to Arl3 and implications for ciliary
targeting of lipidated proteins.
AB - Small G-proteins of the ADP-ribosylation-factor-like (Arl) subfamily have been
shown to be crucial to ciliogenesis and cilia maintenance. Active Arl3 is
involved in targeting and releasing lipidated cargo proteins from their carriers
PDE6delta and UNC119a/b to the cilium. However, the guanine nucleotide exchange
factor (GEF) which activates Arl3 is unknown. Here we show that the ciliary G
protein Arl13B mutated in Joubert syndrome is the GEF for Arl3, and its function
is conserved in evolution. The GEF activity of Arl13B is mediated by the G-domain
plus an additional C-terminal helix. The switch regions of Arl13B are involved in
the interaction with Arl3. Overexpression of Arl13B in mammalian cell lines leads
to an increased Arl3.GTP level, whereas Arl13B Joubert-Syndrome patient mutations
impair GEF activity and thus Arl3 activation. We anticipate that through Arl13B's
exclusive ciliary localization, Arl3 activation is spatially restricted and
thereby an Arl3.GTP compartment generated where ciliary cargo is specifically
released.
PMID- 26551566
TI - Mast cell, the peculiar member of the immune system: A homeostatic aspect.
AB - The mast cell is a member of the immune system having a basic role in allergic
(anaphylactic) reactions. However, it contains, synthesizes, stores and secretes
lots of substances, which initiates other reactions or participates in them.
These are in connection with the deterioration of tissue correlation, as
malignant tumors, angiogenesis, wound healing, pregnancy and different
pathological conditions. In addition - as other members of the immune system -
mast cells can synthesize, store and secrete hormones characteristic to the
endocrine glands and can transport them to the site of requirement (packed
transport), or produce and employ them locally. The effect of mast cells is
controversial and frequently dual, stimulatory or inhibitory to the same organ or
process. This is likely due to the heterogeneity of the mast cells, in morphology
and cell content alike and dependent on the actual condition of the targeted
tissue. The cells are transported in an unmatured form by the blood circulation
and are exposed to microenvironmental effects, which influence their maturation.
Their enrichment around tumors suggested using them as targets for tumor therapy
more than fifty years ago (by the author), however, this idea lives its
renaissance now. The review discusses the facts and ideas critically.
PMID- 26551567
TI - Identification and characterization of CTX-M-15 producing Klebsiella pneumoniae
clone ST101 in a Hungarian university teaching hospital.
AB - We investigated the molecular epidemiology of extended spectrum beta-lactamase
(ESBL) producing Klebsiella pneumoniae isolates derived from the teaching
hospitals of University of Pecs, Pecs, Hungary in the time period 2004-2008.
Molecular typing, antimicrobial susceptibility testing, detection of common beta
lactamase genes (bla(CTX-M), bla(TEM) and bla(SHV)) and virulence associated
traits (hypermucoviscosity, magA, k2a, rmpA, siderophores, type 1 and 3 fimbria,
biofilm formation, serum resistance) were performed for 102 isolates. The results
showed the presence of three major ciprofloxacin resistant CTX-M-15 producing
clones (ST15 n = 69, ST101 n = 10, and ST147 n = 9), of which ST15 was
predominant and universally widespread. Considering distribution in time and
place, ST101 and ST147 were detected at fewer inpatient units and within a
narrower time frame, as compared to ST15. Beside major clones, eleven minor
clones were identified, and were shown to harbour the following beta-lactamase
genes: six clones carried bla(CTX-M), four clones harboured bla(SHV-5) and one
clone possessed both bla(CTX-M) and ESBL type bla(SHV). Among the SHV-5 producing
K. pneumoniae clones a novel sequence type was found, namely ST1193, which
harboured a unique infB allele. Different virulence factor content and peculiar
antimicrobial susceptibility profile were characteristic for each clone. In
contrast to major clone isolates, which showed high level resistance to
ciprofloxacin, minor clone isolates displayed significantly lower MIC values for
ciprofloxacin suggesting a role for fluoroquinolones in the dissemination of the
major K. pneumoniae clones. This is the first description of the CTX-M-15
producing K. pneumoniae clone ST101 in Hungary.
PMID- 26551565
TI - APP and APLP2 interact with the synaptic release machinery and facilitate
transmitter release at hippocampal synapses.
AB - The amyloid precursor protein (APP), whose mutations cause familial Alzheimer's
disease, interacts with the synaptic release machinery, suggesting a role in
neurotransmission. Here we mapped this interaction to the NH2-terminal region of
the APP intracellular domain. A peptide encompassing this binding domain -named
JCasp- is naturally produced by a gamma-secretase/caspase double-cut of APP.
JCasp interferes with the APP-presynaptic proteins interaction and, if linked to
a cell-penetrating peptide, reduces glutamate release in acute hippocampal slices
from wild-type but not APP deficient mice, indicating that JCasp inhibits APP
function.The APP-like protein-2 (APLP2) also binds the synaptic release
machinery. Deletion of APP and APLP2 produces synaptic deficits similar to those
caused by JCasp. Our data support the notion that APP and APLP2 facilitate
transmitter release, likely through the interaction with the neurotransmitter
release machinery. Given the link of APP to Alzheimer's disease, alterations of
this synaptic role of APP could contribute to dementia.
PMID- 26551568
TI - Diversity of Cryphonectria parasitica populations from the Carpathian Basin.
AB - The ascomycetous fungus Cryphonectria parasitica (Murr.) is one of the most
important fungal pathogens of chestnut (Castanea sativa Mill.), causing chestnut
blight. It is originated from Eastern Asia and was introduced into North America,
and later into Europe. Almost 100 C. parasitica isolates were collected mainly
from the Carpathian Basin to study their diversity and phylogenetic
relationships. Three nuclear molecular markers were tested: (i) internal
transcribed spacers (ITS), (ii) translation elongation factor 1 subunit alpha
(tef1), and (iii) six microsatellite loci. The ITS region proved to be highly
polymorphic but this kind of variability did not reflect the geographical regions
of the isolates, while the tef1 sequences were identical in all isolates.
Microsatellite haplotype diversity was relatively high (0.923-0.984) calculated
for the fungal populations of the Carpathian Basin. This may have resulted from
the multiple introductions from diverse origins of the populations, close to the
first site of observation in Northern Italy. The BAPS analysis of the
microsatellite markers occasionally grouped together samples from remote
geographical origin, suggesting human assistance in the introduction of new C.
parasitica haplotypes from distinct areas.
PMID- 26551569
TI - Autosomal recessive hyper IgM syndrome associated with activation-induced
cytidine deaminase gene in three Turkish siblings presented with tuberculosis
lymphadenitis - Case report.
AB - The hyper-immunoglobulin M (HIGM) syndrome is a heterogeneous group of genetic
disorders characterized by recurrent infections, decreased serum levels of
immunoglobulin G (IgG) and IgA, and normal/increased serum levels of IgM. Herein,
we describe three Turkish siblings with HIGM syndrome who had a homozygous
missense mutation (c.70C>T, p.Arg24Trp) in the activation-induced cytidine
deaminase gene which results in autosomal recessive HIGM syndrome. Two of the
siblings, sibling 1 and sibling 3, presented with cervical deep abscess and
cervical tuberculosis lymphadenitis, respectively.
PMID- 26551570
TI - Study of Th1/Th2 balance in peripheral blood mononuclear cells of patients with
alopecia areata.
AB - Alopecia areata represents an autoimmune pathological process driven primarily by
cellular aberrations contained within the immune system, which activates various
humoral and cellular elements of the immune response. The aim of this study was
to determine the mRNA expression levels of T-bet and GATA-3 as potential inducers
of T helper (Th)1 and Th2 differentiation, respectively, as well as Th1(IFN
gamma) and Th2(IL-4) cytokine mRNA expression in patients with alopecia areata.
Using real-time reverse transcriptase PCR (RT-PCR), the relative amounts of T
bet, GATA-3, IFN-gamma, and IL-4 mRNA transcripts were determined in PBMCs from
20 Iranian patients with alopecia areata and compared with those of 20 healthy
control subjects. In comparison with the normal group, T-bet and IFN-gamma mRNA
expression levels were significantly up-regulated in the alopecia areata
patients, while GATA-3 and IL-4 mRNA expression levels were down-regulated.
Notably, positive correlation (P < 0.05) was found between IFN-gamma and T-bet
levels in patients and controls. In addition, significant positive correlations
existed between GATA-3 and IL-4 (P < 0.05). These results indicate that a Th1/Th2
imbalance exists in alopecia areata, and it may be implicated in the pathogenesis
of disease.
PMID- 26551571
TI - Evaluation of antimicrobial resistance of Helicobacter pylori in the last 15
years in West Poland.
AB - Increasing resistance to drugs represents a serious problem in treatment of
infections with Helicobacter pylori, providing cause of frequent therapeutic
failures. Present study aimed at analysis of changes in resistance of H. pylori
to antibiotics in West Poland within the recent 15 years. 108 strains of H.
pylori were analysed, isolated from gastric mucosa of adult patients. Group 1
involved 66 strains isolated in years of 1998/1999. Group 2 comprised 42 isolates
obtained in years of 2013/2014. Susceptibility to amoxicillin (AMX),
clarithromycin (CL), tetracycline (TC) and metronidazole (MTZ) was determined by
E-test (AB Biodisc). All strains on both studied groups were susceptible to AMX.
In group 1 all strains proved to be susceptible to TC, while 9% and 36% of tested
strains were resistant to CL and MTZ, respectively. By contrast, in group 2, 31%
and 83% of strains were resistant to CL and MTZ, respectively. In parallel, 14%
strains were found to be resistant to TC (according to EUCAST interpretations).
In West Poland, within recent 15 years a dramatic increase was noted in H. pylori
strains resistant to metronidazole. In parallel, a significant increase was noted
in proportion of strains resistant to clarithromycin.
PMID- 26551572
TI - Antibiotic susceptibility of sulfamethoxazole-trimethoprim resistant
Stenotrophomonas maltophilia strains isolated at a tertiary care centre in
Hungary.
AB - Sulfamethoxazole-trimethoprim (SXT) is the drug-of-choice in Stenotrophomonas
maltophilia caused infections. There has been an increase in resistance to SXT of
S. maltophilia over recent years. In this study 30 S. maltophilia clinical
isolates resistant to SXT were investigated. Antibiotic susceptibilities for
ciprofloxacin, moxifloxacin, levofloxacin, doxycycline, tigecycline, ceftazidime,
colistin and chloramphenicol were determined by broth microdilution method. None
of the strains were susceptible to ciprofloxacin, tigecycline, ceftazidime or
colistin. Only 37% of the isolates were susceptible to levofloxacin or
moxifloxacin. Two isolates resistant to all tested antibiotic agents and two
others susceptible only to doxycycline were further investigated: susceptibility
for combinations of antibiotics was analyzed by checkerboard technique. According
to the fractional inhibitory concentration indices calculated, moxifloxacin plus
ceftazidime combination was found to be synergistic in each case. Genetic testing
revealed the predominance of sul1 gene. Our study concluded that the range of
effective antibiotic agents is even more limited in infections caused by SXT
resistant S. maltophilia. In these cases, in vitro synergistic antibiotic
combinations could be potential therapeutic options.
PMID- 26551573
TI - Relationship between kinetics of growth and production of exo-electrons: Case
study with Geobacter toluenoxydans.
AB - Kinetics of growth and product formation of G. toluenoxydans DSMZ 19350 strain
were investigated using sodium-acetate as substrate and Fe(3+)-ions and fumarate
as electron acceptor. Response surface method was adapted for evaluation of
growth of bacteria. Results showed that maximum growth was detected in the case
of 2.2 g/L substrate concentration. Application of higher substrate concentration
(>2.5 g/L sodium acetate) significantly inhibits the bacterial growth. Luong's
model was found to be the most suitable to determine kinetic parameters (MU(max)
= 0.033 1/h, KS = 0.205 g/L) of growth of G.toluenoxydans strain, and the growth
was completely inhibited at substrate concentration higher than 3.1 g/L. In the
case of product formation the Haldane model was used and kinetic parameters are
MU(Pmax) = 0.123 mg/h, K(PS)= 0.184 g/L. Correlation between microbial growth and
product formation was observed using the Luedeking-Piret empirical method. Both
factors (growth and number of cells) affected significantly iron(III)-reduction,
thus the product formation. These results are important and open the possibility
to design a continuous MFC setting operating with G. toluenoxydans as
biocatalyst.
PMID- 26551574
TI - Significance of yeasts in bloodstream infection: Epidemiology and predisposing
factors of Candidaemia in adult patients at a university hospital (2010-2014).
AB - The incidence of Candida bloodstream infection (BSI) has increased during the
past decades. Species distribution is changing worldwide, and non-albicans
Candida spp. are becoming more prevalent. Acquired resistance to antifungal
agents has been documented in several reports. The aim of our study was to assess
the epidemiology and antifungal susceptibility of Candida isolates from BSI at
our institute. The incidence of Candida BSI increased during the first four years
of our investigation, from 1.7 to 3.5 episodes / 10 000 admissions, then dropped
to 2.66 episodes / 10 000 admissions in the last year. The most frequently
isolated species was C. albicans (63%), followed by C. glabrata (13%), C.
parapsilosis (10.2%), C. tropicalis (9.3%), and C. krusei (3.7%). One isolate
each of C. kefyr, C. fabianii and C. inconspicua were detected. The percentage of
C. albicans remained stable throughout the study period. The most frequent risk
factors of Candida BSI in our patient population were intensive care treatment
(60.4%), abdominal surgery (52.5%), and solid malignancy (30.7%). All isolates
were wild-type organisms, no acquired antifungal resistance was detected.
PMID- 26551575
TI - Single-cell technologies to study the immune system.
AB - The immune system is composed of a variety of cells that act in a coordinated
fashion to protect the organism against a multitude of different pathogens. The
great variability of existing pathogens corresponds to a similar high
heterogeneity of the immune cells. The study of individual immune cells, the
fundamental unit of immunity, has recently transformed from a qualitative
microscopic imaging to a nearly complete quantitative transcriptomic analysis.
This shift has been driven by the rapid development of multiple single-cell
technologies. These new advances are expected to boost the detection of less
frequent cell types and transient or intermediate cell states. They will
highlight the individuality of each single cell and greatly expand the resolution
of current available classifications and differentiation trajectories. In this
review we discuss the recent advancement and application of single-cell
technologies, their limitations and future applications to study the immune
system.
PMID- 26551576
TI - Nuclear organization of some immunohistochemically identifiable neural systems in
two species of the Euarchontoglires: A Lagomorph, Lepus capensis, and a
Scandentia, Tupaia belangeri.
AB - The present study describes the organization of the nuclei of the cholinergic,
catecholaminergic, serotonergic and orexinergic systems in the brains of two
members of Euarchontoglires, Lepus capensis and Tupaia belangeri. The aim of the
present study was to investigate the nuclear complement of these neural systems
in comparison to previous studies on Euarchontoglires and generally with other
mammalian species. Brains were coronally sectioned and immunohistochemically
stained with antibodies against choline acetyltransferase, tyrosine hydroxylase,
serotonin and orexin-A. The majority of nuclei revealed in the current study were
similar between the species investigated and to mammals generally, but certain
differences in the nuclear complement highlight potential phylogenetic
interrelationships within the Euarchontoglires and across mammals. In the
northern tree shrew the nucleus of the trapezoid body contained neurons
immunoreactive to the choline acetyltransferase antibody with some of these
neurons extending into the lamellae within the superior olivary nuclear complex
(SON). The cholinergic nature of the neurons of this nucleus, and the extension
of cholinergic neurons into the SON, has not been noted in any mammal studied to
date. In addition, cholinergic neurons forming the medullary tegmental field were
also present in the northern tree shrew. Regarding the catecholaminergic system,
the cape hare presented with the rodent specific rostral dorsal midline medullary
nucleus (C3), and the northern tree shrew lacked both the ventral and dorsal
divisions of the anterior hypothalamic group (A15v and A15d). Both species were
lacking the primate/megachiropteran specific compact portion of the locus
coeruleus complex (A6c). The nuclei of the serotonergic and orexinergic systems
of both species were similar to those seen across most Eutherian mammals. Our
results lend support to the monophyly of the Glires, and more broadly suggest
that the megachiropterans are more closely related to the primates than are any
other members of Euarchontoglires studied to date.
PMID- 26551577
TI - Object-based attentional facilitation and inhibition are neuropsychologically
dissociated.
AB - Salient peripheral cues produce a transient shift of attention which is
superseded by a sustained inhibitory effect. Cueing part of an object produces an
inhibitory cueing effect (ICE) that spreads throughout the object. In dynamic
scenes the ICE stays with objects as they move. We examined object-centred
attentional facilitation and inhibition in a patient with visual form agnosia.
There was no evidence of object-centred attentional facilitation. In contrast,
object-centred ICE was observed in 3 out of 4 tasks. These inhibitory effects
were strongest where cues to objecthood were highly salient. These data are
evidence of a neuropsychological dissociation between the facilitatory and
inhibitory effects of attentional cueing. From a theoretical perspective the
findings suggest that 'grouped arrays' are sufficient for object-based
inhibition, but insufficient to generate object-centred attentional facilitation.
PMID- 26551578
TI - A Simple and Universal Technique To Extract One- and Two-Dimensional
Nanomaterials from Contaminated Water.
AB - We demonstrate a universal approach to extract one- and two-dimensional
nanomaterials from contaminated water, which is based on a microscopic oil-water
interface trapping mechanism. Results indicate that carbon nanotubes, graphene,
boron nitride nanotubes, boron nitride nanosheets, and zinc oxide nanowires can
be successfully extracted from contaminated water at a successful rate of nearly
100%. The effects of surfactants, particle shape, and type of organic extraction
fluids are evaluated. The proposed extraction mechanism is also supported by in
situ monitoring of the extraction process. We believe that this extraction
approach will prove important for the purification of water contaminated by
nanoparticles and will support the widespread adoption of nanomaterial
applications.
PMID- 26551579
TI - A Cathodic "Signal-off" Photoelectrochemical Aptasensor for Ultrasensitive and
Selective Detection of Oxytetracycline.
AB - A novel cathodic "signal-off" strategy was proposed for photoelectrochemical
(PEC) aptasensing of oxytetracycline (OTC). The PEC sensor was constructed by
employing a p-type semiconductor BiOI doped with graphene (G) as photoactive
species and OTC-binding aptamer as a recognition element. The morphological
structure and crystalline phases of obtained BiOI-G nanocomposites were
characterized by scanning electron microscopy (SEM) and X-ray diffraction (XRD).
The UV-visible absorption spectroscopic analysis indicated that doping of BiOI
with graphene improved the absorption of materials in the visible light region.
Moreover, graphene could facilitate the electron transfer of BiOI modified
electrode. As a result, the cathodic photocurrent response of BiOI under visible
light irradiation was significantly promoted when a suitable amount of graphene
was doped. When amine-functionalized OTC-binding aptamer was immobilized on the
BiOI-G modified electrode, a cathodic PEC aptasensor was fabricated, which
exhibited a declined photocurrent response to OTC. Under the optimized
conditions, the photocurrent response of aptamer/BiOI-G/FTO was linearly
proportional to the concentration of OTC ranging from 4.0 to 150 nM, with a
detection limit (3S/N) of 0.9 nM. This novel PEC sensing strategy demonstrated an
ultrasensitive method for OTC detection with high selectivity and good stability.
PMID- 26551580
TI - Isolated Right Vein of Labbe Thrombosis.
PMID- 26551581
TI - Conformation and Aggregation of LKalpha14 Peptide in Bulk Water and at the
Air/Water Interface.
AB - Historically, the protein folding problem has mainly been associated with
understanding the relationship between amino acid sequence and structure.
However, it is known that both the conformation of individual molecules and their
aggregation strongly depend on the environmental conditions. Here, we study the
aggregation behavior of the model peptide LKalpha14 (with amino acid sequence
LKKLLKLLKKLLKL) in bulk water and at the air/water interface. We start by a
quantitative analysis of the conformational space of a single LKalpha14 in bulk
water. Next, in order to analyze the aggregation tendency of LKalpha14, by using
the umbrella sampling technique we calculate the potential of mean force for
pulling a single peptide from an n-molecule aggregate. In agreement with the
experimental results, our calculations yield the optimal aggregate size as four.
This equilibrium state is achieved by two opposing forces: Coulomb repulsion
between the lysine side chains and the reduction of solvent accessible
hydrophobic surface area upon aggregation. At the vacuum/water interface,
however, even dimers of LKalpha14 become marginally stable, and any larger
aggregate falls apart instantaneously. Our results indicate that even though the
interface is highly influential in stabilizing the alpha-helix conformation for a
single molecule, it significantly reduces the attraction between two LKalpha14
peptides, along with their aggregation tendency.
PMID- 26551582
TI - A framework for energy use indicators and their reporting in life cycle
assessment.
AB - Energy use is a common impact category in life cycle assessment (LCA). Many
different energy use indicators are used in LCA studies, accounting for energy
use in different ways. Often, however, the choice behind which energy use
indicator is applied is poorly described and motivated. To contribute to a more
purposeful selection of energy use indicators and to ensure consistent and
transparent reporting of energy use in LCA, a general framework for energy use
indicator construction and reporting in LCA studies will be presented in this
article. The framework differentiates between 1) renewable and nonrenewable
energies, 2) primary and secondary energies, and 3) energy intended for energy
purposes versus energy intended for material purposes. This framework is
described both graphically and mathematically. Furthermore, the framework is
illustrated through application to a number of energy use indicators that are
frequently used in LCA studies: cumulative energy demand (CED), nonrenewable
cumulative energy demand (NRCED), fossil energy use (FEU), primary fossil energy
use (PFEU), and secondary energy use (SEU). To illustrate how the application of
different energy use indicators may lead to different results, cradle-to-gate
energy use of the bionanomaterial cellulose nanofibrils (CNF) is assessed using 5
different indicators and showing a factor of 3 differences between the highest
and lowest results. The relevance of different energy use indicators to different
actors and contexts will be discussed, and further developments of the framework
are then suggested. Integr Environ Assess Manag 2016;12:429-436. (c) 2015 The
Authors. Integrated Environmental Assessment and Management published by Wiley
Periodicals, Inc. on behalf of SETAC.
PMID- 26551583
TI - Trends in Ambulatory Self-Report: The Role of Momentary Experience in
Psychosomatic Medicine: Erratum.
PMID- 26551584
TI - Mental Stress-Induced Ischemia in Patients With Coronary Artery Disease:
Echocardiographic Characteristics and Relation to Exercise-Induced Ischemia:
Erratum.
PMID- 26551585
TI - FGFR4 Is a Potential Predictive Biomarker in Oral and Oropharyngeal Squamous Cell
Carcinoma.
AB - OBJECTIVE: The aim of this study was to investigate whether fibroblast growth
factor receptor 4 (FGFR4) could serve as a potential therapeutic target,
prognostic biomarker or biomarker predicting radiotherapy sensitivity in oral
squamous cell carcinoma (OSCC) and oropharyngeal squamous cell carcinoma (OPSCC).
METHODS: FGFR4 immunohistochemistry and FGFR4/CEN5q FISH were performed on tissue
microarrays from 212 OSCC and 238 OPSCC patients. FGFR4 genotypes were determined
by PCR and DNA sequencing in 76 random OPSCC samples. The response to
radiotherapy was evaluated 3 months after the last radiotherapy treatment session
by a head and neck radiation oncologist and/or surgeon during clinic visits. The
results were correlated to overall survival and response to radiotherapy.
RESULTS: The FGFR4 protein was overexpressed in 64% (153/238) of OPSCCs and 41%
(87/212) of OSCCs. The FGFR4 gene was amplified in 0.47% (1/212) of OSCCs and
0.42% (1/238) of OPSCCs, and the FGFR4 Gly388Arg polymorphism was detected in 62%
(47/76) of OPSCCs. FGFR4 protein expression, FGFR4 gene copy numbers and FGFR4
genotypes were not related to overall survival or response to radiotherapy in
OSCC or OPSCC. CONCLUSION: FGFR4 is frequently overexpressed in OSCC and OPSCC in
the absence of gene amplification, and may serve as a potential predictive marker
for FGFR4-directed targeted therapy in OSCC and OPSCC.
PMID- 26551586
TI - Radon in spring waters in the south of Catalonia.
AB - Spring waters in the south of Catalonia were analysed to determine the (222)Rn
activity in order to be able to establish a correlation between the obtained
values with the geology of the area of origin of these samples, and also estimate
the potential health risks associated with (222)Rn. Most of the analysed samples
(90%) show (222)Rn activities lower than 100Bq/L (exposure limit in water
recommended by the World Health Organisation and EU directive 2013/51/EURATOM).
However, in some cases, the activity values found for this isotope exceeded those
levels and this can be attributed to the geology of the area where the spring
waters are located, which is predominantly of granitic characteristics. To verify
the origin of the radon present in the analysed samples, the obtained activity
values were compared with the activities of its parents ((226)Ra, (238)U and
(234)U). Finally, we have calculated the annual effective dose from all the
radionuclides measured in spring water samples. The results showed that the
higher contribution due to spring water ingestion come from (222)Rn and (226)Ra.
The resulting contribution to the annual effective dose due to radon ingestion
varies between 10.2 and 765.8 MUSv/y, and the total annual effective dose due to
his parents, (226)Ra, (234)U and (238)U varies between 0.8 and 21.2 MUSv/y so the
consumption of these waters does not involve any risks to population due to its
natural radioactivity content.
PMID- 26551587
TI - Tritium levels in milk in the vicinity of chronic tritium releases.
AB - Tritium is the radioactive isotope of hydrogen. It can be integrated into most
biological molecules. Even though its radiotoxicity is weak, the effects of
tritium can be increased following concentration in critical compartments of
living organisms. For a better understanding of tritium circulation in the
environment and to highlight transfer constants between compartments, we studied
the tritiation of different agricultural matrices chronically exposed to tritium.
Milk is one of the most frequently monitored foodstuffs in the vicinity of points
known for chronic release of radionuclides firstly because dairy products find
their way into most homes but also because it integrates deposition over large
areas at a local scale. It is a food which contains all the main nutrients,
especially proteins, carbohydrates and lipids. We thus studied the tritium levels
of milk in chronic exposure conditions by comparing the tritiation of the main
hydrogenated components of milk, first, component by component, then, sample by
sample. Significant correlations were found between the specific activities of
drinking water and free water of milk as well as between the tritium levels of
cattle feed dry matter and of the main organic components of milk. Our findings
stress the importance of the metabolism on the distribution of tritium in the
different compartments. Overall, dilution of hydrogen in the environmental
compartments was found to play an important role dimming possible isotopic
effects even in a food chain chronically exposed to tritium.
PMID- 26551588
TI - Soil radon dynamics in the Amer fault zone: An example of very high seasonal
variations.
AB - Soil radon levels of the Amer fault zone have been measured for a 4 year-period
with the aim of checking seasonal fluctuations obtained in previous studies and
to understand radon origin and dynamics. In this manuscript additional results
are presented: updated continuous and integrated soil radon measurements,
radionuclide content of soil materials and a detailed analysis of an urban
profile by means of the electrical resistivity imaging technique and punctual
soil radon, thoron and CO2 measurements. Integrated and continuous measurements
present a wide range of values, [0.2-151.6] kBq m(-3) for radon, [4.5-39.6] kBq
m(-3) for thoron and [4.0-71.2] g m(-2) day(-1) for CO2. The highest soil radon
levels in the vicinity of the Amer fault (>40 kBq m(-3)) are found close to the
fractured areas and present very important fluctuations repeated every year, with
values in summer much higher than in winter, confirming previous studies. The
highest radon values, up to 150 kBq m(-3), do not have a local origin because the
mean value of radium concentration in this soil (19 +/- 5 Bq kg(-1)) could not
explain these values. Then soil radon migration through the fractures, influenced
by atmospheric parameters, is assumed to account for such a high seasonal
fluctuation. As main conclusion, in fractured areas, seasonal variations of soil
radon concentration can be very important even in places where average soil radon
concentration and radium content are not especially high. In these cases the
migration capability of the soil is given not by intrinsic permeability but by
the fracture structure. Potential risk estimation based on soil radon
concentration and intrinsic permeability must be complemented with geological
information in fractured systems.
PMID- 26551590
TI - Vertical Flow Lithography for Fabrication of 3D Anisotropic Particles.
AB - A microfluidics-based method for the 3D fabrication of anisotropic particles is
reported. The method uses a vertical microchannel where tunable light patterns
solidify photocurable resins for stacking multiple layers of the resins, thus
enabling an application of stereolithography concepts to conventional flow
lithography. Multilayered, tapered, and angular compartmental microparticles are
demonstrated.
PMID- 26551589
TI - Molecular docking and structure-based virtual screening studies of potential drug
target, CAAX prenyl proteases, of Leishmania donovani.
AB - Targeting CAAX prenyl proteases of Leishmania donovani can be a good approach
towards developing a drug molecule against Leishmaniasis. We have modeled the
structure of CAAX prenyl protease I and II of L. donovani, using homology
modeling approach. The structures were further validated using Ramachandran plot
and ProSA. Active site prediction has shown difference in the amino acid residues
present at the active site of CAAX prenyl protease I and CAAX prenyl protease II.
The electrostatic potential surface of the CAAX prenyl protease I and II has
revealed that CAAX prenyl protease I has more electropositive and electronegative
potentials as compared CAAX prenyl protease II suggesting significant difference
in their activity. Molecular docking with known bisubstrate analog inhibitors of
protein farnesyl transferase and peptidyl (acyloxy) methyl ketones reveals
significant binding of these molecules with CAAX prenyl protease I, but
comparatively less binding with CAAX prenyl protease II. New and potent
inhibitors were also found using structure-based virtual screening. The best
docked compounds obtained from virtual screening were subjected to induced fit
docking to get best docked configurations. Prediction of drug-like
characteristics has revealed that the best docked compounds are in line with
Lipinski's rule. Moreover, best docked protein-ligand complexes of CAAX prenyl
protease I and II are found to be stable throughout 20 ns simulation. Overall,
the study has identified potent drug molecules targeting CAAX prenyl protease I
and II of L. donovani whose drug candidature can be verified further using
biochemical and cellular studies.
PMID- 26551591
TI - Aortic root, not valve, calcification correlates with coronary artery
calcification in patients with severe aortic stenosis: A two-center study.
AB - BACKGROUND: The underlying pathology in aortic stenosis (AS) and coronary artery
stenosis (CAS) is similar including atherosclerosis and calcification. We
hypothesize that coronary artery calcification (CAC) is likely to correlate with
aortic root calcification (ARC) rather than with aortic valve calcification
(AVC), due to tissue similarity between the two types of vessel rather than with
the valve leaflet tissue. MATERIAL AND METHODS: We studied 212 consecutive
patients (age 72.5 +/- 7.9 years, 91 females) with AS requiring aortic valve
replacement (AVR) in two Heart Centers, who underwent multidetector cardiac CT
preoperatively. CAC, AVC and ARC were quantified using Agatston scoring.
Correlations were tested by Spearman's test and Mann-Whitney U-test was used for
comparing different subgroups; bicuspid (BAV) vs tricuspid (TAV) aortic valve.
RESULTS: CAC was present in 92%, AVC in 100% and ARC in 82% of patients. CAC
correlated with ARC (rho = 0.51, p < 0.001) but not with AVC. The number of
calcified coronary arteries correlated with ARC (rho = 0.45, p < 0.001) but not
with AVC. 29/152 patients had echocardiographic evidence of BAV and 123 TAV, who
were older (p < 0.001) but CAC was associated with TAV even after adjusting for
age (p = 0.01). AVC score was associated with BAV after adjusting for age (p =
0.03) but ARC was not. Of the total cohort, 82 patients (39%) had significant
coronary stenosis (>50%), but these were not different in the pattern of
calcification from those without CAS. CAC was consistently higher in patients
with risk factors for atherosclerosis compared to those without. CONCLUSION: The
observed relationship between coronary and aortic root calcification suggests a
diffuse arterial disease. The lack of relationship between coronary and aortic
valve calcification suggests a different pathology.
PMID- 26551593
TI - Creating Drug Solubilization Compartments via Phase Separation in Multicomponent
Buccal Patches Prepared by Direct Hot Melt Extrusion-Injection Molding.
AB - Creating in situ phase separation in solid dispersion based formulations to allow
enhanced functionality of the dosage form, such as improving dissolution of
poorly soluble model drug as well as being mucoadhesive, can significantly
maximize the in vitro and in vivo performance of the dosage form. This
formulation strategy can benefit a wide range of solid dosage forms for oral and
alternative routes of delivery. This study using buccal patches as an example
created separated phases in situ of the buccal patches by selecting the
excipients with different miscibility with each other and the model drug. The
quaternary dispersion based buccal patches containing PEG, PEO, Tween 80, and
felodipine were prepared by direct hot melt extrusion-injection molding (HME-IM).
The partial miscibility between Tween 80 and semicrystalline PEG-PEO led to the
phase separation after extrusion. The Tween phases acted as drug solubilization
compartments, and the PEG-PEO phase had the primary function of providing
mucoadhesion and carrier controlled dissolution. As felodipine was preferably
solubilized in the amorphous regions of PEG-PEO, the high crystallinity of PEG
PEO resulted in an overall low drug solubilizing capacity. Tween 80 was added to
improve the solubilization capacity of the system as the model drug showed good
solubility in Tween. Increasing the drug loading led to the supersaturation of
drug in Tween compartments and crystalline drug dispersed in PEG-PEO phases. The
spatial distribution of these phase-separated compartments was mapped using X-ray
micro-CT, which revealed that the domain size and heterogeneity of the phase
separation increased with increasing the drug loading. The outcome of this study
provides new insights into the applicability of in situ formed phase separation
as a formulation strategy for the delivery of poorly soluble drugs and
demonstrated the basic principle of excipient selection for such technology.
PMID- 26551594
TI - Optimizing graph-based patterns to extract biomedical events from the literature.
AB - IN BIONLP-ST 2013: We participated in the BioNLP 2013 shared tasks on event
extraction. Our extraction method is based on the search for an approximate
subgraph isomorphism between key context dependencies of events and graphs of
input sentences. Our system was able to address both the GENIA (GE) task focusing
on 13 molecular biology related event types and the Cancer Genetics (CG) task
targeting a challenging group of 40 cancer biology related event types with
varying arguments concerning 18 kinds of biological entities. In addition to
adapting our system to the two tasks, we also attempted to integrate semantics
into the graph matching scheme using a distributional similarity model for more
events, and evaluated the event extraction impact of using paths of all possible
lengths as key context dependencies beyond using only the shortest paths in our
system. We achieved a 46.38% F-score in the CG task (ranking 3rd) and a 48.93% F
score in the GE task (ranking 4th). AFTER BIONLP-ST 2013: We explored three ways
to further extend our event extraction system in our previously published work:
(1) We allow non-essential nodes to be skipped, and incorporated a node skipping
penalty into the subgraph distance function of our approximate subgraph matching
algorithm. (2) Instead of assigning a unified subgraph distance threshold to all
patterns of an event type, we learned a customized threshold for each pattern.
(3) We implemented the well-known Empirical Risk Minimization (ERM) principle to
optimize the event pattern set by balancing prediction errors on training data
against regularization. When evaluated on the official GE task test data, these
extensions help to improve the extraction precision from 62% to 65%. However, the
overall F-score stays equivalent to the previous performance due to a 1% drop in
recall.
PMID- 26551595
TI - Staff attributions of the causes of challenging behaviour in children and adults
with profound intellectual and multiple disabilities.
AB - A study has shown that staff do not generally perceive challenging behaviour in
people with profound intellectual and multiple disabilities (PIMD) as being of
serious consequence. In this study we aimed to gain a better understanding of the
causal explanations that direct care and support staff give for challenging
behaviour in this group. The purpose of this study was twofold: (1) to determine
the way staff attribute challenging behaviour in children and adults with PIMD;
and (2) to analyse whether more experienced staff attribute challenging behaviour
in children and adults with PIMD differently than less experienced staff. In
total, 195 direct support staff and an equal number of children and adults with
PIMD participated in the study. Direct support staff filled out the Challenging
behaviour Attribution Scale (five causal explanatory models of challenging
behaviour) to explain challenging behaviour in one individual that they
supported. The results show that direct support staff as a whole report the
biomedical model as the most plausible explanation for challenging behaviour in
children and adults with PIMD. However, in the present study the mean scores on
all models are low. This might indicate that a large number of staff found none
of the models particularly useful as possible explanations of challenging
behaviour in people with PIMD. This could mean that staff have difficulties
stating the cause of challenging behaviour in this group. Another possible
explanation could be that there is little scientific knowledge about causing and
maintaining factors of challenging behaviour in people with PIMD. It could also
mean that staff have additional explanations for challenging behaviour in this
target group that are not mentioned in the instrument used. Future research
should address these issues. No differences were found between more experienced
and less experienced direct support staff.
PMID- 26551596
TI - Haptic-2D: A new haptic test battery assessing the tactual abilities of sighted
and visually impaired children and adolescents with two-dimensional raised
materials.
AB - To fill an important gap in the psychometric assessment of children and
adolescents with impaired vision, we designed a new battery of haptic tests,
called Haptic-2D, for visually impaired and sighted individuals aged five to 18
years. Unlike existing batteries, ours uses only two-dimensional raised materials
that participants explore using active touch. It is composed of 11 haptic tests,
measuring scanning skills, tactile discrimination skills, spatial comprehension
skills, short-term tactile memory, and comprehension of tactile pictures. We
administered this battery to 138 participants, half of whom were sighted (n=69),
and half visually impaired (blind, n=16; low vision, n=53). Results indicated a
significant main effect of age on haptic scores, but no main effect of vision or
Age * Vision interaction effect. Reliability of test items was satisfactory
(Cronbach's alpha, alpha=0.51-0.84). Convergent validity was good, as shown by a
significant correlation (age partialled out) between total haptic scores and
scores on the B101 test (rp=0.51, n=47). Discriminant validity was also
satisfactory, as attested by a lower but still significant partial correlation
between total haptic scores and the raw score on the verbal WISC (rp=0.43, n=62).
Finally, test-retest reliability was good (rs=0.93, n=12; interval of one to two
months). This new psychometric tool should prove useful to practitioners working
with young people with impaired vision.
PMID- 26551597
TI - Basic chemokine-derived glycosaminoglycan binding peptides exert antiviral
properties against dengue virus serotype 2, herpes simplex virus-1 and
respiratory syncytial virus.
AB - Chemokines attract leukocytes to sites of infection in a G protein-coupled
receptor (GPCR) and glycosaminoglycan (GAG) dependent manner. Therefore,
chemokines are crucial molecules for proper functioning of our antimicrobial
defense mechanisms. In addition, some chemokines have GPCR-independent defensin
like antimicrobial activities against bacteria and fungi. Recently, high affinity
for GAGs has been reported for the positively charged COOH-terminal region of the
chemokine CXCL9. In addition to CXCL9, also CXCL12gamma has such a positively
charged COOH-terminal region with about 50% positively charged amino acids. In
this report, we compared the affinity of COOH-terminal peptides of CXCL9 and
CXCL12gamma for GAGs and KD values in the low nM range were detected. Several
enveloped viruses such as herpesviruses, hepatitis viruses, human
immunodeficiency virus (HIV), dengue virus (DENV), etc. are known to bind to GAGs
such as the negatively charged heparan sulfate (HS). In this way GAGs are
important for the initial contacts between viruses and host cells and for the
infection of the cell. Thus, inhibiting the virus-cell interactions, by blocking
GAG-binding sites on the host cell, might be a way to target multiple virus
families and resistant strains. This article reports that the COOH-terminal
peptides of CXCL9 and CXCL12gamma have antiviral activity against DENV serotype
2, clinical and laboratory strains of herpes simplex virus (HSV)-1 and
respiratory syncytial virus (RSV). Moreover, we show that CXCL9(74-103) competes
with DENV envelope protein domain III for binding to heparin. These short
chemokine-derived peptides may be lead molecules for the development of novel
antiviral agents.
PMID- 26551598
TI - Arginase-2 is cooperatively up-regulated by nitric oxide and histone deacetylase
inhibition in human umbilical artery endothelial cells.
AB - Arginase-2 counteracts endothelial nitric oxide synthase (eNOS) activity in human
endothelium, and its expression is negatively controlled by histone deacetylase
(HDAC2). Conversely NO inhibits HDAC and previous studies suggest that arginase-2
is up-regulated by NO. We studied whether NO regulates arginase-2 expression in
umbilical artery endothelial cells (HUAEC) increasing ARG2 promoter
accessibility. HUAEC exposed to NOC-18 (NO donor, 1-100 MUM, 0-24 h) showed an
increase in arginase-2 but a decrease in eNOS mRNA levels in a time-dependent
manner, with a maximal effect at 100 MUM (24 h). Conversely NOS inhibition with L
NAME (100 MUM) reduced arginase-2 mRNA and protein levels, an effect reverted by
co-incubation with NOC-18. Treatment with TSA paralleled the effects of NO on
arginase-2 and eNOS at mRNA and protein levels, with maximal effect at 10 MUM. Co
incubation of NOC-18 (100 MUM) with a sub-maximal concentration of TSA (1 MUM)
potentiated the increase in arginase-2 mRNA levels, whilst L-NAME prevented TSA
dependent arginase-2 induction. The effects on arginase-2 mRNA were paralleled by
changes in chromatin accessibility, as well as increased levels of H3K9 and H4K12
acetylation, at ARG2 proximal (-579 to -367 and -280 to -73 bp from TSS) and core
(-121 to +126 bp from TSS) promoter. Finally NO-dependent arginase-2 induction
was prevented by pre-incubation for 10 min with the cysteine blocker MMTS (10
mM). These data showed for the first time that NO up-regulates arginase-2
expression in primary cultured human endothelial cells by an epigenetic-mediated
mechanism increasing ARG2 promoter accessibility suggesting a negative regulatory
loop for eNOS activity.
PMID- 26551599
TI - Condensation-Driven Assembly of Boron-Containing Bis(Heteroaryl) Motifs Using a
Linchpin Approach.
AB - Herein, we describe the bromomethyl acyl boronate linchpin--an enabling reagent
for the condensation-driven assembly of novel bis(heteroaryl) motifs. This
building block is readily accessible from commercially available starting
materials. A variety of 2-amino- and 2-methylpyridines were reacted with MIDA
protected bromomethyl acylboronate to afford 2-boryl imidazo[1,2-a]pyridine and 2
boryl indolizine derivatives, respectively, in excellent yields. Subsequent
condensation with hydroxyamidines and hydrazonamides converted the intermediate
heterocycles into novel boron-containing bis(heteroaryl) units characterized by
high thermal stability.
PMID- 26551600
TI - A LONG-TERM FOLLOW-UP STUDY OF A RANDOMIZED CONTROLLED TRIAL OF MOTHER-INFANT
PSYCHOANALYTIC TREATMENT: OUTCOMES ON MOTHERS AND INTERACTIONS.
AB - An earlier randomized controlled trial (RCT) compared 80 mother-infant dyads in a
Stockholm sample. One had received mother-infant psychoanalytic treatment [mother
infant psychoanalytic therapies (MIP) group], and the other received Child Health
Center care (CHCC group). Effects were found on mother-reported depression and
expert-rated mother-infant relationship qualities and maternal sensitivity. When
the children were 412 years, the dyads were followed up with assessments of the
children's attachment representations, social and emotional development, and
global functioning, and the mothers' psychological well-being and representations
of the child as well as the mother-child interactions. We gathered data from 66
cases approximately 312 years' posttreatment. All scores involving the mothers
had now approached community levels. We found effects on maternal depression in
favor of MIP, but no other between-group differences. The MIP treatments seemed
to have helped the mothers to recover more quickly on personal well-being, to
become more sensitive to their babies' suffering, and to better support and
appreciate their children throughout infancy and toddlerhood. If so, this would
explain why the MIP children had a better global functioning and were more often
"OK" and less often "Troubled" at 412 years.
PMID- 26551602
TI - Nickel-Free Alternatives Raise Awareness.
AB - Allergic contact dermatitis to nickel is a global health problem. Worldwide,
nickel continues to be the most prevalent and relevant contact allergen detected
in tested populations for the last 30 years. Thus, the need for nickel-free
products is palpable. We present a sustainable resource to aid providers and
consumers in locating a wide variety of nickel free alternatives.
PMID- 26551603
TI - "Parabenoia" Debunked, or "Who's Afraid of Parabens?".
AB - Parabens have been used as preservatives in foods, injectables, and topical
preparations for nearly 10 decades. Present in nature, rapidly metabolized by
skin and liver enzymes, they have an excellent safety record. However, in the
past 15 years, they have been under scrutiny for their alleged estrogenic and
antiandrogenic effects, as well as their putative role in promoting
cancerogenesis through endocrine disruption. Scientific articles supporting these
assertions have led the European Community to ban or restrict the use of some
parabens. Despite that methylparaben and ethylparaben have negligible endocrine
disruption activity, the food, pharmaceutical, and cosmetic industries are under
pressure from scare campaigns in the media and are responding by replacing
parabens with other biocides that cause multiple cases, and even worldwide
epidemics, of allergic contact sensitization. In the present review, we present a
balanced account of the published literature about the metabolism and potential
toxicology of parabens.
PMID- 26551604
TI - Epidermal Expression of Filaggrin/Profilaggrin Is Decreased in Atopic Dermatitis:
Reverse Association With Mast Cell Tryptase and IL-6 but Not With Clinical
Severity.
AB - BACKGROUND: A decrease in filaggrin expression contributes to the pathogenesis of
atopic dermatitis (AD) and can be modified by inflammatory factors. OBJECTIVES:
The aim of this study was to determine the correlation of (pro)filaggrin
(filaggrin and profilaggrin) expression with clinical severity in AD and with
mast cell (MC) tryptase, chymase, and IL-6. METHODS: Punch biopsies were
collected from 17 patients with moderate-to-severe AD and from 10 psoriatic
patients. Atopic dermatitis severity was measured using different clinical
parameters. (Pro)filaggrin, MC tryptase, chymase, and IL-6 were stained using
immunohistochemical, enzymehistochemical, and sequential double-staining methods.
RESULTS: (Pro)filaggrin expression was lower in the lesional than in the
nonlesional granular layer in AD and was correlated negatively with itch severity
but not with other severity parameters. (Pro)filaggrin expression was also
decreased in the psoriatic lesions. In AD, (pro)filaggrin expression correlated
negatively with the number of tryptase MCs in the nonlesional granular layer and
with IL-6 MCs in both the nonlesional and lesional granular layers. CONCLUSION:
(Pro)filaggrin expression is decreased in AD and is reversely associated with MC
tryptase and IL-6. However, it does not associate with disease severity, and it
was also decreased in psoriasis.
PMID- 26551605
TI - Cutaneous Delayed-Type Hypersensitivity to Surfactants.
AB - BACKGROUND: Repeated and prolonged use of surfactants can cause irritant as well
as allergic contact dermatitis. OBJECTIVE: This study reports the frequency of
positive patch test results to surfactants tested on the North American Contact
Dermatitis Group screening series including cocamidopropyl betaine (CAPB),
amidoamine (AA), dimethylaminopropylamine (DMAPA), oleamidopropyl dimethylamine
(OPD), and cocamide diethanolamide (CDEA), and correlations of positive reactions
between CAPB and the other surfactants. METHODS: This was a retrospective
analysis of 10 877 patients patch tested between 2009 and 2014 to the surfactants
CAPB, AA, DMAPA, OPD, and CDEA. Frequencies of positive reactions to these
surfactants were calculated, and trends of reactivity between the surfactants
analyzed. CONCLUSIONS: The OPD had the highest rate of positive patch reactions
(2.3%) followed by DMAPA (1.7%), and CAPB (1.4%). The AA and CDEA had the lowest
rate of positive reactions (0.8%). There was a high degree of overlap in positive
patch tests between the surfactants. The CDEA was the least likely to coreact
with another surfactant.
PMID- 26551606
TI - Patch Testing for Metal Allergy With Manufacturer-Supplied Materials Before Nuss
Bar Insertion.
AB - INTRODUCTION: The increasing use of metal implantable devices has raised
awareness of nickel allergy. Preoperative patch testing for patients with pectus
excavatum (PE) with a known metal allergy or history of atopy is an accepted
practice before the Nuss procedure. The Nuss bar manufacturer offers a metal disc
for preoperative testing for metal sensitivities. However, the efficacy of this
disc is not well understood. OBJECTIVE: The purpose of this study was to
determine the sensitivity of the metal disc in detecting nickel allergy compared
with that of standard patch testing. METHODS: Two PE patients were referred for
preoperative patch testing with the metal disc to screen for metal allergy before
the Nuss procedure. Based on our initial findings, 7 patients without PE
scheduled for patch testing for the evaluation of chronic dermatitis were
additionally tested with the metal disc if they were found to have risk factors
for nickel allergy. All patch testing was performed according to set standards.
CONCLUSIONS: The metal disc may not be adequately sensitive to determine nickel
allergy before the Nuss procedure. Patch testing alone with standard formulations
of nickel sulfate in petrolatum may be more sensitive in diagnosing nickel
allergy.
PMID- 26551607
TI - Occupational Contact Dermatitis: Workers' Compensation Patch Test Results of
Portland, Oregon, 2005-2014.
AB - BACKGROUND: Workers are exposed to potential irritants and allergens with
constant introduction of new industrial chemicals in the workplace. OBJECTIVE:
Characterize the final diagnoses, demographics, occupations, exposures, clinical
presentations, patch test results, dermatologic histories, and risk factors of
workers evaluated for suspected work-related allergic contact dermatitis (ACD).
METHODS: A retrospective chart review of 310 workers' compensation independent
medical examinations evaluated for suspected work-related ACD was performed.
Workers were seen in a community dermatology clinic in Portland, Oregon, from
2005 to 2014. Evaluation included history, physical examination, patch testing,
and further diagnostic workup when indicated. RESULTS: Hand dermatitis was the
most common presentation (n = 148, 47.7%). Prevalent occupations included health
care workers (n = 51, 16.5%), custodial staff (n = 41, 13.2%), and machinists (n
= 36, 11.6%). Allergic contact dermatitis (47.5%) was more common than irritant
contact dermatitis (ICD) (38.9%) in those diagnosed as having occupational skin
disease (n = 185). The highest-frequency work-related allergens were thiuram mix
(21 of 88, 23.9%), carba mix (20 of 88, 22.7%), potassium dichromate (9 of 88,
10.2%), and epoxy resin (9 of 88, 10.2%). CONCLUSIONS: Allergic contact
dermatitis and ICD are common occupational skin disorders. In this population of
workers' compensation referrals, ACD was more common, with 73.3% of those cases
work related, compared with 86.7% of ICD. Blue collar work and wet work were risk
factors for the development of ACD and ICD.
PMID- 26551608
TI - Contact Allergy to Surfactants in a Hypoallergenic Liquid Cleanser.
AB - : Surfactants are a relatively rare cause of allergic contact dermatitis (ACD)
and testing patients to personal care products containing these ingredients has
historically been difficult given their irritant properties. Using the semiopen
technique, we were able to identify ACD to a hypoallergenic liquid cleanser in 2
patients who presented to our patch test clinic only months apart. Additional
patch testing to individual ingredients led to subsequent identification of 3
novel surfactant allergens (sodium lauroyl sarcosinate, isostearamidopropyl
morpholine lactate, and disodium lauroamphodiacetate). Only one of these
allergens, sodium lauroyl sarcosinate, has previously been reported as a cause of
ACD.
PMID- 26551609
TI - Occupational Airborne Contact Dermatitis From Proton Pump Inhibitors.
AB - Few published reports have described occupational contact dermatitis from proton
pump inhibitor (PPI) exposure in the literature. We present an additional case of
a 58-year-old male pharmaceutical worker with an occupational airborne allergic
contact dermatitis to PPIs confirmed by patch testing. This is a novel report of
workplace exposure to dexlansoprazole and esomeprazole PPIs with resultant
clinical contact allergy and relevant positive patch test results to these 2
agents. A literature review of all previously reported cases of occupational
contact dermatitis to PPI is summarized. The case also emphasizes the importance
of even minute exposures when considering workplace accommodation.
PMID- 26551610
TI - Erythroderma due to Unwitting Exposure to an Unobvious Allergen Source.
PMID- 26551612
TI - Hyporeactive Products 2015: An Adjunct in the Treatment of Contact Dermatitis and
Other Chronic Eczemas.
PMID- 26551611
TI - Peri-Anal Ulcerations in a Patient With Essential Pruritus.
PMID- 26551613
TI - Bilayer Effects of Antimalarial Compounds.
AB - Because of the perpetual development of resistance to current therapies for
malaria, the Medicines for Malaria Venture developed the Malaria Box to
facilitate the drug development process. We tested the 80 most potent compounds
from the box for bilayer-mediated effects on membrane protein conformational
changes (a measure of likely toxicity) in a gramicidin-based stopped flow
fluorescence assay. Among the Malaria Box compounds tested, four compounds
altered membrane properties (p< 0.05); MMV007384 stood out as a potent bilayer
perturbing compound that is toxic in many cell-based assays, suggesting that
testing for membrane perturbation could help identify toxic compounds. In any
case, MMV007384 should be approached with caution, if at all.
PMID- 26551614
TI - Post-Synthesis Incorporation of 64Cu in CuS Nanocrystals to Radiolabel
Photothermal Probes: A Feasible Approach for Clinics.
AB - We report a simple method for the incorporation of Cu(I) or (64)Cu(I)
radionuclides in covellite nanocrystals (CuS NCs). After the in situ reduction of
Cu(II) or (64)Cu(II) ions by ascorbic acid, their incorporation in PEG-coated CuS
NCs takes place at room temperature. In all the reaction steps, the stability of
the NCs under physiological conditions was ensured. The copper incorporation
reaction could also take place on CuS NCs bearing biotin molecules at their
surface, with no detrimental effects on the specific binding affinity of the NCs
toward streptavidin after incorporation. At low loading of Cu ions, the strong
near-infrared (NIR) absorption band of the starting CuS NCs was essentially
preserved, which allowed for efficient plasmonic photothermal therapy. The
combined presence in the NCs of (64)Cu ions, well suitable for positron emission
tomography, and of free carriers responsible for the NIR absorption, should
enable their theranostic use as radiotracers and as photothermal probes in tumor
ablation treatments. Moreover, the simplicity of the preparation scheme, which
involves the use of radioactive species only as a last step, makes the protocol
easily transferable to the clinical practice.
PMID- 26551615
TI - Applying Knowledge of Species-Typical Scavenging Behavior to the Search and
Recovery of Mammalian Skeletal Remains.
AB - Forensic investigations involving animal scavenging of human remains require a
physical search of the scene and surrounding areas. However, there is currently
no standard procedure in the U.K. for physical searches of scavenged human
remains. The Winthrop and grid search methods used by police specialist searchers
for scavenged remains were examined through the use of mock red fox (Vulpes
vulpes) scatter scenes. Forty-two police specialist searchers from two different
regions within the U.K. were divided between those briefed and not briefed with
fox-typical scavenging information. Briefing searchers with scavenging
information significantly affected the recovery of scattered bones (chi(2) =
11.45, df = 1, p = 0.001). Searchers briefed with scavenging information were
2.05 times more likely to recover bones. Adaptions to search methods used by
searchers were evident on a regional level, such that searchers more accustom to
a peri-urban to rural region recovered a higher percentage of scattered bones
(58.33%, n = 84).
PMID- 26551616
TI - Multiferroic Heterostructures Integrating Ferroelectric and Magnetic Materials.
AB - Multiferroic heterostructures can be synthesized by integrating monolithic
ferroelectric and magnetic materials, with interfacial coupling between electric
polarization and magnetization, through the exchange of elastic, electric, and
magnetic energy. Although the nature of the interfaces remains to be unraveled,
such cross coupling can be utilized to manipulate the magnetization (or
polarization) with an electric (or magnetic) field, known as a converse (or
direct) magnetoelectric effect. It can be exploited to significantly improve the
performance of or/and add new functionalities to many existing or emerging
devices such as memory devices, tunable microwave devices, sensors, etc. The
exciting technological potential, along with the rich physical phenomena at the
interface, has sparked intensive research on multiferroic heterostructures for
more than a decade. Here, we summarize the most recent progresses in the
fundamental principles and potential applications of the interface-based
magnetoelectric effect in multiferroic heterostructures, and present our
perspectives on some key issues that require further study in order to realize
their practical device applications.
PMID- 26551617
TI - Genetic studies of Russian patients with amyotrophic lateral sclerosis.
AB - Our objective was to search for mutations in genes SOD1, TARDBP, C9orf72, ANG,
ATXN2 and VEGF in Russian patients with amyotrophic lateral sclerosis (ALS). A
group of 208 Russian patients with ALS was examined. Molecular genetic analysis
was conducted using direct sequencing, fragment analysis, and real-time PCR. We
found eight different point mutations in the SOD1 gene, with the frequency of
mutations being 50% in familial ALS and 3% in sporadic ALS. No mutations were
found in exon 6 of the TARDBP gene; however, deletion c.715-126delG in intron 5
of TARDBP was over-represented in ALS patients compared to controls (38% vs.
26.6%; chi(2 )= 13.17; p = 0.002). Hexanucleotide repeat expansion of the C9orf72
gene was revealed in 2.5% of sporadic ALS patients. Mutations in the ANG gene
were identified in 1.5% of sporadic ALS patients. The presence of an intermediate
number (28-33) of GAC repeats in the ATXN2 gene was observed significantly more
often in the study group compared to the control group (5% vs. 1.7%; chi(2 )=
3.89; p = 0.0486). In the cohort examined, we found an association between the
disease and the risk A-allele and the A/A genotype at the -2578S/A locus of the
VEGF gene. In conclusion, we determined for the first time the genetic basis of
ALS in a Russian population.
PMID- 26551618
TI - Predictive Value of Cytokeratin 7 Immunohistochemistry in Cervical Low-grade
Squamous Intraepithelial Lesion as a Marker for Risk of Progression to a High
grade Lesion.
AB - The squamocolumnar junction (SCJ) cell population of the uterine cervix is a
discrete epithelial area and the putative source of the majority of high-grade
squamous intraepithelial lesions (HSIL). The SCJ cells can be identified by
immunohistochemical (IHC) stains including cytokeratin 7 (CK7). Others have
theorized that an SCJ marker-positive low-grade squamous intraepithelial lesion
(LSIL) has a higher risk for future HSIL compared with an SCJ marker-negative
LSIL. This study has 2 aims: first, to refine the definition of a positive CK7
immunostaining pattern in cervical lesions, and, second, to test the hypothesis
that CK7 positivity in LSIL indicates higher risk for future HSIL, with both
questions addressed using a data set with consensus diagnoses. One hundred cases
each of LSIL, moderate HSIL (CIN2), and severe HSIL (CIN3) were stained for CK7,
with positivity defined as a diffuse cytoplasmic staining pattern (>5 to 6
contiguous cells); all others were considered negative. Using this model, 34% of
CIN1, 45% of CIN2, and 60% of CIN3 were CK7 positive. With follow-up, CK7
positive LSILs were more likely to progress to HSIL compared with CK7-negative
LSIL (32% vs. 11%, P=0.05), in concordance with the results of other researchers.
This study simplifies cervical CK7 IHC grading into a reproducible system and
supports the thesis that CK7 positivity in LSIL is associated with increased risk
for future HSIL. Larger cohorts using consensus-diagnosed LSIL are needed to
confirm these results before CK7 may be considered for clinical validation.
PMID- 26551619
TI - Papillary Immature Metaplasia of the Anal Canal: A Low-grade Lesion That Can
Mimic a High-grade Lesion.
AB - In a natural history study of anal human papillomavirus (HPV) infection and HPV
related lesions among homosexual men in Sydney, Australia, we identified 15
examples of papillary immature metaplasia (PIM) in anal biopsy samples. PIM has
previously been described in the cervix, but not in the anal canal. PIM is a form
of exophytic low-grade squamous intraepithelial lesion (eLSIL) also known as
condyloma. In contrast to the maturing keratinocytes and koilocytosis seen in
conventional eLSIL, the slender papillary structures of PIM have a surface
population of immature squamous cells. In our anal samples PIM was characterized
by close proximity to conventional eLSIL, was negative for p16 (p16) expression,
and revealed the presence of a single low-risk HPV genotype (either 6 or 11) in
laser capture microdissected lesions. The clinical significance of recognizing
PIM lies in preventing misdiagnosis as high-grade squamous intraepithelial
lesion, (the presumed precursor to anal cancer), due to the morphologic
immaturity of the cell population. In routine practice, awareness of anal canal
PIM and p16 immunostaining will prevent this. Further study of the natural
history of anal canal PIM is needed.
PMID- 26551620
TI - Broadening the Morphologic Spectrum of Bartonella henselae Lymphadenitis:
Analysis of 100 Molecularly Characterized Cases.
AB - Bartonella henselae lymphadenitis, or cat-scratch lymphadenitis (CSL), is
classically associated with stellate microabscesses, occasional giant cells, and
extension of the inflammatory infiltrate into perinodal soft tissue. Availability
of B. henselae molecular testing on tissue specimens has broadened our
understanding of the morphologic variation in this disease. Here we sought to
describe the histopathologic features of the largest series to date of
molecularly proven CSL. B. henselae polymerase chain reaction-positive tissue
specimens from 2010 to 2012 were identified, and hematoxylin and eosin slides
were reviewed. A single-step 16S-23S rRNA-based polymerase chain reaction testing
was used to identify B. henselae on formalin-fixed, paraffin-embedded tissues. A
total of 100 B. henselae-positive cases were identified. The median age of the
patients was 26.5 years (range, 1 to 69 y). Ninety-two percent of cases presented
in lymph nodes, with 66% of these occurring above the diaphragm, most commonly in
the cervical chain. Of 100 cases, 57 had classical CSL features of necrotizing
granulomas with microabscesses, with or without surrounding palisading
histiocytes. In contrast, 43/100 cases lacked the prototypical microabscesses of
CSL including: 23 cases (53.5%) with features of fungal/mycobacterial
lymphadenitis, 6 (14%) cases with features of Kikuchi lymphadenitis, and 4 cases
(9.3%) with the classic histologic triad of toxoplasma lymphadenitis. In summary,
B. henselae lymphadenitis may lack the typical microabscesses in almost half of
cases and may closely mimic other reactive, especially infectious,
lymphadenopathies. Given the lack of specificity of many of these features, a low
threshold for B. henselae molecular testing on tissue is warranted in the
appropriate clinical context.
PMID- 26551621
TI - Morphological and Immunohistochemical Reevaluation of Tumors Initially Diagnosed
as Ovarian Endometrioid Carcinoma With Emphasis on High-grade Tumors.
AB - Ovarian endometrioid carcinomas (OEC) of low grade have characteristic
morphologic features, but high-grade tumors can mimic high-grade serous and
undifferentiated carcinomas. We reviewed tumors initially diagnosed as OEC to
determine whether a combination of pathologic and immunohistochemical features
can improve histologic subclassification. Tumors initially diagnosed as OEC were
reviewed using World Health Organization criteria. We also noted the presence of
associated confirmatory endometrioid features (CEFs): (i) squamous metaplasia;
(ii) endometriosis; (iii) adenofibromatous background; and (iv) borderline
endometrioid or mixed Mullerian component. A tissue microarray was constructed
from 27 representative tumors with CEF and 14 without CEF, and sections were
stained for WT-1, p16, and p53. Of 109 tumors initially diagnosed as OEC, 76
(70%) tumors were classified as OEC. The median patient age was 55 years, and 75%
of patients were younger than 60 years. Ninety-two percent presented with disease
confined to the pelvis, and 87% of tumors were unilateral. The median tumor size
was 11.8 cm. Only 3% of tumors were high grade (grade 3of 3). Eighty percent of
cases had at least 1 CEF, and 59% had at least 2 CEFs. Eleven percent
overexpressed p16, 0% overexpressed p53, and 3% expressed WT-1. Only 10% of
patients died of disease at last follow-up. Thirty-three (33) tumors, or 30% of
tumors originally classified as endometrioid, were reclassified as serous
carcinoma (OSC). The median patient age was 54.5 years, and 59% of patients were
younger than 60 years of age. Only 27% had disease confined to the pelvis at
presentation, 52% of tumors were unilateral, and the median tumor size was 8 cm.
Associated squamous differentiation, endometrioid adenofibroma, and endometrioid
or mixed Mullerian borderline tumor (CEFs) were not present in any case, but 6%
of patients had endometriosis. Approximately one half of the reclassified OSC
demonstrated SET-pattern morphology (combinations of glandular, cribriform,
solid, and transitional cell-like architecture) and were immunophenotypically
indistinguishable from OSCs with papillary architecture. Sixty percent of OSC
overexpressed p16, 50% overexpressed p53, and 82% expressed WT-1. At last follow
up, 52% had died of disease. Compared with OSC, OEC patients more frequently
presented below 60 years of age (P=0.046), had low-stage tumors (P<0.001), were
more frequently unilateral (P<0.001), more frequently had synchronous endometrial
endometrioid carcinomas (P<0.001); and had no evidence of disease at last follow
up (P<0.001). Their tumors were of lower grade (P<0.001), had more CEFs
(P<0.001), and less frequently overexpressed p16 and p53 (P=0.003 and P<0.001,
respectively) and less frequently expressed WT-1 (P<0.001). This analysis
emphasizes the diagnostic value of CEFs, the presence of a low-grade gland
forming endometrioid component, and WT-1 negativity, as valid, clinically
relevant criteria for a diagnosis of OEC. Glandular and/or cribriform
architecture alone may be seen in both OECs and OSCs and are therefore not
informative of diagnosis. Further study is needed to elaborate the
characteristics of the exceedingly rare high-grade OEC.
PMID- 26551622
TI - SATB2 Expression Distinguishes Ovarian Metastases of Colorectal and Appendiceal
Origin From Primary Ovarian Tumors of Mucinous or Endometrioid Type.
AB - The primary origin of some ovarian mucinous tumors may be challenging to
determine, because some metastases of extraovarian origin may exhibit gross,
microscopic, and immunohistochemical features that are shared by some primary
ovarian mucinous tumors. Metastases of primary colorectal, appendiceal, gastric,
pancreatic, and endocervical adenocarcinomas may simulate primary ovarian
mucinous cystadenoma, mucinous borderline tumor, or mucinous adenocarcinoma.
Recently, immunohistochemical expression of SATB2, a transcriptional regulator
involved in osteoblastic and neuronal differentiation, has been shown to be a
highly sensitive marker of normal colorectal epithelium and of colorectal
adenocarcinoma. SATB2 expression has not been reported in normal epithelium of
the female reproductive tract. Therefore, we hypothesized that SATB2 may be of
value in distinguishing ovarian metastases of colorectal adenocarcinoma from
primary ovarian mucinous tumors and from primary ovarian endometrioid tumors.
Among primary ovarian tumors, SATB2 staining was observed in 0/22 mucinous
cystadenomas that lacked a component of mature teratoma, 4/12 mucinous
cystadenomas with mature teratoma, 1/60 mucinous borderline tumors, 0/17 mucinous
adenocarcinomas, 0/3 endometrioid borderline tumors, and 0/72 endometrioid
adenocarcinomas. Among ovarian metastases, SATB2 staining was observed in 24/32
(75%) colorectal adenocarcinomas; 8/10 (80%) low-grade appendiceal mucinous
neoplasms; and 4/4 (100%) high-grade appendiceal adenocarcinomas. No SATB2
staining was observed in any ovarian metastasis of pancreatic, gastric,
gallbladder, or endocervical origin. Evaluation of primary extraovarian tumors
showed the highest incidences of SATB2 staining among primary colorectal
adenocarcinomas (71%), primary appendiceal low-grade mucinous neoplasms (100%),
and primary appendiceal high-grade adenocarcinomas (100%). Similar to their
metastatic counterparts, none of the primary pancreatic or gastric
adenocarcinomas showed any SATB2 staining. In a subset of tumors for which CK7,
CK20, and CDX2 were available, SATB2 was never positive in any tumor of any
origin that was CK7+CK20-CDX2-. Among tumors that coexpressed all 3 markers
(CK7+CK20+CDX2+), 6/7 SATB2 tumors were of colorectal or appendiceal origin, and
1/7 was a primary ovarian borderline tumor. We conclude that ovarian tumors with
mucinous or endometrioid features that express SATB2 are unlikely to be of
primary ovarian origin unless there is a component of mature teratoma in the
ovary; instead, attention should be directed to a colorectal or appendiceal
origin. SATB2 may be of particular value in ovarian mucinous tumors that are
positive for all 3 markers (CK7+CK20+CDX2+), as SATB2 staining strongly
implicates a colorectal or appendiceal origin.
PMID- 26551623
TI - SWI/SNF Complex-deficient Undifferentiated/Rhabdoid Carcinomas of the
Gastrointestinal Tract: A Series of 13 Cases Highlighting Mutually Exclusive Loss
of SMARCA4 and SMARCA2 and Frequent Co-inactivation of SMARCB1 and SMARCA2.
AB - Undifferentiated gastrointestinal tract carcinomas are rare highly aggressive
neoplasms with frequent but not obligatory rhabdoid features. Recent studies
showed loss of SMARCB1 (INI1), a core subunit of the SWI/SNF chromatin remodeling
complex, in 50% of tested cases. However, the molecular pathways underlying
histologically similar but SMARCB1-intact cases are unknown. We herein analyzed
13 cases for expression of 4 SWI/SNF complex subunits SMARCB1, SMARCA2, SMARCA4,
and ARID1A and the mismatch-repair proteins MLH1, MSH2, MSH6, and PMS2 by
immunohistochemistry. Patients included 12 men and 1 woman aged 32 to 81 years
(median, 57 y). Site of origin was colon (5), small bowel (2), stomach (3),
small+large intestine (1), small intestine+ampulla of Vater (1), and
esophagogastric junction (1). All tumors showed anaplastic large to medium-sized
cells with variable rhabdoid features, pleomorphic giant cells, and, rarely,
spindle cell foci. Abortive gland formation was seen in 3 cases and bona fide
glandular component in 1 case. Most cases strongly expressed vimentin and
variably pancytokeratin. In total, 12/13 cases (92%) showed loss of at least 1
SWI/SNF component. Loss of SMARCB1 (5/13), SMARCA2 (10/13), SMARCA4 (2/13), and
ARID1A (2/13) was observed either in combination or isolated. SMARCA2 loss was
isolated in 5 cases and coexisted with lost SMARCB1 in 5 cases (all 5 SMARCB1
deficient tumors showed loss of SMARCA2 as well). Co-inactivation of SMARCB1 and
SMARCA4 or of SMARCA2 and SMARCA4 was not observed. Two mismatch-repair-deficient
cases (MLH1/PMS2) showed concurrent loss of SMARCB1, SMARCA2, and (one of them)
ARID1A. This study illustrates for the first time loss of different components of
the SWI/SNF complex other than SMARCB1 in undifferentiated gastrointestinal
carcinomas including novel SMARCA4-deficient and SMARCA2-deficient cases. Our
results underline the close link between SWI/SNF deficiency and the aggressive
rhabdoid phenotype. Frequent loss of SMARCA2 possibly points to
fragility/vulnerability of the SWI/SNF complex as a consequence of lost core
subunit SMARCB1. The exact molecular mechanisms underlying co-inactivation of
different SWI/SNF subunits merit further investigations.
PMID- 26551624
TI - Enacted and implied stigma for dementia in a community in south-west Nigeria.
AB - BACKGROUND: Dementia is a chronic progressive disease that mostly affects the
elderly. There is often a stigma surrounding dementia patients because of poor
awareness about the disease. In Nigeria, this stigma and related attitudes have
not been fully explored. In this study, we assessed the attitude of people
towards demented individuals in a transitional community in Nigeria. METHODS: The
study used a mixed methods approach. Focused group discussions exploring the
concept of dementia were conducted among six community groups, and quantitative
data was obtained from an interviewer-administered questionnaire. A total of 313
respondents were selected with a cluster sampling technique. RESULTS: Only 212
respondents (67.7%) were aware of dementia. 'Memory loss disease', 'ageing
disease', 'disease of insanity', 'brain disorder', 'disease of forgetfulness',
and 'dull brain' are the common names used to describe dementia in the community.
Enacted stigma was evident as 36% of respondents felt dementia was associated
with shame and embarrassment in the community. Implied stigma was evident in
another third that opined that demented individuals would prefer not to know or
let others know that they have the disease. Also, 28% were of the opinion that
people do not take those with dementia seriously. Of the 22 (10.4%) that reported
having received structured information about dementia, 16 (72.7%) got the
information from health facilities. Qualitative data revealed the presence of
enacted stigma in the community as some referred to affected individuals by
derogatory names such as 'madman'. Some statements from the focus group
discussion participants also gave useful insights into the scorn with which
demented individuals are sometimes treated. CONCLUSION: The presence of enacted
and implied stigma related to dementia within the community calls for concern.
More research efforts are needed to unravel the burden of stigma within
communities and best practice for stigma-reducing interventions.
PMID- 26551625
TI - Overview: A New Era of Cancer Genome in Myeloid Malignancies.
AB - In the Myeloid session of the 30th Nagoya International Cancer Treatment
Symposium, three speakers were invited. Prof. Clara Bloomfield emphasized the
importance of genetic alterations for the prognostic stratification and treatment
of acute myeloid leukemia (AML). Dr. Eytan Stein showed that there are promising
anti-leukemia effects of IDH2 inhibitor, AG-221, and DOT1L inhibitor, EPZ-5676,
based on early-phase clinical studies. Prof. Seishi Ogawa presented a review of
the clonal dynamics of secondary myelodysplastic syndrome (MDS) derived from
aplastic anemia (AA). From these presentations, we are confident that molecular
analysis-based individualized therapies will be realized within a few years.
PMID- 26551626
TI - Modeling the distinct phases of skill acquisition.
AB - A focus of early mathematics education is to build fluency through practice.
Several models of skill acquisition have sought to explain the increase in
fluency because of practice by modeling both the learning mechanisms driving this
speedup and the changes in cognitive processes involved in executing the skill
(such as transitioning from calculation to retrieval). In the current study, we
use hidden Markov modeling to identify transitions in the learning process. This
method accounts for the gradual speedup in problem solving and also uncovers
abrupt changes in reaction time, which reflect changes in the cognitive processes
that participants are using to solve math problems. We find that as participants
practice solving math problems they transition through 3 distinct learning
states. Each learning state shows some speedup with practice, but the major
speedups are produced by transitions between learning states. In examining and
comparing the behavioral and neurological profiles of each of these states, we
find parallels with the 3 phases of skill acquisition proposed by Fitts and
Posner (1967): a cognitive, an associative, and an autonomous phase. (PsycINFO
Database Record
PMID- 26551627
TI - When intensions do not map onto extensions: Individual differences in
conceptualization.
AB - Concepts are represented in the mind through knowledge of their extensions (the
class of items to which the concept applies) and intensions (features that
distinguish that class of items). A common assumption among theories of concepts
is that the 2 aspects are intimately related. Hence if there is systematic
individual variation in concept representation, the variation should correlate
between extensional and intensional measures. A pair of individuals with similar
extensional beliefs about a given concept should also share similar intensional
beliefs. To test this notion, exemplars (extensions) and features (intensions) of
common categories were rated for typicality and importance respectively across 2
occasions. Within-subject consistency was greater than between-subjects consensus
on each task, providing evidence for systematic individual variation.
Furthermore, the similarity structure between individuals for each task was
stable across occasions. However, across 5 samples, similarity between
individuals for extensional judgments did not map onto similarity between
individuals for intensional judgments. The results challenge the assumption
common to many theories of conceptual representation that intensions determine
extensions and support a hybrid view of concepts where there is a disconnection
between the conceptual resources that are used for the 2 tasks.
PMID- 26551628
TI - Peripheral quantitative computed tomography (pQCT) bone measurements in children
with cystic fibrosis.
AB - BACKGROUND: Individuals with cystic fibrosis (CF) have low bone density and
increased fracture risk. METHODS: Cross-sectional study investigating whole body
bone mineral content (WBBMC), bone geometry and strength in 12 children with CF
compared to 23 age- and sex-matched controls with and without adjusting for age,
height, and body composition. RESULTS: CF group had lower WBBMC than controls (P
= 0.007) with larger differences at older ages (age-by-group, P = 0.08). CF group
had decreased height (P = 0.006), a trend of lower lean mass per height (P =
0.08), and no difference in relationship between WBBMC and lean mass compared to
controls (P = 0.65). Periosteal and endosteal circumferences were smaller in CF
(each, P = 0.02). Positive relationships of cortical area and bone strength with
age were attenuated with CF (group-by-age; each, P < 0.01). CONCLUSION: Children
with CF have similar WBBMC relative to lean mass as controls. Cortical bone area
and bone strength were less in CF group compared to controls, with greater
differences in older children.
PMID- 26551629
TI - Eccrine poroma: correlation of reflectance confocal microscopy and histopathology
of horizontal sections.
PMID- 26551630
TI - Small Molecule Targeting of a MicroRNA Associated with Hepatocellular Carcinoma.
AB - Development of precision therapeutics is of immense interest, particularly as
applied to the treatment of cancer. By analyzing the preferred cellular RNA
targets of small molecules, we discovered that 5"-azido neomycin B binds the
Drosha processing site in the microRNA (miR)-525 precursor. MiR-525 confers
invasive properties to hepatocellular carcinoma (HCC) cells. Although HCC is one
of the most common cancers, treatment options are limited, making the disease
often fatal. Herein, we find that addition of 5"-azido neomycin B and its FDA
approved precursor, neomycin B, to an HCC cell line selectively inhibits
production of the mature miRNA, boosts a downstream protein, and inhibits
invasion. Interestingly, neomycin B is a second-line agent for hepatic
encephalopathy (HE) and bacterial infections due to cirrhosis. Our results
provocatively suggest that neomycin B, or second-generation derivatives, may be
dual functioning molecules to treat both HE and HCC. Collectively, these studies
show that rational design approaches can be tailored to disease-associated RNAs
to afford potential lead therapeutics.
PMID- 26551631
TI - Two Active Site Divalent Ions in the Crystal Structure of the Hammerhead Ribozyme
Bound to a Transition State Analogue.
AB - The crystal structure of the hammerhead ribozyme bound to the pentavalent
transition state analogue vanadate reveals significant rearrangements relative to
the previously determined structures. The active site contracts, bringing G10.1
closer to the cleavage site and repositioning a divalent metal ion such that it
could, ultimately, interact directly with the scissile phosphate. This ion could
also position a water molecule to serve as a general acid in the cleavage
reaction. A second divalent ion is observed coordinated to O6 of G12. This metal
ion is well-placed to help tune the pKA of G12. On the basis of this crystal
structure as well as a wealth of biochemical studies, we propose a mechanism in
which G12 serves as the general base and a magnesium-bound water serves as a
general acid.
PMID- 26551632
TI - The Role of Government in Health Care Reform in the United States and England.
PMID- 26551633
TI - Haploidentical Hematopoietic Stem Cell Transplantation: A Global Overview
Comparing Asia, the European Union, and the United States.
AB - One of the major projects of the Worldwide Network for Blood and Marrow
Transplantation (WBMT) is to promote hematopoietic stem cell transplantation
(HSCT) in emerging countries in the world. For these countries, HLA
haploidentical HSCT (haplo-HSCT) from family members is an attractive approach
because of its cost effectiveness. To learn the current status, including recent
trends, of haplo-HSCT, the WBMT invited speakers from major transplant centers in
3 regions (Asia, Europe, and North America) to present at its annual WBMT Joint
Session. This article represents the direct reports from these 3 speakers in
addition to introductions by 2 WBMT speakers who address data from the Global
Transplant Activity survey. It must be emphasized, however, that certain
promising results of haplo-HSCT presented in this article were obtained at well
experienced institutes.
PMID- 26551634
TI - Bone Marrow Harvesting of Allogeneic Donors in an Outpatient Setting: A Single
Center Experience.
AB - The aim of this retrospective study was to assess the safety and efficacy of bone
marrow (BM) harvesting of allogeneic donors in an outpatient setting. Data of 226
related and unrelated donors who underwent BM harvest under general anesthesia at
our institution from 2002 to 2014 were analyzed. Sixteen patients were a priori
planned for admission for social reasons and 210 patients underwent BM harvesting
with the intention to perform this procedure on an outpatient basis. To identify
factors that predispose for hospital admission, we retrospectively analyzed donor
characteristics and collection parameters. Outpatient treatment was performed in
178 of 210 donors (85%), whereas 32 donors (15%) required admission for clinical
reasons (mainly clinically relevant anemia and circulatory problems). These
individuals were not significantly different in sex distribution, age, donor's
body weight, and the proportion of related donors from those who were not
admitted. However, we found a significantly higher collection volume per kilogram
donor's body weight in inpatients compared with volume for outpatients (16 versus
13 mL/kg body weight, P < .001). Severe adverse events or deaths occurred neither
in the inpatient nor in the outpatient setting. Our study demonstrated that BM
harvest in an outpatient setting is safe and feasible for the majority of
allogeneic donors. A high volume of BM represented a major risk factor for
inpatient admission.
PMID- 26551635
TI - Myeloablative, but not Reduced-Intensity, Conditioning Overcomes the Negative
Effect of Flow-Cytometric Evidence of Leukemia in Acute Myeloid Leukemia.
AB - Stringent complete remission (CR) in acute myeloid leukemia (AML) requires the
absence of both morphologic and flow cytometric evidence of disease. We have
previously shown that persistent AML detected by flow cytometry (FC+) before
reduced-intensity conditioning (RIC) allogeneic hematopoietic cell
transplantation (alloHCT) was associated with significantly increased relapse,
shorter disease-free survival (DFS), and poorer overall survival (OS),
independent of morphologic blast count. We evaluated the effect of FC status on
outcomes of alloHCT for AML after either myeloablative conditioning (MAC) or RIC
regimens in 203 patients (MAC, n = 80, and RIC, n = 123) with no morphologic
evidence of persistent AML pretransplant on marrow biopsy. The allografts
included 130 umbilical cord blood (UCB) and 73 sibling donors. We performed
central review of pretransplant standard sensitivity FC to identify detectable
FC+. Twenty-five patients were FC+, including 15 (18.7%) receiving MAC and 10
(8.1%) RIC alloHCT. Among RIC patients FC+ was associated with significantly
inferior relapse, DFS, and OS (multiple regression HR, 3.8; 95% CI, 1.7 to 8.7; P
< .01 for relapse; HR, 2.9; 95% CI, 1.4 to 5.9; P < .01 for DFS; and HR, 3.4; 95%
CI, 1.7 to 7; P < .01 for OS). In contrast, FC+ status was not associated with
relapse or decreased OS after MAC. These data suggest that MAC, but not RIC,
overcomes the negative effect of pretransplant FC+ after sibling or UCB alloHCT.
Therefore, a deeper pretransplant leukemia-free state is preferred for those
treated with RIC.
PMID- 26551636
TI - Combination Therapy for Graft-versus-Host Disease Prophylaxis with Etanercept and
Extracorporeal Photopheresis: Results of a Phase II Clinical Trial.
AB - Reduced-intensity conditioning (RIC) regimens minimize early toxicity after
allogeneic hematopoietic cell transplantation (HCT) by placing greater reliance
on establishing a graft-versus-leukemia effect (GVL). Because graft-versus-host
disease (GVHD) and GVL are tightly linked, inhibition of T cell populations that
cause GVHD may lead to an unintended increased risk of relapse in the RIC
setting. Although not completely understood, etanercept and extracorporeal
photopheresis (ECP) are thought to ameliorate GVHD without direct T cell
inhibition. We hypothesized that adding these 2 agents to a standard GVHD
prophylaxis regimen of tacrolimus and mycophenolate mofetil (MMF) would improve
survival by reducing GVHD-related mortality without increasing relapse rates.
Therefore, we conducted a prospective phase II clinical trial that incorporated
tacrolimus, MMF, etanercept, and ECP as GVHD prophylaxis in 48 patients
undergoing RIC unrelated donor transplantation. The preferred RIC was fludarabine
160 mg/m(2) + busulfan 6.4 mg/kg to 12.8 mg/kg +/- total body irradiation 200
cGy. Etanercept .4 mg/kg (maximum dose, 25 mg) was given subcutaneously twice
weekly for 8 weeks after HCT and ECP was given for 12 treatments, starting weekly
on day 28 weekly and tapering off by day 180. The median age of the study
patients was 60 (range, 18 to 71) years. Donors were 7/8 (n = 14, 29%) or 8/8 (n
= 34, 71%) HLA matched. All patients engrafted neutrophils at a median of 12
days. The cumulative incidence of grades II to IV acute GVHD at day 100 was 46%,
but it was typically sensitive to initial steroid treatment (84% day 56 complete
response/partial response rate). Overall survival at 1 year in this older,
frequently mismatched unrelated donor setting was excellent (73%) because of low
rates of nonrelapse mortality (21%) and relapse (19%). However, this strategy was
not effective at preventing a high incidence of chronic GVHD and late deaths led
to a drop in 2-year survival, declining to 56%, reflecting a high incidence of
chronic GVHD.
PMID- 26551637
TI - Allogeneic Stem Cell Transplantation Improves Survival in Patients with Acute
Myeloid Leukemia Characterized by a High Allelic Ratio of Mutant FLT3-ITD.
AB - Allogeneic hematopoietic cell transplantation (alloHCT) as a postremission
therapy in patients with FLT3-ITD-positive intermediate-risk acute myeloid
leukemia (AML) remains controversial. FLT3-ITD mutations are heterogeneous with
respect to allelic ratio, location, and length of the insertion, with a high
mutant-to-wild-type ratio consistently associated with inferior prognosis. We
retrospectively analyzed the role of alloHCT in first remission in relationship
to the allelic ratio and presence or absence of nucleophosmin 1 mutations (NPM1)
in the Study Alliance Leukemia AML2003 trial. FLT3-ITD mutations were detected in
209 patients and concomitant NPM1 mutations in 148 patients. Applying a
predefined cutoff ratio of .8, AML was grouped into high- and low-ratio FLT3-ITD
AML (HR(FLT3-ITD) and LR(FLT3-ITD)). Sixty-one patients (29%) were transplanted
in first remission. Overall survival (OS) (HR, .3; 95% CI, .16 to .7; P = .004)
and event-free survival (EFS) (HR, .4; 95% CI, .16 to .9; P = .02) were
significantly increased in patients with HR(FLT3-ITD) AML who received alloHCT as
consolidation treatment compared with patients who received consolidation
chemotherapy. Patients with LR(FLT3-ITD) AML and wild-type NPM1 who received
alloHCT in first remission had increased OS (HR, .3; 95% CI, .1 to .8; P = .02)
and EFS (HR, .2; 95% CI, .1 to .8; P = .02), whereas alloHCT in first remission
did not have a significant impact on OS and EFS in patients with LR(FLT3-ITD) AML
and concomitant NPM1 mutation. In conclusion, our results provide additional
evidence that alloHCT in first remission improves EFS and OS in patients with
HR(FLT3-ITD) AML and in patients with LR(FLT3-ITD) AML and wild-type NPM1.
PMID- 26551638
TI - Clinical Findings and Provision of Care in Haemodialysis Patients with Chronic
Itch: New Results from the German Epidemiological Haemodialysis Itch Study.
AB - The German Epidemiological Haemodialysis Itch Study (GEHIS) has shown that more
than one-third of haemodialysis (HD) patients have chronic itch (CI). As part of
GEHIS, 216 patients with current CI were offered a dermatological examination, of
whom 177 were investigated. According to the clinical classification of the
International Forum for the Study of Itch (IFSI), 43.5% (n = 77) of the patients
examined had CI with no skin lesions (IFSI II), 37.9% (n = 67) had secondary
scratch lesions (IFSI III), and 18.6% (n = 33) primarily had diseased skin (IFSI
I). Severity of CI and itch-related quality of life (ItchyQoL) showed a
significant association only with IFSI III. Of the patients in this study, 89.8%
(n = 159) had xerosis cutis. Only 40.4% (n = 80) had ever sought medical help for
CI, 46.4% (n = 32) of whom were in the category IFSI III. Only 32.4% (n = 77) had
ever received any treatment for CI and [AQ1] these patients had significantly
more severe CI. The current analyses demonstrate that CI is a frequently
disregarded symptom in HD patients.
PMID- 26551639
TI - Microvascular Disease After Renal Transplantation.
AB - BACKGROUND/AIMS: Individuals who reach end-stage kidney disease (CKD5) have a
high risk of vascular events that persists even after renal transplantation. This
study compared the prevalence and severity of microvascular disease in transplant
recipients and patients with CKD5. METHODS: Individuals with a renal transplant
or CKD5 were recruited consecutively from renal clinics, and underwent bilateral
retinal photography (Canon CR5-45, Canon). Their retinal images were deidentified
and reviewed for hypertensive/microvascular signs by an ophthalmologist and a
trained grader (Wong and Mitchell classification), and for vessel caliber at a
grading centre using a computer-assisted method and Knudtson's modification of
the Parr-Hubbard formula. RESULTS: Ninety-two transplant recipients (median
duration 6.4 years, range 0.8 to 28.8) and 70 subjects with CKD5 were studied.
Transplant recipients were younger (p<0.001), with a higher eGFR (p< 0.001), but
were just as likely to have a moderate-severe hypertensive/microvascular
retinopathy (46/92, 50%) as subjects with CKD5 (38/70, 54%; OR 0.84, CI 0.45 to
1.57, p=0.64), and had similar mean arteriole and venular calibres (135.1 +/- 7.5
um and 137.9 +/- 14.9 um, p=0.12; and 199.1 +/- 17.8 um and 202.4 +/- 27.8 um,
p=0.36, respectively). Arteriole and venular caliber were not different in nine
patients examined before and after transplantation (p=0.62 and p=0.11,
respectively). CONCLUSIONS: Hypertensive/microvascular disease occurred just as
often and was generally as severe in transplant recipients and subjects with
CKD5. Microvascular disease potentially contributes to increased cardiac events
post-transplantation.
PMID- 26551640
TI - Informal carers: if we don't know, how can we help?
PMID- 26551641
TI - Informal carers and wound management: an integrative literature review.
AB - OBJECTIVE: The consequences of informal care giving have been well documented in
recent decades, and in many fields of illness and chronic disease, the role of
informal carers has been recognised and investigated. Informal caregivers in the
field of wound management and prevention have been largely unnoticed, despite the
chronic nature of many wounds, the enduring nature of treatments and the impact
on the physical and social environment; factors likely to have a significant
impact on family and friends. The aim of this study was to consider what
published evidence is available regarding the experience and role of informal
caregivers in wound management or prevention. METHOD: An integrated literature
review was completed in October 2014 searching ESBCOhost database, Wound
Management Association websites, and reviewing reference lists of accessed
papers. RESULTS: A number of challenges were noted in accessing information about
informal carers in relation to wound management and prevention. Most of these
arose from the scarcity of studies for which informal carers was the primary
focus. The available evidence suggests that informal carers have a role in wound
management and prevention and that their involvement is likely to represent a
noteworthy economic contribution to the wound management health-care team. Wound
management was also determined to yield physical and psychological impacts for
the carer. There was limited evidence of structured information, support or
training for informal carers, which was flagged by carers as an area of need.
CONCLUSION: General conclusions about the burdensome experience and the valuable
role of carers were the main interpretations possible from the evidence. More
research which purposively and comprehensively examines the experience and role
of informal caregivers is required. This knowledge would provide a foundation
upon which interventions and support for informal carers and patients can be
generated, which could further serve to enhance wound healing and the prevention
of skin damage.
PMID- 26551642
TI - Slough and biofilm: removal of barriers to wound healing by desloughing.
AB - The presence of non-viable tissue in a chronic wound presents a barrier against
effective wound healing, hence removal facilitates healing and reduces areas
where microorganisms can attach and form biofilms, effectively reducing the risk
of infection. Wound debridement is a necessary process in those wounds that have
evidence of cellular debris and non-viable tissue. As slough is a form of non
viable tissue we hypothesise that it will support the attachment and development
of biofilms. Biofilms are entities that have serious implications in raising the
risk of infection and delaying wound healing. In those wounds that contain only
slough, high-risk debridement methods are not considered necessary for its
removal. The use of mechanical techniques for removing the slough is regarded as
posing a much lower risk to the patient and the wound bed. The process of
removing slough from a wound is referred to as 'desloughing'. We propose that
mechanical desloughing is a low-risk method of debridement to aid the specific
removal of slough. Slough in a wound is a recurrent issue for a large majority of
patients. Consequently, desloughing should not be deemed a one-off process but an
on-going procedure referred to as 'maintenance desloughing'. Maintenance
desloughing will help to achieve and maintain a healthy wound bed and aid the
removal of wound biofilms, facilitating wound healing.
PMID- 26551643
TI - Investigating the pressure-reducing effect of wound dressings.
AB - OBJECTIVE: Currently, polyurethane foam dressings are commercially available from
many manufacturers. However, the pressure-reducing effect is expected to differ
by the formulation and combination of the main and secondary ingredients and by
manufacturing method. In this study, we investigated the effects of pressure
reduction using dressing materials with various structural characteristics,
including polyurethane foam dressings based on the engineering point of view,
focusing on the dry state. METHOD: Pressure was measured in a model that
simulated compression on the sacral region in a decubitus position. Pressure was
measured for different dressings: ten products, consisting of five types of
material (polyurethane foam, hydropolymeric, Hydrofiber, hydrocolloid, and low
adherent absorbent). RESULTS: All dressings used in this study showed
significantly reduced pressure. ALLEVYN Non-Adhesive had the lowest pressure at
35.833 +/- 1.155 mmHg, and DuoDERM Extra Thin CGF had the highest pressure at
66.867 +/- 1.060 mmHg. The pressure of the control was 74.667 +/- 1.405 mmHg. The
other dressings were: ALLEVYN Adhesive: 44.233 +/- 0.777 mmHg; ALLEVYN Gentle
Border: 46.967 +/- 1.537mmHg; Mepilex Border: 53.867 +/- 0.231 mmHg; Biatain
Silicone: 56.000 +/- 0.520 mmHg; TIELLE: 57.267 +/- 3.403 mmHg;Versiva XC: 65.900
+/- 0.800 mmHg; DuoDERM CGF: 57.267 +/- 1.007 mmHg; and Melolin: 53.433 +/- 1.973
mmHg. CONCLUSION: The pressure-reducing effect of dressing differs not only by
material type but also by product. That is, the pressure-reducing effect can
differ even if the dressings are of the same material type, such as polyurethane
foam. Our study investigated only the effect of materials and structural
characteristics on the cushion of dressings in the dry state. Therefore, further
investigation is needed to confirm the effect of pressure reduction by dressing
to meet the conditions in the clinic.
PMID- 26551644
TI - Measurement of diabetic wounds with optical coherence tomography-based air-jet
indentation system and a material testing system.
AB - OBJECTIVE: Material testing system is a conventional but destructive method for
measuring the biomechanical properties of wound tissues in basic research. The
recently developed optical coherence tomography-based air-jet indentation system
is a non-destructive method for measuring these properties of soft tissues in a
non-contact manner. The aim of the study was to examine the correlation between
the biomechanical properties of wound tissues measured by the two systems.
METHOD: Young male Sprague-Dawley rats with streptozotocin-induced diabetic were
wounded by a 6 mm biopsy punch on their hind limbs. The biomechanical properties
of wound tissues were assessed with the two systems on post-wounding days 3, 7,
10, 14, and 21. Wound sections were stained with picro-sirius red for analysis on
the collagen fibres. Data obtained on the different days were charted to obtain
the change in biomechanical properties across the time points, and then pooled to
examine the correlation between measurements made by the two devices. Qualitative
analysis to determine any correlation between indentation stiffness measured by
the air-jet indentation system and the orientation of collagen fibres. RESULTS:
The indentation stiffness is significantly negatively correlated to the maximum
load, maximum tensile stress, and Young's modulus by the material testing system
(all p<0.05). The orientation of collagen changes with the indentation stiffness
over time. CONCLUSION: Our findings support the use of optical coherence
tomography-based air-jet indentation system to evaluate the biomechanical
properties of wounds in a non-contact manner. It is a potential clinical device
to examine the biomechanical properties of chronic wounds in vivo in a repeatable
manner.
PMID- 26551645
TI - Dehydrated human amnion/chorion membrane treatment of venous leg ulcers:
correlation between 4-week and 24-week outcomes.
AB - OBJECTIVE: To evaluate correct correlation between an intermediate rate of wound
reduction (40% wound area reduction after 4-weeks treatment) and complete healing
at 24 weeks in patients with a venous leg ulcer (VLU). METHOD: A retrospective
follow-up study of patients completing a multicenter randomised controlled trial
(RCT) comparing the number of patients achieving at least 40% healing of their
VLU within 4-weeks of treatment with either dehydrated human amnion/chorion
membrane (dHACM) allograft or multilayer compression only was conducted. Outcomes
assessed were rates of complete healing within 24 weeks of enrolment and days to
healing. Data were divided into two groups based on status at RCT completion
(healed at least 40% yes or no). Correct correlation with status at 4 weeks and
complete healing within 24 weeks was determined. Clinical characteristics were
also compared for patients with and without correct correlation between 4-week
and 24-week status. RESULTS: We identified 55 patients at 5 study sites. Some 47
without complete healing during the initial study were eligible. As three
patients were lost to follow-up we evaluated 44 records. Of these, 20 (45.4%) had
reduced wound size of >=40% and 24 (55%) had <40% reduction during the initial
study. Complete healing occurred in 16/20 (80%) of the >=40% group at a mean of
46 days, p=0.0027 and 8/24 (33.3%) of the <40% group at a mean of 103.6 days,
p=0.0023. Overall, correct correlation of status at 4 weeks and ultimate healing
status of VLU occurred in 32/44 patients (73%). CONCLUSION: These results confirm
that the intermediate outcome used in our initial study is a viable predictor of
ultimate VLU healing.
PMID- 26551646
TI - What is the ideal interval between dressing changes during negative pressure
wound therapy for open traumatic fractures?
AB - OBJECTIVE: Negative pressure wound therapy (NPWT) is effective in infection
control during treatment of severe open fractures. However frequent dressing
changes during NPWT are costly and cause patient discomfort. If the interval
between dressing changes could be extended, these problems would be reduced. In
this article we compare the outcomes of open IIIB fractures with 3-day versus 7
day intervals between dressing changes. METHOD: Patients who sustained Gustilo
IIIB open fractures were included. All underwent conventional orthopaedic
fixation with delayed latissimus dorsi flap coverage. Group 1 had 3-day intervals
between dressing changes and group 2 had 7-day intervals. The final outcomes in
the two groups were analysed. RESULTS: There were 38 patients in group 1 and 34
patients in group 2. Although the period between admission and final operation
was similar in the two groups, the mean number of NPWT changes was 4.54 in 3 day
in group 1 and 1.95 in group 2 (p<0.001). This led to a difference in NPWT
related costs; $341.26 in group 1 and $237.49 in group 2 (p<0.001). There was no
difference in the frequency of complications such as infection or non-union of
fractures. CONCLUSION: NPWT is useful treatment option for open fractures, to
bridge between initial debridement and final microsurgical tissue transfer.
Considering patient comfort, the costs related to the NPWT, and the final flap
results, a 7-day interval between changes of the NPWT is acceptable.
PMID- 26551647
TI - The use of a collagen matrix in hard-to-heal venous leg ulcers.
AB - OBJECTIVE: The effects of a collagen dressing on hard-to-heal venous leg ulcers
(vlUs) were evaluated in this prospective, randomised, controlled study. METHOD:
Patients with hard-to-heal vlU were included and divided into two groups using
the block randomisation method. The first group was treated with a collagen and
an alginate dressing (group A), and the second group with an alginate dressing
alone (group b). both groups also had a short-stretch compression system applied
at every dressing change. The dressings were changed twice a week for 12 weeks or
until the ulcer was healed. Granulation tissue improvement, wound size, overall
dressing performance and dressing comfort were evaluated and recorded. RESULTS: A
total of 40 patients completed the study evaluation period. Group A had a 65%
increase in granulation tissue compared to 38% in group b. The mean ulcer area
was reduced to 45% in group A compared to 20% in group b at 12 weeks. no
significant side effects were detected in either group. Patients of both groups
were satisfied with their treatment and healing progress. CONCLUSION: The results
of this study showed the effectiveness and safety of a collagen dressing in hard
to-heal vlUs as an adjunctive therapy with compression bandaging. These
encouraging results may positively affect the quality of life of patients with
chronic wounds.
PMID- 26551648
TI - Children with Autism Understand Indirect Speech Acts: Evidence from a Semi
Structured Act-Out Task.
AB - Children with Autism Spectrum Disorder are often said to present a global
pragmatic impairment. However, there is some observational evidence that context
based comprehension of indirect requests may be preserved in autism. In order to
provide experimental confirmation to this hypothesis, indirect speech act
comprehension was tested in a group of 15 children with autism between 7 and 12
years and a group of 20 typically developing children between 2:7 and 3:6 years.
The aim of the study was to determine whether children with autism can display
genuinely contextual understanding of indirect requests. The experiment consisted
of a three-pronged semi-structured task involving Mr Potato Head. In the first
phase a declarative sentence was uttered by one adult as an instruction to put a
garment on a Mr Potato Head toy; in the second the same sentence was uttered as a
comment on a picture by another speaker; in the third phase the same sentence was
uttered as a comment on a picture by the first speaker. Children with autism
complied with the indirect request in the first phase and demonstrated the
capacity to inhibit the directive interpretation in phases 2 and 3. TD children
had some difficulty in understanding the indirect instruction in phase 1. These
results call for a more nuanced view of pragmatic dysfunction in autism.
PMID- 26551649
TI - Game Theoretical Analysis on Cooperation Stability and Incentive Effectiveness in
Community Networks.
AB - Community networks, the distinguishing feature of which is membership admittance,
appear on P2P networks, social networks, and conventional Web networks. Joining
the network costs money, time or network bandwidth, but the individuals get
access to special resources owned by the community in return. The prosperity and
stability of the community are determined by both the policy of admittance and
the attraction of the privileges gained by joining. However, some misbehaving
users can get the dedicated resources with some illicit and low-cost approaches,
which introduce instability into the community, a phenomenon that will destroy
the membership policy. In this paper, we analyze on the stability using game
theory on such a phenomenon. We propose a game-theoretical model of stability
analysis in community networks and provide conditions for a stable community. We
then extend the model to analyze the effectiveness of different incentive
policies, which could be used when the community cannot maintain its members in
certain situations. Then we verify those models through a simulation. Finally, we
discuss several ways to promote community network's stability by adjusting the
network's properties and give some proposal on the designs of these types of
networks from the points of game theory and stability.
PMID- 26551650
TI - Acidogenic fermentation of Scenedesmus sp.-AMDD: Comparison of volatile fatty
acids yields between mesophilic and thermophilic conditions.
AB - This study compared the acidogenic fermentation of Scenedesmus sp.-AMDD at
laboratory-scale, under mesophilic (35 degrees C) and thermophilic conditions (55
degrees C). Preliminary batch tests were performed to evaluate best conditions
for volatile fatty acid (VFA) production from microalgal biomass, with respect to
the inoculum, pH and nutrients. The use of bovine manure as inoculum, the
operating pH of 4.5 and the addition of a nutrient mix, resulted in a high VFA
production of up to 222mgg(-1) total volatile solid (TVS), with a butyrate share
of 27%. Both digesters displayed similar hydrolytic activity with 0.38+/-0.02 and
0.42+/-0.03 g soluble chemical oxygen demand (COD)g(-1) TVS for the digesters
operated at 35 and 55 degrees C, respectively. Mesophilic conditions were more
favorable for VFA production, which reached 171+/-5, compared to 88+/-12 mg
soluble CODg(-1) TVS added under thermophilic conditions (94% more). It was shown
that in both digesters, butyrate was the predominant VFA.
PMID- 26551651
TI - Semi-aerobic fermentation as a novel pre-treatment to obtain VFA and increase
methane yield from primary sludge.
AB - There is a growing trend to consider organic wastes as potential sources of
renewable energy and value-add products. Fermentation products have emerged as
attractive value-add option due to relative easy production and broad application
range. However, pre-fermentation and extraction of soluble products may impact
down-stream treatment processes, particularly energy recovery by anaerobic
digestion. This paper investigates primary sludge pre-fermentation at different
temperatures (20, 37, 55, and 70 degrees C), treatment times (12, 24, 48, and
72h), and oxygen availability (semi-aerobic, anaerobic); and its impact on
anaerobic digestion. Pre-fermentation at 20 and 37 degrees C succeeded for VFA
production with acetate and propionate being major products. Pre-fermentation at
37, 55, and 70 degrees C resulted in higher solubilisation yield but it reduced
sludge methane potential by 20%. Under semi-aerobic conditions, pre-fermentation
allowed both VFA recovery (43gCODVFAkg(-1)VS) and improved methane potential. The
latter phenomenon was linked to fungi that colonised the sludge top layer during
pre-fermentation.
PMID- 26551652
TI - Integrated production of cellulosic bioethanol and succinic acid from industrial
hemp in a biorefinery concept.
AB - The aim of this study was to develop integrated biofuel (cellulosic bioethanol)
and biochemical (succinic acid) production from industrial hemp (Cannabis sativa
L.) in a biorefinery concept. Two types of pretreatments were studied (dilute
acid and alkaline oxidative method). High cellulose recovery (>95%) as well as
significant hemicelluloses solubilization (49-59%) after acid-based method and
lignin solubilization (35-41%) after alkaline H2O2 method were registered.
Alkaline pretreatment showed to be superior over the acid-based method with
respect to the rate of enzymatic hydrolysis and ethanol productivity. With
respect to succinic acid production, the highest productivity was obtained after
liquid fraction fermentation originated from steam treatment with 1.5% of acid.
The mass balance calculations clearly showed that 149kg of EtOH and 115kg of
succinic acid can be obtained per 1ton of dry hemp. Results obtained in this
study clearly document the potential of industrial hemp for a biorefinery.
PMID- 26551653
TI - Ethanol fermentation integrated with PDMS composite membrane: An effective
process.
AB - The polydimethylsiloxane (PDMS) membrane, prepared in water phase, was
investigated in separation ethanol from model ethanol/water mixture and
fermentation-pervaporation integrated process. Results showed that the PDMS
membrane could effectively separate ethanol from model solution. When integrated
with batch ethanol fermentation, the ethanol productivity was enhanced compared
with conventional process. Fed-batch and continuous ethanol fermentation with
pervaporation were also performed and studied. 396.2-663.7g/m(2)h and 332.4
548.1g/m(2)h of total flux with separation factor of 8.6-11.7 and 8-11.6, were
generated in the fed-batch and continuous fermentation with pervaporation
scenario, respectively. At the same time, high titre ethanol production of
~417.2g/L and ~446.3g/L were also achieved on the permeate side of membrane in
the two scenarios, respectively. The integrated process was environmental
friendly and energy saving, and has a promising perspective in long-terms
operation.
PMID- 26551654
TI - Estimation of beech pyrolysis kinetic parameters by Shuffled Complex Evolution.
AB - The pyrolysis kinetics of a typical biomass energy feedstock, beech, was
investigated based on thermogravimetric analysis over a wide heating rate range
from 5K/min to 80K/min. A three-component (corresponding to hemicellulose,
cellulose and lignin) parallel decomposition reaction scheme was applied to
describe the experimental data. The resulting kinetic reaction model was coupled
to an evolutionary optimization algorithm (Shuffled Complex Evolution, SCE) to
obtain model parameters. To the authors' knowledge, this is the first study in
which SCE has been used in the context of thermogravimetry. The kinetic
parameters were simultaneously optimized against data for 10, 20 and 60K/min
heating rates, providing excellent fits to experimental data. Furthermore, it was
shown that the optimized parameters were applicable to heating rates (5 and
80K/min) beyond those used to generate them. Finally, the predicted results based
on optimized parameters were contrasted with those based on the literature.
PMID- 26551655
TI - Assessing the Patient Care Implications of "Concierge" and Other Direct Patient
Contracting Practices: A Policy Position Paper From the American College of
Physicians.
AB - As physicians seek innovative practice models, one that is gaining ground is for
practices to contract with patients to pay directly for some or all services
often called cash-only, retainer, boutique, concierge, or direct primary care or
specialty care practices. Such descriptions do not reflect the variability found
in practices. For the purposes of this paper, the American College of Physicians
(ACP) defines a direct patient contracting practice (DPCP) as any practice that
directly contracts with patients to pay out-of-pocket for some or all of the
services provided by the practice, in lieu of or in addition to traditional
insurance arrangements, and/or charges an administrative fee to patients,
sometimes called a retainer or concierge fee, often in return for a promise of
more personalized and accessible care. This definition encompasses the practice
types previously described. The move to DPCPs is based on the premise that access
and quality of care will be improved without third-party payers imposing
themselves between the patient and the physician. Yet concerns have been raised
that DPCPs may cause access issues for patients who cannot afford to pay directly
for care. This ACP position paper, initiated and written by its Medical Practice
and Quality Committee and approved by the Board of Regents on 25 July 2015,
assesses the impact of DPCPs on access, cost, and quality; discusses principles
from the ACP Ethics Manual, Sixth Edition, that should apply to all practice
types; and makes recommendations to mitigate any adverse effect on underserved
patients.
PMID- 26551656
TI - Democratic parenting beliefs and observed parental sensitivity: Reciprocal
influences between coparents.
AB - Three hundred sixty-five 2-parent families from the National Institute of Child
Health and Human Development (NICHD) Study of Early Child Care and Youth
Development were rated by trained observers on their parenting behavior at 6
assessments ranging from 6 months after the child's birth to when the child was
in fifth grade (M = 10.4 years old at fifth grade). Across assessments, parents
reported on their parenting beliefs and mothers reported on the child's
externalizing behavior problems. Parenting beliefs predicted change in parenting
behavior, and to a lesser degree parenting behavior predicted change in parenting
beliefs. Parenting behavior and parenting beliefs both showed reciprocal effects
between coparents, after controlling for child externalizing behavior and parent
education. (PsycINFO Database Record
PMID- 26551657
TI - The influence of family unions and parenthood transitions on self-development.
AB - The present study discusses the impact of union and parenthood transitions on
individuals' self-esteem and sense of mastery development from midadolescence to
young adulthood by using multilevel analyses with longitudinal data of 7,339
individuals between ages 15 and 38. The results show that, first, individuals in
marital unions show significantly greater rates of growth in self-esteem and
sense of mastery, compared with singles. Therefore, entering into marriage
provides greater protections to individuals' self-esteem and sense of mastery.
Second, the transition to parenthood brings significant decreases in levels and
increases in rates of change in self-esteem and sense of mastery among new
parents. The degree of the aforementioned changes differs by new parents' gender,
union status, and living arrangement with the child at childbirth. Women are
influenced more negatively than men. Living with the child at childbirth reduces
the degree of decreases in self-esteem and sense of mastery, especially for
mothers. In sum, from midadolescence to young adulthood, the development of
individuals' self-esteem and sense of mastery is shaped by their union and
parenthood transitions. Moreover, the context where these transitions take place,
including the type of union and the living arrangement, moderates the degree of
the impact. Our findings have important implications for research and practice in
promoting the family transition experience.
PMID- 26551658
TI - Effects of economic hardship: Testing the family stress model over time.
AB - The current study evaluated connections between marital distress, harsh
parenting, and child externalizing behaviors in line with predictions from the
Family Stress Model (FSM). Prospective, longitudinal data came from 273 mothers,
fathers, and children participating when the child was 2, between 3 and 5, and
between 6 and 10 years old. Assessments included observational and self-report
measures. Information regarding economic hardship and economic pressure were
assessed during toddlerhood, and parental emotional distress, couple conflict,
and harsh parenting were collected during early childhood. Child externalizing
behavior was assessed during both toddlerhood and middle childhood. Results were
consistent with predictions from the FSM in that economic hardship led to
economic pressure, which was associated with parental emotional distress and
couple conflict. This conflict was associated with harsh parenting and child
problem behavior. This pathway remained statistically significant controlling for
externalizing behavior in toddlerhood.
PMID- 26551659
TI - A longitudinal examination of positive parenting following an acceptance-based
couple intervention.
AB - Positive parenting practices have been shown to be essential for healthy child
development, and yet have also been found to be particularly challenging for
parents to enact and maintain. This article explores an innovative approach for
increasing positive parenting by targeting specific positive emotional processes
within marital relationships. Couple emotional acceptance is a powerful mechanism
that has repeatedly been found to improve romantic relationships, but whether
these effects extend to the larger family environment is less well understood.
The current longitudinal study examined the impact of improved relational
acceptance after a couple intervention on mother's and father's positive
parenting. Participants included 244 parents (122 couples) in the Marriage
Checkup (MC) study, a randomized, controlled, acceptance-based, intervention
study. Data indicated that both women and men experienced significantly greater
felt acceptance 2 weeks after the MC intervention, treatment women demonstrated
greater positive parenting 2 weeks after the intervention, and all treatment
participants' positive parenting was better maintained than control couple's 6
months later. Importantly, although mothers' positive parenting was not
influenced by different levels of felt acceptance, changes in father's positive
parenting were positively associated with changes in felt acceptance. As men felt
more accepted by their wives, their levels of positive parenting changed in kind,
and this effect on positive parenting was found to be mediated by felt acceptance
2 weeks after the MC. Overall, findings supported the potential benefits of
targeting couple acceptance to generate positive cascades throughout the larger
family system.
PMID- 26551660
TI - Emergence of novel nephropathogenic infectious bronchitis viruses currently
circulating in Chinese chicken flocks.
AB - The emergence of novel infectious bronchitis viruses (IBVs) has been reported
worldwide. Between 2011 and 2014, eight IBV isolates were identified from disease
outbreaks in northeast China. In the current study we analysed the S1 gene of
these eight IBV isolates in addition to the complete genome of five of them. We
confirmed that these isolates emerged through the recombination of LX4 and Taiwan
group 1 (TW1) viruses at two switch sites, one was in the Nsp 16 region and the
other in the spike protein gene. The S1 gene in these viruses exhibited high
nucleotide similarity with TW1-like viruses; the TW1 genotype was found to be
present in southern China from 2009. Pathogenicity experiments in chickens using
three of the eight virus isolates revealed that they were nephropathogenic and
had similar pathogenicity to the parental viruses. The results of our study
demonstrate that recombination, coupled with mutations, is responsible for the
emergence of novel IBVs.
PMID- 26551661
TI - Emotional face processing in post-traumatic stress disorder after reconsolidation
impairment using propranolol: A pilot fMRI study.
AB - Individuals with post-traumatic stress disorder (PTSD) exhibit exaggerated
emotional reactions to threatening stimuli, which may represent deregulated fear
conditioning, associated with long-term adaptations in the sympathetic nervous
system. Within a repeated measures design, functional magnetic resonance imaging
(fMRI) was employed to investigate neural responses to threat in PTSD
participants (N=7), during the presentation of emotional facial expressions.
Scans were separated by 6 weekly reconsolidation impairment treatment sessions,
consisting of traumatic memory reactivation under the influence of propranolol.
Greater activation before versus after treatment emerged in the thalamus and
amygdala during fearful versus neutral face processing. Furthermore, participants
showed greater activation after versus before treatment in the right anterior
cingulate, during fearful relative to happy face processing. PTSD symptoms
significantly improved (d=1.75), post-treatment. These preliminary results
suggest that aberrant emotional responding is modulated by noradrenergic
plasticity within the amygdala-prefrontal cortex circuit, a neural substrate for
the pharmacological treatment of PTSD.
PMID- 26551662
TI - Treatment of sulfonylurea and insulin overdose.
AB - The most common toxicity associated with sulfonylureas and insulin is
hypoglycaemia. The article reviews existing evidence to better guide
hypoglycaemia management. Sulfonylureas and insulin have narrow therapeutic
indices. Small doses can cause hypoglycaemia, which may be delayed and
persistent. All children and adults with intentional overdoses need to be
referred for medical assessment and treatment. Unintentional supratherapeutic
ingestions can be initially managed at home but if symptomatic or if there is
persistent hypoglycaemia require medical referral. Patients often require
intensive care and prolonged observation periods. Blood glucose concentrations
should be assessed frequently. Asymptomatic children with unintentional
sulfonylurea ingestions should be observed for 12 h, except if this would lead to
discharge at night when they should be kept until the morning. Prophylactic
intravenous dextrose is not recommended. The goal of therapy is to restore and
maintain euglycaemia for the duration of the drug's toxic effect. Enteral feeding
is recommended in patients who are alert and able to tolerate oral intake. Once
insulin or sulfonylurea-induced hypoglycaemia has developed, it should be
initially treated with an intravenous dextrose bolus. Following this the mainstay
of therapy for insulin-induced hypoglycaemia is intravenous dextrose infusion to
maintain the blood glucose concentration between 5.5 and 11 mmol l(-1) . After
sulfonylurea-induced hypoglycaemia is initially corrected with intravenous
dextrose, the main treatment is octreotide which is administered to prevent
insulin secretion and maintain euglycaemia. The observation period varies
depending on drug, product formulation and dose. A general guideline is to
observe for 12 h after discontinuation of intravenous dextrose and, if
applicable, octreotide.
PMID- 26551663
TI - Kicking Back Cognitive Ageing: Leg Power Predicts Cognitive Ageing after Ten
Years in Older Female Twins.
AB - BACKGROUND: Many observational studies have shown a protective effect of physical
activity on cognitive ageing, but interventional studies have been less
convincing. This may be due to short time scales of interventions, suboptimal
interventional regimes or lack of lasting effect. Confounding through common
genetic and developmental causes is also possible. OBJECTIVES: We aimed to test
whether muscle fitness (measured by leg power) could predict cognitive change in
a healthy older population over a 10-year time interval, how this performed
alongside other predictors of cognitive ageing, and whether this effect was
confounded by factors shared by twins. In addition, we investigated whether
differences in leg power were predictive of differences in brain structure and
function after 12 years of follow-up in identical twin pairs. METHODS: A total of
324 healthy female twins (average age at baseline 55, range 43-73) performed the
Cambridge Neuropsychological Test Automated Battery (CANTAB) at two time points
10 years apart. Linear regression modelling was used to assess the relationships
between baseline leg power, physical activity and subsequent cognitive change,
adjusting comprehensively for baseline covariates (including heart disease,
diabetes, blood pressure, fasting blood glucose, lipids, diet, body habitus,
smoking and alcohol habits, reading IQ, socioeconomic status and birthweight). A
discordant twin approach was used to adjust for factors shared by twins. A subset
of monozygotic pairs then underwent magnetic resonance imaging. The relationship
between muscle fitness and brain structure and function was assessed using linear
regression modelling and paired t tests. RESULTS: A striking protective
relationship was found between muscle fitness (leg power) and both 10-year
cognitive change [fully adjusted model standardised beta-coefficient (Stdbeta) =
0.174, p = 0.002] and subsequent total grey matter (Stdbeta = 0.362, p = 0.005).
These effects were robust in discordant twin analyses, where within-pair
difference in physical fitness was also predictive of within-pair difference in
lateral ventricle size. There was a weak independent effect of self-reported
physical activity. CONCLUSION: Leg power predicts both cognitive ageing and
global brain structure, despite controlling for common genetics and early life
environment shared by twins. Interventions targeted to improve leg power in the
long term may help reach a universal goal of healthy cognitive ageing.
PMID- 26551664
TI - Host-guest complexation of di-cyclohexanocucurbit[6]uril and hexa
cyclohexanocucurbit[6]uril with alkyldiammonium ions: a comparative study.
AB - The host-guest complexation of symmetrical di-cyclohexanocucurbit[6]uril
(Cy2Q[6]) and hexa-cyclohexanocucurbit[6]uril (Cy6Q[6]) with a series of
alkyldiammonium ions (H(3+)N(CH(2))nNH(3+), n = 2-8) has been studied both in
solution and in the gas phase. (1)H NMR data indicate that all alkyldiammonium
ions have inclusion interactions with both hosts except for the ethanediammonium
ion. In addition, if the alkyl chain of the alkyldiammonium ion is longer than n
= 5 methylene groups, compressed conformation may occur, which depends on the
cavity shape of the hosts and the length of the alkyl chain. Isothermal titration
calorimetry (ITC) studies point out that the host-guest complexations of both
hosts with the latter five alkyldiammonium ions are enthalpically driven. The
comparison of the thermodynamic data reveals that the enthalpies of the van der
Waals interactions contribute more to the host-guest complexation enthalpy than
the ion-dipole interactions. The enthalpic gain arises from the van der Waals
interactions and the reduction of entropy upon the host-guest complexation is
strongly affected by the cavity shape of the host. Gas phase structures of long
alkyldiammonium guests within both hosts are completely different from those in
aqueous solution.
PMID- 26551665
TI - Versatile Soft Grippers with Intrinsic Electroadhesion Based on Multifunctional
Polymer Actuators.
AB - A highly versatile soft gripper that can handle an unprecedented range of object
types is developed based on a new design of dielectric elastomer actuators
employing an interdigitated electrode geometry, simultaneously maximizing both
electroadhesion and electrostatic actuation while incorporating self-sensing. The
multifunctionality of the actuator leads to a highly integrated, lightweight,
fast, soft gripper with simplified structure and control.
PMID- 26551666
TI - Achilles' Lead: Will Pacemakers Break Free?
PMID- 26551667
TI - The mutational landscape of cutaneous T cell lymphoma and Sezary syndrome.
AB - Sezary syndrome is a leukemic and aggressive form of cutaneous T cell lymphoma
(CTCL) resulting from the malignant transformation of skin-homing central memory
CD4(+) T cells. Here we performed whole-exome sequencing of tumor-normal sample
pairs from 25 patients with Sezary syndrome and 17 patients with other CTCLs.
These analyses identified a distinctive pattern of somatic copy number
alterations in Sezary syndrome, including highly prevalent chromosomal deletions
involving the TP53, RB1, PTEN, DNMT3A and CDKN1B tumor suppressors. Mutation
analysis identified a broad spectrum of somatic mutations in key genes involved
in epigenetic regulation (TET2, CREBBP, KMT2D (MLL2), KMT2C (MLL3), BRD9, SMARCA4
and CHD3) and signaling, including MAPK1, BRAF, CARD11 and PRKG1 mutations
driving increased MAPK, NF-kappaB and NFAT activity upon T cell receptor
stimulation. Collectively, our findings provide new insights into the genetics of
Sezary syndrome and CTCL and support the development of personalized therapies
targeting key oncogenically activated signaling pathways for the treatment of
these diseases.
PMID- 26551668
TI - Mutations in the transcriptional repressor REST predispose to Wilms tumor.
AB - Wilms tumor is the most common childhood renal cancer. To identify mutations that
predispose to Wilms tumor, we are conducting exome sequencing studies. Here we
describe 11 different inactivating mutations in the REST gene (encoding RE1
silencing transcription factor) in four familial Wilms tumor pedigrees and nine
non-familial cases. Notably, no similar mutations were identified in the ICR1000
control series (13/558 versus 0/993; P < 0.0001) or in the ExAC series (13/558
versus 0/61,312; P < 0.0001). We identified a second mutational event in two
tumors, suggesting that REST may act as a tumor-suppressor gene in Wilms tumor
pathogenesis. REST is a zinc-finger transcription factor that functions in
cellular differentiation and embryonic development. Notably, ten of 11 mutations
clustered within the portion of REST encoding the DNA-binding domain, and
functional analyses showed that these mutations compromise REST transcriptional
repression. These data establish REST as a Wilms tumor predisposition gene
accounting for ~2% of Wilms tumor.
PMID- 26551669
TI - Clock-like mutational processes in human somatic cells.
AB - During the course of a lifetime, somatic cells acquire mutations. Different
mutational processes may contribute to the mutations accumulated in a cell, with
each imprinting a mutational signature on the cell's genome. Some processes
generate mutations throughout life at a constant rate in all individuals, and the
number of mutations in a cell attributable to these processes will be
proportional to the chronological age of the person. Using mutations from 10,250
cancer genomes across 36 cancer types, we investigated clock-like mutational
processes that have been operating in normal human cells. Two mutational
signatures show clock-like properties. Both exhibit different mutation rates in
different tissues. However, their mutation rates are not correlated, indicating
that the underlying processes are subject to different biological influences. For
one signature, the rate of cell division may influence its mutation rate. This
study provides the first survey of clock-like mutational processes operating in
human somatic cells.
PMID- 26551670
TI - Genomic profiling of Sezary syndrome identifies alterations of key T cell
signaling and differentiation genes.
AB - Sezary syndrome is a rare leukemic form of cutaneous T cell lymphoma
characterized by generalized redness, scaling, itching and increased numbers of
circulating atypical T lymphocytes. It is rarely curable, with poor prognosis.
Here we present a multiplatform genomic analysis of 37 patients with Sezary
syndrome that implicates dysregulation of cell cycle checkpoint and T cell
signaling. Frequent somatic alterations were identified in TP53, CARD11, CCR4,
PLCG1, CDKN2A, ARID1A, RPS6KA1 and ZEB1. Activating CCR4 and CARD11 mutations
were detected in nearly one-third of patients. ZEB1, encoding a transcription
repressor essential for T cell differentiation, was deleted in over one-half of
patients. IL32 and IL2RG were overexpressed in nearly all cases. Our results
demonstrate profound disruption of key signaling pathways in Sezary syndrome and
suggest potential targets for new therapies.
PMID- 26551671
TI - A recently evolved hexose transporter variant confers resistance to multiple
pathogens in wheat.
AB - As there are numerous pathogen species that cause disease and limit yields of
crops, such as wheat (Triticum aestivum), single genes that provide resistance to
multiple pathogens are valuable in crop improvement. The mechanistic basis of
multi-pathogen resistance is largely unknown. Here we use comparative genomics,
mutagenesis and transformation to isolate the wheat Lr67 gene, which confers
partial resistance to all three wheat rust pathogen species and powdery mildew.
The Lr67 resistance gene encodes a predicted hexose transporter (LR67res) that
differs from the susceptible form of the same protein (LR67sus) by two amino
acids that are conserved in orthologous hexose transporters. Sugar uptake assays
show that LR67sus, and related proteins encoded by homeoalleles, function as high
affinity glucose transporters. LR67res exerts a dominant-negative effect through
heterodimerization with these functional transporters to reduce glucose uptake.
Alterations in hexose transport in infected leaves may explain its ability to
reduce the growth of multiple biotrophic pathogen species.
PMID- 26551673
TI - An investigation into the usefulness of different empirical modeling techniques
for better control of spray-on fluidized bed melt granulation.
AB - Melt granulation in fluid bed processors is an emerging technique, but literature
data regarding the modeling of this granulation method are lacking. In the
present study different techniques (response surface analysis, multilayer
perceptron neural network, and partial least squares method) were applied for
modeling of spray-on fluidized bed melt granulation. Experiments were organized
in line with central composite design. The effect of binder content and spray air
pressure on granule properties was evaluated. The results obtained indicate that
binder content can be identified as a critical factor controlling the granule
size and size distribution. It was found that agglomeration mechanism involved,
i.e., granule shape, can be greatly influenced by binder properties. The spray
air pressure was identified as critical process parameter affecting granule
flowability. The results presented indicate that application of in silico tools
enables enhanced understanding and better control of novel pharmaceutical
processes, such as melt granulation in fluidized bed. The artificial neural
networks and partial least squares method were found to be superior to response
surface methodology in prediction of granule properties. According to the results
obtained, application of more advanced empirical modeling techniques
complementary to design of experiments can be a suitable approach in defining the
design space and optimization of spray-on fluidized bed melt granulation.
PMID- 26551674
TI - An investigation into moisture barrier film coating efficacy and its relevance to
drug stability in solid dosage forms.
AB - Barrier coatings are frequently employed on solid oral dosage forms under the
assumption that they prevent moisture sorption into tablet cores thereby averting
premature degradation of moisture-sensitive active ingredients. However, the
efficacy of moisture barrier coatings remains unproven and they may actually
accelerate degradation. This study aimed to investigate the barrier performance
of four coating systems following application onto a low hygroscopic tablet
formulation containing aspirin as a model moisture sensitive drug. Tablets were
prepared by direct compaction and coated with aqueous dispersions of
Eudragit((r)) L30 D-55, Eudragit((r)) EPO, Opadry((r)) AMB and Sepifilm((r)) LP
at the vendors' recommended weight gains. Moisture uptake was studied by dynamic
vapor sorption at 0 and 75% RH (25 degrees C). Accelerated stability studies were
undertaken at 75% RH/25 degrees C for 90 days and HPLC assay was used to
determine aspirin content. Uncoated tablet cores equilibrated rapidly and took up
very little water (0.09%). The mean water uptake for coated cores was higher than
for the uncoated formulation and varied as follows: 0.19% (Eudragit((r)) L30 D
55), 0.35% (Opadry((r)) AMB), 0.49% (Sepifilm((r)) LP) and 0.76% (Eudragit((r))
EPO). The level of aspirin decreased in all the samples such that by the time the
study was terminated, the mean aspirin recovered was as follows: uncoated cores
80.0%; Eudragit(r) L30 D-55 coated cores 78.8%; Opadry((r)) AMB coated cores
76.2%, Sepifilm((r)) LP coated cores 76.0% and Eudragit((r)) EPO coated samples
66.5%. From these results, it is concluded that the efficacy of moisture barrier
polymer coatings on low hygroscopic cores is limited, and application of these
coatings can, instead, enhance drug degradation in solid dosage forms.
PMID- 26551675
TI - In situ polyethylene sebacate particulate carriers as an alternative to Freund's
adjuvant for delivery of a contraceptive peptide vaccine--A feasibility study.
AB - The present study evaluates the feasibility of particulate carriers of a
biodegradable polymer polyethylene sebacate (PES) as an alternative to Freund's
adjuvant in the design of a peptide vaccine formulation. The vaccine formulation
comprised of PES and the antigen KLH conjugated 80kDa HSA peptide-1 dissolved in
N-methyl-2-pyrrolidone (NMP)/NMP-water as solvent. The antigen revealed good
stability and the formulations were readily syringeable. Intradermal injection of
the formulations resulted in the formation of PES particulates in situ at the
site of injection. The NMP formulations revealed larger particulates which
elicited no immunogenic response when injected in rabbits. On the other hand the
NMP-water formulation revealed formation of microparticles which were
significantly smaller in size, in combination with a small fraction of
nanoparticles. It elicited an antibody titer up to 1:3200 in rabbits following
intradermal injection. Western blot confirmed generation of antibodies specific
to the peptide. Contraceptive efficacy was confirmed by loss of sperm motility
and head-to-head agglutination of sperms in the treatment group. Unlike the
severe reactions observed with administration of Freund's adjuvant, only mild
hypersensitivity reaction was observed with the PES formulations. The mild
reaction coupled with the contraceptive efficacy observed suggested PES
particulates as a viable alternative to Freund's adjuvant.
PMID- 26551676
TI - Dynamic metabolic flux analysis using a convex analysis approach: Application to
hybridoma cell cultures in perfusion.
AB - In recent years, dynamic metabolic flux analysis (DMFA) has been developed in
order to evaluate the dynamic evolution of the metabolic fluxes. Most of the
proposed approaches are dedicated to exactly determined or overdetermined
systems. When an underdetermined system is considered, the literature suggests
the use of dynamic flux balance analysis (DFBA). However the main challenge of
this approach is to determine an appropriate objective function, which remains
valid over the whole culture. In this work, we propose an alternative dynamic
metabolic flux analysis based on convex analysis, DMFCA, which allows the
determination of bounded intervals for the fluxes using the available knowledge
of the metabolic network and information provided by the time evolution of
extracellular component concentrations. Smoothing splines and mass balance
differential equations are used to estimate the time evolution of the uptake and
excretion rates from this experimental data. The main advantage of the proposed
procedure is that it does not require additional constraints or objective
functions, and provides relatively narrow intervals for the intracellular
metabolic fluxes. DMFCA is applied to experimental data from hybridoma HB58 cell
perfusion cultures, in order to investigate the influence of the operating mode
(batch and perfusion) on the metabolic flux distribution.
PMID- 26551677
TI - Ebola viral load at diagnosis associates with patient outcome and outbreak
evolution.
AB - BACKGROUND: Ebola virus (EBOV) causes periodic outbreaks of life-threatening EBOV
disease in Africa. Historically, these outbreaks have been relatively small and
geographically contained; however, the magnitude of the EBOV outbreak that began
in 2014 in West Africa has been unprecedented. The aim of this study was to
describe the viral kinetics of EBOV during this outbreak and identify factors
that contribute to outbreak progression. METHODS: From July to December 2014, one
laboratory in Sierra Leone processed over 2,700 patient samples for EBOV
detection by quantitative PCR (qPCR). Viremia was measured following patient
admission. Age, sex, and approximate time of symptom onset were also recorded for
each patient. The data was analyzed using various mathematical models to find
trends of potential interest. RESULTS: The analysis revealed a significant
difference (P = 2.7 * 10(-77)) between the initial viremia of survivors (4.02
log10 genome equivalents [GEQ]/ml) and nonsurvivors (6.18 log10 GEQ/ml). At the
population level, patient viral loads were higher on average in July than in
November, even when accounting for outcome and time since onset of symptoms. This
decrease in viral loads temporally correlated with an increase in circulating
EBOV-specific IgG antibodies among individuals who were suspected of being
infected but shown to be negative for the virus by PCR. CONCLUSIONS: Our results
indicate that initial viremia is associated with outcome of the individual and
outbreak duration; therefore, care must be taken in planning clinical trials and
interventions. Additional research in virus adaptation and the impacts of host
factors on EBOV transmission and pathogenesis is needed.
PMID- 26551678
TI - Development of autoantibodies against muscle-specific FHL1 in severe inflammatory
myopathies.
AB - Mutations of the gene encoding four-and-a-half LIM domain 1 (FHL1) are the
causative factor of several X-linked hereditary myopathies that are collectively
termed FHL1-related myopathies. These disorders are characterized by severe
muscle dysfunction and damage. Here, we have shown that patients with idiopathic
inflammatory myopathies (IIMs) develop autoimmunity to FHL1, which is a muscle
specific protein. Anti-FHL1 autoantibodies were detected in 25% of IIM patients,
while patients with other autoimmune diseases or muscular dystrophies were
largely anti-FHL1 negative. Anti-FHL1 reactivity was predictive for muscle
atrophy, dysphagia, pronounced muscle fiber damage, and vasculitis. FHL1 showed
an altered expression pattern, with focal accumulation in the muscle fibers of
autoantibody-positive patients compared with a homogeneous expression in anti
FHL1-negative patients and healthy controls. We determined that FHL1 is a target
of the cytotoxic protease granzyme B, indicating that the generation of FHL1
fragments may initiate FHL1 autoimmunity. Moreover, immunization of myositis
prone mice with FHL1 aggravated muscle weakness and increased mortality,
suggesting a direct link between anti-FHL1 responses and muscle damage. Together,
our findings provide evidence that FHL1 may be involved in the pathogenesis not
only of genetic FHL1-related myopathies but also of autoimmune IIM. Importantly,
these results indicate that anti-FHL1 autoantibodies in peripheral blood have
promising potential as a biomarker to identify a subset of severe IIM.
PMID- 26551679
TI - Coordinate expression of heme and globin is essential for effective
erythropoiesis.
AB - Erythropoiesis requires rapid and extensive hemoglobin production. Heme activates
globin transcription and translation; therefore, heme synthesis must precede
globin synthesis. As free heme is a potent inducer of oxidative damage, its
levels within cellular compartments require stringent regulation. Mice lacking
the heme exporter FLVCR1 have a severe macrocytic anemia; however, the mechanisms
that underlie erythropoiesis dysfunction in these animals are unclear. Here, we
determined that erythropoiesis failure occurs in these animals at the CFU
E/proerythroblast stage, a point at which the transferrin receptor (CD71) is
upregulated, iron is imported, and heme is synthesized--before ample globin is
produced. From the CFU-E/proerythroblast (CD71(+) Ter119(-) cells) stage onward,
erythroid progenitors exhibited excess heme content, increased cytoplasmic ROS,
and increased apoptosis. Reducing heme synthesis in FLVCR1-defient animals via
genetic and biochemical approaches improved the anemia, implying that heme excess
causes, and is not just associated with, the erythroid marrow failure. Expression
of the cell surface FLVCR1 isoform, but not the mitochondrial FLVCR1 isoform,
restored normal rbc production, demonstrating that cellular heme export is
essential. Together, these studies provide insight into how heme is regulated to
allow effective erythropoiesis, show that erythropoiesis fails when heme is
excessive, and emphasize the importance of evaluating Ter119(-) erythroid cells
when studying erythroid marrow failure in murine models.
PMID- 26551680
TI - Interleukin-21 combined with ART reduces inflammation and viral reservoir in SIV
infected macaques.
AB - Despite successful control of viremia, many HIV-infected individuals given
antiretroviral therapy (ART) exhibit residual inflammation, which is associated
with non-AIDS-related morbidity and mortality and may contribute to virus
persistence during ART. Here, we investigated the effects of IL-21 administration
on both inflammation and virus persistence in ART-treated, SIV-infected rhesus
macaques (RMs). Compared with SIV-infected animals only given ART, SIV-infected
RMs given both ART and IL-21 showed improved restoration of intestinal Th17 and
Th22 cells and a more effective reduction of immune activation in blood and
intestinal mucosa, with the latter maintained through 8 months after ART
interruption. Additionally, IL-21, in combination with ART, was associated with
reduced levels of SIV RNA in plasma and decreased CD4(+) T cell levels harboring
replication-competent virus during ART. At the latest experimental time points,
which were up to 8 months after ART interruption, plasma viremia and cell
associated SIV DNA levels remained substantially lower than those before ART
initiation in IL-21-treated animals but not in controls. Together, these data
suggest that IL-21 supplementation of ART reduces residual inflammation and virus
persistence in a relevant model of lentiviral disease and warrants further
investigation as a potential intervention for HIV infection.
PMID- 26551681
TI - Platelet-derived HMGB1 is a critical mediator of thrombosis.
AB - Thrombosis and inflammation are intricately linked in several major clinical
disorders, including disseminated intravascular coagulation and acute ischemic
events. The damage-associated molecular pattern molecule high-mobility group box
1 (HMGB1) is upregulated by activated platelets in multiple inflammatory
diseases; however, the contribution of platelet-derived HMGB1 in thrombosis
remains unexplored. Here, we generated transgenic mice with platelet-specific
ablation of HMGB1 and determined that platelet-derived HMGB1 is a critical
mediator of thrombosis. Mice lacking HMGB1 in platelets exhibited increased
bleeding times as well as reduced thrombus formation, platelet aggregation,
inflammation, and organ damage during experimental trauma/hemorrhagic shock.
Platelets were the major source of HMGB1 within thrombi. In trauma patients,
HMGB1 expression on the surface of circulating platelets was markedly
upregulated. Moreover, evaluation of isolated platelets revealed that HMGB1 is
critical for regulating platelet activation, granule secretion, adhesion, and
spreading. These effects were mediated via TLR4- and MyD88-dependent recruitment
of platelet guanylyl cyclase (GC) toward the plasma membrane, followed by
MyD88/GC complex formation and activation of the cGMP-dependent protein kinase I
(cGKI). Thus, we establish platelet-derived HMGB1 as an important mediator of
thrombosis and identify a HMGB1-driven link between MyD88 and GC/cGKI in
platelets. Additionally, these findings suggest a potential therapeutic target
for patients sustaining trauma and other inflammatory disorders associated with
abnormal coagulation.
PMID- 26551682
TI - Aging-associated inflammation promotes selection for adaptive oncogenic events in
B cell progenitors.
AB - The incidence of cancer is higher in the elderly; however, many of the underlying
mechanisms for this association remain unexplored. Here, we have shown that B
cell progenitors in old mice exhibit marked signaling, gene expression, and
metabolic defects. Moreover, B cell progenitors that developed from hematopoietic
stem cells (HSCs) transferred from young mice into aged animals exhibited similar
fitness defects. We further demonstrated that ectopic expression of the oncogenes
BCR-ABL, NRAS(V12), or Myc restored B cell progenitor fitness, leading to
selection for oncogenically initiated cells and leukemogenesis specifically in
the context of an aged hematopoietic system. Aging was associated with increased
inflammation in the BM microenvironment, and induction of inflammation in young
mice phenocopied aging-associated B lymphopoiesis. Conversely, a reduction of
inflammation in aged mice via transgenic expression of alpha-1-antitrypsin or IL
37 preserved the function of B cell progenitors and prevented NRAS(V12)-mediated
oncogenesis. We conclude that chronic inflammatory microenvironments in old age
lead to reductions in the fitness of B cell progenitor populations. This reduced
progenitor pool fitness engenders selection for cells harboring oncogenic
mutations, in part due to their ability to correct aging-associated functional
defects. Thus, modulation of inflammation--a common feature of aging--has the
potential to limit aging-associated oncogenesis.
PMID- 26551683
TI - Rabbit antithymocyte globulin-induced serum sickness disease and human kidney
graft survival.
AB - BACKGROUND: Rabbit-generated antithymocyte globulins (ATGs), which target human T
cells, are widely used as immunosuppressive agents during treatment of kidney
allograft recipients. However, ATGs can induce immune complex diseases, including
serum sickness disease (SSD). Rabbit and human IgGs have various antigenic
differences, including expression of the sialic acid Neu5Gc and alpha-1-3-Gal
(Gal), which are not synthesized by human beings. Moreover, anti-Neu5Gc
antibodies have been shown to preexist and be elicited by immunization in human
subjects. This study aimed to assess the effect of SSD on long-term kidney
allograft outcome and to compare the immunization status of grafted patients
presenting with SSD following ATG induction treatment. METHODS: We analyzed data
from a cohort of 889 first kidney graft recipients with ATG induction (86 with
SSD [SSD(+)] and 803 without SSD [SSD(-)]) from the Donnees Informatisees et
Validees en Transplantation data bank. Two subgroups of SSD(+) and SSD(-)
patients that had received ATG induction treatment were then assessed for total
anti-ATG, anti-Neu5Gc, and anti-Gal antibodies using ELISA assays on sera before
and after transplantation. RESULTS: SSD was significantly associated with long
term graft loss (>10 years, P = 0.02). Moreover, SSD(+) patients exhibited
significantly elevated titers of anti-ATG (P = 0.043) and anti-Neu5Gc (P = 0.007)
IgGs in late post-graft samples compared with SSD(-) recipients. CONCLUSION: In
conclusion, our data indicate that SSD is a major contributing factor of late
graft loss following ATG induction and that anti-Neu5Gc antibodies increase over
time in SSD(+) patients. FUNDING: This study was funded by Societe d'Acceleration
du Transfert de Technologies Ouest Valorisation, the European FP7 "Translink"
research program, the French National Agency of Research, Labex Transplantex, the
Natural Science and Engineering Research Council of Canada, and the Canadian
Foundation for Innovation.
PMID- 26551686
TI - From single chemicals to mixtures--reproductive effects of levonorgestrel and
ethinylestradiol on the fathead minnow.
AB - The aquatic environment is polluted with thousands of chemicals. It is currently
unclear which of these pose a significant threat to aquatic biota. The typical
exposure scenario is now represented by a widespread blanket of contamination
composed of myriads of individual pollutants-each typically present at a low
concentration. The synthetic steroids, 17alpha-ethinylestradiol and
levonorgestrel, have been widely reported to be present in the aquatic
environment in the low ng to sub-ng/l range. They are widely used in
contraceptive formulations, both individually and in combination. Our research
employed the fathead minnow (Pimephales promelas) 21 day 'pair-breeding' assay to
assess reproductive output when pairs of fish were exposed to the single
chemicals at low environmentally relevant concentrations, and then to a binary
mixture of them. A variety of endpoints were assessed, including egg production,
which was inhibited in a concentration-dependent manner by both the individual
chemicals and the mixture. Significant, sex specific effects were also seen with
both chemicals, at differing levels of biological organisation. Plasma
concentrations of EE2 and levonorgestrel were predicted and in the case of
levonorgestrel measured, and compared with the human therapeutic plasma
concentrations (Read-Across approach) to support the interpretation of the
results. A novel quantitative method was developed for the data analysis, which
ensured a suitable endpoint for the comparative mixture assessment. This approach
compares the reproductive performance from individual pairs of fish during
chemical exposure to its pre-treatment performance. The responses from the
empirical mixture study were compared to predictions derived from the single
substance data. We hypothesised combined responses which were best described by
the concept of concentration addition, and found no clear indications against
this additivity expectation. However, the effect profiles support the current
knowledge that both compounds act in different ways to reduce egg production in
fish, and suggest that probably response addition (also called Independent
action) is the more appropriate mixture model in this case.
PMID- 26551684
TI - Blood kinetics of Ebola virus in survivors and nonsurvivors.
AB - BACKGROUND: Infection with Ebola virus (EBOV) results in a life-threatening
disease, with reported mortality rates between 50%-70%. The factors that
determine patient survival are poorly understood; however, clinical observations
indicate that EBOV viremia may be associated with fatal outcome. We conducted a
study of the kinetics of Zaire EBOV viremia in patients with EBOV disease (EVD)
who were managed at an Ebola Treatment Centre in Sierra Leone during the recent
West African outbreak. METHODS: Data from 84 EVD patients (38 survivors, 46
nonsurvivors) were analyzed, and EBOV viremia was quantified between 2 and 13
days after symptom onset. Time since symptom onset and clinical outcome were used
as independent variables to compare EBOV viral kinetics in survivors and
nonsurvivors. RESULTS: In all patients, EBOV viremia kinetics was a quadratic
function of time; however, EBOV viremia was 0.94 logarithm (log) copies per ml
(cp/ml) (P = 0.011) higher in nonsurvivors than in survivors from day 2 after the
onset of symptoms. Survivors reached peak viremia levels at an earlier time after
symptom onset than nonsurvivors (day 5 versus day 7) and had lower mean peak
viremia levels compared with nonsurvivors (7.46 log cp/ml; 95% CI, 7.17-7.76 vs.
8.60 log cp/ml; 95% CI, 8.27-8.93). Before reaching peak values, EBOV viremia
similarly increased both in survivors and nonsurvivors; however, the decay of
viremia after the peak was much stronger in survivors than in nonsurvivors.
CONCLUSION: Our results demonstrate that plasma concentrations of EBOV are
markedly different between survivors and nonsurvivors at very early time points
after symptom onset and may be predicative of outcome. Further studies focused on
the early phase of the disease will be required to identify the causal and
prognostic factors that determine patient outcome. FUNDING: Italian Ministry of
Health; Italian Ministry of Foreign Affairs; EMERGENCY's private donations; and
Royal Engineers for DFID-UK.
PMID- 26551685
TI - Histone demethylase JARID1C inactivation triggers genomic instability in sporadic
renal cancer.
AB - Mutations in genes encoding chromatin-remodeling proteins are often identified in
a variety of cancers. For example, the histone demethylase JARID1C is frequently
inactivated in patients with clear cell renal cell carcinoma (ccRCC); however, it
is largely unknown how JARID1C dysfunction promotes cancer. Here, we determined
that JARID1C binds broadly to chromatin domains characterized by the
trimethylation of lysine 9 (H3K9me3), which is a histone mark enriched in
heterochromatin. Moreover, we found that JARID1C localizes on heterochromatin, is
required for heterochromatin replication, and forms a complex with established
players of heterochromatin assembly, including SUV39H1 and HP1alpha, as well as
with proteins not previously associated with heterochromatin assembly, such as
the cullin 4 (CUL4) complex adaptor protein DDB1. Transcription on
heterochromatin is tightly suppressed to safeguard the genome, and in ccRCC
cells, JARID1C inactivation led to the unrestrained expression of heterochromatic
noncoding RNAs (ncRNAs) that in turn triggered genomic instability. Moreover,
ccRCC patients harboring JARID1C mutations exhibited aberrant ncRNA expression
and increased genomic rearrangements compared with ccRCC patients with tumors
endowed with other genetic lesions. Together, these data suggest that
inactivation of JARID1C in renal cancer leads to heterochromatin disruption,
genomic rearrangement, and aggressive ccRCCs. Moreover, our results shed light on
a mechanism that underlies genomic instability in sporadic cancers.
PMID- 26551687
TI - Early life exposure to PCB126 results in delayed mortality and growth impairment
in the zebrafish larvae.
AB - The occurrence of chronic or delayed toxicity resulting from the exposure to
sublethal chemical concentrations is an increasing concern in environmental risk
assessment. The Fish Embryo Toxicity (FET) test with zebrafish provides a
reliable prediction of acute toxicity in adult fish, but it cannot yet be applied
to predict the occurrence of chronic or delayed toxicity. Identification of
sublethal FET endpoints that can assist in predicting the occurrence of chronic
or delayed toxicity would be advantageous. The present study characterized the
occurrence of delayed toxicity in zebrafish larvae following early exposure to
PCB126, previously described to cause delayed effects in the common sole. The
first aim was to investigate the occurrence and temporal profiles of delayed
toxicity during zebrafish larval development and compare them to those previously
described for sole to evaluate the suitability of zebrafish as a model fish
species for delayed toxicity assessment. The second aim was to examine the
correlation between the sublethal endpoints assessed during embryonal and early
larval development and the delayed effects observed during later larval
development. After exposure to PCB126 (3-3000ng/L) until 5 days post
fertilization (dpf), larvae were reared in clean water until 14 or 28 dpf.
Mortality and sublethal morphological and behavioural endpoints were recorded
daily, and growth was assessed at 28 dpf. Early life exposure to PCB126 caused
delayed mortality (300 ng/L and 3000 ng/L) as well as growth impairment and
delayed development (100 ng/L) during the clean water period. Effects on swim
bladder inflation and cartilaginous tissues within 5 dpf were the most promising
for predicting delayed mortality and sublethal effects, such as decreased
standard length, delayed metamorphosis, reduced inflation of swim bladder and
column malformations. The EC50 value for swim bladder inflation at 5 dpf (169
ng/L) was similar to the LC50 value at 8 dpf (188 and 202 ng/L in two
experiments). Interestingly, the patterns of delayed mortality and delayed
effects on growth and development were similar between sole and zebrafish. This
indicates the comparability of critical developmental stages across divergent
fish species such as a cold water marine flatfish and a tropical freshwater
cyprinid. Additionally, sublethal effects in early embryo-larval stages were
found promising for predicting delayed lethal and sublethal effects of PCB126.
Therefore, the proposed method with zebrafish is expected to provide valuable
information on delayed mortality and delayed sublethal effects of chemicals and
environmental samples that may be extrapolated to other species.
PMID- 26551688
TI - The long-term survival of Propionibacterium freudenreichii in a context of
nutrient shortage.
AB - AIMS: Propionibacterium freudenreichii is an actinobacterium widely used in dairy
industry during the ripening process of Swiss-type cheeses and which presents
probiotic properties. P. freudenreichii is reportedly a hardy bacterium, able to
survive during the cheese-making process and when subjected to digestive
stresses. During this study the long-term survival (LTS) of P. freudenreichii was
investigated for 11 days by means of phenotypic characterization in a culture
medium without the addition of any nutrients. METHODS AND RESULTS: For 11 days,
in a non-nutrient supplemented culture medium, eight strains were monitored by
measuring their optical density, counting colony-forming units (CFU) and using
LIVE/DEAD staining and microscopy observation. Under these conditions, all
strains displayed high survival rates in the culture medium, their culturability
reaching more than 9 log10 CFU ml(-1) after 2 days. After 11 days, this value
ranged from 7.8 to 8.2 log10 CFU ml(-1) depending on the strain, and at least 50%
of the P. freudenreichii population displayed an intact envelope. As lysis of
part of a bacterial population may be a microbial strategy to recover nutrients,
in CIRM-BIA 138 (the strain with the highest population at day 11), cell lysis
was assessed by quantifying intact bacterial cells using qPCR targeting the
housekeeping gene tuf. No lysis was observed. CONCLUSION: Taken together, our
results suggest that P. freudenreichii strains use a viable but nonculturable
state to adapt to the LTS phase. SIGNIFICANCE AND IMPACT OF THE STUDY: Assessing
the viability of P. freudenreichii and understanding their mechanisms for
survival should be of great interest regarding their potential probiotic
applications.
PMID- 26551689
TI - TSPO as a target for glioblastoma therapeutics.
AB - The translocator protein (TSPO) is an 18-kDa five-transmembrane protein, which is
primarily found in the outer mitochondrial membrane. Levels of this protein are
up-regulated in the most aggressive and common glioma, glioblastoma multiforme
(GM). Levels of TSPO also correlate with GM clinical outcome, suggesting that
TSPO may be a novel GM diagnostic imaging agent. Therapeutically, targeting the
TSPO may provide a mechanism to abrogate the apoptotic-resistant, invasive and
aggressive nature of GM and may also provide a way of targeting other anti-cancer
treatments to GM sites. This review highlights recent progress in research on
TSPO-based diagnostic imaging and therapeutics for GM.
PMID- 26551690
TI - Targeting mitochondrial energy metabolism with TSPO ligands.
AB - The translocator protein (18 kDa) (TSPO) resides on the outer mitochondrial
membrane where it is believed to participate in cholesterol transport and steroid
hormone synthesis. Although it is almost ubiquitously expressed, what TSPO does
in non-steroidogenic tissues is largely unexplored. Recent studies report changes
in glucose homoeostasis and cellular energy production when TSPO function is
modulated by selective ligands or by genetic loss-of-function. This review
summarizes findings that connect TSPO function with the regulation of
mitochondrial energy metabolism. The juxtaposition of TSPO at the
cytosolic/mitochondrial interface and the existence of endogenous ligands that
are regulated by metabolism suggest that TSPO functions to adapt mitochondrial to
cellular metabolism. From a pharmacological perspective the specific up
regulation of TSPO in neuro-inflammatory and injury-induced conditions make TSPO
an interesting, druggable target of mitochondrial metabolism.
PMID- 26551672
TI - Genetic fine mapping and genomic annotation defines causal mechanisms at type 2
diabetes susceptibility loci.
AB - We performed fine mapping of 39 established type 2 diabetes (T2D) loci in 27,206
cases and 57,574 controls of European ancestry. We identified 49 distinct
association signals at these loci, including five mapping in or near KCNQ1.
'Credible sets' of the variants most likely to drive each distinct signal mapped
predominantly to noncoding sequence, implying that association with T2D is
mediated through gene regulation. Credible set variants were enriched for overlap
with FOXA2 chromatin immunoprecipitation binding sites in human islet and liver
cells, including at MTNR1B, where fine mapping implicated rs10830963 as driving
T2D association. We confirmed that the T2D risk allele for this SNP increases
FOXA2-bound enhancer activity in islet- and liver-derived cells. We observed
allele-specific differences in NEUROD1 binding in islet-derived cells, consistent
with evidence that the T2D risk allele increases islet MTNR1B expression. Our
study demonstrates how integration of genetic and genomic information can define
molecular mechanisms through which variants underlying association signals exert
their effects on disease.
PMID- 26551691
TI - TSPO is a REDOX regulator of cell mitophagy.
AB - The mitochondrial 18-kDa translocator protein (TSPO) was originally discovered as
a peripheral binding site of benzodiazepines to be later described as a core
element of cholesterol trafficking between cytosol and mitochondria from which
the current nomenclature originated. The high affinity it exhibits with chemicals
(i.e. PK11195) has generated interest in the development of mitochondrial based
TSPO-binding drugs for in vitro and in vivo analysis. Increased TSPO expression
is observed in numerous pathologies such as cancer and inflammatory conditions of
the central nervous system (CNS) that have been successfully exploited via
protocols of positron emission tomography (PET) imaging. We endeavoured to
dissect the molecular role of TSPO in mitochondrial cell biology and discovered a
functional link with quality control mechanisms operated by selective autophagy.
This review focuses on the current understanding of this pathway and focuses on
the interplay with reactive oxygen species (ROS) and the voltage-dependent anion
channel (VDAC), to which TSPO binds, in the regulation of cell mitophagy and
hence homoeostasis of the mitochondrial network as a whole.
PMID- 26551692
TI - Guwiyang Wurra--'Fire Mouse': a global gene knockout model for TSPO/PBR drug
development, loss-of-function and mechanisms of compensation studies.
AB - The highly conserved 18-kDa translocator protein (TSPO) or peripheral
benzodiazepine receptor (PBR), is being investigated as a diagnostic and
therapeutic target for disease conditions ranging from inflammation to
neurodegeneration and behavioural illnesses. Many functions have been attributed
to TSPO/PBR including a role in the mitochondrial permeability transition pore
(MPTP), steroidogenesis and energy metabolism. In this review, we detail the
recent developments in determining the physiological role of TSPO/PBR,
specifically based on data obtained from the recently generated Tspo knockout
mouse models. In addition to defining the role of TSPO/PBR, we also describe the
value of Tspo knockout mice in determining the selectivity, specificity and
presence of any off-target effects of TSPO/PBR ligands.
PMID- 26551693
TI - Targeting the 18-kDa translocator protein: recent perspectives for
neuroprotection.
AB - The translocator protein (TSPO, 18 kDa), mainly localized in the outer
mitochondrial membrane of steroidogenic tissues, is involved in several cellular
functions. TSPO level alterations have been reported in a number of human
disorders, particularly in cancer, psychiatric and neurological diseases. In the
central nervous system (CNS), TSPO is usually expressed in glial cells, but also
in some neuronal cell types. Interestingly, the expression of TSPO on glial cells
rises after brain injury and increased TSPO expression is often observed in
neurological disorders, gliomas, encephalitis and traumatic injury. Since TSPO is
up-regulated in brain diseases, several structurally different classes of ligands
targeting TSPO have been described as potential diagnostic or therapeutic agents.
Recent researches have reported that TSPO ligands might be valuable in the
treatment of brain diseases. This review focuses on currently available TSPO
ligands, as useful tools for the treatment of neurodegeneration, neuro
inflammation and neurotrauma.
PMID- 26551694
TI - Structure of the mammalian TSPO/PBR protein.
AB - The 3D structure of the 18-kDa transmembrane (TM) protein TSPO (translocator
protein)/PBR (peripheral benzodiazepine receptor), which contains a binding site
for benzodiazepines, is important to better understand its function and
regulation by endogenous and synthetic ligands. We have recently determined the
structure of mammalian TSPO/PBR in complex with the diagnostic ligand PK11195 [1
(2-chlorophenyl)-N-methyl-N-(1-methylpropyl)-3-isoquinolinecarboxamide; Jaremko
et al. (2014) Science 343: , 1363-1366], providing for the first time atomic
level insight into the conformation of this protein, which is up-regulated in
various pathological conditions including Alzheimer's disease and Parkinson's
disease. Here, we review the studies which have probed the structural properties
of mammalian TSPO/PBR as well as the homologues bacterial tryptophan-rich sensory
proteins (TspOs) over the years and provide detailed insight into the 3D
structure of mouse TSPO (mTSPO)/PBR in complex with PK11195.
PMID- 26551695
TI - Translocator protein: pharmacology and steroidogenesis.
AB - The translocator protein (TSPO; 18k Da) is an evolutionarily conserved outer
mitochondrial membrane (OMM) protein highly expressed in steroid-synthesizing
cells and found to possess a number of physiological and drug-binding partners.
Extensive pharmacological, biochemical and cell biological research over the
years has led to a model of TSPO involvement in mitochondrial cholesterol
transport and promotion of steroid synthesis, a model guiding the design of drugs
useful in stimulating neurosteroid synthesis and alleviating psychopathological
symptoms. The involvement of TSPO in these processes has been called into
question; however, with the publication of TSPO-deletion mouse models which saw
no changes in steroid production. Here, we review work characterizing TSPO in
steroidogenesis and offer perspective to research into TSPO pharmacology and its
involvement in steroid biosynthesis.
PMID- 26551696
TI - The 18-kDa mitochondrial translocator protein in gliomas: from the bench to
bedside.
AB - The 18-kDa mitochondrial translocator protein (TSPO) is known to be highly
expressed in several types of cancer, including gliomas, whereas expression in
normal brain is low. TSPO functions in glioma are still incompletely understood.
The TSPO can be quantified pre-operatively with molecular imaging making it an
ideal candidate for personalized treatment of patient with glioma. Studies have
proposed to exploit the TSPO as a transporter of chemotherapics to selectively
target tumour cells in the brain. Our studies proved that positron emission
tomography (PET)-imaging can contribute to predict progression of patients with
glioma and that molecular imaging with TSPO-specific ligands is suitable to
stratify patients in view of TSPO-targeted treatment. Finally, we proved that
TSPO in gliomas is predominantly expressed by tumour cells.
PMID- 26551698
TI - TSPO: functions and applications of a mitochondrial stress response pathway.
AB - The mitochondrial outer membrane protein TSPO (translocator protein) lies in a
privileged position at the interface between mitochondrion and cytosol. Since the
initially discovery, nearly forty years ago, it has generated major interest
among various disciplines of modern experimental and applied biomedicine. The
focused meeting we have organized aimed at summarizing the state of the art
knowledge on TSPO and the discipline-based segregated concepts that have made
this an exciting and active field of science. The scientists who have generously
contributed the event have agreed to generate a special issue here published-
stemmed from the discussion of the vent. This consists in a series of
contributions via which the know-how is shared aiming to inspire current and
future endeavours to validate and accelerate the impact of TSPO science in human
pathophysiology and clinical applications.
PMID- 26551697
TI - The methodology of TSPO imaging with positron emission tomography.
AB - The 18-kDA translocator protein (TSPO) is consistently elevated in activated
microglia of the central nervous system (CNS) in response to a variety of insults
as well as neurodegenerative and psychiatric conditions. It is therefore a target
of interest for molecular strategies aimed at imaging neuroinflammation in vivo.
For more than 20 years, positron emission tomography (PET) has allowed the
imaging of TSPO density in brain using [(11)C]-(R)-PK11195, a radiolabelled
specific antagonist of the TSPO that has demonstrated microglial activation in a
large number pathological cohorts. The significant clinical interest in brain
immunity as a primary or comorbid factor in illness has sparked great interest in
the TSPO as a biomarker and a surprising number of second generation TSPO
radiotracers have been developed aimed at improving the quality of TSPO imaging
through novel radioligands with higher affinity. However, such major investment
has not yet resulted in the expected improvement in image quality. We here review
the main methodological aspects of TSPO PET imaging with particular attention to
TSPO genetics, cellular heterogeneity of TSPO in brain tissue and TSPO
distribution in blood and plasma that need to be considered in the quantification
of PET data to avoid spurious results as well as ineffective development and use
of these radiotracers.
PMID- 26551699
TI - NRF2 and microRNAs: new but awaited relations.
AB - The nuclear factor erythroid 2-related factor 2 (NRF2) transcription factor is a
key player in the cellular antioxidant response and it also controls various
other functions in a cell-type specific manner. Due to these key functions, a
tight control of NRF2 expression and activity is essential. This regulation is
exerted at multiple levels, including transcriptional regulation and proteasomal
degradation. Recent studies revealed important roles of miRNAs (miRs) in the
control of NRF2 activity through direct targeting of the NRF2 mRNA and of mRNAs
encoding proteins that control the level and activity of NRF2. In addition, NRF2
itself has been identified as a regulator of miRs, which exert some of the
functions of NRF2 in metabolic regulation and also novel functions in the
regulation of cell adhesion. Here, we summarize the roles and mechanisms of
action of miRs in the regulation of NRF2 activity and as downstream effectors of
this transcription factor.
PMID- 26551700
TI - The spatiotemporal regulation of the Keap1-Nrf2 pathway and its importance in
cellular bioenergetics.
AB - The Kelch-like ECH associated protein 1 (Keap1)-NF-E2 p45-related factor 2 (Nrf2)
pathway regulates networks of proteins that protect against the cumulative damage
of oxidants, electrophiles and misfolded proteins. The interaction between
transcription factor Nrf2 and its main negative cytoplasmic regulator Keap1
follows a cycle whereby the protein complex sequentially adopts two
conformations: 'open', in which Nrf2 binds to one monomer of Keap1, followed by
'closed', in which Nrf2 interacts with both members of the Keap1 dimer.
Electrophiles and oxidants (inducers) are recognized by cysteine sensors within
Keap1, disrupting its ability to target Nrf2 for ubiquitination and degradation.
Consequently, the protein complex accumulates in the 'closed' conformation, free
Keap1 is not regenerated and newly synthesized Nrf2 is stabilized to activate
target-gene transcription. The prevailing view of the Keap1-Nrf2 pathway, for
which there exists a wealth of experimental evidence, is that it lies at the
heart of cellular defence, playing crucial roles in adaptation and survival under
conditions of stress. More recently, the significance of Nrf2 in intermediary
metabolism and mitochondrial physiology has also been recognized, adding another
layer of cytoprotection to the repertoire of functions of Nrf2. One way by which
Nrf2 influences mitochondrial activity is through increasing the availability of
substrates (NADH and FADH2) for respiration. Another way is through accelerating
fatty acid oxidation (FAO). These findings reinforce the reciprocal relationship
between oxidative phosphorylation and the cellular redox state, and highlight the
key role of Nrf2 in regulating this balance.
PMID- 26551701
TI - Dual regulation of transcription factor Nrf2 by Keap1 and by the combined actions
of beta-TrCP and GSK-3.
AB - Nuclear factor-erythroid 2 p45 (NF-E2 p45)-related factor 2 (Nrf2) is a master
regulator of redox homoeostasis that allows cells to adapt to oxidative stress
and also promotes cell proliferation. In this review, we describe the molecular
mechanisms by which oxidants/electrophilic agents and growth factors increase
Nrf2 activity. In the former case, oxidants/electrophiles increase the stability
of Nrf2 by antagonizing the ability of Kelch-like ECH-associated protein 1
(Keap1) to target the transcription factor for proteasomal degradation via the
cullin-3 (Cul3)-RING ubiquitin ligase CRL(Keap1). In the latter case, we
speculate that growth factors increase the stability of Nrf2 by stimulating
phosphoinositide 3-kinase (PI3K)-protein kinase B (PKB)/Akt signalling, which in
turn results in inhibitory phosphorylation of glycogen synthase kinase-3 (GSK-3)
and in doing so prevents the formation of a DSGIS motif-containing phosphodegron
in Nrf2 that is recognized by the beta-transducin repeat-containing protein (beta
TrCP) Cul1-based E3 ubiquitin ligase complex SCF(beta-TrCP). We present data
showing that in the absence of Keap1, the electrophile tert-butyl hydroquinone
(tBHQ) can stimulate Nrf2 activity and induce the Nrf2-target gene NAD(P)H:
quinone oxidoreductase-1 (NQO1), whilst simultaneously causing inhibitory
phosphorylation of GSK-3beta at Ser(9). Together, these observations suggest that
tBHQ can suppress the ability of SCF(beta-TrCP) to target Nrf2 for proteasomal
degradation by increasing PI3K-PKB/Akt signalling. We also propose a scheme that
explains how other protein kinases that inhibit GSK-3 could stimulate induction
of Nrf2-target genes by preventing formation of the DSGIS motif-containing
phosphodegron in Nrf2.
PMID- 26551702
TI - Dissecting molecular cross-talk between Nrf2 and NF-kappaB response pathways.
AB - In most tissues, cells are exposed to frequent changes in levels of oxidative
stress and inflammation. Nuclear factor (erythroid-derived 2)-like 2 (Nrf2) and
nuclear factor-kappaB (NF-kappaB) are the two key transcription factors that
regulate cellular responses to oxidative stress and inflammation respectively.
Pharmacological and genetic studies suggest that there is functional cross-talk
between these two important pathways. The absence of Nrf2 can exacerbate NF
kappaB activity leading to increased cytokine production, whereas NF-kappaB can
modulate Nrf2 transcription and activity, having both positive and negative
effects on the target gene expression. This review focuses on the potentially
complex molecular mechanisms that link the Nrf2 and NF-kappaB pathways and the
importance of designing more effective therapeutic strategies to prevent or treat
a broad range of neurological disorders.
PMID- 26551703
TI - Redox-based regulation of neural stem cell function and Nrf2.
AB - Neural stem cells (NSCs) play vital roles in the development and maintenance of
brain tissues throughout life. They can also potentially act as powerful sources
of regeneration and repair during pathology to replace degenerating cells and
counteract deleterious changes in the tissue microenvironment. However, both
aging and neurodegeneration involve an up-regulation of processes, such as
oxidative stress, inflammation, somatic mutations, and reduction in growth
factors in neural tissues, which threaten the robust functioning of NSCs.
Nevertheless, recent evidence also indicates that NSCs may possess the intrinsic
capability to cope with such stressors in their microenvironment. Whereas the
mechanisms governing the responses of NSCs to stress are diverse, a common theme
that is emerging suggests that underlying changes in intracellular redox status
are crucial. Here we discuss such redox-based regulation of NSCs, particularly in
relation to nuclear erythroid factor 2-like 2 (Nrf2), which is a key cellular
stress resistance factor, and its implications for successfully harnessing NSC
therapeutic potential towards developing cell-based therapeutics for nervous
system disorders.
PMID- 26551704
TI - Interplay between cytosolic disulfide reductase systems and the Nrf2/Keap1
pathway.
AB - NADPH transfers reducing power from bioenergetic pathways to thioredoxin
reductase-1 (TrxR1) and glutathione reductase (GR) to support essential reductive
systems. Surprisingly, it was recently shown that mouse livers lacking both TrxR1
and GR ('TR/GR-null') can sustain redox (reduction-oxidation) homoeostasis using
a previously unrecognized NADPH-independent source of reducing power fuelled by
dietary methionine. The NADPH-dependent systems are robustly redundant in liver,
such that disruption of either TrxR1 or GR alone does not cause oxidative stress.
However, disruption of TrxR1 induces transcription factor Nrf2 (nuclear factor
erythroid-derived 2-like-2) whereas disruption of GR does not. This suggests the
Nrf2 pathway responds directly to the status of the thioredoxin-1 (Trx1) system.
The proximal regulator of Nrf2 is Keap1 (Kelch-like ECH-associated protein-1), a
cysteine (Cys)-rich protein that normally interacts transiently with Nrf2,
targeting it for degradation. During oxidative stress, this interaction is
stabilized, preventing degradation of newly synthesized Nrf2, thereby allowing
Nrf2 accumulation. Within the Trx1 system, TrxR1 and peroxiredoxins (Prxs)
contain some of the most reactive nucleophilic residues in the cell, making them
likely targets for oxidants or electrophiles. We propose that Keap1 activity and
therefore Nrf2 is regulated by interactions of Trx1 system enzymes with oxidants.
In TR/GR-null livers, Nrf2 activity is further induced, revealing that TrxR
independent systems also repress Nrf2 and these might be induced by more extreme
challenges.
PMID- 26551705
TI - Keap1/Nrf2 pathway in the frontiers of cancer and non-cancer cell metabolism.
AB - Cancer cells adapt their metabolism to their increased needs for energy and
substrates for protein, lipid and nucleic acid synthesis. Nuclear erythroid
factor 2-like 2 (Nrf2) pathway is usually activated in cancers and has been
suggested to promote cancer cell survival mainly by inducing a large battery of
cytoprotective genes. This mini review focuses on metabolic pathways, beyond
cytoprotection, which can be directly or indirectly regulated by Nrf2 in cancer
cells to affect their survival. The pentose phosphate pathway (PPP) is enhanced
by Nrf2 in cancers and aids their growth. PPP has also been found to be up
regulated in non-cancer tissues and other pathways, such as de novo lipogenesis,
have been found to be repressed after activation of the Nrf2 pathway. The
importance of these Nrf2-regulated metabolic pathways in cancer compared with non
cancer state remains to be determined. Last but not least, the importance of
context about Nrf2 and cancer is highlighted as the Nrf2 pathway may be activated
in cancers but its pharmacological activators are useful in chemoprevention.
PMID- 26551706
TI - Dysregulation of the Keap1-Nrf2 pathway in cancer.
AB - Accumulating evidence suggests that dysregulation of the Kelch-like ECH
associated protein 1 (Keap1)-nuclear factor E2-related factor 2 (Nrf2) pathway
resulting in constitutively active Nrf2 and increased expression of
cytoprotective Nrf2 target genes, has a pivotal role in cancer. Cancer cells are
able to hijack the Keap1-Nrf2 system via multiple mechanisms leading to enhanced
chemo- and radio-resistance and proliferation via metabolic reprogramming as well
as inhibition of apoptosis. In this mini-review, we will describe the mechanisms
leading to increased Nrf2 activity in cancer with a focus on the information
achieved from large-scale multi-omics projects across various cancer types.
PMID- 26551707
TI - Sustained NRF2 activation in hereditary leiomyomatosis and renal cell cancer
(HLRCC) and in hereditary tyrosinemia type 1 (HT1).
AB - The nuclear erythroid 2-like 2 transcription factor (NRF2), is a major regulator
of cellular redox balance. Although NRF2 activation is generally regarded as
beneficial to human health, recent studies have identified that sustained NRF2
activation is over-represented in many cancers. This raises the question
regarding the role of NRF2 activation in the development and progression of those
cancers. This review focuses on the mechanisms and the effects of NRF2 activation
in two hereditary cancer predisposition syndromes: hereditary leiomyomatosis and
renal cell cancer (HLRCC) and hereditary tyrosinemia type 1 (HT1). Because the
cancer initiating mutations in these hereditary syndromes are well defined, they
offer a unique opportunity to explore the roles of NRF2 activation in the early
stages of carcinogenesis. Over the years, a variety of approaches have been
utilized to study the biology of HLRCC and HT1. In HLRCC, in vitro studies have
demonstrated the importance of NRF2 activation in sustaining cancer cell
proliferation. In the mouse model of HT1 however, NRF2 activation seems to
protect cells from malignant transformation. In both HT1 and HLRCC, NRF2
activation promotes the clearance of electrophilic metabolites, enabling cells to
survive cancer-initiating mutations. Biological insights gained from the
hereditary syndromes' studies may shed light on to the roles of NRF2 activation
in sporadic tumours.
PMID- 26551708
TI - Value of monitoring Nrf2 activity for the detection of chemical and oxidative
stress.
AB - Beyond specific limits of exposure, chemical entities can provoke deleterious
effects in mammalian cells via direct interaction with critical macromolecules or
by stimulating the accumulation of reactive oxygen species (ROS). In particular,
these chemical and oxidative stresses can underpin adverse reactions to
therapeutic drugs, which pose an unnecessary burden in the clinic and
pharmaceutical industry. Novel pre-clinical testing strategies are required to
identify, at an earlier stage in the development pathway, chemicals and drugs
that are likely to provoke toxicity in humans. Mammalian cells can adapt to
chemical and oxidative stress via the action of the transcription factor nuclear
factor erythroid 2-related factor 2 (Nrf2), which up-regulates the expression of
numerous cell defence genes and has been shown to protect against a variety of
chemical toxicities. Here, we provide a brief overview of the Nrf2 pathway and
summarize novel experimental models that can be used to monitor changes in Nrf2
pathway activity and thus understand the functional consequences of such
perturbations in the context of chemical and drug toxicity. We also provide an
outlook on the potential value of monitoring Nrf2 activity for improving the pre
clinical identification of chemicals and drugs with toxic liability in humans.
PMID- 26551710
TI - Frequency modulated translocational oscillations of Nrf2, a transcription factor
functioning like a wireless sensor.
AB - The discovery that nuclear factor erythroid 2-related factor 2 (Nrf2) undergoes
translocational oscillations from cytoplasm to nucleus in human cells with
frequency modulation linked to activation of a stress-stimulated cytoprotective
response raises the prospect that the Nrf2 works mechanistically analogous to a
wireless sensor. Herein, we consider how this new model of Nrf2 oscillation
resolves previous inexplicable experimental findings on Nrf2 regulation and why
it is fit-for-purpose. Further investigation is required to assess how generally
applicable the oscillatory mechanism is and if characteristics of this regulatory
control can be found in vivo. It suggests there are multiple, potentially re
enforcing receptors for Nrf2 activation, indicating that potent Nrf2 activation
for improved health and treatment of disease may be achieved through combination
of Nrf2 system stimulants.
PMID- 26551709
TI - Nrf2 as a master regulator of tissue damage control and disease tolerance to
infection.
AB - Damage control refers to those actions made towards minimizing damage or loss.
Depending on the context, these can range from emergency procedures dealing with
the sinking of a ship or to a surgery dealing with severe trauma or even to an
imaginary company in Marvel comics, which repairs damaged property arising from
conflicts between super heroes and villains. In the context of host microbe
interactions, tissue damage control refers to an adaptive response that limits
the extent of tissue damage associated with infection. Tissue damage control can
limit the severity of infectious diseases without interfering with pathogen
burden, conferring disease tolerance to infection. This contrasts with immune
driven resistance mechanisms, which although essential to protect the host from
infection, can impose tissue damage to host parenchyma tissues. This damaging
effect is countered by stress responses that confer tissue damage control and
disease tolerance to infection. Here we discuss how the stress response regulated
by the transcription factor nuclear factor-erythroid 2-related factor 2 (Nrf2)
acts in such a manner.
PMID- 26551711
TI - Peptide and small molecule inhibitors of the Keap1-Nrf2 protein-protein
interaction.
AB - The transcription factor nuclear factor erythroid-2-related factor 2 (Nrf2) up
regulates the expression of a range of cytoprotective enzymes with antioxidant
response elements in their promoter regions and thus can protect cells against
oxidative damage. Increasing Nrf2 activity has been proposed as a therapeutic
intervention in a range of chronic neurodegenerative conditions and cancer
chemoprevention. One of the main mechanisms by which Nrf2 is negatively regulated
involves an interaction with the ubiquitination facilitator protein, Kelch-like
ECH-associated protein 1 (Keap1) that facilitates degradation of Nrf2. Inhibition
of this process underlies the mode of action of a broad group of compounds that
increase Nrf2 activity. A number of natural products, including the
isothiocyanate sulforaphane, up-regulate Nrf2 by interacting with Keap1 in a
covalent manner to stall its activity. Recently, a number of peptide and small
molecule inhibitors of the protein-protein interaction (PPI) between Keap1 and
Nrf2 have been described. These classes of compound have contrasting modes of
action at the molecular level and there is emerging evidence that their
biological activities have similarities and differences. This review describes
the various classes of PPI inhibitor that have been described in the literature
and the biological evaluations that have been performed.
PMID- 26551713
TI - The Keap1/Nrf2 pathway in health and disease: from the bench to the clinic.
AB - The transcription factor nuclear factor-erythroid 2 p45-related factor 2 (Nrf2,
with gene called NFE2L2) is a master regulator of the antioxidant response. In
the last decade, interest has intensified in this research area as its importance
in several physiological and pathological processes has become widely recognized;
these include redox signalling and redox homoeostasis, drug metabolism and
disposition, intermediary metabolism, cellular adaptation to stress,
chemoprevention and chemoresistance, toxicity, inflammation, neurodegeneration,
lipogenesis and aging. Regulation of Nrf2 is complex and although much attention
has focussed on its repression by Kelch-like ECH-associated protein-1 (Keap1),
recently it has become increasingly apparent that it is also controlled by cross
talk with other signalling pathways including the glycogen synthase kinase-3 (GSK
3)-beta-transducin repeat-containing protein (beta-TrCP) axis, ERAD (endoplasmic
reticulum-associated degradation)-associated E3 ubiquitin-protein ligase (Hrd1,
also called synoviolin), nuclear factor-kappa B (NF-kappaB), Notch and AMP
kinase. Due to its beneficial role in several diseases, Nrf2 has become a major
therapeutic target, with novel natural, synthetic and targeted small molecules
currently under investigation to modulate the pathway and in clinical trials.
PMID- 26551712
TI - Molecular mechanisms of Nrf2 regulation and how these influence chemical
modulation for disease intervention.
AB - Nrf2 (nuclear factor erytheroid-derived-2-like 2) transcriptional programmes are
activated by a variety of cellular stress conditions to maintain cellular
homoeostasis. Under non-stress conditions, Nrf2 is under tight regulation by the
ubiquitin proteasome system (UPS). Detailed mechanistic investigations have shown
the Kelch-like ECH-associated protein 1 (Keap1)-cullin3 (Cul3)-ring-box1 (Rbx1)
E3-ligase to be the primary Nrf2 regulatory system. Recently, both beta
transducin repeat-containing E3 ubiquitin protein ligase (beta-TrCP) and E3
ubiquitin-protein ligase synoviolin (Hrd1) have been identified as novel E3
ubiquitin ligases that negatively regulate Nrf2 through Keap1-independent
mechanisms. In addition to UPS-mediated regulation of Nrf2, investigations have
revealed a cross-talk between Nrf2 and the autophagic pathway resulting in
activation of Nrf2 in a non-canonical manner. In addition to regulation at the
protein level, Nrf2 was recently shown to be regulated at the transcriptional
level by oncogenic K-rat sarcoma (Ras). A consequence of these differential
regulatory mechanisms is the dual role of Nrf2 in cancer: the canonical,
protective role and the non-canonical 'dark-side' of Nrf2. Based on the
protective role of Nrf2, a vast effort has been dedicated towards identifying
novel chemical inducers of Nrf2 for the purpose of chemoprevention. On the other
hand, upon malignant transformation, some cancer cells have a constitutively high
level of Nrf2 offering a growth advantage, as well as rendering cancer cells
resistant to chemotherapeutics. This discovery has led to a new paradigm in
cancer treatment; the initially counterintuitive use of Nrf2 inhibitors as
adjuvants in chemotherapy. Herein, we will discuss the mechanisms of Nrf2
regulation and how this detailed molecular understanding can be leveraged to
develop Nrf2 modulators to prevent diseases, mitigate disease progression or
overcome chemoresistance.
PMID- 26551714
TI - Engineering activated protein C to maximize therapeutic efficacy.
AB - The anticoagulant-activated protein C (APC) acts not solely as a crucial
regulator of thrombus formation following vascular injury, but also as a potent
signalling enzyme with important functions in the control of both acute and
chronic inflammatory disease. These properties have been exploited to therapeutic
effect in diverse animal models of inflammatory disease, wherein recombinant APC
administration has proven to effectively limit disease progression. Subsequent
clinical trials led to the use of recombinant APC (Xigris) for the treatment of
severe sepsis. Although originally deemed successful, Xigris was ultimately
withdrawn due to lack of efficacy and an unacceptable bleeding risk. Despite this
apparent failure, the problems that beset Xigris usage may be tractable using
protein engineering approaches. In this review, we detail the protein engineering
approaches that have been utilized to improve the therapeutic characteristics of
recombinant APC, from early studies in which the distinct anti-coagulant and
signalling activities of APC were separated to reduce bleeding risk, to current
attempts to enhance APC cytoprotective signalling output for increased
therapeutic efficacy at lower APC dosage. These novel engineered variants
represent the next stage in the development of safer, more efficacious APC
therapy in disease settings in which APC plays a protective role.
PMID- 26551715
TI - Elevated venous thromboembolism risk in preeclampsia: molecular mechanisms and
clinical impact.
AB - Venous thromboembolism (VTE) remains a leading cause of maternal death and
morbidity in the developed world. Strategies for prevention of VTE in pregnancy
have been the subject of recent guidelines and consensus statements. These
guidelines recommend thrombosis prevention in women who have risk factors
associated with an elevated VTE risk. Preeclampsia is characterized by maternal
hypertension and proteinuria developing after 20 weeks gestation, complicating up
to 7% of pregnancies and is associated with a massive annual morbidity and
mortality burden. Women with preeclampsia have been shown to be at increased risk
of VTE with studies to date suggesting that this risk may be up to 5-fold greater
than the risk of pregnancy-associated VTE in the general population. Despite the
fact that preeclampsia is so common and potentially devastating, our
understanding of its pathogenesis and potential therapeutic strategies remain
poor. In addition, the mechanisms underlying the prothrombotic phenotype in
preeclampsia are also poorly characterized although a number of potential
mechanisms have been postulated. Derangements of platelet and endothelial
activation and impairment of endogenous anti-coagulant pathways have been
reported and may contribute to the observed VTE risk. Recently, evidence for the
role of neutrophil extracellular traps (NETs) and cell-free DNA in the
pathogenesis of VTE has emerged and some evidence exists to suggest that this may
be of relevance in preeclampsia. Future studies aimed at understanding the
diagnostic and potential therapeutic relevance of this procoagulant state are
likely to be of enormous clinical benefit for pregnant women affected with this
potentially devastating condition.
PMID- 26551716
TI - The blood-brain barrier endothelium: a target for pro-inflammatory cytokines.
AB - An intact functioning blood-brain barrier (BBB) is fundamental to proper
homoeostatic maintenance and perfusion of the central nervous system (CNS).
Inflammatory damage to the unique microvascular endothelial cell monolayer that
constitutes the luminal BBB surface, leading to elevated capillary permeability,
has been linked to various neurological disorders ranging from ischaemic stroke
and traumatic brain injury, to neurodegenerative disease and CNS infections.
Moreover, the neuroinflammatory cascade that typically accompanies BBB failure in
these circumstances has been strongly linked to elevated levels of pro
inflammatory cytokines such as tumour necrosis factor-alpha (TNF-alpha) and
interleukin-6 (IL-6). This mini review will examine our current knowledge of how
cytokines may dysregulate the interendothelial paracellular pathway leading to
elevated BBB permeability. The mechanistic role of nicotinamide adenine
dinucleotide phosphate oxidase (NADPH oxidase)-induced oxidative stress in these
events will also be addressed.
PMID- 26551718
TI - Dysregulation of the endothelium following Staphylococcus aureus infection.
AB - The cardiovascular system is typically a sterile environment; however entry of a
microorganism into the circulation can cause potentially life threatening cardiac
and/or vascular disease. Staphylococcus aureus endothelial cell interactions are
arguably the most important interactions in the pathogenesis of cardiovascular
infection. These interactions can trigger cardiac valve destruction in the case
of endocarditis, multi-organ dysfunction in the case of sepsis and coagulopathy.
Here, we review the interactions between S. aureus and endothelial cells and
discuss the implications of these interactions in the progression of
cardiovascular infection.
PMID- 26551717
TI - Novel insights into the regulation of cyclooxygenase-2 expression by platelet
cancer cell cross-talk.
AB - Platelets are activated by the interaction with cancer cells and release enhanced
levels of lipid mediators [such as thromboxane (TX)A2 and prostaglandin (PG)E2,
generated from arachidonic acid (AA) by the activity of cyclooxygenase (COX)-1],
granule content, including ADP and growth factors, chemokines, proteases and Wnt
proteins. Moreover, activated platelets shed different vesicles, such as
microparticles (MPs) and exosomes (rich in genetic material such as mRNAs and
miRNAs). These platelet-derived products induce several phenotypic changes in
cancer cells which confer high metastatic capacity. A central event involves an
aberrant expression of COX-2 which influences cell-cycle progression and
contribute to the acquisition of a cell migratory phenotype through the induction
of epithelial mesenchymal transition genes and down-regulation of E-cadherin
expression. The identification of novel molecular determinants involved in the
cross-talk between platelets and cancer cells has led to identify novel targets
for anti-cancer drug development.
PMID- 26551719
TI - Role of chemokine receptors CXCR4 and CXCR7 for platelet function.
AB - Platelet-derived SDF-1alpha (stromal cell derived factor-alpha) mediates
inflammation, immune defence and repair mechanisms at site of tissue injury. This
review summarizes the relative expression of CXC chemokine receptor 4 (CXCR4) and
CXCR7 in platelets, their dynamic trafficking in presence of ligands like
chemokine C-X-C-motif ligand 11 (CXCL11), CXCL12 and MIF (macrophage migration
inhibitory factor); how these receptors differentially mediate the functional and
survival response to the chemokines CXCL11, CXCL12 and MIF. We further elaborate
and emphasize the prognostic significance of platelet surface expression of CXCR4
CXCR7 in the context of coronary artery disease (CAD). SDF-1alpha/CXCL12, CXCL11,
MIF effects mediated through CXCR4 and CXCR7 may play a regulatory role at the
site of vascular and tissue inflammation, immune defence and repair where
activated platelets reach as forerunners and function as critical players.
PMID- 26551720
TI - The intestinal immunoendocrine axis: novel cross-talk between enteroendocrine
cells and the immune system during infection and inflammatory disease.
AB - The intestinal epithelium represents one of our most important interfaces with
the external environment. It must remain tightly balanced to allow nutrient
absorption, but maintain barrier function and immune homoeostasis, a failure of
which results in chronic infection or debilitating inflammatory bowel disease
(IBD). The intestinal epithelium mainly consists of absorptive enterocytes and
secretory goblet and Paneth cells and has recently come to light as being an
essential modulator of immunity as opposed to a simple passive barrier. Each
epithelial sub-type can produce specific immune modulating factors, driving
innate immunity to pathogens as well as preventing autoimmunity. The
enteroendocrine cells comprise just 1% of this epithelium, but collectively form
the bodies' largest endocrine system. The mechanisms of enteroendocrine cell
peptide secretion during feeding, metabolism and nutrient absorption are well
studied; but their potential interactions with the enriched numbers of
surrounding immune cells remain largely unexplored. This review focuses on
alterations in enteroendocrine cell number and peptide secretion during
inflammation and disease, highlighting the few in depth studies which have
attempted to dissect the immune driven mechanisms that drive these phenomena.
Moreover, the emerging potential of enteroendocrine cells acting as innate
sensors of intestinal perturbation and secreting peptides to directly orchestrate
immune cell function will be proposed. In summary, the data generated from these
studies have begun to unravel a complex cross-talk between immune and
enteroendocrine cells, highlighting the emerging immunoendocrine axis as a
potential target for therapeutic strategies for infections and inflammatory
disorders of the intestine.
PMID- 26551721
TI - CD8+ T-cell senescence: no role for mTOR.
AB - Aging is accompanied by immune decline leading to increased incidence of
infections and malignancies, given the demographic shift of humans towards an
older age the identification of strategies for the manipulation of immunity is an
important goal. Evidence implicates mammalian target of rapamycin (mTOR) to be a
key modulator of aging and the use of mTOR inhibitors has been shown to
ameliorate much age-related pathology; however, recent data suggest that
senescent CD8(+) T-cells function independently of mTOR. This review article will
challenge the perceived dogma that mTOR universally controls CD8(+) T-cell
function.
PMID- 26551722
TI - Metabolic signatures linked to macrophage polarization: from glucose metabolism
to oxidative phosphorylation.
AB - Macrophages are present in a large variety of locations, playing distinct
functions that are determined by its developmental origin and by the nature of
the activators of the microenvironment. Macrophage activation can be classified
as pro-inflammatory (M1 polarization) or anti-inflammatory-pro-resolution
deactivation (M2), these profiles coexisting in the course of the immune response
and playing a relevant functional role in the onset of inflammation (Figure 1).
Several groups have analysed the metabolic aspects associated with macrophage
activation to answer the question about what changes in the regulation of energy
metabolism and biosynthesis of anabolic precursors accompany the different types
of polarization and to what extent they are necessary for the expression of the
activation phenotypes. The interest of these studies is to regulate macrophage
function by altering their metabolic activity in a 'therapeutic way'.
PMID- 26551723
TI - Manipulating membrane lipid profiles to restore T-cell function in autoimmunity.
AB - Plasma membrane lipid rafts are heterogeneous cholesterol and glycosphingolipid
(GSL)-enriched microdomains, within which the tight packing of cholesterol with
the saturated-acyl chains of GSLs creates a region of liquid-order relative to
the surrounding disordered membrane. Thus lipid rafts govern the lateral mobility
and interaction of membrane proteins and regulate a plethora of signal
transduction events, including T-cell antigen receptor (TCR) signalling. The
pathways regulating homoeostasis of membrane cholesterol and GSLs are tightly
controlled and alteration of these metabolic processes coincides with immune cell
dysfunction as is evident in atherosclerosis, cancer and autoimmunity. Indeed,
membrane lipid composition is emerging as an important factor influencing the
ability of cells to respond appropriately to microenvironmental stimuli.
Consequently, there is increasing interest in targeting membrane lipids or their
metabolic control as a novel therapeutic approach to modulate immune cell
behaviour and our recent work demonstrates that this is a promising strategy in T
cells from patients with the autoimmune disease systemic lupus erythematosus
(SLE).
PMID- 26551724
TI - Liver X receptors in immune cell function in humans.
AB - The liver X receptors (LXRs), LXRalpha and LXRbeta, are transcription factors
with well-established roles in the regulation of lipid metabolism and cholesterol
homeostasis. In addition, LXRs influence innate and adaptive immunity, including
responses to inflammatory stimuli, proliferation and differentiation, migration,
apoptosis and survival. However, the majority of work describing the role of LXRs
in immune cells has been carried out in mouse models, and there are a number of
known species-specific differences concerning LXR function. Here we review what
is known about the role of LXRs in human immune cells, demonstrating the
importance of these receptors in the integration of lipid metabolism and immune
function, but also highlighting the need for a better understanding of the
species, isoform, and cell-type specific effects of LXR activation.
PMID- 26551725
TI - Metabolic regulation of natural killer cells.
AB - Natural killer (NK) cells have key roles in anti-viral and anti-tumour immune
responses. Recent research demonstrates that cellular metabolism is an important
determinant for the function of pro-inflammatory immune cells, including
activated NK cells. The mammalian target of rapamcyin (mTOR) complex 1 (mTORC1)
has been identified as a key metabolic regulator that promotes glycolytic
metabolism in multiple immune cell subsets. Glycolysis is integrally linked to
pro-inflammatory immune responses such that activated NK cells and effector T
cell subsets are reliant on sufficient glucose availability for maximal effector
function. This article will discuss the regulation of cellular metabolism in NK
cells as compared with that of T lymphocytes and discuss the implications for NK
cell responses to viral infection and cancer.
PMID- 26551726
TI - High Exposure to Organophosphate Flame Retardants in Infants: Associations with
Baby Products.
AB - Infant products containing polyurethane foam are commonly treated with
organophosphate flame retardants (PFRs), including tris(1,3-dichloro-2
propyl)phosphate (TDCIPP) and triphenyl phosphate (TPHP). Infants may have
greater exposure due to greater contact with these products, yet little is known
about levels of exposure or the factors contributing to higher exposure. We
recruited children age 2-18 months from North Carolina to investigate PFR
exposure (n = 43; recruited 2014-2015). Parents provided information on potential
sources and modifiers of exposure, and reported whether they owned common infant
products. We measured five PFR metabolites in urine samples collected from
children. TDCIPP and TPHP metabolites (bis(1,3-dichloro-2-propyl) phosphate
(BDCIPP) and diphenyl phosphate (DPHP)) were most commonly detected (>93%
detect). Other metabolites were detected infrequently (<35% detect). Although we
did not observe a clear age trend for infants, BDCIPP levels were substantially
higher than those reported for adults (geometric mean = 7.3 ng/mL). The number of
infant products owned was strongly associated with BDCIPP; children with >16
products had BDCIPP levels that were 6.8 times those with <13 (p = 0.02). Infants
attending daycare centers also had higher BDCIPP levels (3.7 times those of
others; p = 0.07), suggesting time spent in this microenvironment contributes to
higher exposure. In contrast, DPHP levels were not related to products owned,
time in different microenvironments, or behavior.
PMID- 26551727
TI - Nodular lymphoid hyperplasia of the GI tract.
PMID- 26551728
TI - A miraculous colonoscopy: from anus to mouth.
PMID- 26551729
TI - Acute spinal cord infarction after EUS-guided celiac plexus neurolysis.
PMID- 26551730
TI - EUS-guided cyanoacrylate injection for treatment of endoscopically obscured
bleeding gastric varices.
PMID- 26551731
TI - Cap-assisted endoscopic mucosal resection of large nonampullary duodenal polyps
in MYH-associated polyposis syndrome.
PMID- 26551732
TI - Robotic-assisted flexible colonoscopy: preliminary safety and efficiency in
humans.
AB - BACKGROUND AND AIMS: The flexible endoscope is used as a platform for minimally
invasive interventions. However, control of the conventional endoscope and
multiple instruments is difficult. Robotic assistance could provide a solution
and better control for a single operator. A novel platform should also enable
interventions in areas that are currently difficult to reach. This study
evaluates the safety and efficacy of a robotic platform that guides a
conventional endoscope through the large bowel. METHODS: Adult patients scheduled
for routine diagnostic colonoscopy were included in this feasibility study. The
endoscope was introduced using a robotic add-on to provide tip bending and
air/water actuation. The endoscopist directly controlled the endoscope shaft.
Upon cecal intubation, the add-on was detached and the procedure continued using
conventional control. Primary evaluation parameters were the number of serious
adverse events and the percentage of successful cecal intubations. RESULTS: The
procedure was performed on 22 consecutive patients who all gave informed consent.
There were no serious adverse events. Cecal intubation was successful in 15
patients (68%) using the robotic add-on. Six cases were completed after
conversion to conventional control: 3 cases were converted to pass sharp
angulation in the flexures and 3 cases were converted after technical
difficulties. One case was not successful with either technique because of severe
diverticulosis. CONCLUSIONS: The robotic add-on steering module allows safe
endoscope intubation to reach intervention sites throughout the large bowel. The
next step is to clinically evaluate complementary instrument and shaft-guiding
modules in therapeutic procedures.
PMID- 26551733
TI - Crash protectiveness to occupant injury and vehicle damage: An investigation on
major car brands.
AB - This study sets out to investigate vehicles' crash protectiveness on occupant
injury and vehicle damage, which can be deemed as an extension of the traditional
crash worthiness. A Bayesian bivariate hierarchical ordered logistic (BVHOL)
model is developed to estimate the occupant protectiveness (OP) and vehicle
protectiveness (VP) of 23 major car brands in Florida, with considering vehicles'
crash aggressivity and controlling external factors. The proposed model not only
takes over the strength of the existing hierarchical ordered logistic (HOL)
model, i.e. specifying the order characteristics of crash outcomes and cross
crash heterogeneities, but also accounts for the correlation between the two
crash responses, driver injury and vehicle damage. A total of 7335 two-vehicle
crash records with 14,670 cars involved in Florida are used for the
investigation. From the estimation results, it's found that most of the luxury
cars such as Cadillac, Volvo and Lexus possess excellent OP and VP while some
brands such as KIA and Saturn perform very badly in both aspects. The ranks of
the estimated safety performance indices are even compared to the counterparts in
Huang et al. study [Huang, H., Hu, S., Abdel-Aty, M., 2014. Indexing crash
worthiness and crash aggressivity by major car brands. Safety Science 62, 339
347]. The results show that the rank of occupant protectiveness index (OPI) is
relatively coherent with that of crash worthiness index, but the ranks of crash
aggressivity index in both studies is more different from each other. Meanwhile,
a great discrepancy between the OPI rank and that of vehicle protectiveness index
is found. What's more, the results of control variables and hyper-parameters
estimation as well as comparison to HOL models with separate or identical
threshold errors, demonstrate the validity and advancement of the proposed model
and the robustness of the estimated OP and VP.
PMID- 26551734
TI - Trends in local newspaper reporting of London cyclist fatalities 1992-2012: the
role of the media in shaping the systems dynamics of cycling.
AB - BACKGROUND: Successfully increasing cycling across a broad range of the
population would confer important health benefits, but many potential cyclists
are deterred by fears about traffic danger. Media coverage of road traffic
crashes may reinforce this perception. As part of a wider effort to model the
system dynamics of urban cycling, in this paper we examined how media coverage of
cyclist fatalities in London changed across a period when the prevalence of
cycling doubled. We compared this with changes in the coverage of motorcyclist
fatalities as a control group. METHODS: Police records of traffic crashes
(STATS19) were used to identify all cyclist and motorcyclist fatalities in London
between 1992 and 2012. We searched electronic archives of London's largest local
newspaper to identify relevant articles (January 1992-April 2014), and sought to
identify which police-reported fatalities received any media coverage. We
repeated this in three smaller English cities. RESULTS: Across the period when
cycling trips doubled in London, the proportion of fatalities covered in the
local media increased from 6% in 1992-1994 to 75% in 2010-2012. By contrast, the
coverage of motorcyclist fatalities remained low (4% in 1992-1994 versus 5% in
2010-2012; p=0.007 for interaction between mode and time period). Comparisons
with other English cities suggested that the changes observed in London might not
occur in smaller cities with lower absolute numbers of crashes, as in these
settings fatalities are almost always covered regardless of mode share (79-100%
coverage for both cyclist and motorcyclist fatalities). CONCLUSION: In large
cities, an increase in the popularity (and therefore 'newsworthiness') of cycling
may increase the propensity of the media to cover cyclist fatalities. This has
the potential to give the public the impression that cycling has become more
dangerous, and thereby initiate a negative feedback loop that dampens down
further increases in cycling. Understanding these complex roles of the media in
shaping cycling trends may help identify effective policy levers to achieve
sustained growth in cycling.
PMID- 26551736
TI - Enhancing the linear flow of fine granules through the addition of elongated
particles.
AB - Sandglasses have been used to record time for thousands of years because of their
constant flow rates; however, they now are drawing attention for their
substantial scientific importance and extensive industrial applications. The
presence of elongated particles in a binary granular system is believed to result
in undesired flow because their shape implies a larger resistance to flow.
However, our experiments demonstrate that the addition of elongated particles can
substantially reduce the flow fluctuation of fine granules and produce a stable
linear flow similar to that in an hourglass. On the basis of experimental data
and previous reports of flow dynamics, we observed that the linear flow is driven
by the "needle particle effect," including flow orientation, reduced
agglomeration, and local perturbation. This phenomenon is observed in several
binary granular systems, including fine granules and secondary elongated
particles, which demonstrates that our simple method can be widely applied to the
accurate measurement of granular flows in industry.
PMID- 26551735
TI - Anticipatory activation of the unfolded protein response by epidermal growth
factor is required for immediate early gene expression and cell proliferation.
AB - The onco-protein epidermal growth factor (EGF) initiates a cascade that includes
activation of the ERK and AKT signaling pathways and alters gene expression. We
describe a new action of EGF-EGF receptor (EGFR), rapid anticipatory activation
of the endoplasmic reticulum stress sensor, the unfolded protein response (UPR).
Within 2 min, EGF elicits EGFR dependent activation of phospholipase C gamma
(PLCgamma), producing inositol triphosphate (IP3), which binds to IP3 receptor
(IP3R), opening the endoplasmic reticulum IP3R Ca(2+) channels, resulting in
increased intracellular Ca(2+). This calcium release leads to transient and
moderate activation of the IRE1alpha and ATF6alpha arms of the UPR, resulting in
induction of BiP chaperone. Knockdown or inhibition of EGFR, PLCgamma or IP3R
blocks the increase in intracellular Ca(2+). While blocking the increase in
intracellular Ca(2+) by locking the IP3R calcium channel with 2-APB had no effect
on EGF activation of the ERK or AKT signaling pathways, it abolished the rapid
EGF-mediated induction and repression of gene expression. Knockdown of ATF6alpha
or XBP1, which regulate UPR-induced chaperone production, inhibited EGF
stimulated cell proliferation. Supporting biological relevance, increased levels
of EGF receptor during tumor progression were correlated with increased
expression of the UPR gene signature. Anticipatory activation of the UPR is a new
role for EGF. Since UPR activation occurs in <2 min, it is an initial cell
response when EGF binds EGFR.
PMID- 26551737
TI - VEGFR-1 activation-induced MMP-9-dependent invasion in hepatocellular carcinoma.
AB - AIM: VEGFR-1 can promote invasion through epithelial-mesenchymal transition
induction in hepatocellular carcinoma (HCC). This study aims to elucidate VEGFR-1
impact on proteolytic enzymes profile involved with invasion. MATERIALS &
METHODS: The effect on cell invasion was evaluated by invasive and migration
assays with and without VEGFR-1 activation. The mechanism was investigated by
real-time PCR, western blot and gelatin zymography using inhibitors for MMP-9. In
total, 95 HCC patients were enrolled for its clinical value evaluation. RESULTS:
VEGFR-1 activation induced invasion in HCC cells with an increase in the
expression and activity of MMP-9 and Snail. MMP-9 blockage effectively inhibited
VEGFR-1-induced invasion. High coexpression of both in HCC predicted a worse
clinical outcome. CONCLUSION: Data show a novel VEGFR-1 activation-to-MMP-9
mechanism promoting HCC invasion.
PMID- 26551739
TI - Greetings to the Reader.
PMID- 26551740
TI - Regulation of TRPC6 ion channels in podocytes - Implications for focal segmental
glomerulosclerosis and acquired forms of proteinuric diseases.
AB - The glomerular filtration barrier is a highly specialized tri-layer structure
with unique functional properties. Podocyte dysfunction and cytoskeletal
disorganization leads to disruption of the slit diaphragma, and proteinuria.
Inflammatory diseases involving the kidney as well as inherited podocytopathies
or diabetic nephropathy cause injury of the podocyte network. Focal segmental
glomerulosclerosis (FSGS) is a pathologic entity that is a common cause of
nephrotic syndrome with severe proteinuria in both adults and children. Several
causative genes have been identified in the pathogenesis of FSGS. Mutations of
the transient receptor potential canonical-6 (TRPC6), a non-selective cation
channel that is directly activated by diacylglycerol (DAG), cause a particularly
aggressive form of FSGS. Angiotensin II, acting through its AT1 receptor, plays a
critical role in generation of proteinuria and progression of kidney injury in a
number of kidney diseases, including FSGS. Mounting evidence suggest the central
role of TRPC6 and perhaps other TRPC channels in the pathogenesis of FSGS as well
as of acquired forms of proteinuria such as diabetic nephropathy or hypertension.
Identification of signaling pathways downstream of TRPC6 may provide novel
targets for the treatment of proteinuria and prevent progression of podocyte
injury.
PMID- 26551741
TI - Radiofrequency ablation of focal atrial tachycardia: Benefit of electroanatomical
mapping over conventional mapping.
AB - BACKGROUND: Catheter ablation is a proven therapy of focal atrial tachycardia.
However limited information is available about the additional value of
electroanatomical over conventional mapping methods for this specific arrhythmia.
METHODS: Consecutive catheter ablation procedures of FAT were analyzed in two
cardiology centres. Only conventional mapping was used in 30 of the 60 procedures
whereas additionally CARTO mapping was performed in another 30 procedures. Acute,
six-month success rate, and procedural data were analyzed. RESULTS: Localization
of ectopic foci is congruent with previously published data. There was no
statistically significant difference between procedure time and fluoroscopy time
using additionally CARTO mapping, compared to conventional mapping only. Acute
success rate was higher in procedures guided by CARTO mapping than in procedures
based on conventional mapping (27/30 vs. 18/30, p = 0.0081). During the 6-month
follow-up period there was a better outcome (p = 0.045) in case of CARTO guided
procedures (success: 11 cases, partial success: 12 cases, failure: 4 cases)
compared to conventional mapping (success: 4 cases, partial success: 18 cases,
failure: 7 cases). CONCLUSIONS: Catheter ablation of focal atrial tachycardias
using the CARTO electroanatomical mapping system seems to provide higher acute
and 6-month success rate compared to ablation using conventional mapping methods
only.
PMID- 26551738
TI - Ectopic lymphoid follicles: inducible centres for generating antigen-specific
immune responses within tissues.
AB - Lymphoid neogenesis is traditionally viewed as a pre-programmed process that
promotes the formation of lymphoid organs during development. Here, the spatial
organization of T and B cells in lymph nodes and spleen into discrete structures
regulates antigen-specific responses and adaptive immunity following immune
challenge. However, lymphoid neogenesis is also triggered by chronic or
persistent inflammation. Here, ectopic (or tertiary) lymphoid organs frequently
develop in inflamed tissues as a response to infection, auto-immunity,
transplantation, cancer or environmental irritants. Although these structures
affect local immune responses, the contribution of these lymphoid aggregates to
the underlining pathology are highly context dependent and can elicit either
protective or deleterious outcomes. Here we review the cellular and molecular
mechanisms responsible for ectopic lymphoid neogenesis and consider the relevance
of these structures in human disease.
PMID- 26551742
TI - Characteristics of cardiorespiratory output determining factors among 11-19-year
old boys at rest and during maximal load: Its impact on systolic hypertension.
AB - As consequence of the expansion of sedentary lifestyle among schoolchildren the
prevalence of particular symptoms related to decreased cardiorespiratory fitness
increases. The purpose of this study was twofolds, on one hand to compare boys in
three developmental groups: second childhood (G1), puberty (G2), young adult (G3)
and on the other hand to compare groups classified on resting systolic blood
pressure (RSBP) to differentiate cardiorespiratory output determining factors
both at rest and at maximal load. Randomly selected apparently healthy boys were
assessed, all subjects (n = 282) performed an incremental treadmill test until
fatigue. Heart rate (HR), systolic and diastolic blood pressure (SBP and DBP),
and oxygen consumption were measured. Resting HR was higher and resting SBP and
DBP were lower in the G1 as compared to G2 and G3 (p < 0.05) but not differed at
maximal loads. However indicators of cardiovascular load differed between groups.
The oxygen pulse and Q were the lowest in the G1 and increased significantly
between groups (p < 0.05). In conclusion based on our data we can suggest that
there is an observable development of hypertension associated with maturation and
cardiac output determining factors.
PMID- 26551743
TI - Oscillation of tissue oxygen index in non-exercising muscle during exercise.
AB - The purpose of the present study was to examine how oscillation of tissue oxygen
index (TOI) in non-exercising exercise is affected during high-intensity and low
intensity exercises. Three exercises were performed with exercise intensities of
30% and 70% peak oxygen uptake (Vo(2)peak) for 12 min and with exercise intensity
of 70% Vo(2)peak for 30 s. TOI in non-exercising muscle (biceps brachii) during
the exercises for 12 min was determined by nearinfrared spectroscopy. TOI in the
non-exercising muscle during the exercises was analyzed by fast Fourier transform
(FFT) to obtain power spectra density (PSD). The frequency at which maximal PSD
appeared (Fmax) during the exercise with 70% Vo(2)peak for 12 min (0.00477 +/-
0.00172 Hz) was significantly lower than that during the exercise with 30%
Vo2peak for 12 min (0.00781 +/- 0.00338 Hz). There were significant differences
in blood pH and blood lactate between the exercise with 70% Vo(2)peak and the
exercise with 30% Vo(2)peak. It is concluded that TOI in nonexercising muscle
oscillates during low-intensity exercise as well as during high-intensity
exercise and that the difference in Fmax between the two exercises is associated
with the difference in increase in blood lactate derived from the exercise.
PMID- 26551744
TI - Plasma volume after heat acclimation: Variations due to season, fitness and
methods of measurement.
AB - PURPOSE: The reported magnitude of plasma volume increase (Delta%PV) following
heat acclimation (HA) varies widely. Variations may result from differences in
measurement techniques, season and subjects' fitness. This report compares direct
and indirect measurements of Delta%PV after 10 days of HA from studies in winter
(WIN, n = 8) and summer (SUM, n = 10) in men, age 21-43 yr, at two fitness levels
(VO(2)max: 35 and 51 ml/min/kg). Direct measurements were made before and after
HA (cycling at 30% of VO(2)max at 50 degrees C, for 100 min/day) by carbon
monoxide (CO) rebreathing and compared with indirect estimates from changes in
hematocrit, hemoglobin and plasma protein concentration. RESULTS: Overall,
Delta%PV by CO was small (2.9%) and greater in SUM than WIN (5.0 vs. 0.3%). Red
cell, blood and plasma volumes/kg lean body mass increased in SUM and decreased
in WIN, the difference being significant, and Delta%PV by CO was similar for high
and low VO(2)max. CONCLUSION: Overall, indirect estimates of Delta%PV by
hemoglobin and hematocrit were similar to CO, but tended to differentiate by
fitness and not season. The difference in THb increase in SUM and decrease in WIN
was significant. This probably accounts for the differences from the seasonal and
fitness results by the direct CO method.
PMID- 26551745
TI - Heat stress attenuates skeletal muscle atrophy of extensor digitorum longus in
streptozotocin-induced diabetic rats.
AB - To investigate whether heat stress attenuates skeletal muscle atrophy of the
extensor digitorum longus (EDL) muscle in streptozotocin-induced diabetic rats,
12-week-old male Wistar rats were randomly assigned to four groups (n = 6 per
group): control (Con), heat stress (HS), diabetes mellitus (DM), and diabetes
mellitus/heat stress (DM + HS). Diabetes was induced by intraperitoneal injection
of streptozotocin (50 mg/kg). Heat stress was induced in the HS and DM + HS
groups by immersion of the lower half of the body in hot water at 42 degrees C
for 30 min; it was initiated 7 days after injection of streptozotocin, and was
performed once a day, five times a week for 3 weeks. The muscle fiber cross
sectional area of EDL muscles from diabetic and non-diabetic rats was determined;
heat stress protein (HSP) 72 and HSP25 expression levels were also analyzed by
western blotting. Diabetes-induced muscle fiber atrophy was attenuated upon heat
stress treatment in diabetic rats. HSP72 and HSP25 expression was upregulated in
the DM + HS group compared with the DM group. Our findings suggest that heat
stress attenuates atrophy of the EDL muscle by upregulating HSP72 and HSP25
expression.
PMID- 26551746
TI - Examination of the interaction of different lighting conditions and chronic mild
stress in animal model.
AB - We examined the effects of different shift work schedules and chronic mild stress
(CMS) on mood using animal model. The most common international shift work
schedules in nursing were applied by three groups of Wistar-rats and a control
group with normal light-dark cycle. One subgroup from each group was subjected to
CMS. Levels of anxiety and emotional life were evaluated in light-dark box.
Differences between the groups according to independent and dependent variables
were examined with one- and two-way analysis of variance, with a significance
level defined at p < 0.05. Interaction of lighting regimen and CMS was proved to
be significant according to time spent in the light compartment and the average
number of changes between the light and dark compartments. Results of our
examination confirm that the changes of lighting conditions evocate anxiety more
prominently than CMS. No significant differences were found between the results
of the low rotating group and the control group, supposing that this schedule is
the least harmful to health. Our results on the association between the use of
lighting regimens and the level of CMS provide evidence that the fast rotating
shift work schedule puts the heaviest load on the organism of animals.
PMID- 26551747
TI - A comparative study of the physical development and motor performance of mentally
non-handicapped children and children with intellectual and development
disabilities.
AB - Several studies state that there might be a difference in the physical
development and the motor performance of the mentally non-handicapped children
and those with intellectual and development disabilities. The aim of our research
was to compare the two groups from these aspects. The study included the
assessment of the physical development and motor performance of altogether 225
primary school pupils (mentally non-handicapped and with intellectual and
development disabilities) aged 8-11. The following indicators of physical
development and build were examined: body height, body weight and body mass index
(BMI), musculoskeletal plasticity index, biceps and triceps skinfold thickness.
The motor tests included: 20 m dash, standing long jump, medicine-ball throwing,
six minutes continuous running, obstacle race-test and a match test. We also
examined the children's chronological (decimal) and morphological age. Data were
analysed with SPSS programme. The differences between the averages were
calculated with ANOVA and Fisher's LSD tests. The results show that the children
with intellectual and development disabilities are in general less developed
physically than non-handicapped children of the same age and sex. It is also
concluded that in most motor tests the children with intellectual and development
disabilities fall behind the non-handicapped ones.
PMID- 26551748
TI - Effects of therapeutic horse riding on gait cycle parameters and some aspects of
behavior of children with autism.
AB - We studied effects of therapeutic riding on the development of children with
autism. Experiments in walking is appropriate for assessing the coordination of
movement and for following the changes. We found that therapeutic riding should
be considered as a new form of rehabilitation. Twenty-six pupils (12 boys and 14
girls) of a special needs school participated in therapeutic riding. We analyzed
walking twice during a school-term: full body analyses each time before and after
one-month therapy. The research included a non-riding control group. All together
104 analyses were performed. We measured mental skills using Pedagogical Analysis
and Curriculum (PAC) test consisting of four parts being communication, self
care, motor skills and socialization. The Gait Cycle Analysis consists of the
time-series analysis, the analysis of part of the gait cycle and the measurement
of joint angles in each plane. We found significant differences between before
and after the therapy in the length of the gait cycle that became more stable in
the sagital plane and concluded that our results proved that horse therapy may be
successfully used as an additional therapy for children with autism, and it may
be a form of rehabilitation in cases when other therapies are not successful.
PMID- 26551749
TI - Menstrual cycle phase effects free testosterone responses to prolonged aerobic
exercise.
AB - Research has shown that total testosterone (tT) levels in women increase acutely
during a prolonged bout of aerobic exercise. Few studies, however, have
considered the impact of the menstrual cycle phase on this response or have
looked at the biologically active free testosterone (fT) form responses.
Therefore, this study examined the fT concentration response independently and as
a percentage (fT%) of tT to prolonged aerobic exercise during phases of the
menstrual cycle with low estrogen-progesterone (L-EP; i.e., follicular phase) and
high estrogen-progesterone (H-EP; i.e., luteal phase). Ten healthy,
recreationally trained, eumennorrheic women (X +/- SD: age = 20 +/- 2 y, mass =
58.7 +/- 8.3 kg, body fat = 22.3 +/- 4.9 %, VO(2max) = 50.7 +/- 9.0 ml/kg/min)
participated in a laboratory based study and completed a 60-minute treadmill run
during the L-EP and H-EP menstrual phases at ~70% of VO(2max). Blood was drawn
prior to (PRE), immediately after (POST) and following 30 minutes of recovery
(30POST) with each 60-minute run. During H-EP, there was a significant increase
in fT concentrations from PRE to POST (p < 0.01) while in L-EP fT levels were
unchanged; which resulted in fT being significantly higher at H-EP POST versus L
EP POST (p < 0.03). Area-under-the-curve (AUC) responses were calculated, for fT
the total AUC was greater in H-EP than L-EP (p < 0.04). There was no significant
interaction of fT% between phases and exercise sampling time. There was, however,
a main effect for exercise where fT% POST was a greater proportion of tT than at
PRE (p < 0.01). In summary, hormonal changes associated with the menstrual cycle
impact fT response to a prolonged aerobic exercise bout; specifically, there
being higher levels under H-EP conditions. This suggests more biologically active
T is available during exercise in this phase. This response may be a function of
the higher core temperatures found with H-EP causing greater sex hormone binding
protein release of T, or could be a function of greater degrees of glandular
production. Further work is warranted to elucidate the mechanism of this
occurrence. It is recommended that researchers examining T responses to exercise
in women look at both tT and fT forms in order to have an accurate endocrine
assessment in women.
PMID- 26551750
TI - Evaluation of physicochemical properties and in vivo efficiency of atorvastatin
calcium/ezetimibe solid dispersions.
AB - Fixed-dose combination of atorvastatin calcium (ATV) and ezetimibe (EZT) provides
a considerable advantage in the management of hyperlipidemia. However, both ATV
and EZT suffer from the poor aqueous solubility, which can limit their oral
bioavailability. The aim of the present study was to improve the in vitro
performance and evaluate the in vivo efficiency of the improved (ATV/EZT) fixed
dose combination. The formulation was prepared through solid dispersion
(SD)technique, using Polyvinylpyrrolidone K30 via solvent method. Solid-state
analysis and the in vitro drug release of the prepared formulations were also
assessed. In order to estimate the therapeutic efficiency of the prepared SDs, in
vivo studies including measurement of serum lipid levels, liver index and
histological analysis of the liver tissue in hyperlipidemic rats were conducted.
Differential scanning calorimetry (DSC) and powder X-ray diffractometry (PXRD)
showed that the drugs crystallinity was notably decreased during the preparation
process. All SDs showed enhanced release for both drugs compared to their binary
mixture, drugs: polymer physical mixtures (PMs) and marketed product.
Administration of ATV/EZT SD led to a remarkable decrease (P<0.05) in the serum
levels of total cholesterol (TC) and LDL-C in the high fat diet-induced
hyperlipidemic rats compared to the PM. Additionally, the histopathological
examination of the liver tissue revealed the improved efficiency of the SDs on
the liver steatosis. According to the obtained results, ATV/EZT SD with improved
physicochemical characteristics, showed favorable effects on the serum lipid
levels and liver steatosis.
PMID- 26551751
TI - Carbon black nanoparticles induce biphasic gene expression changes associated
with inflammatory responses in the lungs of C57BL/6 mice following a single
intratracheal instillation.
AB - Inhalation of carbon black nanoparticles (CBNPs) causes pulmonary inflammation;
however, time course data to evaluate the detailed evolution of lung inflammatory
responses are lacking. Here we establish a time-series of lung inflammatory
response to CBNPs. Female C57BL/6 mice were intratracheally instilled with 162
MUg CBNPs alongside vehicle controls. Lung tissues were examined 3h, and 1, 2, 3,
4, 5, 14, and 42 days (d) post-exposure. Global gene expression and pulmonary
inflammation were assessed. DNA damage was evaluated in bronchoalveolar lavage
(BAL) cells and lung tissue using the comet assay. Increased neutrophil influx
was observed at all time-points. DNA strand breaks were increased in BAL cells 3h
post-exposure, and in lung tissues 2-5d post-exposure. Approximately 2600 genes
were differentially expressed (+/- 1.5 fold; p <= 0.05) across all time-points in
the lungs of exposed mice. Altered transcript levels were associated with immune
inflammatory response and acute phase response pathways, consistent with the BAL
profiles and expression changes found in common respiratory infectious diseases.
Genes involved in DNA repair, apoptosis, cell cycle regulation, and muscle
contraction were also differentially expressed. Gene expression changes
associated with inflammatory response followed a biphasic pattern, with initial
changes at 3h post-exposure declining to base-levels by 3d, increasing again at
14 d, and then persisting to 42 d post-exposure. Thus, this single CBNP exposure
that was equivalent to nine 8-h working days at the current Danish occupational
exposure limit induced biphasic inflammatory response in gene expression that
lasted until 42 d post-exposure, raising concern over the chronic effects of CBNP
exposure.
PMID- 26551752
TI - Integrative analysis of genes and miRNA alterations in human embryonic stem cells
derived neural cells after exposure to silver nanoparticles.
AB - Given the rapid growth of engineered and customer products made of silver
nanoparticles (Ag NPs), understanding their biological and toxicological effects
on humans is critically important. The molecular developmental neurotoxic effects
associated with exposure to Ag NPs were analyzed at the physiological and
molecular levels, using an alternative cell model: human embryonic stem cell
(hESC)-derived neural stem/progenitor cells (NPCs). In this study, the cytotoxic
effects of Ag NPs (10-200MUg/ml) were examined in these hESC-derived NPCs, which
have a capacity for neurogenesis in vitro, at 6 and 24h. The results showed that
Ag NPs evoked significant toxicity in hESC-derived NPCs at 24h in a dose
dependent manner. In addition, Ag NPs induced cell cycle arrest and apoptosis
following a significant increase in oxidative stress in these cells. To further
clarify the molecular mechanisms of the toxicological effects of Ag NPs at the
transcriptional and post-transcriptional levels, the global expression profiles
of genes and miRNAs were analyzed in hESC-derived NPCs after Ag NP exposure. The
results showed that Ag NPs induced oxidative stress and dysfunctional
neurogenesis at the molecular level in hESC-derived NPCs. Based on this hESC
derived neural cell model, these findings have increased our understanding of the
molecular events underlying developmental neurotoxicity induced by Ag NPs in
humans.
PMID- 26551753
TI - Low-dose exposure of silica nanoparticles induces cardiac dysfunction via
neutrophil-mediated inflammation and cardiac contraction in zebrafish embryos.
AB - The toxicity mechanism of nanoparticles on vertebrate cardiovascular system is
still unclear, especially on the low-level exposure. This study was to explore
the toxic effect and mechanisms of low-dose exposure of silica nanoparticles
(SiNPs) on cardiac function in zebrafish embryos via the intravenous
microinjection. The dosage of SiNPs was based on the no observed adverse effect
level (NOAEL) of malformation assessment in zebrafish embryos. The mainly cardiac
toxicity phenotypes induced by SiNPs were pericardial edema and bradycardia but
had no effect on atrioventricular block. Using o-Dianisidine for erythrocyte
staining, the cardiac output of zebrafish embryos was decreased in a dose
dependent manner. Microarray analysis and bioinformatics analysis were performed
to screen the differential expression genes and possible pathway involved in
cardiac function. SiNPs induced whole-embryo oxidative stress and neutrophil
mediated cardiac inflammation in Tg(mpo:GFP) zebrafish. Inflammatory cells were
observed in atrium of SiNPs-treated zebrafish heart by histopathological
examination. In addition, the expression of TNNT2 protein, a cardiac contraction
marker in heart tissue had been down-regulated compared to control group using
immunohistochemistry. Confirmed by qRT-PCR and western blot assays, results
showed that SiNPs inhibited the calcium signaling pathway and cardiac muscle
contraction via the down-regulated of related genes, such as ATPase-related genes
(atp2a1l, atp1b2b, atp1a3b), calcium channel-related genes (cacna1ab, cacna1da)
and the regulatory gene tnnc1a for cardiac troponin C. Moreover, the protein
level of TNNT2 was decreased in a dose-dependent manner. For the first time, our
results demonstrated that SiNPs induced cardiac dysfunction via the neutrophil
mediated cardiac inflammation and cardiac contraction in zebrafish embryos.
PMID- 26551754
TI - Hyphenation of Hadamard Encoded Multiplexing Liquid Chromatography and Circular
Dichroism Detection to Improve the Signal-to-Noise Ratio in Chiral Analysis.
AB - The hyphenation of HPLC and circular dichroism (CD) detection is a useful
analytical tool that can significantly facilitate the analysis (e.g., the
assignment of the configuration) and quantitation of chiral compounds. HPLC-CD
chromatograms often exhibit a low signal-to-noise ratio compared to chromatograms
obtained by conventional UV detection. In this study we demonstrate for the first
time the hyphenation of Hadamard encoded multiplexing HPLC with circular
dichroism detection where positive and negative signals overlap. Here, a macro
control of the HPLC instrument that was developed for conventional HPLC was
implemented in HPLC-CD. In the chiral analysis of racemic samples, exemplified
for warfarin, the signal-to-noise ratio could be enhanced by an order of
magnitude. The presented results highlight the great modularity of the software
controlled implementation of multiplexing and its facile transfer to other
detection techniques.
PMID- 26551756
TI - Genetic Correlation Between Schizophrenia and Epilepsy.
PMID- 26551755
TI - Oriented Bioconjugation of Unmodified Antibodies to Quantum Dots Capped with
Copolymeric Ligands as Versatile Cellular Imaging Tools.
AB - Distinctive optical properties of inorganic quantum dot (QD) nanoparticles
promise highly valuable probes for fluorescence-based detection methods,
particularly for in vivo diagnostics, cell phenotyping via multiple markers or
single molecule tracking. However, despite high hopes, this promise has not been
fully realized yet, mainly due to difficulties at producing stable, nontoxic QD
bioconjugates of negligible nonspecific binding. Here, a universal platform for
antibody binding to QDs is presented that builds upon the controlled
functionalization of CdSe/CdS/ZnS nanoparticles capped with a multidentate
dithiol/zwitterion copolymer ligand. In a change-of-paradigm approach, thiol
groups are concomitantly used as anchoring and bioconjugation units to covalently
bind up to 10 protein A molecules per QD while preserving their long-term
colloidal stability. Protein A conjugated to QDs then enables the oriented,
stoichiometrically controlled immobilization of whole, unmodified antibodies by
simple incubation. This QD-protein A immobilization platform displays remarkable
antibody functionality retention after binding, usually a compromised property in
antibody conjugation to surfaces. Typical QD-protein A-antibody assemblies
contain about three fully functional antibodies. Validation experiments show that
these nanobioconjugates overcome current limitations since they retain their
colloidal stability and antibody functionality over 6 months, exhibit low
nonspecific interactions with live cells and have very low toxicity: after 48 h
incubation with 1 MUM QD bioconjugates, HeLa cells retain more than 80% of their
cellular metabolism. Finally, these QD nanobioconjugates possess a high
specificity for extra- and intracellular targets in live and fixed cells. The
dithiol/zwitterion QD-protein A nanoconjugates have thus a latent potential to
become an off-the-shelf tool destined to unresolved biological questions.
PMID- 26551757
TI - Interaction of Charged Colloidal Particles at the Air-Water Interface.
AB - We study, using Monte Carlo simulations, the interaction between charged
colloidal particles confined to the air-water interface. The dependence of force
on ionic strength and counterion valence is explored. For 1:1 electrolyte, we
find that the electrostatic interaction at the interface is very close to the one
observed in the bulk. On the other hand, for salts with multivalent counterions,
an interface produces an enhanced attraction between like charged colloids.
Finally, we explore the effect of induced surface charge at the air-water
interface on the interaction between colloidal particles.
PMID- 26551758
TI - Flow Cytometric Analysis of Mononuclear Phagocytes in Nondiseased Human Lung and
Lung-Draining Lymph Nodes.
AB - RATIONALE: The pulmonary mononuclear phagocyte system is a critical host defense
mechanism composed of macrophages, monocytes, monocyte-derived cells, and
dendritic cells. However, our current characterization of these cells is limited
because it is derived largely from animal studies and analysis of human
mononuclear phagocytes from blood and small tissue resections around tumors.
OBJECTIVES: Phenotypic and morphologic characterization of mononuclear phagocytes
that potentially access inhaled antigens in human lungs. METHODS: We acquired and
analyzed pulmonary mononuclear phagocytes from fully intact nondiseased human
lungs (including the major blood vessels and draining lymph nodes) obtained en
bloc from 72 individual donors. Differential labeling of hematopoietic cells via
intrabronchial and intravenous administration of antibodies within the same lobe
was used to identify extravascular tissue-resident mononuclear phagocytes and
exclude cells within the vascular lumen. Multiparameter flow cytometry was used
to identify mononuclear phagocyte populations among cells labeled by each route
of antibody delivery. MEASUREMENTS AND MAIN RESULTS: We performed a phenotypic
analysis of pulmonary mononuclear phagocytes isolated from whole nondiseased
human lungs and lung-draining lymph nodes. Five pulmonary mononuclear phagocytes
were observed, including macrophages, monocyte-derived cells, and dendritic cells
that were phenotypically distinct from cell populations found in blood.
CONCLUSIONS: Different mononuclear phagocytes, particularly dendritic cells, were
labeled by intravascular and intrabronchial antibody delivery, countering the
notion that tissue and blood mononuclear phagocytes are equivalent systems.
Phenotypic descriptions of the mononuclear phagocytes in nondiseased lungs
provide a precedent for comparative studies in diseased lungs and potential
targets for therapeutics.
PMID- 26551759
TI - A bioanalytical assay to distinguish cellular uptake routes for liposomes.
AB - Lipid-based nanoparticles are frequently used for drug or DNA delivery into
mammalian cells. However it is difficult to determine whether such particles are
taken up via endocytosis or fusion to the plasma membrane. Here, we propose a
simple and reliable analytical method to do so based on the unique spectral
properties of the fluorescent tracer BODIPY FL. At high local concentrations,
this dye displays an additional red-shifted emission peak that is absent at low
concentrations. In dye-loaded liposomes taken up by endocytosis, the local dye
concentration did not significantly change upon internalization. Accordingly,
unchanged fluorescence spectra were detected. When cells were incubated with
liposomes able to fuse with the plasma membrane of mammalian cells, a reduction
of local dye concentration and much weaker emission in the red-shifted peak were
observed. The ratio of intensities in both fluorescence channels was shown to be
a reliable indicator of the cellular uptake mechanism.
PMID- 26551760
TI - Grapheme-Color Synesthesia and Posttraumatic Stress Disorder: Preliminary Results
From the Veterans Health Study: Erratum.
PMID- 26551761
TI - How intersectional constructions of sexuality, culture, and masculinity shape
identities and sexual decision-making among men who have sex with men in coastal
Kenya.
AB - Men who have sex with men are increasingly recognised as one of the most
vulnerable HIV risk groups in Kenya. Sex between men is highly stigmatised in
Kenya, and efforts to provide sexual health services to men who have sex with men
require a deeper understanding of their lived experiences; this includes how such
men in Kenya construct their sexual identities and how these constructions affect
sexual decision-making. Adult self-identified men who have sex with men (n = 26)
in Malindi, Kenya, participated in individual interviews to examine sociocultural
processes influencing sexual identity construction and decision-making. Four key
themes were identified: (1) tensions between perceptions of 'homosexuality'
versus being 'African', (2) gender-stereotyped beliefs about sexual positioning,
(3) socioeconomic status and limitations to personal agency and (4)
objectification and commodification of non-normative sexualities. Findings from
this analysis emphasise the need to conceive of same-sex sexuality and HIV risk
as context-dependent social phenomena. Multiple sociocultural axes were found to
converge and shape sexual identity and sexual decision-making among this
population. These axes and their interactive effects should be considered in the
design of future interventions and other public health programmes for men who
have sex with men in this region.
PMID- 26551762
TI - The Role of CYP2C8 and CYP2C9 Genotypes in Losartan-Dependent Inhibition of
Paclitaxel Metabolism in Human Liver Microsomes.
AB - The aim of the present study was to further investigate a previously identified
metabolic interaction between losartan and paclitaxel, which is one of the marker
substrates of CYP2C8, by using human liver microsomes (HLMs) from donors with
different CYP2C8 and CYP2C9 genotypes. Although CYP2C8 and CYP2C9 exhibit genetic
linkage, previous studies have yet to determine whether losartan or its active
metabolite, EXP-3174 which is specifically generated by CYP2C9, is responsible
for CYP2C8 inhibition. Concentrations of 6alpha-hydroxypaclitaxel and EXP-3174
were measured by high-performance liquid chromatography after incubations with
paclitaxel, losartan or EXP-3174 in HLMs from seven donors with different CYP2C8
and CYP2C9 genotypes. The half maximal inhibitory concentration (IC50 ) values
were not fully dependent on CYP2C8 genotypes. Although the degree of inhibition
was small, losartan significantly inhibited the production of 6alpha
hydroxypaclitaxel at a concentration of 1 MUmol/L in only HL20 with the
CYP2C8*3/*3 genotype. HLMs with either CYP2C9*2/*2 or CYP2C9*1/*3 exhibited a
lower losartan intrinsic clearance (Vmax /Km ) than other HLMs including those
with CYP2C9*1/*1 and CYP2C9*1/*2. Significant inhibition of 6alpha
hydroxypaclitaxel formation by EXP-3174 could only be found at levels that were
50 times higher (100 MUmol/L) than the maximum concentration generated in the
inhibition study using losartan. These results suggest that the metabolic
interaction between losartan and paclitaxel is dependent on losartan itself
rather than its metabolite and that the CYP2C8 inhibition by losartan is not
affected by the CYP2C9 genotype. Further study is needed to define the effect of
CYP2C8 genotypes on losartan-paclitaxel interaction.
PMID- 26551763
TI - Protective Effect of Zingiber Officinale against CCl4-Induced Liver Fibrosis Is
Mediated through Downregulating the TGF-beta1/Smad3 and NF-KB/IKB Pathways.
AB - No ideal hepatoprotective agents are available in modern medicine to effectively
prevent liver disorders. In this study, we aimed at evaluating the potential of
Zingiber officinale in the regression of liver fibrosis and its underlining
mechanism of action. To induce liver fibrosis, male Wistar rats received CCl4 (2
ml/kg/2 times/week; i.p.), with and without 300 or 600 mg/kg Z. officinale
extract daily through oral gavage. To assess the protective effect of Z.
officinale, liver function parameters, histopathology, inflammatory markers and
gene expression of transforming growth factor-beta 1 (TGF-beta1)/Smad3 and
nuclear factor-kappa B (NF-KB)/IKB pathways were analyzed. Results demonstrate
that Z. officinale extract markedly prevented liver injury as evident by the
decreased liver marker enzymes. Concurrent administration of Z. officinale
significantly protected against the CCl4-induced inflammation as showed by the
decreased pro-inflammatory cytokine levels as well as the downregulation of the
NF-KB)/IKB and TGF-beta1/Smad3 pathways in CCl4-administered rats. In conclusion,
our study provides evidence that the protective effect of Z. officinale against
rat liver fibrosis could be explained through its ability to modulate the TGF
beta1/Smad3 and NF-KB)/IKB signaling pathways.
PMID- 26551764
TI - Electrical mapping in bipolar disorder patients during the oddball paradigm.
AB - Bipolar disorder (BD) is characterized by an alternated occurrence between acute
mania episodes and depression or remission moments. The objective of this study
is to analyze the information processing changes in BP (Bipolar Patients)
(euthymia, depression and mania) during the oddball paradigm, focusing on the
P300 component, an electric potential of the cerebral cortex generated in
response to external sensorial stimuli, which involves more complex
neurophysiological processes related to stimulus interpretation. Twenty-eight
bipolar disorder patients (BP) (17 women and 11 men with average age of 32.5, SD:
9.5) and eleven healthy controls (HC) (7 women and 4 men with average age of
29.78, SD: 6.89) were enrolled in this study. The bipolar patients were divided
into 3 major groups (i.e., euthymic, depressive and maniac) according to the
score on the Clinical Global Impression--Bipolar Version (CGI-BP). The subjects
performed the oddball paradigm simultaneously to the EEG record. EEG data were
also recorded before and after the execution of the task. A one-way ANOVA was
applied to compare the P300 component among the groups. After observing P300 and
the subcomponents P3a and P3b, a similarity of amplitude and latency between
euthymic and depressive patients was observed, as well as small amplitude in the
pre-frontal cortex and reduced P3a response. This can be evidence of impaired
information processing, cognitive flexibility, working memory, executive
functions and ability to shift the attention and processing to the target and
away from distracting stimuli in BD. Such neuropsychological impairments are
related to different BD symptoms, which should be known and considered, in order
to develop effective clinical treatment strategies.
PMID- 26551765
TI - How Patient Comments Affect Consumers' Use of Physician Performance Measures.
AB - BACKGROUND: Patients' comments about doctors are increasingly available on the
internet. The effects of these anecdotal accounts on consumers' engagement with
reports on doctor quality, use of more statistically reliable performance
measures, and ability to choose doctors wisely are unknown. OBJECTIVE: To examine
the effects of providing patient comments along with standardized performance
information in a web-based public report. DESIGN: Participants were randomly
assigned to view 1 of 6 versions of a website presenting comparative performance
information on fictitious primary care doctors. Versions varied by the
combination of information types [Consumer Assessment of Healthcare Providers and
Systems (CAHPS), Healthcare Effectiveness Data and Information Set (HEDIS), and
patient comments] and number of doctors. PARTICIPANTS: A random sample of working
age adults (N=848) from an online panel representing the noninstitutionalized
population of the United States. MAIN MEASURES: Time spent and actions taken on
the website, probing of standardized measures, and decision quality (chosen
doctor rated highest on quantifiable metrics, chosen doctor not dominated by
another choice). Secondary outcomes were perceived usefulness and trustworthiness
of performance metrics and evaluations of the website. KEY RESULTS: Inclusion of
patient comments increased time spent on the website by 35%-42% and actions taken
(clicks) by 106%-117% compared with versions presenting only CAHPS and HEDIS
measures (P<0.01). It also reduced participants' attention to standardized
measures (eg, percentage of time probing HEDIS measures dropped by 67%, P<0.01).
When patient comments were present, fewer participants chose the doctor scoring
highest on standardized metrics (44%-49% vs. 61%-62%, P<0.01). CONCLUSIONS:
Including patient comments in physician performance reports enhances consumers'
engagement but reduces their attention to standardized measures and substantially
increases suboptimal choices. More research is needed to explore whether
integrated reporting strategies could leverage the positive effects of patient
comments on consumer engagement without undermining consumers' use of other
important metrics for informing choice among doctors.
PMID- 26551766
TI - Application of the EVEX resource to event extraction and network construction:
Shared Task entry and result analysis.
AB - BACKGROUND: Modern methods for mining biomolecular interactions from literature
typically make predictions based solely on the immediate textual context, in
effect a single sentence. No prior work has been published on extending this
context to the information automatically gathered from the whole biomedical
literature. Thus, our motivation for this study is to explore whether mutually
supporting evidence, aggregated across several documents can be utilized to
improve the performance of the state-of-the-art event extraction systems.
RESULTS: In the GE task, our re-ranking approach led to a modest performance
increase and resulted in the first rank of the official Shared Task results with
50.97% F-score. Additionally, in this paper we explore and evaluate the usage of
distributed vector representations for this challenge. CONCLUSIONS: For the GRN
task, we were able to produce a gene regulatory network from the EVEX data,
warranting the use of such generic large-scale text mining data in network
biology settings. A detailed performance and error analysis provides more insight
into the relatively low recall rates.
PMID- 26551768
TI - Minocycline ameliorates prenatal valproic acid induced autistic behaviour,
biochemistry and blood brain barrier impairments in rats.
AB - Autism is a neurodevelopment disorder. One percent worldwide population suffers
with autism and males suffer more than females. Microglia plays an important role
in neurodevelopment, neuropsychiatric and neurodegenerative disorders. The
present study has been designed to investigate the role of minocycline in
prenatal valproic acid induced autism in rats. Animals with prenatal valproic
acid have reduced social interaction (three chamber social behaviour apparatus),
spontaneous alteration (Y-Maze), exploratory activity (Hole board test),
intestinal motility, serotonin levels (both in prefrontal cortex and ileum) and
prefrontal cortex mitochondrial complex activity (complexes I, II, IV).
Furthermore, prenatal valproic acid treated animals have shown an increase in
locomotion (actophotometer), anxiety (elevated plus maze), brain oxidative stress
(thiobarbituric acid reactive species, glutathione, catalase), nitrosative stress
(nitrite/nitrate), inflammation (both in brain and ileum myeloperoxidase
activity), calcium and blood brain barrier permeability. Treatment with
minocycline significantly attenuated prenatal valproic acid induced reduction in
social interaction, spontaneous alteration, exploratory activity intestinal
motility, serotonin levels and prefrontal cortex mitochondrial complex activity.
Furthermore, minocycline has also attenuated prenatal valproic acid induced
increase in locomotion, anxiety, brain oxidative and nitrosative stress,
inflammation, calcium and blood brain barrier permeability. Thus, it may be
concluded that prenatal valproic acid has induced autistic behaviour,
biochemistry and blood brain barrier impairment in animals, which were
significantly attenuated by minocycline. Minocycline should be explored further
for its therapeutic benefits in autism.
PMID- 26551767
TI - The role of prefrontal cortex during postural control in Parkinsonian syndromes a
functional near-infrared spectroscopy study.
AB - Postural instability represents a main source of disability in Parkinsonian
syndromes and its pathophysiology is poorly understood. Indirect probes (i.e.,
mental imagery) of brain involvement support the role of prefrontal cortex as a
key cortical region for postural control in older adults with and without
Parkinsonian syndromes. Using functional near infrared spectroscopy (fNIRs) as a
direct online cortical probe, this study aimed to compare neural activation
patterns in prefrontal cortex, postural stability, and their respective
interactions, in (1) patients with Parkinsonian syndromes; (2) those with mild
parkinsonian signs; (3) and healthy older adults. Among 269 non-demented older
adults (76.41 +/- 6.70 years, 56% women), 26 individuals presented with
Parkinsonian syndromes (Unified Parkinson's disease rating scale (UPDRS): 11.08
+/- 3.60), 117 had mild parkinsonian signs (UPDRS: 3.21 +/- 2.49), and 126
individuals were included as a healthy control group. Participants were asked to
stand upright and count silently for ten seconds while changes in oxygenated
hemoglobin levels over prefrontal cortex were measured using fNIRs. We
simultaneously evaluated postural stability with center of pressure velocity data
recorded on an instrumented walkway. Compared to healthy controls and patients
with mild parkinsonian signs, patients with Parkinsonian syndromes demonstrated
significantly higher prefrontal oxygenation levels to maintain postural
stability. The pattern of brain activation and postural control of participants
with mild parkinsonian signs were similar to that of normal controls. These
findings highlight the online role of the prefrontal cortex in postural control
in patients with Parkinsonian syndromes and afford the opportunity to improve
therapeutic options for postural instability.
PMID- 26551769
TI - Stereoselective Synthesis of beta-Lactam-triflones under Catalyst-Free
Conditions.
AB - The first example of the synthesis of beta-lactam-triflones is described.
Treatment of 2-diazo-1-aryl-2-(trifluoromethylsulfonyl)ethanones 1c-f with imines
2 under catalyst-free heating conditions provides pharmaceutically attractive
multisubstituted beta-lactam-triflones 3 in good to high yields with regio- and
diastereoselectivities. A successive Wolff rearrangement and Staudinger [2 + 2]
cycloaddition reaction are key elements for the success of this transformation.
PMID- 26551771
TI - Trichloroacetic Acid Versus Salicylic Acid in the Treatment of Acne Vulgaris in
Dark-Skinned Patients.
AB - BACKGROUND: Treatment options for acne include chemical peeling. Trichloroacetic
acid (TCA) has been used for treating acne. The ability of TCA to diminish
corneocyte cohesion and keratinocyte plugging addresses this mode of treatment.
Salicylic acid is an excellent keratolytic agent. It is believed to function
through solubilization of intercellular cement, thereby reducing corneocyte
adhesion. OBJECTIVE: Comparing the therapeutic efficacy of TCA 25% peels with
those of salicylic acid 30% in patients with acne vulgaris. MATERIALS AND
METHODS: Twenty patients, Fitzpatrick skin Types III to V with facial acne, were
enrolled. Twenty-five percent of TCA was applied to the right half of the face
and 30% salicylic acid to the left half at 2-week interval for 2 months. RESULTS:
Total improvement was more frequent with salicylic acid peeling (95%) versus
(85%) with TCA. Total comedones improvement was more frequent with TCA peeling
(80%) versus (70%) with salicylic acid. Improvement of inflammatory lesions was
more frequent among the side treated with salicylic acid (85%) versus (80%) with
TCA peeling. However, the results did not reach the statistical significance
level. CONCLUSIONS: Trichloroacetic acid is more superior in treating comedonal
lesions, whereas salicylic is more superior in treating inflammatory lesions,
without significant different between their results.
PMID- 26551770
TI - THE IMPACT OF DEPRESSION ON MATERNAL RESPONSES TO INFANT FACES IN PREGNANCY.
AB - Research has suggested that prenatal depression may be associated with disrupted
maternal responses to infant stimuli, with depressed pregnant women not showing
the bias toward distressed infants as that observed in nondepressed pregnant
women. The current study examined the effects of depression on self- reported
responses to infant stimuli, in early pregnancy. Women with clinical depression
(n = 38), and nondepressed women (n = 67) were recruited from a wider cognitive
behavioral therapy trial. They completed Maternal Response Scales in which they
were presented with images of distressed, neutral, and happy infant faces, with
no time limit. The women rated their responses to these images along three
dimensions--wanting to comfort, wanting to turn away, and feelings of anxiety-
using Likert scales via a computerized task. There was evidence that women with
depression in pregnancy showed different responses than did women without
depression. Women with depression were substantially more likely to be in the
highest quartile for ratings of wanting to turn away, odds (OR) ratio = 4.15, 95%
confidence intervals (CIs) = 1.63-10.5, p = .003, and also were substantially
less likely to be in the highest quartile for wanting to comfort a distressed
infant face, OR = 0.22, 95% CIs = 0.09-0.54, p < .001. Findings are consistent
with there being both a heightened avoidant and a reduced comforting response
toward distressed infants in depressed pregnant women, providing some support
that depression disrupts maternal preparations at a conscious level.
PMID- 26551772
TI - Squamous Cell Carcinoma Arising in Hypertrophic Lichen Planus: A Review and
Analysis of 38 Cases.
AB - BACKGROUND: Hypertrophic lichen planus is a chronic variant of lichen planus with
controversial malignant association. OBJECTIVE: To describe and analyze the
relationship of squamous cell carcinoma (SCC) and hypertrophic lichen planus.
MATERIALS AND METHODS: A retrospective chart review of patients with hypertrophic
lichen planus and SCC was performed at the authors' institution. Thereafter,
scientific databases were searched for articles reporting cases of SCC arising in
hypertrophic lichen planus. Patient demographics, immune status, lichen planus
features, and SCC data points were extracted for each patient and evaluated.
RESULTS: Thirty-eight cases of SCC in hypertrophic lichen planus occurred in 16
women, average age: 61.4, and 22 men, average age: 51.3, after a lag time of 88
days to 40 years. Squamous cell carcinoma was uniformly located on the lower
extremity. Men had larger SCC than women (p = .027) and a significantly longer
lag time to SCC development (p = .002). Long lag time was associated with a
smaller SCC size (p = .032). CONCLUSION: In the past, hypertrophic lichen planus
and SCC have been considered isolated diseases. Based on an increasing number of
cases, the association between hypertrophic lichen planus and keratinocyte
malignancies warrants surveillance.
PMID- 26551773
TI - Q-Switched 660-nm Versus 532-nm Nd: YAG Laser for the Treatment for Facial
Lentigines in Asian Patients: A Prospective, Randomized, Double-Blinded, Split
Face Comparison Pilot Study.
AB - BACKGROUND: Q-switched (QS) 532-nm lasers are widely used to treat solar
lentigines. OBJECTIVE: To compare the efficacy and safety of 660-nm and 532-nm QS
neodymium-doped yttrium aluminum garnet (Nd:YAG) lasers in the treatment for
lentigines in Asians. MATERIALS AND METHODS: The halves of each face (randomly
chosen) of 8 Korean Fitzpatrick Skin Type III-IV women with facial solar
lentigines were treated with either 660-nm or 532-nm lasers. Pigmentation was
measured objectively using a profilometric skin analysis tool and subjectively
using the pigmentation area and severity index (PSI) score, global assessment of
the aesthetic improvement scale (GAIS), and a patient satisfaction score at Weeks
4 and 8. RESULTS: Seven patients completed the study. No significant differences
were found in the PSI, GAIS, patient satisfaction score, and melanin average
score between the lasers. The melanin average level was significantly reduced by
the 660-nm laser but not the 532-nm laser at Week 8 compared with the baseline.
CONCLUSION: Both 660-nm and 532-nm QS Nd:YAG lasers effectively reduce
pigmentation for up to 8 weeks with high patient satisfaction. The new 660-nm
laser therefore increases the treatment options for lentigines in Asian skin.
PMID- 26551774
TI - Commentary on Q-Switched 660-nm Versus 532-nm Nd: YAG Laser for the Treatment for
Facial Lentigines in Asian Patients.
PMID- 26551775
TI - TCRalphabeta CD19 depletion in allogeneic haematopoietic stem cell
transplantation performed for Hurler syndrome.
PMID- 26551776
TI - Torque teno virus in patients undergoing allogeneic hematopoietic stem cell
transplantation for hematological malignancies.
PMID- 26551777
TI - A prospective multicenter study of unrelated bone marrow transplants using a
reduced-intensity conditioning regimen with low-dose ATG-F.
PMID- 26551778
TI - T-replete haploidentical allogeneic transplantation using post-transplantation
cyclophosphamide in advanced AML and myelodysplastic syndromes.
AB - Unmanipulated haploidentical transplantation (Haplo-SCT) using post
transplantation cyclophosphamide (PT-Cy) represents an alternative for patients
with high-risk diseases lacking HLA-identical donor. Although it provides low
incidences of GVHD, the efficacy of Haplo-SCT is still questioned, especially for
patients with myeloid malignancies. Thus, we analyzed 60 consecutive patients
with refractory (n=30) or high-risk CR (n=30) AML or myelodysplastic syndromes
(MDSs) who underwent PT-Cy Haplo-SCT. The median age was 57 years (22-73 years),
hematopoietic cell transplantation comorbidity index was ?3 in 38 patients (63%)
and Haplo-SCT was the second allogeneic transplantation for 10 patients (17%).
Although most of patients received PBSC as graft source (n=48, 80%), we found low
incidences of grade 3-4 acute (2%) and severe chronic GVHD (4%). Among patients
with high-risk CR diseases, 1-year non-relapse mortality, cumulative incidence of
relapse, progression-free and overall survivals were 20%, 32%, 47% and 62%,
respectively. In patients with refractory disease, corresponding results were
34%, 35%, 32% and 37%, respectively. We conclude that PT-Cy Haplo-SCT could
provide promising anti-leukemic effect even in the setting of very advanced
diseases. Thus, it represents a viable alternative for high-risk AML/MDS patients
without HLA-identical donor.
PMID- 26551779
TI - 'Coming of Age' of artificial intelligence: evolution of survivorship care
through information technology.
PMID- 26551780
TI - A risk-adapted approach to acute GVHD treatment: are we there yet?
AB - Acute GVHD (aGVHD) is an immunologic complication of allogeneic hematopoietic
cell transplantation (HCT) that can range from mild to life-threatening. Models
to predict patients at risk of poor outcomes have been developed using both
clinical and laboratory data, and the time to test these models in clinical
trials has arrived. However, each modeling method has its potential advantages
and limitations. In this mini-review, we summarize recent refinements to these
models. We also suggest avenues for improving risk stratification through further
studies of a patient's healing capacity and predisposition to endothelial damage,
two factors that impact aGVHD outcomes but are absent from the current risk
stratification models.
PMID- 26551781
TI - Acute myeloid leukemia presenting with extensive bone marrow necrosis, leukemia
cutis and testicular involvement: successful treatment with allogeneic
hematopoietic stem cell transplantation.
PMID- 26551782
TI - Nivolumab in a patient with refractory Hodgkin's lymphoma after allogeneic stem
cell transplantation.
PMID- 26551783
TI - Analytic morphomics: a novel CT imaging approach to quantify adipose tissue and
muscle composition in allogeneic hematopoietic cell transplantation.
PMID- 26551784
TI - Ordered Porous Pd Octahedra Covered with Monolayer Ru Atoms.
AB - Monolayer Ru atoms covered highly ordered porous Pd octahedra have been
synthesized via the underpotential deposition and thermodynamic control. Shape
evolution from concave nanocube to octahedron with six hollow cavities was
observed. Using aberration-corrected high-resolution transmission electron
microscopy and X-ray photoelectron spectroscopy, we provide quantitative evidence
to prove that only a monolayer of Ru atoms was deposited on the surface of porous
Pd octahedra. The as-prepared monolayer Ru atoms covered Pd nanostructures
exhibited excellent catalytic property in terms of semihydrogenation of alkynes.
PMID- 26551786
TI - Death from Hypothermia during a Training Course under "Extreme Conditions":
Related to Two Cases.
AB - Death from hypothermia following exhaustion or from various complicated
pathologies is no longer a frequent cause of death among combat troops. During a
training course under "extreme conditions" in the French Alps, two young African
officers died. Confronted with these two clinically confirmed cases of
hypothermia, the unknown anatomopathological and biological specificities
associated with death from hypothermia were highlighted. In these typical and
clinically confirmed cases of death from subacute exhaustion hypothermia, none of
the signs revealed by the autopsy were specific. Although some recent
publications have addressed the utility of postmortem biochemical markers when
establishing a diagnosis, with no anamnesis, with no knowledge or analysis of the
circumstances of death, and without an in situ examination of the body, it
appears difficult, if not impossible, to confirm that death was caused by
hypothermia.
PMID- 26551785
TI - Polymorphisms in the Promoters of the MMP-2 and TIMP-2 Genes Are Associated with
Spontaneous Deep Intracerebral Hemorrhage in the Taiwan Population.
AB - BACKGROUND: Spontaneous intracerebral hemorrhage (ICH) is a devastating stroke
subtype. Matrix metalloproteinases (MMPs) function in the degradation of
extracellular matrix and the activities of MMPs are modulated by their endogenous
inhibitors, tissue inhibitors of metalloproteinases (TIMPs). This study aimed to
discuss relationship of MMP-2 and TIMP-2 to spontaneous deep ICH (SDICH)
susceptibility and hematoma size. METHODS: Associations were tested by logistic
regression and general linear models (GLM) where appropriate, adjusting with
covariables of age, sex, hypertension, diabetes mellitus, smoking, and alcohol
consumption. Association analyses were performed first by stratification of
genders and then by the age of 65 years old (y/o). Elder population was defined
as subjects who were older than 65 y/o. RESULTS: There were 396 SDICH patients
and 376 control subjects in this study. In the elder group, rs7503607 C>A variant
in TIMP-2 was associated with SDICH in male and overall patients (OR = 3.49, 95%
CI 1.45 to 8.40, P = 0.005 and OR = 2.45, 95% CI 1.37 to 4.38, P = 0.003,
respectively) in additive genetic model. In recessive genetic model, rs2285053 TT
genotype in MMP-2 was correlated to SDICH in male patients and overall elder
group (OR = 7.30, 95% CI 1.3 to 40, P = 0.02 and OR = 2.91, 95% CI 1.02 to 8.31,
P = 0.046, respectively), and rs7503726 AA genotype in TIMP-2 was associated with
SDICH in female patients (OR = 0.29, 95% CI 0.1 to 0.84, P = 0.02). In younger
male and overall younger patients, SDICH patients who had supratentorial
hemorrhage had significantly lower frequency of AA genotypes in rs7503726 than
those with infratentorial hemorrhage (OR = 0.36, 95% CI 0.17 to 0.75, P = 0.006
and OR = 0.43, 95% CI 0.22 to 0.84, P = 0.014, respectively). Hemorrhage size
increased by 9.7 (95% CI 2.1 to 43, P = 0.004) cm3 per minor allele (A) of the
rs7503607 variant in the elder female patients and increased by 4.3 (95% CI 1.4
to 12.9, P = 0.009) cm3 per minor allele (A) in all elder patients. In younger
patients, the hemorrhage size decreased by 3.3 (95% CI 1.2 to 9.5, P = 0.03) cm3
per minor allele of the s7503726 variant in the female patients. CONCLUSIONS:
This study showed a significant association between the variants of MMP-2 and
TIMP-2 promoters and SDICH susceptibility with significant age and gender
differences. Hemorrhage location and size might be affected by TIMP-2 promoter
variants in the SDICH patients.
PMID- 26551787
TI - Effect of genetic and environmental factors on protein biomarkers for common non
communicable disease and use of personally normalized plasma protein profiles
(PNPPP).
AB - OBJECTIVE: To study the impact of genetic and lifestyle factors on protein
biomarkers and develop personally normalized plasma protein profiles (PNPPP)
controlling for non-disease-related variance. MATERIALS AND METHODS: Proximity
extension assays were used to measure 145 proteins in 632 controls and 344 cases
with non-communicable diseases. RESULTS: Genetic and lifestyle factors explained
20-88% of the variation in healthy controls. Adjusting for these factors reduced
the number of candidate biomarkers by 63%. CONCLUSION: PNPPP efficiently controls
for non-disease-related variance, allowing both for efficient discovery of novel
biomarkers and for covariate-independent linear cut-offs suitable for clinical
use.
PMID- 26551788
TI - Evaporation- and Solution-Process-Feasible Highly Efficient Thianthrene
9,9',10,10'-Tetraoxide-Based Thermally Activated Delayed Fluorescence Emitters
with Reduced Efficiency Roll-Off.
AB - Two novel evaporation- and solution-process-feasible thermally activated delayed
fluorescence emitters, green-light-emission ACRDSO2 and yellow-light-emission
PXZDSO2, based on a brand-new electron-acceptor moiety thianthrene-9,9',10,10'
tetraoxide, are developed for organic light-emitting diodes. The solution
processed devices, without any hole-transport layer, exhibit competitive
performance and reduced efficiency roll-off compared with corresponding vacuum
deposited devices.
PMID- 26551789
TI - Consumption of garlic positively affects hedonic perception of axillary body
odour.
AB - Beneficial health properties of garlic, as well as its most common adverse effect
- distinctive breath odour - are well-known. In contrast, analogous research on
the effect of garlic on axillary odour is currently missing. Here, in three
studies varying in the amount and nature of garlic provided (raw garlic in study
1 and 2, garlic capsules in study 3), we tested the effect of garlic consumption
on the quality of axillary odour. A balanced within-subject experimental design
was used. In total, 42 male odour donors were allocated to either a "garlic" or
"non-garlic" condition, after which they wore axillary pads for 12 h to collect
body odour. One week later, the conditions were reversed. Odour samples were then
judged for their pleasantness, attractiveness, masculinity and intensity by 82
women. We found no significant differences in ratings of any characteristics in
study 1. However, the odour of donors after an increased garlic dosage was
assessed as significantly more pleasant, attractive and less intense (study 2),
and more attractive and less intense in study 3. Our results indicate that garlic
consumption may have positive effects on perceived body odour hedonicity, perhaps
due to its health effects (e.g., antioxidant properties, antimicrobial activity).
PMID- 26551790
TI - Oral versus intubated feeding and the effect on glycaemic and insulinaemic
responses, gastric emptying and satiety.
AB - Cephalic phase responses (CPR) are important in early initiation of digestion and
maximal absorption of nutrients prior to ingestion. Bypassing CPR has been shown
to have consequences on metabolic responses that may influence satiety. The aim
of this study was to investigate if using gastric intubation to bypass oro
pharyngeal and oesophageal exposure would reduce CPR including insulin and blood
glucose and whether these impact on gastric emptying and satiety. Ten male
subjects were tested on 2 occasions, 3-7 days apart after an overnight fast, in
randomized order. Subjects were cannulated and intubated with a gastric tube for
both tests. For test one, subjects ate 400 ml soup with a spoon and for test two
the soup was infused into the stomach at an equivalent rate. Subsequently
measurements of glycaemic (GR) and insulinaemic responses (IR) from cannula
samples, breath samples for measurement of gastric emptying using the [(13)C]
sodium acetate breath test and visual analogue scales (VAS) for satiety were
taken over 180 min. There were differences in IR over the first 15 min (Oral:
169.0 +/- 22.1; Gastric 124.1 +/- 18.8; t(9) = 2.67; p = 0.028) but no difference
in GR. There were differences in gastric emptying half time (Oral: 85.0 +/- 2.7;
Gastric 79.4 +/- 3.3; t(9) = 2.40; p = 0.04) and ascension time (Oral: 68.2 +/-
2.2; Gastric 64.0 +/- 2.2; t(9) = 2.57; p = 0.03) with food taking longer to
empty from the stomach on the Oral test day than on the Gastric test day. There
was no significant difference in the satiety ratings. This study demonstrated
that bypassing oro-pharyngeal and oesophageal exposure decreases the normal
physiological CPR with detriment to IR and gastric emptying.
PMID- 26551791
TI - Chronic exposure to carbon monoxide in two elderly patients using a kotatsu, a
traditional Japanese charcoal-based heater.
AB - We report on two elderly patients with cognitive impairments, for whom chronic
carbon monoxide (CO) exposure was suspected based on elevated carboxyhaemoglobin
levels in their serum. On their initial visits, cognitive impairment and brain
magnetic resonance imaging findings in both patients were compatible with the
diagnosis of Alzheimer's-type dementia. However, after discontinuation of the use
of a kotatsu, a charcoal-based heater, their serum carboxyhaemoglobin levels
normalized and their physical symptoms resolved. Their cognitive function also
slightly improved. The causal relationship between physical symptoms and
cognitive impairment after chronic CO poisoning is uncertain; however, it is
possible that chronic exposure to low CO levels exacerbated the clinical
manifestation in our patients.
PMID- 26551792
TI - Caregiving Factors Affecting Breastfeeding Duration Within a Neonatal Intensive
Care Unit.
AB - BACKGROUND: Increasingly, evidence supports oral feeding of very low birth-weight
(VLBW) preterm infants exclusively at breast or with breast milk. Despite known
breast milk benefits, outcomes related to exclusive breast milk provision are
poor. Identifying factors that promote breast milk provision is critical.
PURPOSE: Breastfeeding practices of mothers of VLBW infants admitted to neonatal
intensive care unit were explored to identify factors associated with mode of
feeding at discharge. METHOD: This retrospective study replicates previous work.
Subjects were VLBW preterm infants consecutively admitted during a 24-month
period. Primary outcomes included receiving any breast milk at discharge. Infant
variables included gestational age, postmenstrual age of first direct
breastfeeding, and comorbid conditions. Maternal variables included age and
ethnicity. Nursing practice variables included first direct-to-breastfeeding,
number of times to breast daily, and total direct-to-breastfeeding encounters 24
hours prior to discharge. RESULTS: A total of 96 VLBW infants (28.7 +/- 2.8
weeks' gestational age) met inclusion criteria. Of these, 48% received breast
milk at discharge. Controlling for significant effect of length of stay, infants
receiving first oral feed at breast were more likely discharged home receiving
breast milk (adjusted odds ratio = 8.7; 95% confidence interval, 2.9-32.3; P <
.0001). There were both an independent effect of first oral feed at breast and an
interaction where infants of nonmarried women also benefited from the first oral
feed at breast. IMPLICATIONS: Significant associations were found between first
oral feeding at breast and infant receiving any breast milk at discharge.
Targeting VLBW infants to receive first oral feeding at breast may yield the best
outcome even among sickest and smallest infants.
PMID- 26551793
TI - Mode of Birth Influences Preterm Infant Intestinal Colonization With Bacteroides
Over the Early Neonatal Period.
AB - BACKGROUND: Intestinal colonization during infancy is important to short- and
long-term health outcomes. Bacteroides, an early member of the intestinal
microbiome, is necessary for breaking down complex molecules within the intestine
and function to assist the body's immune system in fighting against potentially
harmful pathogens. Little is known about the colonization pattern of Bacteroides
in preterm infants during the early neonatal period. PURPOSE: This study measured
Bacteroides colonization during the early neonatal period in a population of
preterm infants, based on clinical factors including mode of birth, antibiotics,
and nutrition. METHODS: Bacterial DNA was isolated from 144 fecal samples from 29
preterm infants and analyzed using quantitative real-time polymerase chain
reaction. Analyses included liner mixed models to determine which clinical
factors affect Bacteroides colonization of the infant gut. RESULTS: We found that
infants born via vaginal canal had a higher rate of increase in Bacteroides than
infants born via cesarean section (P < .001). We did not find significant
associations between antibiotic administration and differences in nutritional
exposures with Bacteroides colonization. IMPLICATIONS FOR PRACTICE: These
findings highlight the significant influence of mode of birth on Bacteroides
colonization. While mode of birth is not always modifiable, these study findings
may help develop interventions for preterm infants born via cesarean section
aimed at overcoming delayed Bacteroides colonization. IMPLICATIONS FOR RESEARCH:
Greater study of the intestinal microbiome and the clinical factors relevant to
the preterm infant is needed so that interventions may be developed and tested,
resulting in optimal microbial and immune health.
PMID- 26551794
TI - Brucellosis in Sub-Saharan Africa: Current challenges for management, diagnosis
and control.
AB - Brucellosis is a highly contagious zoonosis caused by bacteria of the genus
Brucella and affecting domestic and wild mammals. In this paper, the
bacteriological and serological evidence of brucellosis in Sub-Saharan Africa
(SSA) and its epidemiological characteristics are discussed. The tools available
for the diagnosis and treatment of human brucellosis and for the diagnosis and
control of animal brucellosis and their applicability in the context of SSA are
presented and gaps identified. These gaps concern mostly the need for simpler and
more affordable antimicrobial treatments against human brucellosis, the
development of a B. melitensis vaccine that could circumvent the drawbacks of the
currently available Rev 1 vaccine, and the investigation of serological
diagnostic tests for camel brucellosis and wildlife. Strategies for the
implementation of animal vaccination are also discussed.
PMID- 26551795
TI - Major Chemical Constituents of Bamboo Shoots (Phyllostachys pubescens):
Qualitative and Quantitative Research.
AB - Bamboo shoots are a delicacy in Asia. Two novel compounds, adenine-(1'R,2'R,3'R)
cyclic butanetetraol carbonate (16) and (-)-(7R,8S)-(4-hydroxy-3
methoxyphenylglycerol 9-O-beta-D-[6-O-4-hydroxy-3-methoxybenzoyl])
glucopyranoside (20), together with 12 known nucleosides (1-12), 3 amino acids
(13-15), beta-carboline (17), and 2 megastigmane glycosides (18, 19) were
isolated from bamboo shoots (Phyllostachys pubescens). Their structures and
absolute configurations were rigorously determined by detailed spectroscopic
analysis, and the composition of carbohydrates in bamboo shoots was qualitatively
detected and quantitatively analyzed with ion chromatography. A simple, rapid,
sensitive, and accurate HPLC-UV analysis was built for routine edible quality
control of bamboo shoots, and 12 major components of bamboo shoots were
quantitatively analyzed. The major chemical constituents of bamboo shoots were
determined to be carbohydrates, amino acids, and nucleotides. These findings are
correctives to the usual view of bamboo shoots chemical composition, and the
previous research reports about the chemical composition of bamboo shoots may
have taken the aromatic amino acids and nucleotides for flavonoids and phenolic
acids.
PMID- 26551796
TI - Dynamic Optical Lattices of Subwavelength Spacing for Ultracold Atoms.
AB - We propose a scheme for realizing lattice potentials of subwavelength spacing for
ultracold atoms. It is based on spin-dependent optical lattices with a time
periodic modulation. We show that the atomic motion is well described by the
combined action of an effective, time-independent lattice of small spacing,
together with a micromotion associated with the time modulation. A numerical
simulation shows that an atomic gas can be adiabatically loaded into the
effective lattice ground state, for time scales comparable to the ones required
for adiabatic loading of standard optical lattices. We generalize our scheme to a
two-dimensional geometry, leading to Bloch bands with nonzero Chern numbers. The
realization of lattices of subwavelength spacing allows for the enhancement of
energy scales, which could facilitate the achievement of strongly correlated
(topological) states.
PMID- 26551797
TI - Measurement-Induced Localization of an Ultracold Lattice Gas.
AB - The process of measurement can modify the state of a quantum system and its
subsequent evolution. Here, we demonstrate the control of quantum tunneling in an
ultracold lattice gas by the measurement backaction imposed by the act of imaging
the atoms, i.e., light scattering. By varying the rate of light scattering from
the atomic ensemble, we show the crossover from the weak measurement regime,
where position measurements have little influence on tunneling dynamics, to the
strong measurement regime, where measurement-induced localization causes a large
suppression of tunneling--a manifestation of the quantum Zeno effect. Our study
realizes an experimental demonstration of the paradigmatic Heisenberg microscope
and sheds light on the implications of measurement on the coherent evolution of a
quantum system.
PMID- 26551798
TI - Telecom-Wavelength Atomic Quantum Memory in Optical Fiber for Heralded
Polarization Qubits.
AB - Polarization-encoded photons at telecommunication wavelengths provide a
compelling platform for practical realizations of photonic quantum information
technologies due to the ease of performing single qubit manipulations, the
availability of polarization-entangled photon-pair sources, and the possibility
of leveraging existing fiber-optic links for distributing qubits over long
distances. An optical quantum memory compatible with this platform could serve as
a building block for these technologies. Here we present the first experimental
demonstration of an atomic quantum memory that directly allows for reversible
mapping of quantum states encoded in the polarization degree of freedom of a
telecom-wavelength photon. We show that heralded polarization qubits at a telecom
wavelength are stored and retrieved with near-unity fidelity by implementing the
atomic frequency comb protocol in an ensemble of erbium atoms doped into an
optical fiber. Despite remaining limitations in our proof-of-principle
demonstration such as small storage efficiency and storage time, our broadband
light-matter interface reveals the potential for use in future quantum
information processing.
PMID- 26551799
TI - Edwards Thermodynamics for a Driven Athermal System with Dry Friction.
AB - We obtain, using semianalytical transfer operator techniques, the Edwards
thermodynamics of a one-dimensional model of blocks connected by harmonic springs
and subjected to dry friction. The theory is able to reproduce the linear
divergence of the correlation length as a function of energy density observed in
direct numerical simulations of the model under tapping dynamics. We further
characterize analytically this divergence using a Gaussian approximation for the
distribution of mechanically stable configurations, and show that it is related
to the existence of a peculiar infinite temperature critical point.
PMID- 26551800
TI - Scaling and Universality at Dynamical Quantum Phase Transitions.
AB - Dynamical quantum phase transitions (DQPTs) at critical times appear as
nonanalyticities during nonequilibrium quantum real-time evolution. Although
there is evidence for a close relationship between DQPTs and equilibrium phase
transitions, a major challenge is still to connect to fundamental concepts such
as scaling and universality. In this work, renormalization group transformations
in complex parameter space are formulated for quantum quenches in Ising models
showing that the DQPTs are critical points associated with unstable fixed points
of equilibrium Ising models. Therefore, these DQPTs obey scaling and
universality. On the basis of numerical simulations, signatures of these DQPTs in
the dynamical buildup of spin correlations are found with an associated power-law
scaling determined solely by the fixed point's universality class. An outlook is
given on how to explore this dynamical scaling experimentally in systems of
trapped ions.
PMID- 26551801
TI - Measuring Intermediate-Mass Black-Hole Binaries with Advanced Gravitational Wave
Detectors.
AB - We perform a systematic study to explore the accuracy with which the parameters
of intermediate-mass black-hole binary systems can be measured from their
gravitational wave (GW) signatures using second-generation GW detectors. We make
use of the most recent reduced-order models containing inspiral, merger, and
ringdown signals of aligned-spin effective-one-body waveforms to significantly
speed up the calculations. We explore the phenomenology of the measurement
accuracies for binaries with total masses between 50M(?) and 500M(?) and mass
ratios between 0.1 and 1. We find that (i) at total masses below ~200M(?), where
the signal-to-noise ratio is dominated by the inspiral portion of the signal, the
chirp mass parameter can be accurately measured; (ii) at higher masses, the
information content is dominated by the ringdown, and total mass is measured more
accurately; (iii) the mass of the lower-mass companion is poorly estimated,
especially at high total mass and more extreme mass ratios; and (iv) spin cannot
be accurately measured for our injection set with nonspinning components. Most
importantly, we find that for binaries with nonspinning components at all values
of the mass ratio in the considered range and at a network signal-to-noise ratio
of 15, analyzed with spin-aligned templates, the presence of an intermediate-mass
black hole with mass >100M(?) can be confirmed with 95% confidence in any binary
that includes a component with a mass of 130M(?) or greater.
PMID- 26551802
TI - Precessional Instability in Binary Black Holes with Aligned Spins.
AB - Binary black holes on quasicircular orbits with spins aligned with their orbital
angular momentum have been test beds for analytic and numerical relativity for
decades, not least because symmetry ensures that such configurations are
equilibrium solutions to the spin-precession equations. In this work, we show
that these solutions can be unstable when the spin of the higher-mass black hole
is aligned with the orbital angular momentum and the spin of the lower-mass black
hole is antialigned. Spins in these configurations are unstable to precession to
large misalignment when the binary separation r is between the values r(ud+/
)=(?(chi(1))+/-?(qchi(2)))(4)(1-q)(-2)M, where M is the total mass, q=m(2)/m(1)
is the mass ratio, and chi(1) (chi(2)) is the dimensionless spin of the more
(less) massive black hole. This instability exists for a wide range of spin
magnitudes and mass ratios and can occur in the strong-field regime near the
merger. We describe the origin and nature of the instability using recently
developed analytical techniques to characterize fully generic spin precession.
This instability provides a channel to circumvent astrophysical spin alignment at
large binary separations, allowing significant spin precession prior to merger
affecting both gravitational-wave and electromagnetic signatures of stellar-mass
and supermassive binary black holes.
PMID- 26551803
TI - Dark Matter Ignition of Type Ia Supernovae.
AB - Recent studies of low redshift type Ia supernovae (SN Ia) indicate that half
explode from less than Chandrasekhar mass white dwarfs, implying ignition must
proceed from something besides the canonical criticality of Chandrasekhar mass SN
Ia progenitors. We show that 1-100 PeV mass asymmetric dark matter, with
imminently detectable nucleon scattering interactions, can accumulate to the
point of self-gravitation in a white dwarf and collapse, shedding gravitational
potential energy by scattering off nuclei, thereby heating the white dwarf and
igniting the flame front that precedes SN Ia. We combine data on SN Ia masses
with data on the ages of SN Ia-adjacent stars. This combination reveals a
2.8sigma inverse correlation between SN Ia masses and ignition ages, which could
result from increased capture of dark matter in 1.4 vs 1.1 solar mass white
dwarfs. Future studies of SN Ia in galactic centers will provide additional tests
of dark-matter-induced type Ia ignition. Remarkably, both bosonic and fermionic
SN Ia-igniting dark matter also resolve the missing pulsar problem by forming
black holes in ?10 Myr old pulsars at the center of the Milky Way.
PMID- 26551804
TI - Chiral Alfven Wave in Anomalous Hydrodynamics.
AB - We study the hydrodynamic regime of chiral plasmas at high temperature. We find a
new type of gapless collective excitation induced by chiral effects in an
external magnetic field. This is a transverse wave, and it is present even in
incompressible fluids, unlike the chiral magnetic and chiral vortical waves. The
velocity is proportional to the coefficient of the gravitational anomaly. We
briefly discuss the possible relevance of this "chiral Alfven wave" in physical
systems.
PMID- 26551805
TI - Yangian Symmetry of Scattering Amplitudes and the Dilatation Operator in N=4
Supersymmetric Yang-Mills Theory.
AB - It is known that the Yangian of PSU(2,2|4) is a symmetry of the tree-level S
matrix of N=4 super Yang-Mills theory. On the other hand, the complete one-loop
dilatation operator in the same theory commutes with the level-one Yangian
generators only up to certain boundary terms found by Dolan, Nappi, and Witten.
Using a result by Zwiebel, we show how the Yangian symmetry of the tree-level S
matrix of N=4 super Yang-Mills theory implies precisely the Yangian invariance,
up to boundary terms, of the one-loop dilatation operator.
PMID- 26551808
TI - Nonperturbative Quantum Physics from Low-Order Perturbation Theory.
AB - The Stark effect in hydrogen and the cubic anharmonic oscillator furnish examples
of quantum systems where the perturbation results in a certain ionization
probability by tunneling processes. Accordingly, the perturbed ground-state
energy is shifted and broadened, thus acquiring an imaginary part which is
considered to be a paradigm of nonperturbative behavior. Here we demonstrate how
the low order coefficients of a divergent perturbation series can be used to
obtain excellent approximations to both real and imaginary parts of the perturbed
ground state eigenenergy. The key is to use analytic continuation functions with
a built-in singularity structure within the complex plane of the coupling
constant, which is tailored by means of Bender-Wu dispersion relations. In the
examples discussed the analytic continuation functions are Gauss hypergeometric
functions, which take as input fourth order perturbation theory and return
excellent approximations to the complex perturbed eigenvalue. These functions are
Borel consistent and dramatically outperform widely used Pade and Borel-Pade
approaches, even for rather large values of the coupling constant.
PMID- 26551809
TI - Ultrafast Charge Transfer of a Valence Double Hole in Glycine Driven Exclusively
by Nuclear Motion.
AB - We explore theoretically the ultrafast transfer of a double electron hole between
the functional groups of glycine after K-shell ionization and subsequent Auger
decay. Although a large energy gap of about 15 eV initially exists between the
two electronic states involved and coherent electronic dynamics play no role in
the hole transfer, we find that the double hole is transferred within 3 to 4 fs
between both functional ends of the glycine molecule driven solely by specific
nuclear displacements and non-Born-Oppenheimer effects. The nuclear displacements
along specific vibrational modes are of the order of 15% of a typical chemical
bond between carbon, oxygen, and nitrogen atoms and about 30% for bonds involving
hydrogen atoms. The time required for the hole transfer corresponds to less than
half a vibrational period of the involved nuclear modes. This finding challenges
the common wisdom that nuclear dynamics of the molecular skeleton are unimportant
for charge transfer processes at the few-femtosecond time scale and shows that
they can even play a prominent role. It also indicates that in x-ray imaging
experiments, in which ionization is unavoidable, valence electron redistribution
caused by nuclear dynamics might be much faster than previously anticipated.
Thus, non-Born-Oppenheimer effects may affect the apparent electron densities
extracted from such measurements.
PMID- 26551810
TI - Measurement of Dipole Matrix Elements with a Single Trapped Ion.
AB - We demonstrate a method to determine dipole matrix elements by comparing
measurements of dispersive and absorptive light ion interactions. We measure the
matrix element pertaining to the Ca II H line, i.e., the 4(2)S(1/2)<->4(2)P(1/2)
transition of (40)Ca(+), for which we find the value 2.8928(43) ea(0). Moreover,
the method allows us to deduce the lifetime of the 4(2)P(1/2) state to be
6.904(26) ns, which is in agreement with predictions from recent theoretical
calculations and resolves a long-standing discrepancy between calculated values
and experimental results.
PMID- 26551811
TI - Incompressible Polaritons in a Flat Band.
AB - We study the interplay of geometric frustration and interactions in a
nonequilibrium photonic lattice system exhibiting a polariton flat band as
described by a variant of the Jaynes-Cummings-Hubbard model. We show how to
engineer strong photonic correlations in such a driven, dissipative system by
quenching the kinetic energy through frustration. This produces an incompressible
state of photons characterized by short-ranged crystalline order with period
doubling. The latter manifests itself in strong spatial correlations, i.e., on
site and nearest-neighbor antibunching combined with extended density-wave
oscillations at larger distances. We propose a state-of-the-art circuit QED
realization of our system, which is tunable in situ.
PMID- 26551806
TI - First Observation of the Hadronic Transition Upsilon(4S)->etah(b)(1P) and New
Measurement of the h(b)(1P) and eta(b)(1S) Parameters.
AB - Using a sample of 771.6*10(6) UpsilonUpsilon(4S) decays collected by the Belle
experiment at the KEKB e(+)e(-) collider, we observe, for the first time, the
transition Upsilon(4S)->etah(b)(1P) with the branching fraction B[Upsilon(4S)
>etah(b)(1P)]=(2.18+/-0.11+/-0.18)*10(-3) and we measure the h(b)(1P) mass
M(h(b)(1P))=(9899.3+/-0.4+/-1.0) MeV/c(2), corresponding to the hyperfine (HF)
splitting DeltaM(HF)(1P)=(0.6+/-0.4+/-1.0) MeV/c(2). Using the transition
h(b)(1P)->gammaeta(b)(1S), we measure the eta(b)(1S) mass M(eta(b)(1S))=(9400.7+/
1.7+/-1.6) MeV/c(2), corresponding to DeltaM(HF)(1S)=(59.6+/-1.7+/-1.6)
MeV/c(2), the eta(b)(1S) width Gamma(eta(b)(1S))=(8(-5)(+6)+/-5) MeV/c(2) and
the branching fraction B[h(b)(1P)->gammaeta(b)(1S)]=(56+/-8+/-4)%.
PMID- 26551813
TI - Anomalous Diffraction in Cold Magnetized Plasma.
AB - Cold magnetized plasma possesses an anisotropic permittivity tensor with a unique
dispersion relation that for adequate electron density and magnetic field results
in anomalous diffraction of a right-hand circularly polarized beam. In this work,
we demonstrate experimentally anomalous diffraction of a microwave beam in
plasma. Additionally, decreasing the electron density enables observation of the
transition of the material from a hyperbolic to a standard material. Manipulation
of the control parameters will enable plasma to serve as a reconfigurable
metamaterial-like medium.
PMID- 26551812
TI - Raman-Free, Noble-Gas-Filled Photonic-Crystal Fiber Source for Ultrafast, Very
Bright Twin-Beam Squeezed Vacuum.
AB - We report a novel source of twin beams based on modulational instability in high
pressure argon-filled hollow-core kagome-style photonic-crystal fiber. The source
is Raman-free and manifests strong photon-number correlations for femtosecond
pulses of squeezed vacuum with a record brightness of ~2500 photons per mode. The
ultra-broadband (~50 THz) twin beams are frequency tunable and contain one
spatial and less than 5 frequency modes. The presented source outperforms all
previously reported squeezed-vacuum twin-beam sources in terms of brightness and
low mode content.
PMID- 26551814
TI - Universal Long-Range Nanometric Bending of Water by Light.
AB - Resolving mechanical effects of light on fluids has fundamental importance with
wide applications. Most experiments to date on optofluidic interface deformation
exploited radiation forces exerted by normally incident lasers. However, the
intriguing effects of photon momentum for any configuration, including the unique
total internal reflection regime, where an evanescent wave leaks above the
interface, remain largely unexplored. A major difficulty in resolving
nanomechanical effects has been the lack of a sensitive detection technique.
Here, we devise a simple setup whereby a probe laser produces high-contrast
Newton-ring-like fringes from a sessile water drop. The mechanical action of the
photon momentum of a pump beam modulates the fringes, thus allowing us to perform
a direct noninvasive measurement of a nanometric bulge with sub-5-nm precision.
Remarkably, a <10 nm difference in the height of the bulge due to different
laser polarizations and nonlinear enhancement in the bulge near total internal
reflection is isolated. In addition, the nanometric bulge is shown to extend far
longer, 100 times beyond the pump spot. Our high precision data validate the
century-old Minkowski theory for a general angle and offer potential for novel
optofluidic devices and noncontact nanomanipulation strategies.
PMID- 26551815
TI - Observation of Single-Mode, Kelvin-Helmholtz Instability in a Supersonic Flow.
AB - We report the first observation, in a supersonic flow, of the evolution of the
Kelvin-Helmholtz instability from a single-mode initial condition. To obtain
these data, we used a novel experimental system to produce a steady shock wave of
unprecedented duration in a laser-driven experiment. The shocked, flowing
material creates a shear layer between two plasmas at high energy density. We
measured the resulting interface structure using radiography. Hydrodynamic
simulations reproduce the large-scale structures very well and the medium-scale
structures fairly well, and imply that we observed the expected reduction in
growth rate for supersonic shear flow.
PMID- 26551816
TI - Quantum Oscillations without a Fermi Surface and the Anomalous de Haas-van Alphen
Effect.
AB - The de Haas-van Alphen effect (dHvAE), describing oscillations of the
magnetization as a function of magnetic field, is commonly assumed to be a
definite sign for the presence of a Fermi surface (FS). Indeed, the effect forms
the basis of a well-established experimental procedure for accurately measuring
FS topology and geometry of metallic systems, with parameters commonly extracted
by fitting to the Lifshitz-Kosevich (LK) theory based on Fermi liquid theory.
Here we show that, in contrast to this canonical situation, there can be quantum
oscillations even for band insulators of certain types. We provide simple
analytic formulas describing the temperature dependence of the quantum
oscillations in this setting, showing strong deviations from LK theory. We draw
connections to recent experiments and discuss how our results can be used in
future experiments to accurately determine, e.g., hybridization gaps in heavy
fermion systems.
PMID- 26551817
TI - High-T(c) Superconductivity at the Interface between the CaCuO2 and SrTiO3
Insulating Oxides.
AB - At interfaces between complex oxides it is possible to generate electronic
systems with unusual electronic properties, which are not present in the isolated
oxides. One important example is the appearance of superconductivity at the
interface between insulating oxides, although, until now, with very low T(c). We
report the occurrence of high T(c) superconductivity in the bilayer
CaCuO(2)/SrTiO(3), where both the constituent oxides are insulating. In order to
obtain a superconducting state, the CaCuO(2)/SrTiO(3) interface must be realized
between the Ca plane of CaCuO(2) and the TiO(2) plane of SrTiO(3). Only in this
case can oxygen ions be incorporated in the interface Ca plane, acting as apical
oxygen for Cu and providing holes to the CuO(2) planes. A detailed hole doping
spatial profile can be obtained by scanning transmission electron microscopy and
electron-energy-loss spectroscopy at the O K edge, clearly showing that the
(super)conductivity is confined to about 1-2 CaCuO(2) unit cells close to the
interface with SrTiO(3). The results obtained for the CaCuO(2)/SrTiO(3) interface
can be extended to multilayered high T(c) cuprates, contributing to explaining
the dependence of T(c) on the number of CuO(2) planes in these systems.
PMID- 26551818
TI - Ferromagnetic Spin Fluctuation and Unconventional Superconductivity in Rb2Cr3As3
Revealed by 75As NMR and NQR.
AB - We report (75)As nuclear magnetic resonance (NMR) and nuclear quadrupole
resonance (NQR) studies on the superconductor Rb(2)Cr(3)As(3) with a quasi-one
dimensional crystal structure. Below T~100 K, the spin-lattice relaxation rate
(1/T(1)) divided by temperature, 1/T(1)T, increases upon cooling down to T(c)=4.8
K, showing a Curie-Weiss-like temperature dependence. The Knight shift also
increases with decreasing temperature. These results suggest ferromagnetic spin
fluctuation. In the superconducting state, 1/T(1) decreases rapidly below T(c)
without a Hebel-Slichter peak, and follows a T(5) variation below T~3 K, which
points to unconventional superconductivity with point nodes in the gap function.
PMID- 26551819
TI - Two-Dimensional Superconductor with a Giant Rashba Effect: One-Atom-Layer Tl-Pb
Compound on Si(111).
AB - A one-atom-layer compound made of one monolayer of Tl and one-third monolayer of
Pb on a Si(111) surface having ?3*?3 periodicity was found to exhibit a giant
Rashba-type spin splitting of metallic surface-state bands together with two
dimensional superconducting transport properties. Temperature-dependent angle
resolved photoelectron spectroscopy revealed an enhanced electron-phonon coupling
for one of the spin-split bands. In situ micro-four-point-probe conductivity
measurements with and without magnetic field demonstrated that the (Tl,
Pb)/Si(111) system transformed into the superconducting state at 2.25 K, followed
by the Berezinskii-Kosterlitz-Thouless mechanism. The 2D Tl-Pb compound on
Si(111) is believed to be the prototypical object for prospective studies of
intriguing properties of the superconducting 2D system with lifted spin
degeneracy, bearing in mind that its composition, atomic and electron band
structures, and spin texture are already well established.
PMID- 26551820
TI - Topological Magnon Bands in a Kagome Lattice Ferromagnet.
AB - There is great interest in finding materials possessing quasiparticles with
topological properties. Such materials may have novel excitations that exist on
their boundaries which are protected against disorder. We report experimental
evidence that magnons in an insulating kagome ferromagnet can have a topological
band structure. Our neutron scattering measurements further reveal that one of
the bands is flat due to the unique geometry of the kagome lattice. Spin wave
calculations show that the measured band structure follows from a simple
Heisenberg Hamiltonian with a Dzyaloshinkii-Moriya interaction. This serves as
the first realization of an effectively two-dimensional topological magnon
insulator--a new class of magnetic material that should display both a magnon
Hall effect and protected chiral edge modes.
PMID- 26551821
TI - Disorder-Driven Spin-Orbital Liquid Behavior in the Ba3XSb2O9 Materials.
AB - Recent experiments on the Ba(3)XSb(2)O(9) family have revealed materials that
potentially realize spin- and spin-orbital liquid physics. However, the lattice
structure of these materials is complicated due to the presence of charged X(2+)
Sb(5+) dumbbells, with two possible orientations. To model the lattice structure,
we consider a frustrated model of charged dumbbells on the triangular lattice,
with long-range Coulomb interactions. We study this model using Monte Carlo
simulation, and find a freezing temperature, T(frz), at which the simulated
structure factor matches well to low-temperature x-ray diffraction data for
Ba(3)CuSb(2)O(9). At T=T(frz) we find a complicated "branching" structure of
superexchange-linked X(2+) clusters, which form a fractal pattern with fractal
dimension d(f)=1.90. We show that this gives a natural explanation for the
presence of orphan spins. Finally we provide a plausible mechanism by which such
dumbbell disorder can promote a spin-orbital resonant state with delocalized
orphan spins.
PMID- 26551822
TI - Ultrafast Nonlinear Response of Bulk Plasmons in Highly Doped ZnO Layers.
AB - Longitudinal bulk plasmons in an n-doped ZnO layer system are studied by two
color femtosecond pump-probe spectroscopy in the midinfrared. The optical bulk
plasmon resonance identified in linear reflectivity spectra undergoes a strong
redshift and a limited broadening upon intraband excitation of electrons. The
nonlinear changes of plasmon absorption decay on a time scale of 2 ps and
originate from the intraband redistribution of electrons. Theoretical
calculations explain the plasmon redshift by the transient increase of the
ensemble-averaged electron mass and the concomitantly reduced plasma frequency in
the hot electron plasma. The observed bulk plasmon nonlinearity holds strong
potential for applications in plasmonics.
PMID- 26551824
TI - Entropy-Driven Chiral Order in a System of Achiral Bent Particles.
AB - Why should achiral particles organize into a helical structure? Here, using
theory and molecular dynamics simulations we show that at high concentration
crescent-shaped particles interacting through a purely repulsive potential form
the twist-bend nematic phase, which features helical order of the twofold
symmetry axes of particles, with doubly degenerate handedness. Spontaneous
breaking of the chiral symmetry is driven by the entropic gain that derives from
the decrease in excluded volume in the helical arrangement. Crucial to this
purpose is the concave shape of particles. This study is based on a general
formulation of the Onsager theory, which includes biaxiality and polarity of
phase and particles, in addition to the space modulation of order. Molecular
dynamics simulations corroborate the theoretical predictions and provide further
insights into the structure of the helical phase.
PMID- 26551823
TI - Gigahertz Dielectric Polarization of Substitutional Single Niobium Atoms in
Defective Graphitic Layers.
AB - We synthesize two Nb/C composites with an order of magnitude difference in the
density of single niobium atoms substituted into defective graphitic layers. The
concentration and sites of single Nb atoms are identified using aberration
corrected scanning transmission electron microscopy and density functional
theory. Comparing the experimental complex permittivity spectra reveals that a
representative dielectric resonance at ~16 GHz originates from the intrinsic
polarization of single Nb atom sites, which is confirmed by theoretical
simulations. The single-atom dielectric resonance represents the physical limit
of the electromagnetic response of condensed matter, and thus might open up a new
avenue for designing electromagnetic wave absorption materials. Single-atom
resonance also has important implications in understanding the correlation
between the macroscopic dielectric behaviors and the atomic-scale structural
origin.
PMID- 26551825
TI - Lagrangian Descriptors of Thermalized Transition States on Time-Varying Energy
Surfaces.
AB - Thermalized chemical reactions driven under dynamical load are characteristic of
activated dynamics for arbitrary nonautonomous systems. Recent generalizations of
transition state theory to obtain formally exact rates have required the
construction of a time-dependent transition state trajectory. Here, we show that
Lagrangian descriptors can be used to obtain this structure directly. By
developing a phase space separatrix that is void of recrossings, these constructs
allow for the principal criterion in the implementation of modern rate theories
to be satisfied. Thus, the reactive flux over a time-varying barrier can be
determined without ambiguity in chemical reactions. The generality of the
formalism suggests that this approach is applicable to any activated system
subjected to arbitrary driving and thermal fluctuations.
PMID- 26551826
TI - Sensing Polymer Chain Dynamics through Ring Topology: A Neutron Spin Echo Study.
AB - Using neutron spin echo spectroscopy, we show that the segmental dynamics of
polymer rings immersed in linear chains is completely controlled by the host.
This transforms rings into ideal probes for studying the entanglement dynamics of
the embedding matrix. As a consequence of the unique ring topology, in long chain
matrices the entanglement spacing is directly revealed, unaffected by local
reptation of the host molecules beyond this distance. In shorter entangled
matrices, where in the time frame of the experiment secondary effects such as
contour length fluctuations or constraint release could play a role, the ring
motion reveals that the contour length fluctuation is weaker than assumed in
state-of-the-art rheology and that the constraint release is negligible. We
expect that rings, as topological probes, will also grant direct access to
molecular aspects of polymer motion which have been inaccessible until now within
chains adhering to more complex architectures.
PMID- 26551827
TI - Theory of the Sea Ice Thickness Distribution.
AB - We use concepts from statistical physics to transform the original evolution
equation for the sea ice thickness distribution g(h) from Thorndike et al. into a
Fokker-Planck-like conservation law. The steady solution is g(h)=N(q)h(q)e(-h/H),
where q and H are expressible in terms of moments over the transition
probabilities between thickness categories. The solution exhibits the functional
form used in observational fits and shows that for h?1, g(h) is controlled by
both thermodynamics and mechanics, whereas for h?1 only mechanics controls g(h).
Finally, we derive the underlying Langevin equation governing the dynamics of the
ice thickness h, from which we predict the observed g(h). The genericity of our
approach provides a framework for studying the geophysical-scale structure of the
ice pack using methods of broad relevance in statistical mechanics.
PMID- 26551828
TI - Comment on "Interplay between Water and TiO2 Anatase (101) Surface with
Subsurface Oxygen Vacancy".
PMID- 26551829
TI - Comment on "Boson Peak in Deeply Cooled Confined Water: A Possible Way to Explore
the Existence of the Liquid-to-Liquid Transition in Water".
PMID- 26551830
TI - Wang et al. Reply.
PMID- 26551831
TI - Erratum: Fabry-Perot Interferometer with Quantum Mirrors: Nonlinear Light
Transport and Rectification [Phys. Rev. Lett. 113, 243601 (2014)].
PMID- 26551832
TI - Erratum: Asymptotic Scaling Behavior of Self-Avoiding Walks on Critical
Percolation Clusters [Phys. Rev. Lett. 113, 255701 (2014)].
PMID- 26551833
TI - Multiple eruptive milia on scalp.
PMID- 26551834
TI - Overview: A New Era of Cancer Genomics in Lymphoid Malignancies.
AB - Novel technologies including next-generation sequencing have not only delineated
the molecular pathogenesis of lymphoid malignancies but also identified novel
biomarkers predicting the outcome of specific therapies. In addition, many
actionable genetic alterations, which can be targeted by either specific
therapeutic compounds or monoclonal antibodies, have been discovered. An
appropriate selection of the patients enrolled in clinical trials using novel
drugs targeting specific mutations will usher in a new era of personalized
medicine in clinical practice of lymphoid malignancies.
PMID- 26551835
TI - Three Residues Make an Evolutionary Switch for Folding and RNA-Destabilizing
Activity in the TTP Family of Proteins.
AB - Tristetraprolin (TTP) binds to mRNA transcripts to promote their degradation. The
TTP protein family in humans includes two other proteins, TIS11b and TIS11d. All
three proteins contain a highly homologous RNA binding domain (RBD) that consists
of two CCCH zinc fingers (ZFs). Both ZFs are folded in the absence of RNA in
TIS11d and TIS11b. In TTP, however, only ZF1 adopts a stable fold. The focus of
this study is to understand the origin and biological significance of the
structural differences of the RBD. We identified three residues that affect the
affinity for the structural Zn(2+) and determine the folding of ZF2 in the
absence of RNA. We observed that the mRNA destabilizing activity of TTP was
increased when the partially disordered RBD of TTP was replaced with the fully
structured RBD of TIS11d, indicating that differences in the folded state of the
RBD affect the activity of the proteins in the cell.
PMID- 26551807
TI - Measurements of Elliptic and Triangular Flow in High-Multiplicity 3He+Au
Collisions at ?(s(NN))=200 GeV.
AB - We present the first measurement of elliptic (v(2)) and triangular (v(3)) flow in
high-multiplicity (3)He+Au collisions at ?(s(NN))=200 GeV. Two-particle
correlations, where the particles have a large separation in pseudorapidity, are
compared in (3)He+Au and in p+p collisions and indicate that collective effects
dominate the second and third Fourier components for the correlations observed in
the (3)He+Au system. The collective behavior is quantified in terms of elliptic
v(2) and triangular v(3) anisotropy coefficients measured with respect to their
corresponding event planes. The v(2) values are comparable to those previously
measured in d+Au collisions at the same nucleon-nucleon center-of-mass energy.
Comparisons with various theoretical predictions are made, including to models
where the hot spots created by the impact of the three (3)He nucleons on the Au
nucleus expand hydrodynamically to generate the triangular flow. The agreement of
these models with data may indicate the formation of low-viscosity quark-gluon
plasma even in these small collision systems.
PMID- 26551837
TI - A Glimpse of Microbial Power in Preventive Medicine.
PMID- 26551836
TI - Mass Spectrometric Analysis of Surface-Exposed Regions in the Hexadecameric
Phosphorylase Kinase Complex.
AB - Phosphorylase kinase (PhK) is a 1.3 MDa (alphabetagammadelta)4 enzyme complex, in
which alphabetagammadelta protomers associate in D2 symmetry to form two large
octameric lobes that are interconnected by four bridges. The approximate
locations of the subunits have been mapped in low-resolution cryo-electron
microscopy structures of the complex; however, the disposition of the subunits
within the complex remains largely unknown. We have used partial proteolysis and
chemical footprinting in combination with high-resolution mass spectrometry to
identify surface-exposed regions of the intact nonactivated and phospho-activated
conformers. In addition to the known interaction of the gamma subunit's C
terminal regulatory domain with the delta subunit (calmodulin), our exposure
results indicate that the catalytic core of gamma may also anchor to the PhK
complex at the bottom backside of its C-terminal lobe facing away from the active
site cleft. Exposed loops on the alpha and beta regulatory subunits within the
complex occur at regions overlapping with tissue-specific alternative RNA splice
sites and regulatory phosphorylatable domains. Their phosphorylation alters the
surface exposure of alpha and beta, corroborating previous biophysical and
biochemical studies that detected phosphorylation-dependent conformational
changes in these subunits; however, for the first time, specific affected regions
have been identified.
PMID- 26551838
TI - Early invasive fungal infections and colonization in patients with cirrhosis
admitted to the intensive care unit.
AB - Bacterial infections in cirrhosis are common and associated with increased
mortality, but little is known about fungal infections. The aim of this study, a
sub-analysis of the Fungal Infection Risk Evaluation study, was to assess the
incidence and implications of early invasive fungal disease (IFD) in patients
with cirrhosis admitted to intensive care units (ICU). Clinical and laboratory
parameters collected in the first 3 days of ICU stay for 782 patients with
cirrhosis and/or portal hypertension were analysed and compared with those of 273
patients with very severe cardiovascular disease (CVD). The CVD patients had more
co-morbidities and higher APACHE II scores. The overall incidence of IFD was
similar in the two groups, but the incidence of IFD in ICU was higher in liver
patients (1% versus 0.4%; p 0.025) as was fungal colonization (23.8% versus
13.9%; p 0.001). The ICU and in-hospital mortality, and length of stay were
similar in the two groups. A higher proportion of liver patients received
antifungal therapy (19.2% versus 7%; p <0.0005). There was no difference in
mortality between colonized patients who received antifungal therapy and
colonized patients who did not. The incidence of IFD in patients with cirrhosis
in ICU is higher compared with another high-risk group, although it is still very
low. This risk might be higher in patients with advanced liver disease admitted
with acute-on-chronic liver failure, and this should be investigated further. Our
data do not support prophylactic use of antifungal therapy in cirrhosis.
PMID- 26551840
TI - Characterization of an emergent clone of enteroinvasive Escherichia coli
circulating in Europe.
AB - Enteroinvasive Escherichia coli (EIEC) cause intestinal illness indistinguishable
from that caused by Shigella, mainly in developing countries. Recently an upsurge
of cases of EIEC infections has been observed in Europe, with two large outbreaks
occurring in Italy and in the United Kingdom. We have characterized
phenotypically and genotypically the strains responsible for these epidemics
together with an additional isolate from a sporadic case isolated in Spain. The
three isolates belonged to the same rare serotype O96:H19 and were of sequence
type ST-99, never reported before in EIEC or Shigella. The EIEC strains
investigated possessed all the virulence genes harboured on the large plasmid
conferring the invasive phenotype to EIEC and Shigella while showing only some of
the known chromosomal virulence genes and none of the described pathoadaptative
mutations. At the same time, they displayed motility abilities and biochemical
requirements resembling more closely those of the non-pathogenic E. coli rather
than the EIEC and Shigella strains used as reference. Our observations suggested
that the O96:H19 strains belong to an emerging EIEC clone, which could be the
result of a recent event of acquisition of the invasion plasmid by commensal E.
coli.
PMID- 26551841
TI - Infections related to Actinotignum schaalii (formerly Actinobaculum schaalii): a
3-year prospective observational study on 50 cases.
PMID- 26551842
TI - Correlation between body mass index and faecal microbiota from children.
AB - Childhood obesity is an increasing problem at the global level and considered as
a risk factor for obesity development and the associated co-morbidities in adult
life. In this study, the occurrence of Bacteroides fragilis group, Clostridium
spp., Bifidobacterium spp. and Escherichia coli in 84 faecal samples from 30
obese, 24 overweight and 30 lean children was verified by culture technique and
quantitative determination by quantitative PCR. In addition, Lactobacillus spp.
and Methanobrevibacter smithii were also analysed. A correlation between the body
mass index (BMI) and these bacteria was sought. Bacteroides vulgatus, Clostridium
perfringens and Bifidobacterium adolescentis were most prevalent in all samples
evaluated by culture-method. The B. fragilis group were found at high
concentrations in obese and overweight children when compared with the lean ones
(p 0.015). The obese and overweight children harboured higher numbers of
Lactobacillus spp. than lean children (p 0.022). The faecal concentrations of the
B. fragilis group (r = 0.24; p 0.026) and Lactobacillus spp. (r = 0.44; p 0.002)
were positively correlated with BMI. Bifidobacterium spp. were found in higher
numbers in the lean group than the overweight and obese ones (p 0.042).
Furthermore, a negative correlation between BMI and Bifidobacterium spp. copy
number (r = -0.22; p 0.039) was observed. Our findings show some difference in
the intestinal microbial ecosystem of obese children compared with the lean ones
and a significant association between number of Lactobacillus spp. and B.
fragilis group and BMI.
PMID- 26551839
TI - Increased risk of virologic failure to the first antiretroviral regimen in HIV
infected migrants compared to natives: data from the ICONA cohort.
AB - Migrant and Italian HIV-infected patients (n = 5773) enrolled in the ICONA cohort
in 2004-2014 were compared for disparities in access to an initial antiretroviral
regimen and/or risk of virologic failure (VF), and determinants of failure were
evaluated. Variables associated with initiating antiretroviral therapy (ART) were
analysed. Primary endpoint was time to failure after at least 6 months of ART and
was defined as: VF, first of two consecutive virus loads (VL) >200 copies/mL;
treatment discontinuation (TD) for any reason; and treatment failure as confirmed
VL >200 copies/mL or TD. A Poisson multivariable analysis was performed to
control for confounders. Migrants presented significantly lower CD4 counts and
more frequent AIDS events at baseline. When adjusting for baseline confounders,
migrants presented a lower likelihood to begin ART (odds ratio 0.80, 95%
confidence interval (CI) 0.67-0.95, p 0.012). After initiating ART, the incidence
VF rate was 6.4 per 100 person-years (95% CI 4.8-8.5) in migrants and 2.7 in
natives (95% CI 2.2-3.3). Multivariable analysis confirmed that migrants had a
higher risk of VF (incidence rate ratio 1.90, 95% CI 1.25-2.91, p 0.003) and
treatment failure (incidence rate ratio 1.16, 95% CI 1.01-1.33, p 0.031), with no
differences for TD. Among migrants, variables associated with VF were age,
unemployment and use of a boosted protease inhibitor-based regimen versus
nonnucleoside reverse transcriptase inhibitors. Despite the use of more potent
and safer drugs in the last 10 years, and even in a universal health care
setting, migrants living with HIV still present barriers to initiating ART and an
increased risk of VF compared to natives.
PMID- 26551843
TI - CMI editorial report, 2016.
PMID- 26551844
TI - Seroprevalence of Toscana virus in dogs from Kabylia (Algeria).
PMID- 26551845
TI - [Which Factors Affect Out-of-pocket Payments for Health Care Services Among
Elderly Germans? Results of a Longitudinal Study].
AB - Background: In Germany, out-of-pocket payments (OOPP) account for a large
proportion of total health expenditure. However, there are only few
investigations on how morbidity-related, sociodemographic and lifestyle factors
affect OOPP particularly in the older population. The aim of this study was to
identify factors affecting OOPP for health care services among elderly Germans in
a longitudinal setting. Methods: This longitudinal study used data from 2 follow
up waves (3-year interval) from a population-based prospective cohort study
(ESTHER study) collected in Saarland, Germany. At the first follow-up wave,
subjects were between 57 and 84 years old. Participants provided comprehensive
data including individual OOPP for the preceding 3 months. Fixed effects (FE)
regressions were used to determine factors affecting OOPP. Results: Mean
individual OOPP (3-month period) rose from ? 119 (first wave) to ? 136 (second
wave). Longitudinal regressions showed that higher morbidity did not affect OOPP.
Moreover, changes in sociodemographic as well as lifestyle factors were not
related to changes in OOPP. Solely, exemption of OOPP reduced the dependent
variable significantly. Conclusion: In contrast to cross-sectional findings for
Germany, OOPP are not related to morbidity and income in this study. This
underlines the complex nature of OOPP in old age and the need for longitudinal
studies to gain some insight into the underlying causal factors.
PMID- 26551846
TI - [Delegation of Medical Treatment to Non-physician Health Care Professionals: The
Medical Care Structure agneszwei in Brandenburg - A Qualitative Acceptance
Analysis].
AB - Backround: To address the increasing shortage of primary care physicians in rural
regions, pilot model projects were tested, where general practitioners delegate
certain physician tasks including house calls to qualified physician assistants.
Evaluations show a high level of acceptance among participating physicians,
medical assistants and patients. This study aims to measure the quality of
cooperation among professionals participating in an outpatient health care
delegation structure agneszwei with a focus on case management in Brandenburg.
Methods: We conducted 10 qualitative semi-structured expert interviews among 6
physicians and 4 physician's assistants. Results: Physicians and physicians'
assistants reported the cooperative action to be successful and as an advantage
for patients. The precondition for successful cooperation is that non-physician
health care professionals strictly respect the governance of the General
Practitioners. Physicians report that the delegation of certain medical tasks
reduces their everyday workload. Physician assistants derive professional
satisfaction from the confidential relationship they have with the patients. All
physician assistants are in favor of medical tasks being delegated to them in
regular medical outpatient care, while most physicians are skeptical or reluctant
despite their reported positive experience. Conclusion: Despite the high level of
acceptance of delegating some medical tasks to physician assistants, the
negotiation process of introducing cooperative working structures in the
outpatient health care system is still at the beginning.
PMID- 26551848
TI - [Evaluation of the Activities of Community Pharmacies during the Annual Campaign
with Focus on Diabetes Prevention].
AB - With its increasing incidence, diabetes is one of the major challenges of the
21th century. Against this background, the Bavarian State Ministry of Public
Health and Care Services (BStMGP) started in 2014 the campaign "Diabetes moves
us!". The scientific institute for prevention in health care (WIPIG) supported
the activities of Bavarian pharmacies and evaluated the extent to which they
might be able to contribute towards prevention. Besides additional training of
pharmaceutical staff, WIPIG initiated a diabetes prevention network. Pharmacies
that were members of the network had the opportunity to order a campaign package
including an evaluation questionnaire and to register their activity in the
calendar of events of the campaign. A total of 215 pharmacies signed up for the
diabetes prevention network and registered 103 events. The WIPIG received 67
completed evaluation questionnaires. Most often (86.6%) the pharmacies conducted
a blood glucose screening; 76.1% carried out screening with the diabetes risk
questionnaire FINDRISC of the German Diabetes Foundation and 22.4% gave a
information lecture on diabetes. During the screening 2,502 persons had their
blood sugar checked and 1,765 persons filled in the FINDRISC questionnaire.
Overall, 190 persons were advised to visit their physician because of a very high
blood glucose level. On the basis of the FINDRISC, 80.2% were advised to change
their lifestyle to prevent type 2 diabetes.
PMID- 26551847
TI - [Return to Work After Shoulder Arthroscopy as an Index of Cost Effectiveness].
AB - Cost-effectiveness of shoulder arthroscopy was analyzed and assessed by the days
off work as part of the indirect costs. We retrospectively evaluated a group of
266 inpatients on sick leave after arthroscopic shoulder surgery. Mean duration
till return to full duty was 9.5 days, the mean sick leave benefit was ? 485.
There was a statistically significant difference in the mean time to return to
work between the older (age >50) and the younger group (age under 50). Secondary
data analysis of sick leave and sickness benefits as indirect costs of medical
treatment seems to be well suited to provide essentiell information to health
care policy makers and those charged with distributing disability funds.
PMID- 26551849
TI - [The Role of Psychological and Technology-related Personality Traits and
Knowledge Levels as Factors Influencing Adoption of Telemonitoring by Medical
Professionals].
AB - OBJECTIVES: Information and communication technologies are becoming increasingly
important in health care. Randomized clinical trials have shown that
telemonitoring in particular leads to improved quality of care as well as
shortened hospital stays and reduced health care costs. For its long-term
anchoring in medical care, user-oriented technology needs to be developed, taking
into account the complex structures of technology acceptance METHODS:: Knowledge
of and attitudes towards telemonitoring amongst medical professionals were
investigated using an online-based approach with a random sample of n=614; the
response rate was 21% (n=133). The emergence of positive attitude patterns
towards telemonitoring was analyzed using the relationships between psychological
and technology-related personality traits, and perceived knowledge was determined
using a regression model. RESULTS: Positive attitudes towards telemonitoring are
significantly influenced by the individual's knowledge and agreeableness, which
is strongly characterized by altruistic traits and interpersonal trust. There is
a strong association with an improvement in the quality of care, while there are
differences in attitudes towards telemonitoring between health care sectors and
gender. Overall, only 57% of the physicians surveyed feel sufficiently informed
about the use of telemonitoring. CONCLUSION: Medical evidence is crucial for the
further development of telemedicine in general and telemonitoring in particular.
Improvements need to be made in knowledge transfer, the exchange of best practice
solutions and the anchoring of telemedicine in education and training.
PMID- 26551850
TI - [Validation of SHI Claims Data Exemplified by Gender-specific Diagnoses].
AB - Aim: Use of statutory health insurance (SHI) data in health services research is
increasing steadily and questions of validity are gaining importance. Using
gender-specific diagnosis as an example, the aim of this study was to estimate
the prevalence of implausible diagnosis and demonstrate an internal validation
strategy. Method: The analysis is based on the SHI data from Baden-Wurttemberg
for 2012. Subject of validation are gender-specific outpatient diagnoses that
mismatch with the gender of the insured. To uncover this implausibility, it is
necessary to clarify whether the diagnosis or the gender is wrong. The validation
criteria used were the presence of further gender-specific diagnoses, the
presence of gender-specific settlement items, the specialization of the physician
in charge and the gender assignment of the first name of the insured. To review
the quality of the validation, it was verified if the gender was changed during
the following year. Results: Around 5.1% of all diagnoses were gender-specific
and there was a mismatch between diagnosis and gender in 0.04% of these cases.
All validation criteria were useful to sort out implausibility, whereas the last
one was the most effective. Only 14% remained unsolved. From the total of 1 145
insured with implausible gender-specific diagnoses, one year later 128 had a new
gender (in the data). 119 of these cases were rightly classified as insured with
wrong gender and 9 cases were in the unsolved group. This confirms that the
validation works well. Conclusion: Implausibility in SHI data is relatively small
and can be solved with appropriate validation criteria. When validating SHI data,
it is advisable to question all data used critically, to use multiple validation
criteria instead of just one and to abandon the idea that reality and the
associated data conform to standardized norms. Keeping these aspects in mind,
analysis of SHI data is a good starting point for research in health services.
PMID- 26551851
TI - [Advising Women to Avoid Excessive Gestational Weight Gain: What do Pregnant
Women Think?]
AB - Gestational weight gain above the IOM recommendations is a risk factor for both
pregnancy complications and maternal and childhood overweight. Therefore,
pregnant women should be advised about their weight gain. Do these women have
special specific needs? A total of 34 pregnant women were interviewed. Using
Mayring's frequency analysis, we found that these pregnant women were not aware
of health consequences of excessive weight gain. Furthermore, we identified 4
main types of nutritional behavior: (i) women who are informed but do not put
into practice their knowledge, (ii) women who adhere strictly to recommendations,
(iii) women who are led by their physical feelings and (iv) women mostly
indifferent. Women who were physically inactive before pregnancy did not start
exercising in pregnancy. There are still information gaps on weight gain and
healthy eating that have to be considered for future interventions. According to
women's behavioral patterns, interventions might yield varying results. According
to women's type of behavior, interventions might yield different success rates.
Motivation and providing information on suitable exercise forms during pregnancy
are challenging.
PMID- 26551852
TI - ['NischE - Nicht von schlechten Eltern' - Evaluation of a Multidisciplinary
Teamwork Approach to Support Children in Families with Mentally Ill Parents].
AB - Objective: Evaluation of a project offering low-threshold anonymous counseling
services jointly by mental health services and child and youth services to
support children in families with mentally ill parents Methods: Evaluating
performance data and completed questionnaires returned by parents included in the
project. Results: Between 2011-2014, 150 families received up to 10 sessions of
family-oriented counseling. The survey results indicate a high level of
satisfaction with the services of the cooperation project. The vast majority of
respondents said that they would recommend this service to others or would
themselves take advantage of the services again. Conclusion: A collaboration of
service providers from psychiatry and child and youth welfare department
resulting in continuous availability of counseling with a common family medical
perspective represents a forward-looking model for families with a mentally ill
parent.
PMID- 26551853
TI - ["I cannot kiss my wife"- An Analysis of Daily Experiences of MRSA-carriers].
AB - Objectives: There are no data available on the quality of care after discharge
from hospital and only limited data are available on the psychosocial effects of
being an MRSA carrier within the German health system. Methods: Patients who
tested positive for MRSA in the previous year were invited to take part in focus
groups. Results: 2 focus groups with a total of 9 MRSA-carriers were conducted.
The level of knowledge about MRSA differed between participants. In some cases,
lack of information led to uncertainty and inappropriate measures to counteract
MRSA. Some participants restricted their social contacts, especially to children,
in order to prevent transmission. Patients experienced stigmatization in the
health care system more often in inpatient care than in the outpatient sector.
Only in a few cases both eradication therapy and swabs for control purposes were
carried out. Conclusions: Information about the appropriate treatment and
management of MRSA should be made available to patients more easily; in
particular, patients need to be informed that MRSA is no threat to healthy
individuals. Despite the desire of MRSA-carriers to become MRSA negative,
treatment and control of MRSA seem to have low priority in the ambulant health
care sector in Germany.
PMID- 26551854
TI - ["Pflegestutzpunkte": Care Support Centers in Germany. Where are We Heading?
Results of the Evaluation of all 48 Care Support Centers in Baden-Wurttemberg].
AB - "Pflegestutzpunkte", care support centers in Germany. Where are we heading?
Results of the evaluation of all 48 care support centers in Baden-Wurttemberg.
Objectives: The quantitative part of the study was based on an analysis of the
structures and concepts as well as the type of services and demand for counseling
services for elderly and vulnerable people of all 48 care support centers in
Baden-Wurttemberg. The qualitative part included interviews of employees of the
care support centers on the underlying concepts of their organization.
Objectives: The counseling infrastructure for elderly and vulnerable people needs
to be improved in terms of transparency, networking and coordination of its
services. According to the German Care Reform, care support centers, known as
"Pflegestutzpunkte," should solve this problem by placing all relevant counseling
services under one roof. The objective of this study was to distinguish between
the various care models of care support centers currently in existence and to
investigate how different models meet legal requirements. Results and
Conclusions: An evaluation of the interviews and surveys shows that not all legal
requirements are met. The 4 main models of care support centers vary widely and
are partially incomprehensible. It also remains unclear what role the care
support centers play in counseling by health and nursing insurances. These needs
for further development should be kept in mind in the planned expansion of care
support centers.
PMID- 26551855
TI - [Choice of Hospital for Childbirth in Switzerland: Decision Factors and Sources
of Information].
AB - The introduction of Swiss DRG in 2012 offers women in Switzerland free choice of
hospital. The objective of this study was to identify decision factors affecting
the choice of hospital birth in Switzerland and to rate the degree of utilization
of information sources. In seven Swiss hospitals, located in the German-speaking
part of Switzerland, women in childbed were interviewed in writing. When choosing
a hospital, factors rated by women as important were professional competence,
good medical care, good obstetric competence, good nursing care and a neat and
clean atmosphere. Important sources of information are recommendations of
friends, own experience gained during previous hospital stays, the specialist,
family, and the hospital homepage. Information meetings for pregnant women are
essential for hospitals because they encourage women's decision to use the
hospital. Web presence of the hospital and a good relationship with the
specialists or family doctors are important, but experience of friends, family or
one's own experience with stay at the hospital play a more important role.
PMID- 26551856
TI - ["Mental Health in Adults with Intellectual Disabilities. Protective and Risk
Factors for Depression and Anxiety" - a Cross-sectional Study].
AB - Background: There are not many studies investigating mental health of individuals
with intellectual disability. The aim of this study is to provide data on
depression and anxiety in individuals with intellectual disability. Method: In a
cross-sectional study, we assessed women and men with intellectual disability (18
65 years) with a standardized instrument with 2 divisions (division 1: variables
"residence", "self-determination capabilities", "violence experiences", division
2: variables "depression" and "anxiety" with the Patient Health Questionaire-4).
Univariate and bivariate analysis of the data was performed. Result: Out of a
total of 59% men and 41% women, 44 participated in the study (response rate=45%).
Overall, 20% (n=9) of the participants had an increased PHQ-2 score. This can be
used as an indicator for depression. 18% (n=8) of the subject group indicated an
increased GAD-2 score. This can be used as an indicator of anxiety. Risk factors
for depressive disorders were "an increased level of disability" (RR=11,8),
"living with parents" (RR=6, 7), "limited self-determination
capabilities"(RR=6,2) and "fear of new situations" (RR=5:0). Violence experiences
were a risk factor (RR=13,3) for anxiety. Conclusion: In view of the special
methodological challenges of this study, the target group is very small.
Nevertheless, this is one of the first studies in Germany that reveals factors
with an impact on the mental health of individuals with intellectual
disabilities. The association of experience of violence with anxiety in
individuals with intellectual disability represents an important challenge for
public health.
PMID- 26551857
TI - Radial Versus Femoral Access in Invasively Managed Patients With Acute Coronary
Syndrome: A Systematic Review and Meta-analysis.
AB - BACKGROUND: Studies in patients with acute coronary syndrome (ACS) undergoing
invasive management showed conflicting conclusions regarding the effect of access
site on outcomes. PURPOSE: To summarize evidence from recent, high-quality trials
that compared clinical outcomes occurring with radial versus femoral access in
invasively managed adults with ACS. DATA SOURCES: English-language publications
in MEDLINE, EMBASE, and Cochrane databases between January 1990 and August 2015.
STUDY SELECTION: Randomized trials of radial versus femoral access in invasively
managed patients with ACS. DATA EXTRACTION: Two investigators independently
extracted the study data and rated the risk of bias. DATA SYNTHESIS: Of 17
identified randomized trials, 4 were high-quality multicenter trials that
involved a total of 17 133 patients. Pooled data from the 4 trials showed that
radial access reduced death (relative risk [RR], 0.73 [95% CI, 0.59 to 0.90]; P =
0.003), major adverse cardiovascular events (RR, 0.86 [CI, 0.75 to 0.98]; P =
0.025), and major bleeding (RR, 0.57 [CI, 0.37 to 0.88]; P = 0.011). Radial
procedures lasted slightly longer (standardized mean difference, 0.11 minutes)
and had higher risk for access-site crossover (6.3% vs. 1.7%) than did femoral
procedures. LIMITATION: Heterogeneity in outcomes definitions and potential
treatment modifiers across studies, including operator experience in radial
procedures and concurrent anticoagulant regimens. CONCLUSION: Compared with
femoral access, radial access reduces mortality, major adverse cardiovascular
events, and major bleeding in patients with ACS undergoing invasive management.
PRIMARY FUNDING SOURCE: None. (PROSPERO registration number: CRD42015022031).
PMID- 26551858
TI - Impaired Eukaryotic Elongation Factor 1A Expression in Alzheimer's Disease.
AB - BACKGROUND/AIMS: Recent studies have indicated a link between the impaired
capacity of de novo protein synthesis and neurodegenerative diseases including
Alzheimer's disease (AD). Moreover, it has been established that eukaryotic
elongation factor 1A (eEF1A) plays a critical role in maintaining long-term
synaptic plasticity, a cellular model for learning and memory. The aim of the
present study is to determine whether brain eEF1A protein levels are dysregulated
in brain tissue from AD patients compared with controls. METHODS: Postmortem
human brain samples collected from patients clinically diagnosed as AD, and from
age-matched healthy controls, were utilized for this study. Both Western blot and
immunohistochemistry approaches were utilized to investigate the potential
alteration of eEF1A protein levels by using a specific antibody. RESULTS: Our
data demonstrate that eEF1A expression is reduced in AD patients in the
hippocampus, but not in the cerebellum or midfrontal gyrus. Furthermore,
immunohistochemical experiments reveal that neuronal eEF1A reduction in the AD
hippocampus is localized to the CA1 and dentate gyrus, but not to the CA3.
CONCLUSION: Dysregulation of eEF1A and its associated signaling pathways might
represent novel molecular mechanisms underlying AD pathogenesis. Further
investigation is necessary to determine whether eEF1A is a viable therapeutic
target for AD and other cognitive syndromes.
PMID- 26551859
TI - Pterostilbene exerts an anti-inflammatory effect via regulating endoplasmic
reticulum stress in endothelial cells.
AB - Pterostilbene (PT), an analog of resveratrol, exerts a potent anti-inflammatory
effect. However, the protective effects of PT against inflammation in endothelial
cells have not been elucidated. Previous studies have confirmed that endoplasmic
reticulum stress (ERS) plays an important role in regulating the pathological
process of endothelial cell inflammation. In this study, we explored the effect
of PT on the tumor necrosis factor-alpha (TNF-alpha)-induced inflammatory
response in human umbilical vein endothelial cells (HUVECs) and elaborated the
role of ERS in this process. TNF-alpha treatment significantly upregulated the
levels of inflammation-related molecules in cell culture media, increased the
adhesion of monocytes to HUVECs, and enhanced the expression of the MMP9 and ICAM
proteins in HUVECs. Additionally, TNF-alpha potently increased ERS-related
protein levels, such as GRP78 and p-eIF2alpha. However, PT treatment reversed the
increased production of inflammatory cytokines and the adhesion of monocytes to
HUVECs, as well as reduced the TNF-alpha-induced effects exerted by ERS-related
molecules. Furthermore, thapsigargin (THA), an ERS inducer, attenuated the
protective effect of PT against TNF-alpha-induced inflammation and ERS in HUVECs.
Additionally, the downregulation of ERS signaling using siRNA targeting eIF2alpha
and IRE1 not only inhibited ERS-related molecules but also simulated the
therapeutic effects of PT on TNF-alpha-induced inflammation. In summary, PT
treatment potently attenuates inflammation in vascular endothelial cells, which
at least partly depends on the reduction of ERS.
PMID- 26551860
TI - "God save us from psychologists as expert witnesses": the battle for forensic
psychology in early twentieth-century Germany.
AB - This article is focused on the jurisdictional battle between psychiatrists and
psychologists over psychological expertise in legal contexts that took place
during the first decades of the 20th century. Using, as an example, the debate
between the psychologist William Stern, the psychiatrist Albert Moll, and the
jurist Albert Hellwig, which occurred at the International Congress for Sexual
Research held in Berlin in 1926, it aims to demonstrate the manner in which
psychiatrists' responses to psychologists' attempts to gain admittance to
Germany's courtrooms were shaped not only by epistemological and methodological
objections, but also by changes to expert witnessing that had already encroached
on psychiatrists' professional territory. Building upon recent work examining the
relationship between psychologists and jurists prior to the First World War, this
article also seeks to examine the role of judges and lawyers in the contest over
forensic psychology in the mid-1920s, arguing that they ultimately became
referees in the increasingly public disputes between psychiatrists and
psychologists.
PMID- 26551861
TI - The Hipp chronoscope versus the d'Arsonval chronometer: laboratory instruments
measuring reaction times that distinguish German and French orientations of
psychology.
AB - Chronoscopes and chronographs were commonly used instruments that measured
reaction times (RTs) in the first psychology laboratories. The Hipp chronoscope
is commonly associated with the emergence of psychological laboratories in the
late 19th century. This instrument is considered the key apparatus for the study
of scientific psychology. Although German and American psychologists preferred
the Hipp chronoscope, French psychologists of late 19th century favored another
chronometer built by Jacques Arsene d'Arsonval (1851-1940). Unlike German and
American psychologists, French psychologists demanded less precision in most
experimental situations because they claimed that individual differences are very
pronounced in a variety of situations. The advantage of the d'Arsonval
chronometer was its portability and its simplicity. This article presents this
chronometer and its advantages and drawbacks. The Hipp chronoscope and the
d'Arsonval chronometer were the most commonly used apparatuses in Europe for the
measurement of RTs until World War II, as is demonstrated by the catalogues of
the time (Zimmermann and Boulitte).
PMID- 26551862
TI - Theodor Waitz's theory of feelings and the rise of affective sciences in the mid
19th century.
AB - The German psychologist Theodor Waitz (1821-1864) was an important theorist of
affectivity in the mid-19th century. This article aims to revisit Waitz's
contribution to affective psychology at a crucial moment of its history. First, I
elaborate the context in which Waitz's ideas were carried out by showing how
affective sciences emerged as an autonomous field of investigation between about
1770 and 1910. Second, I discuss the principles of Waitz's model of affectivity
and their contextual significance. Third, I deal with the first major category of
affective states identified by Waitz, namely, "formal feelings," which are
supposed to be involved in the appraisal of the relational properties between
representations. Fourth, I investigate "qualitative feelings," the second major
category of affective states identified by Waitz, which refer to affective
processes that relate to specific representational contents, namely,
intellectual, aesthetic, and moral feelings. In conclusion, I emphasize the
genealogical link between Waitz's pioneering research on musical feelings and
current research on emotion and expectation in music.
PMID- 26551864
TI - The influence of feeding crimped kernel maize silage on broiler production,
nutrient digestibility and meat quality.
AB - Two experiments were carried out in parallel with male Ross 308 broilers over 37
d. An experiment with a total of 736 broilers was performed to study the effect
of dietary inclusion of crimped kernel maize silage (CKMS) on broiler production
and meat quality. Another study with 32 broilers was carried out from 21 to 25 d
to investigate the inclusion of CKMS on nutrient digestibility. In both trials, 4
dietary treatments were used: wheat-based feed (WBF), maize-based feed (MBF),
maize-based feed supplemented with 15% CKMS (CKMS-15) and maize-based feed
supplemented with 30% CKMS (CKMS-30). Compared with MBF, the dry matter (DM)
intakes of broilers receiving CKMS-15 and CKMS-30, respectively, were numerically
7.5 and 6.2% higher and feed conversion ratio 6 and 12% poorer (significant for
30% CKMS), although there were no significant differences in AME content between
the three diets. At 37 d, the body weight of birds receiving 15% CKMS was similar
to birds fed with MBF. However, the inclusion of 30% CKMS decreased broiler
growth. Dietary supplementation with CKMS significantly reduced the apparent
digestibility of phosphorus. The fat digestibility was significantly lower for
CKMS-30 than for the other three diets. Broiler mortality decreased significantly
when CKMS was added to the diet. The consumption of drinking water was
significantly lower in all maize-based diets as compared to WBF and was lowest in
broilers fed with CKMS-30. An improved litter quality in terms of DM content and
a lower frequency of foot pad lesions was observed with broilers supplemented
with both dietary levels of CKMS. The addition of CKMS to maize-based diets
increased juiciness, tenderness and crumbliness of the meat. In conclusion, the
dietary supplementation of 15% CKMS had no negative effect on broiler growth and
positively influenced bird welfare in terms of mortality and foot pad health.
Therefore, the addition of 15% CKMS to maize-based diets is considered an
advantageous feeding strategy in broiler production.
PMID- 26551865
TI - Linear Interaction Energy Based Prediction of Cytochrome P450 1A2 Binding
Affinities with Reliability Estimation.
AB - Prediction of human Cytochrome P450 (CYP) binding affinities of small ligands,
i.e., substrates and inhibitors, represents an important task for predicting drug
drug interactions. A quantitative assessment of the ligand binding affinity
towards different CYPs can provide an estimate of inhibitory activity or an
indication of isoforms prone to interact with the substrate of inhibitors.
However, the accuracy of global quantitative models for CYP substrate binding or
inhibition based on traditional molecular descriptors can be limited, because of
the lack of information on the structure and flexibility of the catalytic site of
CYPs. Here we describe the application of a method that combines protein-ligand
docking, Molecular Dynamics (MD) simulations and Linear Interaction Energy (LIE)
theory, to allow for quantitative CYP affinity prediction. Using this combined
approach, a LIE model for human CYP 1A2 was developed and evaluated, based on a
structurally diverse dataset for which the estimated experimental uncertainty was
3.3 kJ mol-1. For the computed CYP 1A2 binding affinities, the model showed a
root mean square error (RMSE) of 4.1 kJ mol-1 and a standard error in prediction
(SDEP) in cross-validation of 4.3 kJ mol-1. A novel approach that includes
information on both structural ligand description and protein-ligand interaction
was developed for estimating the reliability of predictions, and was able to
identify compounds from an external test set with a SDEP for the predicted
affinities of 4.6 kJ mol-1 (corresponding to 0.8 pKi units).
PMID- 26551866
TI - Sanitation, Stress, and Life Stage: A Systematic Data Collection Study among
Women in Odisha, India.
AB - Emerging evidence demonstrates how inadequate access to water and sanitation is
linked to psychosocial stress, especially among women, forcing them to navigate
social and physical barriers during their daily sanitation routines. We examine
sanitation-related psychosocial stress (SRPS) across women's reproductive lives
in three distinct geographic sites (urban slums, rural villages, and rural tribal
villages) in Odisha, India. We explored daily sanitation practices of adolescent,
newly married, pregnant, and established adult women (n = 60) and identified
stressors encountered during sanitation. Responding to structured data collection
methods, women ranked seven sanitation activities (defecation, urination,
menstruation, bathing, post-defecation cleaning, carrying water, and changing
clothes) based on stress (high to low) and level of freedom (associated with
greatest freedom to having the most restrictions). Women then identified common
stressors they encountered when practicing sanitation and sorted stressors in
constrained piles based on frequency and severity of each issue. The
constellation of factors influencing SRPS varies by life stage and location.
Overall, sanitation behaviors that were most restricted (i.e., menstruation) were
the most stressful. Women in different sites encountered different stressors, and
the level of perceived severity varied based on site and life stage.
Understanding the influence of place and life stage on SRPS provides a nuanced
understanding of sanitation, and may help identify areas for intervention.
PMID- 26551867
TI - Mucoadhesive thermo-responsive chitosan-g-poly(N-isopropylacrylamide) polymeric
micelles via a one-pot gamma-radiation-assisted pathway.
AB - Thermo-sensitive graft copolymer amphiphiles of chitosan (CS) and poly(N
isopropylacrylamide) (PNiPAAm), CS-g-PNIPAAm, were successfully synthesized by a
catalyst-less one-pot gamma (gamma)-radiation-assisted free radical
polymerization at three different radiation doses: 5, 10 and 20 kGy. The chemical
structure of the copolymers was confirmed by FTIR and solid-state (13)C NMR and
the grafting extent by (1)H NMR and gravimetric analysis. In general, the higher
the dose, the smaller the grafting due to the more significant NiPAAm
homopolymerization. Due to the grafting of poly(NiPAAm) blocks, aqueous solutions
of the different copolymers underwent a sharp transition upon heating above 32
degrees C, the characteristic lower critical solution temperature (LCST) of
poly(NiPAAm). Then, the critical micellar concentration (CMC), the size and size
distribution and the zeta-potential were characterized by dynamic light
scattering (DLS) and the polymeric micelles visualized in suspension and
quantified by Nanoparticle Tracking Analysis (NTA), at 37 degrees C. CMC values
were in the 0.0012-0.0025%w/v range and micelles displayed sizes between 99 and
203 nm with low polydispersity (<0.160) and highly positive zeta-potential (>+15
mV) that suggested the partial conservation of the amine groups upon NiPAAm
grafting. Consequently, polymeric micelles displayed the intrinsic
mucoadhesiveness of CS, as established in vitro by the mucin solution assay.
Finally, the encapsulation capacity of the micelles was assessed with the highly
hydrophobic protease inhibitor antiretroviral indinavir free base (IDV).
Polymeric micelles led to a significant 24-fold increase of the aqueous
solubility from 63 MUg/mL to 1.45 mg/mL, a performance remarkably better than
different poly(ethylene oxide)-b-poly(propylene oxide) block copolymers assessed
before. Overall results highlight the potential of this nanotechnology platform
to expand the application of polymeric micelles to mucosal administration routes.
PMID- 26551868
TI - Propolis as lipid bioactive nano-carrier for topical nasal drug delivery.
AB - Propolis shows therapeutic properties ascribed to the presence of some
flavonoids, phenolic acids, and their esters; it is a natural multifunctional
material, solid at room temperature, and composed mainly of resin and waxes. We
therefore used propolis as a lipid material to prepare solid lipid nanoparticles
(SLNs); SLNs are proposed bioactive medications for topical intranasal therapy.
Suitable formulation parameters were studied and the SLNs obtained by the high
shear homogenization method were characterized; a selected formulation was
viscosized to increase the residence time. Dimensional, morphological, and solid
state characterizations of the formulated SLNs were performed. In vitro and ex
vivo permeation tests of diclofenac sodium, the model drug, and polyphenols were
carried out. The propolis amount and surfactant concentration represent the key
parameters that affect nanoparticle properties in terms of size, drug and
polyphenol content, and physical stability. Size dispersions of about 600 nm and
0.4 PI were obtained, which do not change by increasing the viscosity. Drug is
encapsulated in SLNs, as demonstrated by FTIR and DSC analyses. In vitro and ex
vivo studies prove that drug and polyphenols do not cross the membranes;
therefore, propolis-based SLNs could be used as delivery systems of diclofenac
and flavonoids for the local treatment of nasal cavity diseases. Due to propolis
composition, the proposed formulation could be used as a bioactive medication in
which the carrier can exert a complementary effect with the loaded drug.
PMID- 26551869
TI - Spiral assembly of amphiphilic cytarabine prodrug assisted by probe sonication:
Enhanced therapy index for leukemia.
AB - In order to overcome the drawbacks of cytarabine (Ara-C), such as low
lipophilicity as well as short plasma half-life and rapid inactivation, a new
derivative of Ara-C was designed by incorporation into the non-toxic material,
oleic acid (OA), obtaining an amphiphilic small molecular weight prodrug (OA
Ara). By a simple amidation reaction, OA-Ara was synthesized successfully with a
yield up to 61.32%. It was for the first time to see that the novel prodrug
molecules could assemble into the unexpectedly spiral assembly under probe
ultrasonication in aqueous solution. The oil/water partition coefficient (Ko/w)
and the permeability of cell membrane of the prodrug were significantly increased
compared with Ara-C molecules. In addition, OA-Ara molecules were stable in
various pH solutions and artificial digestives, which indicated that it could be
administrated orally. Cell viability assay showed that the prodrug displayed much
higher antiproliferative effect against K562 and HL60 cells due to its
improvement of the lipophilicity and penetrability of cell membrane. These
findings demonstrate the feasibility of utilizing structural modification to
broaden the clinic application of Ara-C and thus provide an effective new
therapeutic alternative for leukemia.
PMID- 26551870
TI - QCM-D for non-destructive real-time assessment of Pseudomonas aeruginosa biofilm
attachment to the substratum during biofilm growth.
AB - Quartz crystal microbalance with dissipation monitoring (QCM-D) was used to
investigate initial adhesion and subsequent biofilm growth of wild-type
Pseudomonas aeruginosa PAO1 and a pili-deficient (DeltapilA) mutant PAO1 strain.
Clean, sterilized, silica-coated QCM-D crystals were pre-coated with lysogeny
broth (LB), seeded with a PAO1 strain and allowed to grow for 20 h at 37 degrees
C in fresh LB injected at 100 MUL/min. QCM-D signals obtained for the wild-type
PAO1 strain during the seeding period depict a large positive frequency shift
that returns to baseline after ~20 min that is absent in the DeltapilA mutants,
suggesting a dynamic pili-mediated attachment event for the wild-type PAO1
strain. During the subsequent growth period, significant and characteristic
differences in the acquired QCM-D signals were observed between the wild-type and
the DeltapilA mutant. Confocal laser scanning microscopy (CLSM) of the biofilm on
the crystal surface showed that these differences could not be explained by
differences in the extent of biofilm growth alone. When interpreted according to
a coupled resonance model, the QCM-D observations suggest that pili are essential
for coupling the developing biomass to the sensor surface. Total internal
reflection fluorescence microscopy (TIRF) supports the hypothesis that the
characteristic QCM-D signal is indicative of a dynamic attachment event, mediated
by pili cell surface appendages pulling the wild-type PAO1 closer to the surface
during the seeding period. We show that QCM-D offers direct, non-disruptive, in
situ measurements of biofilm-substrate attachment. This technique has the
potential to improve the current understanding of biofilm formation phenomena.
PMID- 26551871
TI - Design and elaboration of freeze-dried PLGA nanoparticles for the transcorneal
permeation of carprofen: Ocular anti-inflammatory applications.
AB - This work aimed the design and development of poly(lactic-co-glycolic) acid
(PLGA) nanoparticles (NPs) for the ocular delivery of Carprofen (CP) by a central
rotatable composite design 2(3)+ star. NPs showed adequate size for ocular
administration (189.50 +/- 1.67 nm), low polydispersity (0.01 +/- 0.01), negative
charge surface (-22.80 +/- 0.66 mV) and optimal entrapment efficiency (74.70 +/-
0.95%). Physicochemical analysis confirmed that CP was dispersed inside the NPs.
The drug release followed a first order kinetic model providing greater sustained
CP release after lyophilization. Ex vivo permeation analysis through isolated
rabbit cornea revealed that a sufficient amount of CP was retained in the tissue
avoiding excessive permeation and thus, potential systemic levels. Ex vivo ocular
tolerance results showed no signs of ocular irritancy, which was also confirmed
by in vivo Draize test. In vivo ocular anti-inflammatory efficacy test confirmed
an optimal efficacy of NPs and its potential application in eye surgery.
PMID- 26551872
TI - Immobilization of bioactive plasmin reduces the thrombogenicity of metal
surfaces.
AB - Components of many vascular prostheses including endovascular stents, heart
valves and ventricular assist devices are made using metal alloys. In these blood
contacting applications, metallic devices promote blood clotting, which is
managed clinically by profound platelet suppression and/or anticoagulation. Here
it is proposed that the localized immobilization of bioactive plasmin, a critical
mediator of blood clot stability, may attenuate metallic prosthesis-induced
thrombus formation. Previously described approaches to covalently immobilize
biomolecules on implantable materials have relied on complex chemical linker
chemistry, increasing the possibility of toxic side effects and reducing
bioactivity. We utilize a plasma deposited thin film platform to covalently
immobilize biologically active plasmin on stainless steel substrates, including
stents. A range of in vitro whole blood assays demonstrate striking reductions in
thrombus formation. This approach has profound potential to improve the efficacy
of a wide range of metallic vascular implants.
PMID- 26551873
TI - The protective and anti-inflammatory effects of glucagon-like peptide-2 in an
experimental rat model of necrotizing enterocolitis.
AB - Necrotizing enterocolitis (NEC) is a devastating gastrointestinal disease, that
affects premature infants. Glucagon-like peptide-2 (GLP-2) is an intestinotrophic
hormone and reduces the inflammation. We suspected that GLP-2 would have
protective and anti-inflammatory effects in an experimental rat model of NEC. NEC
was induced in newborn rats by enteral feeding with hyperosmolar formula,
asphyxial stress and enteral administration of lipopolysaccharide (LPS). Rats
were randomly divided into the following four groups: dam-fed, NEC, NEC+GLP-2(L)
given 80 MUg/kg/day of GLP-2, and NEC+GLP-2(H) given 800 MUg/kg/day of GLP-2. GLP
2 was administered subcutaneously every 6 h before stress. All animals surviving
beyond 96 h or any that developed signs of distress were euthanized. The clinical
sickness score in the NEC+GLP-2(H) group was significantly lower than that in the
NEC group. The NEC score and the survival rate in the NEC+GLP-2(H) group was
significantly improved compared with those in the NEC and the NEC+GLP-2(L)
groups. Villous height and crypt depth in both the GLP-2 treatment groups were
significantly increased compared with those in the NEC group. There were no
significant differences in the crypt cell proliferation indices among the groups.
Ileal interstitial TNF-alpha and IL-6 level in the NEC+GLP-2(H) group was
decreased to the same levels in the dam-fed group. High dose GLP-2 administration
improved the incidence and survival rate for NEC. It also decreased mucosal
inflammatory cytokine production. These results support a potential therapeutic
role for GLP-2 in the treatment of NEC.
PMID- 26551874
TI - Endogenous opiates and behavior: 2014.
AB - This paper is the thirty-seventh consecutive installment of the annual review of
research concerning the endogenous opioid system. It summarizes papers published
during 2014 that studied the behavioral effects of molecular, pharmacological and
genetic manipulation of opioid peptides, opioid receptors, opioid agonists and
opioid antagonists. The particular topics that continue to be covered include the
molecular-biochemical effects and neurochemical localization studies of
endogenous opioids and their receptors related to behavior (endogenous opioids
and receptors), and the roles of these opioid peptides and receptors in pain and
analgesia (pain and analgesia); stress and social status (human studies);
tolerance and dependence (opioid mediation of other analgesic responses);
learning and memory (stress and social status); eating and drinking (stress
induced analgesia); alcohol and drugs of abuse (emotional responses in opioid
mediated behaviors); sexual activity and hormones, pregnancy, development and
endocrinology (opioid involvement in stress response regulation); mental illness
and mood (tolerance and dependence); seizures and neurologic disorders (learning
and memory); electrical-related activity and neurophysiology (opiates and
conditioned place preferences (CPP)); general activity and locomotion (eating and
drinking); gastrointestinal, renal and hepatic functions (alcohol and drugs of
abuse); cardiovascular responses (opiates and ethanol); respiration and
thermoregulation (opiates and THC); and immunological responses (opiates and
stimulants). This paper is the thirty-seventh consecutive installment of the
annual review of research concerning the endogenous opioid system. It summarizes
papers published during 2014 that studied the behavioral effects of molecular,
pharmacological and genetic manipulation of opioid peptides, opioid receptors,
opioid agonists and opioid antagonists. The particular topics that continue to be
covered include the molecular-biochemical effects and neurochemical localization
studies of endogenous opioids and their receptors related to behavior (endogenous
opioids and receptors), and the roles of these opioid peptides and receptors in
pain and analgesia (pain and analgesia); stress and social status (human
studies); tolerance and dependence (opioid mediation of other analgesic
responses); learning and memory (stress and social status); eating and drinking
(stress-induced analgesia); alcohol and drugs of abuse (emotional responses in
opioid-mediated behaviors); sexual activity and hormones, pregnancy, development
and endocrinology (opioid involvement in stress response regulation); mental
illness and mood (tolerance and dependence); seizures and neurologic disorders
(learning and memory); electrical-related activity and neurophysiology (opiates
and conditioned place preferences (CPP)); general activity and locomotion (eating
and drinking); gastrointestinal, renal and hepatic functions (alcohol and drugs
of abuse); cardiovascular responses (opiates and ethanol); respiration and
thermoregulation (opiates and THC); and immunological responses (opiates and
stimulants).
PMID- 26551875
TI - Antidotes for poisoning by alcohols that form toxic metabolites.
AB - The alcohols, methanol, ethylene glycol and diethylene glycol, have many features
in common, the most important of which is the fact that the compounds themselves
are relatively non-toxic but are metabolized, initially by alcohol dehydrogenase,
to various toxic intermediates. These compounds are readily available worldwide
in commercial products as well as in homemade alcoholic beverages, both of which
lead to most of the poisoning cases, from either unintentional or intentional
ingestion. Although relatively infrequent in overall occurrence, poisonings by
metabolically-toxic alcohols do unfortunately occur in outbreaks and can result
in severe morbidity and mortality. These poisonings have traditionally been
treated with ethanol since it competes for the active site of alcohol
dehydrogenase and decreases the formation of toxic metabolites. Although ethanol
can be effective in these poisonings, there are substantial practical problems
with its use and so fomepizole, a potent competitive inhibitor of alcohol
dehydrogenase, was developed for a hopefully better treatment for metabolically
toxic alcohol poisonings. Fomepizole has few side effects and is easy to use in
practice and it may obviate the need for haemodialysis in some, but not all,
patients. Hence, fomepizole has largely replaced ethanol as the toxic alcohol
antidote in many countries. Nevertheless, ethanol remains an important
alternative because access to fomepizole can be limited, the cost may appear
excessive, or the physician may prefer ethanol due to experience.
PMID- 26551876
TI - Robust Superhydrophobic Foam: A Graphdiyne-Based Hierarchical Architecture for
Oil/Water Separation.
AB - Robust superhydrophobic foam is fabricated by combining an ordered graphdiyne
based hierarchical structure with a low-surface-energy coating. This foam shows
not only superhydrophobicity both in air (~160.1 degrees ) and in oil (~171.0
degrees ), but also high resistance toward abrasion cycles. Owing to its 3D
porous structures and numerous superhydrophobic surfaces, it can easily separate
oil from water with high efficiency and good recyclability.
PMID- 26551878
TI - Assessment of nitric oxide (NO) redox reactions contribution to nitrous oxide (N2
O) formation during nitrification using a multispecies metabolic network model.
AB - Over the coming decades nitrous oxide (N2O) is expected to become a dominant
greenhouse gas and atmospheric ozone depleting substance. In wastewater treatment
systems, N2O is majorly produced by nitrifying microbes through biochemical
reduction of nitrite (NO2(-)) and nitric oxide (NO). However it is unknown if the
amount of N2O formed is affected by alternative NO redox reactions catalyzed by
oxidative nitrite oxidoreductase (NirK), cytochromes (i.e., P460 [CytP460] and
554 [Cyt554 ]) and flavohemoglobins (Hmp) in ammonia- and nitrite-oxidizing
bacteria (AOB and NOB, respectively). In this study, a mathematical model is
developed to assess how N2O formation is affected by such alternative nitrogen
redox transformations. The developed multispecies metabolic network model
captures the nitrogen respiratory pathways inferred from genomes of eight AOB and
NOB species. The performance of model variants, obtained as different
combinations of active NO redox reactions, was assessed against nine experimental
datasets for nitrifying cultures producing N2O at different concentration of
electron donor and acceptor. Model predicted metabolic fluxes show that only
variants that included NO oxidation to NO2(-) by CytP460 and Hmp in AOB gave
statistically similar estimates to observed production rates of N2O, NO, NO2(-)
and nitrate (NO3(-)), together with fractions of AOB and NOB species in biomass.
Simulations showed that NO oxidation to NO2(-) decreased N2O formation by 60%
without changing culture's NO2(-) production rate. Model variants including NO
reduction to N2O by Cyt554 and cNor in NOB did not improve the accuracy of
experimental datasets estimates, suggesting null N2O production by NOB during
nitrification. Finally, the analysis shows that in nitrifying cultures
transitioning from dissolved oxygen levels above 3.8 +/- 0.38 to <1.5 +/- 0.8
mg/L, NOB cells can oxidize the NO produced by AOB through reactions catalyzed by
oxidative NirK.
PMID- 26551877
TI - A Leadless Intracardiac Transcatheter Pacing System.
AB - BACKGROUND: A leadless intracardiac transcatheter pacing system has been designed
to avoid the need for a pacemaker pocket and transvenous lead. METHODS: In a
prospective multicenter study without controls, a transcatheter pacemaker was
implanted in patients who had guideline-based indications for ventricular pacing.
The analysis of the primary end points began when 300 patients reached 6 months
of follow-up. The primary safety end point was freedom from system-related or
procedure-related major complications. The primary efficacy end point was the
percentage of patients with low and stable pacing capture thresholds at 6 months
(<=2.0 V at a pulse width of 0.24 msec and an increase of <=1.5 V from the time
of implantation). The safety and efficacy end points were evaluated against
performance goals (based on historical data) of 83% and 80%, respectively. We
also performed a post hoc analysis in which the rates of major complications were
compared with those in a control cohort of 2667 patients with transvenous
pacemakers from six previously published studies. RESULTS: The device was
successfully implanted in 719 of 725 patients (99.2%). The Kaplan-Meier estimate
of the rate of the primary safety end point was 96.0% (95% confidence interval
[CI], 93.9 to 97.3; P<0.001 for the comparison with the safety performance goal
of 83%); there were 28 major complications in 25 of 725 patients, and no
dislodgements. The rate of the primary efficacy end point was 98.3% (95% CI, 96.1
to 99.5; P<0.001 for the comparison with the efficacy performance goal of 80%)
among 292 of 297 patients with paired 6-month data. Although there were 28 major
complications in 25 patients, patients with transcatheter pacemakers had
significantly fewer major complications than did the control patients (hazard
ratio, 0.49; 95% CI, 0.33 to 0.75; P=0.001). CONCLUSIONS: In this historical
comparison study, the transcatheter pacemaker met the prespecified safety and
efficacy goals; it had a safety profile similar to that of a transvenous system
while providing low and stable pacing thresholds. (Funded by Medtronic; Micra
Transcatheter Pacing Study ClinicalTrials.gov number, NCT02004873.).
PMID- 26551879
TI - Ethnopharmacological uses of Sempervivum tectorum L. in southern Serbia:
Scientific confirmation for the use against otitis linked bacteria.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Sempervivum tectorum L. (Crassulaceae), known as
houseleek, is used in traditional medicine in the treatment of ear inflammation.
It can be spread as a pack on wounds, sores, burns, and abscesses and also on
painful areas attacked by gout as a refrigerant and astringent. Drinking tea
prepared from leaves of S. tectorum is recommended for ulcer treatment. The
present study was designed to investigate ethopharmacological use of S. tectorum
in the southern Serbia and to further scientifically justify and confirm
effectiveness of the leaf juice used in ethnomedicine for ear inflammation,
against otitis linked bacteria. MATERIAL AND METHODS: Ethnopharmacological survey
on the use of S. tectorum in southern Serbia was performed using semi structured
questionnaires via a face-to-face interview. Chemical composition of the leaf
juice regarding phenolic compounds and organic acids was analyzed. Antimicrobial
activity was tested on bacteria isolated from ear swabs of the patients suffering
from the ear pain (otitis). Anti-quorum-sensing activities of the juice were
further investigated on Pseudomonas aeruginosa. RESULTS: Ethnopharmacological
survey revealed the use of S. tectorum in southern Serbia for the treatment of
ear pain, warts, cancer, stomachache, ulcer and high blood sugar level with the
highest fidelity level (FL) for the ear pain. The phenolic composition of the S.
tectorum leaf juice consisted of flavonol glycosides, with kaempferol-3-O
rhamnosyl-glucoside-7-O-rhamnoside as the majority compound. Organic acids
composition revealed malic acid as the most dominant one. Antimicrobial and anti
quorum-sensing activities of the juice showed to be promising. CONCLUSION:
Ethnopharmacological use of S. tectorum juice for treating ear pain is justified,
since the juice possessed antimicrobial activity towards clinical isolates of
bacteria linked to otitis.
PMID- 26551881
TI - Prevalence of Human Cytomegalovirus and Epstein-Barr Virus in Chronic Periapical
Lesions.
AB - OBJECTIVE: The aim of this study was to investigate the presence of human
cytomegalovirus (HCMV) and Epstein-Barr virus (EBV) in the tissue of chronic
periapical lesions, and to compare the results in relation to the symptoms of
patients and the size of the lesion. METHODS: Periapical lesions analyzed in the
study were collected from the roots of the teeth indicated for extraction.
Samples were divided according to the symptoms into groups of symptomatic and
asymptomatic, and according the size into groups of small and large lesions.
Polymerase chain reaction was used to detect HCMV and EBV. The amplification was
performed in a DNA Thermal Cycler (Hybaid). RESULTS: Symptomatic lesions were
7.68 times more likely to be infected with HCMV than asymptomatic lesions (p <
0.001). Large symptomatic lesions were 73.50 times more likely to harbor HCMV
than small symptomatic lesions (p < 0.001). Large symptomatic lesions were 7.64
times more likely to be infected with EBV than small symptomatic lesions (p =
0.05). Large symptomatic lesions were 5.38 times more likely to harbor dual
HCMV/EBV infection than small symptomatic lesions (p = 0.115). CONCLUSION:
Detection of HCMV and EBV in the samples of periapical lesions suggests an
important role of herpesviruses in periapical tissue destruction.
PMID- 26551880
TI - The cytotoxic T cell proteome and its shaping by the kinase mTOR.
AB - We used high-resolution mass spectrometry to map the cytotoxic T lymphocyte (CTL)
proteome and the effect of the metabolic checkpoint kinase mTORC1 on CTLs. The
CTL proteome was dominated by metabolic regulators and granzymes, and mTORC1
selectively repressed and promoted expression of a subset of CTL proteins (~10%).
These included key CTL effector molecules, signaling proteins and a subset of
metabolic enzymes. Proteomic data highlighted the potential for negative control
of the production of phosphatidylinositol (3,4,5)-trisphosphate (PtdIns(3,4,5)P3)
by mTORC1 in CTLs. mTORC1 repressed PtdIns(3,4,5)P3 production and determined the
requirement for mTORC2 in activation of the kinase Akt. Our unbiased proteomic
analysis thus provides comprehensive understanding of CTL identity and the
control of CTL function by mTORC1.
PMID- 26551882
TI - Cardiac MRI-based multi-modality imaging in clinical decision-making: Preliminary
assessment of a management algorithm for patients with suspected cardiac mass.
AB - BACKGROUND: Cardiac masses are rare with high morbidity and mortality that
challenging the management. The purpose of this study was to evaluate the
potential role of cardiac-MRI based multi-modality imaging in the clinical
decision-making for patients with cardiac mass. METHODS: From November 2011 to
May 2014, 59 consecutive patients (33 females; mean age, 48.2 +/- 21.1 [range,
0.6-85] years) with suspected cardiac mass were enrolled in this prospective
single center study, underwent MRI based multi-modality imaging and were followed
up for survival status. Management strategy (surgery, chemotherapy or
observation) was based on patient's clinical status and cardiac mass imaging
characteristics (location, morphology, hemodynamics, embolization risk,
metastasis, and resectability). RESULTS: Using cardiac MRI, 39 patients were
diagnosed with intra-cardiac neoplasm (28 benign, 11 malignant) and 20 with
pseudo-tumors (13 thrombi, 4 cysts and 3 fat infiltration); 34 masses (23
neoplasms, 11 pseudo-tumors) were eligible for surgical removal, and 4 underwent
PET-CT scan to further delineate characteristics and metastasis. Pathological
examination revealed high accuracy of cardiac MRI in differentiating benign from
malignant tumors (96%), and neoplasm from pseudo-tumors (100%). As for the 16
patients with cardiac neoplasm not surgically treated, the 9 with "benign" masses
as per MRI-based multimodality imaging survived during follow-up, while all 7
with "malignancy" died; the 9 with pseudo-tumors not surgically treated also
survived with good condition. The median follow-up period is 2 years (10 days-3
years). CONCLUSION: Cardiac MRI based multimodality imaging appears useful for
risk stratification and clinical decision making for patients with suspected
cardiac mass.
PMID- 26551883
TI - Therapeutic effects of late outgrowth endothelial progenitor cells or mesenchymal
stem cells derived from human umbilical cord blood on infarct repair.
AB - BACKGROUND: This study sought to systematically investigate the derivation of
late outgrowth endothelial progenitor cells (late EPC) and mesenchymal stem cells
(MSC) from umbilical cord blood (UCB) and to examine their therapeutic effects on
myocardial infarction (MI). METHODS: The expression of angiogenic genes was
determined by qRT-PCR. Myocardial infarction (MI) was induced in rats, and cells
were directly transplanted into the border regions of ischemic heart tissue.
RESULTS: Culture of UCB mononuclear cells yielded two distinct types of cells by
morphology after 2 weeks in the same culture conditions. These cells were
identified as late EPC and MSC, and each was intramyocardially injected into rat
hearts after induction of MI. Echocardiography and histologic analyses
demonstrated that both EPC and MSC improved cardiac function and enhanced
vascularization, although fibrosis was reduced only in the EPC transplanted
hearts. Different paracrine factors were enriched in EPC and MSC. However, once
injected into the hearts, they induced similar types of paracrine factors in the
heart. Transplanted EPC or MSC were mostly localized at the perivascular areas.
This study demonstrated that EPC and MSC can be simultaneously derived from UCB
under the same initial culture conditions, and that common paracrine factors are
involved in the repair of MI. CONCLUSION: Late EPC and MSC are effective for
infarct repair, apparently mediated through common humoral mechanisms.
PMID- 26551884
TI - Sudden cardiac death in neuromuscular disorders.
AB - OBJECTIVES: The heart is frequently affected in neuromuscular disorders (NMDs).
Some of these patients even experience sudden cardiac death (SCD). In the
following review, we summarize recent findings concerning epidemiology, risk
stratification, and prevention of SCD in NMDs. METHODS: Review of publications
about SCD and NMDs by search of MEDLINE applying appropriate search terms.
RESULTS: NMDs in which SCD was most frequently reported include myotonic
dystrophy type 1, mitochondrial disorders, laminopathy, desminopathy, Danon
disease, and amyotrophic lateral sclerosis. Risk factors for developing SCD vary
considerably between NMDs and include positive family history for SCD,
palpitations, arterial hypertension, ECG-abnormalities (bundle branch block,
bifascicular block, QT-prolongation, increased QT-variability, early
repolarization, T-wave alternans, ventricular tachycardia), late gadolinium
enhancement as an equivalent of myocardial fibrosis, and noncompaction. NMD
patients at risk for SCD require a thorough history, long-term ECG recordings,
and cardiac MRI with contrast medium. In case a propensity for ventricular
arrhythmias is documented, implantation of an implantable cardioverter
defibrillator should be considered. CONCLUSIONS: SCD is the cause of death in
various NMDs why these patients need to be thoroughly screened for risk factors
of SCD. Verification of risk factors for SCD in NMDs requires appropriate
management.
PMID- 26551885
TI - Rare copy number variations in an adult with transposition of the great arteries
emphasize the importance of updated genetic assessments in syndromic congenital
cardiac disease.
PMID- 26551886
TI - Response to the letter regarding article "Atrial fibrillation is a risk marker
for worse in-hospital and long-term outcome in patients with peripheral artery
disease".
PMID- 26551888
TI - Microbiological characterization using combined culture dependent and independent
approaches of Casizolu pasta filata cheese.
AB - AIMS: Casizolu is a traditional Sardinian (Italy) pasta filata cheese made with
cow raw milk belonging to Sardo-Modicana and/or Bruno-Sarda breeds added with
natural whey starter. This work aims to describe the traditional technology of
this product and to evaluate the microbial groups/species involved in the first
month of ripening. METHODS AND RESULTS: Raw milk, curd after stretching and
Casizolu cheese samples from two different farmsteads were subjected to
enumeration of microbial groups, isolation and genotypic characterization of
isolates and PCR temporal temperature gel electrophoresis (TTGE) analysis. The
counts of lactobacilli and lactococci groups in raw milk were about 5-6 log UFC
ml(-1) of milk. These counts tended to increase in curd and cheeses, reaching
values higher than 8 log UFC g(-1) of cheese. Culture dependent and independent
approaches employed in this work highlighted the fundamental role of Lactococcus
lactis subsp. lactis, Streptococcus thermophilus and Lactobacillus paracasei in
the manufacture and ripening of Casizolu cheese. Other species frequently
isolated were Enterococcus durans, Enterococcus faecium, Enterococcus italicus
while Enterococcus lactis, Streptococcus parauberis, Lactobacillus plantarum,
Lactobacillus pentosus, Lactobacillus brevis, Lactobacillus fermentum and
Lactococcus raffinolactis were isolated occasionally. CONCLUSIONS: Lactococcus
lactis subsp. lactis, Strep. thermophilus and Lact. paracasei were the principal
bacterial species involved in the Casizolu cheese manufacturing and ripening. For
the first time, Ent. italicus and Ent. lactis were isolated in the pasta filata
cheese. SIGNIFICANCE AND IMPACT OF THE STUDY: This study shows the first data on
microbial groups and species involved in the manufacture of Casizolu cheese and
highlights the role of Lact. paracasei and Enterococcus spp. from the earliest
stages of ripening cheese; furthermore, provides evidence that raw milk cheese is
a source of new strains and therefore a reservoir of microbial biodiversity.
PMID- 26551887
TI - The cyclic GMP/protein kinase G pathway as a therapeutic target in head and neck
squamous cell carcinoma.
AB - Head and neck squamous cell carcinoma (HNSCC) is an aggressive disease with high
mortality. Treatments, which can result in significant morbidity, have not
substantially changed in three decades. The second messenger cyclic GMP (cGMP),
which targets protein kinase G (PKG), is generated by guanylate cyclases (GCs),
and is rapidly hydrolyzed by phosphodiesterases (PDEs). Activation of the
cGMP/PKG pathway is antineoplastic in several cancer types, but its impact on
HNSCC has not been fully exploited. We found differential expression of critical
components of this pathway in four HNSCC cell lines. Several activators of
soluble GC (sGC), as well as inhibitors of PDE5, increased intracellular cGMP,
reduced cell viability, and induced apoptosis in HNSCC cells. The apoptotic
effects of the sGC activator BAY 41-2272 and the PDE5 inhibitor Tadalafil
(Cialis) were mediated by PKG. Furthermore, Tadalafil substantially reduced the
growth of CAL27-derived tumors in athymic mice. Several drugs which either
activate sGC or inhibit PDE5 are approved for treatment of nonmalignant
conditions. These drugs could be repurposed as novel and effective therapeutics
in patients with head and neck cancer.
PMID- 26551889
TI - Response to gonadotropin-releasing hormone challenge: Seasonal variation in
steroid production in a viviparous lizard, Tiliqua nigrolutea.
AB - The hypothalamic-pituitary-gonadal axis plays a central role in the regulation of
gamete maturation, sex steroid production and the stimulation of reproductive
behaviours in vertebrates. In seasonal breeders, the timely activation and
deactivation of this control system is important to ensure successful
reproduction: this process is not well understood in species which breed
irregularly. Males of the viviparous blotched blue-tongued lizard, Tiliqua
nigrolutea, breed annually, while females display a multiennial cycle. We
investigated seasonal variation in hypothalamic-pituitary-gonadal axis
responsiveness in both sexes of T. nigrolutea. We measured changes in plasma
concentrations of testosterone and estrogen in response to a single
intraperitoneal injection of a GnRH agonist, chicken-II LH-RH, at three
reproductively distinct times of year. Plasma testosterone concentrations in
males were significantly increased during gonadal quiescence, but not initial or
final spermatogenesis. There was no estrogen response in males at any time of
year. Conversely, in females, there was an increase in plasma testosterone, but
not estrogen, concentration, in reproductively quiescent females several months
in advance of a successful pregnancy. These results indicate clear variation in
HPG axis activity with sex, season and reproductive condition in this seasonally
breeding viviparous lizard. This study opens the way for further investigation
into the mechanisms by which internal (body condition) and external seasonal cues
(temperature and photoperiod) are coordinated to regulate reproduction in
irregularly-breeding reptiles.
PMID- 26551890
TI - Transformation and Immobilization of Chromium by Arbuscular Mycorrhizal Fungi as
Revealed by SEM-EDS, TEM-EDS, and XAFS.
AB - Arbuscular mycorrhizal fungi (AMF), ubiquitous soil fungi that form symbiotic
relationships with the majority of terrestrial plants, are known to play an
important role in plant tolerance to chromium (Cr) contamination. However, the
underlying mechanisms, especially the direct influences of AMF on the
translocation and transformation of Cr in the soil-plant continuum, are still
unresolved. In a two-compartment root-organ cultivation system, the extraradical
mycelium (ERM) of mycorrhizal roots was treated with 0.05 mmol L(-1) Cr(VI) for
12 days to investigate the uptake, translocation, and transformation of Cr(VI) by
AMF using inductively coupled plasma mass spectrometry (ICP-MS), scanning
electron microscopy equipped with energy-dispersive spectroscopy (SEM-EDS),
transmission electron microscopy equipped with energy-dispersive spectroscopy
(TEM-EDS), and X-ray-absorption fine structure (XAFS) technologies. The results
indicated that AMF can immobilize quantities of Cr via reduction of Cr(VI) to
Cr(III), forming Cr(III)-phosphate analogues, likely on the fungal surface.
Besides this, we also confirmed that the extraradical mycelium (ERM) can actively
take up Cr [either in the form of Cr(VI) or Cr(III)] and transport Cr
[potentially in the form of Cr(III)-histidine analogues] to mycorrhizal roots but
immobilize most of the Cr(III) in the fungal structures. Based on an X-ray
absorption near-edge spectroscopy analysis of Cr(VI)-treated roots, we proposed
that the intraradical fungal structures can also immobilize Cr within mycorrhizal
roots. Our findings confirmed the immobilization of Cr by AMF, which plays an
essential role in the Cr(VI) tolerance of AM symbioses.
PMID- 26551891
TI - Current state of biomarkers in ovarian cancer prognosis.
AB - High-grade serous ovarian cancer remains one of the most lethal malignancies in
women. Despite recent advances in surgical and pharmaceutical therapies, survival
rates remain poor. A major impediment in management of this disease, that
continues to contribute to poor overall survival rates, is resistance to standard
carboplatin-paclitaxel combination chemotherapies. In addition to tumor cell
intrinsic mechanisms leading to drug resistance, there is increasing awareness of
the crucial role of the tumor microenvironment in mediating natural immune
defense mechanisms and selective pressures that appear to facilitate chemotherapy
sensitivity. We provide an overview of some of the promising new genetic and
immunological biomarkers in ovarian cancer and discuss their biology and their
likely clinical utility in future ovarian cancer management.
PMID- 26551893
TI - Hypnosis modulates behavioural measures and subjective ratings about external and
internal awareness.
AB - In altered subjective states, the behavioural quantification of external and
internal awareness remains challenging due to the need for reports on the
subjects' behalf. With the aim to characterize the behavioural counterpart of
external and internal awareness in a modified subjective condition, we used
hypnosis during which subjects remain fully responsive. Eleven right-handed
subjects reached a satisfactory level of hypnotisability as evidenced by
subjective reports on arousal, absorption and dissociation. Compared to normal
wakefulness, in hypnosis (a) participants' self-ratings for internal awareness
increased and self-ratings for external awareness decreased, (b) the two
awareness components tended to anticorrelate less and the switches between
external and internal awareness self-ratings were less frequent, and (c)
participants' reaction times were higher and lapses in key presses were more
frequent. The identified imbalance between the two components of awareness is
considered as of functional relevance to subjective (meta)cognition, possibly
mediated by allocated attentional properties brought about by hypnosis. Our
results highlight the presence of a cognitive counterpart in resting state,
indicate that the modified contents of awareness are measurable behaviourally,
and provide leverage for investigations of more challenging altered conscious
states, such as anaesthesia, sleep and disorders of consciousness.
PMID- 26551892
TI - Lifetime exposure to traumatic and other stressful life events and hair cortisol
in a multi-racial/ethnic sample of pregnant women.
AB - We examined whether lifetime exposure to stressful and traumatic events alters
hypothalamic-pituitary-adrenal (HPA) axis functioning, as indexed by hair
cortisol, regardless of associated psychopathology, among pregnant women of
different racial/ethnic backgrounds. 180 women provided hair samples for
measurement of integrated cortisol levels throughout pregnancy and information
regarding their lifetime exposure to stressful and traumatic life events. Results
indicate that increased lifetime exposure to traumatic events was associated with
significantly greater hair cortisol over the course of pregnancy. Similarly,
greater lifetime exposure to stressful and traumatic events weighted by reported
negative impact (over the previous 12 months) was associated with significantly
greater hair cortisol during pregnancy. All analyses controlled for maternal age,
education, body mass index (BMI), use of inhaled corticosteroids, race/ethnicity,
and post-traumatic stress disorder (PTSD) and depressive symptoms. Following
stratification by race/ethnicity, associations between stressful and traumatic
life events and hair cortisol were found among Black women only. This is the
first study to consider associations between lifetime stress exposures and hair
cortisol in a sociodemographically diverse sample of pregnant women. Increased
exposure to stressful and traumatic events, independent of PTSD and depressive
symptoms, was associated with higher cortisol production, particularly in Black
women. Future research should investigate the influence of such increased
cortisol exposure on developmental outcomes among offspring.
PMID- 26551894
TI - The vgll3 Locus Controls Age at Maturity in Wild and Domesticated Atlantic Salmon
(Salmo salar L.) Males.
AB - Wild and domesticated Atlantic salmon males display large variation for sea age
at sexual maturation, which varies between 1-5 years. Previous studies have
uncovered a genetic predisposition for variation of age at maturity with moderate
heritability, thus suggesting a polygenic or complex nature of this trait. The
aim of this study was to identify associated genetic loci, genes and ultimately
specific sequence variants conferring sea age at maturity in salmon. We performed
a genome wide association study (GWAS) using a pool sequencing approach (20
individuals per river and phenotype) of male salmon returning to rivers as
sexually mature either after one sea winter (2009) or three sea winters (2011) in
six rivers in Norway. The study revealed one major selective sweep, which covered
76 significant SNPs in which 74 were found in a 370 kb region of chromosome 25.
Genotyping other smolt year classes of wild and domesticated salmon confirmed
this finding. Genotyping domesticated fish narrowed the haplotype region to four
SNPs covering 2386 bp, containing the vgll3 gene, including two missense
mutations explaining 33-36% phenotypic variation. A single locus was found to
have a highly significant role in governing sea age at maturation in this
species. The SNPs identified may be both used as markers to guide breeding for
late maturity in salmon aquaculture and in monitoring programs of wild salmon.
Interestingly, a SNP in proximity of the VGLL3 gene in humans (Homo sapiens), has
previously been linked to age at puberty suggesting a conserved mechanism for
timing of puberty in vertebrates.
PMID- 26551895
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research.
AB - This commentary considers a recent article on how the proliferating use of
atheoretical, confirmatory and diagnosis driven research approaches is resulting
in the over-identification of behavioral addictions. In response to the original
article, I reflect on the timeliness and value of its observations and expand on
a central point it raises: The importance of thinking beyond diagnostic
frameworks in developing a comprehensive understanding of addictive behaviors and
associated treatments.
PMID- 26551896
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research. Problems with atheoretical and confirmatory
research approaches in the study of behavioral addictions.
AB - BACKGROUND AND AIMS: This commentary is written in response to a paper by
Billieux, Schimmenti, Khazaal, Maurage and Hereen (2015) published in the Journal
of Behavioral Addictions. METHODS: It supports and extends the arguments by
Billieux, Schimmenti et al. (2015): that the study of behavioral addictions too
often rests on atheoretical and confirmatory research approaches. This tends to
lead to theories that lack specificity and a neglect of the underlying processes
that might explain why repetitive problem behaviors occur. RESULTS: In this
commentary I extend the arguments by Billieux, Schimmenti et al. (2015) and argue
that such research approaches might take us further away from conceptualizing
psychiatric diagnoses that can be properly validated, which is already a problem
in the field. Furthermore, I discuss whether the empirical support for
conceptualizing repetitive problem behaviors as addictions might rest on research
practices that have been methodologically biased to produce a result congruent
with the proposal that substance addictions and behavioral addictions share
similar traits. CONCLUSIONS: I conclude by presenting a number of ways of going
forward, chief of which is the proposal that we might wish to go beyond a priori
assumptions of addiction in favor of identifying the essential problem
manifestations for each new potential behavioral addiction.
PMID- 26551897
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research. Excessive behaviors are not necessarily addictive
behaviors.
AB - BACKGROUND AND AIMS: The commentary aims to provide clarity to the article "Are
we overpathologizing everyday life? A tenable blueprint for behavioral addiction
research." METHODS: We provide another viewpoint for the important issues of
behavior addiction. RESULT: The course of behavior addiction should be further
studied. The criteria of withdrawal and tolerance of behavior addiction are ill
defined and need to be further evaluated. CONCLUSIONS: The etiology, course,
presentation, and functional impairment of behavior addiction should be validated
by evidence-based data before being defined as a disorder.
PMID- 26551898
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research. On the slippery slopes: The case of gambling
addiction.
AB - Billieux et al. (2015) propose that the recent proliferation of behavioral
addictions has been driven by deficiencies in the underlying research strategy.
This commentary considers how pathological gambling (now termed gambling
disorder) traversed these challenges to become the first recognized behavioral
addiction in the DSM-5. Ironically, many similar issues continue to exist in
research on gambling disorder, including question-marks over the validity of
tolerance, heterogeneity in gambling motives, and the under-specification of
neuroimaging biomarkers. Nevertheless, I contend that the case for gambling
disorder as a behavioral addiction has been bolstered by the existence of clear
and consistent functional impairment (primarily in the form of debt), coupled
with the development of a public health approach that has given emphasis to
product features (i.e. the structural characteristics of gambling forms) as much
as individual dispositions (the 'addictive personality').
PMID- 26551899
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research. On functional and compulsive aspects of
reinforcement pathologies.
AB - BACKGROUND: This paper is a commentary to a debate article entitled: "Are we
overpathologizing everyday life? A tenable blueprint for behavioral addiction
research", by Billieux et al. (2015). METHODS AND AIM: This brief response
focused on the necessity to better characterize psychological and related
neurocognitive determinants of persistent deleterious actions associated or not
with substance utilization. RESULTS: A majority of addicted people could be
driven by psychological functional reasons to keep using drugs, gambling or
buying despite the growing number of related negative consequences. In addition,
a non-negligible proportion of them would need assistance to restore profound
disturbances in basic learning processes involved in compulsive actions.
CONCLUSIONS: The distinction between psychological functionality and compulsive
aspects of addictive behaviors should represent a big step towards more efficient
treatments.
PMID- 26551900
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research. Defining and classifying non-substance or
behavioral addictions.
AB - Multiple controversies exist currently in the field of behavioral addictions. The
opinion article by Billieux, Schimmenti, Khazaal, Maurage and Heeren (2015)
proposes an approach to considering which behaviors might be considered as foci
for addictions. The article raises multiple important points that foster further
dialog and highlight the need for additional research. Given that how specific
behaviors are considered from diagnostic and classification perspectives holds
significant public health implications, targeting and eliminating current
knowledge gaps relating to behavioral addictions is an important undertaking.
PMID- 26551901
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research.
AB - This commentary supports the argument that there is an increasing tendency to
subsume a range of excessive daily behaviors under the rubric of non-substance
related behavioral addictions. The concept of behavioral addictions gained
momentum in the 1990s with the recent reclassification of pathological gambling
as a non-substance behavioral addiction in DSM-5 accelerating this process. The
propensity to label a host of normal behaviors carried out to excess as
pathological based simply on phenomenological similarities to addictive disorders
will ultimately undermine the credibility of behavioral addiction as a valid
construct. From a scientific perspective, anecdotal observation followed by the
subsequent modification of the wording of existing substance dependence
diagnostic criteria, and then searching for biopsychosocial correlates to justify
classifying an excessive behavior resulting in harm as an addiction falls far
short of accepted taxonomic standards. The differentiation of normal from non
substance addictive behaviors ought to be grounded in sound conceptual,
theoretical and empirical methodologies. There are other more parsimonious
explanations accounting for such behaviors. Consideration needs to be given to
excluding the possibility that excessive behaviors are due to situational
environmental/social factors, or symptomatic of an existing affective disorder
such as depression or personality traits characteristic of cluster B
personalities (namely, impulsivity) rather than the advocating for the
establishment of new disorders.
PMID- 26551902
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research. Addictions as a psychosocial and cultural
construction.
AB - This commentary proposes a complementary perspective to that developed by
Billieux, Schimmenti, Khazaal, Maurage and Heeren (2015). The addiction-as
disease approach tends to sideline explanatory factors of a psychosocial,
cultural, political, or historical nature. I therefore suggest taking into
account not only the personal characteristics (loss of self-control, impulsivity)
related to the disease model, but also the social determinants of addictive
behaviors (weak social ties, social exclusion, hyperindividualism, poverty,
unemployment, etc.). Moreover, the disease model of addiction removes addictive
behaviors from the cultural and historical contexts that shape them. I argue that
the cultural and historical reasons for which certain factors (such as loss of
self-control) became so important in the explanation of addictive behaviors
should be more thoroughly considered.
PMID- 26551903
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research. Can the emerging domain of behavioral addictions
bring a new reflection for the field of addictions, by stressing the issue of the
context of addiction development?
AB - BACKGROUND: This paper is a commentary to the article entitled: "Are we
overpathologizing everyday life? A tenable blueprint for behavioral addiction
research", by Billieux, Schimmenti, Khazaal, Maurage and Heeren (2015). METHODS
AND AIMS: In this manuscript, we commented on two aspects developed by the
authors. Billieux et al. (2015) propose that the recent development of
propositions of behavioral addiction is driven by an unwise application of an
addiction model to excessive behaviors and rests on a confirmatory research
strategy that does not question the psychological processes underlying the
development of the conduct. They also show that applying a process driven
strategy leads to a more appropriate description of the reality of the behavior
and conduct, in particular by describing a variety of motivations for the
excessive behavior, which is central to understanding the nature of the conduct.
We believe that this new approach, which is fruitful to the emerging domain of
behavioral addictions, could also apply to the domain of addictions in general.
The latter is characterized by the application of a generic biological model,
largely influenced by animal models, focusing on neurophysiological determinants
of addiction. This approach may have decreased the attention paid to dimensions
of addictions that are more specifically human. We will firstly briefly argue on
the limitation of this neurophysiological addiction model for the field of
excessive behavioral conducts. Secondly, we will argue for an approach centered
on the differentiation of motivations and on the adaptive dimension of the
behavior when it first developed and on the evocation of a transition where the
conduct became independent of its original function. CONCLUSIONS: The emerging
domain of behavioral addictions, where no animal model has been developed so far,
may bring a new reflection that may apply to the domain of addictions in general,
with a specific attention to human questions.
PMID- 26551904
TI - Commentary on: Are we overpathologizing everyday life? A tenable blueprint for
behavioral addiction research. The diagnostic pitfalls of surveys: If you score
positive on a test of addiction, you still have a good chance not to be addicted.
AB - BACKGROUND AND AIMS: Survey-based studies often fail to take into account the
predictive value of a test, in other words, the probability of a person having
(or not having) the disease when scoring positive (or negative) on the given
screening test. METHODS: We re-visited the theory and basic calculations of
diagnostic accuracy. RESULTS: In general, the lower the prevalence the worse the
predictive value is. When the disorder is relatively rare, a positive test
finding is typically not useful in confirming its presence given the high
proportion of false positive cases. For example, using the Compulsive Buying
Scale (Faber & O'Guinn, 1992) three in four people classified as having
compulsive buying disorder will in fact not have the disorder. CONCLUSIONS:
Screening tests are limited to serve as an early detection "gate" and only
clinical (interview-based) studies are suitable to claim that a certain behaviour
is truly "pathological".
PMID- 26551905
TI - Addictive use of social networking sites can be explained by the interaction of
Internet use expectancies, Internet literacy, and psychopathological symptoms.
AB - BACKGROUND AND AIMS: Most people use the Internet in a functional way to achieve
certain goals and needs. However, there is an increasing number of people who
experience negative consequences like loss of control and distress based on an
excessive use of the Internet and its specific online applications. Some
approaches postulate similarities with behavioral addictions as well as substance
dependencies. They differentiate between a generalized and a specific Internet
addiction, such as the pathological use of social networking sites (SIA-SNS).
Prior studies particularly identified the use of applications, personal
characteristics, and psychopathological symptoms as significant predictors for
the development and maintenance of this phenomenon. So far, it remains unclear
how psychopathological symptoms like depression and social anxiety interact with
individual expectancies of Internet use and capabilities of handling the
Internet, summarized as Internet literacy. METHODS: The current study (N = 334)
investigated the interaction of these components in a structural equation model.
RESULTS: The results indicate that the effects of depression and social anxiety
on SIA-SNS were mediated by Internet use expectancies and self-regulation.
DISCUSSION: Thus, Internet use expectancies seem to be crucial for SIA-SNS, which
is in line with prior models. CONCLUSIONS: SNS use may be reinforced by
experienced gratification and relief from negative feelings. Individual
competences in handling the Internet may be preventive for the development of SIA
SNS.
PMID- 26551906
TI - The independent relationship between trouble controlling Facebook use, time spent
on the site and distress.
AB - BACKGROUND AND AIMS: There is an emerging literature base on the relationship
between maladaptive traits and "addiction" to social networking sites. These
studies have operationalized addiction as either spending excessive amounts of
time on social networking sites (SNS) or trouble controlling SNS use, but have
not assessed the unique contribution of each of these constructs on outcomes in
the same models. Moreover, these studies have exclusively been conducted with
younger people rather than a heterogeneous sample. This study examined the
independent relationship of a brief Facebook addiction scale, time spent on
Facebook, and Facebook checking on positive and negative social domains, while
controlling for self-esteem and social desirability. METHODS: Participants were
recruited using e-mail, SNS posts and through Amazon's MTurk system. The sample
included 489 respondents ages from 18 to approximately 70, who completed a 10-15
minute survey. RESULTS: Results indicate that neither time spent on Facebook nor
Facebook checking was significantly associated with either self-esteem, fear of
negative social evaluation or social comparison, while SNS addiction symptoms
were each independently associated with Facebook usage. Neither time spent on
Facebook nor SNS addiction symptoms were associated with positive social
relationships. DISCUSSION: Overall results suggest that time on SNS and trouble
controlling use should be considered independent constructs and that
interventions should target underlying loss of control as the primary
intervention target above ego syntonic time spent on the site.
PMID- 26551907
TI - Do gamblers eat more salt? Testing a latent trait model of covariance in
consumption.
AB - A diverse class of stimuli, including certain foods, substances, media, and
economic behaviours, may be described as 'reward-oriented' in that they provide
immediate reinforcement with little initial investment. Neurophysiological and
personality concepts, including dopaminergic dysfunction, reward sensitivity and
rash impulsivity, each predict the existence of a latent behavioural trait that
leads to increased consumption of all stimuli in this class. Whilst bivariate
relationships (co-morbidities) are often reported in the literature, to our
knowledge, a multivariate investigation of this possible trait has not been done.
We surveyed 1,194 participants (550 male) on their typical weekly consumption of
11 types of reward-oriented stimuli, including fast food, salt, caffeine,
television, gambling products, and illicit drugs. Confirmatory factor analysis
was used to compare models in a 3*3 structure, based on the definition of a
single latent factor (none, fixed loadings, or estimated loadings), and assumed
residual covariance structure (none, a-priori / literature based, or post-hoc /
data-driven). The inclusion of a single latent behavioural 'consumption' factor
significantly improved model fit in all cases. Also confirming theoretical
predictions, estimated factor loadings on reward-oriented indicators were
uniformly positive, regardless of assumptions regarding residual covariances.
Additionally, the latent trait was found to be negatively correlated with the non
reward-oriented indicators of fruit and vegetable consumption. The findings
support the notion of a single behavioural trait leading to increased consumption
of reward-oriented stimuli across multiple modalities. We discuss implications
regarding the concentration of negative lifestyle-related health behaviours.
PMID- 26551908
TI - The role of negative mood states and consequences of hypersexual behaviours in
predicting hypersexuality among university students.
AB - BACKGROUND AND AIMS: The issue of whether hypersexual behaviours exist among
university students is controversial because many of these individuals engage in
sexual exploration during their time at university. To date, little is known
about the correlates of hypersexual behaviours among university students in the
UK. Therefore, the aims of this exploratory study were two-fold. Firstly, to
explore and establish the correlates of hypersexual behaviours, and secondly, to
investigate whether hypersexuality among university students can be predicted by
variables relating to negative mood states (i.e., emotional dysregulation,
loneliness, shame, and life satisfaction) and consequences of hypersexual
behaviour. METHODS: Survey data from 165 British university students was analysed
using regression analyses. RESULTS: The full regression model significantly
predicted hypersexual behaviours. However, only a small number of predictor
variables (i.e., gender, consequences of hypersexual behaviours, life
satisfaction and emotional dysregulation) accounted for the significant unique
influence on hypersexual behaviours among the sample. CONCLUSIONS: The study
empirically supported the concept of hypersexual disorder. The implications of
these findings are also discussed.
PMID- 26551909
TI - Prevalence and co-occurrence of addictive behaviors among former alternative high
school youth: A longitudinal follow-up study.
AB - BACKGROUND AND AIMS: Recent work has studied addictions using a matrix measure,
which taps multiple addictions through single responses for each type. This is
the first longitudinal study using a matrix measure. METHODS: We investigated the
use of this approach among former alternative high school youth (average age =
19.8 years at baseline; longitudinal n = 538) at risk for addictions. Lifetime
and last 30-day prevalence of one or more of 11 addictions reviewed in other work
was the primary focus (i.e., cigarettes, alcohol, hard drugs, shopping, gambling,
Internet, love, sex, eating, work, and exercise). These were examined at two time
points one year apart. Latent class and latent transition analyses (LCA and LTA)
were conducted in Mplus. RESULTS: Prevalence rates were stable across the two
time-points. As in the cross-sectional baseline analysis, the 2-class model
(addiction class, non-addiction class) fit the data better at follow-up than
models with more classes. Item-response or conditional probabilities for each
addiction type did not differ between time-points. As a result, the LTA model
utilized constrained the conditional probabilities to be equal across the two
time-points. In the addiction class, larger conditional probabilities (i.e., 0.40
0.49) were found for love, sex, exercise, and work addictions; medium conditional
probabilities (i.e., 0.17-0.27) were found for cigarette, alcohol, other drugs,
eating, Internet and shopping addiction; and a small conditional probability
(0.06) was found for gambling. DISCUSSION AND CONCLUSIONS: Persons in an
addiction class tend to remain in this addiction class over a one-year period.
PMID- 26551910
TI - The influence of exercise identity and social physique anxiety on exercise
dependence.
AB - BACKGROUND: Previous research has identified exercise identity and social
physique anxiety as two independent factors that are associated with exercise
dependence. AIMS: The purpose of our study was to investigate the unique and
interactive effect of these two known correlates of exercise dependence in a
sample of 1,766 female runners. METHODS: Regression analyses tested the main
effects of exercise identity and social physique anxiety on exercise dependence.
An interaction term was calculated to examine the potential moderating effect of
social physique anxiety on the exercise identity and exercise dependence
relationship. RESULTS: Results indicate a main effect for exercise identity and
social physique anxiety on exercise dependence; and the interaction of these
factors explained exercise dependence scores beyond the independent effects.
Thus, social physique anxiety acted as a moderator in the exercise identity and
exercise dependence relationship. DISCUSSION: Our results indicate that
individuals who strongly identify themselves as an exerciser and also endorse a
high degree of social physique anxiety may be at risk for developing exercise
dependence. CONCLUSIONS: Our study supports previous research which has examined
factors that may contribute to the development of exercise dependence and also
suggests a previously unknown moderating relationship for social physique anxiety
on exercise dependence.
PMID- 26551911
TI - Relationship between smartphone addiction and physical activity in Chinese
international students in Korea.
AB - BACKGROUND AND AIMS: Excessive usage of smartphones may induce social problems,
such as depression and impairment of social and emotional functioning. Moreover,
its usage can impede physical activity, but the relationship between smartphone
addiction and physical activity is obscure. Therefore, we examined the
relationship and the impact of excessive smartphone use on physical activity.
METHODS: This study collected data through the structured questionnaire
consisting of general characteristics, the number and hours of smartphone usage,
and the Smartphone Addiction Proneness Scale (SAPS) from 110 Chinese
international students in Korea. The body composition and physical activity, such
as the total daily number of steps and consumed calories, were measured. RESULTS:
In this study, high-risk smartphone users showed less physical activity, such as
the total number of steps taken and the average consumed calories per day.
Moreover, their body composition, such as muscle mass and fat mass, was
significantly different. Among these factors, the hours of smartphone use
revealed the proportional relationship with smartphone addiction (beta = 0.209, p
= 0.026), while the average number of walking steps per day showed a significant
reverse proportional tendency in participants with smartphone addiction (beta =
0.883, p < 0.001). CONCLUSIONS: Participants with smartphone addiction were less
likely to walk for each day. Namely, smartphone addiction may negatively
influence physical health by reducing the amount of physical activity, such as
walking, resulting in an increase of fat mass and a decrease of muscle mass
associated with adverse health consequences.
PMID- 26551912
TI - Eating disorder risk, exercise dependence, and body weight dissatisfaction among
female nutrition and exercise science university majors.
AB - BACKGROUND AND AIMS: Past research has examined eating disorder risk among
college students majoring in Nutrition and has suggested an increased risk, while
other studies contradict these results. Exercise Science majors, however, have
yet to be fully examined regarding their risk for eating disorders and exercise
dependence. Based on pressures to fit the image associated with careers related
to these two disciplines, research is warranted to examine the potential risk for
both eating disorder and exercise dependence. The purpose of this study is to
compare eating disorder risk, exercise dependence, and body weight
dissatisfaction (BWD) between Nutrition and Exercise Science majors, compared to
students outside of these career pathways. METHODS: Participants (n = 89) were
divided into three groups based on major; Nutrition majors (NUTR; n = 31),
Exercise Science majors (EXSC; n = 30), and other majors (CON; n = 28).
Participants were given the EAT-26 questionnaire and the Exercise Dependence
Scale. BWD was calculated as the discrepancy between actual BMI and ideal BMI.
RESULTS: The majority of participants expressed a desire to weigh less (83%) and
EXSC had significantly (p = .03) greater BWD than NUTR. However, there were no
significant differences in eating disorder risk or exercise dependence among
majors. DISCUSSION AND CONCLUSIONS: This study suggested there was no significant
difference in eating disorder risk or exercise dependence between the three
groups (NUTR, EXSC, and CON).
PMID- 26551914
TI - Galectin-1 Controls the Proliferation and Migration of Liver Sinusoidal
Endothelial Cells and Their Interaction With Hepatocarcinoma Cells.
AB - Galectin-1 (Gal1), a beta-galactoside-binding protein elevated in hepatocellular
carcinoma (HCC), promotes epithelial-mesenchymal transition (EMT) and its
expression correlates with HCC growth, invasiveness, and metastasis. During the
early stages of HCC, transforming growth factor beta1 (TGF-beta1 ) acts as a
tumor suppressor; however in advanced stages, HCC cells lose their cytostatic
response to TGF-beta1 and undergo EMT. Here, we investigated the role of Gal1 on
liver endothelial cell biology, and the interplay between Gal1 and TGF-beta1 in
HCC progression. By Western blot and immunofluorescence, we analyzed Gal1
expression, secretion and localization in HepG2 and HuH-7 human HCC cells, and in
SK-HEP-1 human liver sinusoidal endothelial cells (SECs). We used loss-of
function and gain-of-function experiments to down- or up-regulate Gal1
expression, respectively, in HepG2 cells. We cultured SK-HEP-1 cells with
conditioned media from HCC cells secreting different levels of Gal1, and
demonstrated that Gal1 derived from tumor hepatocytes induced its own expression
in SECs. Colorimetric and scratch-wound assays revealed that secretion of Gal1 by
HCC cells induced SEC proliferation and migration. Moreover, by fluorescence
microscopy we demonstrated that Gal1 promoted glycan-dependent heterotypic
adhesion of HepG2 cells to SK-HEP-1 SECs. Furthermore, TGF-beta1 induced Gal1
expression and secretion by HCC cells, and promoted HepG2 cell adhesion to SK-HEP
1 SECs through a Gal1-dependent mechanism. Finally, Gal1 modulated HepG2 cell
proliferation and sensitivity to TGF-beta1 -induced growth inhibition. Our
results suggest that Gal1 and TGF-beta1 might function coordinately within the
HCC microenvironment to regulate tumor growth, invasion, metastasis, and
angiogenesis.
PMID- 26551915
TI - Onsite Substitution Synthesis of Ultrathin Ni Nanofilms Loading Ultrafine Pt
Nanoparticles for Hydrogen Evolution.
AB - Here, the ultrathin Ni nanofilms loading ultrafine Pt nanoparticles (Ni/Pt
nanocomposites) were synthesized by a simple substitution method for the
electrocatalysis of hydrogen evolution reaction (HER). First, the ultrathin Ni
nanofilms were prepared by using NaBH4 to reduce Ni salt. Then the ultrafine Pt
nanoparticles attached on the surface of the ultrathin Ni nanofilms through the
onsite substitution reaction between PtCl6(2-) and Ni element. X-ray
photoelectron spectroscopy (XPS) experiment confirmed that Ni in Ni/Pt
nanocomposites exists in the form of Ni(OH)2. Transmission electro microscope
(TEM) study showed that the ultrafine Pt nanoparticles were sufficiently
dispersed and loaded at Ni ultrathin nanofilms. The obtained Ni/Pt nanocomposites
exhibited superior activity of HER and good stability in acidic media. It
obtained 10 and 100 mA/cm(2) with overpotential of only 36 and 115 mV,
respectively. The stability experiment of 20,000 s gave nearly negligible current
decrease. On the one hand, the ultrathin Ni nanofilms help to disperse and form
the ultrafine Pt nanoparticles. On the other hand, the ultrathin Ni nanofilms
help to load the ultrafine Pt nanoparticles as catalyst support and immobilize
both of them onto the electrode surface because of the high surface free energy
of ultrathin nanofilm and the leading high adsorption ability. In addition, Ni
itself exhibited somewhat electrocatalytic activity of HER, which contributed to
the whole HER electrocatalysis of Ni/Pt nanocomposites. The excellent
electrocatalysis may lead to the decreased consumption of expensive Pt and open
up new opportunities for applications in hydrogen energy.
PMID- 26551916
TI - Risks and Benefits Associated With Prestroke Antiplatelet Therapy Among Patients
With Acute Ischemic Stroke Treated With Intravenous Tissue Plasminogen Activator.
AB - IMPORTANCE: Intravenous tissue plasminogen activator (tPA) is known to improve
outcomes in ischemic stroke; however, many patients may have been receiving
antiplatelet therapy before acute ischemic stroke and could face an increased
risk for bleeding when treated with tPA. OBJECTIVE: To assess the risks and
benefits associated with prestroke antiplatelet therapy among patients with
ischemic stroke who receive intravenous tPA. DESIGN, SETTING, AND PARTICIPANTS:
This observational study used data from the American Heart Association and
American Stroke Association Get With the Guidelines-Stroke registry, which
included 85 072 adult patients with ischemic stroke who received intravenous tPA
in 1545 registry hospitals from January 1, 2009, through March 31, 2015. Data
were analyzed during the same period. EXPOSURES: Prestroke antiplatelet therapy
before tPA administration for acute ischemic stroke. MAIN OUTCOMES AND MEASURES:
Symptomatic intracranial hemorrhage (sICH), in-hospital mortality, discharge
ambulatory status, and modified Rankin Scale score (range, 0 [no symptoms] to 6
[death]). RESULTS: Of the 85 072 registry patients, 38 844 (45.7%) were receiving
antiplatelet therapy before admission; 46 228 patients (54.3%) were not. Patients
receiving antiplatelet therapy were older (median [25th-75th percentile] age, 76
[65-84] vs 68 [56-80] years) and had a higher prevalence of cardiovascular risk
factors. The unadjusted rate of sICH was higher in patients receiving
antiplatelet therapy (5.0% vs 3.7%). After risk adjustment, prior use of
antiplatelet agents remained associated with higher odds of sICH compared with no
use (adjusted odds ratio [AOR], 1.18 [95% CI, 1.10-1.28]; absolute difference,
+0.68% [95% CI, 0.36%-1.01%]; number needed to harm [NNH], 147). Among patients
enrolled on October 1, 2012, or later, the highest odds (95% CIs) of sICH were
found in 15 116 patients receiving aspirin alone (AOR, 1.19 [1.06- 1.34];
absolute difference [95% CI], +0.68% [0.21%-1.20%]; NNH, 147) and 2397 patients
receiving dual antiplatelet treatment of aspirin and clopidogrel (AOR, 1.47 [1.16
1.86]; absolute difference, +1.67% [0.58%-3.00%]; NNH, 60). The risk for in
hospital mortality was similar between those who were and were not receiving
antiplatelet therapy after adjustment (8.0% vs 6.6%; AOR, 1.00 [0.94-1.06];
nonsignificant absolute difference, -0.01% [-0.37% to 0.36%]). However, patients
receiving antiplatelet therapy had a greater risk-adjusted likelihood of
independent ambulation (42.1% vs 46.6%; AOR, 1.13 [1.08-1.17]; absolute
difference, +2.23% [1.55%-2.92%]; number needed to treat, 43) and better
functional outcomes (modified Rankin Scale score, 0-1) at discharge (24.1% vs
27.8%; AOR, 1.14; 1.07-1.22; absolute difference, +1.99% [0.78%-3.22%]; number
needed to treat, 50). CONCLUSIONS AND RELEVANCE: Among patients with an acute
ischemic stroke treated with intravenous tPA, those receiving antiplatelet
therapy before the stroke had a higher risk for sICH but better functional
outcomes than those who were not receiving antiplatelet therapy.
PMID- 26551917
TI - Morphometric Analysis of Explant Lungs in Cystic Fibrosis.
AB - RATIONALE: After repeated cycles of lung infection and inflammation, patients
with cystic fibrosis (CF) evolve to respiratory insufficiency. Although histology
and imaging have provided descriptive information, a thorough morphometric
analysis of end-stage CF lung disease is lacking. OBJECTIVES: To quantify the
involvement of small and large airways in end-stage CF. METHODS: Multidetector
computed tomography (MDCT) and micro-CT were applied to 11 air-inflated CF
explanted lungs and 7 control lungs to measure, count, and describe the airway
and parenchymal abnormalities in end-stage CF lungs. Selected abnormalities were
further investigated with thin section histology. MEASUREMENTS AND MAIN RESULTS:
On MDCT, CF explanted lungs showed an increased median (interquartile range)
number (631 [511-710] vs. 344 [277-349]; P = 0.003) and size of visible airways
(cumulative airway diameter 217 cm [209-250] vs. 91 cm [80-105]; P < 0.001)
compared with controls. Airway obstruction was seen, starting from generation 6
and increasing to 40 to 50% of airways from generation 9 onward. Micro-CT showed
that the total number of terminal bronchioles was decreased (2.9/ml [2.6-4.4] vs.
5.3/ml [4.8-5.7]; P < 0.001); 49% were obstructed, and the cross-sectional area
of the open terminal bronchioles was reduced (0.093 mm(2) [0.084-0.123] vs. 0.179
mm(2) [0.140-0.196]; P < 0.001). On micro-CT, 41% of the obstructed airways
reopened more distally. This remodeling was confirmed on histological analysis.
Parenchymal changes were also seen, mostly in a patchy and peribronchiolar
distribution. CONCLUSIONS: Extensive changes of dilatation and obstruction in
nearly all airway generations were observed in end-stage CF lung disease.
PMID- 26551918
TI - So many options, where do we start? An overview of the care transitions
literature.
AB - BACKGROUND: Health systems are faced with a large array of transitional care
interventions and patient populations to whom such activities might apply.
PURPOSE: To summarize the health and utilization effects of transitional care
interventions, and to identify common themes about intervention types, patient
populations, or settings that modify these effects. DATA SOURCES: PubMed and
Cochrane Database of Systematic Reviews (January 1950-May 2014), reference lists,
and technical advisors. STUDY SELECTION: Systematic reviews of transitional care
interventions that reported hospital readmission as an outcome. DATA EXTRACTION:
We extracted transitional care procedures, patient populations, settings,
readmissions, and health outcomes. We identified commonalities and compiled a
narrative synthesis of emerging themes. DATA SYNTHESIS: Among 10 reviews of mixed
patient populations, there was consistent evidence that enhanced discharge
planning and hospital-at-home interventions reduced readmissions. Among 7 reviews
in specific patient populations, transitional care interventions reduced
readmission in patients with congestive heart failure and general medical
populations. In general, interventions that reduced readmission addressed
multiple aspects of the care transition, extended beyond hospital stay, and had
the flexibility to accommodate individual patient needs. There was insufficient
evidence on how caregiver involvement, transition to sites other than home,
staffing, patient selection practices, or care settings modified intervention
effects. CONCLUSIONS: Successful interventions are comprehensive, extend beyond
hospital stay, and have the flexibility to respond to individual patient needs.
The strength of evidence should be considered low because of heterogeneity in the
interventions studied, patient populations, clinical settings, and implementation
strategies.
PMID- 26551921
TI - Evidence of Different Propofol Pharmacokinetics under Short and Prolonged
Infusion Times in Rabbits.
AB - Propofol is an anaesthetic widely used in both human beings and animals. However,
the characterization of propofol pharmacokinetics (PK) is not well understood
when long-term infusions are used. The main objective of this study was to
explore the PK behaviour of propofol in a rabbit model during short and prolonged
propofol infusions and to develop an internally validated PK model, for propofol
dose individualization in the rabbit for future use. Population 1 (P1) was
constituted by seven New Zealand rabbits and was used to characterize the PK
profile of propofol at short infusions. Animals were anaesthetized with a bolus
of 20 mg/kg, followed by an infusion rate of 50 mg/kg/hr of propofol at 1%, which
was then maintained for 30 min. A second rabbit population (P2, n = 7) was
sedated according to reflexes responses and Index of Consciousness values, for 20
consecutive hours using propofol 2% aiming at characterizing propofol behaviour
at long-term infusions. Clinical data and blood samples were collected at
specific time-points in both populations. Propofol plasma concentrations were
determined by gas chromatography/ion trap mass spectrometry. The NONMEM VII
software was used to evaluate the relationships between dose and plasma
concentrations. A linear two-compartment model with different central compartment
volume and plasma clearance (separately modelled in the two populations) was the
one that best described propofol concentrations. The time course of propofol
plasma concentrations was well characterized by the PK model developed, which
simultaneously accounts for propofol short- and long-term infusions and can be
used to optimize future PK studies in rabbits.
PMID- 26551920
TI - Negotiating the use of female-initiated HIV prevention methods in a context of
gender-based violence: the narrative of rape.
AB - Female-initiated methods of HIV prevention are needed to address barriers to HIV
prevention rooted in gender inequalities. Understanding the sociocultural context
of pre-exposure prophylaxis (PrEP) trials, including gender-based violence, is
thus critical. MTN-003C (VOICE-C), a qualitative sub-study of the larger MTN-003
(VOICE) trial, examined sociocultural barriers and facilitators to PrEP amongst
women in Johannesburg. We conducted focus-group discussions, in-depth interviews
and ethnographic interviews with 102 trial participants, 22 male partners, 17
community advisory board members and 23 community stakeholders. We analysed how
discussions of rape are emblematic of the gendered context in which HIV risk
occurs. Rape emerged spontaneously in half of discussions with community advisory
board members, two-thirds with stakeholders and among one-fifth of
interviews/discussions with trial participants. Rape was used to reframe HIV risk
as external to women's or partner's behaviour and to justify the importance of
PrEP. Our research illustrates how women, in contexts of high levels of sexual
violence, may use existing gender inequalities to negotiate PrEP use. This
suggests that future interventions should simultaneously address harmful gender
attitudes, as well as equip women with alternative means to negotiate product
use, in order to more effectively empower women to protect themselves from HIV.
PMID- 26551922
TI - Overexpression of mutant dystrophin Dp71[INCREMENT]78-79 stimulates cell
proliferation.
AB - Dp71 dystrophin is the main DMD gene product expressed in the central nervous
system. Experiments using PC12 cells as a neuronal model have shown that Dp71
isoforms are involved in differentiation, adhesion, cell division, and nuclear
architecture. To contribute to the knowledge of Dp71 domains function, we
previously reported the isolation and partial characterization of the dystrophin
Dp71[INCREMENT]78-79 (a mutant that lacks exons 71, 78, and 79), which stimulates
the neuronal differentiation of PC12-C11 clone. In this article, we generated a
doxycycline (Dox)-inducible expression system in PC12 Tet-On cells (B10 cells) to
overexpress and control the transcription of Dp71[INCREMENT]78-79. Western
blotting and confocal microscopy showed an increase in the amount of
Dp71[INCREMENT]78-79 (217+/-75-fold) with the addition of Dox to growth medium.
Cell proliferation assays and morphometric analyses demonstrated that
Dp71[INCREMENT]78-79 increases the growth rate of B10 cells and reduces the nerve
growth factor-neuronal differentiation. Western blotting analysis revealed an
upregulation in the expression of proliferating cell nuclear antigen, focal
adhesion kinase, and beta-dystroglycan in B10 cells compared with control cells.
Our results show that the inducible expression of Dp71[INCREMENT]78-79 increases
the growth rate of PC12 Tet-On cells, suggesting a role of this protein in cell
proliferation.
PMID- 26551923
TI - Epileptic brain reorganization dynamics on the basis of the probability of
connections.
AB - Ictal and interictal epileptiform discharges affect brain functional dynamics,
but the issue of how they occur is still under debate. The present study
evaluated the brain electrical activity that underlies epileptic seizures by
focusing analysis on four electroencephalographic time stages around seizure
onset. The dynamics of the functional organization of the brain regions at rest,
and then immediately before, during, and after, epileptic seizures in a group of
five patients diagnosed with intractable temporal epilepsy was examined. The
analysis is based on the probability of connections between different brain
regions as determined by partial directed coherence. A probability-based graph is
constructed for each stage and then the dynamics of reorganization is described
using invariant measures on the basis of the graphs obtained. The functional
reorganization of brain connectivity is illustrated for each time period,
reflecting their temporal variations. The graph method applied proved to be
useful in depicting temporal variations in functional brain connectivity because
of ictal disruptions in temporal epilepsy, thus providing the possibility of
further evaluation of these changes in individual cases to support medical
decisions.
PMID- 26551924
TI - Effect of Active Workstation on Energy Expenditure and Job Performance: A
Systematic Review and Meta-analysis.
AB - BACKGROUND: Recently developed active workstation could become a potential means
for worksite physical activity and wellness promotion. The aim of this review was
to quantitatively examine the effectiveness of active workstation in energy
expenditure and job performance. METHODS: The literature search was conducted in
6 databases (PubMed, SPORTDiscuss, Web of Science, ProQuest, ScienceDirect, and
Scopuse) for articles published up to February 2014, from which a systematic
review and meta-analysis was conducted. RESULTS: The cumulative analysis for EE
showed there was significant increase in EE using active workstation [mean effect
size (MES): 1.47; 95% confidence interval (CI): 1.22 to 1.72, P < .0001]. Results
from job performance indicated 2 findings: (1) active workstation did not affect
selective attention, processing speed, speech quality, reading comprehension,
interpretation and accuracy of transcription; and (2) it could decrease the
efficiency of typing speed (MES: -0.55; CI: -0.88 to -0.21, P < .001) and mouse
clicking (MES: -1.10; CI: -1.29 to -0.92, P < .001). CONCLUSION: Active
workstation could significantly increase daily PA and be potentially useful in
reducing workplace sedentariness. Although some parts of job performance were
significantly lower, others were not. As a result there was little effect on real
life work productivity if we made a good arrangement of job tasks.
PMID- 26551925
TI - TEES 2.2: Biomedical Event Extraction for Diverse Corpora.
AB - BACKGROUND: The Turku Event Extraction System (TEES) is a text mining program
developed for the extraction of events, complex biomedical relationships, from
scientific literature. Based on a graph-generation approach, the system detects
events with the use of a rich feature set built via dependency parsing. The TEES
system has achieved record performance in several of the shared tasks of its
domain, and continues to be used in a variety of biomedical text mining tasks.
RESULTS: The TEES system was quickly adapted to the BioNLP'13 Shared Task in
order to provide a public baseline for derived systems. An automated approach was
developed for learning the underlying annotation rules of event type, allowing
immediate adaptation to the various subtasks, and leading to a first place in
four out of eight tasks. The system for the automated learning of annotation
rules is further enhanced in this paper to the point of requiring no manual
adaptation to any of the BioNLP'13 tasks. Further, the scikit-learn machine
learning library is integrated into the system, bringing a wide variety of
machine learning methods usable with TEES in addition to the default SVM. A
scikit-learn ensemble method is also used to analyze the importances of the
features in the TEES feature sets. CONCLUSIONS: The TEES system was introduced
for the BioNLP'09 Shared Task and has since then demonstrated good performance in
several other shared tasks. By applying the current TEES 2.2 system to multiple
corpora from these past shared tasks an overarching analysis of the most
promising methods and possible pitfalls in the evolving field of biomedical event
extraction are presented.
PMID- 26551926
TI - Blueberry anthocyanins ameliorate radiation-induced lung injury through the
protein kinase RNA-activated pathway.
AB - The purpose of this study was to explore the effect of blueberry anthocyanins
(BA) on radiation-induced lung injury and investigate the mechanism of action.
Seven days after BA(20 and 80 mg/kg/d)administration, 6 weeks old male Sprague
Dawley rats rats were irradiated by LEKTA precise linear accelerator at a single
dose of 20 Gy only once. and the rats were continuously treated with BA for 4
weeks. Moreover, human pulmonary alveolar epithelial cells (HPAEpiC) were
transfected with either control-siRNA or siRNA targeting protein kinase R (PKR).
Cells were then irradiated and treated with 75 MUg/mL BA for 72 h. The results
showed that BA significantly ameliorated radiation-induced lung inflammation,
lung collagen deposition, apoptosis and PKR expression and activation. In vitro,
BA significantly protected cells from radiation-induced cell death through
modulating expression of Bcl-2, Bax and Caspase-3. Suppression of PKR by siRNA
resulted in ablation of BA protection on radiation-induced cell death and
modulation of anti-apoptotic and pro-apoptotic proteins, as well as Caspase-3
expression. These findings suggest that BA is effective in ameliorating radiation
induced lung injury, likely through the PKR signaling pathway.
PMID- 26551927
TI - A quantum chemical study of the reactivity of acetaminophen (paracetamol) toxic
metabolite N-acetyl-p-benzoquinone imine with deoxyguanosine and glutathione.
AB - Acetaminophen (APAP) forms some reactive metabolites that can react with DNA.
APAP is a potentially genotoxic drug and is classified as a Group 3 drug
according to International Agency for Research on Cancer (IARC). One of the
possible mechanisms of APAP genotoxicity after long term of use is that its
reactive quinone imine (QI) metabolite of acetaminophen (NAPQI), can chemically
react with DNA after glutathione (GSH) depletion. A quantum chemical study of the
reactions between the NAPQI and deoxyguanosine (dG) or GSH was performed.
Activation energies (DeltaG(?)) for the reactions associated with the 1, 4
Michael addition were calculated on the M062X/6-311++G (d,p) level of theory. We
modeled the reaction with dG as a multi-step process. The first step is rate
limiting (DeltaG(?) = 26.7 kcal/mol) and consists of formation of a C-N bond
between the C3 atom of the QI moiety and the N7 atom of dG. The second step
involves proton transfer from the C3 moiety to the nitrogen atom of the QI with
DeltaG(?) of 13.8 kcal/mol. The depurination reaction that follows has a
DeltaG(?) of 25.7 kcal/mol. The calculated DeltaG(?) for the nucleophilic attack
of the deprotonated S atom of GSH on the C3 atom of the NAPQI is 12.9 kcal/mol.
Therefore, the QI will react with GSH much faster than with DNA. Our study gives
mechanistic insight into the genotoxicity of the APAP metabolite and will be
useful for estimating the genotoxic potential of existing drugs with a QI moiety.
Our results show that clinical application of APAP is safe, while in the case of
severely depleted GSH levels APAP should be administered with caution.
PMID- 26551928
TI - Synergistic Acid-Promoted Synthesis of Highly Substituted Butenolides via the
Annulation of Keto Acids and Tertiary Alcohols.
AB - An efficient and practical approach to highly substituted butenolides via the
annulation of keto acids and tertiary alcohols is described. The synergistic
effect provided by the combination of Lewis and Bronsted acids is crucial to the
reaction outcome. A variety of highly substituted butenolides are readily
produced in synthetically useful yields. Water is the only waste from the
reaction, therefore making it an environmentally benign and atom-economical
process for butenolide synthesis.
PMID- 26551929
TI - EFFICACY OF THE 20-WEEK CIRCLE OF SECURITY INTERVENTION: CHANGES IN CAREGIVER
REFLECTIVE FUNCTIONING, REPRESENTATIONS, AND CHILD ATTACHMENT IN AN AUSTRALIAN
CLINICAL SAMPLE.
AB - Circle of Security is an attachment theory based intervention that aims to
promote secure parent-child attachment relationships. Despite extensive uptake of
the approach, there is limited empirical evidence regarding efficacy. The current
study examined whether participation in the 20-week Circle of Security
intervention resulted in positive caregiver-child relationship change in four
domains: caregiver reflective functioning; caregiver representations of the child
and the relationship with the child; child attachment security, and attachment
disorganization. Archived pre- and postintervention data were analyzed from 83
clinically referred caregiver-child dyads (child age: 13-88 months) who completed
the Circle of Security intervention in sequential cohorts and gave permission for
their data to be included in the study. Caregivers completed the Circle of
Security Interview, and dyads were filmed in the Strange Situation Procedure
before and after the intervention. Results supported all four hypotheses:
Caregiver reflective functioning, caregiving representations, and level of child
attachment security increased after the intervention, and level of attachment
disorganization decreased for those with high baseline levels. Those whose scores
were least optimal prior to intervention showed the greatest change in all
domains. This study adds to the evidence suggesting that the 20-week Circle of
Security intervention results in significant relationship improvements for
caregivers and their children.
PMID- 26551930
TI - High-performance formaldehyde gas-sensors based on three dimensional center
hollow ZnO.
AB - Three dimensional (3D) center-hollow ZnO architectures assembled by nanoparticles
have been successfully fabricated on a large scale via a template-free method
using an oil bath. The samples were characterized by X-ray diffraction, scanning
electron microscopy, transmission electron microscopy, Brunauer-Emmett-Teller
specific surface area, surface photocurrent and UV-Vis diffuse reflectance
spectroscopy. The photoelectric gas-sensing results demonstrated that the 3D
porous, center-hollow ZnO structures exhibited excellent sensitivity and good
selectivity to formaldehyde under 365 nm light irradiation at room temperature.
The gas response to 1 ppm formaldehyde can reach 70%, which is superior to the
results reported in the literature, indicating that the 3D center-hollow ZnO
architectures are ideal candidate materials for photoelectric gas sensors. The
underlying mechanisms responsible for the high sensitivity and selectivity to
formaldehyde are discussed, which provide a new pathway for designing novel VOC
sensors. Moreover, the facile method presented in this paper has the advantage of
low-cost and high-yield, which is suitable for the practical production
processes.
PMID- 26551932
TI - Development and initial validation of a disease-specific bowel continence
questionnaire for inflammatory bowel disease patients: the ICIQ-IBD.
AB - BACKGROUND AND AIMS: Faecal incontinence (FI) related to inflammatory bowel
disease (IBD) affects up to 74% of patients and is often under-reported in
clinical encounters. A previous study found that several important bowel function
concerns of patients with IBD are not addressed by existing FI questionnaires,
especially differences between symptoms in relapse and remission. We have
therefore adapted an existing FI assessment questionnaire specifically for
patients with IBD. METHODS: A total of 190 people participated in this study.
Phase 1 (development): the initial draft of the new questionnaire was developed
from previously collected data and from results of a modified Delphi survey of
IBD clinicians; questions were refined through six rounds of cognitive
interviewing (n=24). Phase 2 (validation): the final version was tested (n=166)
and retested (n=143) 3-4 weeks later. RESULTS: Missing data were minimal (1-4%).
Weighted kappa analysis showed moderate-to-good agreement for test-retest data.
Factor rotational analysis revealed the relationship of questions with each
other. The new questionnaire has two domains: 'Symptoms' and 'Quality of Life',
recording remission and relapse scores with simple summary scores for each. An
additional 10 stand-alone questions address issues of specific concern to
patients with IBD. The questionnaire demonstrates the ability to capture changing
symptoms and concerns between remission and relapse. CONCLUSION: The new
questionnaire has good content validity and is stable and reliable. Further
testing to establish sensitivity to change is needed. The scale can be used by
patients, researchers and practitioners to assess severity, fluctuation and
impact of IBD-related FI.
PMID- 26551931
TI - Isolation and characterization of renal cancer stem cells from patient-derived
xenografts.
AB - As rapidly developing patient-derived xenografts (PDX) could represent potential
sources of cancer stem cells (CSC), we selected and characterized non-cultured
PDX cell suspensions from four different renal carcinomas (RCC). Only the cell
suspensions from the serial xenografts (PDX-1 and PDX-2) of an undifferentiated
RCC (RCC-41) adapted to the selective CSC medium. The cell suspension derived
from the original tumor specimen (RCC-41-P-0) did not adapt to the selective
medium and strongly expressed CSC-like markers (CD133 and CD105) together with
the non-CSC tumor marker E-cadherin. In comparison, PDX-1 and PDX-2 cells
exhibited evolution in their phenotype since PDX-1 cells were CD133high/CD105
/Ecadlow and PDX-2 cells were CD133low/CD105-/Ecad-. Both PDX subsets expressed
additional stem cell markers (CD146/CD29/OCT4/NANOG/Nestin) but still contained
non-CSC tumor cells. Therefore, using different cell sorting strategies, we
characterized 3 different putative CSC subsets (RCC-41-PDX-1/CD132+, RCC-41-PDX
2/CD133-/EpCAMlow and RCC-41-PDX-2/CD133+/EpCAMbright). In addition,
transcriptomic analysis showed that RCC-41-PDX-2/CD133- over-expressed the
pluripotency gene ERBB4, while RCC-41-PDX-2/CD133+ over-expressed several tumor
suppressor genes. These three CSC subsets displayed ALDH activity, formed serial
spheroids and developed serial tumors in SCID mice, although RCC-41-PDX-1/CD132+
and RCC-41-PDX-2/CD133+ displayed less efficiently the above CSC properties. RCC
41-PDX-1/CD132+ tumors showed vessels of human origin with CSC displaying peri
vascular distribution. By contrast, RCC-41-PDX-2 originated tumors exhibiting
only vessels of mouse origin without CSC peri-vascular distribution.Altogether,
our results indicate that PDX murine microenvironment promotes a continuous
redesign of CSC phenotype, unmasking CSC subsets potentially present in a single
RCC or generating ex novo different CSC-like subsets.
PMID- 26551933
TI - Functional dyspepsia is associated with GNbeta3 C825T and CCK-AR T/C
polymorphism.
AB - BACKGROUND: A polymorphism (C825T) in G-protein beta polypeptide-3 (GNbeta3) gene
alters intracellular signal transduction, which may cause motor or sensory
abnormalities in the gastrointestinal tract. Cholecystokinin-A receptor (CCK-AR)
gene T/C polymorphism is associated with a defective splicing of the primary
transcript of CCK-AR mRNA, which may modulate satiety signal and delay gastric
emptying. Therefore, we evaluated the role of these polymorphisms in patients
with functional dyspepsia (FD) as compared with healthy controls (HC). PATIENTS
AND METHODS: A total of 237 consecutive patients with FD (Rome III) and 250 HC
were genotyped for GNbeta3 C825T and CCK-AR T/C polymorphisms (PCR-RFLP).
RESULTS: Patients with FD [173 (73%) men, age: 38 +/- 12 years] were comparable
with HC [195 (78%) men, age: 37 +/- 12 years] with respect to age and sex. Out of
237 patients, 26 (11%) had epigastric pain syndrome (EPS), 55 (23.2%) had
postprandial distress syndrome (PDS), and 156 (65.8%) had EPS-PDS overlap. Among
237 patients with FD, TT genotype (associated with increased intracellular signal
transduction) of GNbeta3 C825T polymorphism was more common among patients than
among HC [26 (11%) vs. 12 (4.8%), P=0.014; odds ratio (OR): 2.47, 95% confidence
interval (CI): 1.2-5.1]. CC (variant) genotype of CCK-AR T/C polymorphism was
infrequent among patients than among HC [19 (8%) vs. 46 (18.4%), P=0.001; OR:
0.36, 95% CI: 0.19-0.66]. However, these polymorphisms were comparable among
patients with different subtypes of FD (P=0.80 and 0.44). CONCLUSION: TT genotype
of GNbeta3 C825T is more common among patients with FD than among HC, suggesting
that increased signal transduction associated with this genotype may be important
in its pathophysiology. However, CCK-AR polymorphism is protective against FD.
PMID- 26551934
TI - From Targets to Treatments: Bridging Autoimmune Research to Advance Understanding
of Alopecia Areata.
AB - Alopecia areata is a common autoimmune skin disease resulting in the loss of hair
on the scalp and elsewhere on the body that affects over 146 million people
worldwide at some point in their lives. Founded in 1981, the National Alopecia
Areata Foundation (NAAF) is a nonprofit organization that supports research to
find a cure or acceptable treatment for alopecia areata, supports those with the
disease, and educates the public about alopecia areata. NAAF conducts research
summits every 2 years that are central to achieving the goals of a major
strategic initiative, the Alopecia Areata Treatment Development Program, which
are: to accelerate progress toward a safe, effective, affordable treatment or a
cure for alopecia areata. These summits have played a key role in transforming
the understanding of alopecia areata from largely inflammatory and dermatological
perspectives to a focus on the genetic and immunological factors that are now
recognized as driving and active determinants of the disease process.
PMID- 26551935
TI - Overview of AA and Research Progress: What Have We Learned and Where Are We
Headed?
AB - During its 25th anniversary year, the National Alopecia Areata Foundation
undertook a project to completely re-evaluate their research program and to help
focus and direct future directions of alopecia areata research to better meet the
goals of people with alopecia areata (AA) and the scientists working to discover
mechanisms of disease and better treatments for AA. This project was embodied in
four research summits in 2008, 2009, 2010, and 2012, as part of the Foundation's
main strategic initiative, the Alopecia Areata Treatment Development Program to
accelerate progress toward a viable alopecia areata treatment. The first summit
was an evaluation of the progress of AA research in a global sense, with an
emphasis on how to use the research programs to bring better treatments to
patients. The second summit focused on immunology and how to better understand
the autoimmune nature of AA. The third summit focused on developing a clinical
research network that could most effectively bring new treatments to patients.
The fourth summit consolidated the considerable evidence of the mechanisms of AA,
and how these mechanisms could be targeted by modern therapies, many of which
were being used effectively in other autoimmune diseases. These four summits laid
the foundation for the fifth summit in the series: From Targets to Treatments:
Bridging Autoimmune Research to Advance Understanding of Alopecia Areata.
PMID- 26551936
TI - Toward the Clonotype Analysis of Alopecia Areata-Specific, Intralesional Human
CD8+ T Lymphocytes.
AB - Alopecia areata (AA) is an organ-restricted autoimmune disease that mainly
affects the hair follicle (HF). Several findings support a key primary effector
role of CD8+ T cells in the disease pathogenesis. Autoreactive CD8+ T cells are
not only present in the characteristic peribulbar inflammatory cell infiltrate of
lesional AA HFs but are also found to be infiltrating in lesional HF epithelium
where they are thought to recognize major histocompatibility complex class I
presented (auto-)antigens. However, the latter still remain unidentified.
Therefore, one key aim in AA research is to identify the clonotypes of
autoaggressive, intralesional CD8+ T cells. Therapeutically, this is important
(a) so that these lymphocytes can be selectively eliminated or inhibited, (b) to
identify the-as yet elusive-key (auto-)antigens in AA, and/or (c) to induce
peripheral tolerance against the latter. Therefore, we have recently embarked on
a National Alopecia Areata Foundation-supported project that attempts to isolate
disease-specific, intralesional CD8+ T cells from AA skin in order to determine
their TCR clonotype, using two complementary strategies. The first method is
based on the enzymatic skin digestion from lesional AA skin, followed by either
MACS technology and single-cell picking or FACS cell sorting, while the second
method on laser microdissection. The identification of disease-specific TCRs can
serve as a basis for specific AA immunotherapy along the lines sketched above and
may possibly also provide prognostic biomarkers. If successful, this research
strategy promises to permit, at long last, the causal therapy of AA.
PMID- 26551937
TI - Therapy for Alopecia Areata in Mice by Stimulating the Hair Cycle with
Parathyroid Hormone Agonists Linked to a Collagen-Binding Domain.
AB - Alopecia areata is a common disorder in which autoimmune destruction of hair
follicles results in patchy hair loss. Currently there is no adequate therapy,
although immune modulator therapies are currently in development. Parathyroid
hormone (PTH) is a hair cycle stimulator which shows promise in treating various
forms of alopecia, although its short half-life limits its clinical use. PTH-CBD
is a PTH analog which binds collagen, prolonging retention in skin. We tested
effects of PTH-CBD in C3H/HeJ-engrafted mice, the animal model for alopecia
areata, on hair growth and found that a significant proportion of animals had
reduced hair loss (PTH-CBD: 13/21, 62% vs. CONTROL: 3/10, 30%; P<0.01).
Histological analysis showed no change in immune response, but there was
increased number of anagen hair follicles and increased production of beta
catenin, a factor which initiates the anagen phase of the hair cycle. PTH-CBD
thus shows promise as a therapy for alopecia areata, either alone or in
conjunction with immune modulation therapy.
PMID- 26551938
TI - Diphencyprone Treatment of Alopecia Areata: Postulated Mechanism of Action and
Prospects for Therapeutic Synergy with RNA Interference.
AB - Diphencyprone (DPCP) is a potent topical sensitizing agent that has been used
since the late 1970s by physicians for the treatment of alopecia areata (AA),
viral warts (human papillomavirus) and cutaneous metastases of melanoma. Although
to date the compound is not approved as a drug by the FDA or EMA, physicians have
continued to use DPCP because of its proven effects in these dermatological
conditions. The use of the drug has been highly variable because of differences
in compounding, and as a result, the literature reports vary widely in the
concentrations used for sensitization and challenge treatment with DPCP. The
efficacy of DPCP has generally been ascribed to immunological reactions by the
host. Inducing inflammation with a contact sensitizer is counterintuitive to
treating AA, an autoimmune disorder. We have hypothesized that the body's attempt
to downregulate the inflammation caused by the contact sensitizer may also
ameliorate AA. Studies using microarray and miRNA profiling may provide
information about how DPCP induces inflammation in human skin at different times.
Gene targets and microRNAs identified through these data may be modulated by an
RNA interference approach to enhance DPCP efficacy and response rates. In
addition, this approach may result in the discovery and development of drugs that
are more potent and selective for the treatment of AA.
PMID- 26551939
TI - Mechanisms of LtxA (Leukotoxin), a Potent New Anti-Inflammatory Agent for the
Treatment of Alopecia Areata.
AB - Alopecia areata is an autoimmune condition where activated, pro-inflammatory
white blood cells (WBCs) attack the hair follicles, resulting in hair loss.
Migration of these activated WBCs from the blood stream and into the follicle
tissue requires interaction between the integrin, lymphocyte function-associated
antigen-1 (LFA-1) on WBCs, and ICAM-1 on vascular endothelial cells. High levels
of active LFA-1 are uniquely expressed on WBCs that are involved in autoimmune
and inflammatory conditions. The natural biologic agent LtxA (Leukothera)
preferentially targets and depletes disease activated and malignant WBCs by
binding to active LFA-1. The experimental drug has demonstrated significant
therapeutic efficacy against autoimmune/inflammatory conditions such as psoriasis
and allergic asthma in mouse models for these diseases. In addition, when
injected into rodents, rhesus macaques, and dogs, LtxA was demonstrated to be
physiologically active, biologically specific, and extremely well-tolerated. LFA
1 is an attractive target for therapy because it is only normally present on WBCs
and has been shown to be activated and overexpressed on WBCs that are responsible
for autoimmune/inflammatory conditions.
PMID- 26551940
TI - Animal Models for Alopecia Areata: What and Where?
AB - Disease is not limited to humans. Rather, humans are but another mammal in a
continuum, and as such, often share similar if not identical diseases with other
mammalian species. Alopecia areata (AA) is such a disease. Natural disease occurs
in humans, nonhuman primates, many domestic animals, and laboratory rodents.
However, to be useful as models of human disease, affected animals need to be
readily available to the research community, closely resemble the human disease,
be easy to work with, and provide reproducible data. To date, the laboratory
mouse (most if not all of the C3H substrains) and the Dundee experimental bald
rat fit these criteria. Manipulations using full-thickness skin grafts or
specific immune cell transfers have improved the models. New mouse models that
carry a variety of genetic-based immunodeficiencies can now be used to
recapitulate the human immune system and allow for human full-thickness skin
grafts onto mice to investigate human-specific mechanistic and therapeutic
questions. These models are summarized here including where they can currently be
obtained from public access repositories.
PMID- 26551941
TI - Excavating the Genome: Large-Scale Mutagenesis Screening for the Discovery of New
Mouse Models.
AB - Technology now exists for rapid screening of mutated laboratory mice to identify
phenotypes associated with specific genetic mutations. Large repositories exist
for spontaneous mutants and those induced by chemical mutagenesis, many of which
have never been fully studied or comprehensively evaluated. To supplement these
resources, a variety of techniques have been consolidated in an international
effort to create mutations in all known protein coding genes in the mouse. With
targeted embryonic stem cell lines now available for almost all protein coding
genes and more recently CRISPR/Cas9 technology, large-scale efforts are underway
to create further novel mutant mouse strains and to characterize their
phenotypes. However, accurate diagnosis of skin, hair, and nail diseases still
relies on careful gross and histological analysis, and while not automated to the
level of the physiological phenotyping, histopathology still provides the most
direct and accurate diagnosis and correlation with human diseases. As a result of
these efforts, many new mouse dermatological disease models are being
characterized and developed.
PMID- 26551942
TI - Integration of the Transcription Factor-Regulated and Epigenetic Mechanisms in
the Control of Keratinocyte Differentiation.
AB - The epidermal differentiation program is regulated at several levels including
signaling pathways, lineage-specific transcription factors, and epigenetic
regulators that establish well-coordinated process of terminal differentiation
resulting in formation of the epidermal barrier. The epigenetic regulatory
machinery operates at several levels including modulation of covalent DNA/histone
modifications, as well as through higher-order chromatin remodeling to establish
long-range topological interactions between the genes and their enhancer
elements. Epigenetic regulators exhibit both activating and repressive effects on
chromatin in keratinocytes (KCs): whereas some of them promote terminal
differentiation, the others stimulate proliferation of progenitor cells, as well
as inhibit premature activation of terminal differentiation-associated genes.
Transcription factor-regulated and epigenetic mechanisms are highly connected,
and the p63 transcription factor has an important role in the higher-order
chromatin remodeling of the KC-specific gene loci via direct control of the
genome organizer Satb1 and ATP-dependent chromatin remodeler Brg1. However,
additional efforts are required to fully understand the complexity of
interactions between distinct transcription factors and epigenetic regulators in
the control of KC differentiation. Further understanding of these interactions
and their alterations in different pathological skin conditions will help to
progress toward the development of novel approaches for the treatment of skin
disorders by targeting epigenetic regulators and modulating chromatin
organization in KCs.
PMID- 26551943
TI - Big Data, Big Opportunities, and Big Challenges.
AB - High-throughput assays have begun to revolutionize modern biology and medicine.
The advent of cheap next-generation sequencing (NGS) has made it possible to
interrogate cells and human populations as never before. Although this has
allowed us to investigate the genetics, gene expression, and impacts of the
microbiome, there remain both practical and conceptual challenges. These include
data handling, storage, and statistical analysis, as well as an inherent problem
of the analysis of heterogeneous cell populations.
PMID- 26551944
TI - The Use of the Immune Epitope Database to Study Autoimmune Epitope Data Related
to Alopecia Areata.
AB - The Immune Epitope Database (IEDB) is a repository of published epitope data for
infectious diseases, allergy, transplantation and autoimmunity. Herein we provide
an introduction to the IEDB search interface, focusing on data related to
autoimmune diseases, including alopecia areata (AA). We demonstrate how common
questions related can be answered, such as how to search for specific
autoantigens, epitope sequences, response types (B- and/or T-cell assays), or
host, as well as how to search for epitopes of known major histocompatibility
complex restriction and for data related to a specific disease. Our survey of the
data found that while as a whole Autoimmunity-specific records represent a
significant portion (~30%); epitopes reported for AA are remarkably few, just 23
epitopes from six antigens. This reveals a significant knowledge gap for AA, and
suggests that additional mapping of epitopes and identification of novel AA
associated autoantigens is warranted. Citing recently published examples, we show
how bioinformatic, proteomic, and technological advances make it now increasingly
feasible to identify epitopes and novel antigens in human disease. The goal
herein was to increase awareness of the IEDB as a free resource for the
scientific community and to demonstrate its use in finding (existing) and
analyzing (prediction) epitope data.
PMID- 26551945
TI - The Need for a Treatment: A Patient's Perspective.
AB - Alopecia areata is not a life-threatening disease, but it is absolutely life
altering. Alopecia areata can wreak havoc on a person's self-esteem at any age.
Since the appearance of my first bald spot more than 35 years ago, millions have
been diagnosed with alopecia areata and have had to endure emotional and social
difficulties as a result. The scientific community has made tremendous strides in
recent years to gain a better understanding of alopecia. Past, current, and
planned clinical trials all provide hope to patients and their families that an
effective treatment is on the horizon.
PMID- 26551946
TI - Current Treatments for Alopecia Areata.
AB - Selection of a therapy for a patient with alopecia areata (AA) is frequently
based on the age of the patient, disease extent, perhaps disease duration,
patient expectations, cost of therapy in terms of time commitment, and financial
resources, as well as the results of screening laboratory studies that rule out
the presence of other co-morbidities such as anemia, low iron stores, thyroid
abnormalities, low vitamin D, or other autoimmune diseases. Although there is
currently no cure for AA and no universally proven therapy that induces and
sustains remission, many therapies are available which can be of benefit to both
affected children and adults. Before selecting a treatment for patients with
extensive long-standing AA, a scalp biopsy may provide useful information about
the degree of inflammation and follicle differentiation. Recent clinical and
translational research observations with the systemic Janus kinase (JAK)
inhibitors and interleukin-2 (IL-2) have excited the clinical and AA patient
communities and have led to clinical trials, as well as to the off-label use of
these more expensive and targeted systemic therapies.
PMID- 26551947
TI - ILK Index and Regrowth in Alopecia Areata.
AB - There is insufficient data in the literature concerning optimal intralesional
kenalog (ILK) dosing for the treatment of alopecia areata (AA). The purpose of
this pilot study was to evaluate the utility of using the ratio of ILK received
to initial Severity of Alopecia Tool (SALT) score to guide ILK dosing in patients
with AA. Using photographic data from patients at baseline and 4-months follow
up, hair loss in 15 patients treated with AA was retrospectively graded using the
SALT scores. The ILK received/initial SALT score (ILK index) was calculated for
each patient, and the mean ILK index for patients who experienced significant
(>=50%) and suboptimal (<50%) hair regrowth at 4 months follow-up were compared.
Patients who experienced suboptimal hair regrowth had a lower ILK index on
average than patients who experienced significant improvement. Although the
difference did not meet significance (<0.1), the trend suggests that the ILK
index, a novel calculation, may be a useful tool for guiding ILK dosing in the
treatment of AA.
PMID- 26551948
TI - Clinical Efficacy of Diphenylcyclopropenone in Alopecia Areata: Retrospective
Data Analysis of 50 Patients.
AB - Diphenylcyclopropenone (DPCP) is widely considered the most effective topical
immunotherapy for refractory or extensive alopecia areata (AA), but questions
regarding how long to try DPCP therapy before terminating and what factors are
prognostic of therapeutic success still remain unanswered. In this retrospective
study of 50 AA patients, we evaluated DPCP efficacy and identified patient
factors predictive of therapeutic success/failure. The median duration of DPCP
treatment was 3 years, with 47% patients experiencing their first regrowth in the
first 6 months of DPCP therapy, 20% between 6 months-1 year, and 8% between 1-2
years. In our study, treatment success, defined as ?50% terminal hair regrowth,
was reached in 71% of alopecia totalis patients and in 56% of alopecia
universalis patients. Three factors were statistically significant predictors of
poor treatment outcome-extent of hair loss before DPCP treatment, history of
thyroid disease, and extent of body hair involvement. Relapse was observed in 44%
of patients and significantly associated with history of thyroid disease. Common
side effects were itching, rash, and local lymphadenopathy. The results of this
study support our belief that DPCP therapy is a viable treatment option, can be
successfully accomplished at home, and should not be terminated before 2 years.
PMID- 26551949
TI - Alopecia Areata Registry Accomplishments.
PMID- 26551950
TI - Eosinophilic Esophagitis: Another Atopy-Related Alopecia Areata Trigger?
AB - Alopecia areata (AA) is associated with atopy in 10-22% of patients, twice the
prevalence in the general population. Patients can present with concomitant
atopic dermatitis, hay fever, asthma, and even allergies to dust mites. In many
cases, severity and flares of these atopic diatheses correlate with severity of
AA. Herein we present a patient with AA affected by contemporaneous eosinophilic
esophagitis (EoE). EoE is a recently recognized allergic disorder, mediated by
eosiniphils and histamine. It is characterized by esophageal dysfunction and
intraepithelial microabscesses. We propose that EoE be considered as a condition
falling within the realm of atopic diseases, and a potential trigger of AA in
affected patients.
PMID- 26551951
TI - Prevalence of Comorbid Conditions and Sun-Induced Skin Cancers in Patients with
Alopecia Areata.
AB - Alopecia areata is a multifactorial autoimmune disease causing non-scarring hair
loss. Recent genome-wide association studies have pointed to connections between
alopecia areata and other autoimmune disorders. Research of clinical conditions
positively and negatively associated with alopecia areata is crucial for
discovering the pathological mechanisms of disease and further treatment options.
PMID- 26551952
TI - Development of Uniform Protocol for Alopecia Areata Clinical Trials.
AB - Developing a successful treatment for alopecia areata (AA), clearly has not been
at the forefront of the agenda for new drug/device development among the
pharmaceutical and medical device industry. The National Alopecia Areata
Foundation (NAAF), a patient advocacy group, initiated a plan to facilitate and
drive clinical research toward finding safe and efficacious treatments for AA. As
such, Alopecia Areata Uniform Protocols for clinical trials to test new
treatments for AA were developed. The design of the uniform protocol is to
accomplish the development of a plug-and-play template as well as to provide a
framework wherein data from studies utilizing the uniform protocol can be
compared through consistency of inclusions/exclusions, safety, and outcome
assessment measures. A core uniform protocol for use by pharmaceutical companies
in testing proof of concept for investigational products to treat AA. The core
protocol includes standardized title, informed consent, inclusion/exclusion
criteria, disease outcome assessments, and safety assessments. The statistical
methodology to assess successful outcomes will also be standardized. The protocol
as well as the informed consent form has been approved in concept by Liberty IRB
and is ready to present to pharmaceutical companies.
PMID- 26551953
TI - Industry Perspective on Alopecia Areata.
AB - Recent advances in our understanding of the autoimmune basis of alopecia areata
provide an opportunity to create novel effective pharmaceutical interventions.
The current lack of approved therapies for alopecia areata presents a high unmet
medical need, as well as a potentially attractive market opportunity. From an
industry perspective, achieving clinical proof of concept (PoC) gates investments
into larger approval studies. Recent investigator-initiated experience suggests
that it may be possible to demonstrate rigorous PoC for new therapies in an
attractive time frame with relatively fewer patients than were believed necessary
in the past. However, the lack of prior regulatory approval precedent for
pharmaceuticals to treat alopecia areata poses significant development
challenges, and early interaction with the FDA and other stakeholders will be
critically important in evaluating the path to approval and reimbursement for new
treatments for this indication. This paper presents a brief industry perspective
on the potential development of new alopecia areata therapeutics.
PMID- 26551954
TI - Interfacial challenges in solid-state Li ion batteries.
PMID- 26551955
TI - Platinum Metal-Free Catalysts for Selective Soft Oxidative Methane -> Ethylene
Coupling. Scope and Mechanistic Observations.
AB - Using abundant soft oxidants, a high methane-to-ethylene conversion might be
achievable due to the low thermodynamic driving force for over-oxidation. Here we
report on the oxidative coupling of methane by gaseous S2 (SOCM). The catalytic
properties of Pd/Fe3O4 are compared with those of Fe3O4, and it is found that
high ethylene selectivities can be achieved without noble metals; conversion and
selectivity on Fe3O4 are stable for at least 48 h at SOCM conditions. SOCM data
for 10 oxides are compared, and ethylene selectivities as high as 33% are found;
the C2H4/C2H6 ratios of 9-12 observed at the highest S2 conversions are
significantly higher than the C2H4/C2H6 ratios usually found in the CH4 coupling
with O2. Complementary in-detail analytical studies show that, on Mg, Zr, Sm, W,
and La catalysts, which strongly coke during the reaction, lower ethylene
selectivities are observed than on Fe, Ti, and Cr catalysts, which only coke to a
minor extent. Further catalyst-dependent changes during SOCM in surface area,
surface composition, and partial conversion to oxysulfides and sulfides are
discussed. Evidence concerning the reaction mechanism is obtained taking into
account the selectivity for the different reaction products versus the contact
time. CH4 coupling proceeds non-oxidatively with the evolution of H2 on some
catalysts, and evidence is presented that C2H4 and C2H2 formation occur via C2H6
and C2H4 dehydrogenation, respectively.
PMID- 26551956
TI - Practice Trends in the Use of Extracorporeal Treatments for Poisoning in Four
Countries.
AB - Extracorporeal treatments (ECTRs) such as hemodialysis (HD), enhance the
elimination of a small number of toxins. Changes in overdose trends, prescribing
practices, antidotes, and dialysis techniques may alter the indications and rates
of ECTR use over time. This study analyzed trends in ECTR for poisonings in four
countries. A retrospective study of national poison center databases from the
United States, Denmark, United Kingdom, and five regional databases within Canada
was performed. All cases of patients receiving an ECTR were included. ECTR cases
were totalled annually and reported as annual rates per 100,000 exposures with
stratification per types of ECTR and toxins. The data collection varied by
countries. United States, 1985-2014; United Kingdom, 2011-2013; Denmark, 2005
2014, and regions of Canada as follows: Alberta, 1991-2015; Saskatchewan, 2001
2015; Nova Scotia-PEI, 2006-2015; Quebec, 2008-2014; Ontario-Manitoba, 2009-2015;
British Columbia, 2012-2015. During the study period, the total number of ECTRs
and rates per 100,000 exposures, respectively, were: United States, 40,258 and
65.7; United Kingdom, 343 and 232.6; Denmark, 616 and 305.5; Canada, 2709 and
177.5; case rates increased over time for the United States, Denmark, and Canada,
but decreased in the United Kingdom. Across the United States and Denmark, HD was
the preferred modality used. Toxins for which ECTR was most often used were:
United States, ethylene glycol; Canada, methanol; United Kingdom, ethylene
glycol; Denmark, salicylates. A high number of ECTRs were performed for atypical
toxins such as acetaminophen and benzodiazepines. These data demonstrate a
growing use of HD for poisoning with significant regional variations in the
overall rates and indications.
PMID- 26551959
TI - Association Between Glutamate Blockade and Fatigue in Patients With Multiple
Sclerosis.
PMID- 26551960
TI - Non-N-Methyl-D-Aspartate Receptor Antibody Encephalitis With Cerebellitis With
Associated Ovarian Teratoma.
PMID- 26551961
TI - Novel Variant of Miller Fisher Syndrome Occurring With Tumor Necrosis Factor
alpha Antagonist Therapy.
PMID- 26551962
TI - Use of Descriptive Terms in Medical Records.
PMID- 26551963
TI - Use of Descriptive Terms in Medical Records.
PMID- 26551964
TI - Use of Descriptive Terms in Medical Records-Reply.
PMID- 26551966
TI - In Memoriam: Frank A. Rubino, MD.
PMID- 26551967
TI - An automated cell viability quantification method for low-resolution confocal
images of closely packed cells based on a modified gradient flow tracking
algorithm.
AB - Fluorescent-based live/dead labelling combined with fluorescent microscopy is one
of the widely used and reliable methods for assessment of cell viability. This
method is, however, not quantitative. Many image-processing methods have been
proposed for cell quantification in an image. Among all these methods, several of
them are capable of quantifying the number of cells in high-resolution images
with closely packed cells. However, no method has addressed the quantification of
the number of cells in low-resolution images containing closely packed cells with
variable sizes. This paper presents a novel method for automatic quantification
of live/dead cells in 2D fluorescent low-resolution images containing closely
packed cells with variable sizes using a mean shift-based gradient flow tracking.
Accuracy and performance of the method was tested on growth plate confocal
images. Experimental results show that our algorithm has a better performance in
comparison to other methods used in similar detection conditions.
PMID- 26551968
TI - Monitoring testosterone levels in testosterone-treated men.
AB - Dose adjustment with transdermal testosterone preparations should recognize the
variability of serum total testosterone levels between applications and over the
course of 24 h. Dose adjustments are also made difficult by between-laboratory
assay variability. Low SHBG with obesity and diabetes lowers the total
testosterone level, and free or bioavailable testosterone may prove to be a
better choice for monitoring the progress and dosing of testosterone-treated men
with adult onset hypogonadism.
PMID- 26551970
TI - Ocular Hypotensive Response in Nonhuman Primates of (8R)-1-[(2S)-2-Aminopropyl]
8,9-dihydro-7H-pyrano[2,3-g]indazol-8-ol a Selective 5-HT2 Receptor Agonist.
AB - Recently, it has been reported that 5-HT2 receptor agonists effectively reduce
intraocular pressure (IOP) in a nonhuman primate model of glaucoma. Although 1
[(2S)-2-aminopropyl]indazol-6-ol (AL-34662) was shown to have good efficacy in
this nonhuman primate model of ocular hypertension as well as a desirable
physicochemical and permeability profile, subsequently identified cardiovascular
side effects in multiple species precluded further clinical evaluation of this
compound. Herein, we report selected structural modifications that resulted in
the identification of (8R)-1-[(2S)-2-aminopropyl]-8,9-dihydro-7H-pyrano[2,3
g]indazol-8-ol (13), which displayed an acceptable profile to support advancement
for further preclinical evaluation as a candidate for proof-of-concept studies in
humans.
PMID- 26551969
TI - Highly Elastic and Conductive Human-Based Protein Hybrid Hydrogels.
AB - A highly elastic hybrid hydrogel of methacryloyl-substituted recombinant human
tropoelastin (MeTro) and graphene oxide (GO) nanoparticles are developed. The
synergistic effect of these two materials significantly enhances both ultimate
strain (250%), reversible rotation (9700 degrees ), and the fracture energy (38.8
+/- 0.8 J m(-2) ) in the hybrid network. Furthermore, improved electrical signal
propagation and subsequent contraction of the muscles connected by hybrid
hydrogels are observed in ex vivo tests.
PMID- 26551972
TI - Hyperostosis frontalis interna presenting as depression and parkinsonism in an
older woman.
PMID- 26551973
TI - Effect of Marangoni Flows on the Shape of Thin Sessile Droplets Evaporating into
Air.
AB - Freely receding evaporating sessile droplets of perfectly wetting liquids, for
which the observed finite contact angles are attributed to evaporation, are
studied with a Mach-Zehnder interferometer. The experimentally obtained droplet
shapes are found to depart, under some conditions, from the classical macroscopic
static profile of a sessile droplet. The observed deviations (or the absence
thereof) are explained in terms of a Marangoni flow due to evaporation-induced
thermal gradients along the liquid-air interface. When such a Marangoni effect is
strong, the experimental profiles exhibit a maximum of the slope at a certain
distance from the contact line. In this case, the axisymmetric flow is directed
from the contact line to the apex (along the liquid-air interface), hence
delivering more liquid to the center of the droplet and making it appear
inflated. These findings are quantitatively confirmed by predictions of a
lubrication model accounting for the impact of the Marangoni effect on the
droplet shape.
PMID- 26551971
TI - Syncytiotrophoblast Extracellular Vesicles from Pre-Eclampsia Placentas
Differentially Affect Platelet Function.
AB - Pre-eclampsia (PE) complicates around 3% of all pregnancies and is one of the
most common causes of maternal mortality worldwide. The pathophysiology of PE
remains unclear however its underlying cause originates from the placenta and
manifests as raised blood pressure, proteinuria, vascular or systemic
inflammation and hypercoagulation in the mother. Women who develop PE are also at
significantly higher risk of subsequently developing cardiovascular (CV) disease.
In PE, the failing endoplasmic reticulum, oxidative and inflammatory stressed
syncytiotrophoblast layer of the placenta sheds increased numbers of
syncytiotrophoblast extracellular vesicles (STBEV) into the maternal circulation.
Platelet reactivity, size and concentration are also known to be altered in some
women who develop PE, although the underlying reasons for this have not been
determined. In this study we show that STBEV from disease free placenta isolated
ex vivo by dual placental perfusion associate rapidly with platelets. We provide
evidence that STBEV isolated from normal placentas cause platelet activation and
that this is increased with STBEV from PE pregnancies. Furthermore, treatment of
platelets with aspirin, currently prescribed for women at high risk of PE to
reduce platelet aggregation, also inhibits STBEV-induced reversible aggregation
of washed platelets. Increased platelet reactivity as a result of exposure to PE
placenta derived STBEVs correlates with increased thrombotic risk associated with
PE. These observations establish a possible direct link between the clotting
disturbances of PE and dysfunction of the placenta, as well as the known
increased risk of thromboembolism associated with this condition.
PMID- 26551974
TI - Major Adverse Events and Relationship to Nil per Os Status in Pediatric
Sedation/Anesthesia Outside the Operating Room: A Report of the Pediatric
Sedation Research Consortium.
AB - BACKGROUND: Studies that have attempted to define the incidence of aspiration or
pulmonary complications during sedation/anesthesia of children with respect to
nil per os (NPO) status or other factors are difficult because of the relatively
infrequent rate of these complications. METHODS: The Pediatric Sedation Research
Consortium consists of 42 participating institutions with elective sedation
services that submit consecutive patient encounter information to a central
database. The authors evaluated aspiration episodes and a combined outcome of
major adverse events (defined as aspiration, death, cardiac arrest, or unplanned
hospital admission) with respect to NPO status, American Society of
Anesthesiologists physical status, age, propofol use, procedure types, and
urgency of the procedure. RESULTS: A total of 139,142 procedural
sedation/anesthesia encounters were collected between September 2, 2007 and
November 9, 2011. There were 0 deaths, 10 aspirations, and 75 major
complications. NPO status was known for 107,947 patients, of whom 25,401 (23.5 %)
were not NPO. Aspiration occurred in 8 of 82,546 (0.97 events per 10,000) versus
2 of 25,401 (0.79 events per 10,000) patients who were NPO and not NPO,
respectively (odds ratio, 0.81; 95% CI, 0.08 to 4.08; P = 0.79). Major
complications occurred in 46 of 82,546 (5.57 events per 10,000) versus 15 of
25,401 (5.91 events per 10,000) (odds ratio, 1.06; 95% CI, 0.55 to 1.93; P =
0.88). Multivariate adjustment did not appreciably impact the effect of NPO
status. CONCLUSIONS: The analysis suggests that aspiration is uncommon. NPO
status for liquids and solids is not an independent predictor of major
complications or aspiration in this sedation/anesthesia data set.
PMID- 26551975
TI - Violent Death Rates: The US Compared with Other High-income OECD Countries, 2010.
AB - BACKGROUND: Violent death is a serious problem in the United States. Previous
research showing US rates of violent death compared with other high-income
countries used data that are more than a decade old. METHODS: We examined 2010
mortality data obtained from the World Health Organization for populous, high
income countries (n = 23). Death rates per 100,000 population were calculated for
each country and for the aggregation of all non-US countries overall and by age
and sex. Tests of significance were performed using Poisson and negative binomial
regressions. RESULTS: US homicide rates were 7.0 times higher than in other high
income countries, driven by a gun homicide rate that was 25.2 times higher. For
15- to 24-year-olds, the gun homicide rate in the United States was 49.0 times
higher. Firearm-related suicide rates were 8.0 times higher in the United States,
but the overall suicide rates were average. Unintentional firearm deaths were 6.2
times higher in the United States. The overall firearm death rate in the United
States from all causes was 10.0 times higher. Ninety percent of women, 91% of
children aged 0 to 14 years, 92% of youth aged 15 to 24 years, and 82% of all
people killed by firearms were from the United States. CONCLUSIONS: The United
States has an enormous firearm problem compared with other high-income countries,
with higher rates of homicide and firearm-related suicide. Compared with 2003
estimates, the US firearm death rate remains unchanged while firearm death rates
in other countries decreased. Thus, the already high relative rates of firearm
homicide, firearm suicide, and unintentional firearm death in the United States
compared with other high-income countries increased between 2003 and 2010.
PMID- 26551976
TI - High Lung Cancer Incidence in Heavy Smokers Following Hospitalization due to
Pneumonia.
AB - INTRODUCTION: The rate of lung cancer incidence following pneumonia in heavy
smokers is unknown. Heavy smokers hospitalized due to community-acquired
pneumonia might be at high risk for subsequent lung cancer. The primary objective
of this study was to determine lung cancer incidence in this high-risk
population. PATIENTS AND METHODS: This was a single-center, retrospective cohort
study that included heavy smokers hospitalized due to community-acquired
pneumonia between January 1, 2007 and December 31, 2011 in Beilinson hospital, a
large community hospital and tertiary center. Patients were identified by
International Classification of Diseases, Ninth Revision coding from the
hospital's registry. Two physicians reviewed every patient's medical file for
patient demographics, smoking history, lung cancer risk factors, and anatomical
location of pneumonia. Data were cross-checked with the database at the national
cancer registry for new diagnoses of cancer. RESULTS: There were 381 admissions
for community-acquired pneumonia included in the final analysis. Thirty-one cases
(8.14%; 95% confidence interval [CI], 5.9%-11.2%) of lung cancer were diagnosed
during the first year after hospitalization. Lung cancer incidence was
significantly higher in patients who had upper-lobe pneumonia (23.8%; 95% CI,
14.9%-40%). Lung cancer was located within the lobe involved by the pneumonia in
75.8% of patients. CONCLUSIONS: A high lung cancer rate was found in heavy
smokers admitted due to community-acquired pneumonia. The association was
especially strong for patients with upper-lobe pneumonia. Screening with chest
computed tomography should be strongly considered for these patients.
PMID- 26551978
TI - The 'Springer Gene': Combating Familial Obesity with Technology and Exercise.
PMID- 26551977
TI - Assessing the Caprini Score for Risk Assessment of Venous Thromboembolism in
Hospitalized Medical Patients.
AB - BACKGROUND: The optimal approach to assess risk of venous thromboembolism in
hospitalized medical patients is unknown. We examined how well the Caprini risk
assessment model predicts venous thromboembolism in hospitalized medical
patients. METHODS: Between January 2011 and March 2014, venous thromboembolism
events and risk factors were collected from non-intensive care unit medical
patients hospitalized in facilities across Michigan. After calculation of the
Caprini score for each patient, mixed logistic spline regression was used to
determine the predicted probabilities of 90-day venous thromboembolism by receipt
of pharmacologic prophylaxis across the Caprini risk continuum. RESULTS: A total
of 670 (1.05%) of 63,548 eligible patients experienced a venous thromboembolism
event within 90 days of hospital admission. The mean Caprini risk score was 4.94
(range, 0-28). Predictive modeling revealed a consistent linear increase in
venous thromboembolism for Caprini scores between 1 and 10; estimates beyond a
score of 10 were unstable. Receipt of pharmacologic prophylaxis resulted in a
modest decrease in venous thromboembolism risk (odds ratio, 0.85; 95% confidence
interval, 0.72-0.99; P = .04). However, the low overall incidence of venous
thromboembolism led to large estimates of numbers needed to treat to prevent a
single venous thromboembolism event. A Caprini cut-point demonstrating clear
benefit of prophylaxis was not detected. CONCLUSIONS: Although a linear
association between the Caprini risk assessment model and the risk of venous
thromboembolism was noted, an extremely low incidence of venous thromboembolism
events in non-intensive care unit medical patients was observed. The Caprini risk
assessment model was unable to identify a subset of medical patients who benefit
from pharmacologic prophylaxis.
PMID- 26551979
TI - A Rare Cause of Multiple Bone Lesions: Metastasis or Not?
PMID- 26551980
TI - Systemic Lupus Erythematosus in an Elderly Man Diagnosed by the Presence of
Pericardial Fluid Lupus Erythematosus Cells.
PMID- 26551981
TI - Uptake of Influenza Vaccination and Missed Opportunities Among Adults with High
Risk Conditions, United States, 2013.
AB - BACKGROUND: Since 1960, the Advisory Committee on Immunization Practices has
recommended influenza vaccination for adults with certain high-risk conditions
because of increased risk for complications from influenza infection. We assessed
national influenza vaccination among persons ages 18-64 years with high-risk
conditions. METHODS: We analyzed data from the 2012 and 2013 National Health
Interview Survey. The Kaplan-Meier survival analysis procedure was used to
estimate the cumulative proportion of influenza vaccination among adults ages 18
64 years with high-risk conditions. Potential missed opportunities for influenza
vaccination were also evaluated. Multivariable logistic regression and predictive
marginal analyses were conducted to identify factors independently associated
with vaccination. RESULTS: Overall, 39.9 million adults ages 18-64 years (18.9%)
had at least one high-risk condition. For adults ages 18-64 years with high-risk
conditions, overall influenza vaccination coverage was 49.5%. Coverage among
adults 50-64 years of age was significantly higher compared with those ages 18-49
years (59.3% vs 39.0%; P <.05). Among adults ages 18-64 years, coverage was 46.2%
for those with chronic lung diseases, 50.5% for those with heart disease, 58.0%
for those with diabetes, 62.5% for those with renal disease, and 56.4% for those
with cancer. Overall, 90.1% reported at least one visit to a health care setting
where vaccination could have been provided. Among adults ages 18-64 years with
high-risk conditions, older age, being female, Hispanic ethnicity or Asian race,
having one or more physician visits, a regular physician for health care, health
insurance, and having ever received pneumococcal vaccination were independently
associated with a higher likelihood of influenza vaccination. Being
widowed/divorced/separated or never married and not being employed were
independently associated with a lower likelihood of influenza vaccination.
CONCLUSIONS: Influenza vaccination coverage varies substantially by age and high
risk conditions but remains low. Approximately 50% of those with high-risk
conditions remain unvaccinated. Health care providers should ensure they
routinely assess influenza vaccination status, and recommend and offer vaccines
to those with high-risk conditions.
PMID- 26551983
TI - Dissecting the Dilemma: Uncontrolled Hypertension in a Pregnant Patient.
PMID- 26551982
TI - Trends in Coronary Atherosclerosis: A Tale of Two Population Subgroups.
AB - BACKGROUND: We previously investigated trends in subclinical coronary artery
disease and associated risk factors among autopsied non-elderly adults who died
from nonnatural causes. Although grade of atherosclerosis declined from 1981
through 2009, the trend was nonlinear, ending in 1995, concurrent with increasing
obesity/diabetes in this population. The previous study used linear regression
and examined trends for all 4 major epicardial coronary arteries combined. The
present investigation of coronary artery disease trends for the period 1995
through 2012 was prompted by a desire for more detailed examination of more
recent coronary artery disease trends in light of reports that the epidemics of
obesity and diabetes have slowed and are perhaps ending. METHODS: This population
based series of cross-sectional investigations identified all Olmsted County,
Minnesota residents aged 16-64 years who died 1995 through 2012 (N = 2931). For
decedents with nonnatural manner of death, pathology reports were reviewed for
grade of atherosclerosis assigned each major epicardial coronary artery. Using
logistic regression, we estimated calendar-year trends in grade (unadjusted and
age- and sex-adjusted) for each artery, initially as an ordinal measure (range, 0
4); then, based on evidence of nonproportional odds, as a dichotomous variable
(any atherosclerosis, yes/no) and as an ordinal measure for persons with
atherosclerosis (range, 1-4). RESULTS: Of 474 nonnatural deaths, 453 (96%) were
autopsied; 426 (90%) had coronary stenosis graded. In the ordinal-logistic model
for trends in coronary artery disease grade (range, 0-4), the proportional odds
assumption did not hold. In subsequent analysis as a dichotomous outcome (grades
0 vs 1-4), each artery exhibited a significant temporal decline in the proportion
with any atherosclerosis. Conversely, for subjects with coronary artery disease
grade 1-4, age- and sex-adjusted ordinal regression revealed no change over time
in 2 arteries and statistically significant temporal increases in severity in 2
arteries. CONCLUSIONS: Findings suggest that efforts to prevent coronary artery
disease onset have been relatively successful. However, statistically significant
increases in the grade of atherosclerosis in 2 arteries among persons with
coronary artery disease may be indicative of a major public health challenge.
PMID- 26551984
TI - Home Treatment of Deep Venous Thrombosis According to Comorbid Conditions.
AB - BACKGROUND: Cautious exploration of the safety of home treatment of deep venous
thrombosis has been recommended by many. Our goal was to identify categories of
patients with deep venous thrombosis who typically are hospitalized, and
categories frequently treated at home. METHODS: The Nationwide Emergency
Department Sample and the Nationwide Inpatient Sample, 2007-2012, were used to
determine the number of patients seen in emergency departments throughout the US
with deep venous thrombosis and no diagnosis of pulmonary embolism, the
proportion of such patients hospitalized according to comorbid conditions and
age, the proportion discharged early (<=2 days), and charges for hospitalization
and emergency department visits. RESULTS: From 2007-2012, home treatment was
selected for 905,152 of 2,671,452 (33.9%) patients with deep venous thrombosis.
Home treatment was more frequent in those with no comorbid conditions than with
comorbid conditions, 58.0% compared with 15.5% (P <.0001). Early discharge (<=2
days) was in 23.9% with no comorbid conditions, compared with 12.8% with comorbid
conditions. Among patients aged 18-50 years, home treatment was selected in 62.9%
with no comorbid conditions, compared with 24.2% with comorbid conditions (P
<.0001). Among hospitalized patients with no comorbid conditions, 40.7% were aged
18-50 years. Their charges for hospitalization in 2012 were $494 million.
CONCLUSION: Patients aged 50 years or younger with deep venous thrombosis and no
comorbid conditions appear to be a group that can be targeted for more frequent
home treatment, which would save millions of dollars.
PMID- 26551985
TI - Should Statin Therapy Be Guided by Cardiovascular Risk Models?
PMID- 26551986
TI - 2013 Cholesterol Guidelines Revisited: Percent LDL Cholesterol Reduction or
Attained LDL Cholesterol Level or Both for Prognosis?
AB - BACKGROUND: The 2013 American College of Cardiology (ACC)/American Heart
Association (AHA) guideline on the treatment of blood cholesterol recommends
moderate- to high-intensity statins for patients with atherosclerotic
cardiovascular disease but departs from the traditional treat-to-target approach.
Whether percent low-density lipoprotein cholesterol (LDL-C) reduction or attained
LDL-C levels add incremental prognostic value to statin dose is not known.
METHODS: Patients in the Treating to New Targets (TNT), Incremental Decrease in
Endpoints through Aggressive Lipid Lowering (IDEAL), and Stroke Prevention by
Aggressive Reduction in Cholesterol Levels (SPARCL) trials (patient-level data)
randomized to a statin arm (atorvastatin 80 mg/10 mg or simvastatin 20 mg) were
chosen. Patients were divided into groups based on attained LDL-C levels (<=70 vs
>70 mg/dL) and percent LDL-C reduction (>=50% vs <50%). Primary outcome was major
cardiovascular event defined as death due to coronary heart disease, nonfatal
myocardial infarction, resuscitated cardiac arrest, or stroke. Incremental
prognostic value was assessed by using a forward conditional Cox proportional
hazards model. Two models were tested: Model 1: Step 1 statin dose; Step 2 add
attained LDL-C levels (continuous variable); Step 3 add percent LDL-C reduction
(continuous variable). Model 2: Steps 2 and 3 were reversed. RESULTS: Among
13,937 patients included in this study, percent LDL-C reduction added incremental
prognostic value over both statin dose and attained LDL-C levels (global chi
square increased from 3.64 to 26.1 to 47.5; P <.0001). However, attained LDL-C
level did not provide incremental prognostic value over statin dose and percent
LDL-C reduction (global chi-square increased from 3.64 to 47.5 to 47.5; P <.0001
and .94, respectively). Among patients with attained LDL-C <=70 mg/dL, those with
percent LDL-C reduction of <50% had a significantly higher risk of primary
outcome (hazard ratio [HR], 1.51; 95% confidence interval [CI], 1.16-1.97; P =
.002) and stroke (HR, 2.07; 95% CI, 1.46-2.93; P <.0001) and a numerically higher
risk of death (HR, 1.37; 95% CI, 0.98-1.90; P = .06) when compared with the group
with percent LDL-C reduction of >=50%. CONCLUSIONS: In patients with
atherosclerotic cardiovascular disease, percent LDL-C reduction provides
incremental prognostic value over statin dose and attained LDL-C levels. However,
the attained LDL-C level does not provide additional prognostic value over statin
dose and percent LDL-C reduction.
PMID- 26551988
TI - A meta-analysis of XPC Lys939Gln polymorphism and melanoma susceptibility.
AB - BACKGROUND: It has been reported that polymorphisms of XPC Lys939Gln may affect
the risk of melanom. However, the results have been inconsistent.We performed a
comprehensive meta-analysis to determine the association between XPC Lys939Gln
polymorphism and melanoma susceptibility. METHODS: Based on comprehensive
searches of the MEDLINE, EMBASE and ISI Web of knowledge, China National
Knowledge Infrastructure (CNKI) and Wanfang Database, we identified eligible
studies about the association between XPC Lys939Gln polymorphism and melanoma
risk. RESULTS: A total of 4631 cases and 5111 controls in studies were included
in this meta-analysis. All studies were conducted in Caucasian populations.
Allele model (Gln vs. Lys: P = 0.22; OR = 1.07, 95% CI = 0.96-1.18), and
homozygous model (Gln/Gln vs. Lys/Lys: P = 0.66; OR = 1.03, 95% CI = 0.91-1.17)
did not show increased risk of developing melanoma. Similarly, dominant model
Gln/Gln and Gln/Lys vs. Lys/Lys: P = 0.07; OR = 1.17, 95% CI = 0.99-1.40) and
recessive model (Gln/Gln vs. Gln/Lys and Lys/Lys: P = 0.67; OR = 1.03, 95% CI =
0.90-1.19) failed to show increased risk of developing melanoma. CONCLUSION: Our
pooled data suggest that there was no evidence for a major role of XPC Lys939Gln
polymorphism in the pathogenesis of melanoma.
PMID- 26551987
TI - Evaluation of direct phloroglucinolysis and colorimetric depolymerization assays
and their applicability for determining condensed tannins in grape marc.
AB - To determine the optimum methods for determining condensed tannin (CT) content in
grape marc, butanol-hydrochloric acid assays and phloroglucinolysis were adapted
for use, applied to a range of grape marc types, and the methods compared.
Porter's assay (butanol-HCl) was found to give unreliable results due to
nonlinear color responses to grape skin and seed tannin concentrations, whereas
the modification to include acetone (Grabber's assay) overcame this. Differences
between skin and seed tannin responses highlighted the need to adequately select
the correct grape tannin standard, and the formation of pH-dependent color was
accounted for through acidification of blank samples. For phloroglucinolysis, the
inability to remove highly bound tannins from cell wall material was highlighted,
although a measure of tannins remaining post-phloroglucinolysis (Grabber's assay)
showed a trend with the level of exposure to oxidative storage or processing
conditions. The comparison of CT concentrations from phloroglucinolysis and
Grabber's assay gave poor correlation coefficients.
PMID- 26551989
TI - Classification of Parkinson's Disease Gait Using Spatial-Temporal Gait Features.
AB - Quantitative gait assessment is important in diagnosis and management of
Parkinson's disease (PD); however, gait characteristics of a cohort are dispersed
by patient physical properties including age, height, body mass, and gender, as
well as walking speed, which may limit capacity to discern some pathological
features. The aim of this study was twofold. First, to use a multiple regression
normalization strategy that accounts for subject age, height, body mass, gender,
and self-selected walking speed to identify differences in spatial-temporal gait
features between PD patients and controls; and second, to evaluate the
effectiveness of machine learning strategies in classifying PD gait after gait
normalization. Spatial-temporal gait data during self-selected walking were
obtained from 23 PD patients and 26 aged-matched controls. Data were normalized
using standard dimensionless equations and multiple regression normalization.
Machine learning strategies were then employed to classify PD gait using the raw
gait data, data normalized using dimensionless equations, and data normalized
using the multiple regression approach. After normalizing data using the
dimensionless equations, only stride length, step length, and double support time
were significantly different between PD patients and controls (p < 0.05);
however, normalizing data using the multiple regression method revealed
significant differences in stride length, cadence, stance time, and double
support time. Random Forest resulted in a PD classification accuracy of 92.6%
after normalizing gait data using the multiple regression approach, compared to
80.4% (support vector machine) and 86.2% (kernel Fisher discriminant) using raw
data and data normalized using dimensionless equations, respectively. Our
multiple regression normalization approach will assist in diagnosis and treatment
of PD using spatial-temporal gait data.
PMID- 26551990
TI - Streptomyces wadayamensis MppP Is a Pyridoxal 5'-Phosphate-Dependent L-Arginine
alpha-Deaminase, gamma-Hydroxylase in the Enduracididine Biosynthetic Pathway.
AB - L-Enduracididine (L-End) is a nonproteinogenic amino acid found in a number of
bioactive peptides, including the antibiotics teixobactin, enduracidin, and
mannopeptimycin. The potent activity of these compounds against antibiotic
resistant pathogens like MRSA and their novel mode of action have garnered
considerable interest for the development of these peptides into clinically
relevant antibiotics. This goal has been hampered, at least in part, by the fact
that L-End is difficult to synthesize and not currently commercially available.
We have begun to elucidate the biosynthetic pathway of this unusual building
block. In mannopeptimycin-producing strains, like Streptomyces wadayamensis, L
End is produced from L-Arg by the action of three enzymes: MppP, MppQ, and MppR.
Herein, we report the structural and functional characterization of MppP. This
pyridoxal 5'-phosphate (PLP)-dependent enzyme was predicted to be a fold type I
aminotransferase on the basis of sequence analysis. We show that MppP is actually
the first example of a PLP-dependent hydroxylase that catalyzes a reaction of L
Arg with dioxygen to yield a mixture of 2-oxo-4-hydroxy-5-guanidinovaleric acid
and 2-oxo-5-guanidinovaleric acid in a 1.7:1 ratio. The structure of MppP with
PLP bound to the catalytic lysine residue (Lys221) shows that, while the tertiary
structure is very similar to those of the well-studied aminotransferases, there
are differences in the arrangement of active site residues around the cofactor
that likely account for the unusual activity of this enzyme. The structure of
MppP with the substrate analogue D-Arg bound shows how the enzyme binds its
substrate and indicates why D-Arg is not a substrate. On the basis of this work
and previous work with MppR, we propose a plausible biosynthetic scheme for L
End.
PMID- 26551991
TI - Electronic Cigarette Use and Respiratory Symptoms in Chinese Adolescents in Hong
Kong.
PMID- 26551992
TI - Ghrelin Receptor Ligands Reaching Clinical Trials: From Peptides to
Peptidomimetics; from Agonists to Antagonists.
AB - In the recent decades, great progress has been made in the development of ghrelin
receptor ligands. The discovery of the first in vitro only active peptide growth
hormone secretagogue derived from Met-enkephalin was the foundation for later
discoveries of the receptor and the endogenous ligand ghrelin. Since then, the
scope of peptides, peptidomimetics, and small-molecules targeting the ghrelin
receptor, GHS-R1a, has expanded dramatically. Numerous agonists have been tested
in animals and several in humans, and a handful have progressed to clinical
trials for indications such as growth hormone release, gastric emptying, and
cachexia. However, with the exception of the approval of GHRP-2 for diagnostic
purposes in Japan, none of the candidates have been successfully introduced into
the market. More recently, the attention of researchers has been concentrated on
developing antagonists and inverse agonists for pharmacological treatment of the
ever-expanding obese and overweight population. In this review, we describe the
development of GHS-R1a targeting agonists, antagonists, and inverse agonists. We
focus on current and completed clinical trials and the therapeutic potential of
currently available ligands.
PMID- 26551993
TI - A Call to Arms: Radial Artery Access for Percutaneous Coronary Intervention.
PMID- 26551994
TI - Satellite RNAs and Satellite Viruses.
AB - Satellite RNAs and satellite viruses are extraviral components that can affect
either the pathogenicity, the accumulation, or both of their associated viruses
while themselves being dependent on the associated viruses as helper viruses for
their infection. Most of these satellite RNAs are noncoding RNAs, and in many
cases, have been shown to alter the interaction of their helper viruses with
their hosts. In only a few cases have the functions of these satellite RNAs in
such interactions been studied in detail. In particular, work on the satellite
RNAs of Cucumber mosaic virus and Turnip crinkle virus have provided novel
insights into RNAs functioning as noncoding RNAs. These effects are described and
potential roles for satellite RNAs in the processes involved in symptom
intensification or attenuation are discussed. In most cases, models describing
these roles involve some aspect of RNA silencing or its suppression, either
directly or indirectly involving the particular satellite RNA.
PMID- 26551995
TI - Patterns of hypnotic response, revisited.
AB - It has long been speculated that there are discrete patterns of responsiveness to
hypnotic suggestions, perhaps paralleling the factor structure of
hypnotizability. An earlier study by Brenneman and Kihlstrom (1986), employing
cluster analysis, found evidence for 12 such profiles. A new study by Terhune
(2015), employing latent profile analysis, found evidence for three such patterns
among highly hypnotizable subjects, and a fourth comprising subjects of medium
hypnotizability. Some differences between the two studies are described.
Convincing identification of discrete "types" of high hypnotizability, such as
dissociative and nondissociative, may require a larger dataset than is currently
available, but also data pertaining directly to divisions in conscious awareness
and experienced involuntariness.
PMID- 26551996
TI - Perceptual confidence demonstrates trial-by-trial insight into the precision of
audio-visual timing encoding.
AB - Peoples' subjective feelings of confidence typically correlate positively with
objective measures of task performance, even when no performance feedback is
provided. This relationship has seldom been investigated in the field of human
time perception. Here we find a positive relationship between the precision of
human timing perception and decisional confidence. We first demonstrate that
subjective audio-visual timing judgements are more precise when people report a
high, as opposed to a low, level of confidence. We then find that this
relationship is more likely to result from variance in sensory timing estimates
than the application of variable decision criteria, as the relationship held when
we adopted a measure of timing sensitivity designed to limit the influence of
subjective criteria. Our results suggest analyses of timing perception and
associated decisional confidence reflect the trial-by-trial variability with
which timing has been encoded.
PMID- 26551997
TI - Induced systemic listeriosis in Alectoris chukar chicks: clinical,
histopathological and microbiological findings.
AB - 1. Systemic listeriosis was induced in 14-d-old Chukar partridge chicks,
Alectoris chukar, by intravenous injection of a suspension containing 10(6)
cfu/ml of viable Listeria monocytogenes organisms to study the course of
infection. 2. Septicaemic and encephalitic forms of listeriosis were observed in
all birds. Infection resulted in a fever response 8-h post-inoculation. Disease
rapidly developed over a 24-h period with decreased activity, lethargy, ruffled
feathers, huddling, listlessness, inability to stand, wing droop, decreased feed
and water consumption, growth depression, neural disturbances and finally death.
Gross and histopathological changes were observed in the myocardium,
proventriculus, gizzard, intestine, pancreas, kidney, liver, spleen, lung,
meninges and joints. 3. The diversity of these clinical signs and lesions
suggests a high susceptibility of Chukar partridge chicks to systemic
listeriosis.
PMID- 26551998
TI - Higher Frequency and Complexity of Sleep Disturbances in Dementia with Lewy
Bodies as Compared to Alzheimer's Disease.
AB - BACKGROUND: Sleep disturbances (SDs) are common in patients with all forms of
dementia. However, most studies focus on Alzheimer's disease (AD) and less is
known about the prevalence and characteristics of SD in dementia with Lewy bodies
(DLB). OBJECTIVE: The aims of this cross-sectional study were: (1) to examine the
frequency of SD in DLB versus AD; (2) to compare patients with and without SD
with regard to relevant clinical variables, and (3) to investigate the
associations between SD and medication use. METHODS: Patients with a first-time
diagnosis of probable or possible DLB or AD were selected from the Dementia Study
of Western Norway and recruited from clinics for old age psychiatry from 2010
until the end of 2013. RESULTS: In all, 123 (55.7%) subjects with dementia
suffered from at least one SD. Insomnia was present in 77 (34.8%), and 34 (20.7%)
patients had probable REM-sleep behaviour disorder (RBD). All SDs were also
significantly more frequent in patients with DLB than in AD, and DLB patients
also more often had several co-occurring SDs. The presence of any SD was
associated with more neuropsychiatric symptoms, higher morbidity, more
parkinsonian symptoms and excessive daytime sleepiness. Antiparkinsonian
medication was used more often in RBD, restless leg syndrome (RLS) and periodic
limb movements, and benzodiazepines were also common in RLS. CONCLUSIONS: Sleep
problems are more common in DLB patients compared to AD, and are associated with
more clinical impairment. DLB patients frequently have several sleep problems
occurring simultaneously, which suggests a need for screening and accurate
assessment of sleep in DLB.
PMID- 26551999
TI - ABCs of SLEEPING: A review of the evidence behind pediatric sleep practice
recommendations.
AB - The ABCs of SLEEPING mnemonic was developed to serve as an organizing framework
for common pediatric sleep recommendations. The mnemonic stands for 1) age
appropriate bedtimes and wake-times with consistency, 2) schedules and routines,
3) location, 4) exercise and diet, 5) no electronics in the bedroom or before
bed, 6) positivity 7) independence when falling asleep and 8) needs of child met
during the day, 9) equal great sleep. This review examines the empirical evidence
behind the practices and recommendations captured by the ABCs of SLEEPING
mnemonic for children aged 1 to 12. A search was conducted of key electronic
databases (PubMed, PsycINFO, CINAHL, & EMBASE) to identify English articles that
included the concepts of sleep, insomnia, and/or bedtime. 77 articles were
eligible for inclusion and were coded to extract key details and findings
regarding the relations between sleep practices identified in the ABCs of
SLEEPING mnemonic and sleep outcomes. Findings provided preliminary support for
many of the recommendations that are commonly made to families regarding healthy
sleep practices. However, more robust investigations are needed to better
understand the causal contributions of healthy sleep practices to the onset and
maintenance of children's sleep problems.
PMID- 26552001
TI - Novel CD8(+) cytotoxic T cell epitopes in bovine leukemia virus with cattle.
AB - Bovine leukemia virus (BLV) is associated with enzootic bovine leukosis and is
closely related to human T cell leukemia virus (HTLV). The cytotoxic T lymphocyte
(CTL) plays a key role in suppressing the progression of disease caused by BLV. T
and B cell epitopes in BLV have been studied, but CD8(+) CTL epitopes remain
poorly understood. We used a library of 115 synthetic peptides covering the
entirety of the Env proteins (gp51 and gp30), the Gag proteins (p15, p24, and
p12), and the Tax protein of BLV to identify 11 novel CD8(+) T cell epitopes
(gp51N5, gp51N11, gp51N12, gp30N5, gp30N6, gp30N8, gp30N16, tax16, tax18, tax19,
and tax20) in four calves experimentally infected with BLV. The number of CD8(+)
T cell epitopes that could be identified in each calf correlated with the BLV
proviral load. Interestingly, among the 11 epitopes identified, only gp51N11 was
capable of inducing CD8(+) T cell-mediated cytotoxicity in all four calves, but
it is not a suitable vaccine target because it shows a high degree of
polymorphism according to the Wu-Kabat variability index. By contrast, no CTL
epitopes were identified from the Gag structural protein. In addition, several
epitopes were obtained from gp30 and Tax, indicating that cellular immunity
against BLV is strongly targeted to these proteins. CD8(+) CTL epitopes from gp30
and Tax were less polymorphic than epitopes from. Indeed, peptides tax16, tax18,
tax19, and tax20 include a leucine-rich activation domain that encompasses a
transcriptional activation domain, and the gp30N16 peptide contains a proline
rich region that interacts with a protein tyrosine phosphatase SHP1 to regulate B
cell activation. Moreover, at least one CD8(+) CTL epitope derived from gp30 was
identified in each of the four calves. These results indicate that BLV gp30 may
be the best candidate for the development of a BLV vaccine.
PMID- 26552000
TI - Efficacy of parainfluenza virus 5 (PIV5)-based tuberculosis vaccines in mice.
AB - Mycobacterium tuberculosis, the etiological agent of tuberculosis (TB), is an
important human pathogen. Bacillus Calmette-Guerin (BCG), a live, attenuated
variant of Mycobacterium bovis, is currently the only available TB vaccine
despite its low efficacy against the infectious pulmonary form of the disease in
adults. Thus, a more-effective TB vaccine is needed. Parainfluenza virus 5
(PIV5), a paramyxovirus, has several characteristics that make it an attractive
vaccine vector. It is safe, inexpensive to produce, and has been previously shown
to be efficacious as the backbone of vaccines for influenza, rabies, and
respiratory syncytial virus. In this work, recombinant PIV5 expressing M.
tuberculosis antigens 85A (PIV5-85A) and 85B (PIV5-85B) have been generated and
their immunogenicity and protective efficacy evaluated in a mouse aerosol
infection model. In a long-term protection study, a single dose of PIV5-85A was
found to be most effective in reducing M. tuberculosis colony forming units (CFU)
in lungs when compared to unvaccinated, whereas the BCG vaccinated animals had
similar numbers of CFUs to unvaccinated animals. BCG-prime followed by a PIV5-85A
or PIV5-85B boost produced better outcomes highlighted by close to three-log
units lower lung CFUs compared to PBS. The results indicate that PIV5-based M.
tuberculosis vaccines are promising candidates for further development.
PMID- 26552002
TI - Factors affecting the causality assessment of adverse events following
immunisation in paediatric clinical trials: An online survey.
AB - BACKGROUND: Serious adverse events (SAEs) in clinical trials require reporting
within 24h, including a judgment of whether the SAE was related to the
investigational product(s). Such assessments are an important component of
pharmacovigilance, however classification systems for assigning relatedness vary
across study protocols. This on-line survey evaluated the consistency of SAE
causality assessment among professionals with vaccine clinical trial experience.
METHODS: Members of the clinical advisory forum of experts (CAFE), a Brighton
Collaboration online-forum, were emailed a survey containing SAEs from
hypothetical vaccine trials which they were asked to classify. Participants were
randomised to either two classification options (related/not related to study
immunisation) or three options (possibly/probably/unrelated). The clinical
scenarios, were (i) leukaemia diagnosed 5 months post-immunisation with a live
RSV vaccine, (ii) juvenile idiopathic arthritis (JIA) 3 months post-immunisation
with a group A streptococcal vaccine, (iii) developmental delay diagnosed at age
10 months after infant capsular group B meningococcal vaccine, (iv) developmental
delay diagnosed at age 10 months after maternal immunisation with a group B
streptococcal vaccine. RESULTS: There were 140 respondents (72 two options, 68
three options). Across all respondents, SAEs were considered related to study
immunisation by 28% (leukaemia), 74% (JIA), 29% (developmental delay after infant
immunisation) and 42% (developmental delay after maternal immunisation). Having
only two options made respondents significantly less likely to classify the SAE
as immunisation-related for two scenarios (JIA p=0.0075; and maternal
immunisation p=0.045). Amongst study investigators (n=43) this phenomenon was
observed for three of the four scenarios: (JIA p=0.0236; developmental delay
following infant immunisation p=0.0266; and developmental delay after maternal
immunisation p=0.0495). CONCLUSIONS: SAE causality assessment is inconsistent
amongst study investigators and can be influenced by the classification systems
available to them. There is a pressing need for SAE classification systems to be
standardised across study protocols.
PMID- 26552004
TI - A Serendipitous Rendezvous with a Four-Center Two-Electron Bonded Intermediate in
the Aerial Oxidation of Hydrazine.
AB - Oxidation by dioxygen has a rich repertoire of mechanistic intricacies. Herein,
we report a hitherto unknown paradigm of dioxygen activation reaction which
propagates through a four center two electron (4c-2e) bound species. Using static
DFT and ab initio quantum chemical techniques we have unraveled the oxidation
pathway for hydrazine and its methylated analogues by dioxygen which involves
formation of this unconventional 4c-2e bonded species en route to the oxidation
products. Inconvertible evidence in favor of such an unprecedented dioxygen
activation route is provided by capturing the events of formation of the 4c-2e
species in aqueous phase for hydrazine and its congeners and the experimentally
observed products from the respective 4c-2e species, like H2O2 and N2H2 , diazene
in the case of hydrazine using Car-Parrinello molecular dynamics simulations.
PMID- 26552003
TI - RT-qPCR-based microneutralization assay for human cytomegalovirus using
fibroblasts and epithelial cells.
AB - Human cytomegalovirus (HCMV) is a leading cause of congenital infection that can
result in serious disabilities in affected children. To facilitate HCMV vaccine
development, a microscale neutralization assay based on reverse transcription
quantitative PCR (RT-qPCR) was developed to quantify HCMV-neutralizing
antibodies. Our approach relies on the generation of crude lysates from virus
infected cells that are amenable to direct analysis by RT-qPCR, thereby
circumventing rate-limiting procedures associated with sample RNA extraction and
purification. By serial passaging of the laboratory HCMV strain AD169 in
epithelial cells (ARPE-19), a revertant virus with restored epithelial cell
tropism, designated AD169(wt131), was obtained. AD169 and AD169(wt131) were
evaluated in both epithelial cells (ARPE-19) and fibroblasts (MRC-5) by one-step
RT-qPCR targeting the immediate-early gene IE1 transcript of HCMV. Expression
kinetics indicated that RT-qPCR assessment could be conducted as early as 6h post
infection. Human serum samples (n=30) from healthy donors were tested for HCMV
specific IgG using a commercially available ELISA and for HCMV-neutralizing
activity using our RT-qPCR-based neutralization assay. In agreement with the
ELISA results, higher neutralizing activity was observed in the HCMV IgG
seropositive group when compared with the HCMV IgG seronegative group. In
addition, HCMV IgG seropositive human sera exhibited higher neutralizing titers
using epithelial cells compared with using fibroblasts (geometric mean titers of
344 and 8 in ARPE-19 cells and MRC-5 cells, respectively). Our assay was robust
to variation in input virus dose. In addition, a simple lysis buffer containing a
non-ionic detergent was successfully demonstrated to be a less costly alternative
to commercial reagents for cell-lysate preparation. Thus, our rapid HCMV
neutralization assay may be a straightforward and flexible high-throughput tool
for measuring antibody responses induced by vaccination and natural infection.
PMID- 26552005
TI - High throughput determination of cleaning solutions to prevent the fouling of an
anion exchange resin.
AB - Effective cleaning of chromatography resin is required to prevent fouling and
maximize the number of processing cycles which can be achieved. Optimization of
resin cleaning procedures, however, can lead to prohibitive material, labor, and
time requirements, even when using milliliter scale chromatography columns. In
this work, high throughput (HT) techniques were used to evaluate cleaning agents
for a monoclonal antibody (mAb) polishing step utilizing Fractogel((r)) EMD TMAE
HiCap (M) anion exchange (AEX) resin. For this particular mAb feed stream, the
AEX resin could not be fully restored with traditional NaCl and NaOH cleaning
solutions, resulting in a loss of impurity capacity with resin cycling.
Miniaturized microliter scale chromatography columns and an automated liquid
handling system (LHS) were employed to evaluate various experimental cleaning
conditions. Cleaning agents were monitored for their ability to maintain resin
impurity capacity over multiple processing cycles by analyzing the flowthrough
material for turbidity and high molecular weight (HMW) content. HT experiments
indicated that a 167 mM acetic acid strip solution followed by a 0.5 M NaOH, 2 M
NaCl sanitization provided approximately 90% cleaning improvement over solutions
containing solely NaCl and/or NaOH. Results from the microliter scale HT
experiments were confirmed in subsequent evaluations at the milliliter scale.
These results identify cleaning agents which may restore resin performance for
applications involving fouling species in ion exchange systems. In addition, this
work demonstrates the use of miniaturized columns operated with an automated LHS
for HT evaluation of chromatographic cleaning procedures, effectively decreasing
material requirements while simultaneously increasing throughput. Biotechnol.
Bioeng. 2016;113: 1251-1259. (c) 2015 Wiley Periodicals, Inc.
PMID- 26552006
TI - Accelerating PS model-based dynamic cardiac MRI using compressed sensing.
AB - High spatiotemporal resolution MRI is a challenging topic in dynamic MRI field.
Partial separability (PS) model has been successfully applied to dynamic cardiac
MRI by exploiting data redundancy. However, the model requires substantial
preprocessing data to accurately estimate the model parameters before image
reconstruction. Since compressed sensing (CS) is a potential technique to
accelerate MRI by reducing the number of acquired data, the combination of PS and
CS, named as Stepped-SparsePS, was introduced to accelerate the preprocessing
data acquisition of PS in this work. The proposed Stepped-SparsePS method
sequentially reconstructs a set of aliased dynamic images in each channel based
on PS model and then the final dynamic images from the aliased images using CS.
The results from numerical simulations and in vivo experiments demonstrate that
Stepped-SparsePS could significantly reduce data acquisition time while
preserving high spatiotemporal resolution.
PMID- 26552007
TI - Continuous or Interrupted Chest Compressions for Cardiac Arrest.
PMID- 26552008
TI - A SARS-like cluster of circulating bat coronaviruses shows potential for human
emergence.
AB - The emergence of severe acute respiratory syndrome coronavirus (SARS-CoV) and
Middle East respiratory syndrome (MERS)-CoV underscores the threat of cross
species transmission events leading to outbreaks in humans. Here we examine the
disease potential of a SARS-like virus, SHC014-CoV, which is currently
circulating in Chinese horseshoe bat populations. Using the SARS-CoV reverse
genetics system, we generated and characterized a chimeric virus expressing the
spike of bat coronavirus SHC014 in a mouse-adapted SARS-CoV backbone. The results
indicate that group 2b viruses encoding the SHC014 spike in a wild-type backbone
can efficiently use multiple orthologs of the SARS receptor human angiotensin
converting enzyme II (ACE2), replicate efficiently in primary human airway cells
and achieve in vitro titers equivalent to epidemic strains of SARS-CoV.
Additionally, in vivo experiments demonstrate replication of the chimeric virus
in mouse lung with notable pathogenesis. Evaluation of available SARS-based
immune-therapeutic and prophylactic modalities revealed poor efficacy; both
monoclonal antibody and vaccine approaches failed to neutralize and protect from
infection with CoVs using the novel spike protein. On the basis of these
findings, we synthetically re-derived an infectious full-length SHC014
recombinant virus and demonstrate robust viral replication both in vitro and in
vivo. Our work suggests a potential risk of SARS-CoV re-emergence from viruses
currently circulating in bat populations.
PMID- 26552010
TI - Curcumin promotes nerve regeneration and functional recovery after sciatic nerve
crush injury in diabetic rats.
AB - Curcumin is capable of promoting peripheral nerve regeneration in normal
condition. However, it is unclear whether its beneficial effect on nerve
regeneration still exists under diabetic mellitus. The present study was designed
to investigate such a possibility. Diabetes in rats was developed by a single
dose of streptozotocin at 50 mg/kg. Immediately after nerve crush injury, the
diabetic rats were intraperitoneally administrated daily for 4 weeks with
curcumin (50 mg/kg, 100 mg/kg and 300 mg/kg), or normal saline, respectively. The
axonal regeneration was investigated by morphometric analysis and retrograde
labeling. The functional recovery was evaluated by electrophysiological studies
and behavioral analysis. Axonal regeneration and functional recovery was
significantly enhanced by curcumin, which were significantly better than those in
vehicle saline group. In addition, high doses of curcumin (100 mg/kg and 300
mg/kg) achieved better axonal regeneration and functional recovery than low dose
(50 mg/kg). In conclusion, curcumin is capable of promoting nerve regeneration
after sciatic nerve crush injury in diabetes mellitus, highlighting its
therapeutic values as a neuroprotective agent for peripheral nerve injury repair
in diabetes mellitus.
PMID- 26552009
TI - SWI/SNF-mutant cancers depend on catalytic and non-catalytic activity of EZH2.
AB - Human cancer genome sequencing has recently revealed that genes that encode
subunits of SWI/SNF chromatin remodeling complexes are frequently mutated across
a wide variety of cancers, and several subunits of the complex have been shown to
have bona fide tumor suppressor activity. However, whether mutations in SWI/SNF
subunits result in shared dependencies is unknown. Here we show that EZH2, a
catalytic subunit of the polycomb repressive complex 2 (PRC2), is essential in
all tested cancer cell lines and xenografts harboring mutations of the SWI/SNF
subunits ARID1A, PBRM1, and SMARCA4, which are several of the most frequently
mutated SWI/SNF subunits in human cancer, but that co-occurrence of a Ras pathway
mutation is correlated with abrogation of this dependence. Notably, we
demonstrate that SWI/SNF-mutant cancer cells are primarily dependent on a non
catalytic role of EZH2 in the stabilization of the PRC2 complex, and that they
are only partially dependent on EZH2 histone methyltransferase activity. These
results not only reveal a shared dependency of cancers with genetic alterations
in SWI/SNF subunits, but also suggest that EZH2 enzymatic inhibitors now in
clinical development may not fully suppress the oncogenic activity of EZH2.
PMID- 26552011
TI - Rolipram-induced improvement of cognitive function correlates with changes in
hippocampal CREB phosphorylation, BDNF and Arc protein levels.
AB - Diabetics suffer from a higher risk of cognitive decline. cAMP response element
binding protein (CREB) is a transcription factor associated with memory and
synaptic plasticity. Here, we investigated the molecular changes in the
hippocampus correlated with diabetes associated cognitive decline (DACD) from a
CREB-centered perspective in a rat model of type 2 diabetes. Furthermore, we
tested the therapeutic effect of rolipram on DACD. High-fat diet and low-dose
streptozocin were adopted to induce diabetes in SD rats. Results show that
supplementation with rolipram for 23 days (0.5mg/kg, once a day) improved the
performance of diabetic rats in Morris water navigation task with increased level
of CREB, brain-derived neurotrophic factor (BDNF), and Arc protein in the
hippocampus. Rolipram, acting as an inhibitor of PDE4, was found to repair the
imbalance in the CREB/BDNF/Arc pathway. This study may provide important insights
into the mechanisms underlying DACD and provide new therapeutic targets for
clinical treatment.
PMID- 26552012
TI - Chromatin remodeling gene EZH2 involved in the genetic etiology of autism in
Chinese Han population.
AB - Autism spectrum disorder (ASD) is a group of severe neurodevelopmental disorders.
Epigenetic factors play a critical role in the etiology of ASD. Enhancer of zest
homolog 2 (EZH2), which encodes a histone methyltransferase, plays an important
role in the process of chromatin remodeling during neurodevelopment. Further,
EZH2 is located in chromosome 7q35-36, which is one of the linkage regions for
autism. However, the genetic relationship between autism and EZH2 remains
unclear. To investigate the association between EZH2 and autism in Chinese Han
population, we performed a family-based association study between autism and
three tagged single nucleotide polymorphisms (SNPs) that covered 95.4% of the
whole region of EZH2. In the discovery cohort of 239 trios, two SNPs (rs740949
and rs6464926) showed a significant association with autism. To decrease false
positive results, we expanded the sample size to 427 trios. A SNP (rs6464926) was
significantly associated with autism even after Bonferroni correction (p=0.008).
Haplotype G-T (rs740949 and rs6464926) was a risk factor for autism (Z=2.655,
p=0.008, Global p=0.024). In silico function prediction for SNPs indicated that
these two SNPs might be regulatory SNPs. Expression pattern of EZH2 showed that
it is highly expressed in human embryonic brains. In conclusion, our findings
demonstrate that EZH2 might contribute to the genetic etiology of autism in
Chinese Han population.
PMID- 26552013
TI - Down-regulation of a signaling mediator in association with lowered plasma
arachidonic acid levels in individuals with autism spectrum disorders.
AB - Previous studies have indicated that the altered composition of polyunsaturated
fatty acids (PUFAs) might contribute to the pathophysiology of autism spectrum
disorder (ASD). We examined the relationship between the plasma fatty acid
levels, expressed as MUg/ml, and the plasma levels of biomarkers of AA-related
signaling mediators, such as ceruloplasmin, transferrin and superoxide dismutase,
and assessed the behavioral symptoms of 30 individuals with ASD (mean age, 13.6
+/- 4.3 years old) compared with 20 age- and gender-matched normal controls (mean
age, 13.2 +/- 5.4 years old) using Aberrant Behavior Checklists (ABC). The plasma
levels of EPA and the plasma ratios of EPA/AA were significantly higher, while
the plasma levels of AA and metabolites, such as 5,8,11,14-eicosatetraenoic acid,
adrenic acid, and ceruloplasmin (Cp), were significantly lower in the 30
individuals with ASD compared with the 20 normal controls. The ABC scores were
significantly increased in the ASD group compared with those of the control
group. Thus, the results of the present study revealed that reduced plasma levels
of AA and metabolites in association with high plasma EPA/AA ratios might down
regulate AA-related signaling mediators, such as Cp. Subsequently, reduced plasma
Cp levels might reduce the protective capacity for brain damage, resulting in the
pathophysiology underlying the behavioral symptoms in individuals with ASD. These
findings suggest that reduced plasma AA levels may downregulate Cp.
PMID- 26552014
TI - Migration as a social determinant of health for irregular migrants: Israel as
case study.
AB - More than 150,000 irregular migrants reside in Israel, yet data regarding their
utilization of and perceived barriers to health care services are limited.
Drawing on semi-structured interviews conducted with 35 irregular migrant adults
between January and September 2012, this article analyzes the role of migration
as a social determinant of health for irregular migrants, and especially asylum
seekers. We analyze two kinds of barriers faced by migrants when they attempt to
access health care services: barriers resulting directly from their migration
status, and barriers that are common among low-income communities but exacerbated
by this status. Migration-related barriers included a lack of clear or consistent
legislation; the threat of deportation; the inability to obtain work permits and
resulting poverty and harsh living and working conditions; and discrimination.
Barriers exacerbated by migrant status included prohibitive cost; poor and
confusing organization of services; language barriers; perceived low quality of
care; and social isolation. These findings support recent arguments that migrant
status itself constitutes a social determinant of health that can intersect with
other determinants to adversely affect health care access and health outcomes.
Findings suggest that any meaningful effort to improve migrants' health will
depend on the willingness of clinicians, public health officials, and
policymakers to address the complex array of upstream political and socio
economic factors that affect migrants' health rather than focusing on narrower
questions of access to health care.
PMID- 26552015
TI - Trade-offs and resource breadth processes as drivers of performance and
specificity in a host-parasite system: a new integrative hypothesis.
AB - One of the unresolved issues in the ecology of parasites is the relationship
between host specificity and performance. Previous studies tested this
relationship in different systems and obtained all possible outcomes. This led to
the proposal of two hypotheses to explain conflicting results: the trade-off and
resource breadth hypotheses, which are treated as mutually exclusive in the
literature and were corroborated by different studies. In the present study, we
used an extensive database on avian malaria from Brazil and combined analyses
based on specificity indices and network theory, in order to test which of those
hypotheses might best explain our model system. Contrary to our expectations,
there was no correlation between specificity and prevalence, which contradicts
both hypotheses. In addition, we detected a strong modular structure in our host
parasite network and found that its modules were not composed of geographically
close, but of phylogenetically close, host species. Based on our results, we
reached the conclusion that trade-off and resource breadth hypotheses are not
really mutually exclusive. As a conceptual solution we propose "The Integrative
Hypothesis of Parasite Specialization", a novel theoretical model that explains
the contradictory results found in our study and reported to date in the
literature.
PMID- 26552016
TI - Genotypic variation in host response to infection affects parasite reproductive
rate.
AB - Parasite fitness is largely influenced by a variation in host response due to the
host's genetic background. Here we investigated the impact of host genotype on
pathogen success in the snail vector of its castrating parasite, Schistosoma
mansoni. We infected five inbred lines of Biomphalaria glabrata with two
infection doses and followed their growth, reproductive output and parasite
production throughout the course of infection. There was no difference in
resistance to infection among inbred lines, but lines varied in their responses
to infection and the numbers of parasites produced. Snails did not compensate for
castration by increasing their fecundity during the early phase of infection
(fecundity compensation). However, some lines were able to delay parasite
shedding for up to 30 weeks, thus prolonging reproduction before the onset of
castration. Here we propose this strategy as a novel defense against castrating
pathogens in snails. Gigantism, a predicted outcome of castration due to energy
reallocation, occurred early in infection (<15 weeks) and was not universal among
the snail lines. Lines that did not show gigantism were also characterised by a
high parasite production rate and low survivorship, perhaps indicating energy
reallocation into parasite production and costly immune defense. We observed no
differences in total parasite production among lines throughout the entire course
of infection, although lines differed in their parasite reproductive rate. The
average rate of parasite production varied among lines from 1300 to 2450
cercariae within a single 2h shedding period, resulting in a total production of
6981-29,509 cercariae over the lifetime of a single snail. Regardless of genetic
background, snail size was a strong predictor of parasite reproduction: each
millimetre increase in snail size at the time of the first shed resulted in up to
3500 more cercariae over the lifetime of the snail. The results of this study
provide a detailed picture of variation in hosts' responses to infection and the
resulting impacts on parasite fitness, further defining the intricacies of snail
schistosome compatibility.
PMID- 26552017
TI - Facilitating psychosexual adjustment for women undergoing pelvic radiotherapy:
pilot of a novel patient psycho-educational resource.
AB - PURPOSE: This pilot study aimed to obtain feedback on the feasibility, safety and
acceptability of a psychosexual rehabilitation booklet developed for women
undergoing pelvic radiation therapy (PRT) and to explore women's sexual,
informational and supportive care needs post-PRT rehabilitation. METHODS: Twenty
women treated with PRT for gynaecological or anorectal cancer within the last 5
years, who had received vaginal dilators, provided feedback on the format,
content and utility of the booklet and discussed their post-treatment information
needs, via a semi-structured phone interview. Women completed standardized (HADS,
IES-R) and study-specific scales to characterize psychological status of the
sample and to assess participants' booklet knowledge and feedback, respectively.
RESULTS: The booklet was perceived as very helpful, informative and not
distressing, providing additional information to that discussed with clinicians.
After reading the booklet, women had good understanding of strategies to reduce
the sexual impact of PRT. Many women reported that discussion of sexuality was
often avoided during consultations, despite them experiencing distressing sexual
experiences and difficulties post-PRT. CONCLUSIONS: This novel resource which
addresses an important component of post-pelvic radiation care appears acceptable
and highly valued. Findings have highlighted a need for sexual health
communication training for clinicians who treat this population so that they can
initiate conversations about vaginal health and sexual health in an informed and
comfortable manner. The impact of the revised booklet on psychosexual and
clinical outcomes is being evaluated in a multicentre RCT.
PMID- 26552018
TI - Synthesis of raloxifene-chitosan conjugate: A novel chitosan derivative as a
potential targeting vehicle.
AB - Chitosan is a biocompatible, non-toxic and biodegradable biopolymer. Due to the
presence of functional groups on its surface, it can be modified and used as a
carrier in targeted drug/gene delivery systems. In this study, raloxifene (a
selective estrogen receptor ligand) was conjugated to chitosan using different
methods. The conjugates were investigated by means of FTIR, TGA and physical
properties assessments. Cell viability was evaluated by XTT assay. FTIR and TGA
results confirmed that the conjugation between chitosan and raloxifene occurred
more efficiently when trimethyl chitosan in the presence of triethylamine and
excess amount of linker was used. XTT assay on MCF-7 cell line illustrated that
more than 80% of cells were viable after 24h exposure to selected molecules.
These findings confirm that the conjugation of raloxifene-chitosan can occur
successfully using special synthesis condition and this novel chitosan derivative
can be introduced as a potential drug/gene targeting agent.
PMID- 26552019
TI - Temperature dependent rapid annealing effect induces amorphous aggregation of
human serum albumin.
AB - This study represents an analysis of the thermal aggregation of human serum
albumin (HSA) induced by novel rosin modified compounds. The aggregation process
causes conformational alterations in the secondary and tertiary structures of the
proteins. The conversion of globular protein to amorphous aggregates was carried
out by spectroscopic, calorimetric and microscopic techniques to investigate the
factors that are responsible for the structural, conformational and morphological
alteration in the protein. Our outcome results show that the aggregation of HSA
was dependent on the hydrophobicity, charge and temperature, because the
formation of amorphous aggregates occurs in the presence of a novel cationic
rosin compound, quaternary amine of rosin diethylaminoethyl ester (QRMAE), at 40
degrees C and pH 7.4 (but at 25 degrees C on similar pH value, there was no
evidence of aggregate formation). In addition, the parent compound of QRMAE,
i.e., abietic acid, and other derivatives such as nonionic rosin compounds
[(RMPEG-750) and (RMA-MPEG-750)] do not shows the aggregating property. This work
provides precise and necessary information that aid in the understanding the
effects of rosin derivative compounds on HSA. This study also restrains important
information for athletes, health providers, pharmaceutical companies, industries,
and soft drink-processing companies.
PMID- 26552020
TI - A comparison of eugenol and menthol on encapsulation characteristics with water
soluble quaternized beta-cyclodextrin grafted chitosan.
AB - Two guest molecules (eugenol and (-)-menthol) were investigated on inclusion
complex formation with water-soluble quaternized beta-CD grafted with chitosan
(QCD-g-CS). The inclusion complexes were prepared at varying mole ratios between
eugenol or (-)-menthol and beta-CD (substituted on QCD-g-CS) by a conventional
shaking method and obtained as solid powder by freeze-drying process. The results
showed that encapsulation efficiency %EE decreased with increasing of initial
eugenol or (-)-menthol loading whereas %loading increased with increasing of
initial eugenol or (-)-menthol loading. The results indicated that inclusion
complex formation between eugenol and QCD-g-CS was more favorable than that of (
)-menthol. To clarify this mechanism, molecular dynamics simulations were
performed to explore their binding energy, solvation energy and total free energy
of those complexes. It was found that the total free energy (DeltaG) of eugenol
and (-)-menthol against QCD-g-CS (mole ratio of 1) in water-explicit system were
2108.91 kJ/mol and -344.45 kJ/mol, respectively. Moreover, molecular dynamic
simulation of eugenol absorbed on surface QCD-g-CS (-205.73 kJ/mol) was shown to
have a higher negative value than that of (-)-menthol on QCD-gCS (3182.31
kJ/mol). Furthermore, the release characteristics of the encapsulated powder were
also investigated in simulated saliva pH 6.8 at 32 degrees C. The results
suggested that (-)-menthol had higher release rate from the complexes than
eugenol. In all cases, the release characteristics for those guest molecules
could be characterized by the limited-diffusion kinetics.
PMID- 26552021
TI - Intermittent hypoxia induces disturbances in craniofacial growth and defects in
craniofacial morphology.
AB - OBJECTIVES: To investigate intermittent hypoxia (IH) induced changes in
craniofacial morphology and bone mineral density (BMD) in the mandible of growing
rats. DESIGN: Seven-week-old male Sprague-Dawley rats were exposed to IH for 4
days or 3 weeks. Sham-operated rats simultaneously breathed room air. Lateral and
transverse cephalometric radiographs of the craniofacial region were obtained,
and the linear distances between cephalometric landmarks were statistically
analyzed. BMD and bone microstructure of the mandible were evaluated using micro
computed tomography (micro-CT). RESULTS: Cephalometric analyses demonstrated that
exposure to IH only in the two groups for 3 weeks decreased the size of the
mandibular and viscerocranial bones, but not that of the neurocranial bones, in
early adolescent rats. These findings are consistent with upper airway narrowing
and obstructive sleep apnea (OSA). Micro-CT showed that IH increased the BMD in
the cancellous bone of the mandibular condyle and the inter-radicular alveolar
bone in the mandibular first molar (M1) region. CONCLUSIONS: This study is the
first to identify growth retardation of the craniofacial bones in an animal model
of sleep apnea. Notably, 3 weeks of IH can induce multiple changes in the bones
around the upper airway in pubertal rats, which can enhance upper airway
narrowing and the development of OSA. The reproducibility of these results
supports the validity and usefulness of this model. These findings also emphasize
the critical importance of morphometric evaluation of patients with OSA.
PMID- 26552022
TI - Strong Notch activation hinders bevacizumab efficacy in advanced colorectal
cancer.
AB - AIM: To assess the role of Notch activation in predicting bevacizumab efficacy in
colorectal cancer (CRC). MATERIALS & METHODS: Notch activation was evaluated by
immunohistochemistry (IHC) on 65 CRC enrolled within randomized clinical trials
assessing first-line bevacizumab-based chemotherapy and on 21 CRC treated with
chemotherapy alone. RESULTS: Strong Notch (IHC 3+) activation was negatively
associated with response (18 vs 62% in low Notch cases [IHC 0, 1, 2+]; p =
0.016), progression-free survival (4.9 vs 12.1 months; p = 0.002) and overall
survival (19.3 vs 30.4 months; p = 0.039). No correlation was found between Notch
activation and clinical outcome in CRC treated with chemotherapy alone.
CONCLUSION: A potential role of Notch activation in the antitumor activity of
bevacizumab could be hypothesized.
PMID- 26552024
TI - [Clinical aspects of congenital maxillofacial deformities].
AB - The cleft lip and palate deformity is one of the most common type of congenital
abnormalities. The aim of this paper is to summarise the literature knowledge
about cleft lip and/or palate. The authors review and discuss international
literature data on the prevention, genetic and environmental predisposing
factors, anatomical and embryological features, as well as pre- and post-natal
diagnosis and treatment of these deformities. The aetiology is multifactorial,
driven by both genetic and environmental factors which lead to multifaceted
phenotypes and clinical features of these malformations. The lack of the
multidisciplinary knowledge about prenatal diagnosis, prevention, genetic aspects
and treatment strategy could result in serious diagnostic errors, hence clinical
teamwork is critically important to solve the problems of this pathology. Only
the professional teamwork and multidisciplinary cooperation can guarantee the
optimal level of health care and better quality of life for these patients and
their families.
PMID- 26552023
TI - Inhibition of anandamide hydrolysis dampens the neuroendocrine response to stress
in neonatal rats subjected to suboptimal rearing conditions.
AB - Exposure to stress during early development can exert profound effects on the
maturation of the neuroendocrine stress axis. The endocannabinoid (ECB) system
has recently surfaced as a fundamental component of the neuroendocrine stress
response; however, the effect of early-life stress on neonatal ECB signaling and
the capacity to which ECB enhancement may modulate neonatal stress responses is
relatively unknown. The present study assessed whether exposure to early-life
stress in the form of limited access to nesting/bedding material (LB) from
postnatal (PND) day 2 to 9 alters neuroendocrine activity and hypothalamic ECB
content in neonatal rats challenged with a novel immobilization stressor.
Furthermore, we examined whether inhibition of fatty acid amide hydrolase (FAAH),
the enzyme responsible for the degradation of anandamide (AEA) affects
neuroendocrine responses in PND10 pups as a function of rearing conditions.
Neonatal rats showed a robust increase in corticosterone (CORT) and
adrenocorticotropin hormone (ACTH) secretion in response to immobilization
stress, which was significantly blunted in pups reared in LB conditions.
Accordingly, LB pups exhibited reduced stress-induced Fos immunoreactivity in the
paraventricular nucleus of the hypothalamus, with no significant differences in
hypothalamic ECB content. Administration of the FAAH inhibitor URB597 (0.3 mg/kg,
ip) 90 min prior to immobilization stress significantly dampened stress-induced
CORT release, but only in pups reared in LB conditions. These results suggest
that rearing in restricted bedding conditions dampens the neuroendocrine response
to stress, while augmenting AEA mitigates stress-induced alterations in
glucocorticoid secretion preferentially in pups subjected to early-life stress.
PMID- 26552025
TI - [Clinical features of patients with juvenile and adult dermatomyositis].
AB - INTRODUCTION: Juvenile and adult dermatomysitis are chronic, immune-mediated
inflammatory myopathies characterized by progressive proximal muscle weakness and
typical skin symptoms. AIM: To compare the symptoms, laboratory and serological
findings, treatment and disease course in children and adults suffering from
dermatomyositis. METHOD: In this retrospective study, juvenile and adult
dermatomyositis groups were formed. There were 27 patients with juvenile
dermatomyositis (mean age, 8.7 years; mean follow-up time: 104.6 months) and 30
adult patients (mean age, 50.3; mean follow-up time: 58.1 months). RESULTS: In
patients with juvenile dermatomyositis, treatment with intravenous immunoglobulin
and cyclosporine A were more frequent as compared to adult patients. Acute onset
of the disease was more frequent in adult patients than in those with juvenile
disease. In children symptoms of the disease developed gradually. CONCLUSIONS:
The findings confirm previously published data showing that there are differences
between juvenile and adult patients with dermatomyositis. The authors recommend
to follow the patients regularly after reaching remission to avoid bad patient
compliance and decrease the number and severity of relapses.
PMID- 26552026
TI - [Objective assessment of transfusion-related knowledge of nurses using modern
test theory].
AB - INTRODUCTION: Clinical practice requires knowledge of health professionals for
the application of problem solving of different tasks in various clinical
settings. Therefore, a set of reliable measurement tools is required to assess
various components of the professional knowledge including factual knowledge,
skills and competence as outcomes of nursing education and training. Traditional
questionnaires and test methods do not allow the measurement of these
characteristics properly, as these tools typically provide overall scores without
relevant insight into areas in which nurses perform well, and those where their
knowledge and/or skills should be improved substantially. AIM: The aim of this
nationwide survey conducted among nurses between November 2014 and February 2015
was to determine how the teaching/training objectives have been achieved in the
nurses' transfusion practice. The authors attempted to exceed the capabilities of
classical test theory and acquire a detailed picture about what the nurses know
about transfusion therapy and how they are involved and behave in routine
clinical practice. METHOD: The knowledge and skills of 657 participants were
assessed using a validated instrument consisting of a set of questions covering
every aspects of transfusion therapy. The answers to these items were evaluated
on a binary (good or bad) scale. Recorded answers of the participants were
analysed using hierarchical cluster analysis and item response theory tools such
as the one-parametric Rasch model suitable for dichotomous data. Data analysis
was performed with the SPSS program and the ltm module of the R statistical
program. RESULTS: The paper presents the distribution of correct and incorrect
answers to various questions about transfusion therapy along with the
corresponding logit values and odds ratios, respectively. The characteristic
curves of each item were determined on the basis of the number of correct answers
that have been recorded. These curves highlight which questions were answered
easily and which items were found harder to answer by the nurses who participated
in the survey. In addition to the separate analysis of individual questions, a
set of response patterns is also presented which shows how frequently the nurses
responded correctly to different combinations (sub-sets) of questions. On the
whole, nurses exhibited medium level performance in terms of knowledge and skills
required for efficient and safe transfusion practice. CONCLUSIONS: Objective and
reliable measurement of the level of acquired knowledge is a key requirement in
nursing education. This paper, which demonstrates the use of cluster analysis and
item response theory for the assessment of transfusion-related knowledge of
nurses, focuses on this issue for the first time in nursing research. The results
of this survey have revealed substantial limitations and deficiencies both in
knowledge and skills of nurses which need to be addressed by training in order to
improve the efficiency and safety of transfusion therapy.
PMID- 26552027
TI - [Differential diagnosis of abdominal cysts in children].
AB - 19 children were diagnosed with abdominal cysts of different origin in the
Surgical Unit of the Department of Pediatrics, Medical University of Pecs,
Hungary between 2010 and 2013. The authors discuss the details of representative
cases of a parovarial cyst, an intestinal duplication, and an omental cyst with
emphasis on the clinical symptoms, diagnostic tools, and surgical interventions.
The authors conclude that abdominal cysts often cause mild symptoms only, and
they are discovered accidentally by ultrasound imaging performed for other
reasons. In some cases, the cyst can cause severe complaints or even acute
abdomen requiring emergency surgery. Laporoscopy may be a valuable method both in
diagnosis and surgical therapy. Abdominal CT or MRI are not required in the
majority of the patients.
PMID- 26552028
TI - [Multiple sclerosis with stroke-like symptoms: a diagnostic challenge. Case
report].
AB - Stroke-like presentation of multiple sclerosis is a challenging diagnosis
requiring quick and efficient decision in order to provide the best possible
therapeutical option. This case presentation focuses on the difficulties of the
differential diagnostic process. Even if signs were misleading, the stepwise and
structured approach with the use of adequate diagnostic tools revealed the most
likely diagnosis and, thus, assured the best clinical care.
PMID- 26552030
TI - Differentiation of Wines Treated with Wood Chips Based on Their Phenolic Content,
Volatile Composition, and Sensory Parameters.
AB - The effects of both wood chips addition and contact time on phenolic content,
volatile composition, color parameters, and organoleptic character of red wine
made by a native Greek variety (Agiorgitiko) were evaluated. For this purpose,
chips from American, French, Slavonia oak, and Acacia were added in the wine
after fermentation. A mixture consisting of 50% French and 50% Americal oak chips
was also evaluated. In an attempt to categorize wine samples, various chemical
parameters of wines and sensory parameters were studied after 1, 2, and 3 mo of
contact time with chips. The results showed that regardless of the type of wood
chips added in the wines, it was possible to differentiate the samples according
to the contact time based on their phenolic composition and color parameters. In
addition, wood-extracted volatile compounds seem to be the critical parameter
that could separate the samples according to the wood type. The wines that were
in contact with Acacia and Slavonia chips could be separated from the rest mainly
due to their distinct sensory characters.
PMID- 26552031
TI - Photochromic Properties of Tungsten Oxide/Methylcellulose Composite Film
Containing Dispersing Agents.
AB - Tungsten oxide-based photochromic films which changed reversibly in air between
colorless- transparent in the dark and dark blue under UV irradiation were
prepared by using methylcellulose as a film matrix and polyols such as ethylene
glycol (EG), propylene glycol (PG), and glycerin (Gly) as dispersing agents.
Influence of the dispersing agents and water in the films on the photochromic
behavior was systematically studied. Under UV irradiation, absorption bands
around 640 and 980 nm increased and the coloring rate was the following order:
Gly > EG > PG. An increase in the amounts of dispersing agents or water
accelerated the coloring rate. By increasing the water content of the film, a new
absorption peak appeared at ca. 775 nm and the Raman spectra indicated a shift of
W-O-W stretching vibration to lower wavenumber which was due to the formation of
hydrogen bonding. All absorption spectra were fit by three Lorentz functions,
whose bands were ascribed to various packing of WO6 octahedra. After the light
was turned off, the formation of W(5+) was stopped and bleaching occurred by the
reaction with O2 in air to recover its original transparent state. We anticipate
that the biodegradable photochromic films developed in this study can be applied
in recyclable display medium and especially in detachable films for glass windows
whose light transmission properties are changed by sunlight, i.e., for usage as
an alternative of smart windows without applying voltage.
PMID- 26552032
TI - Stochastic Leader Gravitational Search Algorithm for Enhanced Adaptive
Beamforming Technique.
AB - In this paper, stochastic leader gravitational search algorithm (SL-GSA) based on
randomized k is proposed. Standard GSA (SGSA) utilizes the best agents without
any randomization, thus it is more prone to converge at suboptimal results.
Initially, the new approach randomly choses k agents from the set of all agents
to improve the global search ability. Gradually, the set of agents is reduced by
eliminating the agents with the poorest performances to allow rapid convergence.
The performance of the SL-GSA was analyzed for six well-known benchmark
functions, and the results are compared with SGSA and some of its variants.
Furthermore, the SL-GSA is applied to minimum variance distortionless response
(MVDR) beamforming technique to ensure compatibility with real world optimization
problems. The proposed algorithm demonstrates superior convergence rate and
quality of solution for both real world problems and benchmark functions compared
to original algorithm and other recent variants of SGSA.
PMID- 26552033
TI - Loss of Consciousness at Onset of Subarachnoid Hemorrhage as an Important Marker
of Early Brain Injury.
AB - IMPORTANCE: Loss of consciousness (LOC) is a common presenting symptom of
subarachnoid hemorrhage (SAH) that is presumed to result from transient
intracranial circulatory arrest. OBJECTIVE: To clarify the association between
LOC at onset of SAH, complications while in the hospital, and long-term outcome
after SAH. DESIGN, SETTING, AND PARTICIPANTS: A retrospective analysis was
conducted of 1460 consecutively treated patients with spontaneous SAH who were
part of a prospective observational cohort study at a large urban academic
medical center (the Columbia University SAH Outcomes Project or SHOP). Patients
were enrolled between August 6, 1996, and July 23, 2012. Analysis was conducted
from December 1, 2013, to February 28, 2015. EXPOSURES: Loss of consciousness at
onset was identified by structured interview of the patient and first responders.
Patients (80.5%) were observed for up to 1 year to assess functional recovery.
MAIN OUTCOMES AND MEASURES: Modified Rankin scale scores were assigned based on
telephone or in-person interviews of the patient, family members, or caregivers.
Complications while in the hospital were predefined and adjudicated by the study
team. RESULTS: Five hundred ninety patients (40.4%) reported LOC at onset of SAH.
Loss of consciousness was associated with poor clinical grade, more subarachnoid
and intraventricular blood seen on admission computed tomographic scan, and a
higher frequency of global cerebral edema (P < .001). Loss of consciousness was
also associated with more prehospital tonic-clonic activity (22.7% vs 4.2%; P <
.001) and cardiopulmonary arrest (9.7% vs 0.5%, P < .001) vs patients who did not
experience LOC. In multivariable analysis, death or severe disability at 12
months was independently associated with LOC after adjusting for established risk
factors for poor outcome, including poor admission clinical grade (adjusted odds
ratio, 1.94; 95% CI, 1.38-2.72; P < .001). There was no association between LOC
at onset and delayed cerebral ischemia or aneurysm rebleeding. CONCLUSIONS AND
RELEVANCE: Loss of consciousness at symptom onset is an important manifestation
of early brain injury after SAH and a predictor of death or poor functional
outcome at 12 months.
PMID- 26552034
TI - Anterior petrosal approach: The safety of Kawase triangle as an anatomical
landmark for anterior petrosectomy in petroclival meningiomas.
AB - OBJECT: Anterior petrosectomy through the middle fossa is a well-described option
for addressing cranial base lesions of the petroclival region. To access
posterior fossa through middle fossa, we quantitatively evaluate the safety of
Kawase triangle as an anatomical landmark. METHOD: We reviewed pre- and
postoperative Multi-Slice CT scan (1mm thickness) of patients with petroclival
meningioma between Jan 2009 and Sep 2013 in which anterior petrosectomy was
performed to access the posterior fossa part of the tumor. The distances between
drilling start and finish edge to the vital anatomical skull base structures such
as internal auditory canal (IAC) and superior semicircular canal and petrous apex
(petrous part of the carotid artery) were measured and analyzed. RESULTS:
Drilling entrance length is directly related with tumor size. The distances
between anatomical structures and drilling points decrease with increasing tumor
size, but it always remains a safe margin between drilling points and IAC,
internal carotid artery (ICA), and semicircular canals in axial and coronal
views. CONCLUSION: The Kawase triangle is shown to be a safe anatomical landmark
for anterior petrosectomy. The described landmarks avoid damage to the vital
anatomical structures during access to the posterior fossa through middle fossa,
despite temporal bone anatomical variations and different tumor sizes.
PMID- 26552037
TI - B Cell Depletion With an Anti-CD20 Antibody Enhances Alloreactive Memory T Cell
Responses After Transplantation.
AB - Alloreactive memory T cells mediate accelerated allograft rejection and
transplant tolerance resistance. Recent studies have shown that B cell deficient
MUMT mice fail to mount donor-specific memory T cell responses after
transplantation. At the same time, other studies showed that pretransplant B cell
depletion using rituximab (IgG1 anti-CD20 mAb) combined with cyclosporine A
promoted the survival of islet allografts in monkeys. In this study, we
investigated the effect of anti-CD20 antibody-mediated B cell depletion on the
memory T cell alloresponse in mice. Wild-type and anti-OVA TCR transgenic mice
were treated with an IgG2a anti-CD20 monoclonal antibody, which depleted nearly
all B cells in the peripheral blood and secondary lymphoid organs but spared some
B cells in the bone marrow. B cell depletion did not affect the direct
alloresponse but resulted in a marked increase of indirect alloresponse after
skin transplantation of naive mice. Furthermore, in allosensitized mice, anti
CD20 mAb treatment enhanced the reactivation of allospecific memory T cells and
accelerated second set rejection of skin allografts. This suggests that the
effect of anti-CD20 antibodies on alloimmunity and allograft rejection might vary
upon the nature of the antibodies as well as the circumstances under which they
are delivered.
PMID- 26552038
TI - Behavioral and neurobiological correlates of childhood apraxia of speech in
Italian children.
AB - Childhood apraxia of speech (CAS) is a neurogenic Speech Sound Disorder whose
etiology and neurobiological correlates are still unclear. In the present study,
32 Italian children with idiopathic CAS underwent a comprehensive speech and
language, genetic and neuroradiological investigation aimed to gather information
on the possible behavioral and neurobiological markers of the disorder. The
results revealed four main aggregations of behavioral symptoms that indicate a
multi-deficit disorder involving both motor-speech and language competence. Six
children presented with chromosomal alterations. The familial aggregation rate
for speech and language difficulties and the male to female ratio were both very
high in the whole sample, supporting the hypothesis that genetic factors make
substantial contribution to the risk of CAS. As expected in accordance with the
diagnosis of idiopathic CAS, conventional MRI did not reveal macrostructural
pathogenic neuroanatomical abnormalities, suggesting that CAS may be due to brain
microstructural alterations.
PMID- 26552039
TI - Effect of the Combination of Ezetimibe and Simvastatin on Gluconeogenesis and
Oxygen Consumption in the Rat Liver.
AB - The aim of this work was to investigate the effects of chronic treatment with the
combination of ezetimibe and simvastatin on gluconeogenesis in rat liver. Rats
were treated daily for 28 days with the combination of ezetimibe and simvastatin
(10/40 mg/kg) by oral gavage. To measure gluconeogenesis and the associated
pathways, isolated perfused rat liver was used. In addition, subcellular
fractions, such as microsomes and mitochondria, were used for complementary
measures of enzymatic activities. Treatment with the combination of simvastatin
and ezetimibe resulted in a decrease in gluconeogenesis from pyruvate (-62%).
Basal oxygen consumption of the treated animals was higher (+22%) than that of
the control rats, but the resulting oxygen consumption that occurred after
pyruvate infusion was 43% lower in animals treated with the combination of
simvastatin and ezetimibe. Oxygen consumption in the livers from treated animals
was completely inhibited by cyanide (electron transport chain inhibitor), but not
by proadifen (cytochrome P450 inhibitor). Chronic treatment with
ezetimibe/simvastatin decreased the activity of the key enzymes glucose-6
phosphatase and fructose-1,6-bisphosphatase by 59% and 45%, respectively, which
is probably the major reason for the decreased gluconeogenesis seen in ezetimibe
/simvastatin-treated rats. It is also possible that part of the effect of this
combination on gluconeogenesis and on the oxygen consumption is related to the
impairment of mitochondrial energy transduction.
PMID- 26552040
TI - Effects of bariatric weight loss surgery on glucose metabolism, inflammatory
cytokines, and serum tartrate-resistant acid phosphatase 5a in obese Chinese
adults.
AB - BACKGROUND: We determined effects of bariatric weight loss surgery on serum
tartrate-resistant acid phosphatase 5a (TRACP 5a), inflammatory cytokines and
glucose homeostasis in severely obese Chinese adults. METHODS: Severely obese
adults undergoing bariatric surgery were recruited. Anthropometry, insulin
resistance (IR), inflammatory markers and serum TRACP 5a were measured at
baseline and 3, 6 and 12months postoperatively. RESULTS: Data of 93 patients,
including 69 non-diabetic (non-DM group) and 24 diabetic (DM group), were
analyzed. Anthropometry decreased significantly at 3months postoperatively in
both groups; low-density lipoprotein cholesterol decreased obviously at 3, 6 and
12months in non-DM group, while improving significantly at 6 and 12months in DM
group. Homeostasis model assessment for IR (HOMA-IR) improved significantly at 3,
6 and 12months in non-DM group and 12months in DM group. In DM group, C-reactive
protein (CRP) decreased significantly at 3months postoperatively and inflammatory
markers interleukin-6 (IL-6) and TRACP 5a improved at 6months postoperatively; in
non-DM group, serum TRACP 5a decreased obviously at 12months postoperatively
without significant changes in CRP and IL-6. CONCLUSION: Weight reduction by
bariatric surgery decreases anthropometry, IR, lipids and inflammatory markers in
severely obese Chinese adults.
PMID- 26552041
TI - White-Light-Induced Collective Heating of Gold Nanocomposite/Bombyx mori Silk
Thin Films with Ultrahigh Broadband Absorbance.
AB - This paper describes a systematic investigation of the phenomenon of white-light
induced heating in silk fibroin films embedded with gold nanoparticles (Au NPs).
The Au NPs functioned to develop an ultrahigh broadband absorber, allowing white
light to be used as a source for photothermal generation. With an increase of the
Au content in the composite films, the absorbance was enhanced significantly
around the localized surface plasmon resonance (LSPR) wavelength, while non-LSPR
wavelengths were also increased dramatically. The greater amount of absorbed
light increased the rate of photoheating. The optimized composite film exhibited
ultrahigh absorbances of approximately 95% over the spectral range from 350 to
750 nm, with moderate absorbances (>60%) at longer wavelengths (750-1000 nm). As
a result, the composite film absorbed almost all of the incident light and,
accordingly, converted this optical energy to local heat. Therefore, significant
temperature increases (ca. 100 degrees C) were readily obtained when we
irradiated the composite film under a light-emitting diode or halogen lamp.
Moreover, such composite films displayed linear light-to-heat responses with
respect to the light intensity, as well as great photothermal stability. A
broadband absorptive film coated on a simple Al/Si Schottky diode displayed a
linear, significant, stable photo-thermo-electronic effect in response to varying
the light intensity.
PMID- 26552042
TI - Clinical and Anatomical Follow-up in Patients With Aneurysms Treated With the WEB
Device: 1-Year Follow-up Report in the Cumulated Population of 2 Prospective,
Multicenter Series (WEBCAST and French Observatory).
AB - BACKGROUND: Flow disruption with WEB is an innovative endovascular approach for
wide-neck bifurcation aneurysms. Initial series have shown a low complication
rate with good efficacy. OBJECTIVE: To report clinical and anatomical results of
the WEB treatment in the cumulated population of WEBCAST (WEB Clinical Assessment
of Intrasaccular Aneurysm) and French Observatory series. METHODS: WEBCAST and
French Observatory are single-arm, prospective, multicenter, Good Clinical
Practice studies dedicated to the evaluation of WEB treatment. Ruptured and
unruptured bifurcation aneurysms located in the basilar artery, middle cerebral
artery, anterior communicating artery, and internal carotid artery terminus were
included in both studies. Clinical data were independently evaluated.
Postoperative, 6-month (in WEBCAST), and 1-year aneurysm occlusion was
independently evaluated with a 3-grade scale: complete occlusion, neck remnant,
and aneurysm remnant. RESULTS: The cumulated population was 113 patients (74
female, 65.5%) 33 to 74 years of age with 114 aneurysms with a mean neck size of
5.6 mm. There was no mortality at 1 month, and morbidity was 2.7%. A
statistically significant difference in the rate of occurrence of thromboembolic
events was observed between the use of any antiplatelet agent and the use of no
antiplatelet agent (P < .001). At 1 year, complete aneurysm occlusion was
observed in 56.0%, neck remnant in 26.0%, and aneurysm remnant in 18.0%.
Worsening of aneurysm occlusion between the procedure and 12 months was observed
in 2.0% and between 6 months and 1 year in 7.1%. CONCLUSION: The analysis in this
large cumulated population of studies confirms favorable safety and efficacy of
WEB treatment.
PMID- 26552043
TI - Commentary: Combining 5-Aminolevulinic Acid Fluorescence and Intraoperative
Magnetic Resonance Imaging in Glioblastoma Surgery: A Histology-Based Evaluation.
PMID- 26552044
TI - Does a More Centrally Located School Promote Walking to School? Spatial
Centrality in School-Neighborhood Settings.
AB - BACKGROUND: A public elementary school has traditionally functioned as an
important center of a neighborhood, but this role has diminished with sprawling
urban developments. Despite the large number of studies of children's walking
to/from school (WTS), the school's location in relation to the larger
neighborhood context has not been fully explored. This study is to examine the
relationship between school's spatial centrality and children's WTS in urban,
suburban and rural settings. METHODS: this study used school travel tally (11,721
students), environment audit, GIS and census data from 71 elementary
school/neighborhoods in Texas, and employed the closeness centrality index to
estimate a school's spatial centrality. Data were collected from 2009-2012.
RESULTS: After controlling for neighborhood characteristics, it was found that
more centrally located schools are likely to have higher proportions of WTS in
the neighborhoods. And, among urban, suburban and rural settings, urban schools
were the most and rural schools were the least likely to be centrally-located in
the neighborhoods. CONCLUSIONS: The findings offer implications on school and
community planning policies that can help promote WTS. Spatial centrality
measures can be effective tools to identify environmental factors in complex
urban networks related to human behaviors and community-based activities.
PMID- 26552045
TI - Low PAPP-A: the impact of ultrasound to evaluate fetal growth.
AB - OBJECTIVE: Our objective was to describe utilization and impact of sonographic
growth assessment in pregnancies with low pregnancy-associated plasma protein-A
(PAPP-A). METHODS: Singleton pregnancies with PAPP-A <=5th percentile and no
other risk factors for fetal growth restriction from January 2011-June 2013 were
included. Antepartum and delivery data were obtained by reviewing medical
records. Outcomes of pregnancies referred for sonographic growth assessment were
compared with those not referred for ultrasound. Fisher's exact test, chi-square
analysis, and Mann-Whitney U were used for statistical comparison. RESULTS: Two
hundred ninety-five patients were included. Of 285 pregnancies reaching the third
trimester, 77.5% were referred for ultrasound, with the initial scan at a median
gestational age of 28 weeks [26-29]. Referral for growth scans was associated
with earlier gestational age at delivery and higher rates of delivery for fetal
indications. Those who did not undergo growth scans were more likely to deliver a
small for gestational age infant at term, 20.7% versus 35.0% (p = 0.04). There
was one third-trimester fetal demise, occurring in a patient who had been
undergoing growth scans. CONCLUSION: Growth scans in those with low PAPP-A were
associated with delivery at earlier gestational age, with higher rates of
delivery for fetal indications and lower rates of small for gestational age
newborns at term. No significant differences in neonatal outcomes were observed.
PMID- 26552046
TI - Regulation of intestinal SGLT1 by catestatin in hyperleptinemic type 2 diabetic
mice.
AB - The small intestine is the major site for nutrient absorption that is critical in
maintenance of euglycemia. Leptin, a key hormone involved in energy homeostasis,
directly affects nutrient transport across the intestinal epithelium. Catestatin
(CST), a 21-amino acid peptide derived from proprotein chromogranin A, has been
shown to modulate leptin signaling. Therefore, we reasoned that leptin and CST
could modulate intestinal Na(+)-glucose transporter 1 (SGLT1) expression in the
context of obesity and diabetes. We found that hyperleptinemic db/db mice exhibit
increased mucosal mass, associated with an enhanced proliferative response and
decreased apoptosis in intestinal crypts, a finding absent in leptin-deficient
ob/ob mice. Intestinal SGLT1 abundance was significantly decreased in
hyperleptinemic but not leptin-deficient mice, indicating leptin regulation of
SGLT1 expression. Phlorizin, a SGLT1/2 inhibitor, was without effect in an oral
glucose tolerance test in db/db mice. The alterations in architecture and SGLT1
abundance were not accompanied by changes in the localization of intestinal
alkaline phosphatase, indicating intact differentiation. Treatment of db/db mice
with CST restored intestinal SGLT1 abundance and intestinal turnover, suggesting
a cross-talk between leptin and CST, without affecting plasma leptin levels.
Consistent with this hypothesis, we identified structural homology between CST
and the AB-loop of leptin and protein-protein docking revealed binding of CST and
leptin with the Ig-like binding site-III of the leptin receptor. In summary,
downregulation of SGLT1 in an obese type 2 diabetic mouse model with
hyperleptinemia is presumably mediated via the short form of the leptin receptor
and reduces overt hyperglycemia.
PMID- 26552047
TI - Activation of endothelial NAD(P)H oxidase accelerates early glomerular injury in
diabetic mice.
AB - Increased generation of reactive oxygen species (ROS) is a common denominative
pathogenic mechanism underlying vascular and renal complications in diabetes
mellitus. Endothelial NAD(P)H oxidase is a major source of vascular ROS, and it
has an important role in endothelial dysfunction. We hypothesized that activation
of endothelial NAD(P)H oxidase initiates and worsens the progression of diabetic
nephropathy, particularly in the development of albuminuria. We used transgenic
mice with endothelial-targeted overexpression of the catalytic subunit of NAD(P)H
oxidase, Nox2 (NOX2TG). NOX2TG mice were crossed with Akita insulin-dependent
diabetic (Akita) mice that develop progressive hyperglycemia. We compared the
progression of diabetic nephropathy in Akita versus NOX2TG-Akita mice. NOX2TG
Akita mice and Akita mice developed significant albuminuria above the baseline at
6 and 10 weeks of age, respectively. Compared with Akita mice, NOX2TG-Akita mice
exhibited higher levels of NAD(P)H oxidase activity in glomeruli, developed
glomerular endothelial perturbations, and attenuated expression of glomerular
glycocalyx. Moreover, in contrast to Akita mice, the NOX2TG-Akita mice had
numerous endothelial microparticles (blebs), as detected by scanning electron
microscopy, and increased glomerular permeability. Furthermore, NOX2TG-Akita mice
exhibited distinct phenotypic changes in glomerular mesangial cells expressing
alpha-smooth muscle actin, and in podocytes expressing increased levels of
desmin, whereas the glomeruli generated increased levels of ROS. In conclusion,
activation of endothelial NAD(P)H oxidase in the presence of hyperglycemia
initiated and exacerbated diabetic nephropathy characterized by the development
of albuminuria. Moreover, ROS generated in the endothelium compounded glomerular
dysfunctions by altering the phenotypes of mesangial cells and compromising the
integrity of the podocytes.
PMID- 26552049
TI - Daylight photodynamic therapy with MAL cream for large-scale photodamaged skin
based on the concept of 'actinic field damage': recommendations of an
international expert group.
AB - Conventional PDT (c-PDT) is a widely used and approved non-invasive treatment for
actinic keratosis (AK). Recent clinical, histological and immunohistochemical
observations have shown that c-PDT with methyl aminolevulinate (MAL) may also
partially reverse the signs of photodamage. However, pain and the need for
special light source equipment are limiting factors for its use, especially in
the treatment of large areas. More recently, daylight PDT (DL-PDT) has been shown
to be similar to c-PDT in the treatment of AK, nearly painless and more
convenient to perform. To establish consensus on recommendations for the use of
MAL DL-PDT in patients with large-scale photodamaged skin. The expert group was
comprised of eight dermatologists. Consensus was developed based on the personal
experience of the experts in c-PDT and DL-PDT, and results of an extensive
literature review. MAL DL-PDT for large areas of photodamaged skin was evaluated
and recommendations based on broad clinical experience were provided. As
supported by evidence-based data from multicentre studies conducted in Australia
and Europe, the authors defined the concept of 'actinic field damage' which
refers to photodamage associated with actinic epidermal dysplasia, and provide
comprehensive guidelines for the optimal use of DL-PDT in the treatment of
actinic field damage. The authors concluded that MAL DL-PDT has a similar
efficacy to c-PDT at 3-month (lesion complete response rate of 89% vs. 93% in the
Australian study and 70% vs. 74% in the European study (95% C.I. = [-6.8;-0.3]
and [-9.5;2.4] respectively) and 6-month follow-ups (97% maintenance of complete
lesion response) in the treatment of AKs. The authors agree that DL-PDT is not
only efficacious but also nearly pain-free and easy to perform, and therefore
results in high patient acceptance especially for the treatment of areas of
actinic field damage.
PMID- 26552048
TI - Methylation of Cervical Neoplastic Cells Infected With Human Papillomavirus 16.
AB - OBJECTIVE: This study was conducted to evaluate the role of methylation of
adenylate cyclase activating peptide 1 (ADCYAP1), paired box gene 1 (PAX1), cell
adhesion molecule 1 (CADM1), and T-lymphocyte maturation-associated protein (MAL)
during carcinogenesis. METHODS: We evaluated the methylation of 4 genes by using
the cervical carcinoma cell lines (CaSki, SiHa, HeLa, and C33A) and cervical
neoplastic cells from 56 subjects with human papillomavirus 16 (HPV16)-infected
low-grade squamous intraepithelial lesions (LSILs), 50 subjects with HPV16
infected high-grade squamous intraepithelial lesions (HSILs), and 24 subjects
with HPV16-infected invasive cervical cancer who attended Seoul St. Mary's
Hospital. Methylation of the 4 genes was evaluated using quantitative bisulfate
pyrosequencing. RESULTS: The ADCYAP1 promoter was hypermethylated in the 4 cell
lines (CaSki, 97.40 +/- 1.39; SiHa, 82.04 +/- 17.02; HeLa, 96.14 +/- 2.08; and
C33A, 78 +/- 10.18). PAX1 and CADM1 were hypermethylated in the HPV16/18-infected
cell lines CaSki (PAX1, 91.18 +/- 9.91; CADM1, 93.5 +/- 7.33), SiHa (PAX1, 96.14
+/- 2.08; CADM1, 93.15 +/- 8.81), and HeLa (PAX1, 82.04 +/- 17.02; CADM1, 92.43
+/- 9.95). MAL was hypermethylated in the CaSki cell line (96.04 +/- 4.74). Among
human cervical neoplastic cells, the methylation indices of ADCYAP1 were 7.8 (95%
confidence interval [95% CI], 7.0-8.6) in subjects with LSILs and 39.8 (95% CI,
29.0-54.7) in those with cervical cancer (P < 0.001); for PAX1, 7.2 (95% CI, 6.1
8.5) and 37.8 (95% CI, 27.1-52.7), respectively; for CADM1, 3.5 (95% CI, 3.0-4.0)
and 17.7 (95% CI, 10.8-29.1), respectively; for MAL, 2.7 (95% CI, 2.5-3.0) and
13.0 (95% CI, 7.6-22.0), respectively (P < 0.001 for each). Immunohistochemical
staining results were positive in the cytoplasm of subjects with low methylation
of the 4 gene promoters; however, they were negative in the cytoplasm of those
with hypermethylation of the 4 gene promoters. CONCLUSIONS: The results of this
study suggest that the methylation of ADCYAP1, PAX1, CADM1, and MAL may be highly
associated with the development of cervical cancer, and that gene expression can
be suppressed by gene promoter hypermethylation.
PMID- 26552050
TI - Simple technique for high-throughput marking of distinguishable micro-areas for
microscopy.
AB - Today's (nano)-functional materials, usually exhibiting complex physical
properties require local investigation with different microscopy techniques
covering different physical aspects such as dipolar and magnetic structure.
However, often these must be employed on the very same sample position to be able
to truly correlate those different information and corresponding properties. This
can be very challenging if not impossible especially when samples lack prominent
features for orientation. Here, we present a simple but effective method to mark
hundreds of approximately 15*15 MUm sample areas at one time by using a
commercial transmission electron microscopy grid as shadow mask in combination
with thin-film deposition. Areas can be easily distinguished when using a
reference or finder grid structure as shadow mask. We show that the method is
suitable to combine many techniques such as light microscopy, scanning probe
microscopy and scanning electron microscopy. Furthermore, we find that best
results are achieved when depositing aluminium on a flat sample surface using
electron-beam evaporation which ensures good line-of-sight deposition. This
inexpensive high-throughput method has several advantageous over other marking
techniques such as focused ion-beam processing especially when batch processing
or marking of many areas is required. Nevertheless, the technique could be
particularly valuable, when used in junction with, for example focused ion-beam
sectioning to obtain a thin lamellar of a particular pre-selected area.
PMID- 26552051
TI - Comparison of the Impact of Zinc Vacancies on Charge Separation and Charge
Transfer at ZnO/Sexithienyl and ZnO/Fullerene Interfaces.
AB - The impact of surface zinc vacancies on charge transfer and charge separation at
donor/ZnO and acceptor/ZnO interfaces is identified via density functional theory
calculations. The results show their effect to be related to the stronger
internal electric field present near these vacancies. Thus, such surface defects
can have a significant negative impact on the performance of hybrid solar cells
using ZnO as electron acceptors.
PMID- 26552052
TI - Highly selective category-specific deficits of visual processing at a stage of
access to the semantic representation.
PMID- 26552053
TI - Orange web space in a patient with pulmonary tuberculosis.
PMID- 26552055
TI - A Novel Pd-Catalysed Annulation Reaction for the Syntheses of Pyrroloindoles and
Pyrroloquinolines.
AB - Pd-catalysed annulation reactions between indole derivatives and internal alkyne
esters leading to various pyrrolo[1,2-a]indoles and pyrroloquinolines have been
developed. The strategy involves an intermolecular addition of the indole
nitrogen on to the internal alkyne ester followed by an intramolecular insertion
of a vinyl-palladium complex into the carbonyl group. This method offers a facile
and practical approach to pyrrolo[1,2-a]indoles and pyrroloquinolines.
PMID- 26552056
TI - Recent progress towards all-renewable electricity supplies.
PMID- 26552057
TI - Activating and optimizing MoS2 basal planes for hydrogen evolution through the
formation of strained sulphur vacancies.
AB - As a promising non-precious catalyst for the hydrogen evolution reaction (HER;
refs ,,,,), molybdenum disulphide (MoS2) is known to contain active edge sites
and an inert basal plane. Activating the MoS2 basal plane could further enhance
its HER activity but is not often a strategy for doing so. Herein, we report the
first activation and optimization of the basal plane of monolayer 2H-MoS2 for HER
by introducing sulphur (S) vacancies and strain. Our theoretical and experimental
results show that the S-vacancies are new catalytic sites in the basal plane,
where gap states around the Fermi level allow hydrogen to bind directly to
exposed Mo atoms. The hydrogen adsorption free energy (DeltaGH) can be further
manipulated by straining the surface with S-vacancies, which fine-tunes the
catalytic activity. Proper combinations of S-vacancy and strain yield the optimal
DeltaGH = 0 eV, which allows us to achieve the highest intrinsic HER activity
among molybdenum-sulphide-based catalysts.
PMID- 26552058
TI - Tough bonding of hydrogels to diverse non-porous surfaces.
AB - In many animals, the bonding of tendon and cartilage to bone is extremely tough
(for example, interfacial toughness ~800 J m(-2); refs ,), yet such tough
interfaces have not been achieved between synthetic hydrogels and non-porous
surfaces of engineered solids. Here, we report a strategy to design tough
transparent and conductive bonding of synthetic hydrogels containing 90% water to
non-porous surfaces of diverse solids, including glass, silicon, ceramics,
titanium and aluminium. The design strategy is to anchor the long-chain polymer
networks of tough hydrogels covalently to non-porous solid surfaces, which can be
achieved by the silanation of such surfaces. Compared with physical interactions,
the chemical anchorage results in a higher intrinsic work of adhesion and in
significant energy dissipation of bulk hydrogel during detachment, which lead to
interfacial toughness values over 1,000 J m(-2). We also demonstrate applications
of robust hydrogel-solid hybrids, including hydrogel superglues, mechanically
protective hydrogel coatings, hydrogel joints for robotic structures and robust
hydrogel-metal conductors.
PMID- 26552059
TI - Aloperine Protects Mice against Ischemia-Reperfusion (IR)-Induced Renal Injury by
Regulating PI3K/AKT/mTOR Signaling and AP-1 Activity.
AB - Aloperine is a quinolizidine alkaloid extracted from the leaves of Sophora
plants. It has been recognized with the potential to treat inflammatory and
allergic diseases as well as tumors. In this report, we demonstrate that
pretreatment with aloperine provided protection for mice against ischemia
reperfusion (IR)-induced acute renal injury as manifested by the attenuated
inflammatory infiltration, reduced tubular apoptosis, and well-preserved renal
function. Mechanistic studies revealed that aloperine selectively repressed IL
1beta and IFN-gamma expression by regulating PI3K/Akt/mTOR signaling and NF
kappaB transcriptional activity. However, aloperine did not show a perceptible
impact on IL-6 and TGF-beta expression and the related Jak2/Stat3 signaling. It
was also noted that aloperine regulates AP-1 activity, through which it not only
enhances SOD expression to increase reactive oxygen species (ROS) detoxification
but also promotes the expression of antiapoptotic Bcl-2, thereby preventing
tubular cells from IR-induced apoptosis. Collectively, our data suggest that
administration of aloperine prior to IR insults, such as renal transplantation,
could be a viable approach to prevent IR-induced injuries.
PMID- 26552060
TI - Protective Mechanisms of Hypothermia in Liver Surgery and Transplantation.
AB - Hepatic ischemia/reperfusion (I/R) injury is a side effect of major liver surgery
that often cannot be avoided. Prolonged periods of ischemia put a metabolic
strain on hepatocytes and limit the tolerable ischemia and preservation times
during liver resection and transplantation, respectively. In both surgical
settings, temporarily lowering the metabolic demand of the organ by reducing
organ temperature effectively counteracts the negative consequences of an
ischemic insult. Despite its routine use, the application of liver cooling is
predicated on an incomplete understanding of the underlying protective
mechanisms, which has limited a uniform and widespread implementation of liver
cooling techniques. This review therefore addresses how hypothermia-induced
hypometabolism modulates hepatocyte metabolism during ischemia and thereby
reduces hepatic I/R injury. The mechanisms underlying hypothermia-mediated
reduction in energy expenditure during ischemia and the attenuation of
mitochondrial production of reactive oxygen species during early reperfusion are
described. It is further addressed how hypothermia suppresses the sterile hepatic
I/R immune response and preserves the metabolic functionality of hepatocytes.
Lastly, a summary of the clinical status quo of the use of liver cooling for
liver resection and transplantation is provided.
PMID- 26552062
TI - Evidence of a Link Between Grip Strength and Type 2 Diabetes Prevalence and
Severity Among a National Sample of U.S. Adults.
AB - OBJECTIVE: Examine the association between grip strength and type 2 diabetes
prevalence and severity. METHODS: Using data from NHANES 2011-2012, objectively
determined hand grip strength was assessed using the Takei digital grip strength
dynamometer, with diabetes assessed via physician diagnosis and glycohemoglobin
A1C. RESULTS: A 5 kg greater grip strength was associated with a 14% lower odds
of having diabetes for men (ORadjusted = 0.86; 95% CI: 0.79 to 0.94; P = .002).
Similarly, for women, a 5 kg greater grip strength was associated with an 18%
lower odds of having diabetes (ORadjusted = 0.82; 95% CI: 0.69 to 0.97; P = .03).
Grip strength was also associated with glycohemoglobin A1C among women with
diabetes (betaadjusted = -0.26, 95% CI: -0.39 to -0.12; P = .001), which suggests
that grip strength is associated with diabetes severity among women. CONCLUSIONS:
For both men and women, grip strength is associated with type 2 diabetes
presence, and among women, grip strength is associated with severity of type 2
diabetes.
PMID- 26552061
TI - BACE-1, PS-1 and sAPPbeta Levels Are Increased in Plasma from Sporadic Inclusion
Body Myositis Patients: Surrogate Biomarkers among Inflammatory Myopathies.
AB - Sporadic inclusion body myositis (sIBM) is a rare disease that is difficult to
diagnose. Muscle biopsy provides three prominent pathological findings:
inflammation, mitochondrial abnormalities and fibber degeneration, represented by
the accumulation of protein depots constituted by beta-amyloid peptide, among
others. We aim to perform a screening in plasma of circulating molecules related
to the putative etiopathogenesis of sIBM to determine potential surrogate
biomarkers for diagnosis. Plasma from 21 sIBM patients and 20 age- and gender
paired healthy controls were collected and stored at -80 degrees C. An additional
population of patients with non-sIBM inflammatory myopathies was also included
(nine patients with dermatomyositis and five with polymyositis). Circulating
levels of inflammatory cytokines (interleukin [IL]-6 and tumor necrosis factor
[TNF]-alpha), mitochondrial-related molecules (free plasmatic mitochondrial DNA
[mtDNA], fibroblast growth factor-21 [FGF-21] and coenzyme-Q10 [CoQ]) and
amyloidogenic-related molecules (beta-secretase-1 [BACE-1], presenilin-1 [PS-1],
and soluble Abeta precursor protein [sAPPbeta]) were assessed with magnetic bead
based assays, real-time polymerase chain reaction, enzyme-linked immunosorbent
assay (ELISA) and high-pressure liquid chromatography (HPLC). Despite remarkable
trends toward altered plasmatic expression of inflammatory and mitochondrial
molecules (increased IL-6, TNF-alpha, circulating mtDNA and FGF-21 levels and
decreased content in CoQ), only amyloidogenic degenerative markers including BACE
1, PS-1 and sAPPbeta levels were significantly increased in plasma from sIBM
patients compared with controls and other patients with non-sIBM inflammatory
myopathies (p < 0.05). Inflammatory, mitochondrial and amyloidogenic degeneration
markers are altered in plasma of sIBM patients confirming their etiopathological
implication in the disease. Sensitivity and specificity analysis show that BACE
1, PS-1 and sAPPbeta represent a good predictive noninvasive tool for the
diagnosis of sIBM, especially in distinguishing this disease from polymyositis.
PMID- 26552054
TI - Association of Early Exposure of Probiotics and Islet Autoimmunity in the TEDDY
Study.
AB - IMPORTANCE: Probiotics have been hypothesized to affect immunologic responses to
environmental exposures by supporting healthy gut microbiota and could therefore
theoretically be used to prevent the development of type 1 diabetes mellitus
(T1DM)-associated islet autoimmunity. OBJECTIVE: To examine the association
between supplemental probiotic use during the first year of life and islet
autoimmunity among children at increased genetic risk of T1DM. DESIGN, SETTING,
AND PARTICIPANTS: In this ongoing prospective cohort study that started September
1, 2004, children from 6 clinical centers, 3 in the United States (Colorado,
Georgia/Florida, and Washington) and 3 in Europe (Finland, Germany, and Sweden),
were followed up for T1DM-related autoantibodies. Blood samples were collected
every 3 months between 3 and 48 months of age and every 6 months thereafter to
determine persistent islet autoimmunity. Details of infant feeding, including
probiotic supplementation and infant formula use, were monitored from birth using
questionnaires and diaries. We applied time-to-event analysis to study the
association between probiotic use and islet autoimmunity, stratifying by country
and adjusting for family history of type 1 diabetes, HLA-DR-DQ genotypes, sex,
birth order, mode of delivery, exclusive breastfeeding, birth year, child's
antibiotic use, and diarrheal history, as well as maternal age, probiotic use,
and smoking. Altogether 8676 infants with an eligible genotype were enrolled in
the follow-up study before the age of 4 months. The final sample consisted of
7473 children with the age range of 4 to 10 years (as of October 31, 2014).
EXPOSURES: Early intake of probiotics. MAIN OUTCOMES AND MEASURES: Islet
autoimmunity revealed by specific islet autoantibodies. RESULTS: Early probiotic
supplementation (at the age of 0-27 days) was associated with a decreased risk of
islet autoimmunity when compared with probiotic supplementation after 27 days or
no probiotic supplementation (hazard ratio [HR], 0.66; 95% CI, 0.46-0.94). The
association was accounted for by children with the DR3/4 genotype (HR, 0.40; 95%
CI, 0.21-0.74) and was absent among other genotypes (HR, 0.97; 95% CI, 0.62
1.54). CONCLUSIONS AND RELEVANCE: Early probiotic supplementation may reduce the
risk of islet autoimmunity in children at the highest genetic risk of T1DM. The
result needs to be confirmed in further studies before any recommendation of
probiotics use is made.
PMID- 26552063
TI - Longitudinal Study on the Association Between Cardiorespiratory Fitness,
Anthropometric Parameters and Blood Lipids.
AB - BACKGROUND: Longitudinal evidence concerning the association between
cardiorespiratory fitness (CRF) and blood lipids and between anthropometric
parameters (ANTP) and blood lipids is limited. This study aimed to investigate
the association between changes in CRF and ANTP and changes in blood lipids.
METHODS: In 2002-2004 and 2012-2014, 652 participants were tested. CRF was
measured as VO2peak using a maximal ergometer test. Waist circumference (WC) and
Body Mass Index (BMI) were used as ANTP. Blood samples were analyzed for total
cholesterol (TC), HDL cholesterol, LDL cholesterol and triglycerides. A linear
regression analysis was performed to investigate associations between changes in
CRF and ANTP and changes in blood lipids. RESULTS: After adjustment a decrease in
CRF was associated with an increase in triglycerides and a decrease in HDL
cholesterol in men. An increase in WC was associated with an increase in TC, LDL
cholesterol and ratio total/HDL cholesterol and a decrease in HDL cholesterol,
while an increase in BMI was associated with an increase in ratio total/HDL
cholesterol and a decrease in HDL cholesterol. CONCLUSIONS: WC and BMI were more
longitudinally associated with blood lipids compared with CRF. Improving ANTP can
enhance the blood lipid profile, while CRF had only limited influence.
PMID- 26552065
TI - Use of Social Networking Sites and Adherence to Physical Activity and Screen Time
Recommendations in Adolescents.
AB - BACKGROUND: Adolescents are recommended to achieve >= 60 min/day of moderate-to
vigorous physical activity (PA) and <=2 h/day of screen time (ST). This study
examined the relationships between the use of social networking sites (SNSs) and
adherence to PA and ST recommendations in a large sample of Canadian adolescents.
METHODS: This cross-sectional school-based survey included a representative
sample of 9388 students in grades 7 to 12 across Ontario, Canada. RESULTS: After
adjustment for several confounding variables, results showed that male
adolescents who use SNSs for fewer hours (<= 1 h/day) had greater odds of
adherence to PA and to both PA and ST recommendations concurrently, while those
who use it for more hours (>= 3 h/day) had lower odds of adherence to the ST
recommendation. Female adolescents who use SNSs for more hours had lower odds of
adherence to the ST recommendation (use of SNSs >= 2 h/day) and to both PA and ST
recommendations concurrently (use of SNSs >= 5 h/day). CONCLUSIONS: Heavy use of
SNSs has a negative influence on the adherence to the ST recommendation in both
males and females; however, infrequent use of SNSs was related to the adherence
to the PA recommendation and concurrent adherence to both recommendations in
males only.
PMID- 26552064
TI - Change in Physical Activity and Sedentary Time Associated With 2-Year Weight Loss
in Obese Adults With Osteoarthritis.
AB - BACKGROUND: We examined if changes in moderate-to-vigorous physical activity
(MVPA), light activity, and sedentary behavior are related to weight change over
a 2-year period in obese adults with/elevated risk for knee osteoarthritis.
METHODS: Weight, physical activity, and sedentary time at baseline and 2 years
were obtained from 459 obese participants from the Osteoarthritis Initiative.
Weight change was categorized as >= 10 lbs, 5.0 to 9.9 lbs, 4.9 to -4.9 lbs, -5.0
to -9.9 lbs, and <= -10 lbs. We examined the association between 2-year weight
change categories and changes in activity/sedentary time from accelerometer
monitoring by multiple linear regression adjusted for baseline weight,
demographic, and health factors. RESULTS: Across the 5 weight categories (loss to
gain), average 2-year change ranged from -7.4 to 28.0 sedentary minutes/ day, 4.2
to -23.1 light activity minutes/day, and 3.2 to -4.9 MVPA minutes/day,
respectively. Higher weight loss categories were separately associated with
increased MVPA (P for trend < 0.001) and less sedentary gain (P for trend =
0.01). Weight loss categories had a strong trend with light activity gain but not
statistically significant (P for trend = 0.06). CONCLUSIONS: Small increases in
MVPA and decreases in sedentary time over 2 years were associated with weight
loss among adults with obesity and with or at elevated risk for knee
osteoarthritis.
PMID- 26552066
TI - Recent data concerning heparanase: focus on fibrosis, inflammation and cancer.
AB - Heparanase (HPSE) is a multitasking protein characterized by enzymatic and non
enzymatic activities. By means of its enzymatic activity, HPSE catalyzes the
cutting of the side chains of heparan sulfate (HS) proteoglycans, thereby
inducing the remodeling of the extracellular matrix and basement membranes.
Thanks to the cleavage of HS, HPSE also promotes the release and diffusion of
several HS-linked molecules such as growth factors, cytokines and enzymes. In
addition to degrading HS chains, HPSE has non-enzymatic functions that trigger
several signaling pathways. This signaling activity is achieved by interacting
with transmembrane proteins, activating kinases such as Akt and Src, or
modulating the activity of factors such as FGF-2 and TGF-beta. Several studies
have recently highlighted a possible intracellular activity for HPSE,
particularly at nuclear level. While HPSE activity is quite limited in
physiological conditions, its demonstrated increasing involvement in various
pathological conditions, such as in tumor progression and renal disease, have
attracted the attention of a growing number of researchers. The fact that no
other molecule is capable of performing the same function as HPSE makes this
enzyme an attractive potential target of medical treatment. With this short
conceptual overview, we aim to provide an update on current knowledge concerning
the HPSE protein in the experimental and clinical settings, paying particular
attention to its role in fibrosis, inflammation and cancer.
PMID- 26552067
TI - Glyoxalase biochemistry.
AB - The glyoxalase enzyme system utilizes intracellular thiols such as glutathione to
convert alpha-ketoaldehydes, such as methylglyoxal, into D-hydroxyacids. This
overview discusses several main aspects of the glyoxalase system and its likely
function in the cell. The control of methylglyoxal levels in the cell is an
important biochemical imperative and high levels have been associated with major
medical symptoms that relate to this metabolite's capability to covalently modify
proteins, lipids and nucleic acid.
PMID- 26552068
TI - Efficacy of subgingivally delivered atorvastatin and simvastatin as an adjunct to
scaling and root planing.
AB - BACKGROUND: The current understanding of the pathogenesis of periodontal disease
has resulted in adjunctive use of various pharmacologic agents in periodontal
therapy. The objective of this investigation was to assess the efficacy of
atorvastatin and simvastatin (because of their pleiotropic properties) as an
adjunct to dental scaling and root planing (SRP) by local delivery, i.e. placing
them subgingivally, in the treatment of chronic periodontitis. METHODS: Local
delivery systems for atorvastatin and simvastatin were prepared in sodium
alginate suspension to be administered with calcium chloride solution. Patients
diagnosed with chronic periodontitis were grouped as group 1, receiving SRP only
(control), group 2, receiving SRP with subgingival delivery of 1.2% simvastatin,
and group 3, receiving SRP with subgingival delivery of 1.2% atorvastatin.
Clinical parameters and interleukin (IL) 1alpha levels in the gingival crevicular
fluid (GCF) were assessed. RESULTS: All three groups showed significant
reductions in clinical parameters and IL-1alpha levels in the GCF (p<0.05).
However, the test groups did not show any statistically significant difference
when compared with control. CONCLUSIONS: Subgingivally delivered atorvastatin and
simvastatin as an adjunct to SRP is efficacious but did not demonstrate any added
benefit as compared with SRP alone.
PMID- 26552069
TI - Scalable High-Performance Image Registration Framework by Unsupervised Deep
Feature Representations Learning.
AB - Feature selection is a critical step in deformable image registration. In
particular, selecting the most discriminative features that accurately and
concisely describe complex morphological patterns in image patches improves
correspondence detection, which in turn improves image registration accuracy.
Furthermore, since more and more imaging modalities are being invented to better
identify morphological changes in medical imaging data, the development of
deformable image registration method that scales well to new image modalities or
new image applications with little to no human intervention would have a
significant impact on the medical image analysis community. To address these
concerns, a learning-based image registration framework is proposed that uses
deep learning to discover compact and highly discriminative features upon
observed imaging data. Specifically, the proposed feature selection method uses a
convolutional stacked autoencoder to identify intrinsic deep feature
representations in image patches. Since deep learning is an unsupervised learning
method, no ground truth label knowledge is required. This makes the proposed
feature selection method more flexible to new imaging modalities since feature
representations can be directly learned from the observed imaging data in a very
short amount of time. Using the LONI and ADNI imaging datasets, image
registration performance was compared to two existing state-of-the-art deformable
image registration methods that use handcrafted features. To demonstrate the
scalability of the proposed image registration framework, image registration
experiments were conducted on 7.0-T brain MR images. In all experiments, the
results showed that the new image registration framework consistently
demonstrated more accurate registration results when compared to state of the
art.
PMID- 26552070
TI - Thermal Dynamics in Newborn and Juvenile Models Cooled by Total Liquid
Ventilation.
AB - BACKGROUND: Total liquid ventilation (TLV) consists in filling the lungs with a
perfluorocarbon (PFC) and using a liquid ventilator to ensure a tidal volume of
oxygenated, CO 2 -free and temperature-controlled PFC. Having a much higher
thermal capacity than air, liquid PFCs assume that the filled lungs become an
efficient heat exchanger with pulmonary circulation. OBJECTIVE: The objective of
the present study was the development and validation of a parametric lumped
thermal model of a subject in TLV. METHODS: The lungs were modeled as one
compartment in which the control volume varied as a function of the tidal volume.
The heat transfer in the body was modeled as seven parallel compartments
representing organs and tissues. The thermal model of the lungs and body was
validated with two groups of lambs of different ages and weights (newborn and
juvenile) undergoing an ultrafast mild therapeutic hypothermia induction by TLV.
RESULTS: The model error on all animals yielded a small mean error of -0.1 +/-0.4
( degrees )C for the femoral artery and 0.0 +/-0.1 ( degrees )C for the
pulmonary artery. CONCLUSION: The resulting experimental validation attests that
the model provided an accurate estimation of the systemic arterial temperature
and the venous return temperature. SIGNIFICANCE: This comprehensive thermal model
of the lungs and body has the advantage of closely modeling the rapid thermal
dynamics in TLV. The model can explain how the time to achieve mild hypothermia
between newborn and juvenile lambs remained similar despite of highly different
physiological and ventilatory parameters. The strength of the model is its strong
relationship with the physiological parameters of the subjects, which suggests
its suitability for projection to humans.
PMID- 26552071
TI - Toward Standardized Acoustic Radiation Force (ARF)-Based Ultrasound Elasticity
Measurements With Robotic Force Control.
AB - OBJECTIVE: Acoustic radiation force (ARF)-based approaches to measure tissue
elasticity require transmission of a focused high-energy acoustic pulse from a
stationary ultrasound probe and ultrasound-based tracking of the resulting tissue
displacements to obtain stiffness images or shear wave speed estimates. The
method has established benefits in biomedical applications such as tumor
detection and tissue fibrosis staging. One limitation, however, is the dependence
on applied probe pressure, which is difficult to control manually and prohibits
standardization of quantitative measurements. To overcome this limitation, we
built a robot prototype that controls probe contact forces for shear wave speed
quantification. METHODS: The robot was evaluated with controlled force increments
applied to a tissue-mimicking phantom and in vivo abdominal tissue from three
human volunteers. RESULTS: The root-mean-square error between the desired and
measured forces was 0.07 N in the phantom and higher for the fatty layer of in
vivo abdominal tissue. The mean shear wave speeds increased from 3.7 to 4.5 m/s
in the phantom and 1.0 to 3.0 m/s in the in vivo fat for compressive forces
ranging from 2.5 to 30 N. The standard deviation of shear wave speeds obtained
with the robotic approach were low in most cases ( 0.2 m/s) and comparable to
that obtained with a semiquantitative landmark-based method. CONCLUSION: Results
are promising for the introduction of robotic systems to control the applied
probe pressure for ARF-based measurements of tissue elasticity. SIGNIFICANCE:
This approach has potential benefits in longitudinal studies of disease
progression, comparative studies between patients, and large-scale
multidimensional elasticity imaging.
PMID- 26552072
TI - Robust PBPK/PD-Based Model Predictive Control of Blood Glucose.
AB - GOAL: Automated glucose control (AGC) has not yet reached the point where it can
be applied clinically [3]. Challenges are accuracy of subcutaneous (SC) glucose
sensors, physiological lag times, and both inter- and intraindividual
variability. To address above issues, we developed a novel scheme for MPC that
can be applied to AGC. RESULTS: An individualizable generic whole-body physiology
based pharmacokinetic and dynamics (PBPK/PD) model of the glucose, insulin, and
glucagon metabolism has been used as the predictive kernel. The high level of
mechanistic detail represented by the model takes full advantage of the potential
of MPC and may make long-term prediction possible as it captures at least some
relevant sources of variability [4]. Robustness against uncertainties was
increased by a control cascade relying on proportional-integrative derivative
based offset control. The performance of this AGC scheme was evaluated in silico
and retrospectively using data from clinical trials. This analysis revealed that
our approach handles sensor noise with a MARD of 10%-14%, and model uncertainties
and disturbances. CONCLUSION: The results suggest that PBPK/PD models are well
suited for MPC in a glucose control setting, and that their predictive power in
combination with the integrated database-driven (a priori individualizable) model
framework will help overcome current challenges in the development of AGC
systems. SIGNIFICANCE: This study provides a new, generic, and robust mechanistic
approach to AGC using a PBPK platform with extensive a priori (database)
knowledge for individualization.
PMID- 26552073
TI - Gait Characteristics When Walking on Different Slippery Walkways.
AB - OBJECTIVE: This study sought to determine the changes in muscle activity about
the ankle, knee, and hip in able-bodied people walking at steady state on
surfaces with different degrees of slipperiness. METHODS: Muscle activity was
measured through electromyographic signals from selected lower limb muscles and
quantified to directly compare changes across surface conditions. RESULTS: Our
results showed distinct changes in the patterns of muscle activity controlling
each joint. Muscles controlling the ankle showed a significant reduction in
activity as the surface became more slippery, presumably resulting in a compliant
distal joint to facilitate full contact with the surface. Select muscles about
the knee and hip showed a significant increase in activity as the surface became
more slippery. This resulted in increased knee and hip flexion likely
contributing to a lowering of the body's center of mass and stabilization of the
proximal leg and trunk. CONCLUSION: These findings suggest a proximal-distal
gradient in the control of muscle activity that could inform the future design of
adaptable prosthetic controllers. SIGNIFICANCE: Walking on a slippery surface is
extremely difficult, especially for individuals with lower limb amputations
because current prostheses do not allow the compensatory changes in lower limb
dynamics that occur involuntarily in unimpaired subjects. With recent advances in
prosthetic control, there is the potential to provide some of these compensatory
changes; however, we first need to understand how able-bodied individuals
modulate their gait under these challenging conditions.
PMID- 26552074
TI - Local Feature Discriminant Projection.
AB - In this paper, we propose a novel subspace learning algorithm called Local
Feature Discriminant Projection (LFDP) for supervised dimensionality reduction of
local features. LFDP is able to efficiently seek a subspace to improve the
discriminability of local features for classification. We make three novel
contributions. First, the proposed LFDP is a general supervised subspace learning
algorithm which provides an efficient way for dimensionality reduction of large
scale local feature descriptors. Second, we introduce the Differential Scatter
Discriminant Criterion (DSDC) to the subspace learning of local feature
descriptors which avoids the matrix singularity problem. Third, we propose a
generalized orthogonalization method to impose on projections, leading to a more
compact and less redundant subspace. Extensive experimental validation on three
benchmark datasets including UIUC-Sports, Scene-15 and MIT Indoor demonstrates
that the proposed LFDP outperforms other dimensionality reduction methods and
achieves state-of-the-art performance for image classification.
PMID- 26552075
TI - Face Association for Videos Using Conditional Random Fields and Max-Margin Markov
Networks.
AB - We address the video-based face association problem, in which one attempts to
extract the face tracks of multiple subjects while maintaining label consistency.
Traditional tracking algorithms have difficulty in handling this task, especially
when challenging nuisance factors like motion blur, low resolution or significant
camera motions are present. We demonstrate that contextual features, in addition
to face appearance itself, play an important role in this case. We propose
principled methods to combine multiple features using Conditional Random Fields
and Max-Margin Markov networks to infer labels for the detected faces. Different
from many existing approaches, our algorithms work in online mode and hence have
a wider range of applications. We address issues such as parameter learning,
inference and handling false positves/negatives that arise in the proposed
approach. Finally, we evaluate our approach on several public databases.
PMID- 26552076
TI - Learning And-Or Models to Represent Context and Occlusion for Car Detection and
Viewpoint Estimation.
AB - This paper presents a method for learning an And-Or model to represent context
and occlusion for car detection and viewpoint estimation. The learned And-Or
model represents car-to-car context and occlusion configurations at three levels:
(i) spatially-aligned cars, (ii) single car under different occlusion
configurations, and (iii) a small number of parts. The And-Or model embeds a
grammar for representing large structural and appearance variations in a
reconfigurable hierarchy. The learning process consists of two stages in a weakly
supervised way (i.e., only bounding boxes of single cars are annotated). Firstly,
the structure of the And-Or model is learned with three components: (a) mining
multi-car contextual patterns based on layouts of annotated single car bounding
boxes, (b) mining occlusion configurations between single cars, and (c) learning
different combinations of part visibility based on CAD simulations. The And-Or
model is organized in a directed and acyclic graph which can be inferred by
Dynamic Programming. Secondly, the model parameters (for appearance, deformation
and bias) are jointly trained using Weak-Label Structural SVM. In experiments, we
test our model on four car detection datasets - the KITTI dataset [1], the PASCAL
VOC2007 car dataset [2], and two self-collected car datasets, namely the Street
Parking car dataset and the Parking-Lot car dataset, and three datasets for car
viewpoint estimation - the PASCAL VOC2006 car dataset [2], the 3D car dataset
[3], and the PASCAL3D+ car dataset [4]. Compared with state-of-the-art variants
of deformable part-based models and other methods, our model achieves significant
improvement consistently on the four detection datasets, and comparable
performance on car viewpoint estimation.
PMID- 26552077
TI - Robust Correlated and Individual Component Analysis.
AB - Recovering correlated and individual components of two, possibly temporally
misaligned, sets of data is a fundamental task in disciplines such as image,
vision, and behavior computing, with application to problems such as multi-modal
fusion (via correlated components), predictive analysis, and clustering (via the
individual ones). Here, we study the extraction of correlated and individual
components under real-world conditions, namely i) the presence of gross non
Gaussian noise and ii) temporally misaligned data. In this light, we propose a
method for the Robust Correlated and Individual Component Analysis (RCICA) of two
sets of data in the presence of gross, sparse errors. We furthermore extend RCICA
in order to handle temporal incongruities arising in the data. To this end, two
suitable optimization problems are solved. The generality of the proposed methods
is demonstrated by applying them onto 4 applications, namely i) heterogeneous
face recognition, ii) multi-modal feature fusion for human behavior analysis
(i.e., audio-visual prediction of interest and conflict), iii) face clustering,
and iv) thetemporal alignment of facial expressions. Experimental results on 2
synthetic and 7 real world datasets indicate the robustness and effectiveness of
the proposed methodson these application domains, outperforming other state-of
the-art methods in the field.
PMID- 26552078
TI - Determining the Performance of Fluorescence Molecular Imaging Devices Using
Traceable Working Standards With SI Units of Radiance.
AB - To date, no emerging preclinical or clinical near-infrared fluorescence (NIRF)
imaging devices for noninvasive and/or surgical guidance have their performances
validated on working standards with SI units of radiance that enable comparison
or quantitative quality assurance. In this work, we developed and deployed a
methodology to calibrate a stable, solid phantom for emission radiance with
International System of Units (SI) units of mW .sr(-1) .cm(-2) for use in
characterizing the measurement sensitivity of ICCD and IsCMOS detection, signal
to-noise ratio, and contrast. In addition, at calibrated radiances, we assess
transverse and lateral resolution of ICCD and IsCMOS camera systems. The
methodology allowed demonstration of superior SNR of the ICCD over the IsCMOS
technology and superior resolution of the IsCMOS over the ICCD approach. Contrast
depended upon the camera settings (binning and integration time) and gain of
intensifier. Finally, because the architecture of CMOS and CCD camera systems
results in vastly different performance, we comment on the utility of these
technologies for small animal imaging as well as clinical applications for
noninvasive and surgical guidance.
PMID- 26552079
TI - Improving Optoacoustic Image Quality via Geometric Pixel Super-Resolution
Approach.
AB - High fidelity optoacoustic (photoacoustic) tomography requires dense spatial
sampling of optoacoustic signals using point acoustic detectors. However, in
practice, spatial resolution of the images is often limited by limited sampling
either due to coarse multi-element arrays or time in raster scan measurements.
Herein, we investigate a method that integrates information from multiple
optoacoustic images acquired at sub-diffraction steps into one high resolution
image by means of an iterative registration algorithm. Experimental validations
performed in target phantoms and ex vivo tissue samples confirm that the
suggested approach renders significant improvements in terms of optoacoustic
image resolution and quality without introducing significant alterations into the
signal acquisition hardware or inversion algorithms.
PMID- 26552080
TI - Automatic Stem Cell Detection in Microscopic Whole Mouse Cryo-Imaging.
AB - With its single cell sensitivity over volumes as large as or larger than a mouse,
cryo-imaging enables imaging of stem cell biodistribution, homing, engraftment,
and molecular mechanisms. We developed and evaluated a highly automated software
tool to detect fluorescently labeled stem cells within very large ( ~ 200 GB)
cryo-imaging datasets. Cell detection steps are: preprocess, remove immaterial
regions, spatially filter to create features, identify candidate pixels, classify
pixels using bagging decision trees, segment cell patches, and perform 3D
labeling. There are options for analysis and visualization. To train the
classifier, we created synthetic images by placing realistic digital cell models
onto cryo-images of control mice devoid of cells. Very good cell detection
results were (precision=98.49%, recall=99.97%) for synthetic cryo-images,
(precision=97.81%, recall=97.71%) for manually evaluated, actual cryo-images, and
false positives in control mice. An alpha-multiplier applied to features allows
one to correct for experimental variations in cell brightness due to labeling. On
dim cells (37% of standard brightness), with correction, we improved recall
(49.26%-> 99.36%) without a significant drop in precision (99.99%-> 99.75%) .
With tail vein injection, multipotent adult progenitor cells in a graft-versus
host-disease model in the first days post injection were predominantly found in
lung, liver, spleen, and bone marrow. Distribution was not simply related to
blood flow. The lung contained clusters of cells while other tissues contained
single cells. Our methods provided stem cell distribution anywhere in mouse with
single cell sensitivity. Methods should provide a rational means of evaluating
dosing, delivery methods, cell enhancements, and mechanisms for therapeutic
cells.
PMID- 26552081
TI - Ultrashort Microwave-Pumped Real-Time Thermoacoustic Breast Tumor Imaging System.
AB - We report the design of a real-time thermoacoustic (TA) scanner dedicated to
imaging deep breast tumors and investigate its imaging performance. The TA
imaging system is composed of an ultrashort microwave pulse generator and a ring
transducer array with 384 elements. By vertically scanning the transducer array
that encircles the breast phantom, we achieve real-time, 3D thermoacoustic
imaging (TAI) with an imaging speed of 16.7 frames per second. The stability of
the microwave energy and its distribution in the cling-skin acoustic coupling cup
are measured. The results indicate that there is a nearly uniform electromagnetic
field in each XY-imaging plane. Three plastic tubes filled with salt water are
imaged dynamically to evaluate the real-time performance of our system, followed
by 3D imaging of an excised breast tumor embedded in a breast phantom. Finally,
to demonstrate the potential for clinical applications, the excised breast of a
ewe embedded with an ex vivo human breast tumor is imaged clearly with a contrast
of about 1:2.8. The high imaging speed, large field of view, and 3D imaging
performance of our dedicated TAI system provide the potential for clinical
routine breast screening.
PMID- 26552082
TI - An Algorithm for the Segmentation of Highly Abnormal Hearts Using a Generic
Statistical Shape Model.
AB - Statistical shape models (SSMs) have been widely employed in cardiac image
segmentation. However, in conditions that induce severe shape abnormality and
remodeling, such as in the case of pulmonary hypertension (PH) or hypertrophic
cardiomyopathy (HCM), a single SSM is rarely capable of capturing the anatomical
variability in the extremes of the distribution. This work presents a new
algorithm for the segmentation of severely abnormal hearts. The algorithm is
highly flexible, as it does not require a priori knowledge of the involved
pathology or any specific parameter tuning to be applied to the cardiac image
under analysis. The fundamental idea is to approximate the gross effect of the
abnormality with a virtual remodeling transformation between the patient-specific
geometry and the average shape of the reference model (e.g., average normal
morphology). To define this mapping, a set of landmark points are automatically
identified during boundary point search, by estimating the reliability of the
candidate points. With the obtained transformation, the feature points extracted
from the patient image volume are then projected onto the space of the reference
SSM, where the model is used to effectively constrain and guide the segmentation
process. The extracted shape in the reference space is finally propagated back to
the original image of the abnormal heart to obtain the final segmentation.
Detailed validation with patients diagnosed with PH and HCM shows the robustness
and flexibility of the technique for the segmentation of highly abnormal hearts
of different pathologies.
PMID- 26552083
TI - Allan Variance Computed in Space Domain: Definition and Application to InSAR Data
to Characterize Noise and Geophysical Signal.
AB - The Allan variance was introduced 50 years ago for analyzing the stability of
frequency standards. In addition to its metrological interest, it may be also
considered as an estimator of the large trends of the power spectral density
(PSD) of frequency deviation. For instance, the Allan variance is able to
discriminate different types of noise characterized by different power laws in
the PSD. The Allan variance was also used in other fields than time and frequency
metrology: for more than 20 years, it has been used in accelerometry, geophysics,
geodesy, astrophysics, and even finances. However, it seems that up to now, it
has been exclusively applied for time series analysis. We propose here to use the
Allan variance on spatial data. Interferometric synthetic aperture radar (InSAR)
is used in geophysics to image ground displacements in space [over the synthetic
aperture radar (SAR) image spatial coverage] and in time thanks to the regular
SAR image acquisitions by dedicated satellites. The main limitation of the
technique is the atmospheric disturbances that affect the radar signal while
traveling from the sensor to the ground and back. In this paper, we propose to
use the Allan variance for analyzing spatial data from InSAR measurements. The
Allan variance was computed in XY mode as well as in radial mode for detecting
different types of behavior for different space-scales, in the same way as the
different types of noise versus the integration time in the classical time and
frequency application. We found that radial Allan variance is the more
appropriate way to have an estimator insensitive to the spatial axis and we
applied it on SAR data acquired over eastern Turkey for the period 2003-2011.
Spatial Allan variance allowed us to well characterize noise features,
classically found in InSAR such as phase decorrelation producing white noise or
atmospheric delays, behaving like a random walk signal. We finally applied the
spatial Allan variance to an InSAR time series to detect when the geophysical
signal, here the ground motion, emerges from the noise.
PMID- 26552084
TI - Adaptive Light Modulation for Improved Resolution and Efficiency in All-Optical
Pulse-Echo Ultrasound.
AB - In biomedical all-optical pulse-echo ultrasound systems, ultrasound is generated
with the photoacoustic effect by illuminating an optically absorbing structure
with a temporally modulated light source. Nanosecond range laser pulses are
typically used, which can yield bandwidths exceeding 100 MHz. However, acoustical
attenuation within tissue or nonuniformities in the detector or source power
spectra result in energy loss at the affected frequencies and in a reduced
overall system efficiency. In this work, a laser diode is used to generate linear
and nonlinear chirp optical modulations that are extended to microsecond time
scales, with bandwidths constrained to the system sensitivity. Compared to those
obtained using a 2-ns pulsed laser, pulse-echo images of a phantom obtained using
linear chirp excitation exhibit similar axial resolution (99 versus 92 MUm,
respectively) and signal-to-noise ratios (SNRs) (10.3 versus 9.6 dB). In
addition, the axial point spread function (PSF) exhibits lower sidelobe levels in
the case of chirp modulation. Using nonlinear (time-stretched) chirp excitations,
where the nonlinearity is computed from measurements of the spectral sensitivity
of the system, the power spectrum of the imaging system was flattened and its
bandwidth broadened. Consequently, the PSF has a narrower axial extent and still
lower sidelobe levels. Pulse-echo images acquired with time-stretched chirps as
optical modulation have higher axial resolution (64 MUm) than those obtained with
linear chirps, at the expense of a lower SNR (6.8 dB). Using a linear or time
stretched chirp, the conversion efficiency from optical power to acoustical
pressure improved by a factor of 70 or 61, respectively, compared to that
obtained with pulsed excitation.
PMID- 26552085
TI - A Focused Low-Intensity Pulsed Ultrasound (FLIPUS) System for Cell Stimulation:
Physical and Biological Proof of Principle.
AB - Quantitative ultrasound (QUS) is a promising technique for bone tissue
evaluation. Highly focused transducers used for QUS also have the capability to
be applied for tissue-regenerative purposes and can provide spatially limited
deposition of acoustic energy. We describe a focused low-intensity pulsed
ultrasound (FLIPUS) system, which has been developed for the stimulation of cell
monolayers in the defocused far field of the transducer through the bottom of the
well plate. Tissue culture well plates, carrying the cells, were incubated in a
special chamber, immersed in a temperature-controlled water tank. A stimulation
frequency of 3.6 MHz provided an optimal sound transmission through the
polystyrene well plate. The ultrasound was pulsed for 20 min daily at 100-Hz
repetition frequency with 27.8% duty cycle. The calibrated output intensity
corresponded to I(SATA) = 44.5 +/- 7.1 mW/cm2, which is comparable to the most
frequently reported nominal output levels in LIPUS studies. No temperature change
by the ultrasound exposure was observed in the well plate. The system was used to
stimulate rat mesenchymal stem cells (rMSCs). The applied intensity had no
apoptotic effect and enhanced the expression of osteogenic markers, i.e.,
osteopontin (OPN), collagen 1 (Col-1), the osteoblast-specific transcription
factor-Runx-2 and E11 protein, an early osteocyte marker, in stimulated cells on
day 5. The proposed FLIPUS setup opens new perspectives for the evaluation of the
mechanistic effects of LIPUS.
PMID- 26552086
TI - Curve-Like Structure Extraction Using Minimal Path Propagation With Backtracking.
AB - Minimal path techniques can efficiently extract geometrically curve-like
structures by finding the path with minimal accumulated cost between two given
endpoints. Though having found wide practical applications (e.g., line
identification, crack detection, and vascular centerline extraction), minimal
path techniques suffer from some notable problems. The first one is that they
require setting two endpoints for each line to be extracted (endpoint problem).
The second one is that the connection might fail when the geodesic distance
between the two points is much shorter than the desirable minimal path (shortcut
problem). In addition, when connecting two distant points, the minimal path
connection might become inefficient as the accumulated cost increases over the
propagation and results in leakage into some non-feature regions near the
starting point (accumulation problem). To address these problems, this paper
proposes an approach termed minimal path propagation with backtracking. We found
that the information in the process of backtracking from reached points can be
well utilized to overcome the above problems and improve the extraction
performance. The whole algorithm is robust to parameter setting and allows a
coarse setting of the starting point. Extensive experiments with both simulated
and realistic data are performed to validate the performance of the proposed
method.
PMID- 26552087
TI - Texture-Independent Long-Term Tracking Using Virtual Corners.
AB - Long-term tracking of an object, given only a single instance in an initial
frame, remains an open problem. We propose a visual tracking algorithm, robust to
many of the difficulties that often occur in real-world scenes. Correspondences
of edge-based features are used, to overcome the reliance on the texture of the
tracked object and improve invariance to lighting. Furthermore, we address long
term stability, enabling the tracker to recover from drift and to provide
redetection following object disappearance or occlusion. The two-module principle
is similar to the successful state-of-the-art long-term TLD tracker; however, our
approach offers better performance in benchmarks and extends to cases of low
textured objects. This becomes obvious in cases of plain objects with no texture
at all, where the edge-based approach proves the most beneficial. We perform
several different experiments to validate the proposed method. First, results on
short-term sequences show the performance of tracking challenging (low textured
and/or transparent) objects that represent failure cases for competing the state
of-the-art approaches. Second, long sequences are tracked, including one of
almost 30 000 frames, which, to the best of our knowledge, is the longest
tracking sequence reported to date. This tests the redetection and drift
resistance properties of the tracker. Finally, we report the results of the
proposed tracker on the VOT Challenge 2013 and 2014 data sets as well as on the
VTB1.0 benchmark, and we show relative performance of the tracker compared with
its competitors. All the results are comparable with the state of the art on
sequences with textured objects and superior on non-textured objects. The new
annotated sequences are made publicly available.
PMID- 26552088
TI - Enhancing Sketch-Based Image Retrieval by Re-Ranking and Relevance Feedback.
AB - A sketch-based image retrieval often needs to optimize the tradeoff between
efficiency and precision. Index structures are typically applied to large-scale
databases to realize efficient retrievals. However, the performance can be
affected by quantization errors. Moreover, the ambiguousness of user-provided
examples may also degrade the performance, when compared with traditional image
retrieval methods. Sketch-based image retrieval systems that preserve the index
structure are challenging. In this paper, we propose an effective sketch-based
image retrieval approach with re-ranking and relevance feedback schemes. Our
approach makes full use of the semantics in query sketches and the top ranked
images of the initial results. We also apply relevance feedback to find more
relevant images for the input query sketch. The integration of the two schemes
results in mutual benefits and improves the performance of the sketch-based image
retrieval.
PMID- 26552089
TI - An EEMD-ICA Approach to Enhancing Artifact Rejection for Noisy Multivariate
Neural Data.
AB - As neural data are generally noisy, artifact rejection is crucial for data
preprocessing. It has long been a grand research challenge for an approach which
is able: 1) to remove the artifacts and 2) to avoid loss or disruption of the
structural information at the same time, thus the risk of introducing bias to
data interpretation may be minimized. In this study, an approach (namely EEMD
ICA) was proposed to first decompose multivariate neural data that are possibly
noisy into intrinsic mode functions (IMFs) using ensemble empirical mode
decomposition (EEMD). Independent component analysis (ICA) was then applied to
the IMFs to separate the artifactual components. The approach was tested against
the classical ICA and the automatic wavelet ICA (AWICA) methods, which were
dominant methods for artifact rejection. In order to evaluate the effectiveness
of the proposed approach in handling neural data possibly with intensive noises,
experiments on artifact removal were performed using semi-simulated data mixed
with a variety of noises. Experimental results indicate that the proposed
approach continuously outperforms the counterparts in terms of both normalized
mean square error (NMSE) and Structure SIMilarity (SSIM). The superiority becomes
even greater with the decrease of SNR in all cases, e.g., SSIM of the EEMD-ICA
can almost double that of AWICA and triple that of ICA. To further examine the
potentials of the approach in sophisticated applications, the approach together
with the counterparts were used to preprocess a real-life epileptic EEG with
absence seizure. Experiments were carried out with the focus on characterizing
the dynamics of the data after artifact rejection, i.e., distinguishing seizure
free, pre-seizure and seizure states. Using multi-scale permutation entropy to
extract feature and linear discriminant analysis for classification, the EEMD-ICA
performed the best for classifying the states (87.4%, about 4.1% and 8.7% higher
than that of AWICA and ICA respectively), which was closest to the results of the
manually selected dataset (89.7%).
PMID- 26552090
TI - Crystalline Bacterial Surface Layer (S-Layer) Opens Golden Opportunities for
Nanobiotechnology in Textiles.
AB - This study focuses on the successful recrystallization of bacterial S-layer
arrays of the Lactobacillus acidophilus ATCC 4356 at textile surfaces to create a
novel method and material. Optimum bacterial growth was obtained at approximately
45 degrees C, pH 5.0, and 14 h pi. The cells were resuspended in guanidine
hydrochloride and the 43 kDa S-protein was dialyzed and purified. The optimum
reassembly on the polypropylene fabric surface in terms of scanning electron
microscopy (SEM), reflectance, and uniformity (spectrophotometry) was obtained at
30 degrees C, pH 5.0 for 30 minutes in the presence of 2 gr/l (liquor ratio;
1:40) of the S-protein. Overall, our data showed that the functional aspects and
specialty applications of the fabric would be very attractive for the textile and
related sciences, and result in advanced technical textiles.
PMID- 26552091
TI - A New Method to Predict RNA Secondary Structure Based on RNA Folding Simulation.
AB - RNA plays an important role in various biological processes; hence, it is
essential when determining the functions of RNA to research its secondary
structures. So far, the accuracy of RNA secondary structure prediction remains an
area in need of improvement. This paper presents a novel method for predicting
RNA secondary structure based on an RNA folding simulation model. This model
assumes that the process of RNA folding from the random coil state to full
structure is staged and in every stage of folding, the final state of an RNA is
determined by the optimal combination of helical regions, which are urgently
essential to dynamics of RNA formation. This paper proposes the First Large Free
Energy Difference (FLED) in order to find the helical regions most urgently
needed for optimal final state formation among all the possible helical regions.
Tests on the datasets with known structures from public databases demonstrate
that our method can outperform other current RNA secondary structure prediction
methods in terms of prediction accuracy.
PMID- 26552092
TI - Online Estimation Method for Respiratory Parameters Based on a Pneumatic Model.
AB - Mechanical ventilation is an important method to help people breathe. Respiratory
parameters of ventilated patients are usually tracked for pulmonary diagnostics
and respiratory treatment assessment. In this paper, to improve the estimation
accuracy of respiratory parameters, a pneumatic model for mechanical ventilation
was proposed. Furthermore, based on the mathematical model, a recursive least
squares algorithm was adopted to estimate the respiratory parameters. Finally,
through experimental and numerical study, it was demonstrated that the proposed
estimation method was effective and the method can be used in pulmonary
diagnostics and treatment.
PMID- 26552093
TI - A Novel Method Using Abstract Convex Underestimation in Ab-Initio Protein
Structure Prediction for Guiding Search in Conformational Feature Space.
AB - To address the searching problem of protein conformational space in ab-initio
protein structure prediction, a novel method using abstract convex
underestimation (ACUE) based on the framework of evolutionary algorithm was
proposed. Computing such conformations, essential to associate structural and
functional information with gene sequences, is challenging due to the high
dimensionality and rugged energy surface of the protein conformational space. As
a consequence, the dimension of protein conformational space should be reduced to
a proper level. In this paper, the high-dimensionality original conformational
space was converted into feature space whose dimension is considerably reduced by
feature extraction technique. And, the underestimate space could be constructed
according to abstract convex theory. Thus, the entropy effect caused by searching
in the high-dimensionality conformational space could be avoided through such
conversion. The tight lower bound estimate information was obtained to guide the
searching direction, and the invalid searching area in which the global optimal
solution is not located could be eliminated in advance. Moreover, instead of
expensively calculating the energy of conformations in the original
conformational space, the estimate value is employed to judge if the conformation
is worth exploring to reduce the evaluation time, thereby making computational
cost lower and the searching process more efficient. Additionally, fragment
assembly and the Monte Carlo method are combined to generate a series of
metastable conformations by sampling in the conformational space. The proposed
method provides a novel technique to solve the searching problem of protein
conformational space. Twenty small-to-medium structurally diverse proteins were
tested, and the proposed ACUE method was compared with It Fix, HEA, Rosetta and
the developed method LEDE without underestimate information. Test results show
that the ACUE method can more rapidly and more efficiently obtain the near-native
protein structure.
PMID- 26552094
TI - A Cooperative Framework for Fireworks Algorithm.
AB - This paper presents a cooperative framework for fireworks algorithm (CoFFWA). A
detailed analysis of existing fireworks algorithm (FWA) and its recently
developed variants has revealed that ( i) the current selection strategy has the
drawback that the contribution of the firework with the best fitness (denoted as
core firework) overwhelms the contributions of all other fireworks (non-core
fireworks) in the explosion operator, ( ii) the Gaussian mutation operator is not
as effective as it is designed to be. To overcome these limitations, the CoFFWA
is proposed, which significantly improves the exploitation capability by using an
independent selection method and also increases the exploration capability by
incorporating a crowdness-avoiding cooperative strategy among the fireworks.
Experimental results on the CEC2013 benchmark functions indicate that CoFFWA
outperforms the state-of-the-art FWA variants, artificial bee colony,
differential evolution, and the standard particle swarm optimization
SPSO2007/SPSO2011 in terms of convergence performance.
PMID- 26552095
TI - Direct Extraction of Tumor Response Based on Ensemble Empirical Mode
Decomposition for Image Reconstruction of Early Breast Cancer Detection by UWB.
AB - A direct extraction method of tumor response based on ensemble empirical mode
decomposition (EEMD) is proposed for early breast cancer detection by ultra-wide
band (UWB) microwave imaging. With this approach, the image reconstruction for
the tumor detection can be realized with only extracted signals from as-detected
waveforms. The calibration process executed in the previous research for
obtaining reference waveforms which stand for signals detected from the tumor
free model is not required. The correctness of the method is testified by
successfully detecting a 4 mm tumor located inside the glandular region in one
breast model and by the model located at the interface between the gland and the
fat, respectively. The reliability of the method is checked by distinguishing a
tumor buried in the glandular tissue whose dielectric constant is 35. The
feasibility of the method is confirmed by showing the correct tumor information
in both simulation results and experimental results for the realistic 3-D printed
breast phantom.
PMID- 26552096
TI - Wireless Flexible Smart Bandage for Continuous Monitoring of Wound Oxygenation.
AB - Current methods in treating chronic wounds have had limited success in large part
due to the open loop nature of the treatment. We have created a localized 3D
printed smart wound dressing platform that will allow for real-time data
acquisition of oxygen concentration, which is an important indicator of wound
healing. This will serve as the first leg of a feedback loop for a fully
optimized treatment mechanism tailored to the individual patient. A flexible
oxygen sensor was designed and fabricated with high sensitivity and linear
current output. With a series of off-the-shelf electronic components including a
programmable-gain analog front-end, a microcontroller and wireless radio, an
integrated electronic system with data readout and wireless transmission
capabilities was assembled in a compact package. Using an elastomeric material, a
bandage with exceptional flexibility and tensile strength was 3D-printed. The
bandage contains cavities for both the oxygen sensor and the electronic systems,
with contacts interfacing the two systems. Our integrated, flexible platform is
the first step toward providing a self-operating, highly optimized remote therapy
for chronic wounds.
PMID- 26552097
TI - Finite-Time State Estimation for Coupled Markovian Neural Networks With Sensor
Nonlinearities.
AB - This paper investigates the issue of finite-time state estimation for coupled
Markovian neural networks subject to sensor nonlinearities, where the Markov
chain with partially unknown transition probabilities is considered. A Luenberger
type state estimator is proposed based on incomplete measurements, and the
estimation error system is derived by using the Kronecker product. By using the
Lyapunov method, sufficient conditions are established, which guarantee that the
estimation error system is stochastically finite-time bounded and stochastically
finite-time stable, respectively. Then, the estimator gains are obtained via
solving a set of coupled linear matrix inequalities. Finally, a numerical example
is given to illustrate the effectiveness of the proposed new design method.
PMID- 26552098
TI - Cloud-Scale Genomic Signals Processing for Robust Large-Scale Cancer Genomic
Microarray Data Analysis.
AB - As microarray data available to scientists continues to increase in size and
complexity, it has become overwhelmingly important to find multiple ways to bring
forth oncological inference to the bioinformatics community through the analysis
of large-scale cancer genomic (LSCG) DNA and mRNA microarray data that is useful
to scientists. Though there have been many attempts to elucidate the issue of
bringing forth biological interpretation by means of wavelet preprocessing and
classification, there has not been a research effort that focuses on a cloud
scale distributed parallel (CSDP) separable 1-D wavelet decomposition technique
for denoising through differential expression thresholding and classification of
LSCG microarray data. This research presents a novel methodology that utilizes a
CSDP separable 1-D method for wavelet-based transformation in order to initialize
a threshold which will retain significantly expressed genes through the denoising
process for robust classification of cancer patients. Additionally, the overall
study was implemented and encompassed within CSDP environment. The utilization of
cloud computing and wavelet-based thresholding for denoising was used for the
classification of samples within the Global Cancer Map, Cancer Cell Line
Encyclopedia, and The Cancer Genome Atlas. The results proved that separable 1-D
parallel distributed wavelet denoising in the cloud and differential expression
thresholding increased the computational performance and enabled the generation
of higher quality LSCG microarray datasets, which led to more accurate
classification results.
PMID- 26552100
TI - Event Detection in Twitter Microblogging.
AB - The millions of tweets submitted daily overwhelm users who find it difficult to
identify content of interest revealing the need for event detection algorithms in
Twitter. Such algorithms are proposed in this paper covering both short
(identifying what is currently happening) and long term periods (reviewing the
most salient recently submitted events). For both scenarios, we propose fuzzy
represented and timely evolved tweet-based theoretic information metrics to model
Twitter dynamics. The Riemannian distance is also exploited with respect to
words' signatures to minimize temporal effects due to submission delays. Events
are detected through a multiassignment graph partitioning algorithm that: 1)
optimally retains maximum coherence within a cluster and 2) while allowing a word
to belong to several clusters (events). Experimental results on real-life data
demonstrate that our approach outperforms other methods.
PMID- 26552099
TI - Evaluation of AllergiSense Smartphone Tools for Adrenaline Injection Training.
AB - Anaphylaxis is an increasingly prevalent life-threatening allergic condition that
requires people with anaphylaxis and their caregivers to be trained in the
avoidance of allergen triggers and in the administration of adrenaline
autoinjectors. The prompt and correct administration of autoinjectors in the
event of an anaphylactic reaction is a significant challenge in the management of
anaphylaxis. Unfortunately, many people do not know how to use autoinjectors and
either fail to use them or fail to use them correctly. This is due in part to
deficiencies in training and also to the lack of a system encouraging continuous
practice with feedback. Assistive smartphone healthcare technologies have
demonstrated potential to support the management of chronic conditions such as
diabetes and cardiovascular disease, but there have been deficiencies in their
evaluation and there has been a lack of application to anaphylaxis. This paper
describes AllergiSense, a smartphone app and sensing system for anaphylaxis
management, and presents the results of a randomized, controlled, prepost
evaluation of AllergiSense injection training and feedback tools with healthy
participants. Participants whose training was supplemented with AllergiSense
injection feedback achieved significantly better practiced injections with 90.5%
performing correct injections compared to only 28.6% in the paper-only control
group. In addition, the results provide insights into possible self-efficacy
failings in traditional training and the benefits of embedding self-efficacy
theory into the technology design process.
PMID- 26552101
TI - Cooperative Differential Evolution With Multiple Populations for Multiobjective
Optimization.
AB - This paper presents a cooperative differential evolution (DE) with multiple
populations for multiobjective optimization. The proposed algorithm has M single
objective optimization subpopulations and an archive population for an M
objective optimization problem. An adaptive DE is applied to each subpopulation
to optimize the corresponding objective of the multiobjective optimization
problem (MOP). The archive population is also optimized by an adaptive DE. The
archive population is used not only to maintain all nondominated solutions found
so far but also to guide each subpopulation to search along the whole Pareto
front. These (M+1) populations cooperate to optimize all objectives of the MOP by
using adaptive DEs. Simulation results on benchmark problems with two, three, and
many objectives show that the proposed algorithm is better than some state-of-the
art multiobjective DE algorithms and other popular multiobjective evolutionary
algorithms. The online search behavior and parameter sensitivity of the proposed
algorithm are also investigated.
PMID- 26552102
TI - Consensus Under Bounded Noise in Discrete Network Systems: An Algorithm With Fast
Convergence and High Accuracy.
AB - Most existing works investigate consensus with noise following a certain
distribution, e.g., Gaussian distribution, with fixed expectation and variance,
which may not be satisfied in practical applications. This paper investigates the
discrete system consensus under bounded noise, which is important and practical
problem. We first provide necessary and sufficient conditions for the convergence
of consensus under bounded noise. To be more general, we derive an analytical
bound to show the max-min difference between the nodes' states when the general
consensus algorithm converges to a stable state. Then, a novel consensus
algorithm, fast consensus under bounded noise (FCBN), is proposed to eliminate
the accumulative error caused by the bounded noise. It is proved that FCBN has a
faster convergence speed and a higher consensus accuracy than general consensus
algorithms. Extensive simulations demonstrate the effectiveness of the proposed
algorithm.
PMID- 26552103
TI - Value Iteration Adaptive Dynamic Programming for Optimal Control of Discrete-Time
Nonlinear Systems.
AB - In this paper, a value iteration adaptive dynamic programming (ADP) algorithm is
developed to solve infinite horizon undiscounted optimal control problems for
discrete-time nonlinear systems. The present value iteration ADP algorithm
permits an arbitrary positive semi-definite function to initialize the algorithm.
A novel convergence analysis is developed to guarantee that the iterative value
function converges to the optimal performance index function. Initialized by
different initial functions, it is proven that the iterative value function will
be monotonically nonincreasing, monotonically nondecreasing, or nonmonotonic and
will converge to the optimum. In this paper, for the first time, the
admissibility properties of the iterative control laws are developed for value
iteration algorithms. It is emphasized that new termination criteria are
established to guarantee the effectiveness of the iterative control laws. Neural
networks are used to approximate the iterative value function and compute the
iterative control law, respectively, for facilitating the implementation of the
iterative ADP algorithm. Finally, two simulation examples are given to illustrate
the performance of the present method.
PMID- 26552104
TI - Extreme Learning Machine With Subnetwork Hidden Nodes for Regression and
Classification.
AB - As demonstrated earlier, the learning effectiveness and learning speed of single
hidden-layer feedforward neural networks are in general far slower than required,
which has been a major bottleneck for many applications. Huang et al. proposed
extreme learning machine (ELM) which improves the training speed by hundreds of
times as compared to its predecessor learning techniques. This paper offers an
ELM-based learning method that can grow subnetwork hidden nodes by pulling back
residual network error to the hidden layer. Furthermore, the proposed method
provides a similar or better generalization performance with remarkably fewer
hidden nodes as compared to other ELM methods employing huge number of hidden
nodes. Thus, the learning speed of the proposed technique is hundred times faster
compared to other ELMs as well as to back propagation and support vector
machines. The experimental validations for all methods are carried out on 32 data
sets.
PMID- 26552105
TI - Promoting Interactions Between Humans and Robots Using Robotic Emotional
Behavior.
AB - The objective of a socially assistive robot is to create a close and effective
interaction with a human user for the purpose of giving assistance. In
particular, the social interaction, guidance, and support that a socially
assistive robot can provide a person can be very beneficial to patient-centered
care. However, there are a number of research issues that need to be addressed in
order to design such robots. This paper focuses on developing effective emotion
based assistive behavior for a socially assistive robot intended for natural
human-robot interaction (HRI) scenarios with explicit social and assistive task
functionalities. In particular, in this paper, a unique emotional behavior module
is presented and implemented in a learning-based control architecture for
assistive HRI. The module is utilized to determine the appropriate emotions of
the robot to display, as motivated by the well-being of the person, during
assistive task-driven interactions in order to elicit suitable actions from users
to accomplish a given person-centered assistive task. A novel online updating
technique is used in order to allow the emotional model to adapt to new people
and scenarios. Experiments presented show the effectiveness of utilizing robotic
emotional assistive behavior during HRI scenarios.
PMID- 26552106
TI - Good Practices for Learning to Recognize Actions Using FV and VLAD.
AB - High dimensional representations such as Fisher vectors (FV) and vectors of
locally aggregated descriptors (VLAD) have shown state-of-the-art accuracy for
action recognition in videos. The high dimensionality, on the other hand, also
causes computational difficulties when scaling up to large-scale video data. This
paper makes three lines of contributions to learning to recognize actions using
high dimensional representations. First, we reviewed several existing techniques
that improve upon FV or VLAD in image classification, and performed extensive
empirical evaluations to assess their applicability for action recognition. Our
analyses of these empirical results show that normality and bimodality are
essential to achieve high accuracy. Second, we proposed a new pooling strategy
for VLAD and three simple, efficient, and effective transformations for both FV
and VLAD. Both proposed methods have shown higher accuracy than the original
FV/VLAD method in extensive evaluations. Third, we proposed and evaluated new
feature selection and compression methods for the FV and VLAD representations.
This strategy uses only 4% of the storage of the original representation, but
achieves comparable or even higher accuracy. Based on these contributions, we
recommend a set of good practices for action recognition in videos for
practitioners in this field.
PMID- 26552107
TI - Doctor Bakhshalian Responds.
PMID- 26552108
TI - Zika virus outbreaks in the Americas.
PMID- 26552109
TI - Malaria situation, 2015.
PMID- 26552110
TI - Exempting Mental Health Peer Support Services From Copayments. Direct final rule;
confirmation of effective date.
AB - The Department of Veterans Affairs (VA) published a direct final rule amending
its regulation that governs VA services that are not subject to copayment
requirements for inpatient hospital care or outpatient medical care.
Specifically, the regulation is amended to exempt mental health peer support
services from having any required copayment. VA received no adverse comments
concerning the direct final rule or its companion substantially identical
proposed rule published in the Federal Register on the same date. This document
confirms that the direct final rule became effective on January 27, 2015. In a
companion document in this issue of the Federal Register, we are withdrawing as
unnecessary the proposed rule.
PMID- 26552111
TI - Medicare and Medicaid Programs; CY 2016 Home Health Prospective Payment System
Rate Update; Home Health Value-Based Purchasing Model; and Home Health Quality
Reporting Requirements. Final rule.
AB - This final rule will update Home Health Prospective Payment System (HH PPS)
rates, including the national, standardized 60-day episode payment rates, the
national per-visit rates, and the non-routine medical supply (NRS) conversion
factor under the Medicare prospective payment system for home health agencies
(HHAs), effective for episodes ending on or after January 1, 2016. As required by
the Affordable Care Act, this rule implements the 3rd year of the 4-year phase-in
of the rebasing adjustments to the HH PPS payment rates. This rule updates the HH
PPS case-mix weights using the most current, complete data available at the time
of rulemaking and provides a clarification regarding the use of the "initial
encounter'' seventh character applicable to certain ICD-10-CM code categories.
This final rule will also finalize reductions to the national, standardized 60
day episode payment rate in CY 2016, CY 2017, and CY 2018 of 0.97 percent in each
year to account for estimated case-mix growth unrelated to increases in patient
acuity (nominal case-mix growth) between CY 2012 and CY 2014. In addition, this
rule implements a HH value-based purchasing (HHVBP) model, beginning January 1,
2016, in which all Medicare-certified HHAs in selected states will be required to
participate. Finally, this rule finalizes minor changes to the home health
quality reporting program and minor technical regulations text changes.
PMID- 26552112
TI - Medicare Program; End-Stage Renal Disease Prospective Payment System, and Quality
Incentive Program. Final Rule.
AB - This rule updates and makes revisions to the End-Stage Renal Disease (ESRD)
Prospective Payment System (PPS) for calendar year (CY) 2016. This rule is
necessary to ensure that ESRD facilities receive accurate Medicare payment
amounts for furnishing outpatient maintenance dialysis treatments during calendar
year 2016. This rule will also set forth requirements for the ESRD Quality
Incentive Program (QIP), including for PYs 2017 through 2019.
PMID- 26552113
TI - Margaret (Peggy) Wheelock (1945-2009): cell scientist, mentor and friend.
PMID- 26552114
TI - Evidence appraisal of Brock AS, Steed LL, Freeman J, Garry B, Malpas P, Cotton
P. Endoscope storage time: assessment of microbial colonization up to 21 days
after reprocessing.
PMID- 26552115
TI - National Preparedness Month: opportunities for nurse engagement.
PMID- 26552117
TI - The value of music therapy in patient care.
PMID- 26552116
TI - Preparing for respiratory disease outbreaks.
PMID- 26552118
TI - [Ebola Virus Disease Outbreak Response in West Africa].
AB - The largest ever outbreak of Ebola virus disease has been spreading in West
Africa. The authors were deployed to Liberia and Sierra Leone as short-term
consultants for the World Health Organization. Our mission was to ensure clinical
management and infection prevention and control priorities in frontline treatment
centres. This paper describes how the disease is spread, its symptoms and
progression, measures currently taken to ensure both infection control and the
best possible care, and the significance of infections among health care workers.
We adopted an approach which is detailed in the WHO Clinical Management of
Patients with Viral Haemorrhagic Fever. Areas within the treatment centres were
divided into either a "hot zone" or a "cold zone". Patients were interviewed, and
those patients who met the criteria for suspected, probable or confirmed cases
were moved to hot zones. All health care workers wore personal protective
equipment when entering a hot zone and washed hands with a hypochlorite solution
after each patient encounter. Among the problems which we encountered was a
fundamental mismatch in the numbers of patients and nurses. The nurses often had
to work alone in hot zones in protective equipment which limited physical
movement and blurred vision. These factors contributed to fatigue due to
prolonged outbreak response and may have resulted in infections among the nursing
staff. In conclusion, we present the current situation in West Africa in regard
to the recent outbreak of Ebola virus disease, specifically the clinical picture
based on our observation. We further propose steps to be taken to handle the
patient care safely and effectively. We hope our experience will contribute to
national discussions on how to respond to the Ebola virus disease.
PMID- 26552119
TI - [Evaluation of Norovirus Detection Method Based on a Newly Developed
Bioluminescent Enzyme Immunoassay (BLEIA) System].
AB - Noroviruses (NoV) are a major cause of nonbacterial acute gastroenteritis. To
efficiently control NoV infection, preventing the transmission of the virus from
NoV-infected food-handlers to food may be crucial. At present, reverse
transcription real-time PCR (rRT-PCR) methods may be used as a sensitive method
to detect NoV, but the method has the drawbacks of being expensive and time
consuming. Other conventional immunological methods such as ELISA and immuno
chromatographic tests are more economical and easier to use than rRT-PCR, but
these methods may not be highly sensitive. To overcome these problems, we have
developed a novel bioluminescent enzyme immunoassay (BLEIA) system. The system is
fully automated and this may enable the rapid, highly sensitive detection of NoV.
To practically evaluate the BLEIA, we measured a number of fecal specimens from
the patients with acute-gastroenteritis due to NoV infection or healthy adult
volunteers in Japan. The performance of the BLEIA was compared with the Loop
Mediated Isothermal Amplification (LAMP) assay and rRT-PCR. The sensitivity,
specificity, and correspondence rate of the BLEIA were 93.1% (135/145), 100%
(87/87), and 95.7% (222/232), respectively, and those of the LAMP assay were
91.0% (132/145), 98.9% (86/87), and 94.0% (218/232), respectively. A good
correlation (r = 0.72) was obtained between the virus loads measured using rRT
PCR and the cut-off index values of the BLEIA, and the sensitivity of the BLEIA
was estimated to be 10(5)-10(6) copies/g stool samples. No cross-reactivity
toward other closely related or enteric viruses was found. The results indicated
that the BLEIA may be applicable for the conventional screening for NoV detection
with a large number of fecal specimens from the patients and food-handlers.
PMID- 26552120
TI - [Analysis of Non-serotype b Encapsulated Haemophilus influenzae Isolated from
Pediatric Patients].
AB - We analyzed non-serotype b encapsulated Haemophilus influenzae (non-b Hi)
isolated from pediatric patients at Chiba Children's Hospital during 2000-2012.
Among 3,532 clinical isolates of H. influenzae, there were 57 (1.6%) strains of
non-b Hi, 152 (4.3%) of serotype b H. influenzae (Hib), and 3,323 (94.1%) of non
typeable H. influenzae (NTHi). Fifty-seven strains of non-b Hi were serotyped
useing the slide agglutination test and PCR. Twenty-nine strains were identified
as type e (Hie) and 28 as type f (Hif), and the results according to the slide
agglutination test and PCR were completely identical. Whereas 52 of 57 strains
(91.2%) were isolated from respiratory specimen, only one Hif strain (1.8%) was
isolated from a sterile site. There were 47 (82.4%) beta-lactamase-non-producing
ampicillin (ABPC)-sensitive strains (BLNAS), 5 (8.8%) beta-lactamase-producing
strains (BLP), and only 1 (1.8%) beta-lactamase-non-producing ABPC-resistant
strain (BLNAR). Thus the frequency of non-b Hi was lower than that of Hib. The
source of non-b Hi was similar to that of NTHi, which was mainly isolated from
respiratory specimen. Antimicrobial resistant pattern of non-b Hi was different
from that of Hib in which the frequency of BLP was relatively high, and NTHi in
which that of BLNAR was high. An increase of invasive H. influenzae infections
caused by NTHi, Hie, and Hif was reported in the countries where Hib vaccine had
been widely used. Because it is assumed that invasive non-Hib infection will be
predominant in the near future in Japan, serotyping of invasive strains is
crucial. Continuous monitoring of distribution of non-b Hi in the clinical
isolates of H. influenzae is also important.
PMID- 26552121
TI - [Antimicrobial Susceptibility and Resistance Mutations in Campylobacter jejuni
and C. coli Isolates from Human and Meat Sources].
AB - Recently, there has been a marked increase in the number of reports of
fluoroquinolone-resistant Campylobacter jejuni and Campylobacter coli. The aim of
this study was to evaluate the prevalence of antimicrobial resistance and its
genetic determinants in Campylobacter species isolated from meat and human
subjects in Fukuoka Prefecture, Japan. Between 2011 and 2013, 55 and 64 isolates
were collected from meat (chicken meat and beef liver) and humans, respectively,
in this prefecture. Antimicrobial susceptibility tests were conducted using the
agar dilution method in accordance with the Clinical and Laboratory Standards
Institute guidelines, using the following 11 antimicrobial agents : cephalexin,
cefoxitin, nalidixic acid, ciprofloxacin, levofloxacin, tetracycline,
minocycline, ampicillin, streptomycin, kanamycin and erythromycin. The
susceptibility rates of the isolates to three quinolones (nalidixic acid,
ciprofloxacin, levofloxacin) were 43.7%, 41.2%, 40.3%, respectively. All the
isolates were multidrug resistant. Whereas 46.9%-51.6% of the human isolates were
resistant to one or more of the quinolones, only 32.7%-34.5% of the meat isolates
were resistant to one or more of the drugs. DNA sequencing showed that of the 50
quinolone resistant isolates 44 had position 86 isoleucine (Ile) substituted for
threonine (Thr) in the GyrA protein (Thr86Ile). This amino acid substitution
resulted from ACA to ATA and ACT to ATT mutations of codon 86 in C. jejuni and C.
coli, respectively. Furthermore, two of the four C. jejuni isolates lacking the
Thr86Ile mutation had combined Ser22Gly-Asn203Ser substitutions, while the
remaining two isolates had combined Ser22Gly-Asn203Ser-Ala 206Val substitutions.
These four isolates also had cmeABC sequences that differed from the quinolone
sensitive C. jejuni ATCC33560(T) strain. In conclusion, C. jejuni and C. coli
have relatively high quinolone resistance, and are resistant to other
antibiotics. The new combination of amino acid substitutions in the GyrA protein
could pose a potential threat to public health in Japan.
PMID- 26552122
TI - [The Usefulness of the Scan with 67Ga-citrate to Assess the Therapeutic Effect on
Pneumocystis pneumonia with HIV-1 Infection].
AB - OBJECTIVE: Peumocystis pneumonia (PCP) is one of the common opportunistic
infections with severe respiratory failure, and is sometimes life-threatening in
patients with the acquired immunodeficiency syndrome. Although treatment for PCP
is established, an appropriate treatment period has not been evaluated to clarify
the risk factors for immune reconstitution inflammatory syndrome (IRIS)
associated with PCP. METHOD: We retrospectively analyzed the clinical
characteristics of risk factor, which are the treatment period for PCP, and 67Ga
scintigraphy (Ga-S) at the 21st day from the start of the treatment for PCP, with
21 cases of PCP and HIV infection treated during 2005-2012 at Kyushu Medical
Center. RESULT: The rate of residual uptake by Ga-S was assessed in 17 cases
(81%). Four cases were diagnosed as being PCP-IRIS, and residual uptake by Ga-S
was detected in all PCP-IRIS cases. The durations of the therapy were classified
into three groups: 21 days, 28 days, and 35 days. All PCP-IRIS cases were treated
in the period of 28 days. In contrast, in 11 cases that showed residual uptake by
Ga-S, and were treated for PCP in 35 days, PCP-IRIS did not occur. Additionally,
there were 4 cases in which residual uptake by Ga-S did not occur. They were
treated with PCP for only 21 days, but did not show PCP-IRIS. CONCLUSION: In this
study, we showed that Ga-S is useful to evaluate the therapeutic effect.
Furthermore, we found that the occurrence of PCP-IRIS could be prevented with the
early start of cART after 21 days treatment for PCP, when residual uptake by Ga-S
after the first treatment for PCP was not detected. It may also be possible to
start cART in the early phase after its treatment without the occurrence of PCP
IRIS with the appropriate additional treatment of PCP for 14 days. These
guidelines for treatment of PCP in HIV-infected adults and adolescents have been
recommended for the duration of 21 days since 1984. We propose that for the
prevention of PCP-IRIS, it is nessecory to reconsider recommendation for the
treatment duration of 21 days, and meanwhile to evaluate the treatment effect of
PCP with Ga-S, because PCP resistance to sulfa drugs, namely are trimethoprim
sulfamethoxazole, is beginning to appear.
PMID- 26552123
TI - [A Case of Disseminated Mycobacterium genavense Infection in an AIDS Patient. A
Case Report and a Review of the Literature].
AB - A 44-year-old male, who was HIV seropositive, developped weight loss, high grade
fever, and multiple lymphadenopathies. Bone marrow biopsy revealed a granuloma
lesion, and at the same part of the specimen, Ziehl Neelsen staining showed
multiple mycobacterium diffusely arranged in the histocytes. The culture did not
show positive after 6 to 8 weeks. Finally we diagnosed disseminated Mycobacterium
genavense using a house-keeping gene analysis including 16S rRNA sequencing of
lymph punctate with fine needle aspiration and the specimen from the biopsy of
the lymph node. If a specimen tests positive for Ziehl Neelsen staining smear
positive, culture negative, and PCR negative for tuberculosis and Mycobacterium
avium complex, we should consider M. genavense infection as one of the
differential diagnoses.
PMID- 26552124
TI - [A Case Strongly Suspected of Being Pulmonary Toxocariasis Showing Multiple
Pulmonary Nodules with a Disappearing and Reappearing Halo Sign].
AB - We report herein on a case strongly suspected of being pulmonary toxocariasis. A
22-year-old Indonesian man referred to our hospital presented with abnormal chest
shadows upon medical examination. He had no symptoms. He did not have any pets
nor did he eat raw beef or chicken. Hematological examination revealed
eosinophilia and elevation of IgE. Chest computed tomography revealed 3 pulmonary
nodules with the halo sign. We suspected a parasite infection and performed
antiparasite antibody testing. Ascaris suum was slightly positive on the
screening test. As specific antibody against the larval excretory-secretory
products of Toxocara canis, measured at the National Institute of Infectious
Diseases, was positive (level 3 up to 8). Subsequently, the abnormal chest
shadows disappeared. However, two months later, 2 pulmonary nodules with the halo
sign reappeared in other places. Diagnostic therapy with albendazole was
performed for 8 weeks. Mild hepatic impairment emerged during therapy, but it was
within the allowed range. Thereafter, the results improved for the imaging
findings, eosinophilia, serum IgE level, and specific antibody. The antibody
level became negative two months after the treatment had ended. We should
consider toxocariasis in the differential diagnosis of migratory nodular shadows
with the halo sign on chest computed tomography, and immunoserological testing is
useful for the diagnosis.
PMID- 26552125
TI - [Three Cases of Tsutsugamushi Disease in Miyakojima Island, Okinawa, Japan].
AB - Tsutsugamushi disease (Scrub thyphus) has been reported from all over Japan
except the Hokkaido area. In Okinawa, only one patient was reported in 2001, who
was infected outside Okinawa Prefecture. The first case infected in Okinawa was
reported at Miyakojima Island in 2008. We report herein on the second case
diagnosed in 2010, and the third and fourth in 2011, and all three patients were
suspected to have been infected at Ikemajima Island adjacent to the island of
Miyakojima. The patients recovered without any severe complications after
antibiotic therapy with tetracyclines. We should take Tsutsugamushi disease into
consideration in the differential diagnosis for a patient with fever, skin rash,
and/or eschar even in the Okinawa area. Implementation of appropriate information
and education about the disease should be carried out for local residents and
tourists.
PMID- 26552126
TI - [A Case of Bacteremia Which Followed a Urinary Tract Infection by Oligella
urethralis].
AB - An 82-year-old bedridden man with sequelae from a cerebral infarction was
admitted to a welfare institution for the elderly. He developed a high fever and
hematuria and was prescribed levofloxacin for the treatment of a suspected
urinary tract infection. Although his condition improved, the symptoms
subsequently recurred; therefore, levofloxacin was again administered. He
remained febrile and was admitted to a hospital due to recalcitrant urinary tract
infection. Immediately after admission, he developed ischuria and pyuria. Urine
and blood cultures at admission indicated the presence of levofloxacin-resistant
Oligella urethralis (O. urethralis). He recovered with ceftriaxone medication. To
our knowledge, this is the first report of bacteremia associated with a urinary
tract infection caused by O. urethralis in Japan.
PMID- 26552127
TI - [A Case of Dengue Fever and Subsequent Long-lasting Depression Accompanied by
Alopecia in a Japanese Traveler Returning from Bali, Indonesia].
AB - Recovery from dengue fever is generally rapid and uneventful. However,
recuperation is often prolonged and may be accompanied by noticeable depression.
We present herein on a traveler to Indonesia who developed long-lasting
depression after the classic symptoms of dengue fever such as fever, arthralgia,
and macropapular rash had resolved. A previously healthy 42-year old japanese
woman presented to the Travel Clinic of Seirei Yokohama Hospital with complaints
of 4 days of fever, joint aches, bone pain, and a macropapular rash on her torso.
She had returned from Bali 5 days previously. During her 1-week stay, one day was
spent in rural, mountainous areas where she was exposed to several mosquito
bites. The 1st serum sample collected 4 days after the disease onset gave
positive result in the rapid dengue IgM antibody test and the rapid dengue NS1
antigen immunechromatographic test. The DENV-1 genome was detected with RT-PCR.
Her 13-year old son, who had accompanied her, was also diagnosed as having dengue
fever and he recovered without event. The Above-mentioned symptoms resolved
within one week. However, the patient suffered from prolonged depression. She
also noticed loss of hair 3 months after the disease onset Administration of a
Serotonin-Noradrenalin Reuptake Inhibitor and a minor tranquillizer required to
allow her requied to lead a normal life. Although she gradually felt better, it
took approximately 2 years until she had recovered completely without taking any
antidepressant and minor tranquillizer. It is a well-known fact in endemic
countries that dengue fever could have an significant impact on the patients'
mental well-being. However, it appears that physicians in non-endemic countries
are not fully aware of the prolonged depression, which can occur subsequent to
the acute illness. Follow-up consultations of returing travelers who have
recoverd from dengu fever should be arranged to monitor their mental and
emotional states closely.
PMID- 26552128
TI - [Homologous Analysis Using Repetitive-sequence-based PCR Typing of Exfoliative
Toxin-producing Staphylococcus aureus Isolated from Our Hospital].
AB - We examined staphylococcal coagulase types and homologous analysis using the
DiversiLab repetitive-sequence-based PCR system in exfoliative toxin (ET)
producing Staphylococcus aureus. Twenty-two isolates (17 methicillin-sensitive
Staphylococcus aureus (MSSA) and 5 methicillin-resistant Staphylococcus aureus
(MRSA) isolates) obtained in our hospital from January 2012 and December 2013
were used. Three groups were classified according to the coagulase types and
serotypes of ET. The first group (4 MSSA) showed coagulase type I and ET-A, and
the second group (3 MSSA and 2 MRSA) showed coagulase type I and ET-B. The third
group (10 MSSA and 3 MRSA) showed coagulase type V and ET-B. An analysis by
DiversiLab demonstrated that homology was high in both the first and second
groups. The homogenousness was high among the third group isolates except for the
ocular isolates. In our hospital, three important groups were present according
to a coagulase type and an ET type, and the homology of ocular isolates could be
different from other materials isolates.
PMID- 26552129
TI - [Detection and Serotyping of Streptococcus pneumoniae Carried in Healthy Adults
with a Modified PCR Method].
AB - Detection of Streptococcus pneumoniae colonized in the pharynx of healthy
carriers currently relies on conventional culture methods of direct plating with
pharyngeal swab specimens. The accurate measurement of the carriage of
pneumococci, however, has not been necessarily achieved with these methods due to
low density colonization and contamination of numerous oral streptococci that
express alpha-hemolysis. A PCR-based detection method of pneumococci-specific for
lytA as well as PCR serotyping of S. pneumoniae was recently developed and their
effectiveness was confirmed. We modified the reaction conditions of these methods
to improve the detection rate and applied them to the measurement of S.
pneumoniae carried in healthy adults. Pharyngeal swab specimens obtained from 110
healthy volunteers over 40 and living in Nagoya were enriched for 5 hours with
broth medium supplemented with rabbit serum and the template DNA for PCR was
extracted from the mixed enriched culture. Of 110 specimens 36 (32.7%) were lytA
positive, the rate of which was much higher than the results of previous culture
based studies. The DNA template preparations were then used for PCR-based
serotyping with primers specific for each of the types included in pneumococcal
23 valent vaccine (PPV23). We found that 28 out of 36 lytA-positive carriers were
identified as being positive for the serotypes belonging to PPV23, although
serotypes 6A and 6B were indistinguishable with the PCR method. The most frequent
serotype was serotype 14, and serotypes 4, 18C, and 6A/B were also frequently
identified. Five lytA-positive carriers were previously vaccinated with PPV23,
and among them, 4 were positive for serotypes contained in PPV23. We recommend
PCR-based identification and serotyping of S. pneumoniae in broth enrichment
culture of pharyngeal swab specimens as a reliable method for the surveillance of
healthy carriers with low density colonization.
PMID- 26552130
TI - [Clinical Features of Hospitalized Cases due to Influenza Virus A Infection in
the 2011/2012 Season].
AB - In the 2011/2012 season, 18 patients were admitted to our hospital due to
influenza virus A infection and the number had increased compared to the previous
3 years (average 5.3 patients/year). Therefore we evaluated the clinical
characteristics, treatment, and prognosis of hospitalized cases. Although there
were many reports on viral pneumonia caused by influenza (H1N1) 2009 among the
young population in the 2009/ 2010 season, 16 out of 18 hospitalized patients
were over 65 years-old in the 2011/2012 season. Major causes of admission were
pneumonia in 8 cases, heart failure in 5 cases and bronchial asthma attack in 3
cases. The average age of 9 patients with pneumonia was higher significantly
compared to 9 patients without pneumonia (average age 85.3 +/- 10.2 : 71.4 +/-
16.1, p < 0.05). Influenza vaccination was performed in 11 patients in total, and
6 out of 9 patients with pneumonia. The interval from illness onset to
administration of neuraminidase inhibitors was 1.8 +/- 1.1 days in cases with
pneumonia and 2.1 +/- 1.5 days in cases without pneumonia. Though 17 patients got
well, one patient died from aspiration pneumonia after recovering from influenza
A infection. In the 2011/2012 season, although many patients who had received
vaccination needed to be hospitalized because of influenza A infection, the
prognosis was fairly good.
PMID- 26552131
TI - [Association between Diarrheal Infectious Diseases and Antidiarrheal Drugs
Assessed with Prescription Surveillance].
AB - OBJECT: It appears to be possible to measure the outbreak of infectious diseases
with accompanying diarrhea by early detection of an outbreak. However, because
some diseases are reported weekly from sentinel medical institutions, early
detection of outbreaks might be inadequate. In this study, we examined the number
of patients with diarrhea assessed with prescription surveillance and validated
its association with the number of patients who have infectious diseases with
diarrhea. METHODS: The number of patients who were prescribed an antidiarrheal
drug or intestinal drug was estimated for each prefecture using the prescription
surveillance system from April 1, 2011 through March 31, 2014. Moreover, we
examined the association between the prevalence of diarrhea in prescription
surveillance and the number of patients with diarrheal infectious diseases.
RESULTS: Results showed a positive correlation between the prevalence of diarrhea
in prescription surveillance and the number of reported cases per sentinel with
gastrointestinal infections. However, no positive correlation was found with the
others infectious diseases. CONCLUSION: Estimation of the number of patients with
diarrhea in prescription surveillance might facilitate early detection of an
outbreak of gastrointestinal infections.
PMID- 26552133
TI - [Invasive Aspergillosis Accompanied by a Subcutaneous Nodular Lesion].
AB - We report herein on a case of invasive aspergillosis accompanied by a
subcutaneous nodular lesion. A 74-years-old male with myelodysplastic syndrome
was hospitalized due to high fever and a painful subcutaneous nodule on the left
thigh. Chest radiography and CT scans showed multiple nodular lesions of both
lungs, and bacterial pneumonia was initially suspected. He was treated with
meropenem, but the symptoms did not subside. Three days after admission, we found
that beta-D-glucan levels were elevated at 52.6 pg/mL. He was treated with
liposomal amphotericin B (L-AMB) for invasive fungal pneumonia, and the symptoms
regressed thereafter. Excisional biopsy of the nodular lesion showed a cluster of
septated and branching hyphae. Serum Aspergillus antigen tests and sputum fungal
culture were negative, and the fungal species could not be identified. Thus, we
performed in situ hybridization (ISH) and polymerase chain reaction (PCR) with
the excised subcutaneous specimens, and as a result Aspergillus fumigatus
infection was diagnosed. Invasive aspergillosis with a subcutaneous lesion is a
rare case, and we found that treatment with L-AMB was effective. ISH, PCR and
measurement of serum trough concentration of AMPH-B are useful in diagnosis and
treatment.
PMID- 26552132
TI - [Evaluation of a Rapid Antigen Detection Kit Targeting L7/L12 Ribosomal Protein
for Mycoplasma pneumoniae].
AB - We evaluated the usefulness of a rapid antigen detection assay for L7/L12
ribosomal protein (Ribotest Mycoplasma; Asahi Kasei Pharma) for diagnosis of
Mycoplasma pneumoniae (M. pneumoniae) infection. Nasopharyngeal swabs were
obtained from patients with pneumonia and/or bronchitis; real-time PCR and the L
7/L12 antigen assays were performed with each sample. Serum was also taken from
each patient, and the particle agglutination (PA) method was used to detect anti
M. pneumoniae antibody in these samples. Macrolide-resistance genes were detected
and M. pneumoniae P1 protein subtyping was performed on PCR-positive samples. PCR
assays were positive for 85 of 212 specimens (40.1%). Sensitivity and specificity
of the L7/L12 antigen assays relative to the PCR standard were 74.1% (63/85) and
81.1% (103/127), respectively. For PCR-positive specimens with a large quantity
of M. pneumoniae nucleic acid, sensitivity of the L7/L12 antigen assays seemed to
be high. In PCR-positive specimens with fewer than 1.0 x 10(6) copies/mL of M.
pneumoniae nucleic acid, sensitivity of the L7/L12 antigen assays seemed to be
low. When the PA method was used as the standard, the relative sensitivity and
specificity of the L7/L12 antigen assays were 41.7% (5/12) and 75.3% (58/77),
respectively, for single serum and 60.9% (14/23) and 85.7% (18/21), respectively,
for paired sera. The macrolide-resistance gene A2063G was detected in 20 of the
30 tested PCR-positive specimens (66.7%). Of these 20 A2063G-positive specimens,
13 (65.0%) were positive for the L7/L12 antigen assays. Tne numbers of M.
pneumoniae P1 subtypes were as follows: types I (22), IIa(2), IIc(1), and
untypable (5). The L7/L12 antigen assays gave positive results for 17 of 21
(81.0%) subtype I, 1 of 2 (50.0%) IIa, and 1 of 1(100%) IIc specimens.
PMID- 26552134
TI - [A Serious Case of Pseudomembranous Colitis in which Treatment with Endoscopic
Vancomycin Sprinkling Got a Good Result].
AB - We report herein on a 71-year-old man who developed pseudomembranous colitis
(PMC). He was treated with oral metronidazole and vancomycin prescription, but
deteriorated, and developed a toxic megacolon. Under paralytic ileus condition,
per os and enema treatment efficacy was thought to be limited. Sprinkling with
vancomycin via colonoscopy was therefore performed, resulting in therapeutic
success. Additionally, participation in infection control should be carried out
with severe PMC cases like this.
PMID- 26552136
TI - [A Case of Acute Respiratory Distress Syndrome (ARDS) Accompanied with Influenza
(H1N1) 2009 Successfully Treated with Polymyxin B-immobilized Fiber Column-direct
Hemoperfusion (PMX-DHP)].
AB - A 51-year-old man was admitted to our hospital because of fever and diarrhea.
Chest X-ray revealed consolidation in the left lower lung field. Ceftriaxone and
minocycline were given empirically, under the suspicion of bacterial or atypical
pneumonia. In spite of treatment with antibiotics, the disease rapidly progressed
to systemic inflammatory response syndrome. The diagnosis of acute respiratory
distress syndrome (ARDS) accompanied with influenza (H1N1) 2009 was made because
of positive findings of real-time polymerase chain reaction. While
multidisciplinary treatment was performed, his condition was further deteriorated
suggesting the excessive pro-inflammatory mediators. To remove them, we conducted
polymyxin-B immobilized column-direct hemoperfusion (PMX-DHP), and his general
condition recovered successfully. PMX-DHP may be a useful treatment choice for
ARDS accompanied with influenza.
PMID- 26552135
TI - [A Case of Disseminated Cutaneous Mycobacterium chelonae Infection Successfully
Improved with Thermal Therapy].
AB - A 54-year-old female with dermatomyositis treated with cyclosporine and
methylprednisolone presented with multiple subcutaneous nodules on her upper and
lower extremities on December 2011. The number of lesions gradually increased.
She had a history of surgical intervention such as debridement, skin graft of
right lower leg due to trauma and subsequent bacterial infection on August 2011.
Culture from a skin lesion on June 2012 confirmed Mycobacterium chelonae, which
was susceptible to clarithromycin (CAM). We started treatment with CAM,
imipenem/cilastatin (IPM/CS) and tobramycin (TOB) for 2 weeks. Then CAM
monotherapy was continued, however CAM was discontinued because of liver
dysfunction. In September 2012 new nodular lesions were observed on the left arm
and right leg. We administrated azithromycin, IPM/CS and TOB. Subcutaneous
nodules were partially improved, but new lesions appeared on her right leg. A
culture of skin lesion yielded M. chelonae, which was highly resistant to CAM and
IPM/CS. Based on the sensitivity test, moxifloxacin was used. However, there was
no significant improvement in her skin lesions, so we started thermal therapy on
day 57 after admission. She showed an excellent response to thermal therapy, and
there has been no recurrence.
PMID- 26552137
TI - [Direct Identification Results from Positive Blood Culture Bottles Using MALDI
TOF MS].
PMID- 26552138
TI - [The mechanisms of drug resistance in prostate cancer].
AB - Drug therapy is one of the efficient methods for prostate cancer treatment.
However, drug resistance greatly hindered the treatment of prostate cancer
patients. Herein, the mechanisms of drug resistance in prostate cancer have been
exhaustively reviewed, and that can provide an alternative strategy and new
targets for anti-prostate cancer therapy.
PMID- 26552139
TI - [Research progress of IgG4 in isotype selection of antibody drugs].
AB - Many specific therapeutic antibody drugs have been developed for different
indications. In drug development, it has been found that the antibody isotype
framework can not only affect the physical and chemical properties of therapeutic
antibodies, but also influence the activity and therapeutic effect. As a result,
IgG isotype selection should be considered carefully in antibody drug development
strategies. Because of the unique biological characteristics, IgG4 isotype has
been used in some therapeutic antibodies for which effector functions are not
desired. In order to provide new ideas for the development of antibody drugs, the
research and application progress of IgG4 isotype in therapeutic antibody drug
development has been reviewed.
PMID- 26552140
TI - [Eukaryotic elongation factor 2 kinase and cancer].
AB - Eukaryotic elongation factor 2 kinase (eEF2K) is well known as a Ca2+/calmodulin
(CaM)-dependent kinase. eEF2K catalyzes the phosphorylation of eEF2 and
subsequently inactivates eEF2 by impairing its ability to bind to the ribosome,
thereby negatively modulates protein synthesis. The high expression of eEF2K has
been found recently in several types of malignancies. As participating in the
progress of tumor, eEF2K emerges a potential target for future cancer therapy.
The relationship between eEF2K and tumor, and the latest progress of eEF2K
inhibitors were summarized in this article.
PMID- 26552141
TI - [Recent progress of dry powder inhalation of proteins and peptides].
AB - To provide theoretical and practical basis for the successful formulation design
of physically-mixed inhalation dry powder of proteins and peptides, related
references were collected, analyzed and summarized. In this review drug
micronization technology and commonly used carriers for inhalation dry powder
preparation were introduced. For proteins and peptides, supercritical fluid
technology and spray-drying are more suitable because of their capabilities of
keeping drug activity. Being approved by U. S. Food and Drug Administration,
lactose has been extensively used as carriers in many inhalation products.
Formulation and process factors influencing drug deposition in the lung,
including carrier properties, drug-carrier ratio, blending order, mixing methods,
mixing time and the interaction between drug and carrier, were elucidated. The
size, shape and surface properties of carries all influence the interaction
between drug and carrier. Besides, influence of micromeritic properties of the
dry powder, such as particle size, shape, density, flowability, charge,
dispersibility and hygroscopicity, on drug deposition in the lung was elaborated.
Among these particle size plays the most crucial role in particle deposition in
the lung. Moreover, based on the mechanisms of powder dispersity, some strategies
to improve drug lung deposition were put forward, such as adding carrier fines,
adding adhesive-controlling materials and reprocessing micronized drug. In order
to design physically-mixed inhalation dry powder for proteins and peptides with
high lung deposition, it is essential to study drug-carriers interactions
systematically and illustrate the potential influence of formulation, process
parameters and micromeritic properties of the powder.
PMID- 26552142
TI - [m-Nisodipine inhibited 5-HT-induced proliferation of rat PASMCs through Rho/ROCK
signal pathway].
AB - This paper is to report the exploration of the activation of Rho/ROCK signal
pathway in 5-HT-induced proliferation of rat pulmonary artery smooth muscle cells
(PASMCs) and the inhibitory effect of m-Nis on this pathway. PASMCs were cultured
with the explant technique. MTT assay was used to explore the proliferation of
PASMCs after 5-HT treated for different time and the intervening effect of m-Nis.
RT-PCR and Western blot were used respectively to explore the mRNA expression of
RhoA, ROCK1 and the protein expression of p-MYPT1 in 5-HT-treated PASMCs and
intervening effect of m-Nis. The results of MTT assay suggested that 5-HT (1 umol
. L(-1)) treatment for 12-72 h significantly induced the proliferation of rat
PASMCs (P<0.05 or P < 0.01), which were inhibited by m-Nis (1 x 10(-5), 1 x 10(
6), l x 10(-7), 1 x10(-8) mol . L(-1)) in dose-dependent manners (P < 0.05 or P <
0.01). Similarly, the mRNA expression of RhoA, ROCK1 and the protein expression
of p-MYPT1 were also inhibited by m-Nis in different degrees (P < 0.05 or P <
0.01). Thus, the results of this study suggested that Rho/ROCK pathway played an
important role in 5-HT-induced proliferation of rat PASMCs, m-Nis inhibited 5-HT
induced proliferation obviously, which may be related to the blockage of Rho/ROCK
signal pathway.
PMID- 26552143
TI - [The action mechanisms of Morus alba leaves extract for the treatment of diabetes
based on plasma metabolomics].
AB - In order to evaluate the effect and mechanism of the mulberry leaf alkaloid,
flavones, and polysaccharide intervention on diabetes, the overall metabolite
profiling characteristics for the plasma of diabetic mouse was performed by using
an ultra-performance liquid chromatography/electrospray-tandem mass spectrometry
(UPLC-ESI-MS). The 8 potential biomarkers were found in diabetic mice plasma
based on the data of MS/MS characteristics obtained from the UPLC-OrbitrapMS
analysis, which mainly involved in sphingolipids, amino acid metabolic pathway.
The principal component analysis showed that the normal group and model group
were obviously distinguished and implied that metabolic disturbance was happened
in diabetic mice plasma. The extracts of mulberry leaf flavonoids,
polysaccharide, alkaloid had exhibited the effects of callback function for
diabetic mice through regulating the amino acid metabolism and sphingolipid
metabolism.
PMID- 26552144
TI - [TRPV1 channel-mediated thermogenesis is a common mode for the Chinese pungent
hot or pungent-warm herbs to demonstrate their natures].
AB - To further uncover the scientific significance and molecular mechanism of the
Chinese herbs with pungent hot or warm natures, endogenous and exogenous
expression systems were established by isolation of dorsal root ganglion (DRG)
neurons and transfection of HEK293 cells with TRPV1 channel gene separately. On
this basis, the regulation action of capsaicin, one main ingredient from chili
pepper, on TRPV1 channel was further explored by using confocal microscope.
Besides, the three-sites one-unit technique and method were constructed based on
the brown adipose tissue (BAT), anal and tail skin temperatures. Then the effect
of capsaicin on mouse energy metabolism was evaluated. Both endogenous and
exogenous TRPV1 channel could be activated and this action could be specifically
blocked by the TRPV1 channel inhibitor capsazepine. Simultaneously, the mice's
core body temperature and BAT temperature fall down and then go up, accompanied
by the increase of temperature of the mice's tail skin. Promotion of the energy
metabolism by activation of TRPV1 channel might be the common way for the pungent
hot (warm) herbs to demonstrate their natures.
PMID- 26552145
TI - [Establishment of MDCK cell models expressing human MATE1 or co-expressing with
human OCT1 or OCT2].
AB - To establish single- and double-transfected transgenic cells stably expressing
hMATE1, hMATE1 cDNA was cloned by RT-PCR from human cryopreserved kidney tissue,
and subcloned into pcDNA3.1(+) plasmid by virtue of both HindIII and Kpn I
restriction enzyme sites. Subsequently, the recombined pcDNA3.1(+)- hMATE1
plasmid was transfected into MDCK, MDCK-hOCT1 or MDCK-hOCT2 cells using
Lipofectamine 2000 Reagent. After a 14-day-cultivation with hygromycin B at the
concentration of 400 ug . mL(-1), all clones were screened with DAPI and MPP+ as
substrates to identify the best candidate. The mRNA content of hMATE1, the
cellular accumulation of metformin with or without cimetidine as inhibitor, or
transportation of cimetidine was further valuated. The results showed that all of
the three cell models over expressed hMATE1 mRNA. The cellular accumulation of
metformin in MDCK-hMATE1 was 17.6 folds of the control cell, which was
significantly inhibited by 100 umol . L(-1) cimetidine. The transcellular
transport parameter net efflux ratios of cimetidine across MDCK-hOCT1/hMATE1 and
MDCK-hOCT2/hMATE1 monolayer were 17.5 and 3.65, respectively. In conclusion, cell
models with good hMATE1 function have been established successfully, which can be
applied to study the drug transport or drug-drug interaction involving hMATE1
alone or together with hOCT1/2 in vitro.
PMID- 26552146
TI - [The interactions between natural products and OATP1B1].
AB - Organic anion transporting polypeptide 1B1 (OATP1B1) is an important liver
specific uptake transporter, which mediates transport of numerous endogenous
substances and drugs from blood into hepatocytes. To identify and investigate
potential modulators of OATP1B1 from natural products, the effect of 21
frequently used natural compounds and extracts on OATP1B1-mediated fluorescein
methotrexate transport was studied by using Chinese hamster ovary cells stably
expressing OATP1B1 (CHO-OATP1B1) in 96-well plates. This method could be used for
the screening of large compound libraries. Our studies showed that some
flavonoids (e.g., quercetin, quercitrin, rutin, chrysanthemum flavonoids and
mulberrin) and triterpenoids (e.g., glycyrrhetinic acid and glycyrrhizic acid)
were inhibitors of OATP1B1 with IC50 values less than 16 umol . L(-1). The IC50
value of glycyrrhetinic acid on OATP1B1 was comparable to its blood concentration
in clinics, indicating an OATPlB1-mediated drug-drug interaction could occur.
Structure-activity relationship analysis showed that flavonoids had much higher
inhibitory activity than their glycosides. Furthermore, the type and length of
saccharides had a significant effect on their activity. In addition, we used
OATP1B1 substrates fluvastatin and rosuvastatin as probe drugs to investigate the
substrate-dependent effect of several natural compounds on the function of
OATP1B1 in vitro. Our results demonstrated that the effect of these natural
products on the function of OATPlB1 was substrate-dependent. In summary, this
study would be conducive to predicting and avoiding potential OATP1B1-mediated
drug-drug and drug-food interactions and thus provide the experimental basis and
guidance for rational drug use.
PMID- 26552147
TI - [The anti-tumor activity and molecular mechanisms of an Aurora kinase inhibitor
ZLJ213 in suppressing colon cancer growth].
AB - The aim of this study is to evaluate anti-tumor activities and mechanism of a
novel kinase inhibitor ZLJ213 which targeted Aurora A and vascular endothelial
growth factor receptor (VEGFR) in vitro and in vivo against human colon cancer.
Results showed that ZLJ213 inhibited cell proliferation and induced cell cycle
arrest and apoptosis of HCT1 16 and SW48 cell lines. In HCT116-derived xenograft,
ZLJ213 dosed at 100 mg . kg(-1) inhibited tumor growth by 73.24%. The IC50 of
ZLJ213 on the expression of p-Aurora A was 0.258 umol . L(-1) analyzed by ELISA.
Under the concentration of 0.08 umol . L(-1), ZLJ213 could inhibit the activities
of Aurora A, Histone H3 and VEGFR of HCT116 and SW48 cell lines. Simultaneously,
ZLJ213 induced activation of Caspase 3 and PARP cleavage. Above data suggested
that ZLJ213 had the ability to inhibit cell proliferation and induce cell
apoptosis both in vitro and in vivo in colon cancer, and down-regulate the
expression of p-Aurora A and p-VEGFR. ZLJ213 might be a potential therapeutic
agent against colon cancer.
PMID- 26552148
TI - [Synthesis and antioxidative activity of flavone derivatives containing 1,2,4
triazole structure].
AB - Using bioactive compounds 7-hydroxy flavone, salicylaldehyde, cinnamic acid and 4
amino-5- mercapto-1,2,4-triazoles as starting materials, three new types of
flavone derivatives containing 1,2,4-triazole structure were synthesized via
different step reactions. These new compounds were characterized by 1IHNMR, ESI
MS, IR and elemental analysis. Their scavenging effects on the superoxide radical
(O2.-), hydroxyl radical (.OH), DPPH . radical and their total reduction
activities were tested. The results showed that all of the compounds possessed
some antioxidative activity at the concentration of 0.5 mg . mL(-1), but the
scavenging ability of the target compounds was lower than that of the standard
compound Vc.
PMID- 26552149
TI - [Design, synthesis and antiproliferative activities of artemisinin derivatives
substituted by N-heterocycles].
AB - Increasing attention has been focused on the antitumor activity of artemisinin
derivatives in recent years, for artemisinin had been reported to have cytotoxic
effects against HL-60, P388 and MCF-7 tumor cells. We report here the synthesis
and evaluation for antitumor activity of a series of artemisinin-ether
derivatives bearing tetrahydropyrrole, morpholine, piperidine, substituted
piperidines and azoles with various linkers. Sixteen 10-O-substituted
dihydroartemisinin derivatives were designed and synthesized, all of which have
never been reported in literatures and whose antiproliferative effects on human
breast cancer MCF-7, MCF-7/Adr and HL-60 cells were determined by MTT assay or
direct cell counting. Each of these artemisinin derivatives possessed better
effects than dihydroartemisinin evidently against HL-60 and MCF-7 cells growth,
while less potent than doxorubicin. All target compounds exhibited significantly
improved potency compared to DHA and doxorubicin on the doxorubicin-resistant MCF
7/Adr cells, so did they in their sensitive counterparts MCF-7 cells. Among them,
compounds GF02, GH04 and ZH04 showed strong activity against these three cell
lines growth. Further research is undergoing.
PMID- 26552150
TI - [The mechanism of action of valsartan studied by HPLC-TOF/MS].
AB - High performance liquid chromatography-time-off-flight mass spectrometer (HPLC
TOFMS) technology coupled with partial least squares discriminant analysis (PLS
DA) processed by SIMCA-P software was applied to investigate serum endogenous
metabolites alternations of valsartan in spontaneous hypertension rats (SHR). And
MetPA platform was used to connect identified potential biomarkers in
corresponding metabolic pathways to find possible therapeutic mechanism of
valsartan. Valsartan significantly declined the blood pressure of SHRs (P < 0.05)
at fourth week. The metabolic profiling significantly changed and four
metabolites involved in G protein-coupled pathway were identified. Metabolomics
is able to detect holistic and microcosmic alternations in organism, so as to
elucidate therapeutic mechanism of drugs.
PMID- 26552151
TI - [UPLC-Q-TOF/MS analysis of phospholipids metabolite profiling in plasma of type 2
diabetes mellitus rat].
AB - This study reported the analysis of plasma phospholipid metabolism of the rats
and the pathological biomarkers between the type 2 diabetes model control group
(MC) and the normal control group (NC). SD rats were randomly divided into 2
groups: NC and MC. To investigate state of plasma metabolite profiling in normal
body, type 2 diabetes mellitus (T2DM) model group using UPLC-Q-TOF/MS which was
used as analysis tool in this research. The compounds were identified by UPLC-Q
TOF/MS based on MS/MS fragment ions information, element composition in MassLynx
4.1 and the Lipid Maps database. The sign of two groups of samples in specific
markers for screening was through a software package in R software (BioMark
software). The results show that the pathological markers were mainly
phosphatidylcholine (PC) and triglycerides (TG); the 2-acyl PC in the MC group
was less more obviously than that in the NC group; high carbon number and high
degree of unsaturation of the TG was reduced under the condition of type 2
diabetes. In the state of type 2 diabetes, metabolic changes occurred in rat
plasma phospholipids obviously, which had a close relationship with the
occurrence and development of T2DM.
PMID- 26552152
TI - [Simultaneous determination and quantitation of anthraquinones, lignans and
flavonoids in Xiao-Cheng-Qi Tang, Hou-Po-San-Wu Tang and Hou-Po-Da-Huang Tang by
HPLC].
AB - A simple and selective HPLC method for simultaneous determination and
quantification of anthraquinones, lignans and flavonoids in Xiao-Cheng-Qi Tang
(XCQT), Hou-Po-San-Wu Tang (HPSWT) and Hou-Po-Da-Huang Tang (HPDHT) was developed
and validated. An Agilent Zorbax SB-C 18 (4.6 mm x 250 mm, 5 um) column with the
mobile phase of acetonitrile and 0.5% acetic acid aqueous solution in gradient
elution mode was used. The flow rate was 1.0 mL . min(-1) at 30 degrees C, and
injection volume was 10 uL. The detection wavelength was set at 254 nm and 294 nm
simultaneously for the quantitative analysis. The current HPLC assay was
validated for linearity, intra-day and inter-day precisions, accuracy, recovery
and stability. The method was applied to the content comparison of the gallic
acid, cinnamic acid, sennoside A, sennoside B, rhein, emodin, aloe-emodin,
chrysophanol, physcion, magnolol, honokiol, narirutin, naringin, hesperidin,
neohesperidin, hesperetin, naringenin and nobiletin in XCQT, HPSWT and HPDHT. The
good linear equations of eighteen constituents were obtained within the
investigated ranges (r > 0.998). The recovery of the method was 94.28%-99.89% and
the precision was less than 5%. The sample was stable within 16 h. There were
some differences between the contents of anthraquinones, lignans and flavonoids
in analogous formulae about XCQT. XCQT contained the greatest abundance of
anthraquinones and flavonoid, HPSWT contained the greatest abundance lignans. In
conclusion, the methods are simple, low-cost, precise, accurate and reliable for
the determination of eighteen constituents in analogous formulae about XCQT, and
these results provide methodological support for its quality control.
PMID- 26552153
TI - [Mechanism of cellular uptake and transport mediated by integrin receptor
targeting trimethyl chitosan nanoparticles].
AB - This study investigated a nano drug delivery system built by one sort of modified
trimethyl chitosan (TMC). The TMC was modified by cRGDyk, ligand of integrin
receptor avbeta3. Single factor screening was used to optimize the prescription
in which the particle sizes of TMC nanoparticle (TMC NPs) and cRGDyk modified TMC
nanoparticle (C-TMC NPs) were (240.3 +/- 4.2) nm and (259.5 +/- 3.3) nm. Electric
potential of those two nanoparticles were (33.5 +/- 0.8) mV and (25.7 +/- 1.6)
mV. Encapsulation efficiencies were (76.0 +/- 2.2) % and (74.4 +/- 2.0) %. Drug
loading efficacies were (50.1 +/- 2.1) % and (26.1 +/- 1.0) %. Then the cellular
uptake, uptake mechanism and transport efficacy of TMC NPs and C-TMC NPs were
investigated using Caco-2 cell line. The uptake rate and accumulating drug
transit dose of C-TMC NPs were 1.98 and 2.84 times higher than TMC NPs,
separately. Mechanism investigations revealed that caveolae-mediated endocytosis,
clathrin-mediated endocytosis and macropinocytosis were involved in the
intercellular uptake of both TMC NPs and C-TMC NPs. What is more, free cRGDyk
could remarkably inhibit the uptake of C-TMC NPs.
PMID- 26552154
TI - [Preparation and in vitro evaluation of borneol and folic acid co-modified
doxorubicin loaded PAMAM drug delivery system].
AB - A novel targeting drug carrier (FA-BO-PAMAM) based on the PAMAM G5 dendrimer
modified with borneol (BO) and folic acid (FA) molecules on the periphery and
doxorubicin (DOX) loaded in the interior was designed and prepared to achieve the
purposes of enhancing the blood-brain barrier (BBB) transportation and improving
the drug accumulation in the glioma cells. 1H NMR was used to confirm the
synthesis of FA-BO-PAMAM; its morphology and mean size were analyzed by dynamic
light scattering (DLS) and transmission electron microscope (TEM). Based on the
HBMEC and C6 cells, cytotoxicity assay, transport across the BBB, cellular uptake
and anti-tumor activity in vitro were investigated to evaluate the properties of
nanocarriers in vitro. The results showed that the nanocarrier of FA-BO-PAMAM was
successfully synthesized, which was spherical in morphology with the average size
of (22.28 +/- 0.42) nm, and zeta potential of (7.6 +/- 0.89) mV. Cytotoxicity and
transport across the BBB assay showed that BO-modified conjugates decreased the
cytotoxicity of PAMAM against both HBMEC and C6 cells and exhibited higher BBB
transportation ability than BO-unmodified conjugates; moreover, modification with
FA increased the total uptake of DOX by C6 cells and enhanced the cytotoxicity of
DOX-polymer against C6 cells. Therefore, FA-BO-PAMAM is a promising nanodrug
delivery system in employing PAMAM as a drug carrier and treatment for brain
glioma.
PMID- 26552155
TI - [Mechanism of genuineness of Glycyrrhiza uralensis based on SNP of beta-Amyrin
synthase gene].
AB - beta-Amyrin synthase (beta-AS) genes of Glycyrrhiza uralensis from 6 different
regions were analyzed by PCR-SSCP and sequenced, then the correlationship between
beta-AS SNP and regions of Glycyrrhiza uralensis were determined. According to
the 1 coding single nucleotide polymorphism on the first exon of beta-AS gene at
94 bp site, Glycyrrhiza uralensis could be divided into 3 genotypes. In these
genotypes, the percentage of 94A type in genuine regions was much higher, and it
had significant differences with the percentage in non-genuine regions (P <
0.001). The results of the experiment proved that different beta-AS genotypes at
94 bp site from different regions may be one of the important reasons to result
in the genuineness of Glycyrrhiza uralensis.
PMID- 26552156
TI - [The expression of BmK AngM1 in Mut(s) and Mut(+) recombinants of Pichia
pastoris].
AB - BmK AngM1 is a long-chain scorpion toxin purified from the venom of Buthus
martensii Karsch. It has been reported to exhibit evident analgesic effect and
low toxicity, and has the potential to be a novel analgesic drug. The BmKAngM1
gene was transformed into Pichiapastoris GS115. Mut+ and Mut(s) recombinant
strains were screened by phenotype and Mut+ recombinant strains were used to
detect BmK AngMl gene copy number in the real-time PCR. Expression of BmK AngM1
in the Mut+ recombinant strain was compared with that of the Mut(s) recombinant
strain with the same single copy of BmK AngM1 gene under the same condition. The
results indicated that the transcription level of BmK AngM1 gene in the Mut(s)
recombinant strain was 2.7 fold of that in the Mut recombinant strain in the real
time PCR, and the expression of BmK AngM 1 in the Mut(s) recombinant strain was
1.5 fold of that in the Mut+ recombinant strain. Therefore, Mut(s) recombinant
strain showed better ability to express BmK AngM1 than Mut+ recombinant strain.
PMID- 26552157
TI - [Research advances on analysis of medicinal plants transcriptome].
AB - The transcriptome represents the whole complement of RNA transcripts in cells or
tissues and reflects the expressed genes at various life stages, tissue types,
physiological states, and environmental conditions. Transcriptomics study
concerning medicinal plants has become the most active area in medicinal plant
genome research. Transcriptome analysis provides a comprehensive understanding of
gene expression and its regulation. The study of its transcriptome has great
significance in solving the questions of genetic evolution, genetic breeding,
ecology and so on. Here we report the application status of transcriptomics in
medicinal plants based on emergence, development and methodology of
transcriptomics.
PMID- 26552158
TI - [Bioinformatics analysis and expressed level of histone methyltransferase genes
in Lonicera japonica].
AB - Twenty-three histone methyltransferase genes were obtained from transcriptome
dataset of Lonicera japonica. The nucleotide and proteins characteristics,
subcellular localization, senior structural domains and conservative forecasting
were analyzed. The result of phylogenetic tree showed that 23 histone
methyltransferases were mainly divided into two groups: lysine methyltransferase
and arginine methyltransferases. The result of gene expression showed that 23
histone methyltransferases showed preference in terms of interspecies and organs.
They were more expressed in buds of L. japonica than in L. japonica var.
chinensis and lower in leaves of L. japonica than in L. japonica var. chinensis.
Eight genes were specific expressed in flower. These results provided basis for
further understanding the function of histone methyltransferase and epigenetic
regulation of active ingredients of L. japonica.
PMID- 26552159
TI - [Data mining of simple sequence repeats in transcriptome sequences of Tibetan
medicinal plant Zangyinchen Swertia mussotii].
AB - MISA (MicroSAtelite) software was employed to screen SSRs in 68 787 contigs of
Swertia mussotii transcriptome sequences. 5 610 SSRs were distributed in 5 099
contigs which accounted for 7.41% of 68 787 contigs. There are 220 kinds of SSR
motifs existing in S. mussotii transcriptome. On average, SSRs occurred every
12.60 kb in length. In the SSRs, the tri-nucleotide repeat motif was the most
abundant (45.99%), followed by the di-nucleotide (41.62%). AT/TA and AAT/TTA were
the main types of motif in di-, tri-nucleotide repeats. The repeat numbers of
SSRs which from S. mussotii transcriptome SSRs were mainly from 5 to 10 and motif
length of them mostly ranged from 12 bp to 30 bp. A total of 30 651 contigs were
annotated, and only 1 447 SSRs were occurred in protein-coding regions. In the
six repeat motifs, tri-nucleotide repeats were the most abundant in coding
regions (928). There are abundant SSRs in S. mussotii transcriptome with high
frequency and various types, indicating their usefulness in theory. This research
may lay the foundation for designing the targeted SSR primers and developing SSR
molecular markers by mining the information of SSRs loci in S. mussotii
transcriptome sequences data.
PMID- 26552160
TI - [Identification and bioinformatics analysis of genes associated with MVA pathway
in Magnolia officinalis].
AB - Methyl valerate (MVA) pathway is one of the important ways for synthesis of
terpenoids. This study was based on data of the transcriptome sequencing of
Magnolia officinalis, the associated genes MoACOT, MoHMGS, MoHMGR, MoMK in methyl
valerate (MVA) pathway, were completed in detail by using bioinformatics methods.
The results of analysis showed that MoACOT and MoMK were stable hydrophobic
proteins, MoHMGS and MoHMGR were unstable hydrophobic protein. The secondary
structures of all proteins were hybrid architecture,and alpha helical were the
major motifs. There were no clear transmembrane domains in MoACOT, MoHMGS and
MoMK, but two transmembrane domains were founded in MoHMGR which were from 39-61
aa and 82-104 aa resepectively. The results of evolutionary relationship analysis
showed that MoACOT, MoHMGS, MoHMGR and MoMK had relative close relationship to
angiosperm or dicotyledonous plants, and accorded with genetic evolution rule.
From transcriptome data, transcripted level of MoACOT, MoHMGS, MoHMGR, MoMK in M.
officinalis and M. officinalis var. biloba was not significantly different. The
result provided theoretical reference for study on Methyl valerate (MVA) pathway
of terpenoid of M. officinalis.
PMID- 26552161
TI - [Transcriptome profiling and analysis of Panax japonicus var. major].
AB - The rhizome of Panax japonicus var. major have been used as the natural medicinal
agent by Chinese traditional doctors for more than thousand years. Most of the
therapeutic effects of P. japonicus var. major had been reported due to the
presence of tetracyclic or pentacyclic triterpene saponins. In this study,
Illumina pair-end RNA-sequencing and de novo splicing were done in order to
understand the pathway of triterpenoid saponins in this species. The valid reads
data of 15. 6 Gb were obtained. The 62 240 unigenes were finally obtained by de
novo splicing. After annotation, we discovered 19 unigenes involved in
ginsenoside backbone biosynthesis. Additionally, 69 unigenes and 18 unigenes were
predicted to have potential function of cytochrome P450 and UDP
glycosyltransferase based on the annotation results, which may encode enzymes
responsible for ginsenoside backbone modification. This study provides global
expressed datas for P. japonicus var. major, which will contribute significantly
to further genome-wide research and analysis for this species.
PMID- 26552162
TI - [Cloning and expression analysis of GGPPS gene from Panax notoginseng].
AB - According to the transcriptome dataset of Panax notoginseng, the key
geranylgeranyl pyrophosphate synthase gene (GGPPS) in terpenoid backbone
biosynthesis was selected to be cloned. Using specific primer pairs combining
with RACE (rapid amplification of cDNA ends) technique, the full-length cDNA
sequence with 1 203 bp, which containing a 1 035 bp open reading frame, was
cloned and named as PnGGPPS. The corresponding full-length DNA sequence contained
2 370 bp, consisted of 1 intron and 2 exons. The deduced protein PnGGPPS
contained 344 amino acids and shared more than 73% identity with GGPPS from
Ricinus communis and Salvia miltiorrhiza. PnGGPPS also had specific Aspartic acid
enrichment regions and other conserved domains, which belonged to the Isoprenoid
Biosyn-C1 superfamily. The quantitative real-time PCR showed that PnGGPPS
expressed in different tissues of 1, 2, 3 years old root, stem, leaf and 3 years
old flower, and the expression level in 3 years old leaf was significant higher
than that in other organs, which suggested that it might not only be involved in
the regulation of the growth and development, but also be associated with the
biosynthesis of chlorophyll and carotenoids, the development of chloroplast, the
shade habit and the quality formation of P. notoginseng.
PMID- 26552163
TI - [Advances in chemical constituents and bioactivity of Salvia genus].
AB - The genus Salvia in the family Lamiaceae with nearly 1 000 species, is widespread
in temperate and tropical regions around the world. Many species of genus Salvia
are important medicinal plants with a long history of which Danshen (the dried
roots and rhizomes of S. miltiorrhiza) is one of the most popular herbal
traditional medicines in Asian countries. The chemical constituents from Salvia
plants mainly contain sesquiterpenoids, diterpenoids, triterpenoids, steroids and
polyphenols etc, which exhibit antibacterial, antidermatophytic, antioxidant,
anti-inflammatory, antineoplastic, antiplatelet aggregation activities and so on.
In this article, the development of new constituents and their biological
activities of Salvia genus in the past five years were reviewed and summarized
for its further development and utilization.
PMID- 26552164
TI - [Comparison between Lysimachiae Herba and Desmodii Styracifolii Herba in
pharmacological activities].
AB - Lysimachiae Herba and Desmodii Styracifolii Herba are common traditional Chinese
medicines for treating lithiasis. Both of them have efficacies of clearing heat,
diuresis and eliminating calculi. However, there are some differences in their
clinic applications. The former is mainly used to treat hepatolithiasis,
gallstones, jaundice, stranguria and gout; Whereas the latter is mainly used to
treat urinary calculus. In this paper, the pharmacological effects of Lysimachiae
Herba and Desmodii Styracifolii Herba on removing calculus, choleresis, anti
inflammation and oxidation resistance were compared and analyzed based on
document retrieval. In conclusion, both of them show the preventive and
therapeutic effects on kidney stones and gallstones. Particularly, Desmodii
Styracifolii Herba has a better effect in treating the kidney stones, while
Lysimachiae Herba has a better effect in treating cholesterol gallstones.
PMID- 26552165
TI - [Graded ethanol precipitation method on physicochemical properties and
antioxidant activities of polysaccharides extracted from Astragalus Radix].
AB - Astragalus polysaccharide has been widely used in food and medicinal industry
owing to its health-promoting properties. In order to characterize better the
relationship among molecular weight, structure-activity and activities, a simple
method was used different concentration of ethanol including 30% (PW30), 50%
(PW50), 70% (PW70), 75% (PW75), 80% (PW80) and 90% (PW90) to precipitate
Astragalus polysaccharides into different molecular weight. As a result, PW90
showed smooth surface and the strongest antioxidant activity among these six
fractions (P < 0.05). In conclusion, graded ethanol precipitation was a simple
method to separate Astragalus polysaccharides into different molecular weight
with different antioxidant activity fractions.
PMID- 26552166
TI - [Weibull distribution for modeling drying of Angelicae Sinensis Radix and its
application in moisture dynamics].
AB - To establish the water dynamics model for drying process of Angelicae Sinensis
Radix, the Weibull distribution model was applied to study the moisture ratio
variation curves, and compared the drying rate and drying activation energy with
the drying methods of temperature controllable air drying, infrared drying under
different temperatures (50, 60, 70 degrees C). The Weibull distribution model
could well describe the drying curves, for the moisture ratio vs. drying time
profiled of the model showed high correlation (R2 = 0. 994-0. 999). The result
proved that the drying process of Angelicae Sinensis Radix belonged to falling
rate drying period. For the drying process, the scale parameter (a) was related
to the drying temperature, and decreased as the temperature increases. The shape
parameter (beta) for the same drying method, drying temperature had little impact
on the shape parameter. The moisture diffusion coefficient increase along with
temperature increasing from 0.425 x 10(-9) m2 x s(-1) to 2.260 x 10(-9) m2 x s(
1). The activation energy for moisture diffusion was 68.82, 29.60 kJ x mol(-1) by
temperature controllable air drying and infrared drying, respectively. Therefore,
the Weibull distribution model can be used to predict the moisture removal of
Angelicae Sinensis Radix in the drying process, which is great significance for
the drying process of prediction, control and process optimization. The results
provide the technical basis for the use of modern drying technology for
industrial drying of Angelicae Sinensis Radix.
PMID- 26552167
TI - [Application of microwave technology in extraction process of Guizhi Fuling
capsule].
AB - In this paper, optimization of the conditions of microwave technique in
extraction process of Guizhi Fuling capsule in the condition of a pilot scale was
carried out. First of all, through the single factor experiment investigation of
various factors, the overall impact tendency and range of each factor were
determined. Secondly, L9 (3(4)) orthogonal test optimization was used, and the
contents of gallic acid in liquid, paeoniflorin, benzoic acid, cinnamic acid,
benzoyl paeoniflorin, amygdalin of the liquid medicine were detected. The
extraction rate and comprehensive evaluation were calculated with the extraction
effect, as the judgment basis. Theoptimum extraction process of Guizhi Fuling
capsule by microwave technology was as follows: the ratio of liquid to solid was
6: 1 added to drinking water, the microwave power was 6 kW, extraction time was
20 min for 3 times. The process of the three batch of amplification through
verification, the results are stable, and compared with conventional water
extraction has the advantages of energy saving, time saving, high efficiency
advantages. The above results show the optimum extracting technology of high
efficiency, stable and feasible.
PMID- 26552168
TI - [Optimization of method for determination of Salviae Miltiorrhizae Radix et
Rhizoma].
AB - OBJECTIVE: To optimize the method in the Chinese Pharmacopoeia for determining
Salviae Miltiorrhizae Radix et Rhizoma. METHOD: Tanshinone II(A) and salvianolic
acid B were selected as the index in optimization of the sample preparation
method of Salviae Miltiorrhizae Radix et Rhizoma in Chinese Pharmacopoeia.
Orthogonal test was used to optimize the extraction process of Salviae
Miltiorrhizae Radix et Rhizoma, and concentration of contents were detected by
high performance liquid chromatography method. A detection of using methanol
water (85: 15) at wavelength of 270 nm was employed for tanshinone II(A) and a
detection of using methanol-acetonitrile-formic acid-water (30:10:1: 59) at
wavelength of 286 nm was employed for salvianolic acid B. RESULT: The optimized
extraction process of tanshinone II(A) and salvianolic acid B was: extracted by
90% methanol and reflux twice (0.5 h each time) at 75 degrees C, extracted by 70%
methanol and reflux twice (1.5 h each time) at 75 degrees C, respectively.
CONCLUSION: Optimized extraction and determination methods could be used to
reflect the content of tanshinone II(A) and salvianolic acid B in Salviae
Miltiorrhizae Radix et Rhizoma more accurately and efficiently.
PMID- 26552169
TI - [A new bisabolane-type sesquiterpenoid from Coreopsis tinctoria].
AB - To study the chemical constituents of the inflorescences of Coreopsis tinctoria
from Xinjiang, isolation and purification of constituents were carried out by
column chromatography on macroporous resin (D101) , MCI gel, MDS gel, silica gel,
Sephadex LH-20 and semi-preparative HPLC. The structures of the compounds were
identified by physicchemical properties and spectral data analysis. Fourteen
compounds were isolated and identified as coretinterpenoid A (1), coretinphenol
(2), quercetin (3), quercetin-3-O-beta-glucopyranoside (4), luteolin (5),
taxifolin (6), 7, 3', 5'-trihydroxyflavanone (7), isookanin (8), isookanin-7-O
beta-D-glucopyranoside (9), 5, 7, 3', 5'-tetrahydroxyflavanone-7-O-beta-D
glucopyranoside (10), butein (11), okanin (12), sulfuretin (13), and
linocinnamarin (14). Compound 1 was a new isabolane-type sesquiterpenoid and
compounds 4, 10 and 13 were isolated from this plant for the first time.
PMID- 26552170
TI - [Studies on chemical constituents from Psychotria straminea].
AB - The chemical consituents from Psychotria straminea were separated and purified by
column chromatographies on silica gel, Sephadex LH-20, ODS and RP-HPLC. The
strictures of the isolated compounds were identified on the basis of
physicochemical properties and spectroscopic analysis, as well as comparisons
with the data in literature. Sixteen compounds were isolated and elucidated as
tectochrysin (1), apigenin (2), kaempferol (3), luteolin (4), diosmetin (5),
quercetin (6), kaempferol-4'-O-methylether (7), rhamnetin (8), 7-hydroxycoumarin
(9), 7-methoxycoumarin (10), scopoletin (11), lupeol (12), 30-oxo-lupeol (13),
lupenyl acetate (14), alpha-amyrin (15), and ursolic acid (16). This is the first
study on the chemical composition of P. Straminea, and all compounds were
isolated from P. straminea for the first time. In addition to compounds 6 and 9,
the other compounds were isolated from the genus Psychotria for the first time.
PMID- 26552171
TI - [Chemical investigation of triterpenoids from Dichrocephala benthamii].
AB - The triterpenoids of Dichrocephala benthamii were investigated by means of silica
gel, Sephadex LH-20 and semi-preparative HPLC. Nine triterpenoids were isolated
from D. benthamii. By analysis of the EI-MS, NMR spectra and comparison to the
data reported in literatures, the structures of these compounds were determined
as beta-amyrin formiate (1), beta-amyrin acetate (2), beta-amyrenol (3), beta
amyrone (4), 3beta-hydroxy-olean-11, 13 (18)-diene (5) , Delta12-oleanene (6) ,
friedelin (7), dammaradienyl acetate (8), epi-friedeband (9), respectively.
Compounds 1-8 were isolated for the first time form this genus, compound 9 was
isolated for the first time from this plant, whereas beta-amyrin formiate (1) was
a new natural product.
PMID- 26552172
TI - [Chemical constituents from lipophilic parts in roots of Angelica dahurica var.
formosana cv. Chuanbaizhi].
AB - The chemical constituents from lipophilic parts in the roots of Angelica dahurica
var. formosana cv. Chuanbaizhi were studied in this paper. The compounds were
separated and purified by repeated column chromatographic methods on silica gel
and HPLC, and the chemical structures of compounds were determined by spectral
data analyses. Twenty-nine compounds were obtained and identified as
isoimperatorin (1), beta-sitosterol (2), imperatorin (3), bergapten (4), osthenol
(5), xanthotoxin (6), isoimpinellin (7), dehydrogeijerin (8), phellopterin (9),
isodemethylfuropinarine (10), 7-demethylsuberosin (11), alloimperatorin (12),
xanthotoxol (13), isooxypeucedanin (14), alloisoimperatorin (15),
demethylfuropinarine (16), 5-hydroxy-8-methoxypsoralen (17), oxypeucedanin
methanolate (18), pabulenol (19), byakangelicin (20), marmesin (21), (+)
decursinol (22), heraclenol (23), oxypeucedanin hydrate (24), marmesinin (25),
ulopterol (26), erythro-guaiacylglycerol-beta-ferulic acid ether (27), threo
guaiacylglycerol-beta-ferulic acid ether (28), and uracil (29). Compounds 5, 8,
11, 18, 21-23, and 26-28 were obtained from the roots of title plant for the
first time.
PMID- 26552173
TI - [Determine resource chemical component in ginkgo pollen simultaneously by UPLC-TQ
MS].
AB - The present study is to determine the flavonoid glycosides, terpene lactones,
biflavones, gingko acid and procyanidins of ginkgo pollen. UPLC-TQ-MS technology
was used for the determination of 24 kinds of resource chemical composition in
ginkgo pollen qualitatively and quantitatively. The results shows that the
contents of rutin, quercetion 3-O-[4-O-(alpha-L-rhamnosyl )-beta-D-glucoside] and
kaempferolis were 120.9, 114.0, 222.1 MUg x g(-1). In this paper, the contents of
24 kinds of chemical components of ginkgo pollen were determinated by UPLC-TQ-MS
for the first time. This method is simple and quick, which will be benefit for
recycling utilization of ginkgo pollen.
PMID- 26552174
TI - [Study on hepatotoxicity of aqueous extracts of Polygonum multiflorum in rats
after 28-day oral administration: cholestasis-related mechanism].
AB - OBJECTIVE: To study the effect of aqueous extracts of Polygonum multiflorum
(AEPM) on bile acid synthesis, metabolism and transfer-related molecules in rat
liver and the hepatotoxicity-related mechanism of P. multiflorum. METHOD: Sprague
Dawley rats were orally administered with 30, 60 g x kg(-1) APEM once everyday
for consecutively 28 days. At the end of the experiment, mRNA and protein
expressions of hepatic MRP3, MRP2, BSEP, FXR and CYP7A1 were detected by Real
time PCR and Western blot RESULT: Compared with the normal group, the AEPM high
dose group showed significant increases in mRNA expressions of hepatic MRP3 and
BSEP of male rats (P < 0.05); AEPM high and low dose groups revealed a notable
decrease in mRNA expressions of hepatic FXR (P < 0.05) and remarkable rises in
mRNA expressions of hepatic MRP3, MRP2, BSEP, CYP7A1 among female rats (P <
0.05). According to the test results of western blot assay, AEPM high and low
dose groups showed consistent changes in protein and mRNA expressions hepatic
MRP3, MRP2, BSEP, FXR, CYP7A1. CONCLUSION: The 28 oral administration with AEPM
in rats showed a certain effect on expressions of bile acid synthesis, metabolism
and transfer-related proteins, as well as cholestatic or choleretic effects in
the mRNA expression.
PMID- 26552175
TI - [OMT inhibited TGF-beta1-induced cardiac fibroblast proliferation via down
regulating p38MAPK phosphorylation in vitro].
AB - OBJECTIVE: To investigate the inhibitory effects of OMT on TGF-beta1-induced CFBs
proliferation, and then explore the mechanism. METHOD: The experiment was
randomly divided into 6 groups as following: control group (serum free DMEM),
model group (20 MUg x L(-1) TGF-beta1), OMT low dose group (1.89 x 10(-4) mol x
L(-1) + 20 MUg x L(-1) TGF-beta1), OMT medium dose group (3.78 x 10(-4) mol x L(
1) + 20 MUg x L(-1) TGF-beta1), OMT high dose group (7.56 x 10(-4) mol x L(-1) +
20 MUg x L(-1) TGF-beta1), SB203580 group (p38MAPK blocking agent, 1 x 10(-5) mol
x L(-1) + 20 MUg x L(-1) TGF-beta1). Vimentin of CFBs was identified by
immunocytochemical methods, alpha-SMA of myFBs as well. Inhibitory effects of OMT
on CFBs proliferation was detected by the MTT assay. Picric acid Sirius red
staining was analyzed collagen type I and collagen type III deposition. Western
blot was determined the expression of p38MAPK, p-p38MAPK, collagen type I and
collagen type III. RESULT: MTT results showed that OMT significantly inhibited
CFBs proliferation induced by TGF-beta1 (P < 0.01) alpha-SMA immunocytochemical
experiments suggested that OMT could protect against the CFBs proliferation. OMT
could significantly decrease the deposition of collagen type I and collagen type
III by Western bloting and picric acid Sirius red staining. Western blot results
showed that TGF-beta1 enhanced p38MAPK phosphorylation, however OMT attenuated
the phosphorylation of p38MAPK induced by TGF-beta1 (P < 0.01). CONCLUSION: OMT
can inhibit the CFBs proliferation induced by TGF-beta1, and its mechanism may be
involved in inhibiting p38MAPK phosphorylation.
PMID- 26552177
TI - [Effects of Kaixin San formulas on behavioristics and central monoamine
neurotransmitters of chronic stress rats].
AB - The efficacy of Chinese herbal formula in treating depression has been proved in
many studies. In this study, six different Kaixin San formulas were compared to
investigate their effects on central monoamine neurotransmitters of chronic
stress rats and against depression based on their different components in plasma,
in order to discuss the efficacy-comparability relationship and the possible
efficacy mechanism. The classic isolation method and the chronic unpredictable
mild stress (CUMS) depression model were combined to investigate the changes in
contents in hippocampus and monoamine neurotransmitters (NE, DA, 5-HT) and the
components of some formulas in plasma with HPLC and UPLC-Q-TOF-MSE methods. As a
result, Dingzhi Xiaowan recorded in Essential Recipes for Emergent Use Worth A
Thousand significantly increased the behavioral scores, NE and 5-HT contents in
hippocampus and NE, DA and 5-HT contents in cortex, with the best anti-depressant
effect. Dingzhi Xiaowan recorded in Complete Records of Ancient and Modern
Medical Works showed a notable increase in sucrose preference and open field
score in model rats, NE content in hippocampus and NE, DA and 5-HT contents in
cortex, with a certain anti anti-depressant effect. Kaixin San recorded in
Ishinpo showed remarkable rise in weight of model rats. NE content in hippocampus
and DA content in cortex. Puxin Decoction recorded in A Supplement to Recipes
Worth A Thousand Gold showed 5-HT content in hippocampus and DA content in
cortex. Kaixin San recorded in Yimenfang only showed DA content in cortex. Kaixin
Wan recorded in Essential Recipes for Emergent Use Worth A Thousand did not
mention the antidepressant effect. According to the results, the formulas'
different anti-depressant effects may be related to the different plasma
components.
PMID- 26552176
TI - [Effect of Gualou Xiebai Banxia decoction combined with Xuefu Zhuyu decoction on
myocardial apoptosis and relevant protein expressions in miniature swine phlegm
and blood stasis type coronary heart disease model].
AB - There have been very few studies on the effect of Gualou Xiebai Banxia decoction
combined with Xuefu Zhuyu decoction in inhibiting apoptosis in myocardial
ischemial injury caused by coronary heart disease. In this experiment, Gualou
Xiebai Banxia decoction combined with-Xuefu Zhuyu decoction were used to
intervene the miniature swine phlegm and blood stasis type coronary heart disease
model, in order to observe the effect of the combined prescription on the
myocardial apoptosis and the expressions of Bcl-2, Bax, Caspase-3, Caspase-9 in
the model. Totally 15 Chinese experimental miniature swine were adopted and
randomly divided into the control group, the model group and the phlegm and
stasis-treating group. The model group and the stasis-treating group were fed
with high fat diets for two weeks, intervened with the coronary artery injury and
then given drugs and high fat diets for eight weeks. The control group was fed
with ordinary diets for 10 weeks, without the coronary artery injury. After the
experiment, myocardia at the juncture of infracted areas were collected and made
into formalin-fixed paraffin sections. The TDT-mediate dUTP nick end labeling
(TUNEL) assay was used to detect the myocardial apoptosis. The
immunohistochemistry (IHC) technique was applied to detect Bcl-2, Bax, Caspase-3,
Caspase-9 levels in myocardial tissues. According to the findings, the apoptosis
indexes (AI) for the control group, the model group and the phlegm and stasis
treating group were 0.92%, 27.68%, 17.28%, respectively. The AI of the phlegm and
stasis-treating group was significantly lower than that of the model group (P <
0.01). Compared with the model group, the phlegm and stasis-treating group showed
significantly higher Bcl-2 protein expression (P < 0.01) and lower Bax, Caspase-3
and Caspase-9 protein expressions (P < 0.01). In conclusion, Gualou Xiebai Banxia
decoction combined with Xuefu Zhuyu decoction have a significant protective
effect against the myocardial apoptosis in miniature swine phlegm and blood
stasis type coronary heart disease model.
PMID- 26552178
TI - [Study on effect of tetramethylpyrazine on proliferation and apoptosis of
leukemic U937 cells and its mechanism].
AB - OBJECTIVE: To study the proliferation and apoptosis of tetramethylpyrazine (TMP)
on leukemic U937 cells and its possible mechanism. METHOD: The inhibitory effect
of TMP on the proliferation of U937 cells was detected by CCK-8 assay. The cell
apoptosis and cycle distribution were examined by the flow cytometry. The mRNA
expressions of bcl-2 and P27 were determined by the Real-time PCR. Western blot
was carried out to detect bcl-2, caspase-3, cyclin E1, CDK2 and P27 expressions.
RESULT: TMP inhibited the proliferation of U937 cells in a dose-and-time
dependent manner, with IC50 value of 160 mg x L(-1) at 48 h. In addition, TMP
could induce the apoptosis of U937 cells and block the cell cycle in G0/G1 phase.
According to the results of Real-time PCR and Western blot, TMP could down
regulate the expression of apoptosis-related molecule bcl-2, cycle-related
protein cyclin E1 and CDK2 and up-regulate caspase-3 and P27. CONCLUSION: TMP
shows the effects in inhibiting the proliferation of leukemic U937 cells and
inducing the apoptosis. Its mechanism may be related to the impacts on the cell
cycle distribution, down-regulation of the bcl-2 expression, which finally
activates caspase-3, starts the apoptosis path and causes the cell apoptosis.
PMID- 26552179
TI - [Effect of Ligusticum wallichii-containing serum on expressions of Toll-like
receptor 4 and myeloid differentiation factor 88 in hepatic stellate cells].
AB - To observe the effect of Ligusticum wallichii-containing serum on the expressions
of Toll-like receptor 4 and myeloid differentiation factor 88 in hepatic stellate
cells. Clean-grade SD rats were randomly divided into 5 groups and orally given
L. wallichii decoction, colchicine and normal saline for 7 d to prepare L.
wallichii-containing serums. Except for the blank group, all of the remaining
groups were stimulated with LPS 1 mg x L(-1) for 24 h. After being intervened,
the L. wallichii-containing serums were cultured in 5% CO2 incubator at 37
degrees C for 24 hours. The expression of TLR4 and MyD88 were detected by RT-PCR
and Western blot. After HSC was stimulated with LPS, TLR4 and MyD88 mRNA and
protein expressions were significantly higher than the blank control group (P <
0.01). After being intervened with L. wallichii-containing serum, TLR4 and MyD88
mRNA and protein expressions were notably lower than the model group (P < 0.05 or
P < 0.01). In conclusion, L. wallichii-containing serum could regulate the TLR4
signaling pathway and show the anti-fibrosis effect by inhibiting the expression
of TLR4 and MyD88 in LPS-induced HSCs.
PMID- 26552180
TI - [Study on material base of Ligusticum wallichii for treating brain ischemia and
its molecular mechanism based on molecular docking].
AB - To explore the effective ingredients and mechanism of Ligusticum wallichii in
treating brain ischemia. Four brain ischemia-related target proteins were
selected in the joint screening for the 45 component in L. wallichii reported in
literatures based on molecular docking by reference to the corresponding drugs in
the market. According to the docking results, multiple components in L.
wallichii, such as phthalides, were superior to the corresponding drugs in the
market, suggesting that they may be the major effective components in L.
wallichii for treating brain ischemia. The method can be used to study the
material base and molecular mechanism of traditional Chinese medicines.
PMID- 26552181
TI - [Numerical analysis on network characteristics of communities in herb-pairs
network].
AB - To interpret the traditional Chinese medicine (TCM) theory by the network
technology, in order to promote the modernization and programming of studies on
compatibility of TCMs. In this paper, efforts were made to express the direct
interactions between drugs through the herb-pair network, analyze the community
characteristics of the network and its relations with blood-Qi theory, and study
the expression of blood-Qi theory on the herb-pair network through prescriptions.
According to the findings, the herb-pairs network showed a strong community
structure characteristics; Each community is composed of a series of herb pairs
with close correlations, and either blood efficacy or Qi efficacy but not both of
them. Based on that, the 386 single TCM ingredients involved by the herb-pair
network were divided into three types of communities: Blood (B) community, Qi (Q)
community and uncertain community. According to the statistical results of 262
prescriptions mapped onto the three types of communities, if a prescription
contains single herbs of the Q community, the probability that it contains single
herbs o the B community is 99.84%; Meanwhile, there are 140 prescriptions
containing single herbs of both the Q community and the B community. The result
is completely coincident with the TCM Blood-Qi theory that single herbs belong to
both Q and B communities or the B community, because Qi regulation leads to blood
regulation, but not vice versa. For example, a patient with hemorrhage due to
trauma or blood-heat, Qi tonifying prescriptions may aggravate hemorrhage. In
this paper, authors found high-recognition macroscopic network numerical
characteristics to network data reference for judging rationality of new
prescriptions, and proved human blood and Qi relations from the perspective of
data analysis.
PMID- 26552182
TI - [Effect of Guizhi Fuling capsule and combination of active ingredients on rats
with uterine myoma].
AB - It is to observe the therapeutic action of Guizhi Fuling capsule and the
combination of active ingredients on model rats with uterine leiomyoma. The
hysteromyoma rats models was established in rats by loading eatrogen, to observe
the effect on pathological condition of uterus, uterus wet weight, the content of
estradiol and progesterone. Guizhi Fuling capsule and the combination of active
ingredients remarkably decreased uterus weight, restrained the excess
proliferation of the smooth muscle of uterus, decreased the estraiol and
progesterone in blood serum. Guizhi Fuling capsule and the combination of active
ingredients can restrain the formation of hysteromyoma in a dose-dependent
manner. Perhaps the combination of active ingredients is the material foundation
of antihysteromyoma.
PMID- 26552183
TI - [Study on effect of total matrines and extracts from Periplaneta americana on
negative endometrial cancer cell JEC of progesterone receptors].
AB - To study the effect of total matrines and extracts from Periplaneta americana on
negative endometrial cancer cell JEC of progesterone receptors. After detecting
the effect of total matrine, extracts from P. americana and their combination on
JEC cells' growth inhibition, cell cycle, P53 and c-erbB-2 gene protein
expressions through MTT, flow cytometry instrument and Western blot method, the
author found that, (1) MTT: total matrines and extracts from P. americana could
inhibit the growth of JEC cell, with significant increase in the inhibitory
effect in the combination group. (2) Flow cytometry instrument: the cell cycle at
G0/G1 increased after the treatment with total matrines, the cell cycle at G2/M
increased after the treatment with extracts from periplaneta americana, and the
ratio of G0/G1 cell cycle in the combination group was significantly higher than
the other groups, with inhibition in cell growth and statistical difference in
inter-group comparison (P < 0.05). (3) Western blot: the expression level of P53
increased and c-erbB-2 decreased after the treatment with total matrines,
extracts from P. americana and their combination on JEC cell, with statistical
difference in inter-group comparison (P < 0.05). The above results suggested that
total matrines, extracts from P. americana and their combination could induce
cell cycle arrest and inhibit the growth of JEC cell by up-regulating P53 and
down-regulating the c-erbB-2 level.
PMID- 26552184
TI - [Identification of the metabolites of Dingzhi Xiaowan extract in depressive rat
plasma, urine, feces and bile after intragastric administration].
AB - Dingzhi Xiaowan is a widely used traditional Chinese medicine in treating
depression, which is a similar formula of Kaixinsan. In this research, a rapid
ultra-performance liquid chromatography-quadrupole time-of-flight mass
spectrometry (UPLC-Q-TOF/MS(E)) method was established to analyze the metabolites
of Dingzhi Xiaowan in depressive model rat plasma, bile, urine and feces. After
we established Chronic unpredictable mild stress (CUMS) model rats and orally
administrated Dingzhi Xiaowan, rat plasma, bile, urine and feces samples were
collected and prepared. Using Waters Cortects UPLC C18 column (2.1 mm x 50 mm,
1.6 MUm), acetonitrile-0.1% formic acid mobile phase gradient, these samples were
analyzed and 33 metabolites of nine bioactive compounds were detected and
tentatively identified by Metabolynx. Among the 33 metabolites, three metabolites
were identified from plasma sample, three came from bile sample, and 27
metabolites were identified from urine and feces samples. This approach provided
a rapid method for characterizing the metabolites of Dingzhi Xiaowan and gave the
truly active structures and the action mechanism of their antidepressant effects.
PMID- 26552185
TI - [Toxicokinetics of bakuchiol, hepatic and renal toxicity in rats after single
oral administration of Psoraleae Fructus and combination with Glycyrrhizae Radix
et Rhizoma].
AB - To study the toxicokinetics of bakuchiol, hepatic and renal toxicity in rats
after single oral administration of Psoraleae Fructus and combined with
Glycyrrhizae Radix et Rhizoma, in order to provide scientific evidences for
clinical safe medication use. A total of 35 SD rats were randomly divided into
seven groups: vehicle (distilled water) control group, Glycyrrhizae Radix et
Rhizoma group, positive control (aristolochic acid A) group, Psoraleae Fructus
(40 g x kg(-1)) group( both male and female rats), Psoraleae Fructus and
Glycyrrhizae Radix et Rhizoma (40 +20) g x kg(-1) group (both male and female
rats). HPLC-UV method was used to determine the concentration of bakuchiol in rat
plasma at different time points after single oral administration. Plasma alanine
transaminase (ALT), aspartate aminotransferase (AST), blood urea nitrogen (BUN),
plasma creatinine (Cr), N-acetyl-beta-D-glucosaminidase (NAG) and kidney injury
molecule 1 (Kim-1) were measured after administration for 24 h. The main
toxicokinetics parameters of bakuchiol in rats exert significantly gender
difference. When Psoraleae Fructus combination with Glycyrrhizae Radix et
Rhizoma, the total area under the plasma concentration-time curve( AUC), C(max),
and plasma clearance (CL) of bakuchiol were increased, respectively; CL, half
life (t1/2) were decreased, and T(max) were prolonged. The biochemical indicators
(including ALT, AST, BUN, Cr and KIM-1 level) in different dose of Psoraleae
Fructus groups, were found no statistically significant difference when compared
with vehicle control group. The level of NAG in both Psoraleae Fructus and
compatibility with Glycyrrhizae Radix et Rhizoma groups were significant
increased (P < 0.05). There are obvious effects on toxicokinetics of bakuchiol in
rats when Psoraleae Fructus combined with Glycyrrhizae Radix et Rhizoma. Renal
toxicity induced by Psoraleae Fructus at high dose was observed after single oral
administration and no liver damage in rats was found.
PMID- 26552186
TI - [Mining analysis and experience summary for chronic atrophic gastritis cases
treated by Professor LIU Feng-bin].
AB - To summarize Professor LIU Feng-bin's clinical experience and theoretical
thoughts on chronic atrophic gastritis (CAG), the study group designed a
retrospective study on his case series and expert interview. First of all, the
data of CAG patients treated in the First Affiliated Hospital of Guangzhou
University of Chinese Medicine between 2009 and 2013, e. g. herbs, diseases,
syndrome type, prescription amount and number of herbs, was collected and
processed. The statistical description and binary logistic regression were used
to determined the syndrome type, initial basic remedy and modification. During
the statistics, a complete and sub-group analysis was performed simultaneously.
After the expert interview, the syndrome type and medication were finalized. As a
result, a total of 228 CAG patients aged at (50.30 +/- 10.18) were collected,
including 151 males (66.23%). Of them, the TCM diagnosis and syndrome type were
extracted from the information of 157 patients, including 115 cases with gastric
stuffiness, 23 cases with gastric pain, 19 missing cases, 2 cases with spleen
stomach weakness syndrome, 57 cases with spleen deficiency and dampness-heat
syndrome, 18 cases with spleen-stomach disharmony syndrome, 23 cases with
syndrome of liver depression syndrome, 21 cases with liver qi invading stomach
syndrome and 26 qi and yin deficiency syndrome, respectively. All of the 228
patients used totally 104 herbs, while the subgroups with 157 patients used 94
herbs. The most frequently used 15 herbs used in each groups were analyzed to
determine the initial basic remedy and modification. Subsequently, based on the
information of the sub-groups with 157 patients, with the syndrome type as the
dependent variable, the logistic regression analysis was made on the most
frequently used 32 herbs, in order to determined the modification in herbs for
different syndrome types. After experts reviewed and modified, they believed the
main causes of CAG were dietary irregularities, moodiness and weak constitution;
the pathogenesis of CAG was spleen deficiency with qi stagnation, heat depression
and blood stasis in the stomach meridian. The above six syndrome types and 12
herbs were determined, including Pseudostellariae Radix, Poria,
Atractylodismacrocephalae Rhizoma, Glycyrrhizae Radix et Rhizoma, Fritillariae
Thunbergii Bulbus, Sepiae Endoconcha, Arecae Pericarpium, Aurantii Fructus,
Perillae Caulis, Herba Hedyotis Diffusae, Scutellariae Barbatae Herba, Curcumae
Rhizoma. This study summarized Professor LIU Feng-bin's clinical experience and
theoretical thoughts of chronic atrophic gastritis based on clinical practice
data and expert interview, with a rigorous design and good scientificity and
practicability.
PMID- 26552187
TI - [Clinical study on Yihong Kangnaoshuan capsule in treating lacunar infarction
pure motor hemiparesis].
AB - With the treatment of lacunar cerebral infarction with pure motor hemiparesis
(PMH) attach importance to the treatment effect, application benefit of Yihong
Kangnaoshuan capsule in the treatment of further effect. Treatment of PMH is
mainly depending on the thorough discussion on the cause, to determine the
clinical value of treatment based on the principle of. Through the research of
Zhejiang People's Hospital of Fenghua from 2013 March to 2014 September 178 cases
of pure motor hemiparesis were the benefit of Yihong Kangnaoshuan capsule and
vedrin capsule treatment, compared the indexes of efficacy after treatment and
blood rheology. Finally found the benefits of Yihong Kangnaoshuan capsule in the
application process with high safety, efficacy and greater proportion. And in
favor of blood lipid and blood rheology indicators of stability, the repair of
neurological function is more. Therefore, clinicians should be applied benefit of
red brain thrombus capsule in the treatment of PMH. But the overall difference
between drugs is need to further comparison, in order to ensure clinical curative
effect.
PMID- 26552188
TI - [Rapid multi-elemental analysis on four precious Tibetan medicines based on LIBS
technique].
AB - The laser-induced breakdown spectroscopy (LIBS) was applied to perform a
qualitative elementary analysis on four precious Tibetan medicines, i. e. Renqing
Mangjue, Renqing Changjue, 25-herb coral pills and 25-herb pearl pills. The
specific spectra of the four Tibetan medicines were established. In the
experiment, Nd: YAG and 1 064 nm-baseband pulse laser were adopted to collect the
spectra. A laser beam focused on the surface of the samples to generate plasma.
Its spectral signal was detected by using spectrograph. Based on the National
Institute of Standard and Technology (NIST) database, LIBS spectral lines were
indentified. The four Tibetan medicines mainly included Ca, Na, K, Mg and other
elements and C-N molecular band. Specifically, Fe was detected in Renqing
Changjue and 25-herb pearl pills; heavy mental elements Hg and Cu were shown in
Renqing Mangjue and Renqing Changjue; Ag was found in Renqing Changjue. The
results demonstrated that LIBS is a reliable and rapid multi-element analysis on
the four Tibetan medicines. With Real-time, rapid and nondestructive advantages,
LIBS has a wide application prospect in the element analysis on ethnic medicines.
PMID- 26552189
TI - [Morphological and TLC identification on Tibetan medicine Asteris Flos].
AB - Tibetan medicine Asteris Flos is the flowers of Aster souliei, A. flaccidus, and
A. asteroides, with the function of clearing away heat and toxic matter,
relieving cough, and removing phlegm. In order to control the quality of Asteris
Flos, the morphological and chemical methods were established for identification
of three origins. The morphological features of three species were described and
photographed, and the microscopic characteristics of three drug powders were also
described in detail and pictured. The results showed that three origins of
Asteris Flos could be easily distinguished by their macro- and micro-morphologic
features, and a key for distinguishing the three origins was given. Moreover, a
TLC method, with apigenin-7-O-beta-D-glucurono pyranoside and chlorogenic acid as
chemical references, was also established for the identification of three
origins. The results showed that the TLC chromatograms of the flowers of A.
souliei and A. flaccidus were very similar, but different from that of A.
asteroides. The established macroscopic, powder microscopic and TLC methods for
identification of three origins of AF were simple, accurate, and reproducible,
and also effective and easy to operate.
PMID- 26552190
TI - [Discussion about risk and management of Chinese patent medicine with double
identity].
AB - Chinese patent medicine with double identity was a special phenomenon, and many
preparations not only were prescription drugs but also over the counter ( OTC)
drugs, which brought a lot of trouble. Based on statistics of list of OTC
medicines of CFDA, related varieties, route of administration and functions of
these drugs were searched. The causes of insufficient were analyzed and the
potential risk was investigated. To ensure the safety of drug usage for the
patient, risk management system should be set up by improving the technical
requirements for registration, improving the drug labels and manuals, playing the
role of pharmacists in pharmacy services and raising awareness of doctor and
patient for these drugs.
PMID- 26552191
TI - [Evolution, characteristics and enlightenment of self-innovation of traditional
Chinese medicine industry].
AB - Traditional Chinese medicine industry is China's strategic emerging industry with
great potential for self-innovation. Traditional Chinese medicine industry has
successively experienced four stages which are the foundation (laying stage), the
core status (establishing stage), the modern system (exploring stage), and the
modernization system (constructing stage). Throughout the evolution of the self
innovation in traditional Chinese medicine industry, it presents distinct
characteristics which we can explore the beneficial enlightenment.
PMID- 26552192
TI - [Efficacy analysis and theoretical study on Chinese herbal properties of Acai
(Euterpe oleracea)].
AB - Acai (Euterpe oleracea) emerged as a source of herb has a long history in South
America, which was approved by the Ministry of Health used in China and it has
been introduced planting in Guangdong and Taiwan. This article summarized applied
history of Acai and its present status in China. Did theoretical study on the
Chinese herbal properties of Acai based on the Chinese traditional philosophical
culture to analysis the function and symptom preliminary, combining with used for
medical recordation, chemical component, biological activity. It is aiming at
establishing the theoretical foundation for the application under the guidance of
TCM theory.
PMID- 26552193
TI - The challenges of end of life care in acute hospitals.
PMID- 26552194
TI - Issues post-stroke for Muslim people in maintaining the practice of salat
(prayer): A qualitative study.
AB - BACKGROUND: Muslims throughout the world perform salat (prayer) five times a day;
salat involves a person reciting the Holy Qur'an while being in several
positions. There are several steps that should be carried out before prayer,
including wudhu (ablution) and covering one's awrah (body). OBJECTIVES: To
identify educational needs for stroke patients and their caregivers in Malaysia.
Another purpose is to report on the needs identified by stroke patients and their
families related to salat. METHODS: Descriptive qualitative study. Phase 1
involved semi-structured interviews with stroke patients (n = 5), family
caregivers (n = 5) and health professionals (n = 12) in Kelantan Malaysia. Phase
2 involved presenting the findings from Phase 1 to the health professionals with
the aim of establishing priorities and processes to develop education strategies
for stroke patients and their families. RESULTS: Preparing for and performing
salat was challenging for both patient and family carers to do following a
stroke. Themes identified were prayer and the meaning of the stroke events for
participants, difficulties praying post-stroke, prayer as part of rehabilitation
therapy. CONCLUSION: Providing culturally safe care should include how nurses
assess and support patients and their caregivers post stroke to meet their prayer
needs. Nurses have a role in discussing with stroke patients and their families
how in addition to its spiritual and customary benefits, prayer and for Muslims
reciting the Holy Qur'an can have cognitive and rehabilitation benefits, as well
as being a source of psychological support for stroke patients.
PMID- 26552195
TI - The investigation of factors that influence self-care agency and daily life
activities among the elderly in the northern region of Turkey.
AB - BACKGROUND: The aim of this study was to determine the factors that influence
self-care agency and daily life activities among the elderly in the northern
region of Turkey. METHODS: This study of 372 elderly individuals was conducted
between October 13, 2007, and January 25, 2008. The data obtained in this study
was collected via a questionnaire and the Katz Basic Activities of Daily Living
Scale and Exercise of Self-Care Agency Scale. Descriptive statistics, Student's t
tests, one-way analysis of variance, and multiple regression analysis were used
to evaluate the data. RESULTS: Regression analysis performed to determine the
effects of sociodemographic and clinical features on the self-care agency of the
elderly revealed a statistically significant negative relationship. The multiple
regression correlation coefficient of this model was R = 0.635, and the corrected
R2 value was 0.23. This model determined the self-care agency score of the
elderly participants as 23%. The model is statistically significant (p < 0.05).
CONCLUSIONS: The scores obtained in this study indicate that the majority of the
elderly participants were independent; their levels of self-care agency were
determined as falling within the middle level.
PMID- 26552196
TI - The reasons students choose to undertake a nursing degree.
AB - BACKGROUND: Determining the reasons people choose to study nursing may help
educators and managers develop student-focussed and enticing nursing programmes.
In Australia, little research has been undertaken with students entering nursing
programmes and the reasons for their choice. OBJECTIVES: The aim of this study
was to determine why new students choose to enter nursing at university. DESIGN:
A descriptive survey design. SETTING: An urban university in Sydney, Australia.
PARTICIPANTS: Undergraduate nursing students at the beginning of their first year
of study. METHOD: An open-ended question relating to the reasons for students'
choice of a nursing programme was included in the survey. The transcribed textual
data were content analysed for words related to the students' choice. RESULTS:
The students' reasons for entering nursing programmes were both personal and
career related, with personal being more dominant. The reasons to start nursing
were: being able to help and care for people, job security, the ability to enter
tertiary education and the enjoyment or love of nursing. CONCLUSIONS: Nursing
remains a career of choice for young and mature students entering university. It
is seen to provide security, interest and opportunity to help and care for
others. Universities must focus on this as they develop programmes for a
generation where multiple changes of career appear inevitable during their
lifetime. The nursing profession needs to look at career pathways after
graduation that provide these challenges within nursing itself.
PMID- 26552197
TI - The challenges of undergraduate mental health nursing education from the
perspectives of heads of schools of nursing in Queensland, Australia.
AB - The shortage of a skilled mental health nursing workforce is persistent and
worsening. Research consistently demonstrates the inability of the comprehensive
model of nursing education to meet nursing workforce needs in mental health.
Introducing specialisation in mental health at undergraduate level has been
suggested as a strategy to address this problem. Exploration of barriers to this
educational approach is essential. The aim of this research is to examine with
Queensland Heads of Schools of Nursing, the perceived barriers to a specialist
mental health nursing stream within an undergraduate nursing programme.
Qualitative exploratory methods, involving in-depth telephone interviews with
Heads of Schools of Nursing in Queensland, Australia. Data were analysed
thematically. Participants encountered a number of barriers revealed in five main
themes: academic staffing; staff attitudes; funding and resource implications;
industry support; entry points and articulation pathways. Barriers to the
implementation of mental health nursing specialisation in undergraduate
programmes are evident. While these barriers pose real threats, potential
solutions are also evident. Most notably is the need for Schools of Nursing to
become more co-operative in mounting mental health nursing specialisations in a
smaller number of universities, where specialist expertise is identified. Quality
mental health services rely on a sufficiently skilled and knowledgeable nursing
workforce. To achieve this it is important to identify and implement the
educational approach best suited to prepare nurses for practice in this field.
PMID- 26552198
TI - Measures of clinical accuracy and indicators of the nursing diagnosis of delayed
surgical recovery.
AB - Delayed surgical recovery is a phenomenon of global concern that affects the
results and costs of postoperative care. The aim of this study was to verify the
accuracy of the defining characteristics of the diagnosis of delayed surgical
recovery in patients after the fifth day in postoperative care. A cross-sectional
observational study was conducted with 72 surgical patients to measure
sensitivity, specificity, positive and negative predictive values, positive
likelihood ratios and negative odds ratios. Diagnoses and the area under the ROC
curve were analysed to investigate the diagnostic accuracy of each defining
characteristic. Most patients were male (65.3%) with an average length of
education of 17.4 years (SD = 1.88) and a mean age of 57.39 years (SD = 16.04),
and 55 (76.4%) had the diagnosis of delayed surgical recovery. The variable time
after surgery showed a statistical relationship with the diagnosis of delayed
surgical recovery (p = .012). Seven characteristics showed high positive
predictive values: postpones resumption of work/employment activities, fatigue,
perception of needing more time to recover, requiring help to complete self-care,
report of discomfort, evidence of interrupted healing of the surgical area, and
difficulty in moving about. The only factor in the study that was associated with
the diagnosis was postoperative surgical site infection (p = .028).
PMID- 26552199
TI - Enhancing computer literacy and information retrieval skills: A rural and remote
nursing and midwifery workforce study.
AB - Nurses and midwives collectively, represent the largest workforce category in
rural and remote areas of Australia. Maintaining currency of practice and
attaining annual licensure with the Australian Health Practitioners Regulatory
Authority (AHPRA) present challenges for individual nurses and midwives and for
their health service managers. Engagement with information and communication
technologies, in order for geographically isolated clinicians to access ongoing
education and training, is considered a useful strategy to address such
challenges. This paper presents a pre- and post-test study design. It examines
the impact of an online continuing professional development (CPD) program on
Australian rural nurses and midwives. The aims of the program were to increase
basic skill acquisition in the utilisation of common computer software, the use
of the Internet and the enhancement of email communication. Findings from the
study demonstrate that participants who complete a relevant CPD program gain
confidence in the use of information and communication technologies. Further,
increased confidence leads to increased access to contemporary, reliable and
important health care information on the Internet, in addition to clinicians
adopting email as a regular method of communication. Health care employers
commonly assume employees are skilled users of information and communication
technologies. However, findings from this study contradict such assumptions. It
is argued in the recommendations that health care employees should be given
regular access to CPD programs designed to introduce them to information and
communication technologies. Developing knowledge and skills in this area has the
potential to improve staff productivity, raise health care standards and improve
patient outcomes.
PMID- 26552200
TI - Learning and assessing competence in reflective practice: Student evaluation of
the relative value of aspects of an integrated, interactive reflective practice
syllabus.
AB - Although the literature is rich with information on the value of reflective
practice, there is a paucity of information on techniques to assess whether
professionals have learnt this essential skill. In this article, we describe the
introduction and evaluation of an innovative, integrated, interactive approach to
teaching and assessing competence in reflective practice using an online self
directed learning package. As part of a new staff start up scholarship of
teaching and learning grant project in an Australian university, we converted an
existing one day reflective practice workshop for undergraduate nursing students
to an interactive online learning package that could also be applicable for
students in other health professions. The assessment of learning in the package
was integrated with overall clinical competence assessment using Tanner's
Clinical Judgement Model and Lasater's Clinical Judgement rubric to enable
immediate online feedback to students on their progress. In this article, we
focus on those aspects of the package that students evaluated as most beneficial
to their learning, specifically immediate feedback by lecturers guided by
Lasater's rubric.
PMID- 26552201
TI - Effects of team-based learning on perceived teamwork and academic performance in
a health assessment subject.
AB - The purpose of this study was to examine the effectiveness of team-based learning
(a well-recognized learning and teaching strategy), applied in a health
assessment subject, on nursing students' perceived teamwork (team-efficacy and
team skills) and academic performance (individual and team readiness assurance
tests, and examination scores). A prospective, one-group, pre- and post-test
design enrolled a convenience sample of 74 second-year nursing students at a
university in Suwon, Korea. Team-based learning was applied in a 2-credit health
assessment subject over a 16-week semester. All students received written
material one week before each class for readiness preparation. After
administering individual- and team-readiness assurance tests consecutively, the
subject instructor gave immediate feedback and delivered a mini-lecture to the
students. Finally, students carried out skill based application exercises. The
findings showed significant improvements in the mean scores of students'
perceived teamwork after the introduction of team-based learning. In addition,
team-efficacy was associated with team-adaptability skills and team-interpersonal
skills. Regarding academic performance, team readiness assurance tests were
significantly higher than individual readiness assurance tests over time.
Individual readiness assurance tests were significantly related with examination
scores, while team readiness assurance tests were correlated with team-efficacy
and team-interpersonal skills. The application of team-based learning in a health
assessment subject can enhance students' perceived teamwork and academic
performance. This finding suggests that team-based learning may be an effective
learning and teaching strategy for improving team-work of nursing students, who
need to collaborate and effectively communicate with health care providers to
improve patients' health.
PMID- 26552202
TI - Is there a relationship between the diversity characteristics of nursing students
and their clinical placement experiences? A literature review.
AB - BACKGROUND: There has been an increase in ethno-cultural, linguistic, and socio
demographical diversity in students enrolling in undergraduate nursing programs.
Diversity also involves other characteristics, but little is known about how
diversity impacts on the clinical experiences of nursing students. AIM: The aim
of this review is to identify studies which describe the clinical placement
experiences of nursing students who have a broad range of diversity
characteristics. METHODS: Major databases were searched and original studies
published from 2003 to 30 June 2013 were eligible for inclusion. An expanded
definition of diversity was used to include characteristics such as ethnicity,
language, age, religion, gender, socioeconomic status, carer responsibilities,
sexual orientation and special needs/disability. FINDINGS: Male gender and
speaking English as a second language are diversity characteristics associated
with a less positive clinical experience. These students are also more likely to
leave their nursing program. Mature-aged students and those from ethnic minority
groups were also noted to have a less positive clinical experience and in some
cases, this also increased attrition. However, it was difficult to determine the
impact of these characteristics alone as they appeared to be linked with other
characteristics such as financial difficulties and carer responsibilities in the
case of mature-aged students, and language and international student status in
the case of ethnicity. CONCLUSIONS: Given the significant benefits associated
with preparing a diverse nursing workforce, it is an imperative to better
understand the impact of diversity on nursing students to ensure that every
placement becomes a positive and valuable learning experience.
PMID- 26552203
TI - The challenge of nurse innovation in the Australian context of universal health
care.
AB - As nursing pushes further into the realm of primary health care in Australia, an
understanding of the challenges to achieving reasonable federal funding of
nursing services needs to be understood. This understanding is underpinned by a
comprehensive understanding of the concept of universal health care, how the
concept relates to the Australian health care context, and the resultant
challenges to innovation in health care service delivery in Australia. Universal
health care is a global mission and was the most recent theme for the
International Council of Nurses Congress in Australia. Universal health care as a
concept represents a fundamental shift from the development and funding of
discrete interventions or programmes, to that of developing systems of health
care. The three critical elements required are a clear definition of what is
considered health care and funded for who, how the system is financed, and
evaluation. Australia has a system of universal health care and all three
elements are addressed. Organised medicine, a key objector to the introduction of
the current approach to universal health care in Australia, soon adapted to it,
and now fiercely resists change. Medico centricity poses challenges to
sustainability as innovation is inhibited. This challenge is illustrated through
consideration of the implementation of the financial policy that gave Nurse
Practitioners access as providers and prescribers within Medicare funded
services.
PMID- 26552204
TI - Psychosocial and environmental distress resulting from a volcanic eruption: Study
protocol.
AB - AIM: To examine the psychosocial and environmental distress resulting from the
2010 eruption of the Merapi volcano and explore the experience of living in an
environment damaged by a volcanic eruption. BACKGROUND: Natural disasters cause
psychosocial responses in survivors. While volcanic eruptions are an example of a
natural disaster, little is currently known about the psychosocial impact on
survivors. Volcanic eruptions also cause degradation of the environment, which is
linked to environmental distress. However, little is currently known of this
phenomenon. DESIGN: An explanatory mixed method study. METHODS: The research will
be divided into three phases. The first phase will involve instrument
modification, translation and testing. The second phase will involve a survey to
a larger sample using the modified and tested questionnaire. The third phase will
involve the collection of interviews from a sub set of the same participants as
the second phase. DISCUSSION: Quantitative data will be analyzed to determine the
extent of psychosocial and environmental distress experienced by the
participants. Qualitative data will be analyzed to explain the variation among
the participants. The results of the study will be used to develop strategies to
support survivors in the future and to help ameliorate distress.
PMID- 26552205
TI - Heart health in Lebanon and considerations for addressing the burden of
cardiovascular disease.
AB - INTRODUCTION: Lebanon is a small country located at the western boundary of the
Middle East. Approximately 40% of health care in Lebanon is financed by the
public sector. Cardiovascular diseases in Lebanon are scarcely addressed in the
literature raising the need for baseline data on these health condition to be
better treated. AIM: To (1) aggregate and define the burden of cardiovascular
disease in Lebanon and (2) describe implications for policy, practice and
research to improve health outcomes in Lebanon. METHOD: An integrative review was
conducted of both peer-reviewed papers and unpublished reports. CINAHL, Medline,
Google Scholar and Academic Search Complete were searched along with the websites
of The World Health Organization, Ministry of Public Health Lebanon and Central
Intelligence Agency of Lebanon. No year limit was applied to our search. RESULTS:
The search yielded 28 peer-reviewed articles and 15 reports. Cardiovascular
diseases are the leading cause of morbidity and mortality in Lebanon and is also
the primary cause of hospital admission. A range of social, political, economic
and cultural factors explain the burden of cardiovascular diseases, some of these
risks are culture specific such as the arghile smoking and the high rates of
familial hypercholesterolemia. Workforce shortage produced by high rates of
migrating nurses also has an implication on the patients' outcomes. Conclusion:
Much of the presented data are sourced from the gray literature; more research,
using systematic and prospective data collection methods, are needed to inform
health services planning, delivery and evaluation. Primary care needs to be
enhanced to produce better outcomes for a population with high profile of
cardiovascular risk factors.
PMID- 26552206
TI - History as reflective practice: A model for integrating historical studies into
nurse education.
AB - The role of history in developing professional identity in nursing is well known,
and the discipline of nursing history research continues to flourish. Yet this
work often struggles to find its way into undergraduate university nurse
education courses. We put forward a model for "history as reflective practice" in
which we suggest that historical studies can be used as a form of evidence to
develop critical thinking and clinical reasoning, as well as situate nursing
practice within its social and political context. In this model, we draw on
historical scholarship related to the profession, practice and person, focusing
on work which demonstrates nursing's contribution to broader systems of health
care. Drawing on Lewenson and Lynaugh's 'history by stealth' approach, curriculum
mapping and constructive alignment techniques are used to identify the moments in
an existing programme where historical scholarship is relevant to an intended
learning outcome. We then use an interdisciplinary team to develop learning
activities and assessment tasks drawing on both primary and secondary sources
that are then embedded within existing subjects. This model encourages students
to consider history as a way of knowing and as a form of evidence within their
reflective practice. Furthermore, it creates knowledge that continues to foster
and acknowledge nurses', and nursing's, contribution to the development of human
health.
PMID- 26552207
TI - [Platelets and erectile dysfunction].
AB - Platelets, small pieces of cytoplasm with biological activity, split and fall off
the megakaryocytes and mature from the bone marrow. After stimulated, platelets
produce nitric oxide to inhibit their own activation and aggregation.
Pathologically, the injury of endothelial cells activates platelets and changes
their functions. The release of inflammatory mediators and cytokines induces and
enhances the development and progression of atherosclerosis, and thereby promotes
the occurrence of erectile dysfunction. Besides, platelets and their related
functional parameters may serve as important indicators in the diagnosis and
treatment of erectile dysfunction.
PMID- 26552208
TI - [Correlation between the expression of Pim-1 and androgen-deprivation therapy for
prostate cancer].
AB - OBJECTIVE: To investigate the expression of the Pim-1 gene in the LNCaP cells of
the animal model of orthotopically implanted prostate cancer by surgical
castration simulating androgen-deprivation therapy. METHODS: We equally allocated
32 male BALBc-nu mice into 4 groups, androgen-dependent prostate cancer (ADPC),
androgen-deprivation therapy (ADT) , castration-resistant prostate cancer (CRPC)
and blank control, and established the models of orthotopically implanted tumor
using human prostate cancer LNCaP cells. We detected and ,compared the
expressions of Pim-1, PSA, and androgen receptor (AR) in the tumor tissues of
different groups by RT-PCR. qRT-PCR, ELSIA and immunohistochemistry. RESULTS: The
relative gray scales in the ADPC and CRPC groups were 0.59 +/- 0.01 and 1.14 +/-
0.02, with statistically significant differences from 0.62 +/- 0.03 in the ADT
group (P < 0.05), and the Delta Ct values of Pim-1 were 6.15 +/- 0.34 and 4.56 +/
0.23 in the former two groups, also with significant differences from 5.11 +/-
0.21 in the latter (P < 0.05). The results of 2-DeltaDelta Ct relative
quantification analysis showed that the amplification products of Pim-1 in the
ADT and CRPC groups increased 2.05 and 3.01 times respectively that of the ADPC
group. The concentration of PSA was significantly higher in the ADPC ([480 +/-
25] pg/ml) and CRPC ([870 +/- 23] pg/ml) than in the ADT ([170 +/- 32] pg/ml) and
blank control groups (0 ug/L) (P < 0.01). The mean optical densities of Pim-1 and
AR proteins were 0.017 +/- 0.002 and 0.032 +/- 0.009 in the ADPC group and 0.024
+/- 0.002 and 0.040 +/- 0.011 in the CRPC group, both with significant
differences from those in the ADT group (0.018 +/- 0.001 and 0.019 +/- 0.006) (P
< 0.01). CONCLUSION: Pim-1 is highly expressed in nude mice with prostate cancer
receiving androgen-deprivation therapy and plays an important role in the
progression and metastasis of prostate cancer.
PMID- 26552209
TI - [Crypotanshione reduces the expression of metadherin in DU145 prostate cancer
cells].
AB - OBJECTIVE: To investigate the effects of crypotanshinone (CPT) on the
proliferation and apoptosis of DU145 prostate cancer cells as well as on the
metadherin expression and the downstream PI3K/AKT signaling pathway in the DU145
cells. METHODS: We treated DU145 prostate cancer cells with different
concentrations of CPT for 24, 48, and 72 hours followed by evaluation of the
proliferation and apoptosis of the cells by MTT assay and TUNEL, respectively. We
determined the expressions of metadherin protein and mRNA in the DU145 cells by
Western blot and RT-PCR respectively at different time points after CPT
treatment. We also detected the expressions of the proteins metadherin, AKT, p
AKT, and Bcl-2 in the CPT-treated DU145 cells at 48 hours. RESULTS: CPT
significantly inhibited the proliferation of the DU145 cells in a dose- and time
dependent manner (P < 0.05). After treatment with 10 umol/L CPT for 24, 48, and
72 hours, the apoptosis rates of the DU145 cells were (29.42 +/- 4.51), (55.07 +/
5.67) and (70.84 +/- 4.66)%, respectively, significantly higher than (3.1 +/-
2.48)% in the control group (P < 0.05). The expression of metadherin was
remarkably downregulated at the transcription and translation levels (P < 0.05)
and the expressions of the AKT signaling pathway and the Bcl-2 protein were
markedly inhibited in the DU145 cells after treated with 10 umol/L CPT for 48
hours (P < 0.05). CONCLUSION: CPT can inhibit the proliferation and induce the
apoptosis of DU145 prostate cancer cells, which may be associated with its
suppression of the downstream PI3K/AKT signaling pathway by reducing the
expression of metadherin in the DU145 cells.
PMID- 26552210
TI - [Indirubin inhibits the proliferation of prostate cancer PC-3 cells].
AB - OBJECTIVE: To explore the antitumoral effect of indirubin on androgen-independent
prostate cancer PC-3 cells and its possible mechanisms. METHODS: We measured the
inhibitory effect of indirubin on the proliferation of prostate cancer PC-3 cells
using MTT assay, detected their cell cycles by flow cytometry, and determined the
expressions of the cell cycle regulatory protein cyclin D1 and its related
downstream gene c-myc by Western blot. RESULTS: The viability of the PC-3 cells
was significantly decreased by indirubin in a concentration-dependent manner,
reduced to 52. 2% and 13. 6% at 5 and 10 umol/L, respectively. The cell cycle of
the PC-3 cells was markedly inhibited by indirubin at 5 umol/L, with the cells
remarkably increased in the G0 and G1 phases and decreased in the S and G2/M
phases. Meanwhile, indirubin also inhibited the expressions of cyclin D1 and c
myc in the Wnt signaling pathway. CONCLUSION: Indirubin can suppress the
proliferation of androgen-independent prostate cancer PC-3 cells, which may be
associated with its inhibitory effect on the cell cycle and Wnt signaling
pathway.
PMID- 26552211
TI - [Effects of long non-coding RNA-HOTAIR on the cell cycle and invasiveness of
prostate cancer].
AB - OBJECTIVE: To investigate the expression of long non-coding RNA-HOTAIR in
prostate cancer cells and its effects on the growth and metastasis of the cells.
METHODS: Using quantitative reverse-transcription PCR (qRT-PCR), we determined
the relative expression of HOTAIR in the normal human prostate epithelial cell
line RWPE-I and prostate cancer cell lines PC-3 and DU145. We detected the
effects of HOTAIR on the cell cycle and invasiveness of prostate cancer cells by
RNA interference, flow cytometry, and Transwell mitration assay. RESULTS: The
expressions of HOTAIR in the PC3 and DU145 cells were increased 3.2 and 5.7
times, respectively, as compared with that in the normal RWPE-1 cells. After si
HOTAIR interference, the prostate cancer cells were arrested in the G2 phase and
downregulated in the G1 phase. The invasive ability of the prostate cancer cells
was evidently inhibited, with the inhibition rates of 32% and 44% of the PC3
cells and 43% and 34% of the DU145 cells for si-HOTAIR1 and si-HOTAIR2,
respectively. CONCLUSION: IncRNA HOTAIR is highly expressed in prostate cancer,
which is associated with the growth and invasiveness of prostate cancer cells.
HOTAIR is potentially a novel marker for the diagnosis and prognosis of prostate
cancer.
PMID- 26552212
TI - [Gefitineb inhibits the growth and induces the apoptosis of mouse I-10 Leydig
testicular cancer cells in vitro].
AB - OBJECTIVE: To observe the inhibitory effect of gefitineb on the proliferation and
its inducing effect on the apoptosis of mouse I-10 Leydig testicular cancer cells
in vitro. METHODS: We treated I-10 Leydig testicular cancer cells of mice with
gefitineb at 0, 1.25, 2.5, 5, 10, 20, and 40 umol/L. Then we determined the
inhibitory effect of gefitineb on the growth of the cells by MTT, detected their
early and late apoptosis by Annexin V-FITC/propidium iodide double staining and
Hoechst 33258 nuclear staining, respectively, and observed the expressions of
apoptosis-related proteins Bcl-2, Bax and caspase 3/9 by Western blot. RESULTS:
Compared with the blank control group, gefitineb significantly inhibited the
proliferation of the I-10 cells at 10 and 20 umol/L (P < 0.05). The survival rate
of the cells was (32.4 +/- 2.8)% (P < 0.01) and their early and late apoptosis
rates were (26.7 +/- 4.2)% and (59.33 +/- 10.2)% in the 40 umol/L group,
significantly different from those in the control (P < 0.05 and P <0.01). In
comparison with the blank control group, gefitineb at 10, 20, and 40 umol/L
increased the expression of pro-apoptotic protein Bax by (41.9 +/- 7.1), (60.1 +/
9.8), and (69.0 +/- 11.3)% (all P < 0.05), decreased that of apoptosis
inhibitory protein Bcl-2 by (50.3 +/- 8.9), (63.9 +/- 6.9), and (88.7 +/- 13.9)%
(all P < 0.05), and elevated that of the cleft proteins caspase-3 by (69.0 +/-
6.9)% (P < 0.05), (71.5 +/- 8.1)% (P < 0.05), and (110.9 +/- 14.2)% (P < 0.01)
and caspase-9 by (51.8 +/- 4.9), (54.7 +/- 6.7), and (43.8 +/- 11.8)% (all P <
0.05). CONCLUSION: Gefitineb can increase the cytotoxicity of I-10 Leydig
testicular cancer cells of mice and induce their apoptosis via the mitochondria
mediated apoptosis signaling pathway.
PMID- 26552213
TI - [Effects and complications of five surgical approaches to the treatment of
varicocele: A comparative study].
AB - OBJECTIVE: To compare the effects and complications of subinguinal microscopic
ligation, laparoscopic transperitoneal varicocelectomy, laparoscopic
retroperitoneal varicocelectomy, open retroperitoneal high ligation, and
interventional embolotherapy in the treatment of varicocele. METHODS: We
conducted a retrospective study that included 632 varicocele patients treated by
subinguinal microscopic ligation (group A, n = 79), laparoscopic transperitoneal
varicocelectomy (group B, n = 120), laparoscopic retroperitoneal varicocelectomy
(group C, n =137), open retroperitoneal high ligation (group D, n = 283), and
interventional embolotherapy (group E, n = 13). We compared the baseline and 3
month postoperative semen parameters, postoperative complications, and pregnancy
rate among the five groups of patients. RESULTS: The operation time was longer in
groups A ([2.02 +/- 1.25] h) and E ([2.17 +/- 1.02] h) than in the other three
groups, while the postoperative hospital stay was the shortest in group E ([1.1
+/- 0.1] d). Intestinal injury or incision bleeding occurred intraoperatively in
2 cases in group B and 1 case in group E. Postoperative scrotal edema developed
in 3.7, 17, 10, and 19% of the patients in groups A, B, C, and D, respectively,
but not in group E. The rate of 1-year recurrence was the lowest in group A
(1.6%) and highest in group E (22%). Sperm concentration and the percentages of
progressively motile sperm and morphologically normal sperm were improved
postoperatively in all the patients (P < 0. 05), but there were no statistically
significant differences among the five groups either in the above three
parameters or in the postoperative pregnancy rate (P > 0. 05). CONCLUSION: In the
surgical treatment of varicocele, laparoscopic retroperitoneal approach involves
short operation time and few complications, subinguinal microscopic ligation has
the advantages of little injury, rapid recovery, and few complications but
requires specialized microsurgical techniques, and interventional embolotherapy
leaves no incision scar and needs only local anesthesia and 1-day postoperative
hospital stay, which is uitable for those with a contraindication to anesthesia.
PMID- 26552214
TI - [Testicular teratoma in children: Analysis of 64 cases].
AB - OBJECTIVE: To improve the diagnosis and treatment of testicular teratoma in
children by analysis of clinical data. METHODS: We retrospectively analyzed the
clinical data about 64 cases of testicular teratoma treated in the Children's
Hospital of Chongqing Medical University from 1995 to 2014. RESULTS: Sixty-one of
the cases presented painless scrotal mass with a sense of bearing down and the
other 3 cases were confirmed because of empty scrotum diagnosed as
cryptorchidism. The level of serum alpha fetal protein ( AFP) was obviously
increased in 46 cases but normal in the other 18 preoperatively. Ultrasonography
manifested abnormal inhomogeneous echo zones with calcification or necrosis. X
ray examination presented patchy or curvilinear high-density shadows in 28 cases.
Forty-one of the patients underwent testis-sparing surgery (TSS) , 20 received
high inguinal orchiectomy, and 3 refused surgical treatment. Pathological
examination revealed 3 mature germinal layers in the 49 cases of mature teratoma
and immature germinal tissue, including the original neural tube, and 11 cases of
immature teratoma. The mature cases were exempted from chemotherapy, while the
immature cases received the combination of cisplatin, etoposide, and bleomycin
(PEB). The patients were followed up for 2 years postoperatively, which revealed
no recurrence or metastasis. CONCLUSION: Most children with testicular teratoma
presented painless scrotal mass with a sense of bearing down and with abnormal
serum AFP in most cases. Ultrasonography and plain radiography of the scrotum
contribute to the diagnosis of the tumor. TSS is the main treatment option and
intraoperative frozen-section can help the surgeons decide on the surgical mode.
Postoperative chemotherapy is necessitated for immature teratoma but not for
mature cases.
PMID- 26552215
TI - [Polypropylene mesh for testicular prothesis implantation: A report of 57 cases].
AB - OBJECTIVE: To search for an optimum method for testicular prothesis implantation
in the treatment of testis loss. METHODS: We retrospectively analyzed the
surgical methods and outcomes of 53 cases of terminal prostate cancer and 4 cases
of unilateral testicular torsion treated by implantation of testicular prothesis
with the polypropylene mesh. RESULTS: The 57 male patients all received
testicular prothesis with the polypropylene mesh. All the patients were satisfied
with the appearance and size of the scrotum after surgery. No scrotal hematoma,
prosthesis infection, or autoimmune disease occurred postoperatively. CONCLUSION:
Testis loss is not a rare condition clinically, for the treatment of which
surgical implantation of testicular prothesis with the polypropylene mesh can
achieve both a fine tissue compatibility and a desirable scrotal appearance.
PMID- 26552216
TI - [Intracytoplasmic sperm injection does not improve the clinical outcomes of the
males with 100% teratozoospermia].
AB - OBJECTIVE: To investigate whether intracytoplasmic sperm injection (ICSI) can
improve the clinical outcomes of the male patients with 100% teratozoospermia.
METHODS: We retrospectively analyzed the clinical data of 152 couples undergoing
in vitro fertilization-embryo transfer (IVF-ET), including 75 cycles of IVF and
77 cycles of ICSI. We compared the rates of normal fertilization, high-quality
embryos, transferrable embryos, implantation, clinical pregnancy, and abortion
between the two groups. RESULTS: In the 100% teratozoospermia patients the number
of transferrable embryos was significantly lower in the IVF than in the ICSI
group (78.91% vs 84.92%, P < 0.05), while the rates of normal fertilization and
implantation were higher in the former than in the latter (60.26% vs 57.87% and
48.00% vs 39.55%, both P > 0.05). There were no statistically significant
differences between the two groups in the female age, Gn days, Gn dose, BMI,
infertility duration, endometrial thickness, and basal serum FSH and E2.
CONCLUSION: ICSI cannot improve the clinical outcomes of the male patients with
100% teratozoospermia.
PMID- 26552217
TI - [Morinda Officinalis How improves cellphone radiation-induced abnormality of LH
and LHR in male rats].
AB - OBJECTIVE: To investigate the effects of Morina Officinalis How (MOH) on the
abnormal levels of serum luteotrophic hormone (LH) and LH receptor (LHR) in the
testis tissue induced by cellphone radiation (CPR) in rats. METHODS: Fifty adult
male SD rats were randomly divided into five groups of equal number: sham CPR,
untreated CPR, negative double distilled water (DDW) control, aqueous MOH
extract, and alcohol MOH extract. All the animals were exposed to mobile phone
radiation except those of the sham CPR group. Then, the rats of the latter two
groups were treated intragastrically with MOH at 20 g per kg of the body weight
per day in water and alcohol, respectively. After 2. weeks of treatment, all the
rats were sacrificed for measurement of the levels of serum LH and LHR in the
testis tissue. RESULTS: The levels of serum LH and LHR were 30.15 +/- 8.71 and
33.28 +/- 6.61 in the aqueous MOH group and 0.96 +/- 0.06 and 0.94 +/- 0.08 in
the alcohol MOH group, both significantly decreased as compared with the negative
DDW controls (P < 0.05), but with no remarkable difference between the two MOH
groups (P > 0.05). CONCLUSION: MOH can improve CPR-induced abnormality of LH and
LHR in adult male rats.
PMID- 26552218
TI - [Protective effect of Danxuetong injection against testicular injury after testis
torsion/detorsion in rats].
AB - OBJECTIVE: To investigate the protective effect of Danxuetong injection (DXT, a
combination of Danshen and Xueshuantong injections) against testicular ischemia
reperfusion injury following testis torsion/detorsion in rats. METHODS: Thirty
two 4-week-old healthy male SD rats were randomly divided into four groups of
equal number: sham operation, normal saline, single DXT injection, and successive
DXT injection. The rat models of testicular ischemia-reperfusion injury were
established by 2-hour 720-degree torsion/detorsion of the unilateral testis. At 6
weeks after modeling, the rats were killed and their testes were harvested for
measure- ment of testicular coefficients, sperm counts, sperm motility, and the
levels of total anti-oxidative capacity (T-AOC) , superoxide dismutase (SOD) ,
nitric oxide synthase (NOS) , and malondialdehyde ( MDA) in the testis tissue.
RESULTS: Compared with the rats of the normal saline group, those of the single
DXT injection and successive DXT injection groups showed significant increases in
the testicular coefficient (0.11 +/- 0.03 vs 0.35 +/- 0.04 and 0.40 +/- 0.06, P <
0.05), sperm count ([0.46 +/- 0.10] vs [1.44 +/- 0.50] and [3.00 +/- 1.28]
x10(9)/ml, P < 0.05), sperm motility ([13.63 +/- 14.04] vs [39.63 +/- 5.04] and
[76.31 +/- 3.67]%, P < 0.05), the activity of SOD (72.76 +/- 5.58 vs 116.25 +/-
8.83 and 133.20 +/- 13.84, P < 0.05), and the level of T-AOC (5.58 +/- 1.07 vs
13.34 +/- 5.81 and 19.21 +/- 5.69, P < 0.05), but a remarkable decrease in the
content of MDA (42.38 +/- 8.94 vs 20.94 +/- 5.65 and 15.02 +/- 1.03, P < 0. 05)
in the injured testes. CONCLUSION: DXT can effectively rid the testis tissue of
oxygen free radicals, improve sperm count and motility by antioxidation, and
protect the testis tissue of prepubertal rats against testicular ischemia
reperfusion injury after testis torsion/detorsion. It also has a protective
effect on the contralateral testis, and successive injection has a better effect
than single injection of DXT.
PMID- 26552219
TI - [Kidney-tonifying Chinese medicine for male infertility: A systematic review of
randomized controlled trials].
AB - OBJECTIVE: To systematically evaluate the efficacy and safety of kidney-tonifying
traditional Chinese medicine in the treatment of male infertility. METHODS: Based
on the principles and methods of Cochrane systematic reviews, we searched CNKI,
VIP, and Wanfang databases from inception to December 2012 for randomized
controlled clinical trials addressing the treatment of male infertility with
kidney-tonifying traditional Chinese medicine. According to the inclusion and
exclusion criteria and retrieval strategies, we extracted the data, evaluated the
quality of the included literature, and conducted meta-analysis using the RevMan
5. 2 software. RESULTS: Twenty trials involving 2,272 patients were included, and
the sample size of each study was from 60 to 270 cases. All the studies were
graded as of poor quality, with Jadad scores of no more than 3 points. The
results of meta-analysis showed that the total effectiveness rate of traditional
Chinese medicine versus Western medicine on male infertility was RR = 1.71, 95%
CI 1.19-2.47, and that of Chinese-Western combined therapy versus Western
medicine was RR = 1.15, 95% CI 1.01-1.30. Both traditional Chinese medicine and
Chinese-Western combined therapy showed a significantly better total
effectiveness than Western medicine alone in improving the pregnancy rate without
serious adverse reactions. CONCLUSION: Due to the poor methodological quality and
high heterogeneity of the included studies, the evidence for the efficacy and
safety of kidney-tonifying traditional Chinese drugs in the treatment of male
infertility is of but limited value, and further validation is needed by more
high-quality studies.
PMID- 26552220
TI - [Application of saw palmetto fruit extract in the treatment of prostate
diseases].
AB - Saw palmetto fruit extract (SPE), as a herbal product, is widely used for the
treatment of benign prostatic hyperplasia (BPH) and lower urinary tract symptoms
(LUTS). Recent studies show that SPE also has some therapeutic effects on chronic
prostatitis, prostate cancer, sexual dysfunction, and so on. This article
presents an overview on the application of SPE in the treatment of BPH, prostate
cancer, and chronic prostatitis/chronic pelvic pain syndrome, with a discussion
on its action mechanisms.
PMID- 26552221
TI - [Epithelial mesenchymal transition in prostate cancer: Advances in current
research].
AB - Epithelial mesenchymal transition (EMT) is a process of normal cell physiological
development, in which epithelial cells transform into mesenchyme cells through a
specific program. EMT plays a key role in inflammatory reaction, cell
development, tumor invasion, and metastasis and has an interrelation with
prostate cancer stem cells. Recent researches show the involvement of EMT in the
development and metastasis of prostate cancer. This article reviews the specific
roles and action mechanisms of EMT in the progression of prostate cancer.
PMID- 26552222
TI - [Advances in the studies of concealed penis].
AB - Concealed penis is usually found in children, which affects the patients both
physiologically and psychologically. Some of the patients are wrongly treated by
circumcision, which may bring about serious consequences to the sexual life of
the patients in their adulthood. In the recent years, this disease has been
receiving more and more attention from both doctors and parents. However,
controversies remain as to its classification, pathogenesis, pathology, and
treatment. This paper focuses on the understanding and advances in the studies of
concealed penis.
PMID- 26552223
TI - [Computed tomography in evaluating the development of different types of
pulmonary fibrosis in patients with interstitial lung diseases].
AB - OBJECTIVE: to assess the favorable and unfavorable types of lung tissue fibrotic
changes in patients with interstitial lung diseases (ILD) detected by high
resolution computed tomography (HRCT). MATERIAL AND METHODS. The results of
examinations were analyzed in 385 patients: 181 with respiratory organ
sarcoidosis, 130 with fibrosing alveolitis, 36 with histiocytosis X, and 38 with
lymphangiolciomyomatosis. All the patients underwent HRCT; the data were compared
with the results of comprehensive functional study of external respiration
(CFSER), histological examination (in 70.1%), and the pattern of the disease.
RESULTS: Comparison of the clinical and functional course of ILD with the types
of lung tissue fibrotic changes detected by HRCT and morphological examination
showed that the favorable types of pulmonary fibrosis included stringy central
and peripheral interstitial fibrotic changes and the atelectatic type of
fibrosis, the occurrence of which failed to affect the development of obvious
perfusion and diffusion disorders and to give rise to respiratory failure. The
unfavorable types of pulmonary fibrosis included the peripheral pulmonary
interstitial fibrotic changes (acinar fibrosis, honeycomb lung), which led to
restrictive changes and perfusion disorders, which were accompanied by
significant respiratory failure, decreases in quality of life and survival, as
well as fibrotic changes in the walls of long-lasting air-containing cysts and a
fibrotic Aevity mass that resulted Ind complications (pulmonary hemorrhage,
pneumothorax, and pneumomediastinum). CONCLUSION: The type of pulmonary fibrosis
development is one of the major prognostic criteria for he course of ILD. HRCT
makes it possible to assess its clinical picture as a whole and to reveal the
type of development of fibrotic changes, their extent, the degree of involvement
of the tracheobronchial tree in the process (formation of different types of
bronchiectasis), concomitant extrapulmonary changes that may be clinically and
functionally relevant (chest bone frame deformation, varying pleural changes,
vascular disorders). Estimation of prognosis of the disease is most effective in
comparing clinical, morphological, HRCT, and CFSER data.
PMID- 26552224
TI - [Radio morphological changes in the femoral and tibial condyles in patients with
arthrosis deformans of the knee].
AB - OBJECTIVE: to investigate the quantitative X-ray manifestations of gonarthrosis
in patients in different age groups. MATERIAL AND METHODS: The results of
radiography, computed tomography, and 64-slice multidetector computed tomography
(MDCT) were analyzed in 86 patients aged 18 to 70 years with arthrosis deformans
of the knee. RESULTS: Knee radiographic anatomic changes in arthrosis deformans
are accompanied by the characteristic rearrangement of the femoral and tibial
condyles. Quantitative bone density changes appear as an overall decrease in the
bone mineral density of the femoral and tibial condyles in 18-35-year-old
patients with arthrosis deformans (p<0.05) and a relative increase in that of
medial tibial condyles in patients older than 55/60 years, in those with genu
varum in particular. CONCLUSION: In the patients with arthrosis deformans, the
bone radio morphological changes detected by MDCT have a much wider range of
qualitative and quantitative characteristics. The data on condylar bone density
are directly related to a treatment choice. Data postprocessing using the current
visualization programs of working stations identifies minor bone structural
changes that are also of importance in solving the tactical problems of medical
and surgical treatments.
PMID- 26552225
TI - [Magnetic resonance semiotics of prostate cancer according to the PI-RADS
classification. The clinical diagnostic algorithm of a study].
AB - OBJECTIVE: to elaborate the magnetic resonance imaging (MRI) signs of prostate
cancer (PC) in accordance with the PI-RADS classification during multiparametric
MRI (mpMRI). MATERIAL AND METHODS: A total of 89 men aged 20 to 82 years were
examined. A control group consisted of 8 (9%) healthy volunteers younger than 30
years of age with no urological history to obtain control images and MRI plots
and 20 (22.5%) men aged 26-76 years, whose morphological changes were
inflammatory and hyperplastic. The second age-matched group included 61 (68.5%)
patients diagnosed with prostate cancer at morphological examination. A set of
studies included digital rectal examination, serum prostate-specific antigen, and
transrectal ultrasound-guided prostate biopsy. All the patients underwent
prostate mpMRI applying a 3.0 T Achieva MRI scanner (Philips, the Netherlands).
RESULTS: The patients have been found to have mpMRI signs that were typical of
PC; its MRI semiotics according to the PI-RADS classification is presented. Each
mpMRI procedure has been determined to be of importance and informative value in
detecting PC. CONCLUSION: The comprehensive mpMRI approach to diagnosing PC
improves the quality and diagnostic value of prostate MRI.
PMID- 26552226
TI - [Determination of the efficiency of single-photon emission computed tomography
with 99mTc-HMPAO-labelled leukocytes in the diagnosis of myocarditis: Comparison
of scintigraphic and histological data].
AB - OBJECTIVE: to determine the efficiency of single-photon emission computed
tomography (SPECT) with 99mTc-HMPAO- labelled leukocytes in diagnosing
myocarditis, by comparing scintigraphic and histological data. MATERIAL AND
METHODS: The investigation enrolled 35 patients with suspected myocarditis, who
were planned to undergo coronaroventriculography or intervention with
endomyocardial biopsy. Prior to endomyocardial biopsy, all the patients underwent
myocardial scintigraphy using 99mTC-exametazime-labelled leukocytes. The results
of myocardial scintigraphic and histological examinations were compared. RESULTS:
Abnormal myocardial 99mTc-HMPAO-labelled leukocyte accumulation was detected in 7
(20%) examinees. Myocarditis was histologically verified in 9 (25.7%) persons.
Our findings showed that the sensitivity of 99mTc-HMPAO-labelled leukocyte SPECT
in diagnosing myocardial inflammatory changes was 62%; its specificity and
diagnostic accuracy were 92% and 85%, respectively. Conclusion. 99mTc- HMPAO
labelled leukocyte scintigraphy is today one of a few procedures for the primary
noninvasive diagnosis of myocardial inflammation. However, in view of its
sufficiently low sensitivity and laboriousness and the sigh cost of consumables,
the technique is irrationally used in routine clinical practice.
PMID- 26552227
TI - [Cystic duplication of the jejunum].
AB - The paper presents a clinical case of jejunal duplication, a rare anomaly of the
gastrointestinal tract. It describes an algorithm for hospital diagnostic and
therapeutic measures. The capacities of radiodiagnostic studies, such as
ultrasonography and magnetic resonance imaging, applied to this clinical case,
are analyzed. The paper is supplemented by a concise review of the literature. An
update suggests that there are diagnostic difficulties in this anomaly, even when
a complete set of radiation techniques is applied and trained specialists
participate.
PMID- 26552228
TI - [Phantom kidney at angionephroscintigraphy].
AB - Based on the analysis of the data available in the literature and own clinical
observations, the authors consider the diagnostic value of the little known
scintigraphic phantom kidney phenomenon, a vascular pool that is detected at the
angiographic stage of 99mTc-DTPA dynamic renal scintigraphy and that mimics a
removed or absent kidney. The paper describes two cases of the phantom kidney. In
one case, the phantom kidney detected on the side of nephrectomy manifested a
kidney cancer recurrence in the bed of the removed organ; in the other, the
kidney-like vascular pool in the patient with left-sided pelvic dystopic kidney
was due to the recording of the intestinal vasculature at the site of the
expected kidney location. Adherence to a number of methodical conditions for
conducting a study, as well as combined single-photon emission computed
tomography/computed tomography examination will be able to avoid interpretation
errors and to ensure an adequate further diagnostic algorithm.
PMID- 26552229
TI - [Radiographic assessment of pulmonary hypertension: Methodical aspects].
AB - Pulmonary hypertension is a menacing complication of a number of diseases, which
is responsible for high mortality rates and considerably poorer quality of life
in a patient. The timely detection for pulmonary hypertension allows timely
initiation of treatment, thus improvement in prognosis in the patient. Chest X
ray is the most commonly used radiographic technique for various causes.
Physicians' awareness about the radiographic manifestations of pulmonary
hypertension may contribute to the earlier detection of this severe disease.
Owing to the natural contrast of reflected structures, a chest X-ray film gives a
unique opportunity to assess pulmonary circulation vessels, to reveal the signs
of pulmonary hypertension, and to estimate trends in the course of the disease.
The paper details a procedure for analysis and the normal radiographic anatomy of
pulmonary circulation vessels, gives the present classification of pulmonary
hypertension, and sets forth its X-ray semiotics.
PMID- 26552230
TI - [Magnetic resonance imaging of the liver and spleen in the diagnosis of storage
diseases].
AB - Storage diseases (thesaurismoses, storage reticuloses) are the common name of a
large group of hyperplastic non-leukemic diseases characterized by congenital or
acquired metabolic disturbances and abnormal accumulation of metabolic products
in blood and/or cells of different organs and by hyperplasia of mononuclear
phagocyte elements in the liver, spleen, bone marrow, lymph nodes, and other
organs, which makes the diseases systemic. Among the imaging techniques for
diffuse liver diseases, ultrasonography and X-ray computed tomography are most
commonly used for their diagnosis and follow-up. Magnetic resonance imaging (MRI)
has the highest sensitivity and specificity in diagnosing liver diseases. The
paper considers the current MRI procedures that are used to diagnose storage
diseases and to quantify found changes. For Gaucher's disease, the potentials of
novel techniques, such as MR spectroscopy, diffusion-weighted imaging (DWI), and
chemical shift imaging (Dickson's method) for the estimation of revealed changes,
are described. For hemochromatosis, the contribution of T2 WI to the
quantification of iron overload in the liver parenchyma is depicted, which is an
alternative invasive procedure in its determination. Incorporation of MRI into
the examination algorithm for patients with storage diseases will be able to
improve the detection of these rare diseases and to monitor the efficiency of
performed therapy.
PMID- 26552231
TI - [Radiographic evaluation of cone-beam computed tomography for oral implants:
maxillary sinus].
AB - Cone-beam computed tomography (CBCT) has an important function in understanding
implant operations. CBCT can be used to evaluate the basic condition of implant
site before implant operation and decide whether it is suitable for implanting.
CBCT also ensures whether the direction of implant and the operation method are
satisfactory. CBCT can be used pre- or post-operation as long as the case
involves the maxillary sinus. Clinical implant cases using CBCT were introduced
to evaluate the maxillary sinus pre- or post-operation.
PMID- 26552232
TI - [Dentists should improve the ability to deal with medical emergencies in dental
clinic].
AB - In recent years, the number of outpatients in stomatological hospital is in
increasing year by year and being accompanied by the corresponding medical risks.
One of the risks which may endanger the patient life is medical emergency which
need emergency treatment by the dentists in dental clinical practice. The most
common emergency type is syncope, followed by hyperventilation, drug overdose,
adrenaline reaction and hypertension, etc. Unexpected events mainly occurred at
the end of the treatment and before leaving the dental clinic, during or
immediately after the local anesthesia, in the treatment process. Tooth
extraction related emergency is the most frequently occurring emergency, followed
by the local anesthesia related ones. It's strongly suggested that dentists
should pay more attention to outpatient clinical emergency treatment, and related
knowledge and skills training should be offered to improve the ability to handle
medical emergencies.
PMID- 26552233
TI - [Variations in protein concentration and albumin/globulin ratio of whole
unstimulated saliva obtained from healthy people and patients with chronic
periodontitis].
AB - OBJECTIVE: We detected and analyzed the clinical values of total protein (TP),
albumin (ALB), globulin (GLB), and ALB/GLB ratio (A/G) of whole unstimulated
saliva of healthy people to determine the time of day when saliva composition is
relatively stable. We compared the protein concentration and A/G of whole
unstimulated saliva of patients with chronic periodontitis with those of healthy
volunteers to provide references for diagnostic methods and clinical applications
of saliva. METHODS: The whole saliva of 37 healthy subjects were collected at
8:00, 9:30, 11:30, 13:00, 16:30, and 21:00. Meanwhile, the whole saliva of 24
patients with periodontitis was collected in the morning. Bicinchoninic acid
method was used to detect the TP content. Saliva ALB was detected by GF-D800 semi
automatic biochemical analyzer, and the GLB and A/G were calculated. Finally, the
results were statistically analyzed using SPSS 19.0. RESULTS: Salivary protein
compositions were stable in the morning on an empty stomach. Healthy people: TP,
(1 354.35+/-389.52) ug.mL-1; ALB, (139.55+/-27.19) ug.mL-1; GLB, (1 211.80+/
360.73) ug.mL-1; A/G, 0.126 3+/-0.041 7. Subjects with chronic periodontitis: TP,
(2 611.56+/-231.62) ug.mL-1; ALB, (296.27+/-17.34) ug.mL-1; GLB, (2 315.69+/
221.67) ug.mL-1; A/G, 0.156 2+/-0.017 3. The contents of TP, ALB, and GLB in
healthy individuals at different periods within a day showed significant
differences (P<0.05), which were mainly reflected in the levels before and after
meals. No significant difference was detected in A/G. The concentrations of TP,
ALB, and GLB were significantly increased in patients with chronic periodontitis
compared with those in healthy volunteers. However, no significant difference
existed in A/G. CONCLUSION: Salivary protein compositions are more stable in the
morning than in other periods. Thus, mornings can be set as the time of specimen
collection in future research. The concentrations of TP, ALB, and GLB in patients
with chronic periodontitis are higher than those in healthy people.
PMID- 26552234
TI - [Expression of connexin 43 gene during early dental development in zebra fish].
AB - OBJECTIVE: This study aims to investigate the expression of connexin 43 (cx43)
gene during early development in zebra fish and provide a foundation for further
research of cx43 gene in tooth development. METHODS: Total RNA was extracted
within 72 h after fertilization of zebra fish embryos and then reversed
transcribed to generate the cDNA library. The specific fragments of the cx43 gene
were then cloned and connected to the PGEMT vector. After confirming the
constructed plasmid, the corresponding RNA polymerase was chosen, and the digoxin
labeled anti-sense mRNA probe of cx43 was synthesized in vitro. The cx43 gene
expression of zebra fish indifferent stages was carried out by in situ
hybridization. The relationship of the cx43 gene expression and anatomy of the
pharyngeal teeth were compared by alizarin red staining. RESULTS: The mRNA
antisense probe of cx43 was acquired. The positive signal of sepia was observed
in the different stages of zebra fish pharyngeal teeth after fertilization. After
fertilization for 9 days, the expression site of cx43 in situ hybridization was
overlapped in accordance with the anatomical site of the pharyngeal teeth.
CONCLUSION: cx43 gene participates in tooth development and mineralization
process and plays a crucial role in later mineralization.
PMID- 26552235
TI - [Topography and mechanical property of goat temporomandibular joint disc cells].
AB - OBJECTIVE: This study is performed to investigate the cell topographies and
biomechanical properties of two different types of temporomandibular joint (TMJ)
discs from goats by using JPK Nano Wizard 3 biological atomic force microscopy
(AFM). This process provides a guideline for selecting seed cells for TMJ disc
tissue engineering. METHODS: TMJ disc cells from primary goats were cultured by
monolayer culture method. AFM was used to contact scan the topographies of the
two types of TMJ disc cells under physiological environment. Approximately 20
chondrocyte-like and fibroblast-like cells were selected randomly to plot the
force-versus-distance curves of the cytoplasm and nucleus. Young's modulus and
adhesion were analyzed by JPK Data Processing. RESULTS: The triangle
shapednucleus of the chondrocyte-like cell occupied a large portion of the cell.
Cytoskeleton was arranged dendritically on the surface. Pseudopodia were extended
from cell edges. The spindle-shaped nucleus of the fibroblast-like cell occupied
a significantly larger region compared with the cytoplasmic region. Cytoskeleton
was arranged regularly. Cell edges were smooth with less pseudopodia extended. No
difference was found in the surface roughness between the two types of cells.
According to the force-versus-distance curves, the Young's moduli of the two
types of cells were not statistically different (P>0.05), but differences were
found in the cytoplasmic regions (P=0.047). No statistical difference was found
in the adhesions between the two types of cells (P>0.05). CONCLUSION: The AFM
topography and curves were compared and analyzed. The two types of TMJ disc cells
exhibited significantly different topographies, but only slight difference in
their mechanical abilities.
PMID- 26552236
TI - [Study on lingual mucosa carcinogenesis of C57BL/6 mice induced by 4
nitroquinoline 1-oxide].
AB - OBJECTIVE: This study aimed to induce carcinogenesis of lingual mucosa in C57BL/6
mice by feeding them 4-nitroquinoline 1-oxide (4NQO) solution. METHODS: A total
of 85 C57BL/6 mice were randomly divided into distilled water control group (DD
group, n=5), 1,2-propylene glycol control group (PG group, n=5), and experimental
group (EP group, n= 75). The mice in the experimental group were medially fed in
15 cages. By contrast, the mice in DD, EP, and PG groups were watered with
distilled water, 50 mg.L-1 4NQO solution, and 1,2-propylene glycol solution. The
mice in EP group were executed every two weeks from week 0, and the mice in the
control groups were sacrificed at the 28th week. The mice were weighed. Mucosal
lesions were measured by macroscopic observation and histopathologic detection.
RESULTS: One mouse in EP group died of unknown reason. The weight of the mice in
EP group presented weight loss compared with the mice in DD and PG groups after
the 24th week. Seventy-nine macroscopic lesions were observed in the lingual
mucosa, oral floor, and upper palatal and buccal mucosa. A total of 70
macroscopic lesions (88.6%) were located in the lingual mucosa. Mucosal lesions
changed from simple hyperplasia to squamous cell carcinomas. Well-differentiated
squamous cell carcinomas were observed in all mice of EP group by pathological
section at the 28th week. No lesion was found in the mice of DD and PG groups.
CONCLUSION: The animal model of lingual squamous cell carcinomas was successfully
established. The periods from 12th to 16th week and 20th to 28th week were the
ideal times for the research on pathogenesis of early and medial-advanced stage
during carcinogenesis of squamous cell carcinomas.
PMID- 26552237
TI - [Effect of topically applied recombinant adenovirus of adiponectin on bone defect
repair].
AB - OBJECTIVE: To investigate the effect of local administration of recombinant
adenovirus of human adiponectin (hAPN) Ad-hAPN-EGFP on tibial defect repair of SD
rats. METHODS: Tibial defect (2 mm) models of 36 SD rats (72 sides) were randomly
divided into three groups (A, B, and C; n=24). The three groups were injected
with Ad-hAPN-EGFP, Ad-EGFP, and normal saline, respectively, during operation and
the day after operation. A week after the operation, the expression of hAPN and
osteogenesis-related factors were detected by real-time polymerase chain
reaction. Three weeks after operation, the tibias were examined by micro-computed
tomography, hematoxylin-eosin staining, and Masson staining to evaluate the
restoration of bone defects. RESULTS: 1) The hAPN expression was detected in
group A but not in groups B and C. Osteogenesis-related factors expression of
group A was significantly higher than that of the other groups (P<0.05). 2)
Osteogenesis (including bone mineral density, relative bone volume, trabecular
number and trabecular thickness) in group A were more evident than those in
groups B and C (P<0.05). No significant differences were found between groups B
and C (P>0.05). CONCLUSION: Local administration of recombinant adenovirus Ad
hAPN-EGFP may be an effective strategy to improve the restoration of bone defects
in vivo.
PMID- 26552238
TI - [Programmed death ligand 1 negatively regulates inflammatory response of chronic
periodontitis].
AB - OBJECTIVE: To investigate whether programmed death ligand 1 (PD-L1) expressed in
the periodontal tissue of chronic periodontitis and the correlativity of PD-L1
and different degrees of chronic periodontitis, provide experience for
immunoregulation mechanism, clinical treatment and prognosis of chronic
periodontitis. METHODS: Gingiva and periodontal tissue of healthy people and
chronic periodontitis patients were collected. Based on clinical probing,
periodontal tissue were classified into three groups: periodontal tissues of
healthy people, periodontal tissue of mild chronic periodontitis, periodontal
tissue of severe chronic periodontitis. Fluorescent quantitation polymerase chain
reaction was applied to explore the expression of PD-L1 mRNA in the periodontal
tissue of the different groups. Western blot and immunohistochemistry method were
utilized to test the expression of PD-L1 protein in the periodontal tissue of the
different groups. Combining with clinical image data, the relationship between
differentially expressions of PD-L1 and different degrees of chronic
periodontitis was analyzed. RESULTS: The relative expression quantity of PD-L1 in
the periodontal tissue of the mild chronic periodontitis was significantly higher
that of the severe chronic periodontitis (P<0.01). The relative expression
quantity of PD-L1 in the periodontal tissue of healthy subjects and severe
chronic periodontitis had no statistical significance (P>0.05). CONCLUSION: The
expression of PD-L1 in the periodontal tissue negativelv regulates inflammatory
periodontal tissue damage.
PMID- 26552239
TI - [Effects of Icariin promotion on proliferation and osteogenic differentiation of
human periodontal ligament stem cells].
AB - OBJECTIVE: To evaluate the effects of Icariin (ICA) on the proliferation and
osteogenic differentiation of human periodontal ligament stem cells (hPDLSCs) in
vitro and in vivo. METHODS: An enzymatic digestion block was used in vitro to
culture hPDLSCs, which were separated and purified by limited dilution cloning.
The hPDLSCs were identified using cell-surface markers and cocultured with 1 x
10(-7) mol.L-1 ICA solution. The proliferation ability of these cells was
determined by thiazolyl blue tetrazolium bromide (MTT) assay. After staining with
alkaline phosphatase (ALP), osteogenesis was detected by enzyme-linked
immunosorbent assay. Osteoblast-related genes were analyzed by reverse
transcription-polymerase chain reaction. Alizarin red staining was performed to
measure the level of calcium deposition. The hPDLSCs were cocultured with 1 x 10(
7) mol.L-1 ICA and nano-hydroxyapatite scaffolds in vivo before transplantation
into subcutaneous tissues of nude mice. Osteogenic abilities were histochemically
analyzed after 30 days of induction. RESULTS: The hPDLSCs were affected by 1 x
10(-7) mol.L-1 ICA, and MTT assay showed that the proliferation of the groups
treated with ICA in vitro was better than that of the control groups on the
second day. The ALP activity of the treated hPDLSCs was significantly enhanced
after cell culture for 3, 5, and 7 days. The gene expression of osteoblastic
markers was also significantly enhanced after 7 days. The deposition of
mineralization after incubation with 1 x 10(-7) mol.L-1 ICA increased compared
with the control after cell culture for 14, 21, and 28 days. Furthermore, the
bone expression of the treatment groups in vivo was significantly enhanced
compared with that of the control groups. CONCLUSION: Treatment with 1 x 10(-7)
mol.L-1 ICA can significantly promote proliferation and differentiation of
hPDLSCs in vitro and in vivo. ICA can effectively function as a bioactive growth
factor in periodontal tissue engineering to replace traditional growth factors.
PMID- 26552240
TI - [Expression of bone morphogenetic protein receptor 2 in cleft mouse embryonic
palate induced by retinoic acid].
AB - OBJECTIVE: To investigate the effects of all-trans retinoic acid (atRA) on the
function of bone morphogenetic protein receptor 2 (BMPR2) expression in embryonic
palate. METHODS: Cleft palate mice model was established by atRA. On gestation
day (GD) 15 and GD 17, the pregnant mice were killed to obtain the embryos from
the uteri. The embryonic palates were stained with hematoxylin-eosin, and the
remaining sections were used for the immunohistochemistry of BMPR2 detection.
Reverse transcription-polymerase chain reaction was performed to detect the
expression levels of Bmpr2 mRNA. RESULTS: In the atRA-treated group, short
extensions and failure to fuse with each other were observed. The positive
expression of BMPR2 was detected in developing palatal process from GD 15 to GD
17 in the control group. Compared with those of the control group, BMPR2 protein
and Bmpr2 mRNA decreased in the atRA-treated group (P<0.05). CONCLUSION: The
treatment of pregnant mice with retinoic acid produces small palatal shelves in
their fetuses and down-regulates BMPR2 expressions.
PMID- 26552241
TI - [Effects of interleukin-18 and hypoxia-inducible factor-1alpha in serum and
gingival tissues of rat model with periodontitis exposed to chronic intermittent
hypoxia].
AB - OBJECTVE: This study evaluates the expression of interleukin-18 (IL-18) and
hypoxia-inducible factor (HIF)-lalpha in rat periodontitis model exposed to
normoxia and chronic intermittent hypoxia (CIH) environments. The possible
correlation between periodontitis and obstructive sleep apnea-hypopnea syndrome
(OSAHS) was also investigated. Methods: Thirty-two Sprague-Dawley (SD) rats were
randomly assigned into four groups: normoxia control, normoxia periodontitis,
hypoxia control, and hypoxia periodontitis groups. The periodontitis models were
established by ligating the bilateral maxillary second molars and employing high
carbohydrate diets. Rats in hypoxia control and hypoxia periodontitis groups were
exposed to CIH treatment mimicking a moderately severe OSAHS condition. All
animals were sacrificed after eight weeks, and the clinical periodontal indexes
were detected. The levels of IL-18 and HIF-1alpha in serum and gingival tissues
were determined using enzyme-linked immunosorbent assay (ELISA). The correlation
between attachment loss (AL) and the levels of IL-18 and HIF-lalpha in hypoxia
periodontitis group was evaluated. RESULTS: The levels of IL-18 and HIF-lalpha in
hypoxia periodontitis group were significantly higher than that in normoxia
periodontitis and hypoxia control groups (P<0.05). Furthermore, the levels of IL
18 and HIF-lalpha in serum (r-0.792, r=0.753, P<0.05) and gingival tissues (r
0.817, r=0.779, P<0.05) were positively correlated with AL. CONCLUSION: CIH could
aggravate the destruction of periodontal tissues, which is correlated with IL-18
and HIF-lalpha levels.
PMID- 26552242
TI - [Analysis of the color difference between discolored teeth and corresponding
shade tabs in Vitapan Classical shade guide].
AB - OBJECTIVE: To analyze whether Vitapan Classical shade guide could be used to
evaluate effectively the effect of tooth whitening. METHODS: A total of 67
patients underwent Beyond cold light tooth whitening between February 2009 and
July 2014. The effect of treatment was evaluated by Vitapan Classical shade
guide. The percentage of discolored teeth that could not be matched by the
aforementioned shade guide was calculated. By contrast, the color difference
between discolored teeth and corresponding shade tabs was calculated for
discolored teeth that could be matched by the shade guide. RESULTS: Approximately
64% (16/25) of tetracycline teeth and 28% (17/61) of mottled-enamel teeth could
not be matched using the Vitapan Classical shade guide, but yellow teeth were all
matched, and the difference between any pair of teeth was statistically
significant (P=0.000). For discolored teeth that could be matched, statistically
significant difference was found in the DeltaL*, Deltab*, and DeltaE between
tetracycline and yellow teeth, as well as between tetracycline and mottled-enamel
teeth. However, no statistically significant difference was found between mottled
enamel and yellow teeth. Moreover, no statistically significant difference was
found in the Deltaa* among the three groups. CONCLUSION: Vitapan Classical shade
guide could not be used to evaluate effectively the effect of tooth whitening,
especially for tetracycline and mottled-enamel teeth. The evaluating method
should be improved.
PMID- 26552243
TI - [Sustained negative pressure drainage for treatment of severe maxillofacial and
neck space infection].
AB - OBJECTIVE: This study observed the curative effect of sustained negative pressure
drainage application on treatment of severe maxillofacial and neck space
infection. METHODS: Incision and drainage were performed to treat 18 patients
with severe maxillofacial and neck space infection. A small incision was made on
the site of the most obvious swelling or fluctuations, and localized negative
pressure was applied with a drainage device on the wound during suturing.
RESULTS: Among the 18 patients, 14 were healed, whereas 4 underwent dehiscence of
the wound after the operation. Negative pressure was lost as the drainage tubes
were removed, and non-negative pressure drainage method was used instead. During
the negative pressure treatment, swelling and pain did not increase after the
operation. Other complications, such as asphyxia, septic shock, or mediastinal
abscess, did not occur. All the patients were healed and eventually discharged
from the hospital. CONCLUSION: Sustained negative pressure drainage, which is a
modified version of the traditional method of incision and drainage, is an
alternative treatment for severe maxillofacial and neck space infection. Such
treatment reduces patient pain and eases doctor exertion. Thus, this method
provides a new therapeutic strategy for severe maxillofacial and neck space
infection.
PMID- 26552244
TI - [Correlation analysis of the nasolabial angle of Angle's Class II division 1
malocclusion patients with vertical growth pattern after tooth extraction
orthodontic treatment].
AB - OBJECTIVE: This study aims to evaluate the nasolabial angle change and the
correlation analysis ot Angle'Is Class II division 1 malocclusion patients with
vertical growth pattern after tooth extraction orthodontic treatment and provide
experimental results to help in making orthodontic treatment plan and treatments.
METHODS: A total of 38 Angle's Class II division 1 malocclusion patients with
vertical growth pattern and tooth extraction orthodontic treatment were included
in this study. Pre- and post-treatment cephalometric X-rays were performed, and
18 measurement items were measured. The change values of pre- and post-treatment,
youngsters, and adults were compared to analyze the correlation of the nasolabial
angle change. RESULTS: The nasolabial angle between youngsters and adults was
enlarged after treatment, but the nasolabial angle of the adult group changed
more significantly. Ul to FH, Ul to NA, U1-NA, Spr-Ptm were reduced after
treatment, and the adult group decreased more significantly. The upper lip sulcus
and flange thickness, A'-Ptm, upper lip length, and nose prominence were enlarged
after the adolescent treatment, but the adult had a certain degree of decrease.
The difference had statistical significance. In the correlation of the nasolabial
angle change analysis of the two groups, namely, Ul to FH, Ul-NA and U1 to NA had
significant negative correlation, significant positive correlation to the upper
lip inclination angle, and more significant correlation in the adult group. Upper
lip flange thickness and nasolabial angle were negatively correlated, and the
upper lip sulcus thickness was positively correlated. However, no significant
correlation was observed between these two in the adult group. CONCLUSION: The
nasolabial angle change of adolescents with vertical growth pattern in class II
division 1 malocclusion after extraction treatment is related to the upper
anterior teeth hard tissue and upper lip soft tissue, whereas only adults and
upper anterior teeth hard tissue are related.
PMID- 26552245
TI - [Study on the effect of different impression methods on the marginal fit of all
ceramic crowns].
AB - OBJECTIVE: To investigate the effect of three different impression methods on the
marginal fit of all-ceramic crowns. The three methods include scanning silicone
rubber impression, cast models, and direct optical impression. METHODS: The
polymethyl methacrylate (PMMA) material of a mandibular first molar in standard
model was prepared with 16 models duplicated. The all-ceramic crowns were
prepared using three different impression methods. Accurate impressions were made
using silicone rubber, and the cast models were obtained. The PMMA models,
silicone rubber impressions, and cast models were scanned, and digital models of
three groups were obtained to produce 48 zirconia all-ceramic crowns with
computer aided design/computer aided manufacture. The marginal fit of these
groups was measured by silicone rubber gap impression. Statistical analysis was
performed with SPSS 17.0 software. RESULTS: The marginal fit of direct optical
impression groups, silicone rubber impression groups, cast model groups was
(69.18+/-9.47), (81.04+/-10.88), (84.42+/-9.96) um. A significant difference was
observed in the marginal fit of the direct optical impression groups and the
other groups (P<0.05). No statistically significant difference was observed in
the marginal fit of the silicone rubber impression groups and the cast model
groups (P>0.05). CONCLUSION: All marginal measurement sites are clinically
acceptable by the three different impression scanning methods. The silicone
rubber impression scanning method can be used for all-ceramic restorations.
PMID- 26552246
TI - [Long-term follow-up study of titanium implant impact on pediatric mandibular
growth and development].
AB - OBJECTIVE: To explore the impact of titanium implant on the growth and
development of pediatric mandible after suffering from mandibular fracture and
undergoing open reduction and internal fixation (ORIF) compared with those that
underwent titanium plate removal postoperatively. METHODS: Fifteen pediatric
patients with mandibular fracture who underwent ORIF were included in this study.
Eight patients did not undergo titanium implant removal postoperatively, whereas
the other seven patients underwent the routine. The postoperative data of the
pediatrics were collected for comparative analysis by taking the patients'
frontal and lateral photos, recording the inter-incisor distance, and measuring
the height of mandibular ramus, length of the mandibular body, and combined
length of the mandible in three-dimensional reconstruction image. RESULTS: All
patients had acceptable facial contour, mouth opening, and occlusion, without
obvious abnormalities. The radiography showed no significant difference between
the bilateral mandibular lengths in the two groups of patients (P>0.05).
CONCLUSION: The titanium plants have no significant impact on the growth and
development of pediatric mandible postoperatively; hence, the question on whether
the titanium plates should be removed or not may be neglected. The removal
operation may lead to secondary trauma; thus, performing titanium plate removal
routinely is not recommended.
PMID- 26552247
TI - [Application of anterolateral thigh myocutaneous flap using computed tomography
angiography for mouth-floor reconstruction after resection of middle-late stage
carcinoma of mouth floor].
AB - OBJECTIVE: The aim of this study was to investigate the value of free
anterolateral thigh myocutaneous flap (ALTMF) and computed tomography angiography
(CTA) for the reconstruction of mouth-floor defects after the resection of middle
late stage carcinoma of the mouth floor. METHODS: Sixteen cases of middle-late
stage carcinomas of the mouth floor underwent radical resection, and mouth-floor
and tongue defects were reconstructed with ALTMF. CTA was applied to plan the
lateral circumflex femoral artery (LCFA) and its perforating vessel, which was
verified during the operation. RESULTS: The position of the perforating vessel in
the operation was fully consistent with that designed by the preoperative CTA.
All 16 flaps completely survived. The appearance and function of all cases were
both satisfactory. All donor sites were primarily closed and healed without
functional morbidity. During the follow-up period of 6-36 months, 15 cases
survived with acceptable aesthetic and functional results in mouth floor and
tongue reconstruction, except for 1 case (T4N2M0) that died of metastasis
carcinoma 10 months after operation. CONCLUSION: CTA can accurately locate the
LCFA and artery perforator. Preoperative perforator planning using CTA in ALTMF
transplantation is a reliable and useful method thatresults in safe operation
with optimal outcome. The ALTMF is an ideal choice for the reconstruction of soft
tissue defects after the resection of middle-late staie carcinoma of the mouth
floor
PMID- 26552248
TI - [Rare parotid gland tumors: enhanced computed tomography and pathological
correlation].
AB - OBJECTIVE: To investigate the correlation between enhanced computed tomography
(CT) findings and pathological results of rare parotid gland tumors, and improve
diagnosis accuracy. METHODS: The enhanced CT manifestations of 22 cases with
pathologically documented rare parotid gland tumors, which included 6 cases of
basal cell tumor, 5 cases of myoepithelioma, 4 cases of vascular invasion, 3
cases of lymphatic cyst, 3 cases of lipoma, and 1 case of chondrosarcoma, were
retrospectively analyzed. The location, size, shape, density, and relationship
with surrounding structure were evaluated on CT images. RESULTS: The enhanced CT
showed that basal cell tumors occurred in the superficial lobe of the parotid
gland, with clear boundary, within the cystic lesion. The lesions were moderate
to obviously enhanced, which may be accompanied by enlarged lymph nodes.
Myoepithelial tumors were located in the superficial lobe of the parotid gland,
with a small cystic prone and microcalcification within a few cases. The lesions
were moderate to obviously enhanced. Hemangiomas of soft tissue mass prominent in
the parotid gland surface were mild to significantly enhanced. Larger lesions may
occupy the entire parotid gland, with uneven density and visible vein stone. The
CT density values of the lymphatic cyst were usually higher. Chondrosarcoma
mainly manifested cystic mass at the calcification edge. Lipoma with fat density
mass exhibited clear boundary without enhancement. Fiber separation could be
observed in the lesion. CONCLUSION: CT can reflect the pathological features of
rare parotid gland tumors by demonstrating their corresponding imaging features.
Enhanced CT is the most effective means of imaging to identify the nature of rare
tumor of the parotid gland lesions.
PMID- 26552249
TI - [Analysis of clinical and imaging findings in cementoblastoma].
AB - OBJECTIVE: To assess the clinical and imaging features of cementoblastoma for
diagnosis and differential diagnosis. METHODS: Clinical and imaging data of 14
cases were selected for this retrospective study. RESULTS: A total of 14 cases of
cementoblastoma patients with ages at diagnosis ranging from 11 years to 58 years
(mean age, 27.6 years; median age, 21.0 years). The tumor affected 7 patients
from each gender. The mandible was the main site of occurrence. The most common
complaints were swelling and pain. Radiographic results showed that
cementoblastoma typically presented as a well-circumscribed and radiopaque mass
confluent with the involved tooth root and surrounded by a thin and uniform radio
lucent border. Tumor parenchyma was generally mixed-density, which could be
divided into two types, namely, homogeneous and heterogeneous patterns. Other
significant imaging features included the periphery bone of the lesion sclerosis
and cortical thickening of the lower edge of the mandible. CONCLUSION: Obvious
characteristics are found in the clinical and imaging findings of
cementoblastoma. Accurate recognition of this lesion is significant in clinic to
avoid misdiagnoses.
PMID- 26552250
TI - [Systematic review of magnetic resonance imaging in diagnosing mandibular
invasion caused by oral cancers].
AB - OBJECTIVE: To evaluate the diagnostic value of magnetic resonance (MR) imaging in
the diagnosis of mandibular invasion caused by oral cancers. METHODS: Medline,
EMBASE, SIGLE, and Chinese biomedical literature database were searched
electronically. Manual searching for 19 relevant Chinese journals was also
performed. Two reviewers evaluated the literature and extracted the data. Meta
Disc 1.4 was chosen to conduct the sensitivity (SEN), specificity (SPE), and 95%
confidence interval (95%CI). RESULTS: Twelve studies with a total of 476
patients, namely, 5 prospective studies and 7 retrospective studies, were
included. All the studies had unclear risk of bias. Meta-analysis result showed
that the combination of SEN of MR in diagnosing mandibular invasion was 0.779
(95%CI: 0.719-0.831), combination of SPE was 0.823 (95%CI: 0.767-0.870), positive
likelihood ratio was 3.442 (95%CI: 2.181-5.431), negative likelihood ratio was
0.286 (95%CI: 0.181- 0.451), and diagnostic odds ratio was 25.702 (95%CI: 13.406
49.273). The area under curve was 0.903 9 and Q* was 0.835 4. Meta-analysis was
not processed when diagnosing mandibular medullary invasion because only two
studies with 55 patients had been reported. The SEN was 0.838, and the SPE was
0.722. CONCLUSION: MR is efficient and has clinical value in the diagnosis of
mandibular invasion caused by oral cancer.
PMID- 26552251
TI - [Relation between obesity and oral health].
AB - Non-communicable diseases (NCDs) are important diseases that affect human health.
Obesity is one of the major biological risk factors of NCDs. With the growing
national economy and the increasing standard of living, the obesity problem is
highlighted and could raise even more attention. Oral health is vital to overall
health. To gain more recognition and popularize the monitoring of obesity in
dentistry, this paper illustrates the status of present studies between obesity
and oral health.
PMID- 26552252
TI - [Diagnosis and management of intraparotid facial nerve schwannoma].
AB - Intraparotid facial nerve schwannoma (IFNS) is a rare benign tumor. The
management of IFNS is very challenging because of the lack of appropriate methods
for preoperative diagnosis, which is often conducted intraoperatively in most
cases. This article reviewed the literature on IFNS recorded in PubMed from 1958
to 2014 and described in detail its clinical manifestations, diagnoses and
differential diagnoses, and treatment options. Accurate diagnosis for IFNS mainly
depends on intraoperative observation and postoperative histological examination.
Preoperative facial nerve function, localization, and adherence, as well as
preferences of IFNS patients are important factors to consider in the decision
making process for IFNS management to optimize the outcomes. Surgical resection
is usually reserved for patients with facial function of House-Brackmann grade
III or worse; otherwise, conservative treatment can be adopted.
PMID- 26552253
TI - [Garre's osteomyelitis with bilateral mandible: a case report].
AB - Garres osteomyelitis is a specific type of chronic osteomyelitis that most
commonly occurs in young patients, secondary to dental infection, and affects the
unilateral side of the mandible. Bilateral mandibular Garre's osteomyelitis is
rare. In this article, a case of Garre's osteomyelitis with bilateral mandible is
reported. Its etiology, clinical pathologic features, diagnosis, differential
diagnosis, and treatment methods are discussed by reviewing relevant literature.
PMID- 26552254
TI - [Giant benign symmetric lipomatosis of the neck: four case reports].
AB - Benign symmetric lipomatosis is a rare disease and may appear as a huge tumor in
the neck. Four benign symmetric lipomatosis associated with gigantic painless
mass or neck motion limitation were reported. Operative technique of one-time
radical resection or stage resection was used to remove these tumors. One patient
had a postoperative complication of incision effusion and infection, and three
patients had no significant complication. At more than one-year follow-up, the
motion and appearance of a patient's neck returned to normal, and no recurrence
was observed. The etiology, clinical manifestations, diagnosis, and treatment of
the disease were discussed.
PMID- 26552255
TI - A conceptual framework to describe the ecology of fragmented landscapes and
implications for conservation and management.
AB - The study of the ecology of fragmented landscapes has been dominated by two
assumptions: the unique unidirectional path from larger to smaller fragments and
the negligible role of fragment species on fragment properties. An accurate
conceptualization of fragmented landscapes requires consideration of the age and
origin of the fragments, i.e., direct fragmentation or reverse fragmentation
(generation or increase of vegetated fragments by colonization), and the habitat
modifications of fragment species (autogenic processes). Colonization and
autogenic processes alter the fragments' composition and function. Fragment
metrics affect colonization. Autogenic processes are antagonized by disturbances
and modulated by abiotic inputs. Fragment alterations by autogenic processes may
explain the continuous species substitution detected in some fragments or the
species persistence in others. Reverse fragmentation, a natural process in
commonly disturbed landscapes, challenges the avoidance-of-habitat disturbance as
the ultimate strategy for biodiversity conservation and stresses the importance
of pioneer species that promote succession as resilience elements in fragmented
landscapes. Among-fragment diversity, generated by local disturbances, can be
essential for the resilience of fragmented landscapes, suggesting that
conservation and habitat utilization can be complementary processes. Traditional
agroforestry systems that depend on disturbance, fragmentation, colonization, and
autogenic processes may provide important insights into fragmentation ecology.
PMID- 26552256
TI - Can we infer plant facilitation from remote sensing? a test across global
drylands.
AB - Facilitation is a major force shaping the structure and diversity of plant
communities in terrestrial ecosystems. Detecting positive plant-plant
interactions relies on the combination of field experimentation and the
demonstration of spatial association between neighboring plants. This has often
restricted the study of facilitation to particular sites, limiting the
development of systematic assessments of facilitation over regional and global
scales. Here we explore whether the frequency of plant spatial associations
detected from high-resolution remotely sensed images can be used to infer plant
facilitation at the community level in drylands around the globe. We correlated
the information from remotely sensed images freely available through Google Earth
with detailed field assessments, and used a simple individual-based model to
generate patch-size distributions using different assumptions about the type and
strength of plant-plant interactions. Most of the patterns found from the
remotely sensed images were more right skewed than the patterns from the null
model simulating a random distribution. This suggests that the plants in the
studied drylands show stronger spatial clustering than expected by chance. We
found that positive plant co-occurrence, as measured in the field, was
significantly related to the skewness of vegetation patch-size distribution
measured using Google Earth images. Our findings suggest that the relative
frequency of facilitation may be inferred from spatial pattern signals measured
from remotely sensed images, since facilitation often determines positive co
occurrence among neighboring plants. They pave the road for a systematic global
assessment of the role of facilitation in terrestrial ecosystems.
PMID- 26552257
TI - Quantifying ecosystem quality by modeling multi-attribute expert opinion.
AB - The evaluation of ecosystem quality is inherently subjective, requiring decisions
about which variables to notice or measure, and how these variables are
integrated into a coherent evaluation. Despite the central role of human
judgment, few evaluation methods address the subjectivity that is inherent in
their design. There are, however, advantages to directly using opinion to create
an expert system where the metric is constructed around opinion data. These
advantages include stakeholder inclusion and the encouragement of a dialogue of
data-driven criticism rather than subjective counter-opinion. We create an expert
system to express the quality of a grassland ecosystem in Australia. We use an
ensemble of bagged regression trees trained on calibrated expert preference data,
to model the perceived quality of this grassland using a set of eight site
variables as inputs. The model provides useful predictions of grassland quality,
producing predictions similar to real expert evaluations of independent synthetic
test sites not used to train the model. We apply the model to real grassland
sites ranging from pristine to highly degraded, and confirm that our model orders
the sites according to their degree of modification. We demonstrate that the use
of too few experts produces relatively poor results, and show that for our
problem the use of data from over twenty experts is appropriate. The scaling
approach we used to calibrate between-expert data is shown to be an appropriate
mechanism for aggregating the opinions of multiple experts. The resultant model
will be useful in many contexts, and can be used by managers as a tool to
evaluate real sites. It can also be integrated into ecological models of change
as a means of evaluating predicted changes, for example, as a measure of utility
when combined with cost estimates. The basic approach demonstrated here is
applicable to any ecosystem, and we discuss the opportunities and limitations of
its wider use.
PMID- 26552258
TI - Wildland fire as a self-regulating mechanism: the role of previous burns and
weather in limiting fire progression.
AB - Theory suggests that natural fire regimes can result in landscapes that are both
self-regulating and resilient to fire. For example, because fires consume fuel,
they may create barriers to the spread of future fires, thereby regulating fire
size. Top-down controls such as weather, however, can weaken this effect. While
empirical examples demonstrating this pattern-process feedback between vegetation
and fire exist, they have been geographically limited or did not consider the
influence of time between fires and weather. The availability of remotely sensed
data identifying fire activity over the last four decades provides an opportunity
to explicitly quantify-the ability of wildland fire to limit the progression of
subsequent fire. Furthermore, advances in fire progression mapping now allow an
evaluation of how daily weather as a top-down control modifies this effect. In
this study, we evaluated the ability of wildland fire to create barriers that
limit the spread of subsequent fire along a gradient representing time between
fires in four large study areas in the western United States. Using fire
progression maps in conjunction with weather station data, we also evaluated the
influence of daily weather. Results indicate that wildland fire does limit
subsequent fire spread in all four study areas, but this effect decays over time;
wildland fire no longer limits subsequent fire spread 6-18 years after fire,
depending on the study area. We also found that the ability of fire to regulate,
subsequent fire progression was substantially reduced under extreme conditions
compared to moderate weather conditions in all four study areas. This study
increases understanding of the spatial feedbacks that can lead to self-regulating
landscapes as well as the effects of top-down controls, such as weather, on these
feedbacks. Our results will be useful to managers who seek to restore natural
fire regimes or to exploit recent burns when managing fire.
PMID- 26552259
TI - Landscape fragmentation, severe drought, and the new Amazon forest fire regime.
AB - Changes in weather and land use are transforming the spatial and temporal
characteristics of fire regimes in Amazonia, with important effects on the
functioning of dense (i.e., closed-canopy), open-canopy, and transitional forests
across the Basin. To quantify, document, and describe the characteristics and
recent changes in forest fire regimes, we sampled 6 million ha of these three
representative forests of the eastern and southern edges of the Amazon using 24
years (1983-2007) of satellite-derived annual forest fire scar maps and 16 years
of monthly hot pixel information (1992-2007). Our results reveal that changes in
forest fire regime properties differentially affected these three forest types in
terms of area burned and fire scar size, frequency, and seasonality. During the
study period, forest fires burned 15% (0.3 million ha), 44% (1 million ha), and
46% (0.6 million ha) of dense, open, and transitional forests, respectively.
Total forest area burned and fire scar size tended to increase over time (even in
years of average rainfall in open canopy and transitional forests). In dense
forests, most of the temporal variability in fire regime properties was linked to
El Nino Southern Oscillation (ENSO)-related droughts. Compared with dense
forests, transitional and open forests experienced fires twice as frequently,
with at least 20% of these forests' areas burning two or more times during the 24
year study period. Open and transitional forests also experienced higher
deforestation rates than dense forests. During drier years, the end of the dry
season was delayed by about a month, which resulted in larger burn scars and
increases in overall area burned later in the season. These observations suggest
that climate-mediated forest flammability is enhanced by landscape fragmentation
caused by deforestation, as observed for open and transitional forests in the
Eastern portion of the Amazon Basin.
PMID- 26552260
TI - The consequences of photoperiodism for organisms in new climates.
AB - A change in climate is known to affect seasonal timing (phenology) of the life
stages of poikilothermic organisms whose development depends on temperature. Less
understood is the potential for even greater disruption to the life cycle when a
phenology shift exposes photoperiod-sensitive life stages to new day lengths. We
present a conceptual framework and model to investigate the ways that photoperiod
cued diapause can interact with a change in climate or latitude to influence
voltinism in poikilothermic organisms. Our degree-day phenology model combines
detailed spatial climate data, latitude- and date-specific photoperiods, and
development and photoperiod response parameters. As an example, we model the
biological control beetle Galerucella calmariensis and map the number of
generations expected following its introduction into diverse climates throughout
the continental United States. Incorporation of photoperiodism results in a
complex geography of voltinism that differs markedly from predictions of
traditional phenology models. Facultative multivoltine species will be prone to
univoltism when transported to either warmer or southern climates due to exposure
of the sensitive stage to shorter day lengths. When moved to more northern
locations, they may attempt too many generations for the season duration thereby
exposing vulnerable life stages to harsh weather in the fall. We further show
that even small changes in temperature can result in large and unexpected shifts
in voltinism. Analogous effects may be expected for organisms from wide variety
of taxa that use photoperiod as a seasonal cue during some stage of their life
cycle. Our approach is useful for understanding the performance and impacts of
introduced pests and beneficial organisms as well as for predicting responses of
resident species to climate change and climate variability.
PMID- 26552261
TI - Modeling with uncertain science: estimating mitigation credits from abating lead
poisoning in Golden Eagles.
AB - Challenges arise when renewable energy development triggers "no net loss"
policies for protected species, such as where wind energy facilities affect
Golden Eagles in the western United States. When established mitigation
approaches are insufficient to fully avoid or offset losses, conservation goals
may still be achievable through experimental implementation of unproven
mitigation methods provided they are analyzed within a framework that deals
transparently and rigorously with uncertainty. We developed an approach to
quantify and analyze compensatory mitigation that (1) relies on expert opinion
elicited in a thoughtful and structured process to design the analysis (models)
and supplement available data, (2) builds computational models as hypotheses
about cause-effect relationships, (3) represents scientific uncertainty in
stochastic model simulations, (4) provides probabilistic predictions of
"relative" mortality with and without mitigation, (5) presents results in clear
formats useful to applying risk management preferences (regulatory standards) and
selecting strategies and levels of mitigation for immediate action, and (6)
defines predictive parameters in units that could be monitored effectively, to
support experimental adaptive management and reduction in uncertainty. We
illustrate the approach with a case study characterized by high uncertainty about
underlying biological processes and high conservation interest: estimating the
quantitative effects of voluntary strategies to abate lead poisoning in Golden
Eagles in Wyoming due to ingestion of spent game hunting ammunition.
PMID- 26552262
TI - The differential effects of increasing frequency and magnitude of extreme events
on coral populations.
AB - Extreme events, which have profound ecological consequences, are changing in both
frequency and magnitude with climate change. Because extreme temperatures induce
coral bleaching, we can explore the relative impacts of changes in frequency and
magnitude of high temperature events on coral reefs. Here, we combined climate
projections and a dynamic population model to determine how changing bleaching
regimes influence coral persistence. We additionally explored how coral traits
and competition with macroalgae mediate changes in bleaching regimes. Our results
predict that severe bleaching events reduce coral persistence more than frequent
bleaching. Corals with low adult mortality and high growth rates are successful
when bleaching is mild, but bleaching resistance is necessary to persist when
bleaching is severe, regardless of frequency. The existence of macroalgae
dominated stable states reduces coral persistence and changes the relative
importance of coral traits. Building on previous studies, our results predict
that management efforts may need to prioritize protection of "weaker" corals with
high adult mortality when bleaching is mild, and protection of "stronger" corals
with high bleaching resistance when bleaching is severe. In summary, future reef
projections and conservation targets depend on both local bleaching regimes and
biodiversity.
PMID- 26552263
TI - Population dynamics of a northern-adapted mammal: disentangling the influence of
predation and climate change.
AB - Community structure and interspecific interactions are particularly vulnerable to
rapidly changing climatic regimes. Recent changes in both climate and vertebrate
community assemblages have created a unique opportunity to examine the impacts of
two dynamic forces on population regulation. We examined the effects of warming
winter conditions and the reestablishment of a previously extirpated predator,
the fisher (Martes pennanti), on regulatory mechanisms in a northern-adapted
mammal, the porcupine (Erethizon dorsatum), along their southern range boundary.
Using a long-term (17-year) capture-recapture data set, we (1) quantified the
impacts of climate change and increased fisher predation on the survival of adult
porcupines at their regional southern terminus, (2) assessed recruitment (via
both adult fecundity and juvenile survival) of porcupines, and (3) modeled the
relative importance of predation and winter conditions on the demography and
population growth rate (lambda). Severe winters and abundant predators interacted
synergistically to reduce adult survivorship by as much as 44%, while expanding
predator populations led to near reproductive failure among porcupines.
Increasing predatory pressure, disruptions in this community module, and more
frequent extreme winter weather events led to predicted extirpation within 50
years, whereas in the absence of predators, the population was viable. Our
results provide a mechanistic understanding behind distributional shifts
resulting from climate change and may be broadly relevant for predicting future
distributional shifts in other northern-adapted mammalian species.
PMID- 26552264
TI - Habitat restoration promotes pollinator persistence and colonization in
intensively managed agriculture.
AB - Widespread evidence of pollinator declines has led to policies supporting habitat
restoration including in agricultural landscapes. Yet, little is yet known about
the effectiveness of these restoration techniques for promoting stable
populations and communities of pollinators, especially in intensively managed
agricultural landscapes. Introducing floral resources, such as flowering
hedgerows, to enhance intensively cultivated agricultural landscapes is known to
increase the abundances of native insect pollinators in and around restored
areas. Whether this is a result of local short-term concentration at flowers or
indicative of true increases in the persistence and species richness of these
communities remains unclear. It is also unknown whether this practice supports
species of conservation concern (e.g., those with more specialized dietary
requirements). Analyzing occupancies of native bees and syrphid flies from 330
surveys across 15 sites over eight years, we found that hedgerow restoration
promotes rates of between-season persistence and colonization as compared with
unrestored field edges. Enhanced persistence and colonization, in turn, led to
the formation of more species-rich communities. We also find that hedgerows
benefit floral resource specialists more than generalists, emphasizing the value
of this restoration technique for conservation in agricultural landscapes.
PMID- 26552265
TI - Effective time closures: quantifying the conservation benefits of input control
for the Pacific chub mackerel fishery.
AB - Restricting human access to a specific wildlife species, community, or ecosystem,
i.e., input control, is one of the most popular tools to control human impacts
for natural resource management and wildlife conservation. However, quantitative
evaluations of input control are generally difficult, because it is unclear how
much human impacts can actually be reduced by the control. We present a model
framework to quantify the effectiveness of input control using day closures to
reduce actual fishing impact by considering the observed fishery dynamics. The
model framework was applied to the management of the Pacific stock of the chub
mackerel (Scomber japonicus) fishery, in which fishing was suspended for one day
following any day when the total mackerel catch exceeded a threshold level. We
evaluated the management measure according to the following steps: (1) we fitted
the daily observed catch and fishing effort data to a generalized linear model
(GLM) or generalized autoregressive state-space model (GASSM), (2) we conducted
population dynamics simulations based on annual catches randomly generated from
the parameters estimated in the first step, (3) we quantified the effectiveness
of day closures by comparing the results of two simulation scenarios with and
without day closures, and (4) we conducted additional simulations based on
different sets of explanatory variables and statistical models (sensitivity
analysis). In the first step, we found that the GASSM explained the observed data
far better than the simple GLM. The model parameterized with the estimates from
the GASSM demonstrated that the day closures implemented from 2004 to 2009 would
have decreased exploitation fractions by ~10% every year and increased the 2009
stock biomass by 37-46% (median), relative to the values without day closures.
The sensitivity analysis revealed that the effectiveness of day closures was
particularly influenced by autoregressive processes in the fishery data and by
positive relationships between fishing effort and total biomass. Those results
indicated the importance of human behavioral dynamics under input control in
quantifying the conservation benefit of natural resource management and the
applicability of our model framework to the evaluation of the input controls that
are actually implemented.
PMID- 26552266
TI - Insecticides promote viral outbreaks by altering herbivore competition.
AB - While the management of biological invasions is often characterized by a series
of single-specieg decisions, invasive species exist within larger food webs.
These biotic interactions can alter the impact of control/eradication programs
and may cause suppression efforts to inadvertently facilitate invasion spread and
impact. We document the rapid replacement of the invasive Bemisia Middle East
Asia Minor I (MEAM1) cryptic biotype by the cryptic Mediterranean (MED) biotype
throughout China and demonstrate that MED is more tolerant of insecticides and a
better vector of tomato yellow leaf curl virus (TYLCV) than MEAMJ. While MEAM1
usually excludes MED under natural conditions, insecticide application reverses
the MEAM1-MED competitive hierarchy and allows MED to exclude MEAMI. The
insecticide-mediated success of MED has led to TYLCV outbreaks throughout China.
Our work strongly supports the hypothesis that insecticide use in China reverses
the MEAMl-MED competitive hierarchy and allows MED to displace MEAM1 in managed
landscapes. By promoting the dominance of a Bemisia species that is a competent
viral vector, insecticides thus increase the spread and impact of TYLCV in
heterogeneous agroecosystems.
PMID- 26552267
TI - Predator identity influences the effect of habitat management on nest predation.
AB - Predation is the leading cause of nest failure for many passerines and
considerable effort is devoted to identifying the habitat characteristics and
management practices that influence nest loss. The habitat components associated
with nest loss are strongly influenced by the ecology of nest predators and
differ among predator species as a result. Nevertheless, there is a tendency to
generalize about the effects of habitat features and management on nest failure
without considering how resulting patterns are influenced by nest predators. We
examined how predator-specific patterns of nest loss differed among predators and
in response to grassland management with fire and grazing by cattle (Bos taurus).
We used video cameras to monitor and identify predators at nests of the
Grasshopper Sparrow (Ammodramus savannarum), a species of conservation concern
throughout its range. We observed predation by 15 different species that differed
in their response to management and the habitat characteristics associated with
nests they preyed on. Losses to mammals and snakes were more likely at nests with
greater amounts of litter cover and tall fescue (Schedonorus phoenix). Mammals
were less likely to prey on nests surrounded by greater forb cover. Nest
predation by snakes was lower in burned areas, whereas predation by mammals and
Brown-headed Cowbirds (Molothrus ater) was unaffected by the use of fire. Neither
vegetation density at the nest, nor landscape context was related to nest loss by
any predator taxon. Although there were many similarities, we identified
important differences in the species composing the nest predator community
between our. study and other published research. These differences are likely to
be responsible for geographic variation in the influence of habitat features and
management actions on nest success. Our results demonstrate the need for natural
resource managers to incorporate knowledge of local nest predators and their
ecology when developing management prescriptions aimed at enhancing the
reproductive success of songbirds.
PMID- 26552268
TI - Combined effects of climate, predation, and density dependence on Greater and
Lesser Scaup population dynamics.
AB - An understanding of species relationships is critical in the management and
conservation of populations facing climate change, yet few studies address how
climate alters species interactions and other population drivers. We use a long
term, broad-scale data set of relative abundance to examine the influence of
climate, predators, and density dependence on the population dynamics of
declining scaup (Aythya) species within the core of their breeding range. The
state-space modeling approach we use applies to a wide range of wildlife species,
especially populations monitored over broad spatiotemporal extents. Using this
approach, we found that immediate snow cover extent in the preceding winter and
spring had the strongest effects, with increases in mean snow cover extent having
a positive effect on the local surveyed abundance of scaup. The direct effects of
mesopredator abundance on scaup population dynamics were weaker, but the results
still indicated a potentil interactive process between climate and food web
dynamics (mesopredators, alternative prey, and scaup). By considering climate
variables and other potential effects on population dynamics, and using a
rigorous estimation framework, we provide insight into complex ecological
processes for guiding. conservation and policy actions aimed at mitigating and
reversing the decline of scaup.
PMID- 26552269
TI - Accuracy of nonmolecular identification of growth-hormone- transgenic coho salmon
after simulated escape.
AB - Concerns with transgenic animals include the potential ecological risks
associated with release or escape to the natural environment, and a critical
requirement for assessment of ecological effects is the ability to distinguish
transgenic animals from wild type. Here, we explore geometric morphometrics
(GeoM) and human expertise to distinguish growth-hormone-transgenic coho salmon
(Oncorhynchus kisutch) specimens from wild type. First, we simulated an escape of
3-month-old hatchery-reared wild-type and transgenic fish to an artificial
stream, and recaptured them at the time of seaward migration at an age of 13
months. Second, we reared fish in the stream from first-feeding fry until an age
of 13 months, thereby simulating fish arising from a successful spawn in the wild
of an escaped hatchery-reared transgenic fish. All fish were then assessed from
'photographs by visual identification (VID) by local staff and by GeoM based on
13 morphological landmarks. A leave-one-out discriminant analysis of GeoM data
had on average 86% (72-100% for individual groups) accuracy in assigning the
correct genotypes, whereas the human experts were correct, on average, in only
49% of cases (range of 18-100% for individual fish groups). However, serious
errors (i.e., classifying transgenic specimens as wild type) occurred for 7%
(GeoM) and 67% (VID) of transgenic fish, and all of these incorrect assignments
arose with fish reared in the stream from the first-feeding stage. The results
show that we presently lack the skills of visually distinguishing transgenic coho
salmon from wild type with a high level of accuracy, but that further development
of GeoM methods could be useful in identifying second-generation,fish from nature
as a nonmolecular approach.
PMID- 26552270
TI - Combining near infrared spectra of feces and geostatistics to generate forage
nutritional quality maps across landscapes.
AB - An important asset for the management of wild ungulates is recognizing the
spatial distribution of forage quality across heterogeneous landscapes. To do so
typically requires knowledge of which plant species are eaten, in what abundance
they are eaten, and what their nutritional quality might be. Acquiring such data,
however, may be difficult and time consuming. Here, we are proposing a rapid and
cost-effective forage quality monitoring tool that combines near infrared (NIR)
spectra of fecal samples and easily obtained data on plant community composition.
Our approach rests on the premise that NIR spectra of fecal samples collected
within low population density exclosures reflect the optimal forage quality of a
given landscape. Forage quality can thus be based on the Mahalanobis distance of
fecal spectral scans across the landscape relative to fecal spectral scans inside
exclosures (referred to as DISTEX). The Gi* spatial autocorrelation statistic can
then be applied among neighboring DISTEX values to detect and map "hot spots" and
"cold spots" of nutritional quality over the landscape. We tested our approach in
a heterogeneous boreal landscape on Anticosti Island (Quebec, Canada), where
white-tailed deer (Odocoileus virginianus) populations over the landscape have
ranged from 20 to 50 individuals/km2 for at least 80 years, resulting in a loss
of most palatable and nutritious plant species. Our results suggest that hot
spots of forage quality occur when old-growth balsam fir stands comprise >39.8%
of 300 ha neighborhoods, whereas cold spots occur in laggs (i.e., transition
zones from forest to peatland). In terms of ground-level indicator plant species,
the presence of Canada bunchberry (Cornus canadensis) was highly correlated with
hot spots, whereas tamarack (Larix laricina) was highly correlated with cold
spots. Mean DISTEX values were positively and significantly correlated with the
neutral detergent fiber and acid detergent lignin contents of feces. While our
approach would need more independent field trials before it is fully validated,
its low cost and ease of execution should make it a valuable tool for advancing
both the basic and applied ecology of large herbivores.
PMID- 26552271
TI - Modeling carbon-nutrient interactions during the early recovery of tundra after
fire.
AB - Fire frequency has dramatically increased in the tundra of northern Alaska, USA,
which has major implications for the carbon budget of the region and the
functioning of these ecosystems, which support important wildlife species. We
investigated the postfire succession of plant and soil carbon (C), nitrogen (N),
and phosphorus (P) fluxes and stocks along a burn severity gradient in the 2007
Anaktuvuk River fire scar in northern Alaska. Modeling results indicated that the
early regrowth of postfire tundra vegetation was limited primarily by its canopy
photosynthetic potential, rather than nutrient availability, because of the
initially low leaf area and relatively high inorganic N and P concentrations in
soil. Our simulations indicated that the postfire recovery of tundra vegetation
was sustained predominantly by the uptake of residual inorganic N (i.e., in the
remaining ash), and the redistribution of N and P from soil organic matter to
vegetation. Although residual nutrients in ash were higher in the severe burn
than the moderate burn, the moderate burn recovered faster because of the higher
remaining biomass and consequent photosynthetic potential. Residual nutrients in
ash allowed both burn sites to recover and exceed the unburned site in both
aboveground biomass and production five years after the fire. The investigation
of interactions among postfire C, N, and P cycles has contributed to a
mechanistic understanding of the response of tundra ecosystems to fire
disturbance. Our study provided insight on how the trajectory of recovery of
tundra from wildfire is regulated during early succession.
PMID- 26552272
TI - Climate-suitable planting as a strategy for maintaining forest productivity and
functional diversity.
AB - Within the time frame of the longevity of tree species, climate change will
change faster than the ability of natural tree migration. Migration lags may
result in reduced productivity and reduced diversity in forests under current
management and climate change. We evaluated the efficacy of planting climate
suitable tree species (CSP), those tree species with current or historic
distributions immediately south of a focal landscape, to maintain or increase
aboveground biomass productivity, and species and functional diversity. We
modeled forest change with the LANDIS-II forest simulation model for 100 years
(2000-2100) at a 2-ha cell resolution and five-year time steps within two
landscapes in the Great Lakes region (northeastern Minnesota and northern lower
Michigan, USA). We compared current climate to low- and high-emission futures. We
simulated a low-emission climate future with the Intergovernmental Panel on
Climate Change (IPCC) 2007 B1 emission scenario and the Parallel Climate Model
Global Circulation Model (GCM). We simulated a high-emission climate future with
the IPCC A1FI emission scenario and the Geophysical Fluid Dynamics Laboratory
(GFDL) GCM. We compared current forest management practices (business-as-usual)
to CSP management. In the CSP scenario, we simulated a target planting of 5.28%
and 4.97% of forested area per five-year time step in the Minnesota and Michigan
landscapes, respectively. We found that simulated CSP species successfully
established in both landscapes under all climate scenarios. The presence of CSP
species generally increased simulated aboveground biomass. Species diversity
increased due to CSP; however, the effect on functional diversity was variable.
Because the planted species were functionally similar to many native species, CSP
did not result in a consistent increase nor decrease in functional diversity.
These results provide an assessment of the potential efficacy and limitations of
CSP management. These results have management implications for sites where
diversity and productivity are expected to decline. Future efforts to restore a
specific species or forest type may not be possible, but CSP may sustain a more
general ecosystem service (e.g., aboveground biomass).
PMID- 26552273
TI - Evaluating species richness: Biased ecological inference results from spatial
heterogeneity in detection probabilities.
AB - Accurate estimates of species richness are necessary to test predictions of
ecological theory and evaluate biodiversity for conservation purposes. However,
species richness is difficult to measure in the field because some species will
almost always be overlooked due to their cryptic nature or the observer's failure
to perceive their cues. Common measures of species richness that assume
consistent observability across species are inviting because they may require
only single counts of species at survey sites. Single-visit estimation methods
ignore spatial and temporal variation in species detection probabilities related
to survey or site conditions that may confound estimates of species richness. We
used simulated and empirical data to evaluate the bias and precision of raw
species counts, the limiting forms of jackknife and Chao estimators, and
multispecies occupancy models when estimating species richness to evaluate
whether the choice of estimator can affect inferences about the relationships
between environmental conditions and community size under variable detection
processes. Four simulated scenarios with realistic and variable detection
processes were considered. Results of simulations indicated that (1) raw species
counts were always biased low, (2) single-visit jackknife and Chao estimators
were significantly biased regardless of detection process, (3) multispecies
occupancy models were more precise and generally less biased than the jackknife
and Chao estimators, and (4) spatial heterogeneity resulting from the effects of
a site covariate on species detection probabilities had significant impacts on
the inferred relationships between species richness and a spatially explicit
environmental condition. For a real data set of bird observations in northwestern
Alaska, USA, the four estimation methods produced different estimates of local
species richness, which severely affected inferences about the effects of shrubs
on local avian richness. Overall, our results indicate that neglecting the
effects of site covariates on species detection probabilities may lead to
significant bias in estimation of species richness, as well as the inferred
relationships between community size and environmental covariates.
PMID- 26552274
TI - Testing scale-dependent effects of seminatural habitats on farmland biodiversity.
AB - The effectiveness of conservation interventions for maximizing biodiversity
benefits from agri-environment schemes (AESs) is expected to depend on the
quantity of seminatural habitats in the surrounding landscape. To verify this
hypothesis, we developed a hierarchical sampling design to assess the effects of
field boundary type and cover of seminatural habitats in the landscape at two
nested spatial scales. We sampled three types of field boundaries with increasing
structural complexity (grass margin, simple hedgerow, complex hedgerow) in paired
landscapes with the presence or absence of seminatural habitats (radius 0.5 km),
that in turn, were nested within 15 areas with different proportions of
seminatural habitats at a larger spatial scale (10 X 10 km). Overall, 90 field
boundaries were sampled across a Mediterranean'region (northeastern Italy). We
considered species richness response across three different taxonomic groups:
vascular plants, butterflies, and tachinid flies. No interactions between type of
field boundary and surrounding landscape were found at either 0.5 and 10 km,
indicating that the quality of field boundary had the same effect irrespective of
the cover of seminatural habitats. At the local scale, extended-width grass
margins yielded higher plant species richness, while hedgerows yielded higher
species richness of butterflies and tachinids. At the 0.5-km landscape scale, the
effect of the proportion of seminatural habitats was neutral for plants and
tachinids, while butterflies were positively related to the proportion of forest.
At the 10-km landscape scale, only butterflies responded positively to the
proportion of seminatural habitats. Our study confirmed the importance of testing
multiple scales when considering species from different taxa and with different
mobility. We showed that the quality of field boundaries at the local scale was
an important factor in enhancing farmland biodiversity. For butterflies, AESs
should focus particular attention on preservation'of forest patches in
agricultural landscapes within 0.5 kin, as well as the conservation of
seminatural habitats at a wider landscape scale.
PMID- 26552275
TI - Relating suborganismal processes to ecotoxicological and population level
endpoints using a bioenergetic model.
AB - Ecological effects of environmental stressors are commonly evaluated using
organismal or suborganismal data, such as standardized toxicity tests that
characterize responses of individuals (e.g., mortality and reproduction) and a
rapidly growing body of "omics" data. A key challenge for environmental risk
assessment is relating such information to population dynamics. One approach uses
dynamic energy budget (DEB) models that relate growth and reproduction of
individuals to underlying flows of energy and elemental matter. We hypothesize
that suborganismal information identifies DEB parameters that are most likely
impacted by a particular stressor and that the DEB model can then project
suborganismal effects on life history and population endpoints. We formulate and
parameterize a model of growth and reproduction for the water flea Daphnia magna.
Our model resembles previous generic bioenergetic models, but has explicit
representation of discrete molts, an important feature of Daphnia life history.
We test its ability to predict six endpoints commonly used in chronic toxicity
studies in specified food environments. With just one adjustable parameter, the
model successfully predicts growth and reproduction of individuals from a wide
array of experiments performed in multiple laboratories using different clones of
D. magna raised on different food sources. Fecundity is the most sensitive
endpoint, and there is broad correlation between the sensitivities of fecundity
and long-run growth rate, as is desirable for the default metric used in chronic
toxicity tests. Under some assumptions, we can combine our DEB model with the
Euler-Lotka equation to estimate longrun population growth rates at different
food levels. A review of Daphnia gene-expression experiments on the effects of
contaminant exposure reveals several connections to model parameters, in
particular a general trend of increased transcript expression of genes involved
in energy assimilation and utilization at concentrations affecting growth and
reproduction. The sensitivity of fecundity to many model parameters was
consistent with frequent generalized observations of decreased expression of
genes involved in reproductive physiology, but interpretation of these
observations requires further mechanistic modeling. We thus propose an approach
based on generic DEB models incorporating few essential species-specific features
for rapid extrapolation of ecotoxicogenomic assays for Daphnia-based population
risk assessment.
PMID- 26552276
TI - Moderate land use changes plant functional composition without loss of functional
diversity in India's Western Ghats.
AB - The fields of ecology and conservation science increasingly recognize the
importance of managing for functional composition and functional diversity to
maintain critical ecosystem processes and services. However, little is known
about the degree to which widespread but moderate forms of land use that maintain
overall vegetation structure are compatible with the conservation of functional
diversity. We assessed differences in plani functional composition and functional
diversity across savanna woodlands in the Western Ghats, India, managed with
varying degrees of biomass extraction, livestock grazing, and ground fire. Across
the gradient of moderate land uses, we found shifts in functional composition but
no overall decline in functional diversity with land, use intensification.
Biomass extraction was associated with changes in dispersal mode, reduced seed
mass, and lower overstory functional diversity. Livestock grazing was associated
with shorter overstory species, reduced seed mass, and increased understory
functional diversity. Nonnative invasive species contributed to shifts in
understory functional composition with livestock grazing and increased functional
diversity with more intensive land use. Our study highlights both the utility and
some limitations of assessing conservation value with functional diversity. These
results suggest that moderate-intensity local land use can be compatible with
maintenance of functional diversity in savanna woodlands of the Western Ghats,
and further efforts to maximize this compatibility would benefit conservation in
South India's extensive human-managed landscapes. However, using functional
diversity as the sole metric by which to gauge conservation value can mask
threats from invasive species and loss of diversity within categories of biotic
dispersal. Therefore, functional diversity metrics are likely to provide a
valuable complement to, but not replacement for, other management targets such as
species composition.
PMID- 26552277
TI - Structure and composition of altered riparian forests in an agricultural
Amazonian landscape.
AB - Deforestation and fragmentation influence the microclimate, vegetation structure,
and composition of remaining patches of tropical forest. In the southern Amazon,
at the frontier of cropland expansion, forests are converted and fragmented in a
pattern that leaves standing riparian forests whose dimensions are mandated by
the Brazilian National Forest Code. These altered riparian forests share many
characteristics of well-studied upland forest fragments, but differ because they
remain connected to larger areas of forest downstream, and because they may
experience wetter soil conditions because reduction of forest cover in the
surrounding watershed raises groundwater levels and increases stream runoff. We
compared forest regeneration, structure, composition, and diversity in four areas
of intact riparian forest and four areas each of narrow, medium, and wide altered
riparian forests that have been surrounded by agriculture since the early 1980s.
We found that seedling abundance was reduced by as much as 64% and sapling
abundance was reduced by as much as 67% in altered compared to intact riparian
forests. The most pronounced differences between altered and intact forest
occurred near forest edges and within the narrowest sections of altered riparian
forests. Woody plant species composition differed and diversity was reduced in
altered forests compared to intact riparian forests. However, despite being
fragmented for several decades, large woody plant biomass and carbon storage, the
number of live or dead large woody plants, mortality rates, and the size
distribution of woody plants did not differ significantly between altered and
intact riparian forests. Thus, even in these relatively narrow forests with high
edge: area ratios, we saw no evidence of the increases in mortality and declines
in biomass that have been found in other tropical forest fragment studies.
However, because of the changes in both species community and reduced
regeneration, it is unclear how long this relative lack of change will be
sustained. Additionally, Brazil recently passed a law in their National Forest
Code allowing narrower riparian buffers than those studied here in restored
areas, which could affect their long-term sustainability.
PMID- 26552278
TI - Biodiversity offsets are one solution to widespread poorly compensated
biodiversity loss: a response to Curran et al..
PMID- 26552279
TI - The jury is still out on biodiversity offsets: reply to Quetier et al..
PMID- 26552280
TI - How do you feel about Medicaid Managed Care?
PMID- 26552281
TI - Telemedicine Expanding in Arkansas Examples--UAMS and Beyond.
PMID- 26552282
TI - Fontal Sinus Mucocele Manifests as Persistent Draining Abscess of Upper Eyelid.
AB - Paranasal sinus mucocele and pyomucocele have a wide spectrum of symptomology and
chronicity of clinical manifestations. We present a case of a 52-y/o previously
healthy homeless male that presented with a 2-week history of a non-tender,
persistently draining upper eyelid abscess, and 1-year history of nonspecific
change of general appearance to his left eye.
PMID- 26552283
TI - Quality Improvement is Unique in Arkansas Nursing Homes.
PMID- 26552284
TI - Disconnective Hemispherotomy for Medically Intractable Status Epilepticus in an 8
Year-Old Child.
AB - We report here the unusual case of an 8-year-old child with left hemispheric
focal epilepsy secondary to a perinatal infarction who presented with new onset
absence seizures and eventual nonconvulsive status epilepticus that was
refractory to medical management. Following review at our multidisciplinary
Epilepsy Surgery conference, the patient underwent disconnective surgical
hemispherotomy with immediate cessation of his seizures; and has remained seizure
free at 4 months following surgery. In this context, we present here an overview
of hemispherectomy and related procedures, including peri-insular disconnective
hemispherotomy, and we discuss the efficacy of surgery for challenging
hemispheric epilepsies.
PMID- 26552286
TI - Looking forward.
PMID- 26552285
TI - Budd-Chiari Syndrome in a patient with Multiple Hypercoagulopathies.
AB - OBJECTIVE: To describe a patient with Budd-Chiari syndrome (BCS) found to have
multiple hypercoagulopathies. CASE SUMMARY: A 33-year-old man who presented with
abdominal distension, hematemesis, shortness of breath was found to have liver
cirrhosis, portal hypertension and Budd-Chiari Syndrome. He was evaluated for
hypercoagulability and was found to be heterozygous for Factor V Leiden mutation
and his protein C level was 38% of normal. He was started on oral anticoagulation
and underwent elective liver transplantation within 3 months of diagnosis.
DISCUSSION: In patients with Budd-Chiari syndrome, factor V Leiden is the second
most commonly identified prothrombotic state after primary myeloproliferative
disorders. There may be a coexistence of several thrombophilic states in patients
with Budd-Chiari Syndrome. CONCLUSIONS: Budd-Chiari syndrome is an uncommon
disorder. Outcome is poor in many cases. Therefore, a successful diagnostic and
therapeutic approach is of vital importance. A complete thrombophilia screening
needs to be requested in all patients diagnosed with Budd-Chiari syndrome.
Thorough investigation needs to be performed to identify an underlying process
contributing to the hepatic venous outflow obstruction.
PMID- 26552287
TI - Graduate of the first acute care surgery fellowship program reflects on the
experience.
PMID- 26552288
TI - Sharing clinical photographs: Patient rights, professional ethics, and
institutional responsibilities.
PMID- 26552289
TI - Advocacy and grassroots: Leveraging local issues at the national level.
PMID- 26552290
TI - YFA Essay Contest winner: Promise of the profession: The chance to be human.
PMID- 26552291
TI - The final rule on the Medicare Shared Savings Program.
PMID- 26552292
TI - Improving access to surgical care in rural America: An interview with J. David
Richardson.
PMID- 26552293
TI - Improving resection rates in borderline resectable pancreatic cancer: Pilot study
shows favorable results.
PMID- 26552294
TI - ACS educational awards and MyCME.
PMID- 26552295
TI - High reliability science and surgery: The Joint Commission's Robust Process
Improvement methodology.
PMID- 26552297
TI - ACS NSQIP conference: 10 years of putting the patient first.
PMID- 26552296
TI - NTDB DATA POINTS: Fireworks.
PMID- 26552298
TI - In memoriam: Dr. Norman McSwain, a pioneer in comprehensive trauma care.
PMID- 26552299
TI - Thrombin modulates persistent sodium current in CA1 pyramidal neurons of young
and adult rat hippocampus.
AB - Serine protease thrombin, a key factor of blood coagulation, participates in many
neuronal processes important for normal brain functioning and during pathological
conditions involving abnormal neuronal synchronization, neurodegeneration and
inflammation. Our previous study on CA3 pyramidal neurons showed that application
ofthrombin through the activation of specific protease-activated receptor 1
(PAR1) produces a significant hyperpolarizing shift of the activation of the TTX
sensitive persistent voltage-gated Na+ current (I(Nap)) thereby affecting
membrane potential and seizure threshold at the network level. It was shown that
PAR1 is also expressed in CA1 area of hippocampus and can be implicated in
neuronal damage in this area after status epilepticus. The aim of the present
study was to evaluate the effect of thrombin on I(NaP) in CA1 pyramidal neurons
from adult and young rats. Using whole cell patch-clamp technique we demonstrate
that thrombin application results in the hyperpolarization shift of I(NaP)
activation as well as increase in the I(NaP) amplitude in both age groups. We
have found that I(NaP) in pyramidal neurons of hippocampal CA 1 region is more
vulnerable to the thrombin action than I(NaP) in pyramidal neurons of hippocampal
CA3 region. We have also found that the immature hippocampus is more sensitive to
thrombin action which emphasizes the contribution of thrombin-dependent pathway
to the regulation of neuronal activity in immature brain.
PMID- 26552300
TI - [PHYSICAL EXERCISE TRAINING CAN- CELS CONSTITUTIVE NOS UNCOUPLING AND INDUCED
VIOLATIONS OF CARDIAC HEMODYNAMICS IN HYPERTENSION (PART III)].
AB - In the heart and heart mitochondria spontaneously hypertensive rats investigated
the effect of physical exercise training (swimming in a moderate and excessive
training mode) on the physiological indicators of cardiac hemodynamics and
biochemical parameters that characterize the level of oxidative and nitrosative
stress. The index of coupling Ca(2+)-dependent constitutive NO-synthases (cNOS =
eNOS + nNOS) and biochemical index of dysfunction were calculated. It turned out
that both modes of training is completely restored, and even exceed the reference
values in untrained rats Wistar conjugate cNOS state and Ca(2+)-dependent
synthesis of nitric oxide (NO). Intensity regime of exercise on the border of
functionality have been ineffective for improving the functional state of the
cardiovascular system and hypertension can provoke it further. Moderate physical
training regime, on the contrary, improves the diastolic function of the heart
due to an increase dP/dtmin, reducing end-diastolic pressure and a significant
reduction in end-diastolic stiffness. Moderate exercise decreased peripheral
resistance and cardiac afterload, as indicated by the decrease in end-systolic
pressure and arterial stiffness, which contributed to more efficient and energy
saving of heart work. Improve physiological indicators of cardiac hemodynamics
and functional state of the heart in moderate mode of training correlated with
changes in both the calculated indices. Moderate mode of training is recommended
as a simple physiological preconditioning method for the prevention of cardiac
dysfunction, hypertension as a result of state uncoupling cNOS and the resulting
excessive generation of superoxide and, conversely, inhibition of Ca(2+)
dependent synthesis of NO.
PMID- 26552301
TI - [ROLE PHOSPHOINOSITID SIGNALING PATHWAY IN OPIOIDS CONTROL OF P2X3 RECEPTORS IN
THE PRIMARY SENSORY NEURONS].
AB - Homomeric P2X3 receptors expressed in primary nociceptive neurons are crucial
elements in the pain signal generation. In turn, opioid system regulates the
intensity of this signal in both CNS and PNS. Here we describe the effects of
opioids on P2X3 receptors in DRG neurons studied by using patch clamp technique.
Activation of G-protein coupled opioid receptors by endogenous opioid Leu
enkephalin (Leu), resulted in the two opposite effects on P2X3 receptor-mediated
currents (P2X3 currents). In particular, application of 1 uM Leu lead to the
complete inhibition of P2X3 currents. However, after pretreatment of the neurons
with a Gi/o-protein inhibitor pertussis toxin (PT), the same concentration of Leu
caused facilitation of P2X3 currents. PLC inhibitor U-73122 at concentration of 1
uM completely eliminated both facilitating and inhibitory effects of Leu on P2X3
currents. Thus, opioid receptor agonists cause two oppositely directed effects on
P2X3 receptors in DRG neurons of rats and both of them are mediated through PLC
signaling pathway. Our results point to a possible molecular basis of the
mechanism for the well-known transition inhibitory action of opioids (analgesia)
to facilitating (hyperalgesia).
PMID- 26552302
TI - [ALLELIC VARIANT FREQUENCY OF PROMOTER (G(-47)-->A) gamma-CRYSTALLIN GENE AFFECTS
THE LEVEL OF ITS EXPRESSION IN PLATELETS].
AB - To investigate the genetical precursors of cataract development the next groups
were included: patients suffering from cataract (96) and 96 healthy persons. The
determination of gamma-crystallin polymorphism (G(-47)-->A) (rs2289917) was
provided using PCR method and further analyses of restriction fragment length
polymorphism. These allelic variants have the significant different: G/G--35.37%,
G/A--53.66%, A/A--10.98%, and G/G--55.06%, G/A--35.96%, A/A--8.99% comparing with
the control group (P = 0.03, by chi2-test). While investigating the level of
expression of gamma-crystallin gene (CRYGB) in platelets, showed that the
quantity of mRNA in homozygotes G/G in 3.9 times (P < 0.05) higher than in
carriers of A allele (genotype G/A and A/A). This paper shows the significant
difference in distribution of CRYGB promoter (G(-47)-->A) genotypes in patients
with cataract compared to the control group. Furthermore, here we provide the
data concerning its functional meaning: level of mRNA expression of crystallin is
different in carriers of various CRYGB promoter (G(-47)-->A) genotypes.
PMID- 26552303
TI - [EFFECT OF PROPARGYLGLYCINE UPON CARDIOHEMODYNAMICS IN OLD RATS].
AB - Aging increases the risk of cardiovascular diseases. The objective of this study
was to show the effect of propargylg- lycine (PPG) upon cardiohemodynamics in old
rats. We used pressure-volume (PV) conductance catheter system (Millar
Instruments, USA) in order to evaluate systolic and diastolic function in vivo.
It has been shown that introducted PPG (11,31 mg/kg) decrises both arterial
stiffness (by 1,5 times) and end-diastolic stiffness (by 2,1 times) in old rats.
Using PPG in heart mitochondria resulted in increasing levels of H2S (by 112%),
NO2- (by 162%) and in growing activity of cNOS (by 3 times). Additionally, PPG
decreased the mitochondrial pools of the uric acid, the marker of the superoxide
(*O2-) formation and of the ATP degradation. These results suggest that PPG
activates alternative ways of H2S synthesis, stimulates the NO and H2S synthesis
and suppresses the ATP degradation and *O2 formation. These actions of PPG
improve arterial stiffness and end-diastolic stiffness.
PMID- 26552304
TI - [CHANGES IN THE BRAIN TESTOSTERONE METABOLISM AND SEXUAL BEHAVIOR IN MALE RATS
PRENATALLY EXPOSED TO METHYLDOPA AND STRESS].
AB - The changes of aromatase and 5alpha-reductase activities were studied in preoptic
area (POA) and medial basal hypothalamus of 10-days-old and sexual behavior in 3
month-old male offsprings of rats exposed daily to noradrenaline antagonist
methyldopa (400 mg/kg per os) 30 minutes prior to 1-hour immobilization during
the last week of pregnancy (from 15th to 21st day). Prenatal stress caused
aromatase activity lowering in the POA of developing brain and feminization
(appearance of lordosis) and demasculinization of sexual behavior (prolongation
of latent periods to the first mounting and first intromission as well as of the
first ejaculation and postejaculation refractory period) in young male offspring.
Oral methyldopa used prior to pregnant females stressing prevented early effect
of prenatal stress on aromatase activity in the POA and normalized the male
sexual behavior in young male rats by shortening both latent period to the first
ejaculation and postejaculation refractory period, and an increase of numbers of
ejaculation. The data obtained indicate that brain noradrenergic system plays
significant role in the mechanisms of metabolic- and behavioral disturbances
developing in male rats exposed to prenatal stress.
PMID- 26552305
TI - [EFFECT OF PEPTIDE SEMAX ON SYNAPTIC ACTIVITY AND SHORT-TERM PLASTICITY OF
GLUTAMATERGIC SYNAPSES OF CO-CULTURED DORSAL ROOT GANGLION AND DORSAL HORN
NEURONS].
AB - The influence of long-term culturing (12 days in vitro) of dorsal root ganglion
(DRG) and dorsal horn (DH) neurons with peptide Semax on the level of synaptic
activity at co-cultures, as well as short-term plasticity in sensory synapses
were studied. It has been shown that neuronal culturing with peptide at
concentrations of 10 and 100 uM led to increasing the frequency of spontaneous
glutamatergic postsynaptic currents in DH neurons to 71.7 +/- 1.8% and 93.9 +/-
3.1% (n = 6; P < 0.001); Semax has a not significant effect on the amplitude and
frequency of miniature glutamatergic currents, but causes an increase of the
amplitudes of spontaneous postsynaptic currents, as well as elevates the quantum
content. The data show the increase of multivesicular glutamate release
efficiency in neural networks of co-cultures following incubation with the
peptide. Also Semax (10 and 100 uM) induces changes of the basic parameters of
short-term plasticity in sensory synapses: (1) increasing the paired-pulse ratio
from 0.53 +/- 0.028 (n = 8) to 0.91 +/- 0.072 (n = 6, P < 0.01) and 0.95 +/-
0.026 (n = 7; P < 0.001); (2) reducing the ratio of the coefficients of variation
(CV2/ CV1) from 1.49 +/- 0.11 (n = 8) to 1.02 +/- 0.09 (n = 6; P < 0.05) and 1.11
+/- 0.13 (n = 7; P < 0.0) respectively. The results indicate a stimulating effect
of Semax on the activity of glutamatergic synapses in neural networks of co
cultures, as well as the ability of the peptide to effectively modulate the short
term plasticity in sensory synapses.
PMID- 26552306
TI - [Impact of diabetic complications on neuromuscular transmission in the smooth
muscle of the bladder of rats with experimental diabetes].
PMID- 26552307
TI - [THE INFLUENCE OF PROGENITOR NEURO- CELLS SUPERNATANT ON THE LYMPHO- CYTES
CYTOTOXIC FUNCTION IN RATS WITH GLIOMA].
AB - The impact of rat neurogenic progenitor cells supernatant (RPNS) on the cytotoxic
function of lymphocytes in rats under conditions of physiological norm and
experimentally modeled tumor (brain glioma strain 101.8) was studied. The
research was carried out in animals with inoculated tumor without RPNS injection
and with different regimes of RPNS injection (thrice repeated from 5th to 10th
day after glioma inoculation as well as 1 week and 1 month before tumor
inoculation). Comparison groups included rats without glioma who triple injected
with RPNS; and intact animals (control). RPNS was received from suspension of
neurogenic progenitor cells (NPC) of rat brain on 14th day of gestation and
injected intraperitoneally (0,12 mg per animal). Cytotoxic function of
lymphocytes of experimental rats was evaluated in MTT-colorimetric test with
allogeneic glioma cells. RPNS administration increased the cytotoxic activity of
lymphocytes in vitro tests with allogeneic tumor cells in intact animals (to 37
38%) as well as in rats with glioma (to 11-22%). Under the RPNS influence the
life expectancy and median survival of tumor-bearing animals increased (an
average of 3-4 days). RPNS input modes such as triple injection from 5th to 10th
day after glioma inoculation and 1 week before inoculation were the most
effective. Thus, indirect tumor-inhibiting effect under intraperitoneal. RPNS
administration in rats with glioma is demonstrated, which is obviously due to
increased efficiency of cytotoxic function of immune cells of animals with
inoculated tumor under the influence of the factors produced by NPC.
PMID- 26552308
TI - [MORPHOFUNCTIONAL STATE OF BLOOD CELLS AFTER CHRONIC EXPOSURE OF THE PROTEIN
KINASES INHIBITOR MALEIMIDE DERIVATIVE].
AB - The effect of the protein kinases inhibitor maleimide derivative (MI-1, 1-(4-Cl
benzyl)-3-Cl-4-(CF3-phenylamino)-1H-pyrrole-2,5-dione), inhibitor of VEGF-R1,2,3,
FGF-R1, EGF-R(h), PDK1, Src(h), Syk(h), YES, ZAP70 et al. with antineoplastic
activity, on blood cells parameters of rats after chronic exposure has been
studied. Administration of MI-1 at doses 0.027 and 2.7 mg/kg (suppress colon
carcinogenesis) for 20 and 26 weeks does not affect the morphofunctional state of
red blood cells in healthy rats. This is confirmed by the lack of differences in
the concentration of hemoglobin in blood, red blood cells count, mean corpuscular
hemoglobin and mean corpuscular hemoglobin concentration, hematocrit and mean
corpuscular volume, and the number of reticulocytes in blood after 20 and 26
weeks of exposure compared with the control group. MI-1 at indicated doses does
not influence total leukocytes count and content (eosinophilic and neutrophilic
granulocytes, lymphocytes, monocytes) and does not inhibit thrombocytopoiesis
(platelet count remains unchanged). No negative effect of MI-1 on hematopoiesis
is not limited (by the hemopoietic system) use of this compound as a potential
antitumor drug
PMID- 26552309
TI - [ACTIVATION OF PROTEIN C IN THE IN VITRO THROMBOLYSIS].
AB - Physiological conditions of formation and subsequent lysis of thrombus were
reconstituted in vitro in our research. Thrombus formation was initiated either
by addition of exogenous thrombin or by contact of blood with anionic surface,
which stimulates spontaneous coagulation of blood. Tissue plasminogen activator
and/or protein C were previously added in the blood sample. The time of the
beginning and total degradation of formed thrombi as well as the level of PC in
lysates was controlled then. Only an addition of protein C alone or in
combination with tissue plasminogen activator led to the most effective lysis of
thrombi: their residual weight was 18% and 5% comparing to control. Addition of
exogenous tissue plasminogen activator alone or in combination with protein C
caused a 83% and 74% decrease of PC level in lysates of spontaneously formed
thrombi, and 72% and 56% decrease for thrombi formed by thrombin, respectively.
Without an addition of tissue plasminogen activator protein C level in lysates of
thrombi formed by thrombin was 54% down on spontaneously formed thrombi. Thus,
changes of PC concentration in isolated volume of clot seem to be controlled by
thrombin at the stage of thrombus formation and by fibrinolytic system at the
stage of fibrinolysis. Concentration of PC in lysates from clots formed by
exogenous thrombin was decreasing over the next 10 hours of thrombolysis, which
can also be the evidence of the interaction between the fibrinolytic and PC
activation systems. A hypothesis is. formulated about an existence of endothelium
independent mechanism of PC activation in blood plasma with blood cells
participation, which effectiveness increases in the process of thrombolysis.
PMID- 26552310
TI - [INVESTIGATIONS OF SUBMICROSCOPIC ARCHITECTONICS SERTOLI AND LEYDIG CELLS AFTER
HYDROCHLORIDE SEROTONIN DESTRUCTIVE IMPACT AND THE POSSIBILITY OF CORRECTION BY
STIMULANTS OF METABOLIC PROCESSES].
AB - The results of study of ultrastructural changes in the Sertoli cells and Leydig's
cells organelles after destructive influence of the serotonin hydrochloride and
under influence bioglobin-U have been presented. It was shown that serotonin
hydrochloride causes mitochondrial dysfunction and activates intracellular
catabolic processes on the intracellular level. Bioglobin-U increases the
activity and reparative synthetic reactions, reduced the degree of mitochondrial
dysfunction and catabolic processes and activate the Leydig cell metabolism, and
significantly reduces the number of foci destruction membranes of the endoplasmic
reticulum, mitochondrial, and membranes of nucleus on the background of serotonin
hydrochloride.
PMID- 26552311
TI - [MODELING IN VITRO PATHWAYS OF ACTIVATION OF LIPID PEROXIDATION AND PROTEIN IN
CHRONIC KIDNEY DISEASE].
AB - We studied the spontaneous and metal induced oxidation of lipids and proteins in
in vitro modeling ways of lipid peroxidation and blood proteins in the formation
of malondialdehyde (MDA) and protein carbonyl groups (PCG) in 86 patients with
chronic pyelonephritis (cPN) and 64 patients chronic glomerulonephritis(cGN)
without prejudice excretory function of the kidneys. Installed the increase in
the blood of patients with cPN MDAs 2 times, MDAe--14%, PCG 1.5 times; and cGN-
MDAs 2.3 times, MDAe--29%, PCG--2 times. Found increased MDA content and PCG in
the blood of patients with cPN and more expressive when cGN. Stimulation of in
vitro peroxidation processes contributed significantly increased of production of
MDA comparedwith baseline. In the modeling in vitro ascorbate-dependent and NADPH
dependent lipid peroxidation ways and the increase in protein production of MDA
and PCG in both groups of patients, especially in the NADPH-dependent way, which
must be considered in the correction of oxidative processes and antioxidant
therapy appointment.
PMID- 26552312
TI - [DYNAMICS OF SPECTRAL INDEXES OF HEART VARIABILITY RATE OF THE STUDENTS WITH
DIFFERENT CHARACTER OF THE EDUCATIONAL LOADING].
AB - It has been analyzed in the article the change of spectral parameters of heart
rate variability of the students of various disciplines influenced by conditions
of educational and practical activities. It has been established that during
training for women of all groups the studied parameters were reduced, and the
students of "Physical culture" value LF increased almost in 2 times (P <= 0.05)
in parallel with a decrease of VLF (of 902 [497; 1417] to 516 [401; 723] square
milliseconds P <= 0.05). During the internship in students of "Foreign Languages"
significantly increased rate of vegetative balance LF/HF (from 1,47 [0,88; 1,80]
to 1,63 [1,18; 3,15] standard units, P <= 0.05) and all the studied parameters
tended to increase (P >= 0.05). The growth of total power of spectrum (1969
[1298, 2398] to 3273 [1874; 4568] square milliseconds P50,05) in women specialty
"Chemistry and Biology" testified to the increased activity of independent
regulation circuit. In the students' "Physics and Mathematics" and "Physical
Education" downward trend indicators had HF, VLF, TP and to increase--LF and LF
HF (P >= 0.05). Changes of spectral indices point to strengthen sympathykotonic
impacts and reduction of adaptive capacity in women "Physical Education"
experiencing psycho-emotional tension combined with mental and physical load.
PMID- 26552313
TI - [STATE OF AUTONOMIC NERVOUS SYSTEM OF MEDICAL HIGHER SCHOOL STUDENTS AND ITS
RELATIONS WITH THEIR PHYSICAL ACTIVITY AND OPERATION WITH THE COMPUTER].
AB - The state of autonomic nervous system of the first-second years students and its
relations with their physical activity, the experience and operation duration
with computers have been explored. The observed base vegetative tonus was
vagotonia and eytonia mainly (93.2%), vegetative reactivity was asympathetic and
sympathetic (77.0%) mainly. Autonomic nervous system sympathetic part
excitability in orthostatic test in 61.1% of the students was norm, while
parasympathetic part reactivity in oculocardiac test was norm or reduced (72.8%
of students) mainly. Work with the computer during 3 hours and more a day
enhances sympathetic influences on heart activity, but increase of total work
time promotes to relative decrease of sympatetic tonus. Vegetative reactivity
grows both with increase of the operating time with the computer and with
increase of the sport exercises. duration.
PMID- 26552314
TI - [Changing Forensic Mental Health in France: A Review].
AB - This article describes the background and recent changes in French forensic
mental health. The literature suggests that three law reforms have been crucial
to changes in the mental health system. First, the Penal Code of 1992 redefined
the provisions of criminal responsibility and introduced the category of
diminished responsibility. Second, a controversial law for preventive detention
(retention de surete) was enacted in 2008, according to which criminals with
severe personality disorders are subject to incarceration even after the
completion of their prison sentences if they are still considered to pose a
danger to the public. Third, the revision of mental health laws in 2011 altered
the forms of involuntary psychiatric treatments, stipulating a judge's authority
to decide treatment. In parallel with these legal reforms, the psychiatric
treatment system for offenders with mental disorders has been reconstructed. The
number of difficult patient units (unites pour malades difficiles) has increased
from four to ten across the nation in order to meet the needs of patients
transferred from general psychiatric institutions for the reason of being
unmanageable. In the penitentiary system, new facilities have been established to
cope with the growing number of inmates with mental disorders. As background to
these changes, it is pointed out that the current psychiatric system has
undergone deinstitutionalization and become less tolerant of aggressive behavior
in patients. In the broader context, public sensitivity towards severe crime, as
shown by the sensation triggered by serious crimes conducted by pedophiles, seems
to urge tough policies. In the 2000 s, several homicides committed by psychiatric
patients had a great impact on the public, which led President Sarkozy to issue a
statement calling for stronger security in psychiatric institutions. The harsh
attitude of courts towards psychiatric practices is illustrated by a 2012 ruling;
after a patient escaped from the hospital and hacked an elderly man to death, his
psychiatrist was sentenced to a one-year suspended prison sentence for failing to
recognize the danger that the patient posed to the public. Another lawsuit was
raised against a psychiatrist following this case. Apparently, a sense of crisis
is growing among psychiatric professionals. Their concerns are based on several
points. Introduction of diminished responsibility may narrow the possibility of
acquittal by reason of insanity, and lead to the criminalization of those with
mental disorders. Dangerousness (dangerosite), pivotal in the procedure of
preventive detention, is not a medical concept, but is instead based on the
erroneous identification of criminality and mental disorders. Therefore, it is
unreasonable to entrust the evaluation of dangerousness to psychiatric expertise.
Court intervention in the process of deciding appropriate treatment may intensify
judicialization (judiciarisation) of psychiatry. Establishment of facilities for
prisoners within the mental health system would create a new segregating function
of psychiatry. Thus, French experience seems to be figuring out all the
challenges that contemporary metal health is facing. Above all, effective
measures should be taken to prevent patients from entering the criminal justice
system. Following this recommendation would be helpful in Japan, where a new
forensic mental health system has just started.
PMID- 26552315
TI - [Evidence-based Prospects for the Future of Mental Health Policies in Japan].
AB - The "Visions in Reform of Mental Health and Medical Welfare" is a report by the
Headquarters for Mental Health and Welfare of the Ministry of Health, Labour and
Welfare, with the Minister serving as the Director-General. The report generally
presents the goals that must be realized over the next 10 years in order to
reform the focus of Japan's mental health and medical welfare from "hospitalized
medical treatment to living in the community". Reviewing the recent developments
after the "Visions in Reform of Mental Health and Medical Welfare", evidence
based prospects for the future of mental health policies in Japan are as follows:
patient-centered, continuity of care, care management (CM), and vertical
integration of multifunction of care are key functions of the new business model
of mental health facilities under the regional medical vision.
PMID- 26552316
TI - [Kinshicho Model for Community Care by Multifunctional Vertical Integration of
Psychiatric Care].
AB - The future of psychiatric community care in Japan requires a medical team for
outpatient care to offer support and take responsibility for a region; respecting
human rights and supporting high risk patients who have concluded a long-period
of hospitalized or repeated involuntary commitment, and for people who suffer
from social withdraws over a long period of time. There are over 3,000 private
psychiatric outpatient clinics in Japan. Over 400 of them are multifunctional
psychiatric outpatient clinics that provide daycare services and outreach
activities. In the future, if systematized those clinics entrusted by an
administrative organ with performing as a "community mental health center".
Multifunctional vertical integration of psychiatric care is possible in Japan to
create a catchment area with 24 hours phone service and continued free access.
PMID- 26552317
TI - [Approach of Private Hospitals to Support Individuality-respected Community
Living].
AB - The government has taken a variety of measures to actualize the basic philosophy
of the policy for"shifting medical treatment under hospitalization to regional
medical treatment". The problems associated with mental disorders requiring long
term psychiatric treatment and reducing psychiatric beds have been discussed from
various viewpoints. Although the transfer of psychiatric medical treatment to
regional medical treatment is taking place, it is true that some large barriers
exist. According to research on the actual situation in 1954, 1,300,000
psychiatric disorders were estimated, including 350,000 disabilities requiring
hospitalization, and mental beds available increased up to a maximum of 350,000
with government subsidies. In 1987, mental bed availability was controlled
according to the local healthcare program. As a result, periods of psychiatric
hospitalization became prolonged due to factors including social prejudice and
the inadequacy of rehabilitation centers; it was called "social hospitalization".
Nevertheless, it is true that private psychiatric hospitals have successfully
provided various psychiatric medical services in order for people with
disabilities to be able to live in the community. The Program for Dissolution of
Social Hospitalization of Psychiatric Disabilities in 2000 started in Osaka. The
Ministry of Health, Labour and Welfare started the Program for Hospital Discharge
Promotion of Psychiatric Disabilities as a model program in 2003. This program
was included in the Regional Life Support Program in Prefectural and City
Governments, and expanded throughout Japan in 2006. The Regional Shift Support
Special Action Program of Psychiatric Disabilities was started in 2008. The
report of the "Symposium for Ideal Future Psychiatric Medical Welfare" as
compiled in September 2009, and the target value was indicated there. Various
measures were implemented in order to promote the basic philosophy for "shifting
medical treatment under hospitalization to living in the community". In 2012, the
Japan Psychiatric Hospitals Association, joined by the private psychiatric
hospitals responsible for medical treatment under hospitalization, set forth
their basic polity for "shifting medical treatment under hospitalization to
regional medical treatment and medical care" as part of "Future Vision of
Psychiatric Medical Treatment".
PMID- 26552318
TI - [The Asahi Model-Regional Mental Health Services at Department of Psychiatry and
Child Psychiatry, Asahi General Hospital].
AB - The Asahi model, Psychiatric Services of Department of Psychiatry and Child
Psychiatry, Asahi General Hospital, is characterized by multiple dimensions of
mental health services, such as multidisciplinary team approach, medical
cooperation, specialized psychiatric treatment of acute care, clozapine and
modified ECT, outreach services of home nursing and assertive community
treatment, and the close and mutual coordination with housing services and social
welfare services. The Asahi Model makes it possible to be deinstitutionalized, to
improve patients satisfaction, to shorten hospitalization, to decrease
psychiatric emergency visits and to be of service in a natural disaster. It also
might prevent the relapse of schizophrenics within twelve months after discharge
and improve the quality of mental health staffs trainings to support patients
better. In the future, we will need to work on providing sectorized care, early
psychosis intervention programs, to construct networking systems of clozapine and
modified ECT, to strengthen growth of home nursing, and to take place mental
health anti-stigma campaigns.
PMID- 26552319
TI - [Practice of Community Psychiatry for the Treatment of Depression].
AB - The practice in the psychiatric division of Kitasato University East Hospital and
Kitasato University Hospital has been emphasizing community psychiatry. The
problems and proposed solutions are discussed. 1. Both hospitals are core
hospitals located in Sagamihara City (Kanagawa Prefecture), which has no
municipal hospital. 2. Kitasato University East Hospital has 94 beds in two
closed wards and is one of the hospitals designated for psychiatric emergencies
in Kanagawa Prefecture. 3. Over the last 10 years aroud Sagamihara City,
cooperation between psychiatric hospitals and outpatient clinics, the treatment
of patients with mental and physical diseases, improvement of the quality of
psychiatric practice, emergency psychiatry, and imbalances in the incomes and
workloads of psychiatrists have been problematic. 4. Problems that need to be
solved in practice to treat depression involve inappropriate pharmacotherapy,
disease mongering (the practice of widening the diagnostic boundaries of
illnesses in order to expand the markets for drug treatment), clinical skills of
psychiatrists, profitability, and medical institutions which cannot cope with
regular patients in an emergency. 5. Up to now, we have established a consulting
service ("Second opinion" clinic) at Sagamihara Mental Health and Welfare Center
(Municipal institution), recommended patients' consultation with family
pharmacists, and increased the frequency of conferences for doctors without the
support of pharmaceutical companies. 6. In order to develop community psychiatric
services for patients with depression, the author is preparing a community-based
critical path for depression as well as community-based treatment network in the
Sagamihara area. 7. The author believes that the urgent issue necessitates
transparency and the increased visibility of psychiatric services.
PMID- 26552320
TI - [What Psychiatrists Should Know about the Medical Documentation They Issue:
Admission for Medical Care and Protection, Medical Treatment for Persons with
Disabilities, Mental Health Disability Certification, etc].
AB - Psychiatrists issue a wide variety of documentation, among which are torms such
as Registration of Admission for Medical Care and Protection, Periodic Report of
Condition, Certification of Medical Treatment for Persons with Disabilities, and
Mental Health Disability Certification, which are required under laws such as the
Act on Mental Health and Welfare for the Mentally Disabled. These documents are
important in that they are related to protecting the human rights of people with
mental disorders, as well as securing appropriate medical and welfare services
for them. However, in the course of reviewing and evaluating documentation at our
Mental Health and Welfare Center, we encounter forms which are incomplete, or
which contain inappropriate content. In order to protect the human rights of
people with mental disorders, and to ensure the provision of appropriate medical
and welfare services for them, I call on psychiatrists to issue carefully written
and appropriate documentation. In this talk I will focus primarily on what
psychiatrists should know when filling in forms in the course of their day-to-day
clinical work.
PMID- 26552321
TI - [Key Policy Challenges in Japanese Mental Health Relevant to the Next Generation
of Japanese Psychiatrists: A Medical Officer's View].
AB - The Japanese mental health community is going through a series of major reforms
initiated by the Ministry of Health, Labour and Welfare (MHLW) of Japan. As of
2013, mental disorder was included as the fifth priority national disease in the
Regional Healthcare Strategic Plan. In the same year, the Act on Mental Health
and Welfare of People with Mental Disorders was revised for the first time since
1999, and enacted on 1 April, 2014, aiming to promote community-based mental
health, among others. With this as a background, this article aims to inform the
next generation of psychiatrists regarding: 1) the process in which mental health
reform takes place at the government level, 2) the role of medical officers
instrumental in promoting such reform processes, and 3) an overview of the recent
mental reform, with a focus on ministerial guidelines envisioning future
directions.
PMID- 26552322
TI - [Optimal Antipsychotic Dose and Dosing Interval in the Treatment of
Schizophrenia].
AB - While antipsychotic treatment is essential for acute and maintenance phases of
schizophrenia, antipsychotics can induce various undesirable side effects. Thus,
antipsychotic dose and dosing interval should be optimized for each patient. Some
of the side effects of antipsychotics, including cognitive impairment, are
related to antipsychotic dose. To date, there have been only a few studies
examining the effect of atypical antipsychotic dose reduction on clinical
outcomes, and there has been no study employing neurocognitive assessments. Based
on this background, we conducted a randomized controlled trial and found that
atypical antipsychotic reduction significantly improved cognitive function
without an increased risk of relapse. In addition, we analyzed the Clinical
Antipsychotic Trial of Intervention Effectiveness (CATIE) data, revealing that
there were no significant differences in clinical outcomes between once- vs.
twice-daily perphenazine dosing regimens; however, the mean dose of perphenazine
was significantly lower with once-daily dosing than that with twice-daily dosing.
These findings suggest the possibility of effective antipsychotic treatment with
lower doses and longer dosing intervals.
PMID- 26552323
TI - Predictors of Mortality in Patients with COPD and Chronic Respiratory Failure:
The Quality-of-Life Evaluation and Survival Study (QuESS): A Three-Year Study.
AB - Previous studies sought to identify survival or outcome predictors in patients
with COPD and chronic respiratory failure, but their findings are inconsistent.
We identified mortality-associated factors in a prospective study in 21 centers
in 7 countries. Follow-up data were available in 221 patients on home mechanical
ventilation and/or long-term oxygen therapy. MEASUREMENTS: diagnosis, co
morbidities, medication, oxygen therapy, mechanical ventilation, pulmonary
function, arterial blood gases, exercise performance were recorded. Health status
was assessed using the COPD-specific SGRQ and the respiratory-failure-specific
MRF26 questionnaires. Date and cause of death were recorded in those who died.
Overall mortality was 19.5%. The commonest causes of death were related to the
underlying respiratory diseases. At baseline, patients who subsequently died were
older than survivors (p = 0.03), had a lower forced vital capacity (p = 0.03), a
higher use of oxygen at rest (p = 0.003) and a worse health status (SGRQ and
MRF26, both p = 0.02). Longitudinal analyses over a follow-up period of 3 years
showed higher median survival times in patients with use of oxygen at rest less
than 1.75 l/min and with a better health status. In contrast, an increase from
baseline levels of 1 liter in O2 flow at rest, 1 unit in SGRQ or MRF26, or 1 year
increase in age resulted in an increase of mortality of 68%, 2.4%, 1.3%, and 6%,
respectively. In conclusion, the need for oxygen at rest, and health status
assessment seems to be the strongest predictors of mortality in COPD patients
with chronic respiratory failure.
PMID- 26552325
TI - Preparation of Honeycomb SnO2 Foams and Configuration-Dependent Microwave
Absorption Features.
AB - Ordered honeycomb-like SnO2 foams were successfully synthesized by means of a
template method. The honeycomb SnO2 foams were analyzed by X-ray diffraction
(XRD), thermogravimetric and differential scanning calorimetry (TG-DSC), laser
Raman spectra, scanning electron microscopy (SEM), and Fourier transform infrared
(FT-IR). It can be found that the SnO2 foam configurations were determined by the
size of polystyrene templates. The electromagnetic properties of ordered SnO2
foams were also investigated by a network analyzer. The results reveal that the
microwave absorption properties of SnO2 foams were dependent on their
configuration. The microwave absorption capabilities of SnO2 foams were increased
by increasing the size of pores in the foam configuration. Furthermore, the
electromagnetic wave absorption was also correlated with the pore contents in
SnO2 foams. The large and high amounts pores can bring about more interfacial
polarization and corresponding relaxation. Thus, the perfect ordered honeycomb
like SnO2 foams obtained in the existence of large amounts of 322 nm polystyrene
spheres showed the outstanding electromagnetic wave absorption properties. The
minimal reflection loss (RL) is -37.6 dB at 17.1 GHz, and RL less than -10 dB
reaches 5.6 GHz (12.4-18.0 GHz) with thin thickness of 2.0 mm. The bandwidth (<
10 dB, 90% microwave dissipation) can be monitored in the frequency regime of 4.0
18.0 GHz with absorber thickness of 2.0-5.0 mm. The results indicate that these
ordered honeycomb SnO2 foams show the superiorities of wide-band, high-efficiency
absorption, multiple reflection and scatting, high antioxidation, lightweight,
and thin thickness.
PMID- 26552324
TI - Comparison of virulence between Paracoccidioides brasiliensis and
Paracoccidioides lutzii using Galleria mellonella as a host model.
AB - Paracoccidioidomycosis is a systemic mycosis, endemic in Latin America. The
etiologic agents of this mycosis are composed of 2 species: Paracoccidioides
brasiliensis and P. lutzii. Murine animal models are the gold standard for in
vivo studies; however, ethical, economical and logistical considerations limit
their use. Galleria mellonella is a suitable model for in vivo studies of fungal
infections. In this study, we compared the virulence of P. brasiliensis and P.
lutzii in G. mellonella model. The deaths of larvae infected with P. brasiliensis
or P. lutzii were similar, and both species were able to reduce the number of
hemocytes, which were estimated by microscopy and flow cytometer. Additionally,
the phagocytosis percentage was similar for both species, but when we analyze
hemocyte-Paracoccidioides spp. interaction using flow cytometer, P. lutzii showed
higher interactions with hemocytes. The gene expression of gp43 as well as this
protein was higher for P. lutzii, and this expression may contribute to a greater
adherence to hemocytes. These results helped us evaluate the behavior of
Paracoccidioides spp in G. mellonella, which is a convenient model for
investigating the host-Paracoccidioides spp. interaction.
PMID- 26552326
TI - Cesarean hysterectomy for placenta previa accreta in dichorionic twin: a surgery
that remains challenging.
PMID- 26552327
TI - Biological diagnosis of diabetes mellitus.
AB - Diabetes mellitus is a common disease whose complications are severe. For
decades, the diagnosis of diabetes and prediabetes was using only fasting glucose
or glucose two hours during an oral glucose tolerance test. Recently, it is
possible to use HbA1c. Each of these tests has advantages and limitations that
must be well known by clinicians for better care for patients. So they could use
one, two or three of this tests to reach to a proper diagnosis. The aim of this
article is about the strong and weak points of these tests.
PMID- 26552328
TI - Screening of metal uptake by plant colonizers growing on abandoned copper mine in
Kapunda, South Australia.
AB - Systematic site survey for sample collection and analysis was conducted at a
derelict copper (Cu) mine at Kapunda, South Australia. Cu concentrations in the
soils at this former mine ranged from 65-10107 mg kg(-1). The pH and EC varied
widely in the 3.9-8.4 and 152-7311 uS ranges, respectively. Nine plant species
growing over the copper mine site were selected to screen for metal uptake to
determine their suitability for phytoremediation. The Australian native tree
species Eucalyptus camaldulensis indicated enrichment factor (EF) of 2.17, 1.89,
and 1.30 for Cu, Zn, and Pb, respectively, suggesting that this species of tree
can accumulate these metals to some degree. The stress-resistant exotic olive,
Olea europaea exhibited EF of <= 0.01 for Cu, Cd, and Pb, and 0.29 for Zn, which
is characteristic of an excluder plant. Acacia pycnantha, the Australian pioneer
legume species with EF 0.03, 0.80, 0.32, and 0.01 for Cu, Zn, Cd, and Pb,
respectively, emerged as another strong metal excluder and consequently as an
ideal metal stabilizer.
PMID- 26552329
TI - The pathogenic activity of anti-desmoglein autoantibodies parallels disease
severity in rituximab-treated patients with pemphigus vulgaris.
AB - BACKGROUND: Pemphigus vulgaris (PV) is an autoimmune blistering disease mediated
by IgG autoantibodies targeting desmogleins (Dsgs). The anti-CD20 monoclonal
antibody rituximab is increasingly used in corticosteroid-resistant PV patients.
In a subset of rituximab-treated patients in remission, high ELISA index values
have been reported; however, their significance remains so far unclear.
OBJECTIVE: To address the discrepancy between anti-Dsg3 serum antibody titers and
disease severity. MATERIALS & METHODS: 6 rituximab-treated PV patients were
prospectively followed-up for two years and anti-Dsg3 autoantibodies levels and
pathogenic activity were measured. RESULTS: All patients achieved complete
remission without any serious side effects. Both anti-Dsg3 autoantibodies (p =
0.031) and their pathogenic activity (p = 0.003) were significantly related to
disease severity. However, in selected patients, the dissociation index was a
more sensitive indicator for PV clinical activity than the ELISA index.
CONCLUSION: Our findings have demonstrated the existence of non-pathogenic
autoantibodies in PV patients in remission, establishing the basis for the design
of a system able to precisely monitor the course of disease.
PMID- 26552331
TI - New bigenic mouse model increases the understanding of genetic synergism in the
progression of prostate cancer.
AB - A new bigenic mouse model, engineered with the overexpression of PSGR and the
knockout of PTEN, has been used to study the relationship between 2 genes and
disease progression. A study in Oncogene (Rodriguez et al.) has shown that these
2 genetic polymorphisms synergistically promote the development of prostate
cancer.
PMID- 26552330
TI - Disparities in cervical cancer survival among Asian-American women.
AB - PURPOSE: We compared overall survival and influencing factors between Asian
American women as a whole and by subgroup with white women with cervical cancer.
METHODS: Cervical cancer data were from the Surveillance, Epidemiology, and End
Results registry; socioeconomic information was from the Area Health Resource
File. We used standard tests to compare characteristics between groups; the
Kaplan-Meier method with log-rank test to assess overall survival and compare it
between groups; and Cox proportional hazards models to determine the effect of
race and other covariates on overall survival (with and/or without age
stratification). RESULTS: Being 3.3 years older than white women at diagnosis (P
< .001), Asian-American women were more likely to be in a spousal relationship,
had more progressive disease, and were better off socioeconomically. Women of
Filipino, Japanese, and Korean origin had similar clinical characteristics
compared to white women. Asian-American women had higher 36- and 60-month
survival rates (P = .004 and P = .013, respectively), higher overall survival
rates (P = .049), and longer overall survival durations after adjusting for age
and other covariates (hazard ratio = 0.77, 95% confidence interval: 0.68-0.86).
Overall survival differed across age strata between the two racial groups. With
the exception of women of Japanese or Korean origin, Asian-American women grouped
by geographic origin had better overall survival than white women. CONCLUSIONS:
Although Asian-American women, except those of Japanese or Korean origin, had
better overall survival than white women, their older age at cervical cancer
diagnosis suggests that they have less access to screening programs.
PMID- 26552332
TI - Intermittent sharp facial pain starting at the mandibular right first molar: A
diagnostic challenge.
PMID- 26552333
TI - Soft-tissue calcification on a panoramic radiograph: A diagnostic perplexity.
PMID- 26552334
TI - Prevalence of clinical signs of intra-articular temporomandibular disorders in
children and adolescents: A systematic review and meta-analysis.
AB - BACKGROUND: The aim of this systematic review and meta-analysis was to assess the
prevalence of clinical signs of temporomandibular joint (TMJ) disorders in
children and adolescents. TYPE OF STUDIES REVIEWED: The authors selected only
studies in which the investigators' primary objective was to evaluate the
prevalence of signs of TMJ disorders according to the international Research
Diagnostic Criteria for Temporomandibular Disorders (RDC/TMD) in children and
adolescents. The authors performed electronic searches without language
restriction in 5 databases. The authors also assessed quality. RESULTS: In this
review and meta-analysis, the authors included 11 articles that described studies
in which 17,051 participants had been enrolled. The overall prevalence of
clinical signs of intra-articular joint disorders was 16% (95% confidence
interval [CI], 11.59-19.94; n = 17,051). The prevalence of TMJ sounds (click and
crepitation) was 14% (95% CI, 9.67-19.79; n = 11,316). The most prevalent sign
was clicking (10.0%; 95% CI, 7.97-12.28; n = 9,665) followed by jaw locking
(2.3%; 95% CI, 0.56-5.22; n = 5,735). CONCLUSIONS AND PRACTICAL IMPLICATIONS: One
in 6 children and adolescents have clinical signs of TMJ disorders. The results
of this systematic research study can alert dentists about the importance of
looking for signs of TMD in children and adolescents.
PMID- 26552335
TI - Drugs related to the etiology of molar incisor hypomineralization: A systematic
review.
AB - BACKGROUND: Molar incisor hypomineralization (MIH) is an idiopathic syndrome that
has been associated with several etiologic factors. The authors' objective was to
systematically review studies in which the investigators had studied how the
etiology of MIH was related to medication intake. TYPES OF STUDIES REVIEWED: The
search covered a period from January 1, 1965, to September 29, 2014. The search
revealed 1,042 articles, to which the authors applied eligibility criteria and
selected 20 studies for review. The authors considered 9 of the 20 studies to be
high quality. The drugs used in these studies were chemotherapeutic drugs,
antibiotics, asthma drugs, antiepileptic drugs, antiviral drugs, antifungal
drugs, and antiparasitic drugs. RESULTS: Two reviewers independently performed
risk-of-bias assessment and data extraction. The investigators of all of the
studies had reported enamel defects, but only 2 sets of investigators had used
the term "molar incisor hypomineralization." Owing to the different methodologies
used by the investigators of the selected studies, the authors could not perform
a meta-analysis of the study results. CONCLUSIONS: More well-designed prospective
studies are needed to clarify the relationship between MIH and medication.
PRACTICAL IMPLICATIONS: It would be convenient to establish a preventive protocol
in patients with a potential risk of developing MIH to avoid the complications
that are characteristic of this disease.
PMID- 26552336
TI - Characteristics of product recalls of biopharmaceuticals and small-molecule drugs
in the USA.
AB - Compared with chemically synthesized small-molecule drugs, the manufacturing
process of biopharmaceuticals is more complex. Unexpected changes to product
characteristics following manufacturing changes have given rise to calls for
robust systems to monitor the postauthorization safety of biopharmaceuticals. We
compared quality-related product recalls in the USA of biopharmaceuticals and of
small molecules. Although the reasons for recalls for biopharmaceuticals differed
from those for small molecules, adverse events were rarely reported. The relative
contribution of recalls that could cause serious adverse health consequences was
not greater for biopharmaceuticals than for small molecules. Therefore, these
data do not give rise to concerns that biopharmaceuticals are more frequently
associated with unexpected safety concerns.
PMID- 26552337
TI - IFN-lambda therapy: current status and future perspectives.
AB - Interferon-lambda (IFN-lambda), the most recently described type III IFN, plays a
crucial part by acting on specific cell types, controlling viral infections and
establishing robust innate immunity against cancer. In contrast to IFN-alpha or
IFN-gamma, IFN-lambda has a restricted cell response pattern, which could make
this new IFN a better choice for disease targeting and reducing adverse events.
Although IFN-lambda is considered to have pivotal roles in cancer, viral
infections and autoimmune diseases, clinical trials have only been conducted for
treatment of chronic hepatitis C virus infection. In this review, we discuss the
current and the potential clinical applications of IFN-lambda in the context of
current IFN therapy.
PMID- 26552338
TI - [Unusual presentation of anetodermic Pilomatricoma after insect bite].
PMID- 26552339
TI - The evaluation of an oral health education program for midwives in Australia.
AB - BACKGROUND: Antenatal care providers are now recommended to promote oral health
during pregnancy and provide dental referrals. However, midwives in Australia are
not trained to undertake this role. To address this shortcoming, an online
evidence based midwifery initiated oral health (MIOH) education program was
systematically developed as a professional development activity. AIM: This study
aimed to evaluate the effectiveness of the program in improving the oral health
knowledge of midwives and assess their confidence to promote maternal oral health
post training. METHODS: The program was evaluated using a pre-post test design
involving 50 midwives purposively recruited from two states in Australia. The pre
post questionnaire contained 24 knowledge items previously pilot tested as well
as items exploring confidence in promoting oral health and perceptions of the
program. FINDINGS: The results showed a significant improvement in the oral
health knowledge (?21.5%, p<0.001) of midwives after completion of the program.
The greatest improvement in knowledge occurred in key areas vital in promoting
maternal oral health namely the high prevalence of dental problems and its impact
on birth and infant outcomes. The majority also reported being confident in
introducing oral health into antenatal care (82%) and referring women to dental
services (77.6%) after undertaking the education program. CONCLUSION: The MIOH
education program is a useful resource to equip midwives with the necessary
knowledge and skills to promote oral health during pregnancy. The program is
accessible and acceptable to midwives and can potentially be transferable to
other antenatal care providers.
PMID- 26552340
TI - 3D vertical nanostructures for enhanced infrared plasmonics.
AB - The exploitation of surface plasmon polaritons has been mostly limited to the
visible and near infrared range, due to the low frequency limit for coherent
plasmon excitation and the reduction of confinement on the metal surface for
lower energies. In this work we show that 3D--out of plane--nanostructures can
considerably increase the intrinsic quality of the optical output, light
confinement and electric field enhancement factors, also in the near and mid
infrared. We suggest that the physical principle relies on the combination of far
field and near field interactions between neighboring antennas, promoted by the
3D out-of-plane geometry. We first analyze the changes in the optical behavior,
which occur when passing from a single on-plane nanostructure to a 3D out-of
plane configuration. Then we show that by arranging the nanostructures in
periodic arrays, 3D architectures can provide, in the mid-IR, a much stronger
plasmonic response, compared to that achievable with the use of 2D
configurations, leading to higher energy harvesting properties and improved Q
factors, with bright perspective up to the terahertz range.
PMID- 26552341
TI - Breast pump use amongst mothers of healthy term infants in Melbourne, Australia:
A prospective cohort study.
AB - OBJECTIVE: this paper describes the use of breast pumps amongst a group of
mothers of healthy term infants in Melbourne, Australia, between birth and six
months post partum. DESIGN: a prospective cohort study; data were collected using
structured questionnaires. PARTICIPANTS: 1003 postpartum women who had given
birth to healthy infants at term were recruited from three Melbourne maternity
hospitals between July 2009 and April 2011. Data were collected by face-to-face
interview at recruitment and by telephone interview three and six months later.
FINDINGS: at recruitment (24 to 48 hours post partum), 60% (605/1003) of women
already had a breast pump. At two weeks post partum expressing was common; 62%
(290/466) of women had expressed by this time, with 40% (186/466) doing so
several times a day. By six months post partum 83% (754/911) of the women had a
breast pump and 40% (288/715) were expressing, although most just occasionally.
The most common reasons for any expressing in the first six months were 'to be
able to go out and leave the baby' (35%; 268/772); milk supply 'not enough'(27%;
207/772); and having 'too much' milk (19%; 147/772). The increasing popularity of
expressing breast milk to feed infants is not driven by women returning to the
workforce, as only 10% of women (80/772) expressed because they had returned to
paid employment. KEY CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: health
professionals should be aware that in some settings breast pump use is common in
the first six months, and this is not always related to maternal workforce
participation.
PMID- 26552342
TI - Sexuality and mental health: Issues and what next?
AB - Human sexuality plays a major role in an individual's existence and functioning.
In addition, rightly or wrongly sexuality often defines people and also affects
social attitudes. These attitudes, if negative, can contribute to stigma and
prevent people from help seeking if they are suffering from mental health
problems. Recent changes in policy towards same-sex relationships have been
positive in many countries including the UK and the USA, whereas in others such
as Russia and Uganda attitudes have become more negative and punitive. Sexual
activity is seen as having both pleasurable and procreational functions which
contribute to society's attitudes to homosexual behaviour. Inevitably, individual
responses to their own sexuality and sexual behaviour will be influenced by
social attitudes. To ensure that those with various sexual variations can access
psychiatric services without discrimination, various levels of interventions are
needed. Here we discuss different levels of intervention and organizational
change that may make it possible. Social organization and institutional
organization of services need to be sensitive, especially as rates of many mental
disorders are high in individuals who may be sexually variant. Those providing
services need to understand their own negative attitudes as well as prejudices to
ensure that services are emotionally accessible.
PMID- 26552343
TI - Electrostatic Field Invisibility Cloak.
AB - The invisibility cloak has been drawing much attention due to its new concept for
manipulating many physical fields, from oscillating wave fields (electromagnetic,
acoustic and elastic) to static magnetic fields, dc electric fields, and
diffusive fields. Here, an electrostatic field invisibility cloak has been
theoretically investigated and experimentally demonstrated to perfectly hide two
dimensional objects without disturbing their external electrostatic fields. The
desired cloaking effect has been achieved via both cancelling technology and
transformation optics (TO). This study demonstrates a novel way for manipulating
electrostatic fields, which shows promise for a wide range of potential
applications.
PMID- 26552344
TI - Acetohydroxyacid synthase FgIlv2 and FgIlv6 are involved in BCAA biosynthesis,
mycelial and conidial morphogenesis, and full virulence in Fusarium graminearum.
AB - In this study, we characterized FgIlv2 and FgIlv6, the catalytic and regulatory
subunits of acetohydroxyacid synthase (AHAS) from the important wheat head scab
fungus Fusarium graminearum. AHAS catalyzes the first common step in the parallel
pathways toward branched-chain amino acids (BCAAs: isoleucine, leucine, valine)
and is the inhibitory target of several commercialized herbicides. Both FgILV2
and FgILV6 deletion mutants were BCAA-auxotrophic and showed reduced aerial
hyphal growth and red pigmentation when cultured on PDA plates. Conidial
formation was completely blocked in the FgILV2 deletion mutant DeltaFgIlv2-4 and
significantly reduced in the FgILV6 deletion mutant DeltaFgIlv6-12. The
auxotrophs of DeltaFgIlv2-4 and DeltaFgIlv6-12 could be restored by exogenous
addition of BCAAs but relied on the designated nitrogen source the medium
contained. Deletion of FgILV2 or FgILV6 also leads to hypersensitivity to various
cellular stresses and reduced deoxynivalenol production. DeltaFgIlv2-4 lost
virulence completely on flowering wheat heads, whereas DeltaFgIlv6-12 could cause
scab symptoms in the inoculated spikelet but lost its aggressiveness. Taken
together, our study implies the potential value of antifungals targeting both
FgIlv2 and FgIlv6 in F. graminearum.
PMID- 26552345
TI - Dietary Fiber-Induced Improvement in Glucose Metabolism Is Associated with
Increased Abundance of Prevotella.
AB - The gut microbiota plays an important role in human health by interacting with
host diet, but there is substantial inter-individual variation in the response to
diet. Here we compared the gut microbiota composition of healthy subjects who
exhibited improved glucose metabolism following 3-day consumption of barley
kernel-based bread (BKB) with those who responded least to this dietary
intervention. The Prevotella/Bacteroides ratio was higher in responders than non
responders after BKB. Metagenomic analysis showed that the gut microbiota of
responders was enriched in Prevotella copri and had increased potential to
ferment complex polysaccharides after BKB. Finally, germ-free mice transplanted
with microbiota from responder human donors exhibited improved glucose metabolism
and increased abundance of Prevotella and liver glycogen content compared with
germ-free mice that received non-responder microbiota. Our findings indicate that
Prevotella plays a role in the BKB-induced improvement in glucose metabolism
observed in certain individuals, potentially by promoting increased glycogen
storage.
PMID- 26552346
TI - Antioxidant and antithrombotic therapies for diabetic kidney disease.
AB - With an increasing incidence, diabetic kidney disease (DKD) has been the leading
cause of chronic kidney disease and end-stage renal disease, and conventional
therapies did not change this situation. This study intended to review and
analyze the antioxidant and antithrombotic treatments of DKD for seeking novel
therapeutic strategies. Relevant articles involved with antioxidant and
antithrombotic treatments in DKD were retrieved and analyzed via systematic
assessment. Meta-analysis showed that pancreatic kallikrein definitely reduced
glycated hemoglobin in DKD patients (mean difference, 0.36%; 95% confidence
interval, 0.08% to 0.63%; P = .01). Apart from the classic agents such as
aspirin, novel drugs such as pancreatic kallikrein, sulodexide, and especially
the traditional Chinese medicine including Tripterygium wilfordii and
lumbrukinase, exert beneficial effects in DKD patients. Antioxidant and
antithrombotic treatments are beneficial for DKD patients and represent promising
therapeutic strategies in the future.
PMID- 26552347
TI - Ameliorative effect of green tea against contrast-induced renal tubular cell
injury.
AB - INTRODUCTION: Reactive oxygen species are a mediator of kidney damage by contrast
media, and green tea is a potent-free radical scavenger. This study was designed
to examine whether green tea could protect against the nephrotoxicity induced by
contrast media. MATERIALS AND METHODS: Forty rats were randomly divided into 4
groups. Group 1 was control; group 2 received contrast medium (intravenous
iodixanol, 10 mL/kg, as a single dose); group 3 received contrast medium and then
green tea extract for 3 days (10 mg/kg/d, intraperitoneal); and group 4 first
received green tea and then contrast medium. Histological changes (degeneration,
vacuolization of tubular renal cells, dilatation of tubular lumen, and presence
of debris in the lumens) were assessed and recorded as scores from zero to 4. The
sum of scores were used as the overal renal injury level. RESULTS: Groups 3 and 4
with green tea treatment had significantly higher overall scores than the control
group, but significantly lower scores than group 2 with contrast medium only. A
similar trend was seen for dilatation and degeneration levels. Vacuolization
level was not significantly lower in the green tea groups as compared to the
contrast medium group. Debris level was not significantly lower in group 3 than
group 2. The differences were not significant between groups 3 and 4.
Conclusions. We observed beneficial effect of green tea against nephrotoxicity of
contrast media. Green tea extract may offer an inexpensive and nontoxic
intervention strategy in patients with a risk for nephrotoxicity with contrast
media.
PMID- 26552348
TI - Impact of creatinine clearance on Helicobacter pylori eradication rate in
patients with peptic ulcer disease.
AB - INTRODUCTION: Gastrointestinal complaints are common in patients with kidney
failure. The aim of this study was to investigate the effect of creatinine
clearance on Helicobacter pylori (HP) eradication rate in patients with peptic
ulcer disease. MATERIALS AND METHODS: In this clinical trial, 132 patients with a
range of kidney function (normal to end-stage renal disease) and peptic ulcer
disease with HP infection were enrolled and divided into 5 groups by their
creatinine clearance. For all patients, a 14-day standard regimen of triple
therapy for peptic ulcer was started with omeprazole, 20 mg; clarithromycin, 500
mg; and amoxicillin, 1 g; twice per day. After 6 weeks, HP eradication rate were
evaluated and compared between the groups with urea breath test. RESULTS: The
mean age of the participants was 44.84 +/- 12.20 years and 68 (51.5%) were women.
The five groups were not significantly different in terms of age, sex
distribution, or body mass index. The results of urea breath test at 6 weeks were
positive in 23 patients (17.4%). There was no significant difference in HP
eradication rate (negative urea breath test) between the five groups.
CONCLUSIONS: This study showed no association between the success rate of
eradication of HP infection and kidney function.
PMID- 26552349
TI - Improvement of immune dysfunction in dogs with multiple organ dysfunction by high
volume hemofiltration.
AB - INTRODUCTION: Observing the effects of high-volume hemofiltration (HVHF)
treatment on the monocytes apoptosis, antigen presentation, and secretion
function, this study investigated the mechanism of HVHF effect on immunity
homeostasis in multiple organ dysfunction syndrome (MODS) in an animal model.
MATERIALS AND METHODS: Lipopolysaccharides were administered in 12 Beagle dogs in
order to induce MODS. Six dogs were randomly assigned to receive HVHF treatment
for 12 hours (HVHF group) and the rest did not receive any treatment (the MODS
group). The expression of DLA-DR, apoptosis, and cytokine levels were measured at
7 time points: normal condition (T1), after operation (T2), and zero, 3, 6, 9,
and 12 hours after endotoxin injection (T3 to T7, respectively). RESULTS:
Apoptosis of CD14+ mononuclear cell increased in early and late stages gradually
in the MODS group and began to decline gradually after the HVHF treatment. There
was a significant difference between the two groups at time points T2 to T7 (P <
.01). After HVHF, the impaired expression of dog leukocyte antigen-DR showed an
improvement trend in the HVHF group, which was significant better at T5 and T7
than that in the MODS group (P < .05). Interleukin-4 secretion increased
significantly with HVHF and was significantly higher at time points T4 to T7 as
compared with the MODS group (P < .01). Conclusions. High-volume hemofiltration
can alleviate the mononuclear cell apoptosis, improve antigen-presenting function
and secretion function, inhibit the release of inflammatory factors, and maintain
immune homeostasis, and consequently alleviate symptoms of MODS effectively.
PMID- 26552350
TI - Regulation of cyclic adenosine monophosphate response element binding protein on
renin expression in kidney via complex cyclic adenosine monophosphate response
element-binding-protein-binding protein/P300 recruitment.
AB - INTRODUCTION: Renin synthesis and release is the rate-limiting step in the renin
angiotensin system, because cyclic adenosine monophosphate (cAMP) has been
identified as dominant pathway for renin gene expression, and cAMP response
element-binding protein (CREB) is found in the human and mouse renin promoter.
This study aimed to evaluate the role of CREB in expression of the renin gene.
MATERIALS AND METHODS: We created conditional deletion of CREB in mice with low
sodium diet, specifically in renin cells of the kidney. To assess the effect of
CREB on renin expression, immunostaining of renin was used in samples from wild
type mice and mice with gene knock-down of CREB. Cyclic AMP response element
binding-protein-binding protein (CBP) and p300 were measured in cultured renin
cells of the mice, and RNA detection was done with real-time polymerase chain
reaction. RESULTS: With low-sodium diet, renin was expressed along the whole wall
of the afferent glomerular arterioles in wild-type mice, while there was no
increase or even decrease in renin expression in CREB-specific deletion mice; RNA
level of renin in cultured cells decreased by 50% with single knock-down of CREB,
CBP, or p300, and decreased 70% with triple knock-down of CREB, CBP, and p300.
CONCLUSIONS: This study found that CREB was important for renin synthesis and the
role of CREB can be achieved through the recruitment of co-activators CBP and
p300.
PMID- 26552351
TI - Prevalence of chronic kidney disease and its risk factors in Gonabad, Iran.
AB - INTRODUCTION: Chronic kidney disease (CKD) is an important health problem in
Iran, with an increasing prevalence rate. Knowledge about the prevalence and risk
factors of this disease in different health jurisdictions can help in planning to
control this condition. MATERIALS AND METHODS: In this cross-sectional study,
1285 individuals aged between 20 and 60 years old were recruited. Participants
were selected from the general population residing in Gonabad, Iran, via simple
random sampling in 2012. Demographic data were collected. Urine and blood test
were performed, and the glomerular filtration rate was estimated based on the
simplified Modification of Diet in Renal Disease equation. RESULTS: Sixty-five
participants (5.1%) had CKD (5.1% men and 5% women; P = .90). The mean age was
significantly higher in the CKD group (P = .001). Hypertension and diabetes
mellitus were significantly more prevalent among the participants with CKD than
those without CKD (P < .001 for both). Proteinuria was significantly associated
with CKD, whereas a history of urinary tract infection, a history of
nephrolithiasis, smoking, serum uric acid level, lipid profile, and blood glucose
level were not. CONCLUSIONS: Chronic kidney disease has a high prevalence rate in
this part of Iran. We suggest further studies in other parts of our country for
the better estimation of the prevalence of CKD in Iran and for better planning to
prevent and treat this condition.
PMID- 26552352
TI - Effect of N-acetylcysteine on inflammation biomarkers in pediatric acute
pyelonephritis: a randomized controlled trial.
AB - INTRODUCTION: This study was designed to investigate the effect of N
acetylcysteine (NAC), as a potent and safe antioxidant, on inflammatory
biomarkers of acute pyelonephritis in pediatric patients. MATERIALS AND METHODS:
Children (< 15 years old) admitted with a diagnosis of pyelonephritis were
recruited in a randomized placebo-controlled trial. They were randomly allocated
to 2 groups and recieved placebo or NAC effervescent tablets with daily dose
based on their weight, for 5 days. The children were evaluated for serum
procalcitonin level, leukocyte count, C-reactive protein (CRP), serum creatinine,
and clinical symptoms on the 1st and the 5th days. RESULTS: Seventy patients, 35
in each group, with a mean age of 5.54 +/- 3.10 years completed the study. There
was no significant difference between the two groups in the amount of changes in
procalcitonin levels after 5 days (P = .90). Within-group analysis confirmed CRP
reduction in both groups (P < .001); however, between-group analysis did not show
significant difference in CRP reductions, either (P = .65). No significant
differences were found between the two groups in the day of resolving pyuria (P =
.46), day of resolving bacteriuria (P = .81), or reductions in leukocyte count (P
= .64) and neutrophil count (P = .49). CONCLUSIONS: A short period of NAC
administration with the recommended doses could not lead to a significant
decrease in inflammation biomarkers. Studies on higher doses and longer duration
of NAC administration along with evaluation of the long-term effects of the
intervention by tools such as renal scntigraphy are suggested.
PMID- 26552353
TI - Relationship between ankle-brachial index and left ventricle ejection fraction in
patients on hemodialysis.
AB - INTRODUCTION: Ankle-brachial index (ABI) is a noninvasive test which employs as a
diagnostic marker of atherosclerotic peripheral vascular disease in hemodialysis
patients. This study aimed to investigate the association between ABI and left
ventricular ejection fraction (LVEF) in patients on hemodialysis. MATERIALS AND
METHODS: Eighty-six patients with end-stage renal disease undergoing hemodialysis
and 100 patients referred for echocardiography without apparent kidney disease
were included. Ankle-brachial index was calculated by dividing the highest ankle
pressure (the left and right dorsalis pedis and posterior tibial) by the brachial
systolic blood pressure on the arm with no arteriovenous fistula. The
relationship between ABI and LVEF was investigated. RESULTS: The hemodialysis
patients were older on average than the control group (P = .004). The total
average of ABI in the hemodialysis group was less than 0.9 in 20 patients (23.3%)
and 0.9 to 1.3 in 66 (76.7%). These were 11 (11%) and 89 (89%), respectively,
among the controls (P = .02). The mean LVEF was 49.7 +/- 8.6% in the hemodialysis
patients and 53.8 +/- 9.5% in the controls (P = .003). There was a significant
correlation between LVEF and ABI in the hemodialysis patients (r = 0.06; P =
.001), and ABI could predict the LVEF with sensitivity and specificity of 90% and
94.1%, respectively (positive predictive value, 34.6%; negative predictive value,
48.5%). CONCLUSIONS: These findings show that ABI may be applied in predicting
the presence of left ventricular systolic dysfunction in hemodialysis patients.
Further studies are recommended to confirm this association.
PMID- 26552354
TI - Lithogenic activity as a factor to consider in the metabolic evaluation of
patients with calcium lithiasis.
AB - Metabolic evaluation is important in high-risk patients with a history of urinary
calculi, in order to prevent recurrence. This study aimed to compare patients
with calcium calculi and mild lithogenic activity with those with moderate to
severe lithogenic activity. Patients with moderate to severe activity had higher
levels of urinary calcium level (271.9 mg/24h versus 172.1 mg/24 h, P < .001),
uric acid (612.3 mg/24 h versus 528.9 mg/24h, P = .008), and fasting calcium
creatinine ratio (0.16 versus 0.12, P = .001) compared to those with mild
lithogenic activity. No association was observed between lithogenic factors in 24
hour urine and mild lithogenic activity in multivariable analysis. We initially
thought that in patients who develop recurrent calculi after 5 years or who have
mild lithogenic activity, complete metabolic evaluation would not be necessary.
However, based on our study findings, it may be important to conduct further
studies assessing the lithogenic activity.
PMID- 26552355
TI - Coexistence of immunoglobulin M nephropathy and autoimmune hemolytic anemia: 2
rare entities.
AB - Immunoglobulin M (IgM) nephropathy is described as mesengial proliferative
glomerulonephritis with diffuse mesengial IgM deposition. We report a patient
diagnosed with IgM nephropathy and concomitant autoimmune hemolytic anemia
syndrome associated with cold-reacting autoantibodies. Complete remission was
achieved with systemic corticosteroid and plasmapheresesis.
PMID- 26552356
TI - A mineralogical study in contrasts: highly mineralized whale rostrum and human
enamel.
AB - The outermost enamel of the human tooth and the rostrum of the whale Mesoplodon
densirostris are two highly mineralized tissues that contain over 95 wt.%
mineral, i.e., bioapatite. However, the same mineral type (carbonated
hydroxylapatite) does not yield the same material properties, as revealed by
Raman spectroscopy, scanning electron microscopy, electron microprobe analysis,
and synchrotron X-ray diffraction analysis. Overall, the outermost enamel of a
tooth has more homogeneous physical and chemical features than the rostrum.
Chemical comparison of rostrum and enamel shows bioapatite in the rostrum to be
enriched in Na, Mg, CO3, and S, whereas the outermost enamel shows only a
slightly enriched Cl concentration. Morphologically, mineral rods (at tens of MUm
scale), crystallites and prisms (at MUm and sub-MUm scale), and platelets (at
tens of nm scale) all demonstrate less organized texture in the rostrum than in
enamel. Such contrasts between two mineralized tissues suggest distinct pathways
of biomineralization, e.g., the nature of the equilibrium between mineral and
body fluid. This study illustrates the remarkable flexibility of the apatite
mineral structure to match its chemical and physical properties to specific
biological needs within the same animal or between species.
PMID- 26552357
TI - Synthesis of (E)-oxindolylidene acetate using tandem palladium-catalyzed Heck and
alkoxycarbonylation reactions.
AB - Tandem reactions use consecutive reaction steps to efficiently synthesize
compounds of high molecular complexity. This paper presents a tandem Pd-catalyzed
Heck and alkoxycarbonylation reaction for the stereoselective synthesis of (E)
oxindolylidene acetates. The mechanism underlying the Pd-catalyzed tandem
reaction involves the syn-carbopalladation of ynamides followed by
alkoxycarbonylation with CO and alcohol. This method makes it possible to obtain
the desired (E)-configuration of oxindolylidene acetates exclusively. We
evaluated the scope of the reaction by applying optimal reaction conditions to
the facile synthesis of a library of (E)-oxindolylidene acetates. The resulting
(E)-oxindolylidene acetates exhibited potent anticancer activities against a
variety of human cancer cell lines. The anticancer activities of some (E)
oxindolylidene acetates were even superior to those of known CDK inhibitors
indirubin-3'-oxime and roscovitine.
PMID- 26552358
TI - [Impact of the simultaneous implementation of husbandry procedures on suckling
piglets].
AB - OBJECTIVE: In addition to castration, further husbandry procedures are performed
in piglets during the first week of life without anaesthesia. The aim of the
present study was to investigate the pain-induced stress of the husbandry
procedures castration, tail docking and ear tagging performed in piglets in
combination in comparison with castration or handling alone. Furthermore, the
effect of the presurgical administration of the nonsteroidal anti-inflammatory
drug meloxicam alone or in combination with iron was investigated. MATERIAL AND
METHODS: The levels of pain and stress were evaluated based on cortisol and
catecholamine concentrations, as well as from behavioural observations. The
compatibility and the effect of combined drug administration were assessed, and
the daily weight gain and blood iron level were determined. RESULTS: When
comparing the application procedures, the slight changes observed at the iron
injection site were reduced by 40% when using the mixture. After performing all
three husbandry procedures without administration of meloxicam (KSO group),
higher cortisol concentrations were induced for up to 4 hours compared to the
handling (H) and castration (K) groups. In piglets receiving meloxicam or the
mixture of iron and meloxicam presurgically, the cortisol concentration was
significantly reduced for 0.5 hours after castration and up to 4 hours following
all three husbandry procedures when compared to piglets without medication
(groups K and KSO, respectively). CONCLUSION AND CLINICAL RELEVANCE: The results
indicate that the blood cortisol concentration significantly rose due to multiple
pain and distress when combining castration, ear tagging and tail docking.
Application of meloxicam before performing these husbandry procedures reduced
pain equally to its application before castration alone. The application of a
mixed preparation of iron and meloxicam did not affect the efficacy of either
drug and improved the local tolerance of the iron injection.
PMID- 26552359
TI - Randomness determines practical security of BB84 quantum key distribution.
AB - Unconditional security of the BB84 quantum key distribution protocol has been
proved by exploiting the fundamental laws of quantum mechanics, but the practical
quantum key distribution system maybe hacked by considering the imperfect state
preparation and measurement respectively. Until now, different attacking schemes
have been proposed by utilizing imperfect devices, but the general security
analysis model against all of the practical attacking schemes has not been
proposed. Here, we demonstrate that the general practical attacking schemes can
be divided into the Trojan horse attack, strong randomness attack and weak
randomness attack respectively. We prove security of BB84 protocol under
randomness attacking models, and these results can be applied to guarantee the
security of the practical quantum key distribution system.
PMID- 26552361
TI - Editorial Comment on "The Interplay Between Premature Ejaculation and Erectile
Dysfunction: A Systematic Review and Meta-Analysis".
PMID- 26552360
TI - How to Measure Costs and Benefits of eHealth Interventions: An Overview of
Methods and Frameworks.
AB - Information on the costs and benefits of eHealth interventions is needed, not
only to document value for money and to support decision making in the field, but
also to form the basis for developing business models and to facilitate payment
systems to support large-scale services. In the absence of solid evidence of its
effects, key decision makers may doubt the effectiveness, which, in turn, limits
investment in, and the long-term integration of, eHealth services. However, it is
not realistic to conduct economic evaluations of all eHealth applications and
services in all situations, so we need to be able to generalize from those we do
conduct. This implies that we have to select the most appropriate methodology and
data collection strategy in order to increase the transferability across
evaluations. This paper aims to contribute to the understanding of how to apply
economic evaluation methodology in the eHealth field. It provides a brief
overview of basic health economics principles and frameworks and discusses some
methodological issues and challenges in conducting cost-effectiveness analysis of
eHealth interventions. Issues regarding the identification, measurement, and
valuation of costs and benefits are outlined. Furthermore, this work describes
the established techniques of combining costs and benefits, presents the decision
rules for identifying the preferred option, and outlines approaches to data
collection strategies. Issues related to transferability and complexity are also
discussed.
PMID- 26552362
TI - Adding value through pharmacy validation: a safety and cost perspective.
AB - RATIONALE, AIMS AND OBJECTIVES: Prescribing errors (PE) are frequent, cause
significant harm to patients and prove costly. Few studies demonstrate the impact
of pharmacist interventions. The objectives of this study were to characterize
the severity and cost of the potential outcome of PE that pharmacists can prevent
and to develop an economic analysis. METHOD: We performed a non-randomized,
prospective, observational study of all prescriptions made to adult patients
admitted to a 1300-bed tertiary teaching hospital in Madrid (Spain) by means of a
computerized physician order entry tool combined with a clinical decision support
system. We analysed PE intercepted through the pharmacist validation process
between January and June 2013. An independent team determined the severity of the
potential adverse drug event (ADE) and the probability of causing an ADE (PAE).
We estimated the cost avoidance and performed an economic analysis. A kappa
statistic was used to verify inter-observer agreement. RESULTS: 484 PE were
intercepted: 36.2% of PE were classified as being of minor severity, 59.1% as
moderate and 4.7% as serious. The most common type of moderate-serious PE found
was excessive dose (30%, 94/309), followed by insufficient dose (20%, 62/309),
and omission (19%, 58/309). The most frequent families of drugs involved in
moderate-serious PE were antineoplastic agents (22.3%, 69/309) and antimicrobials
(17.2%, 53/309). The PAE was higher than 40% in 49% of PE. We estimated a cost
avoidance of ?291,422 and a return on investment of ?1.7 for each ?1 spent on a
pharmacist's salary. The overall inter-rater agreement for the participants was
moderate for severity (kappa = 0.57; P <0.005) and strong for the PAE (kappa =
0.77; P <0.005). CONCLUSIONS: Pharmacists add important value in preventing PE,
and their interventions are financially beneficial for the institution.
PMID- 26552363
TI - Two cases of amoxycillin-induced follicular acute localised exanthematous
pustulosis.
AB - We report two cases of Caucasian women who developed folliculocentric pustulosis
after exposure to amoxycillin. A literature review found that most amoxycillin
related pustular eruptions were reported as acute generalised exanthematous
pustulosis (AGEP) or acute localised exanthematous pustulosis (ALEP).
Histopathology from both our cases showed sterile suppurative folliculitis, which
resolved on the cessation of amoxycillin.
PMID- 26552364
TI - VvpE mediates the intestinal colonization of Vibrio vulnificus by the disruption
of tight junctions.
AB - The disruption of gastrointestinal tight junctions and their colonization evoked
by enteric pathogens are hallmarks of the pathogenesis. Vibrio (V.) vulnificus,
VvpE, is an elastase which is responsible for host surface adherence and vascular
permeability; however, the functional roles of VvpE in the pathogenesis of V.
vulnificus (WT) are poorly understood. In the present study, we have investigated
the role of VvpE in regulation of intestinal tight junctions and the colonization
of WT. We found that mutation of the vvpE gene from V. vulnificus (vvpE mutant)
prevents intestinal tight/adherens junction dysregulation due to a WT infection
and maintains the physiological level of the epithelial paracellular
permeability. Interestingly, the vvpE mutant exhibited defective intestinal
colonization abilities, whereas WT colonization was significantly elevated in the
ileum in a time-dependent manner. Finally, the vvpE mutant negated the
enterotoxicity, the breakdown of red blood cells, and pro-inflammatory responses,
all of which are induced by the WT infection. In addition, the results of a LC
MS/MS analysis showed that VvpE contributes to WT pathogenesis in multiple ways
by interacting with intestinal proteins, including beta-globin, Annexin A2,
Annexin A4, F-actin, and intelectin-1b. These results demonstrate that VvpE plays
important role in promoting the tight junction disruption and intestinal
colonization of V. vulnificus and that it also has the ability to interact with
the intestinal proteins responsible for microbial pathogenesis.
PMID- 26552365
TI - Synthesis, characterization, and electronic structures of a methyl
germyliumylidene ion and germylone-group VI metal complexes.
AB - The reaction of germylone (L)Ge (1) [L = 3-Ad-1-{C((t)Bu) = N(Mes)}C3H4N2] with 1
equivalent of MeOTf afforded a germyliumylidene ion [(L)GeMe](+)OTf(-) (2), while
reactions with M(CO)5(thf) (M = Cr, Mo, W) gave the corresponding germylone-metal
complexes [(L)Ge]M(CO)5 (3-5). The former possesses a pyramidal Ge center,
whereas the latter display a planar geometry around the Ge atom. Computational
studies showed a unique bonding interaction between 1 and the M(CO)5 unit, which
involves a sigma-donation as well as a weak pi-back-donation.
PMID- 26552366
TI - Protein C-terminal enzymatic labeling identifies novel caspase cleavages during
the apoptosis of multiple myeloma cells induced by kinase inhibition.
AB - Caspase activation and proteolytic cleavages are the major events in the early
stage of apoptosis. Identification of protein substrates cleaved by caspases will
reveal the occurrence of the early events in the apoptotic process and may
provide potential drug targets for cancer therapy. Although several N-terminal MS
based proteomic approaches have been developed to identify proteolytic cleavages,
these methods have their inherent drawbacks. Here we apply a previously developed
proteomic approach, protein C-terminal enzymatic labeling (ProC-TEL), to identify
caspase cleavage events occurring in the early stage of the apoptosis of a
myeloma cell line induced by kinase inhibition. Both previously identified and
novel caspase cleavage sites are detected and the reduction of the expression
level of several proteins is confirmed biochemically upon kinase inhibition
although the current ProC-TEL procedure is not fully optimized to provide peptide
identifications comparable to N-terminal labeling approaches. The identified
cleaved proteins form a complex interaction network with central hubs determining
morphological changes during the apoptosis. Sequence analyses show that some ProC
TEL identified caspase cleavage events are unidentifiable when traditional N
terminomic approaches are utilized. This work demonstrates that ProC-TEL is a
complementary approach to the N-terminomics for the identification of proteolytic
cleavage events such as caspase cleavages in signaling pathways.
PMID- 26552367
TI - Factors associated with eating performance for long-term care residents with
moderate-to-severe cognitive impairment.
AB - AIMS: The aim of this study was to examine the association of specific personal
and environmental factors with eating performance among long-term care residents
with moderate-to-severe cognitive impairment. BACKGROUND: Eating is the one of
the most basic and easiest activities of daily living to perform. While
multilevel factors can be associated with eating performance, the evidence among
those with dementia was insufficient. DESIGN: A secondary analysis of baseline
data collected between March and September in 2012 from 199 residents in eight
long-term care facilities. METHODS: Eating performance was conceptualized using
the single self-care 'feeding' item in the Barthel Index and was scored based on
four levels of dependence and assistance required. Binary logistic regression was
used to examine the adjusted association of specific factors with eating
performance. RESULTS: Almost one-third of the residents needed help with eating.
After adjusting the type of facility, number of comorbidities, chair-sitting
balance, agitation and depression, compromised eating performance was associated
with severe cognitive impairment and low physical capability. CONCLUSION: This
study supported the association of eating performance with cognitive impairment
and physical capability among long-term care residents with moderate-to-severe
cognitive impairment. Targeted interventions should be implemented to reduce the
impact of cognitive decline on eating performance and promote physical capability
to optimize eating performance. Future work need to use validated multiple-item
measures for eating performance and test the association of personal and
environmental factors with eating performance among a larger heterogeneous group
of long-term care residents to enhance understanding of the factors.
PMID- 26552369
TI - Predictive value of urinary interleukin-6 for symptomatic urinary tract
infections in a nursing home population.
AB - OBJECTIVES: To study urinary interleukin-6, interleukin-8 and pyuria during
episodes of asymptomatic bacteriuria and symptomatic urinary tract infection in
the institutionalized elderly, and to investigate the role of interleukin-6 as a
biomarker for differential diagnosis. METHODS: Levels of interleukin-6,
interleukin-8 and pyuria were assessed in 35 older adults with asymptomatic
bacteriuria and symptomatic urinary tract infection to define possible diagnostic
thresholds. In a two-phase intervention study, the antibiotic treatment for
urinary tract infection before and after introduction of urinary interleukin-6 as
a biomarker was then assessed. RESULTS: Asymptomatic bacteriuria patients had no
or low levels of interleukin-6, and low levels of interleukin-8 and pyuria. Women
had lower interleukin-6 and interleukin-8 than men (P = 0.05). Interleukin-6 was
the only marker showing significant increases during urinary tract infection
episodes in patients with both asymptomatic bacteriuria and urinary tract
infection, in pooled (P = 0.042) and in paired intra-individual (P = 0.017)
comparisons. In the intervention study lectures, the increased use of urine
cultures and the introduction of interleukin-6 as a biomarker reduced antibiotic
treatments by 20%. Antibiotic-treated urinary tract infection episodes had
increased interleukin-6 as compared with urinary tract infection episodes not
treated (P = 0.02), and as compared with asymptomatic bacteriuria patients (P <
0.0001). The sensitivity and specificity of interleukin-6 (cut-off 25 pg/mL)
differentiating asymptomatic bacteriuria from urinary tract infection was 57% and
80%, respectively. CONCLUSIONS: Urinary interleukin-6 shows promise as a
biomarker to detect the transition from asymptomatic bacteriuria to symptomatic
urinary tract infection in older adults. Further larger studies with robust
methodology are warranted to determine whether development for near to patient
testing would be worthwhile.
PMID- 26552368
TI - Resveratrol inhibits epithelial-mesenchymal transition of retinal pigment
epithelium and development of proliferative vitreoretinopathy.
AB - Proliferative vitreoretinopathy (PVR) is a serious complication of retinal
detachment and ocular trauma, and its recurrence may lead to irreversible vision
loss. Epithelial to mesenchymal transition (EMT) of retinal pigment epithelial
(RPE) cells is a critical step in the pathogenesis of PVR, which is characterized
by fibrotic membrane formation and traction retinal detachment. In this study, we
investigated the potential impact of resveratrol (RESV) on EMT and the fibrotic
process in cultured RPE cells and further examined the preventive effect of RESV
on PVR development using a rabbit model of PVR. We found that RESV induces
mesenchymal to epithelial transition (MET) and inhibits transforming growth
factor-beta2(TGF-beta2)-induced EMT of RPE cells by deacetylating SMAD4. The
effect of RESV on MET was dependent on sirtuin1 activation. RESV suppressed
proliferation, migration and fibronectin synthesis induced by platelet-derived
growth factor-BB or TGF-beta2. In vivo, RESV inhibited the progression of
experimental PVR in rabbit eyes. Histological findings showed that RESV reduced
fibrotic membrane formation and decreased alpha-SMA expression in the epiretinal
membranes. These results suggest the potential use of RESV as a therapeutic agent
to prevent the development of PVR by targeting EMT of RPE.
PMID- 26552370
TI - Atrial Overdrive Pacing: Is VA Linking Present?
PMID- 26552371
TI - Cross-sectional study of the association between functional status and acute
kidney injury in geriatric patients.
AB - BACKGROUND: Patients with chronic kidney disease tend to have impaired functional
status, and this can increase the risk of morbidity and mortality. However, no
previous studies have rigorously evaluated the relationship between incident
acute kidney injury (AKI) and functional status of elderly patients. METHODS:
Elderly patients (>= 65 years-old) were prospectively from the general medical
wards of a single medical center in Taiwan between January, 2014 and August,
2014. These patients were divided into those with and without AKI at initial
presentation, according to Kidney Disease Improving Global Outcomes (KDIGO)
criteria. Functional status was assessed by Barthel Index on admission. Multiple
regression analyses were utilized to investigate the relationship between AKI and
functional status. RESULTS: One hundred and fifty-two elderly patients were
recruited, 38.9 % of whom had AKI. Patients with AKI at admission had
significantly higher mean Charlson Comorbidity Index score (p = 0.05) and
borderline lower mean Barthel Index score (34.5 vs. 43.1; p = 0.08), and a
significantly lower bladder continence subscale (5.4 vs. 7.0; p = 0.05). Multiple
regression analyses indicated that the presence of AKI at admission was
associated with a significantly lower Barthel Index score (p = 0.04). Increasing
AKI severity (higher KDIGO stage) was also associated with significantly lower
Barthel Index score (p < 0.01). CONCLUSIONS: This study documented a close
relationship between AKI and functional status in the elderly. Interventions that
aim to restore functional status might help to lower the risk of AKI in the
elderly.
PMID- 26552372
TI - The WRKY transcription factor family and senescence in switchgrass.
AB - BACKGROUND: Early aerial senescence in switchgrass (Panicum virgatum) can
significantly limit biomass yields. WRKY transcription factors that can regulate
senescence could be used to reprogram senescence and enhance biomass yields.
METHODS: All potential WRKY genes present in the version 1.0 of the switchgrass
genome were identified and curated using manual and bioinformatic methods.
Expression profiles of WRKY genes in switchgrass flag leaf RNA-Seq datasets were
analyzed using clustering and network analyses tools to identify both WRKY and
WRKY-associated gene co-expression networks during leaf development and
senescence onset. RESULTS: We identified 240 switchgrass WRKY genes including
members of the RW5 and RW6 families of resistance proteins. Weighted gene co
expression network analysis of the flag leaf transcriptomes across development
readily separated clusters of co-expressed genes into thirteen modules. A
visualization highlighted separation of modules associated with the early and
senescence-onset phases of flag leaf growth. The senescence-associated module
contained 3000 genes including 23 WRKYs. Putative promoter regions of senescence
associated WRKY genes contained several cis-element-like sequences suggestive of
responsiveness to both senescence and stress signaling pathways. A phylogenetic
comparison of senescence-associated WRKY genes from switchgrass flag leaf with
senescence-associated WRKY genes from other plants revealed notable hotspots in
Group I, IIb, and IIe of the phylogenetic tree. CONCLUSIONS: We have identified
and named 240 WRKY genes in the switchgrass genome. Twenty three of these genes
show elevated mRNA levels during the onset of flag leaf senescence. Eleven of the
WRKY genes were found in hotspots of related senescence-associated genes from
multiple species and thus represent promising targets for future switchgrass
genetic improvement. Overall, individual WRKY gene expression profiles could be
readily linked to developmental stages of flag leaves.
PMID- 26552373
TI - The functionality of the gastrointestinal microbiome in non-human animals.
AB - Due to the significance of the microbiome on human health, much of the current
data available regarding microbiome functionality is centered on human medicine.
For agriculturally important taxa, the functionality of gastrointestinal bacteria
has been studied with the primary goals of improving animal health and production
performance. With respect to cattle, the digestive functions of bacteria in
cattle are unarguably critical to digestion and positively impact production
performance. Conversely, some research suggests that the gastrointestinal
microbiome in chickens competes with the host for nutrients and produces toxins
that can harm the host resulting in decreased growth efficiency. Concerning many
other species including reptiles and cetaceans, some cataloging of fecal bacteria
has been conducted, but the functionality within the host remains ambiguous.
These taxa could provide interesting gastrointestinal insight into functionality
and symbiosis considering the extreme feeding regimes (snakes), highly
specialized diets (vampire bats), and living environments (polar bears), which
warrants further exploration.
PMID- 26552374
TI - Actinoplanes lichenis sp. nov., isolated from lichen.
AB - A novel species of the genus Actinoplanes, strain LDG1-22T, for which we propose
the name Actinoplanes lichenis sp. nov., was isolated from a lichen sample
collected from tree bark in Thailand. The taxonomic position of the species has
been described based on a polyphasic approach. Strain LDG1-22T produced irregular
sporangia on agar media. It contained meso-diaminopimelic acid in the cell-wall
peptidoglycan. The major menaquinone was MK-9(H4); the polar lipids were
diphosphatidylglycerol, phosphatidylethanolamine, phosphatidylinositol,
phosphatidylinositol mannosides and phosphatidylglycerol. Whole-cell hydrolysates
contained ribose, glucose, mannose and small amounts of arabinose and xylose. The
major cellular fatty acids were anteiso-C15 : 0 (31.2 %) and iso-C16 : 0 (14.2
%). Mycolic acids were absent. The G+C content was 73.6 %. 16S rRNA gene sequence
analysis of strain LDG1-22T showed highest similarity (98.8 %) to Actinoplanes
friuliensis DSM 45797T and it clustered with Actinoplanes nipponensis JCM 3264T
and Actinoplanes missouriensis JCM 3121T in phylogenetic tree analysis. On the
basis of the phenotypic characteristics and DNA-DNA relatedness, strain LDG1-22T
could be distinguished from related species of the genus Actinoplanes and so
represents a novel species of this genus. The type strain of Actinoplanes
lichenis sp. nov. is LDG1-22T ( = JCM 30485T = TISTR 2343T = PCU 344T).
PMID- 26552375
TI - Equity in access to health care among asylum seekers in Germany: evidence from an
exploratory population-based cross-sectional study.
AB - BACKGROUND: Research on inequities in access to health care among asylum-seekers
has focused on disparities between asylum-seekers and resident populations, but
little attention has been paid to potential inequities in access to care within
the group of asylum-seekers. We aimed to analyse the principles of horizontal
equity (i.e., equal access for equal need irrespective of socioeconomic status,
SES) and vertical equity (higher allocation of resources to those with higher
need) among asylum-seekers in Germany. METHODS: We performed a secondary
exploratory analysis on cross-sectional data obtained from a population-based
questionnaire survey among all asylum-seekers (aged 18 or above) registered in
three administrative districts in Germany during the three-month study period (N
= 1017). Data were collected on health care access (health care utilisation of
four types of services and unmet medical need), health care need (approximated by
sex, age and self-rated health status), and SES (highest educational attainment
and subjective social status, SSS). We calculated odds ratios and 95% confidence
intervals (CI) in multiple logistic regression models to analyse associations
between SES indicators and access to health care under control of need. RESULTS:
We contacted 60.4% (614) of the total asylum-seekers population, of which 25.4%
(N = 156) participated in the study. Educational attainment showed no significant
effect on health care access in crude models, but was positively associated with
utilisation of psychotherapists and hospital admissions in adjusted models.
Higher SSS was positively associated with health care utilisation of all types of
services. The odds of hospitals admissions for asylum-seekers in the medium and
highest SSS category were 3.18 times [1.06, 9.59] and 1.6 times [0.49, 5.23] the
odds of those in the lowest SSS category. After controlling for need variables
none of the SES indicators were significantly associated with measures of access
to care, but a positive association remained, indicating higher utilisation of
health care among asylum-seekers with higher SES. Age, sex or general health
status were the only significant predictors of health care utilisation in fully
adjusted models. The adjusted odds of reporting unmet medical needs among asylum
seekers with "fair/bad/very bad" health status were 2.16 times [0.84, 5.59] the
odds of those with "good/very good" health status. CONCLUSION: Our findings
revealed that utilisation of health services among asylum-seekers is associated
with higher need (vertical equity met). Horizontal equity was met with respect to
educational attainment for most outcomes, but a social gradient in health care
utilisation was observed across SSS. Further confirmatory research is needed,
especially on potential inequities in unmet medical need and on measurements of
SES among asylum-seekers.
PMID- 26552376
TI - Awareness of preventive medication among women at high risk for breast cancer and
their willingness to consider transdermal or oral tamoxifen: a focus group study.
AB - BACKGROUND: Despite demonstrated efficacy, acceptance of selective estrogen
receptor modulators (SERMs), such as tamoxifen, for breast cancer risk reduction
remains low. Delivering SERMs via local transdermal therapy (LTT) could
significantly reduce systemic effects and therefore may increase acceptance. We
aim to assess women's knowledge of breast cancer prevention medications and views
on LTT of SERMs. METHODS: Focus groups were conducted with healthy women
identified through the comprehensive breast center of a large urban cancer
institution. Group discussions covered risk perceptions, knowledge of and
concerns about risk reducing medications. Participants reported their perceived
risk for breast cancer (average, below/above average), preference for SERMs in a
pill or gel form, risk factors, and prior physician recommendations regarding
risk-reducing medicines. Participants' breast cancer risk was estimated using
tools based on the Gail Model. Trained personnel examined all qualitative results
systematically; risk perceptions and preferred method of medication delivery were
tallied quantitatively. RESULTS: Four focus groups (N = 32) were conducted. Most
participants had at least a college degree (78.2 %) and were of European (50 %)
or African ancestry (31 %). The majority (72 %) were at elevated risk for breast
cancer; approximately half of these women perceived themselves to be at elevated
risk. Few participants had prior knowledge of preventive medications. The women
noted a number of concerns about LTT, including dosage, impact on day-to-day
life, and side effects; nonetheless, over 90 % of the women stated they would
prefer LTT to a pill. CONCLUSION: Awareness of preventive medications was low
even in a highly educated sample of high-risk women. If given a choice in the
route of administration, most women preferred a gel to a pill, anticipating fewer
side effects. Future work should focus on demonstrating equivalent efficacy and
reduced toxicity of topical over oral medications and on raising awareness of
chemopreventive options for breast cancer.
PMID- 26552377
TI - Social huddling and physiological thermoregulation are related to melanism in the
nocturnal barn owl.
AB - Endothermic animals vary in their physiological ability to maintain a constant
body temperature. Since melanin-based coloration is related to thermoregulation
and energy homeostasis, we predict that dark and pale melanic individuals adopt
different behaviours to regulate their body temperature. Young animals are
particularly sensitive to a decrease in ambient temperature because their
physiological system is not yet mature and growth may be traded-off against
thermoregulation. To reduce energy loss, offspring huddle during periods of cold
weather. We investigated in nestling barn owls (Tyto alba) whether body
temperature, oxygen consumption and huddling were associated with melanin-based
coloration. Isolated owlets displaying more black feather spots had a lower body
temperature and consumed more oxygen than those with fewer black spots. This
suggests that highly melanic individuals display a different thermoregulation
strategy. This interpretation is also supported by the finding that, at
relatively low ambient temperature, owlets displaying more black spots huddled
more rapidly and more often than those displaying fewer spots. Assuming that spot
number is associated with the ability to thermoregulate not only in Swiss barn
owls but also in other Tytonidae, our results could explain geographic variation
in the degree of melanism. Indeed, in the northern hemisphere, barn owls and
allies are less spotted polewards than close to the equator, and in the northern
American continent, barn owls are also less spotted in colder regions. If melanic
spots themselves helped thermoregulation, we would have expected the opposite
results. We therefore suggest that some melanogenic genes pleiotropically
regulate thermoregulatory processes.
PMID- 26552378
TI - Higher photosynthetic capacity and different functional trait scaling
relationships in erect bryophytes compared with prostrate species.
AB - Ecophysiological studies of bryophytes have generally been conducted at the shoot
or canopy scale. However, their growth forms are diverse, and knowledge of
whether bryophytes with different shoot structures have different functional
trait levels and scaling relationships is limited. We collected 27 bryophyte
species and categorised them into two groups based on their growth forms: erect
and prostrate species. Twenty-one morphological, nutrient and photosynthetic
traits were quantified. Trait levels and bivariate trait scaling relationships
across species were compared between the two groups. The two groups had similar
mean values for shoot mass per area (SMA), light saturation point and mass-based
nitrogen (N(mass)) and phosphorus concentrations. Erect bryophytes possessed
higher values for mass-based chlorophyll concentration (Chl(mass)), light
saturated assimilation rate (A(mass)) and photosynthetic nitrogen/phosphorus use
efficiency. N(mass), Chl(mass) and A(mass) were positively related, and these
traits were negatively associated with SMA. Furthermore, the slope of the
regression of N(mass) versus Chl(mass) was steeper for erect bryophytes than that
for prostrate bryophytes, whereas this pattern was reversed for the relationship
between Chl(mass) and A(mass). In conclusion, erect bryophytes possess higher
photosynthetic capacities than prostrate species. Furthermore, erect bryophytes
invest more nitrogen in chloroplast pigments to improve their light-harvesting
ability, while the structure of prostrate species permits more efficient light
capture. This study confirms the effect of growth form on the functional trait
levels and scaling relationships of bryophytes. It also suggests that bryophytes
could be good models for investigating the carbon economy and nutrient allocation
of plants at the shoot rather than the leaf scale.
PMID- 26552379
TI - Beyond body mass: how prey traits improve predictions of functional response
parameters.
AB - Understanding the factors that determine the strength of predator-prey
interactions is essential to understanding community structure and stability.
Variation in the strength of predator-prey interactions often can be attributed
to predator mass and prey mass, or abiotic factors like temperature. However,
even when accounting for these factors, there remains a considerable amount of
unexplained variation that may be attributed to other traits. We compiled
functional response data from the literature to investigate how predator mass,
prey mass, prey type (taxonomic identity), temperature, and prey defenses (hard
vs soft integument) contributed to the variation found in the predator-prey
interactions between freshwater cyclopoid copepods and their prey. Surprisingly,
our results indicate that prey identity (taxonomic group) and defenses (hard vs
soft integument) are more important for generating variation in interaction
strengths than body mass and temperature. This suggests that allometric functions
can only take us so far when attempting to better understand variation in
individual predator prey interactions, and that we must evaluate how other traits
influence interaction strengths. Identifying additional factors such as prey
defenses may enable us to better predict potential changes in the structure and
function of planktonic and other food webs by better accounting for the variation
in the interactions between generalists and their many prey types.
PMID- 26552380
TI - Floral traits and pollination ecology of European Arum hybrids.
AB - Hybridisation is common in plants and can affect the genetic diversity and
ecology of sympatric parental populations. Hybrids may resemble the parental
species in their ecology, leading to competition and/or gene introgression;
alternatively, they may diverge from the parental phenotypes, possibly leading to
the colonisation of new ecological niches and to speciation. Here, we describe
inflorescence morphology, ploidy levels, pollinator attractive scents, and
pollinator guilds of natural hybrids of Arum italicum and A. maculatum (Araceae)
from a site with sympatric parental populations in southern France to determine
how these traits affect the hybrid pollination ecology. Hybrids were
characterised by inflorescences with a size and a number of flowers more similar
to A. italicum than to A. maculatum. In most cases, hybrid stamens were purple,
as in A. maculatum, and spadix appendices yellow, as in A. italicum. Hybrid
floral scent was closer to that of A. italicum, but shared some compounds with A.
maculatum and comprised unique compounds. Also, the pollinator guild of the
hybrids was similar to that of A. italicum. Nevertheless, the hybrids attracted a
high proportion of individuals of the main pollinator of A. maculatum. We discuss
the effects of hybridisation in sympatric parental zones in which hybrids exhibit
low levels of reproductive success, the establishment of reproductive barriers
between parental species, the role of the composition of floral attractive scents
in the differential attraction of pollinators and in the competition between
hybrids and their parental species, and the potential of hybridisation to give
rise to new independent lineages.
PMID- 26552381
TI - Intraspecific scaling in frog calls: the interplay of temperature, body size and
metabolic condition.
AB - Understanding physiological and environmental determinants of strategies of
reproductive allocation is a pivotal aim in biology. Because of their high
metabolic cost, properties of sexual acoustic signals may correlate with body
size, temperature, and an individual's energetic state. A quantitative theory of
acoustic communication, based on the metabolic scaling with temperature and mass,
was recently proposed, adding to the well-reported empirical patterns. It
provides quantitative predictions for frequencies, call rate, and durations.
Here, we analysed the mass, temperature, and body condition scaling of spectral
and temporal attributes of the advertisement call of the treefrog Hypsiboas
pulchellus. Mass dependence of call frequency followed metabolic expectations
(f~M (-0.25), where f is frequency and M is mass) although non-metabolic
allometry could also account for the observed pattern. Temporal variables scaled
inversely with mass contradicting metabolic expectations (d~M (0.25), where d is
duration), supporting instead empirical patterns reported to date. Temperature
was positively associated with call rate and negatively with temporal variables,
which is congruent with metabolic predictions. We found no significant
association between temperature and frequencies, adding to the bulk of empirical
evidence. Finally, a result of particular relevance was that body condition
consistently determined call characteristics, in interaction with temperature or
mass. Our intraspecific study highlights that even if proximate determinants of
call variability are rather well understood, the mechanisms through which they
operate are proving to be more complex than previously thought. The determinants
of call characteristics emerge as a key topic of research in behavioural and
physiological biology, with several clear points under debate which need to be
analysed on theoretical and empirical grounds.
PMID- 26552382
TI - Erratum to: A spectrum of intracranial vascular high-flow arteriovenous shunts in
RASA1 mutations.
AB - Erratum to: Childs Nerv Syst DOI 10.1007/s00381-015-2940-y. Unfortunately, one of
the authors' name was misspelled in the original publication of this article.
Instead of Micheal Soderman, it should have been Michael Soderman.
PMID- 26552383
TI - Selective dorsal rhizotomy as an alternative to intrathecal baclofen pump
replacement in GMFCS grades 4 and 5 children.
AB - BACKGROUND: Conventionally, selective dorsal rhizotomy (SDR) has been reserved
for ambulant children and implantation of intrathecal baclofen (ITB) pump for non
ambulant children with cerebral palsy. Rather than replacing the ITB pump in
selected Gross Motor Function Classification System (GMFCS) grades 4 and 5
children, we elected to undertake SDR instead. We discuss the rationale and
outcomes. OBJECTIVES: To assess if children with severe spasticity treated with
long-term ITB pump would benefit from SDR as alternative procedure to replacement
of ITB pump. METHOD: This study is a prospective review of ten children with
severe spasticity. Indications for ITB pump replacement in 3/10 children were
previous ITB pump infection and the remaining seven were nearing depletion of
drug delivery system. Pre- and post-SDR mean modified Ashworth scores, assessment
of urological function and survey of parent/carer satisfaction were undertaken.
RESULT: Mean Ashworth score reductions post-SDR in the lower limbs and upper
limbs were 2.4 and 1.70, respectively. An improvement in urological function was
also noticed in 27% of patients. Overall, 90% of parents/carers felt that
functional outcome with SDR was improved compared with that of ITB. CONCLUSION:
SDR in comparison to ITB in this subgroup is cheaper, less intrusive by avoiding
refills/replacement and found to be more effective than ITB in reducing
spasticity and providing ease for nursing care. We therefore suggest that
consideration should be given to SDR as an alternative in patients previously
implanted with ITB systems complicated by infection or nearing end of battery
life.
PMID- 26552384
TI - Congenital subaxial cervical subluxation presenting as a bilateral Erb's palsy:
surgical management, rehabilitation, and outcome.
AB - INTRODUCTION: Subaxial spine injuries during infancy are uncommon. CASE REPORT:
We present the case of an infant referred to our brachial plexus clinic with
proximal weakness of both arms noted immediately following a complicated breech
delivery. Nerve conduction studies were consistent with bilateral proximal upper
plexus injury; however, radiological evaluation revealed a C4-C5 subluxation and
MRI features of bilateral C5 root avulsions. He was immobilized in a cervical
body orthosis and underwent open reduction and posterior C4-C5-C6 fixation at 10
weeks age using luque rods, sublaminar wires, and rib graft. The spine was
immobilized in a custom molded cervicothoracic brace for a total of 3 months, and
a home exercise program prescribed. Follow-up radiographic evaluation showed good
posterior bony fusion by 2 months but persistent bilateral proximal upper limb
weakness with reduced compound motor action potential (CMAP) amplitudes of the
axillary and musculocutaneous nerves. Bilateral supraclavicular exploration and
C5 root neurotization using the ipsilateral C7 nerve roots were performed at 7
months of age. Voluntary biceps activity followed by voluntary external rotation
with gravity eliminated was noted within the next 2-3 months. He progressed to
develop near normal motor control of bilateral upper limbs within 1 year.
CONCLUSIONS: We wish to report this rare entity and our favorable outcome using a
strategy of early spinal stabilization and neurotization repair to restore
function following proximal nerve root injury.
PMID- 26552385
TI - Association between the growth rate of subependymal giant cell astrocytoma and
age in patients with tuberous sclerosis complex.
AB - PURPOSE: The most common neurological complications associated with tuberous
sclerosis complex (TSC) include intractable seizures that begin in infancy and
subependymal giant cell astrocytoma (SEGA) complicated by hydrocephalus with
increasing age. Information on SEGA growth of TSC patients is limited. This study
aimed to examine the TSC-SEGA growth rates by periodic neuroimaging. METHODS:
This study evaluated the TSC-SEGA growth rates by serial neuroimaging. Fifty
eight patients with TSC underwent systematic evaluation, including a review of
medical history and serial brain neuroimaging. RESULTS: While magnetic resonance
imaging was more sensitive in detecting cortical tubers than computed tomography
(73.1 vs. 0 %, p < 0.001), its efficacy in identifying intracranial lesions was
comparable to that of computed tomography (96.2 vs. 100 %, p = 0.658).
Significant tumor growth was observed in children (p = 0.012) and adults (p =
0.028) during follow-up periods, respectively (median for children 23.5 months,
interquartile range 18-40 months and median for adults 23 months, interquartile
range 12-34 months). Further, the SEGA growth rate in children was significantly
higher than that in adults (75.6 vs. 16.5 %, p = 0.03). CONCLUSIONS: The results
of the study show that SEGA has a significantly higher growth rate in children
using serial follow-up brain imaging, suggesting the importance of performing
follow-up neuroimaging at yearly intervals in childhood to identify and prevent
potential comorbidities.
PMID- 26552386
TI - Protective effect of calcitonin on lumbar fusion-induced adjacent-segment disc
degeneration in ovariectomized rat.
AB - BACKGROUND: Intervertebral disc (IVD) degeneration and pathological changes in
the spinal cord are major causes of back pain. In addition to its well
established anti-resorptive effect on bone, calcitonin (CT) potentially exerts
protective effects on IVD degeneration in ovariectomized rats. However, possible
therapeutic effects of CT on lumbar fusion-induced adjacent-segment disc
degeneration (ASDD) have not been investigated yet. In this study, we examined
the effects of CT on IVD degeneration adjacent to a lumbar fusion in
ovariectomized rats. METHODS: Posterolateral lumbar fusion (PLF) at L4-5 was
performed 4 weeks after ovariectomy (OVX) or sham surgery in female Sprague
Dawley rats. Following PLF + OVX, rats received either salmon CT (OVX + PLF +
sCT, 16 IU/Kg/2d) or vehicle (OVX + PLF + V) treatment for 12 weeks; the
remaining rats were divided into Sham + V, OVX + V, and PLF + V groups. Fusion
status was analyzed by manual palpation and radiography. Adjacent segment disc
was assessed by histological, histomorphometric, immunohistochemical analysis. L6
vertebrae microstructures were evaluated by micro-computed tomography. RESULTS:
Histological analysis showed more severe ASDD occurred in OVX + PLF + V rats
compared with the OVX + V or PLF + V groups. CT treatment suppressed the score
for ASDD, increased disc height, and decreased the area of endplate
calcification. Immunohistochemical staining demonstrated that CT decreased the
expression of collagen type-I, matrix metalloproteinase-13, and a disintegrin and
metalloproteinase with thrombospondin motifs-4, whereas it increased the
expression of collagen type-II and aggrecan in the disc. Micro-computed
tomography indicated that CT increased bone mass and improved the microstructure
of the L6 vertebrae. CONCLUSIONS: These results suggest that CT can prevent ASDD,
induce beneficial changes in IVD metabolism, and inhibit deterioration of the
trabecular microarchitecture of vertebrae in osteoporotic rats with lumbar
fusion.
PMID- 26552387
TI - Progress toward malaria elimination in Jazan Province, Kingdom of Saudi Arabia:
2000-2014.
AB - BACKGROUND: The draft Global Technical Strategy for malaria aims to eliminate
malaria from at least 10 countries by 2020. Yemen and Saudi Arabia remain the
last two countries on the Arabian Peninsula yet to achieve elimination. Over the
last 50 years, systematic efforts to control malaria in the Kingdom of Saudi
Arabia has successfully reduced malaria cases to a point where malaria is now
constrained largely to Jazan Province, the most south-western area along the Red
Sea. The progress toward elimination in this province is reviewed between 2000
and 2014. METHODS: Data were obtained from the Ministry of Health case-reporting
systems, activity reports, unpublished consultants reports, and relevant
scientific published papers. Sub-provincial population data were obtained the
national household censuses undertaken in 2004 and 2010. Rainfall data were
obtained from the Meteorological Department in Jazan. RESULTS: Between 2000 and
2014 there were 5522 locally acquired cases of malaria and 9936 cases of imported
malaria. A significant reduction in locally acquired malaria cases was observed
from 2000 to 2014, resulting in an average annual incidence (2010-2014) of 0.3
cases per 10,000 population. Conversely imported cases, since 2000, remain
consistent and higher than locally acquired cases, averaging between 250 and 830
cases per year. The incidence of locally acquired cases is heterogeneous across
the Province, with only a few health districts contributing the majority of the
cases. The overall decline in malaria case incidence can be attributed to
coincidental expansion of control efforts and periods of exceptionally low
rainfall. CONCLUSIONS: Jazan province is poised to achieve malaria elimination.
There is a need to change from a policy of passive case detection to reactively
and proactively detecting infectious reservoirs that require new approaches to
surveillance. These should be combined with advanced epidemiological tools to
improve the definitions of epidemiological receptive and hotspot malaria risk
mapping. The single largest threat currently remains the risks posed by imported
infections from Yemen.
PMID- 26552388
TI - Distance-of-Flight Mass Spectrometry with IonCCD Detection and an Inductively
Coupled Plasma Source.
AB - Distance-of-flight mass spectrometry (DOFMS) is demonstrated for the first time
with a commercially available ion detector-the IonCCD camera. Because DOFMS is a
velocity-based MS technique that provides spatially dispersive, simultaneous mass
spectrometry, a position-sensitive ion detector is needed for mass-spectral
collection. The IonCCD camera is a 5.1-cm long, 1-D array that is capable of
simultaneous, multichannel ion detection along a focal plane, which makes it an
attractive option for DOFMS. In the current study, the IonCCD camera is evaluated
for DOFMS with an inductively coupled plasma (ICP) ionization source over a
relatively short field-free mass-separation distance of 25.3-30.4 cm. The
combination of ICP-DOFMS and the IonCCD detector results in a mass-spectral
resolving power (FWHM) of approximately 900 and isotope-ratio precision
equivalent to or slightly better than current ICP-TOFMS systems. The measured
isotope-ratio precision in % relative standard deviation (%RSD) was >=0.008%RSD
for nonconsecutive isotopes at 10-ppm concentration (near the ion-signal
saturation point) and >=0.02%RSD for all isotopes at 1-ppm. Results of DOFMS with
the IonCCD camera are also compared with those of two previously characterized
detection setups.
PMID- 26552390
TI - Of prime ministers, presidents and professors.
PMID- 26552389
TI - Screening Method for the Discovery of Potential Bioactive Cysteine-Containing
Peptides Using 3D Mass Mapping.
AB - Animal venoms and toxins are a valuable source of bioactive peptides with
pharmacologic relevance as potential drug leads. A large subset of biologically
active peptides discovered up till now contain disulfide bridges that enhance
stability and activity. To discover new members of this class of peptides, we
developed a workflow screening specifically for those peptides that contain inter
and intra-molecular disulfide bonds by means of three-dimensional (3D) mass
mapping. Two intrinsic properties of the sulfur atom, (1) its relatively large
negative mass defect, and (2) its isotopic composition, allow for differentiation
between cysteine-containing peptides and peptides lacking sulfur. High sulfur
content in a peptide decreases the normalized nominal mass defect (NMD) and
increases the normalized isotopic shift (NIS). Hence in a 3D plot of mass, NIS,
and NMD, peptides with sulfur appear in this plot with a distinct spatial
localization compared with peptides that lack sulfur. In this study we
investigated the skin secretion of two frog species; Odorrana schmackeri and
Bombina variegata. Peptides from the crude skin secretions were separated by
nanoflow LC, and of all eluting peptides high resolution zoom scans were acquired
in order to accurately determine both monoisotopic mass and average mass. Both
the NMD and the NIS were calculated from the experimental data using an in-house
developed MATLAB script. Candidate peptides exhibiting a low NMD and high NIS
values were selected for targeted de novo sequencing, and this resulted in the
identification of several novel inter- and intra-molecular disulfide bond
containing peptides. Graphical Abstract ?.
PMID- 26552391
TI - Hepato and Cardiotoxicity of Chemotherapeutic Treatment Evaluated by Means of
Small Animal Imaging.
AB - BACKGROUND: Chemotherapy is one of the most common approaches for cancer
treatment. Particularly Doxorubicin has been proven to be effective in the
treatment of many soft and solid tumors for locally advanced and metastatic
cancer. It is not easy to clinically evaluate the chemotoxic or chemoprotective
effect of some drugs, even more when there is a subclinical toxicity. OBJECTIVE:
To determine the usefulness of the hepatobiliary, colloid and cardiac
scintigraphies, employing99mTcdisida, 99mTc-phytate and 99mTc-sestamibi
respectively, in the evaluation of the hepato and cardiotoxicity of two
chemotherapeutic treatments assessed in rats. METHOD: Two groups were submitted
to doxorubicin (DOX) treatment and one was co-administered with histamine
(DOX+HIS). Static 99mTc-phytate and 99mTc-sestamibi scintigraphies as well as a
dynamic 99mTc-disida study were performed in a small field of view gamma camera
at: 0 weeks (control), 1 week and 2 weeks of treatment. Imagenological parameters
were calculated: Liver/Bone Marrow ratio (L/BM), Heart/Background ratio (H/B) and
time to the maximum (Tmax) for 99mTc-phytate, 99mTc-sestamibi and 99mTc-disida
extraction, respectively. RESULTS: Control (L/BM= 98+/-3; H/B=2.3+/-0.4; Tmax=8+/
3), DOX (L/BM: 85+/-3, 80+/-3; H/B, 3.5+/-0.5, 3.3+/-0.5 and Tmax 6+/-1, 4+/-1)
for 1 and 2 weeks respectively and DOX+HIS (L/BM: 99+/-0.3, 98+/-1; H/B 2.9+/
0.5, 2.9+/-0.5 and Tmax, 8+/-2, 9+/-2) for 1 and 2 weeks, respectively.
Histological analysis showed cardio and hepatotoxicity induced by doxorubicin.
CONCLUSION: Imagenological parameters showed differences among treated and
control groups and between both chemotherapy treatments. Thus, these
radiopharmaceutical functional approaches were able to reflect heart and liver
toxicity produced by doxorubicin.
PMID- 26552392
TI - Nano-Hydroxyapatite Doped with Ho-166 as Drug Delivery System for Bone Cancer
Therapy and Diagnosis: Developing a Theragnostic Radiopharmaceuticals.
AB - BACKGROUND: The use of nanobiomaterials is increasing each day. Among the immense
variety of nanomaterials developed and studied the hydroxyapatite is one of the
most ones. OBJECTIVE: In this study we developed and tested nano-hydroxyapatite
dopped with Ho-166 for bone cancer. RESULTS: The results showed that the nano
hydroxyapatite dopped with Ho-166 has a great affinity for the bone. CONCLUSION:
The pre-clinical studies support the use as a nano-radiopharmaceuticals for bone
cancer treatment and diagnosis.
PMID- 26552393
TI - Listeria monocytogenes associated kerato-conjunctivitis in four horses in Norway.
AB - Listeria monocytogenes has been reported to cause various infectious diseases in
both humans and animals. More rarely, ocular infections have been reported. To
our knowledge, only two cases of Listeria keratitis have been described in
horses. We report kerato-conjunctivitis in four Norwegian horses associated with
L. monocytogenes. Clinically, all cases were presented with recurrent unilateral
kerato-conjunctivitis. L. monocytogenes bacteria were isolated from swab samples
from all cases, and cytology carried out in 3 cases was indicative of L.
monocytogenes infection. The present report describes the first known cases in
which L. monocytogenes has been isolated from keratitic lesions in horses in
Norway. A potential risk factor may be feeding of silage or haylage, but other
sources of infection cannot be ruled out. The phenotypic features including
antimicrobial susceptibility and serotype of the isolates are described.
Laboratory detection of L. monocytogenes demands extra caution since only low
numbers of bacteria were detected in the eye-swabs, probably due to the low
volume of sample material and the intracellular niche of the bacterium. A general
poor response to treatment in all these cases indicates that clinicians should
pay extra attention to intensity and duration of treatment if L. monocytogenes is
identified in connection with equine kerato-conjunctivitis.
PMID- 26552394
TI - Some Like it High! Phylogenetic Diversity of High-Elevation Cyanobacterial
Community from Biological Soil Crusts of Western Himalaya.
AB - The environment of high-altitudinal cold deserts of Western Himalaya is
characterized by extensive development of biological soil crusts, with
cyanobacteria as dominant component. The knowledge of their taxonomic composition
and dependency on soil chemistry and elevation is still fragmentary. We studied
the abundance and the phylogenetic diversity of the culturable cyanobacteria and
eukaryotic microalgae in soil crusts along altitudinal gradients (4600-5900 m) at
two sites in the dry mountains of Ladakh (SW Tibetan Plateau and Eastern
Karakoram), using both microscopic and molecular approaches. The effects of
environmental factors (altitude, mountain range, and soil physico-chemical
parameters) on the composition and biovolume of phototrophs were tested by
multivariate redundancy analysis and variance partitioning. Both phylogenetic
diversity and composition of morphotypes were similar between Karakorum and
Tibetan Plateau. Phylogenetic analysis of 16S rRNA gene revealed strains
belonging to at least five genera. Besides clusters of common soil genera, e.g.,
Microcoleus, Nodosilinea, or Nostoc, two distinct clades of simple trichal taxa
were newly discovered. The most abundant cyanobacterial orders were
Oscillatoriales and Nostacales, whose biovolume increased with increasing
elevation, while that of Chroococales decreased. Cyanobacterial species richness
was low in that only 15 morphotypes were detected. The environmental factors
accounted for 52 % of the total variability in microbial data, 38.7 % of which
was explained solely by soil chemical properties, 14.5 % by altitude, and 8.4 %
by mountain range. The elevation, soil phosphate, and magnesium were the most
important predictors of soil phototrophic communities in both mountain ranges
despite their different bedrocks and origin. The present investigation represents
a first record on phylogenetic diversity of the cyanobacterial community of
biological soil crusts from Western Himalayas and first record from altitudes
over 5000 m.
PMID- 26552395
TI - High Bacterial Diversity and Phylogenetic Novelty in Dark Euxinic Freshwaters
Analyzed by 16S Tag Community Profiling.
AB - Microbial communities growing under extreme low redox conditions are present in
anoxic and sulfide-rich (euxinic) environments such as karstic lakes and
experience limitation of electron acceptors. The fine natural chemical gradients
and the large diversity of organic and inorganic compounds accumulated in bottom
waters are impossible to mimic under laboratory conditions, and only a few groups
have been cultured. We investigated the bacterial composition in the oxic-anoxic
interface and in the deep waters of three sulfurous lakes from the Lake Banyoles
karstic area (NE Spain) through 16S rRNA gene tag sequencing and identified the
closest GenBank counterpart. High diversity indices were found in most of the
samples with >15 phyla/classes and >45 bacterial orders. A higher proportion of
operational taxonomic units (OTUs) of the "highest novelty" was found in the
hypolimnia (38 % of total sequences) than in the metalimnia (17 %), whereas the
percentage of OTUs closer to cultured counterparts (i.e., 97 % identity in the
16S rRNA gene) was 6 to 21 %, respectively. Elusimicrobia, Chloroflexi,
Fibrobacteres, and Spirochaetes were the taxa with the highest proportion of
novel sequences. Interestingly, tag sequencing results comparison with
metagenomics data available from the same dataset, showed a systematic
underestimation of sulfur-oxidizing Epsilonproteobacteria with the currently
available 907R "universal" primer. Overall, despite the limitation of electron
acceptors, a highly diverse and novel assemblage was present in dark and euxinic
hypolimnetic freshwaters, unveiling a hotspot of microbial diversity with a
remarkable gap with cultured counterparts.
PMID- 26552396
TI - Persistence of Antibiotic Resistant Vibrio spp. in Shellfish Hatchery
Environment.
AB - The characterization of antibiotic-resistant vibrios isolated from shellfish
aquaculture is necessary to elucidate the potential transfer of resistance and to
establish effective strategies against vibriosis. With this aim, we analyzed a
collection of bacterial isolates obtained from 15 failed hatchery larval cultures
that, for the most part, had been treated experimentally with chloramphenicol to
prevent vibriosis. Isolates were obtained during a 2-year study from experimental
cultures of five different clam species. Among a total of 121 Vibrio isolates
studied, 28 were found to be chloramphenicol resistant, suggesting that the
shellfish hatchery had been using a sublethal concentration of the antibiotic.
Interestingly, chloramphenicol-resistant vibrios showed also resistance to
tetracycline and amoxicillin (group A; n = 19) or to streptomycin (group B; n =
9). Chloramphenicol-resistant vibrios were subjected to a PCR amplification and
DNA sequencing of the chloramphenicol acetyltransferase genes (cat), and the same
approach was followed to study the tetracycline resistance markers (tet). 16S
ribosomal RNA (rRNA) gene sequencing revealed that chloramphenicol-resistant
vibrios pertained mostly to the Splendidus clade. Conjugation assays demonstrated
that various R-plasmids which harbored the cat II/tet(D) genes and cat III gene
in groups A and B respectively, were transferred to E. coli and bivalve
pathogenic vibrios. Most interestingly, transconjugants exhibited the antibiotic
resistance patterns of the donors, despite having been selected only on the basis
of chloramphenicol resistance. This is the first report carried out in a bivalve
hatchery elucidating the persistence of resistant vibrios, the mechanisms of
antibiotic resistance, and the transfer of different R-plasmids.
PMID- 26552397
TI - Methylmercury Increases and Eicosapentaenoic Acid Decreases the Relative Amounts
of Arachidonic Acid-Containing Phospholipids in Mouse Brain.
AB - The membrane phospholipid composition in mammalian brain can be modified either
by nutrients such as dietary fatty acids, or by certain toxic substances such as
methylmercury (MeHg), leading to various biological and toxic effects. The
present study evaluated the effects of eicosapentaenoic acid (EPA) and MeHg on
the composition of the two most abundant membrane phospholipid classes, i.e.,
phosphatidylcholines (PtdCho) and phosphatidylethanolamines (PtdEtn), in mouse
brain by using a two-level factorial design. The intact membrane PtdCho and
PtdEtn species were analyzed by liquid chromatography-mass spectrometry. The
effects of EPA and MeHg on the PtdCho and PtdEtn composition were evaluated by
principal component analysis and ANOVA. The results showed that EPA and MeHg had
different effects on the composition of membrane PtdCho and PtdEtn species in
brain, where EPA showed strongest impact. EPA led to large reductions in the
levels of arachidonic acid (ARA)-containing PtdCho and PtdEtn species in brain,
while MeHg tended to elevate the levels of ARA-containing PtdCho and PtdEtn
species. EPA also significantly increased the levels of PtdCho and PtdEtn species
with n-3 fatty acids. Our results indicate that EPA may to some degree counteract
the alterations of the PtdCho and PtdEtn pattern induced by MeHg, and thus
alleviate the MeHg neurotoxicity in mouse brain through the inhibition of ARA
derived pro-inflammatory factors. These results may assist in the understanding
of the interaction between MeHg, EPA and phospholipids, as well as the risk and
benefits of a fish diet.
PMID- 26552398
TI - Linalool oxide: generalist plant based lure for mosquito disease vectors.
AB - BACKGROUND: Lack of effective vaccines and therapeutics for important arboviral
diseases such as Rift Valley fever (RVF) and dengue, necessitates continuous
monitoring of vector populations for infections in them. Plant-based lures as
surveillance tools has the potential of targeting mosquitoes of both sexes and
females of varied physiological states; yet such lures are lacking for vectors of
these diseases. Here, we present evidence of the effectiveness of linalool oxide
(LO), a single plant-based lure previously developed for malaria vectors in
trapping RVF vectors, Aedes mcintoshi and Aedes ochraceus, and dengue vector,
Aedes aegypti. METHODS: For RVF vectors, we used CDC traps to evaluate the
performance of LO against three vertebrate-based lures: CO2 (dry ice), BioGent
(BG) lure, and HONAD (a blend of aldehydes) in 2 experiments with Completely
Randomized design: 1) using unlit CDC traps baited separately with LO, HONAD and
BG-lure, and unlit CDC trap + CO2 and lit CDC trap as controls, 2) similar
treatments but with inclusion of CO2 to all the traps. For dengue vectors, LO was
evaluated against BG lure using BG sentinel traps, in a 3 * 6 Latin Square
design, first as single lures and then combined with CO2 and traps baited with
CO2 included as controls. Trap captures were compared between the treatments
using Chi square and GLM. RESULTS: Low captures of RVF vectors were recorded for
all lures in the absence of CO2 with no significant difference between them. When
combined with CO2, LO performance in trapping these vectors was comparable to BG
lure and HONAD but it was less effective than the lit CDC trap. In the absence of
CO2, LO performed comparably with the BG-lure in trapping female Ae. aegypti, but
with significantly higher males recorded in traps baited with the plant-based
lure. When CO2 was added, LO was significantly better than the BG-lure with a 2.8
fold increase in captures of male Ae. aegypti. CONCLUSIONS: These results
highlight the potential of LO as a generalist plant-based lure for mosquito
disease vectors, pending further assessment of possible specificity in their
response profile to the different stereoisomers of this compound.
PMID- 26552399
TI - Drug Targeting to Macrophages With Solid Lipid Nanoparticles Harboring
Paromomycin: an In Vitro Evaluation Against L. major and L. tropica.
AB - Leishmaniasis is a worldwide disease that leads to high mortality and morbidity
in human populations. Today, leishmaniasis is managed via drug therapy. The drugs
that are already in clinical use are limited to a number of toxic chemical
compounds and their parasite drug resistance is increasing. It is therefore
essential, in order to circumvent the current difficulties, to design a new anti
leishmanial drug treatment strategy. Besides producing new, active anti
leishmanial entities, another promising strategy could be developing novel
delivery systems and formulations of the existing pharmaceutical ingredients to
improve drug efficacy. In the present study, paromomycin sulfate (PM), as one of
the promising anti-leishmanial drugs, was formulated in solid lipid nanoparticles
(SLN), and its in vitro efficacy was investigated against different strains of
Leishmania using a MTT test, Parasite-Rescue-Transformation-Assay, SYTO Green
staining, and fluorescent microscope imaging. The results show that PM-loaded SLN
is significantly more effective than PM in inhibiting parasite propagation (P <
0.05) and that cytotoxicity of PM-SLN formulations is size dependent. According
to our results, delivery of the drugs to the macrophages via nanoparticle
utilization seems to be an accessible and practical approach.
PMID- 26552400
TI - Intestinal Targeting of Ganciclovir Release Employing a Novel HEC-PAA Blended
Lyomatrix.
AB - A hydroxyethylcellulose-poly(acrylic acid) (HEC-PAA) lyomatrix was developed for
ganciclovir (GCV) intestine targeting to overcome its undesirable degradation in
the stomach. GCV was encapsulated within the HEC-PAA lyomatrix prepared by
lyophilization. Conventional tablets were also prepared with identical GCV
concentrations in order to compare the GCV release behavior from the lyomatrix
and tablets. GCV incorporation (75.12%) was confirmed using FTIR, DSC, and TGA.
The effect of GCV loading on the microstructure properties of the lyomatrix was
evaluated by SEM, AFM, and BET surface area measurements. The in vitro drug
release study showed steady and rapid release profiles from the GCV-loaded
lyomatrix compared with the tablet formulation at identical pH values. Minimum
GCV release was observed at acidic pH (<=40%) and maximum release occurred at
intestinal pH values (>=90%) proving the intestinal targeting ability of the
lyomatrix. Kinetic modeling revealed that the GCV-loaded lyomatrix exhibited zero
order release kinetics (n = 1), while the tablets were best described via the
Peppas model. Textural analysis highlighted enhanced matrix resilience and
rigidity gradient (12.5%, 20 Pa) for the GCV-loaded lyomatrix compared to the
pure (7%, 9.5 Pa) HEC-PAA lyomatrix. Bench-top MRI imaging was used to confirm
the mechanism of GCV release behavior by monitoring the swelling and erosion
rates. The swelling and erosion rate of the tablets was not sufficient to achieve
rapid zero-order GCV release as with the lyomatrix. These combined results
suggest that the HEC-PAA lyomatrix may be suitable for GCV intestinal targeting
after oral administration.
PMID- 26552401
TI - In Vivo Target Validation Using Biological Molecules in Drug Development.
AB - Drug development is a resource-intensive process requiring significant financial
and time investment. Preclinical target validation studies and in vivo testing of
the therapeutic molecules in clinically relevant disease models can accelerate
and significantly de-risk later stage clinical development. In this chapter, we
will focus on (1) in vivo animal models and (2) pharmacological tools for target
validation.
PMID- 26552402
TI - Translational In Vivo Models for Cardiovascular Diseases.
AB - Cardiovascular diseases are still the first leading cause of death and morbidity
in developed countries. Experimental cardiology research and preclinical drug
development in cardiology call for appropriate and especially clinically relevant
in vitro and in vivo studies. The use of animal models has contributed to expand
our knowledge and our understanding of the underlying mechanisms and accordingly
provided new approaches focused on the improvement of diagnostic and treatment
strategies of various cardiac pathologies.Numerous animal models in different
species as well as in small and large animals have been developed to address
cardiovascular complications, including heart failure, pulmonary hypertension,
and thrombotic diseases. However, a perfect model of heart failure or other
indications that reproduces every aspect of the natural disease does not exist.
The complexity and heterogeneity of cardiac diseases plus the influence of
genetic and environmental factors limit to mirror a particular disease with a
single experimental model.Thus, drug development in the field of cardiology is
not only very challenging but also inspiring; therefore animal models should be
selected that reflect as best as possible the disease being investigated. Given
the wide range of animal models, reflecting critical features of the human
pathophysiology available nowadays increases the likelihood of the translation to
the patients. Furthermore, this knowledge and the increase of the predictive
value of preclinical models help us to find more efficient and reliable solutions
as well as better and innovative treatment strategies for cardiovascular
diseases.
PMID- 26552403
TI - Emerging Target Families: Intractable Targets.
AB - The druggability of a target is defined by the likelihood of a certain target
binding site to be amendable to functional modulation by a small molecule in
vivo. Thus, druggability depends on the ability of the developed small molecule
to reach the target site, the properties of the ligand binding pocket and our
ability to develop chemical matter that efficiently interact with the drug
binding site of interest. Historically enzymes have been the main drug targets
because the inhibition of their activity can be easily assayed and catalytic
centres are often attractive drug binding sites. However, despite considerable
effort, a number of classical enzyme families have not been successfully
targeted. More recently protein-protein interactions received considerable
attention and several clinical inhibitors have now been developed. Despite the
considerable progress made expanding target space, a large number of targets with
a very strong rationale for targeting remain intractable. In the following
chapter I will summarize progress made in developing inhibitors for challenging
drug binding sites and emerging target families.
PMID- 26552404
TI - Protective Effects of Growth Arrest-Specific Protein 6 (Gas6) on Sepsis-Induced
Acute Kidney Injury.
AB - Acute kidney injury (AKI) is a serious complication of sepsis, which has a high
mortality rate. Growth arrest-specific protein 6 (Gas6), the protein product of
the growth arrest specific gene 6, has been shown to have an anti-apoptotic
effect as well as pro-survival capability. Here, we investigated the effects of
Gas6 on sepsis-associated AKI in mice subjected to cecal ligation and puncture
(CLP). We found that the administration of rmGas6 significantly reduced serum
urea nitrogen and creatinine and improved the survival of septic mice.
Furthermore, the renal pathological damage induced by CLP was attenuated by
rmGas6 treatment. Finally, rmGas6 reduced the renal tissue apoptotic index and
the expression of Bax, while it upregulated the expression of Bcl-2. The data
suggest that rmGas6 might be used as a potential therapeutic agent for sepsis
induced AKI.
PMID- 26552405
TI - Licochalcone A Attenuates Lipopolysaccharide-Induced Acute Kidney Injury by
Inhibiting NF-kappaB Activation.
AB - Licochalcone A (Lico A), a flavonoid found in licorice root (Glycyrrhiza glabra),
has been reported to have anti-inflammatory activity. However, the protective
effects of Lico A on lipopolysaccharide (LPS)-induced acute kidney injury (AKI)
remains unclear. In this study, using a mouse model of LPS-induced AKI, we
investigated the protective effects and mechanism of Lico A on LPS-induced AKI in
mice. LPS-induced kidney injury was assessed by detecting kidney histological
study, blood urea nitrogen (BUN), and creatinine levels. The production of
inflammatory cytokines TNF-alpha, IL-6, and IL-1beta in serum and kidney tissues
was detected by ELISA. The activation of NF-kappaB was measured by western blot
analysis. Our results showed that Lico A dose-dependently attenuated LPS-induced
kidney histopathologic changes, serum BUN, and creatinine levels. Lico A also
suppressed LPS-induced TNF-alpha, IL-6, and IL-1beta production both in serum and
kidney tissues. Furthermore, our results showed that Lico A significantly
inhibited LPS-induced NF-kappaB activation. In conclusion, our results suggest
that Lico A has protective effects against LPS-induced AKI and Lico A exhibits
its anti-inflammatory effects through inhibiting LPS-induced NF-kappaB
activation.
PMID- 26552406
TI - The Effect of SHH-Gli Signaling Pathway on the Synovial Fibroblast Proliferation
in Rheumatoid Arthritis.
AB - Rheumatoid arthritis (RA) is a chronic autoimmune disease characterized by
chronic synovitis. This study aims to investigate the role of sonic hedgehog
(SHH)-Gli signaling pathway in synovial fibroblast proliferation in rheumatoid
arthritis. The expression of serum SHH in RA patients group was significantly
increased compared with the systemic lupus erythematosus (SLE), ankylosing
spondylitis (AS), and healthy subject (healthy control, HC) groups, respectively;
serum SHH expression of RA patients was positively correlated with rheumatoid
factor (RF) and anti-cyclic citrullinated peptide antibodies (anti-CCP Ab), while
there was no significant correlation between SHH expression and erythrocyte
sedimentation rate (ESR). SHH, Ptch, Smo, and Gli molecules were highly expressed
in rat RA-synovial fibroblast (RA-SF); after blocking the SHH-Gli signaling
pathway with a Gli specific inhibitor, Gli-antagonist 61 (GANT61), RA-SF
proliferation was inhibited in a dose-dependent manner and the apoptosis rate of
RA-SF was increased as well; the expression levels of fibroblast growth factor
receptor 1 (FGFR1) and FGFR3 declined in SF cells after GANT61 treatment. Our
results suggest that SHH-Gli pathway is involved in the pathogenesis of RA, and
blocking SHH-Gli pathway inhibits RA-SF cell proliferation and increases cell
apoptosis, which may shed light on developing new ideas for RA treatment.
PMID- 26552407
TI - A curious case of acute respiratory distress syndrome.
AB - Gestational acute respiratory distress syndrome (ARDS) is a complicated problem
with the potential to gravely harm both mother and fetus. This case report
describes a young woman in her second trimester of pregnancy who developed
progressive respiratory failure in the setting of newly diagnosed influenza,
diffuse alveolar hemorrhage and lymphangioleiomyomatosis. The patient's condition
was refractory to conventional interventions and required extracorporeal membrane
oxygenation (ECMO) support. Her course was further complicated by preeclampsia
requiring preterm delivery with cesarean section while on ECMO. Through novel
therapies and a multidisciplinary approach to care, both the patient and her
child would overcome these unique and challenging conditions and survive.
PMID- 26552409
TI - Resource needs and gap analysis in achieving universal access to HIV/AIDS
services: a data envelopment analysis of 45 countries.
AB - BACKGROUND: -To manage the human immunodeficiency virus and acquired immune
deficiency syndrome (HIV/AIDS) epidemic, international donors have pledged
unprecedented commitments for needed services. The Joint United Nations Programme
on HIV/AIDS (UNAIDS) projected that low- and middle-income countries needed $25
billion to meet the 2010 HIV/AIDS goal of universal access to AIDS prevention and
care, using the resource needs model (RNM). METHODS: -Drawing from the results
from its sister study, which used a data envelopment analysis (DEA) and a Tobit
model to evaluate and adjust the technical efficiency of 61 countries in
delivering HIV/AIDS services from 2002 to 2007, this study extended the DEA and
developed an approach to estimate resource needs and decompose the performance
gap into efficiency gap and resource gap. In the DEA, we considered national
HIV/AIDS spending as the input and volume of voluntary counseling and testing
(VCT), prevention of mother to child transmission (PMTCT) and antiretroviral
treatment (ART) as the outputs. An input-oriented DEA model was constructed to
project resource needs in achieving 2010 HIV/AIDS goal for 45 countries using the
data in 2006, assuming that all study countries maximized efficiency. FINDINGS:
The DEA approach demonstrated the potential to include efficiency of national
HIV/AIDS programmes in resource needs estimation, using macro-level data. Under
maximal efficiency, the annual projected resource needs for the 45 countries was
$6.3 billion, ~47% of their UNAIDS estimate of $13.5 billion. Given study
countries' spending of $3.9 billion, improving efficiency could narrow the gap
from $9.6 to $2.4 billion. The results suggest that along with continued
financial commitment to HIV/AIDS, improving the efficiency of HIV/AIDS programmes
would accelerate the pace to reach 2010 HIV/AIDS goals. The DEA approach provides
a supplement to the AIDS RNM to inform policy making.
PMID- 26552408
TI - Inhibition of STAT3 activity delays obesity-induced thyroid carcinogenesis in a
mouse model.
AB - Compelling epidemiologic studies indicate that obesity is a risk factor for many
human cancers, including thyroid cancer. In recent decades, the incidence of
thyroid cancer has dramatically increased along with a marked rise in obesity
prevalence. We previously demonstrated that a high fat diet (HFD) effectively
induced the obese phenotype in a mouse model of thyroid cancer
(Thrb(PV/PV)Pten(+/-) mice). Moreover, HFD activates the STAT3 signal pathway to
promote more aggressive tumor phenotypes. The aim of the present study was to
evaluate the effect of S3I-201, a specific inhibitor of STAT3 activity, on HFD
induced aggressive cancer progression in the mouse model of thyroid cancer. WT
and Thrb(PV/PV)Pten(+/-) mice were treated with HFD together with S3I-201 or
vehicle-only as controls. We assessed the effects of S3I-201 on HFD-induced
thyroid cancer progression, the leptin-JAK2-STAT3 signaling pathway, and key
regulators of epithelial-mesenchymal transition (EMT). S3I-201 effectively
inhibited HFD-induced aberrant activation of STAT3 and its downstream targets to
markedly inhibit thyroid tumor growth and to prolong survival. Decreased protein
levels of cyclins D1 and B1, cyclin dependent kinase 4 (CDK4), CDK6, and
phosphorylated retinoblastoma protein led to the inhibition of tumor cell
proliferation in S3I-201-treated Thrb(PV/PV)Pten(+/-) mice. Reduced occurrence of
vascular invasion and blocking of anaplasia and lung metastasis in thyroid tumors
of S3I-201-treated Thrb(PV/PV)Pten(+/-) mice were mediated via decreased
expression of vimentin and matrix metalloproteinases, two key effectors of EMT.
The present findings suggest that inhibition of the STAT3 activity would be a
novel treatment strategy for obesity-induced thyroid cancer.
PMID- 26552410
TI - Altruistic Preferences in Time Tradeoff: Consideration of Effects on Others in
Health State Valuations.
AB - Whether respondents incorporate altruistic preferences in time tradeoff (TTO)
exercises remains understudied. We present an extended quality-adjusted life-year
model incorporating altruism. We derive that altruism may affect TTO values in 2
directions. First, "longevity altruists" may wish to prolong life for the sake of
their loved ones (to avoid being missed). Second, "quality-of-life altruists" may
have a preference to avoid bad health states resulting in being a burden to loved
ones. The existence and influence of these preferences in a TTO were empirically
confirmed in a sample of 1690 respondents from the general public. We classified
respondents as "longevity altruists" or "quality-of-life altruists" based on
their reasoning behind inclusion of loved ones in their TTO responses. In line
with expectations, longevity altruists traded fewer years than quality-of-life
altruists. Nonaltruists gave intermediate values.
PMID- 26552411
TI - Disseminated eruptive free sebaceous glands on the face as a rare sequela of
toxic epidermal necrolysis.
PMID- 26552412
TI - Factors associated with occupational injuries in seasonal young workers.
AB - BACKGROUND: Younger workers are more likely to be injured on the job than older
workers. Investigation tends to focus on work-related explanatory factors but
often neglects non-work-related causes. AIMS: To identify both work- and non-work
related factors that contribute to younger workers' injuries in seasonal work.
METHODS: Two surveys of a set of seasonal parks and recreation workers were
conducted measuring health and safety behaviours and self-reported injuries.
RESULTS: Seventy per cent reported an injury at work over the summer. Among young
workers, each additional year of age was associated with an almost 50% increase
in injury rate (P < 0.05). Odds of injury in women were three times those for men
(P < 0.05). We observed a linear relationship between average hours worked per
week and injuries (P < 0.001). Alcohol abuse (P < 0.05) was also associated with
injuries. CONCLUSIONS: Higher injury rates among younger workers in this sample
is multifactorial and encompasses both work and non-work factors and suggest that
more global approaches are required to address young worker safety.
PMID- 26552414
TI - First Oncolytic Viral Therapy for Melanoma.
AB - The FDA has approved talimogene laherparepvec, or T-VEC, to treat surgically
unresectable skin and lymph node lesions in patients with advanced melanoma. T
VEC is the first oncolytic viral therapy to gain regulatory endorsement, based on
data from the OPTiM study.
PMID- 26552415
TI - Time loss injuries compromise team success in Elite Rugby Union: a 7-year
prospective study.
AB - BACKGROUND: A negative association between injuries and team success has been
demonstrated in professional football, but the nature of this association in
elite Rugby Union teams is currently unclear. AIM: To assess the association
between injury burden measures and team success outcomes within professional
Rugby Union teams. METHODS: A seven-season prospective cohort design was used to
record all time-loss injuries incurred by English Premiership players.
Associations between team success measures (league points tally and Eurorugby
Club Ranking (ECR)) and injury measures (injury burden and injury days per team
match) were modelled, both within (changes from season to season) and between
(differences averaged over all seasons) teams. Thresholds for the smallest
worthwhile change in league points tally and ECR were 3 points and 2.6%,
respectively. RESULTS: Data from a total of 1462 players within 15 Premiership
teams were included in the analysis. We found clear negative associations between
injury measures and team success (70-100% likelihood), with the exception of
between-team differences for injury days per team-match and ECR, which was
unclear. A reduction in injury burden of 42 days (90% CI 30 to 70) per 1000
player hours (22% of mean injury burden) was associated with the smallest
worthwhile change in league points tally. CONCLUSIONS: Clear negative
associations were found between injury measures and team success, and moderate
reductions in injury burden may have worthwhile effects on competition outcomes
for professional Rugby Union teams. These findings may be useful when
communicating the value of injury prevention initiatives within this elite sport
setting.
PMID- 26552413
TI - Lymphatic Vessels, Inflammation, and Immunity in Skin Cancer.
AB - Skin is a highly ordered immune organ that coordinates rapid responses to
external insult while maintaining self-tolerance. In healthy tissue, lymphatic
vessels drain fluid and coordinate local immune responses; however, environmental
factors induce lymphatic vessel dysfunction, leading to lymph stasis and
perturbed regional immunity. These same environmental factors drive the formation
of local malignancies, which are also influenced by local inflammation. Herein,
we discuss clinical and experimental evidence supporting the tenet that lymphatic
vessels participate in regulation of cutaneous inflammation and immunity, and are
important contributors to malignancy and potential biomarkers and targets for
immunotherapy. SIGNIFICANCE: The tumor microenvironment and tumor-associated
inflammation are now appreciated not only for their role in cancer progression
but also for their response to therapy. The lymphatic vasculature is a less
appreciated component of this microenvironment that coordinates local
inflammation and immunity and thereby critically shapes local responses. A
mechanistic understanding of the complexities of lymphatic vessel function in the
unique context of skin provides a model to understand how regional immune
dysfunction drives cutaneous malignancies, and as such lymphatic vessels
represent a biomarker of cutaneous immunity that may provide insight into cancer
prognosis and effective therapy.
PMID- 26552416
TI - Dose-response association of screen time-based sedentary behaviour in children
and adolescents and depression: a meta-analysis of observational studies.
AB - BACKGROUND: Depression represents a growing public health burden. Understanding
how screen time (ST) in juveniles may be associated with risk of depression is
critical for the development of prevention and intervention strategies. Findings
from studies addressing this question thus far have been inconsistent. Therefore,
we conducted a comprehensive systematic review and meta-analysis of data related
to this question. METHODS: The meta-analysis was conducted in accordance with the
PRISMA guideline. We searched the electronic databases of PubMed, Web of Science
and EBSCO systematically (up to 6 May 2015). OR was adopted as the pooled
measurement of association between ST and depression risk. Dose-response was
estimated by a generalised least squares trend estimation. RESULTS: Twelve cross
sectional studies and four longitudinal studies (including 1 cohort study)
involving a total of 127 714 participants were included. Overall, higher ST in
preadolescent children and adolescents was significantly associated with a higher
risk of depression (OR=1.12; 95% CI 1.03 to 1.22). Screen type, age, population
and reference category acted as significant moderators. Compared with the
reference group who had no ST, there was a non-linear dose-response association
of ST with a decreasing risk of depression at ST<2 h/day, with the lowest risk
being observed for 1 h/day (OR=0.88; 95% CI 0.84 to 0.93). CONCLUSIONS: Our meta
analysis suggests that ST in children and adolescents is associated with
depression risk in a non-linear dose-response manner.
PMID- 26552418
TI - Epilepsy and Adult Neurogenesis.
AB - Seizure activity in the hippocampal region strongly affects stem cell-associated
plasticity in the adult dentate gyrus. Here, we describe how seizures in rodent
models of mesial temporal lobe epilepsy (mTLE) affect multiple steps in the
developmental course from the dividing neural stem cell to the migrating and
integrating newborn neuron. Furthermore, we discuss recent evidence indicating
either that seizure-induced aberrant neurogenesis may contribute to the epileptic
disease process or that altered neurogenesis after seizures may represent an
attempt of the injured brain to repair itself. Last, we describe how dysfunction
of adult neurogenesis caused by chronic seizures may play an important role in
the cognitive comorbidities associated with mTLE.
PMID- 26552417
TI - The Origins and Organization of Vertebrate Pavlovian Conditioning.
AB - Pavlovian conditioning is the process by which we learn relationships between
stimuli and thus constitutes a basic building block for how the brain constructs
representations of the world. We first review the major concepts of Pavlovian
conditioning and point out many of the pervasive misunderstandings about just
what conditioning is. This brings us to a modern redefinition of conditioning as
the process whereby experience with a conditional relationship between stimuli
bestows these stimuli with the ability to promote adaptive behavior patterns that
did not occur before the experience. Working from this framework, we provide an
in-depth analysis of two examples, fear conditioning and food-based appetitive
conditioning, which include a description of the only partially overlapping
neural circuitry of each. We also describe how these circuits promote the basic
characteristics that define Pavlovian conditioning, such as error-correction
driven regulation of learning.
PMID- 26552420
TI - Lavage of the Uterine Cavity for Molecular Detection of Mullerian Duct
Carcinomas: A Proof-of-Concept Study.
AB - PURPOSE: Type II ovarian cancer (OC) and endometrial cancer (EC) are generally
diagnosed at an advanced stage, translating into a poor survival rate. There is
increasing evidence that Mullerian duct cancers may exfoliate cells. We have
established an approach for lavage of the uterine cavity to detect shed cancer
cells. PATIENTS AND METHODS: Lavage of the uterine cavity was used to obtain
samples from 65 patients, including 30 with OC, five with EC, three with other
malignancies, and 27 with benign lesions involving gynecologic organs. These
samples, as well as corresponding tumor tissue, were examined for the presence of
somatic mutations using massively parallel sequencing (next-generation
sequencing) and, in a subset, singleplex analysis. RESULTS: The lavage technique
could be applied successfully, and sufficient amounts of DNA were obtained in all
patients. Mutations, mainly in TP53, were identified in 18 (60%) of 30 lavage
samples of patients with OC using next-generation sequencing. Singleplex analysis
of mutations previously determined in corresponding tumor tissue led to further
identification of six patients. Taken together, in 24 (80%) of 30 patients with
OC, specific mutations could be identified. This also included one patient with
occult OC. All five analyzed lavage specimens from patients with EC harbored
mutations. Eight (29.6%) of 27 patients with benign lesions tested positive for
mutations, six (75%) as a result of mutations in the KRAS gene. CONCLUSION: This
study proved that tumor cells from ovarian neoplasms are shed and can be
collected via lavage of the uterine cavity. Detection of OC and EC and even
clinically occult OC was achieved, making it a potential tool of significant
promise for early diagnosis.
PMID- 26552419
TI - Combined Microsatellite Instability, MLH1 Methylation Analysis, and
Immunohistochemistry for Lynch Syndrome Screening in Endometrial Cancers From
GOG210: An NRG Oncology and Gynecologic Oncology Group Study.
AB - PURPOSE: The best screening practice for Lynch syndrome (LS) in endometrial
cancer (EC) remains unknown. We sought to determine whether tumor microsatellite
instability (MSI) typing along with immunohistochemistry (IHC) and MLH1
methylation analysis can help identify women with LS. PATIENTS AND METHODS: ECs
from GOG210 patients were assessed for MSI, MLH1 methylation, and mismatch repair
(MMR) protein expression. Each tumor was classified as having normal MMR,
defective MMR associated with MLH1 methylation, or probable MMR mutation (ie,
defective MMR but no methylation). Cancer family history and demographic and
clinical features were compared for the three groups. Lynch mutation testing was
performed for a subset of women. RESULTS: Analysis of 1,002 ECs suggested
possible MMR mutation in 11.8% of tumors. The number of patients with a family
history suggestive of LS was highest among women whose tumors were classified as
probable MMR mutation (P = .001). Lynch mutations were identified in 41% of
patient cases classified as probable mutation (21 of 51 tested). One of the MSH6
Lynch mutations was identified in a patient whose tumor had intact MSH6
expression. Age at diagnosis was younger for mutation carriers than noncarriers
(54.3 v 62.3 years; P < .01), with five carriers diagnosed at age > 60 years.
CONCLUSION: Combined MSI, methylation, and IHC analysis may prove useful in Lynch
screening in EC. Twenty-four percent of mutation carriers presented with ECs at
age > 60 years, and one carrier had an MSI-positive tumor with no IHC defect.
Restricting Lynch testing to women diagnosed at age < 60 years or to women with
IHC defects could result in missing a substantial fraction of genetic disease.
PMID- 26552421
TI - Evaluating Intermittent Androgen-Deprivation Therapy Phase III Clinical Trials:
The Devil Is in the Details.
AB - PURPOSE: Intermittent androgen deprivation (IAD) has been widely tested in
prostate cancer. However, phase III trials testing continuous androgen
deprivation (CAD) versus IAD have reached inconclusive and seemingly
contradictory results. Different design and conduct issues must be critically
evaluated to better interpret the results. PATIENTS AND METHODS: Seven published
phase III trials were examined for prespecified design and outcomes. Treatment
specifications; primary end point; superiority versus noninferiority design
assumptions, including magnitude of assumed versus observed noninferiority margin
(NIM); duration of follow-up; and quality-of-life (QOL) outcomes were considered
in terms of the results and conclusions reported. RESULTS: Five trials had a
superiority and three had a noninferiority primary hypothesis. Only three trials
had a uniform population and overall survival (OS) end point. All trials observed
better outcomes in terms of OS and progression-free survival (PFS) than assumed
at time of study design, translating into prespecified NIMs or hazard ratios that
reflected larger absolute differences in OS or PFS between arms. Lower-than
expected event rates also reduced statistical power for the trials. Other
factors, including length of follow-up, cause of death, QOL, and primary end
point, and their impact on trial interpretation are discussed. CONCLUSION: No
trial to date has demonstrated survival superiority of IAD compared with CAD.
Trials concluding IAD is noninferior to CAD were based on wide NIMs that included
clinically important survival differences, not likely to be considered comparable
by physicians or patients. Interim analyses relying on short follow-up and
including a majority of non-prostate cancer deaths will favor a noninferiority
conclusion and should be interpreted cautiously. Adequate follow-up is required
to ensure capture of prostate cancer deaths in both superiority and
noninferiority trials.
PMID- 26552422
TI - Novel affinity binders for neutralization of vascular endothelial growth factor
(VEGF) signaling.
AB - Angiogenesis denotes the formation of new blood vessels from pre-existing
vasculature. Progression of diseases such as cancer and several ophthalmological
disorders may be promoted by excess angiogenesis. Novel therapeutics to inhibit
angiogenesis and diagnostic tools for monitoring angiogenesis during therapy,
hold great potential for improving treatment of such diseases. We have previously
generated so-called biparatopic Affibody constructs with high affinity for the
vascular endothelial growth factor receptor-2 (VEGFR2), which recognize two non
overlapping epitopes in the ligand-binding site on the receptor. Affibody
molecules have previously been demonstrated suitable for imaging purposes. Their
small size also makes them attractive for applications where an alternative route
of administration is beneficial, such as topical delivery using eye drops. In
this study, we show that decreasing linker length between the two Affibody
domains resulted in even slower dissociation from the receptor. The new variants
of the biparatopic Affibody bound to VEGFR2-expressing cells, blocked VEGFA
binding, and inhibited VEGFA-induced signaling of VEGFR2 over expressing cells.
Moreover, the biparatopic Affibody inhibited sprout formation of endothelial
cells in an in vitro angiogenesis assay with similar potency as the bivalent
monoclonal antibody ramucirumab. This study demonstrates that the biparatopic
Affibody constructs show promise for future therapeutic as well as in vivo
imaging applications.
PMID- 26552423
TI - Epidemiological evaluation quality of life in patients suffering from early
rheumatoid arthritis: a pragmatic, prospective, randomized, blind allocation
controlled of a modular program group intervention.
AB - OBJECTIVES: Epidemiology has taken on new roles in the management of health care
services. In this study, we developed a non-pharmacological self-management
modular program group intervention and evaluated its efficacy as an adjunct
therapy in patients suffering from early rheumatoid arthritis (RA). METHODS:
Patients were randomized to either participate in a non-equivalent intervention
group along with the standard of care or only receive standard-of-care treatment
at a community rheumatology center. The outcomes measured were a pain visual
analog scale (VAS), patient general health (GH) on a VAS, and the Short Form 36
Health Survey version 2 scale measuring quality of life. These parameters were
evaluated in the first week to obtain baseline values, and at 20, 32, 48, and 60
weeks to evaluate the efficacy of the intervention group. RESULTS: The patients
were randomized, with 100 patients in the intervention group and 106 in the
control group. The intervention and control groups were similar with regard to
the percentage of women (86% vs. 89.6%), tobacco usage (25% vs. 19.8%), mean age
(42.6+/-13.2 years vs. 46.6+/-10.9 years), and disease duration (15.3+/-6.7
months vs. 14.5+/-6.6 months). The mean outcomes were significantly different
between the two groups, and post-hoc pairwise analysis demonstrated significant
deterioration in the control group in contrast to improvement in the intervention
group at the second, third, fourth, and fifth evaluations. Improvements were
often seen as early as the 12-week and 24-week follow-up visits. CONCLUSIONS:
Epidemiology contributes to the evaluation of how well specific therapies or
other health interventions prevent or control health problems. The modular
program group intervention implemented in this study appears to be a suitable and
feasible method to facilitate much more comprehensive management of early RA in
socioeconomically challenged communities.
PMID- 26552424
TI - Modifiable risk factors of lung cancer in "never-smoker" women.
AB - Korean women with a history of never smoking and with adenocarcinoma showed an
increasing trend in lung cancer occurrence during 2002 to 2012. The two
modifiable factors of never-smoker lung cancer in women are hormone and oncogenic
virus infection. Based on previous studies, hormone replacement therapy (HRT) and
human papillomavirus (HPV) infection might afford protection or be a risk factor,
respectively. It is necessary to perform a pooled analysis of cohort studies to
evaluate HRT and never-smoker lung cancer in women and a systematic review of
case-control studies to determine the association between HPV infection and never
smoker lung cancer.
PMID- 26552425
TI - Feasibility of "CopeSmart": A Telemental Health App for Adolescents.
AB - BACKGROUND: Early intervention is important in order to improve mental health
outcomes for young people. Given the recent rise in mobile phone ownership among
adolescents, an innovative means of delivering such intervention is through the
use of mobile phone applications (apps). OBJECTIVE: The aim of this study was to
evaluate the feasibility of "CopeSmart", a telemental health app developed to
foster positive mental health in adolescents through emotional self-monitoring
and the promotion of positive coping strategies. METHODS: Forty-three adolescents
(88% female) aged 15-17 years downloaded the app and used it over a one-week
period. They then completed self-report questionnaires containing both open-ended
and closed-ended questions about their experiences of using the app. The app
itself captured data related to user engagement. RESULTS: On average participants
engaged with the app on 4 of the 7 days within the intervention period. Feedback
from users was reasonably positive, with 70% of participants reporting that they
would use the app again and 70% reporting that they would recommend it to a
friend. Thematic analysis of qualitative data identified themes pertaining to
users' experiences of the app, which were both positive (eg, easy to use,
attractive layout, emotional self-monitoring, helpful information, notifications,
unique) and negative (eg, content issues, did not make user feel better, mood
rating issues, password entry, interface issues, engagement issues, technical
fixes). CONCLUSIONS: Overall findings suggest that telemental health apps have
potential as a feasible medium for promoting positive mental health, with the
majority of young people identifying such technologies as at least somewhat
useful and displaying a moderate level of engagement with them. Future research
should aim to evaluate the efficacy of such technologies as tools for improving
mental health outcomes in young people.
PMID- 26552426
TI - The Tree Theme Method(r) (TTM), an occupational therapy intervention for treating
depression and anxiety: study protocol of a randomized controlled trial.
AB - BACKGROUND: Depression and anxiety disorders are increasing among the general
population in the Western world. Individuals may need several kinds of treatment
in order to maintain health, such as cognitive behavioural therapy (CBT) and drug
treatment. However, having an everyday life that "works" is also important,
suggesting a need for interventions based on activities that facilitate a
satisfying everyday life. There is still lack of such evidence-based
interventions. The Tree Theme Method((r)) (TTM) is an occupational therapy
intervention designed for a client-centred context in which an individual
develops strategies to become an actor in his or her everyday life. Previous
studies of the TTM have focused on process evaluation; therefore, further studies
are needed to evaluate the method's effects. The aim of this paper is to outline
an intervention that can evaluate the effects of the TTM in terms of
psychological symptoms, as well as everyday occupations and well-being, in
patients suffering from depression and anxiety. METHODS/DESIGN: This randomized
clinical trial includes patients from three Swedish counties randomized to either
intervention or treatment as usual. Men and women aged 18-65 years who have been
diagnosed with either depression or anxiety are eligible for inclusion. Data
collection is carried out at baseline, and outcomes are assessed at the end of
intervention, as well as at 3 months and 12 months after intervention ends. The
outcomes measured are psychological symptoms, everyday activities, and health
related factors. DISCUSSION: Depression and anxiety may create difficulties for
individuals in the activities of their everyday lives to the extent that they
require diagnosis and intervention. Despite this reality, evidence-based
interventions that focus on everyday activities are lacking. Therefore, it would
be useful to design a specific method for occupational therapy intervention that
does precisely that. This study provides insight into the effects of the TTM,
comparing it to occupational therapy treatment as usual. TRIAL REGISTRATION:
ClinicalTrials.gov: NCT01980381; registered November 2013.
PMID- 26552427
TI - Obstetric and psychosocial risk factors for Australian-born and non-Australian
born women and associated pregnancy and birth outcomes: a population based cohort
study.
AB - BACKGROUND: One in four Australians is born overseas and 47% are either born
overseas or have a parent who was. Obstetric and psychosocial risk factors for
these women may differ. METHOD: Data from one Sydney hospital (2012-2013) of all
births recorded in the ObstetriX database were analysed (n = 3,092).
Demographics, obstetric and psychosocial risk profile, obstetric interventions
and complications and selected maternal and neonatal outcomes were examined for
women born in Australia and overseas. RESULTS: Women born in Australia were
younger, more likely to be primiparous (28.6 v 27.5%), be obese (32.0% v 21.4%),
smoke (19.7 % v 3.0%), have an epidural (26.2% v 20.2%) and were less likely to
have gestational diabetes mellitus (GDM) (6.8% v 13.7% when compared to non
Australian born women. The highest rates of GDM, Gestational Hypertension (GH)
and maternal anaemia were seen in women born in China, the Philippines and
Pakistan respectively. Differences were also seen in psychosocial screening
between Australian and non-Australian women with Australian-born women more
likely to smoke and report a mental health disorder. There was an association
between having an Edinburgh Postnatal Depression Scale (EPDS) >= 13 and other
psychosocial issues, such as thoughts of self-harm, domestic violence, childhood
abuse etc. These women were also less likely to breastfeed. Women with an EPDS >=
13 at booking compared to women with EPDS <=12 had a higher chance of being
diagnosed with GDM (AOR 1.85 95% CI 1.14-3.0). CONCLUSIONS: There are significant
differences in obstetric and psychosocial risk profiles and maternal and neonatal
outcomes between Australian-born and non-Australian born women. In particular
there appears to be an association between an EPDS of >=13 and developing GDM,
which warrants further investigation.
PMID- 26552428
TI - Transmission-blocking activity of antibodies to Plasmodium falciparum GLURP.10C
chimeric protein formulated in different adjuvants.
AB - BACKGROUND: Plasmodium falciparum is transmitted from person to person by
Anopheles mosquitoes after completing its sexual reproductive cycle within the
infected mosquito. An efficacious vaccine holds the potential to interrupt
development of the parasite in the mosquito leading to control and possibly
eradication of malaria. A multi-component, R0.10C, was developed comprising P.
falciparum glutamate-rich protein (R0) fused in frame to a correctly folded
fragment of Pfs48/45 (10C). Here, a series of novel adjuvants were screened for
their ability to elicit transmission-blocking (TB) antibodies. METHODS: The
recombinant fusion protein R0.10C was produced in Lactococcus lactis and purified
by affinity-chromatography on a monoclonal antibody (mAb 85RF45.1) against a
major epitope for TB antibodies (epitope 1) harboured on R0.10C. Immune-purified
R0.10C was mixed with a series of adjuvants and tested in mice and rats. RESULTS:
In general, all R0.10C formulations elicited high levels of antibodies
recognizing native Pfs48/45 in macrogametes/zygotes. TB activity of anti-R0.10C
antisera was assessed in the standard membrane-feeding assay (SMFA). Potency of
different adjuvant/R0.10C combinations was tested in mice and rats using
aluminium hydroxide (Alum), Alum with micellar and emulsion formulations of a
synthetic TLR4 agonist, Glucopyranosyl Lipid Adjuvant (GLA), stable emulsion
(SE)/GLA, AbISCO-100 and Freund's adjuvant (as reference). All formulations
produced high antibody titres recognizing the native Pfs48/45 protein in
macrogametes/zygotes. Interestingly, the GLA-Alum combination adjuvant was the
most potent inducer of TB antibodies based on serum collected after two
immunizations. In agreement with previous observations, biological activity in
the SMFA correlated well with the level of anti-Pfs48/45 antibodies. CONCLUSION:
The combined data provide a strong basis for entering the next phase of clinical
grade R0.10C production and testing.
PMID- 26552429
TI - Variant mapping and mutation discovery in inbred mice using next-generation
sequencing.
AB - BACKGROUND: The development of powerful new methods for DNA sequencing enable the
discovery of sequence variants, their utilization for the mapping of mutant loci,
and the identification of causal variants in a single step. We have applied this
approach for the analysis of ENU-mutagenized mice maintained on an inbred
background. RESULTS: We ascertained ENU-induced variants in four different
phenotypically mutant lines. These were then used as informative markers for
positional cloning of the mutated genes. We tested both whole genome (WGS) and
whole exome (WES) datasets. CONCLUSION: Both approaches were successful as a
means to localize a region of homozygosity, as well as identifying mutations of
candidate genes, which could be individually assessed. As expected, the WGS
strategy was more reliable, since many more ENU-induced variants were
ascertained.
PMID- 26552430
TI - Associations between workplace affiliation and phlebotomy practices regarding
patient identification and test request handling practices in primary healthcare
centres: a multilevel model approach.
AB - BACKGROUND: Clinical practice guidelines aim to enhance patient safety by
reducing inappropriate variations in practice. Despite considerable efforts to
enhance the use of clinical practice guidelines, adherence is often suboptimal.
We investigated to what extent workplace affiliation explains variation of self
reported adherence to venous blood specimen collection regarding patient
identification and test request handling practices, taking into consideration
other primary healthcare centre and individual phlebotomist characteristics.
METHODS: Data were collected through a questionnaire survey of 164 phlebotomy
staff from 25 primary healthcare centres in northern Sweden. To prevent the
impact of a large-scale education intervention in 2008, only baseline data,
collected over a 3-month period in 2006-2007, were used and subjected to
descriptive statistics and multilevel logistic analyses. RESULTS: In two patient
identification outcomes, stable high median odds ratios (MOR) were found in both
the empty model, and in the adjusted full model including both individual and
workplace factors. Our findings suggest that variances among phlebotomy staff can
be largely explained by primary healthcare centre affiliation also when
individual and workplace demographic characteristics were taken in consideration.
Analyses showed phlebotomy staff at medium and large primary healthcare centres
to be more likely to adhere to guidelines than staff at small centres.
Furthermore, staff employed shorter time at worksite to be more likely to adhere
than staff employed longer. Finally, staff performing phlebotomy every week or
less were more likely to adhere than staff performing phlebotomy on a daily
basis. CONCLUSION: Workplace affiliation largely explains variances in self
reported adherence to venous blood specimen collection guidelines for patient
identification and test request handling practices among phlebotomy staff.
Characteristics of the workplace, as well as of the individual phlebotomist, need
to be identified in order to design strategies to improve clinical practice in
this and other areas.
PMID- 26552431
TI - Alcohol consumption, body mass index and breast cancer risk by hormone receptor
status: Women' Lifestyle and Health Study.
AB - BACKGROUND: We aimed to estimate the effect of alcohol consumption on breast
cancer risk and to test whether overweight and obesity modifies this association.
METHODS: We included in the analysis 45,233 women enrolled in the Swedish Women's
Lifestyle and Health study between 1991 and 1992. Participants were followed for
occurrence of breast cancer and death until December 2009. Poisson regression
models were used, and analyses were done for overall breast cancer and for
estrogen receptor positive or negative (ER+, ER-) and progesterone receptor
positive and negative (PR+, PR-) tumors separately. RESULTS: A total of 1,385
breast cancer cases were ascertained during the follow-up period. Overall, we
found no statistically significant association between alcohol intake and breast
cancer risk after adjustment for confounding, with an estimated relative risk
(RR) of 1.01 (95 % CI: 0.98-1.04) for an increment in alcohol consumption of 5
g/day. A statistically significant elevated breast cancer risk associated with
higher alcohol consumption was found only among women with BMI <=25 (RR 1.03, 95
% CI 1.0-1.05 per 5 g/day increase). CONCLUSION: An increase in breast cancer
risk with higher alcohol consumption was found for breast cancers in women with a
BMI <=25 kg/m(2).
PMID- 26552432
TI - Benefits of an expanded use of plasma exchange for anti-neutrophil cytoplasmic
antibody-associated vasculitis within a dedicated clinical service.
AB - BACKGROUND: Current recommendations for ANCA-associated vasculitis (AAV) support
its management within a dedicated clinical service. Therapies for AAV are
imperfect with many patients failing to achieve disease control and others
experiencing disease relapse. Plasma exchange (PEX) may be beneficial especially
when the kidney is involved. METHODS: Within a new, dedicated service we
retrospectively assessed, over a 6-year period, the benefits of PEX in two
patient cohorts, discriminated by PEX treatment alone. Patients received PEX
alongside standard of care if they fulfilled any of the following criteria: 1.
serum creatinine >500 MUmol/l or dialysis-requiring renal failure, 2. alveolar
haemorrhage, 3. renal biopsy showing >=30 % focal and necrotising lesions +/-
cellular crescents. Outcome measures included disease remission and relapse,
cumulative immunosuppression, and morbidity and mortality. RESULTS: Of 104 new
patients, 58 patients received PEX at presentation, 46 did not. Cyclophosphamide
and/or rituximab dosing was similar for both groups. Although patients receiving
PEX had poorer renal function, a higher C-reactive protein and disease activity
score at presentation disease remission rate was similar in both groups (no PEX
vs. PEX: 96 % vs. 98 %). The PEX group entered remission quicker (no PEX vs. PEX:
3.9 +/- 4.0 vs. 2.8 +/- 1.3 months, p < 0.05), with a lower 3-month cumulative
glucocorticoid dose (no PEX vs. PEX: 2.5 +/- 0.4 vs. 2.3 +/- 0.2 g, p < 0.001).
Relapse was similar between groups but adverse events lower in the PEX group.
CONCLUSIONS: PEX may be of benefit in AAV. Larger, longer randomised controlled
trials are now needed.
PMID- 26552433
TI - High Throughput Screening of Esterases, Lipases and Phospholipases in Mutant and
Metagenomic Libraries: A Review.
AB - Nowadays, enzymes can be efficiently identified and screened from metagenomic
resources or mutant libraries. A set of a few hundred new enzymes can be found
using a simple substrate within few months. Hence, the establishment of
collections of enzymes is no longer a big hurdle. However, a key problem is the
relatively low rate of positive hits and that a timeline of several years from
the identification of a gene to the development of a process is the reality
rather than the exception. Major problems are related to the time-consuming and
cost-intensive screening process that only very few enzymes finally pass.
Accessing to the highest possible enzyme and mutant diversity by different, but
complementary approaches is increasingly important. The aim of this review is to
deliver state-of-art status of traditional and novel screening protocols for
targeting lipases, esterases and phospholipases of industrial relevance, and that
can be applied at high throughput scale (HTS) for at least 200 distinct
substrates, at a speed of more than 105 - 108 clones/day. We also review fine
tuning sequence analysis pipelines and in silico tools, which can further improve
enzyme selection by an unprecedent speed (up to 1030 enzymes). If the hit rate in
an enzyme collection could be increased by HTS approaches, it can be expected
that also the very further expensive and time-consuming enzyme optimization phase
could be significantly shortened, as the processes of enzyme-candidate selection
by such methods can be adapted to conditions most likely similar to the ones
needed at industrial scale.
PMID- 26552434
TI - From Classical to High Throughput Screening Methods for Feruloyl Esterases: A
Review.
AB - Feruloyl esterases (FAEs) are a diverse group of hydrolases widely distributed in
plants and microorganisms which catalyzes the cleavage and formation of ester
bonds between plant cell wall polysaccharides and phenolic acids. FAEs have
gained importance in biofuel, medicine and food industries due to their
capability of acting on a large range of substrates for cleaving ester bonds and
synthesizing highadded value molecules through esterification and
transesterification reactions. During the past two decades extensive studies have
been carried out on the production, characterization and classification of FAEs,
however only a few reports of suitable High Throughput Screening assays for this
kind of enzymes have been reported. This review is focused on a concise but
complete revision of classical to High Throughput Screening methods for FAEs,
highlighting its advantages and disadvantages, and finally suggesting future
perspectives for this important research field.
PMID- 26552435
TI - Proteases and their Inhibitors: From Basic to High Throughput Screening.
AB - Proteases constitute one of the most important groups of industrial enzymes with
a worldwide value expected to reach 2.7 billion US dollars by 2019. Proteases
represent a group of enzymes that hydrolyze the peptide bonds of proteins,
releasing polypeptides or free amino acids. These enzymes are used in cleaning
products, production of leathers, textiles, food and dairy products, in the
pharmaceutical and diagnostic industries and for water treatment. Another area of
interest regarding proteases is the development of drugs that act as protease
inhibitors. This review will briefly describe the general methods used in the
detection of proteases and the few studies in the development of high throughput
screening methods of proteases and protease inhibitors.
PMID- 26552436
TI - Prediction of bioactive compound pathways using chemical interaction and
structural information.
AB - The functional screening of compounds is an important topic in chemistry and
biomedicine that can uncover the essential properties of compounds and provide
information concerning their correct use. In this study, we investigated the
bioactive compounds reported in Selleckchem, which were assigned to 22 pathways.
A computational method was proposed to identify the pathways of the bioactive
compounds. Unlike most existing methods that only consider compound structural
information, the proposed method adopted both the structural and interaction
information from the compounds. The total accuracy achieved by our method was
61.79% based on jackknife analysis of a dataset of 1,832 bioactive compounds. Its
performance was quite good compared with that of other machine learning
algorithms (with total accuracies less than 46%). Finally, some of the false
positives obtained by the method were analyzed to investigate the likelihood of
compounds being annotated to new pathways.
PMID- 26552437
TI - Analysis of the relationship between PM2.5 and lung cancer based on protein
protein interactions.
AB - Lung cancer, characterized by uncontrolled cell growth in tissues of the lung, is
one of the leading causes of cancer mortality worldwide. Many etiologic factors
for lung cancer tumorigenesis have been identified to date, such as smoking and
exposure to radon, cooking fumes and asbestos. Atmospheric pollution has become
increasingly heavy in China in recent years. Accordingly, greater numbers of
people are paying attention to the air quality around them. PM2.5 (particulate
matter with a diameter of 2.5 micrometers or less), which is one of the most
important indicators for measuring air quality, can penetrate and be retained in
lung tissue. It is believed that PM2.5 may represent a new type of etiological
factor for lung cancer. This study constitutes the analysis of the association
between PM2.5 and lung cancer. Genes related to small/nonsmall cell lung cancer
were evaluated by assigning scores to measure the impact caused by PM2.5.
Analyses of small/nonsmall cell lung cancer genes with high scores revealed that
it is theoretically possible that PM2.5 is an etiologic factor for lung cancer.
Our results provided new insights of the relationship between lung cancers and
air pollution.
PMID- 26552438
TI - Large-Scale Prediction of Drug Targets Based on Local and Global Consistency of
Chemical-Chemical Networks.
AB - It is crucial to identify the molecular targets of a compound during the course
of the new drug discovery and drug development. Due to the complexity of
biological systems, finding drug targets by biological experiments is very
tedious and expensive. In the paper, we used chemicalchemical interactions in the
STITCH database to construct a network of drug-drug association. Based on the
network, a learning method keeping local and global consistency was presented to
infer drug targets. We achieved an accuracy of 57.75% in the first order
prediction using leave-one-out cross validation, which was higher than the
accuracy of 53.77% achieved by the local neighbor model. We manually validated 27
absent drug targets in the crossvalidation using drug-target interactions from
other databases. Applying the presented method to large-scale prediction of
unknown targets, we manually confirmed 14 pairs of drug-target interactions among
the newly predicted drug targets. These results suggested that the presented
method was a promising tool for large-scale identification of drug targets.
PMID- 26552439
TI - Study of drug-drug combinations based on molecular descriptors and
physicochemical properties.
AB - In the present study, molecular descriptors and physicochemical properties were
used to encode drug molecules. Based on this molecular representation method,
Random forest was applied to construct a drug-drug combination network. After
feature selection, an optimal features subset was built, which described the main
factors of drugs in our prediction. As a result, the selected features can be
clustered into three categories: elemental analysis, chemistry, and geometric
features. And all of the three types features are essential elements of the drug
drug combination network. The final prediction model achieved a Matthew's
correlation coefficient (MCC) of 0.5335 and an overall prediction accuracy of
88.79% for the 10-fold cross-validation test.
PMID- 26552440
TI - A novel machine learning method for cytokine-receptor interaction prediction.
AB - Most essential functions are associated with various protein-protein
interactions, particularly the cytokine-receptor interaction. Knowledge of the
heterogeneous network of cytokine- receptor interactions provides insights into
various human physiological functions. However, only a few studies are focused on
the computational prediction of these interactions. In this study, we propose a
novel machine-learning-based method for predicting cytokine-receptor
interactions. A protein sequence is first transformed by incorporating the
sequence evolutional information and then formulated with the following three
aspects: (1) the k-skip-n-gram model, (2) physicochemical properties, and (3)
local pseudo position-specific score matrix (local PsePSSM). The random forest
classifier is subsequently employed to predict potential cytokine-receptor
interactions. Experimental results on a dataset of Homo sapiens show that the
proposed method exhibits improved performance, with 3.4% higher overall
prediction accuracy, than existing methods.
PMID- 26552441
TI - Predicting the types of metabolic pathway of compounds using molecular fragments
and sequential minimal optimization.
AB - A metabolic pathway is a series of biological processes providing necessary
molecules and energies for an organism, which could be essential to the lives of
the living organisms. Most metabolic pathways require the involvement of
compounds and given a compound it is helpful to know what types of metabolic
pathways the compound participates in. In this study, compounds are first
represented by molecular fragments which are then delivered to a prediction
engine called Sequential Minimal Optimization (SMO) for predictions. Maximum
relevance and minimum redundancy (mRMR) and incremental feature selection are
adopted to extract key features based on which an optimal prediction engine is
established. The proposed method is effective comparing to the random forest,
Dagging and a popular method that integrating chemical-chemical interactions and
chemical-chemical similarities. We also make predictions using some compounds
with unknown metabolic pathways and choose 17 compounds for analysis. The results
indicate that the method proposed may become a useful tool in predicting and
analyzing metabolic pathways.
PMID- 26552442
TI - Analysis of A Drug Target-based Classification System using Molecular
Descriptors.
AB - Drug-target interaction is an important topic in drug discovery and drug
repositioning. KEGG database offers a drug annotation and classification using a
target-based classification system. In this study, we gave an investigation on
five target-based classes: (I) G protein-coupled receptors; (II) Nuclear
receptors; (III) Ion channels; (IV) Enzymes; (V) Pathogens, using molecular
descriptors to represent each drug compound. Two popular feature selection
methods, maximum relevance minimum redundancy and incremental feature selection,
were adopted to extract the important descriptors. Meanwhile, an optimal
prediction model based on nearest neighbor algorithm was constructed, which got
the best result in identifying drug target-based classes. Finally, some key
descriptors were discussed to uncover their important roles in the identification
of drug-target classes.
PMID- 26552443
TI - Are Topological Properties of Drug Targets Based on Protein-Protein Interaction
Network Ready to Predict Potential Drug Targets?
AB - Identification of potential druggable targets utilizing protein-protein
interactions network (PPIN) has been emerging as a hotspot in drug discovery and
development research. However, it remains unclear whether the currently used PPIN
topological properties are enough to discriminate the drug targets from non-drug
targets. In this study, three-step classification models using different network
topological properties were designed and implemented using support vector machine
(SVM) to compare the enrichment of known drug targets from non-targets.
Surprisingly, none of the models was able to identify more than 75% of the true
targets in the test set. It appears that the currently used simple PPIN
topological properties are not likely robust enough for prediction of potential
drug targets with high confidence, which also echoes similarly unsatisfying
prediction data reported previously. However, we proposed that quality and
quantity improvement of the protein-protein interactions (PPI) data for model
training will help increasing the prediction accuracy.
PMID- 26552444
TI - Experimental evaluation of sand fly collection and storage methods for the
isolation and molecular detection of Phlebotomus-borne viruses.
AB - BACKGROUND: Several viruses have been recently isolated from Mediterranean
phlebotomine sand flies; some are known to cause human disease while some are new
to science. To monitor the Phlebotomus-borne viruses spreading, field studies are
in progress using different sand fly collection and storage methods. Two main
sampling techniques consist of CDC light traps, an attraction method allowing
collection of live insects in which the virus is presumed to be fairly preserved,
and sticky traps, an interception method suitable to collect dead specimens in
high numbers, with a risk for virus viability or integrity. Sand flies storage
requires a "deep cold chain" or specimen preservation in ethanol. In the present
study the influence of sand fly collection and storage methods on viral isolation
and RNA detection performances was evaluated experimentally. METHODS: Specimens
of laboratory-reared Phlebotomus perniciosus were artificially fed with blood
containing Toscana virus (family Bunyaviridae, genus Phlebovirus). Various
collection and storage conditions of blood-fed females were evaluated to mimic
field procedures using single and pool samples. Isolation on VERO cell cultures,
quantitative Real time-Retro-transcriptase (RT)-PCR and Nested-RT-PCR were
performed according to techniques commonly used in surveillance studies. RESULTS:
Live engorged sand flies stored immediately at -80 degrees C were the most
suitable sample for phlebovirus identification by both virus isolation and RNA
detection. The viral isolation rate remained very high (26/28) for single dead
engorged females frozen after 1 day, while it was moderate (10/30) for specimens
collected by sticky traps maintained up to 3 days at room temperature and then
stored frozen without ethanol. Opposed to viral isolation, molecular RNA
detection kept very high on dead sand flies collected by sticky traps when left
at room temperature up to 6 days post blood meal and then stored frozen in
presence (88/95) or absence (87/88) of ethanol. Data were confirmed using sand
fly pools. CONCLUSIONS: While the collection and storage methods investigated had
not much impact on the ability to detect viral RNA by molecular methods, they
affected the capacity to recover viable viruses. Consequently, sand fly
collection and handling procedures should be established in advance depending on
the goal of the surveillance studies.
PMID- 26552445
TI - Amyloid beta-protein oligomers upregulate the beta-secretase, BACE1, through a
post-translational mechanism involving its altered subcellular distribution in
neurons.
AB - BACKGROUND: beta-Site amyloid precursor protein cleaving enzyme 1 (BACE1) is a
membrane-bound aspartyl protease that initiates amyloid beta-protein (Abeta)
generation. Aberrant elevation of BACE1 levels in brains of Alzheimer's disease
(AD) patients may involve Abeta. In the present study, we used a neuron culture
model system to investigate the effects of Abeta on BACE1 expression as well as
the underlying mechanisms. RESULTS: Rat primary cortical neurons were treated
with relatively low concentrations (2.5 MUM) of Abeta42 oligomers (Abeta-O) or
fibrils (Abeta-F) for 2-3 days. Abeta-O induced a significant increase in protein
levels of BACE1, while Abeta-F only had a marginal effect. Levels of amyloid
precursor protein (APP) and the major alpha-secretase, ADAM10, remained unaltered
upon treatment with both types of Abeta. Abeta-O treatment resulted in activation
of eIF2alpha and caspase 3 in a time-dependent manner, with no changes in the
endoplasmic reticulum (ER) stress marker, GRP78, indicating that a typical ER
stress response is not induced under our experimental conditions. Furthermore,
Abeta-O did not affect BACE1 mRNA expression but augmented the levels of
exogenous BACE1 expressed via recombinant adenoviruses, indicating regulation of
BACE1 protein expression, not at the transcriptional or translational but the
post-translational level. Immunocytochemical analysis revealed that Abeta-O
causes a significant increase in BACE1 immunoreactivity in neurites (both axons
and dendrites), but not soma of neurons; this change appears relevant to the
mechanism of Abeta-O-induced BACE1 elevation, which may involve impairment of
BACE1 trafficking and degradation. In contrast, Abeta-O had no effect on APP
immunoreactivity. CONCLUSION: Our results collectively suggest that Abeta
oligomers induce BACE1 elevation via a post-translational mechanism involving its
altered subcellular distribution in neurons, which possibly triggers a vicious
cycle of Abeta generation, thus contributing to the pathogenetic mechanism of AD.
PMID- 26552446
TI - Supporting parents following pregnancy loss: a cross-sectional study of telephone
peer supporters.
AB - BACKGROUND: The death of a baby before or soon after birth can place an enormous
psychological toll on parents. Parent support groups have grown in response to
bereaved parents' unmet needs for support. Peer support is the hallmark of these
organisations but little is known about the experiences of volunteers who provide
support. This study examines the perceptions and experiences of parent support
group volunteers who deliver a 24-h telephone support service for the Australian
Stillbirth and Newborn Death Support (Sands) organisation in order to inform the
ongoing development and sustainability of effective peer support. This parent-led
organisation has delivered support to those affected by miscarriage, stillbirth
and newborn death for more than 30 years. METHODS: Twenty-four Parent Supporters
completed an online questionnaire. A mix of open- and closed questions asked
about aspects of the Parent Supporter role. Quantitative data was summarised
using descriptive statistics. Free-text responses to open-ended items were
categorised and used to extend and illustrate the quantitative findings. RESULTS:
Our findings reveal a group of highly dedicated and experienced volunteers who
had taken 473 calls in the preceding 12 months. Calls were diverse but most were
from bereaved mothers seeking 'to talk with someone who understands' in the early
weeks and months after stillbirth or miscarriage. Most Parent Supporters
indicated they felt well-prepared, confident, and satisfied in their role.
Challenges include balancing the demands of the role and ongoing training and
support. CONCLUSIONS: Peer volunteers contribute to addressing a significant need
for support following pregnancy loss. Delivering and sustaining high quality
parent-led support depends on volunteer recruitment and retention and this, in
turn, requires organisational responses.
PMID- 26552447
TI - High glucose induces renal tubular epithelial injury via Sirt1/NF-kappaB/microR
29/Keap1 signal pathway.
AB - OBJECTIVE: Diabetic nephropathy (DN) is a serious complication that commonly
confronted by diabetic patients. A common theory for the pathogenesis of this
renal dysfunction in diabetes is cell injury, inflammation as well as oxidative
stress. In this content, the detailed molecular mechanism underlying high glucose
induced renal tubular epithelial injury was elaborated. METHODS: An in vivo rat
model of diabetes by injecting streptozotocin (STZ) and an in vitro high glucose
incubated renal tubular epithelial cell (HK-2) model were used. Expression levels
of Keap1, nuclear Nrf2 and p65 were determined by western blotting. Level of
microR-29 (miR-29) was assessed using quantitative RT-PCR. Combination of p65 and
miR-29 promotor was assessed using chromatin immunoprecipitation. Keap1 3'-UTR
activity was detected using luciferase reporter gene assay. Cell viability was
determined using MTT assay. RESULTS: In diabetic rat, miR-29 was downregulated
and its expression is negatively correlated with both of serum creatinine and
creatinine clearance. In high glucose incubated HK-2 cell, deacetylases activity
of Sirt1 was attenuated that leads to decreased activity of nuclear factor kappa
B (NF-kappaB). NF-kappaB was demonstrated to regulate miR-29 expression by
directly binding to its promotor. The data of luciferase assay showed that miR-29
directly targets to Keap1 mRNA. While high glucose induced down regulation of miR
29 contributed to enhancement of Keap1 expression that finally reduced Nrf2
content by ubiquitinating Nrf2. Additionally, overexpression of miR-29
effectively relieved high glucose-reduced cell viability. CONCLUSION: High
glucose induces renal tubular epithelial injury via Sirt1/NF-kappaB/microR
29/Keap1 signal pathway.
PMID- 26552448
TI - Transcriptome profiling of differentially expressed genes in floral buds and
flowers of male sterile and fertile lines in watermelon.
AB - BACKGROUND: Male sterility is an important mechanism for the production of hybrid
seeds in watermelon. Although fruit development has been studied extensively in
watermelon, there are no reports on gene expression in floral organs. In this
study, RNA-sequencing (RNA-seq) was performed in two near-isogenic watermelon
lines (genic male sterile [GMS] line, DAH3615-MS and male fertile line, DAH3615)
to identify the differentially expressed genes (DEGs) related to male sterility.
RESULTS: DEG analysis showed that 1259 genes were significantly associated with
male sterility at a FDR P-value of < 0.01. Most of these genes were only
expressed in the male fertile line. In addition, 11 functional clusters were
identified using DAVID functional classification analysis. Of detected genes in
RNA-seq analysis, 19 were successfully validated by qRT-PCR. CONCLUSIONS: In this
study, we carried out a comprehensive floral transcriptome sequence comparison of
a male fertile line and its near-isogenic male sterile line in watermelon. This
analysis revealed essential genes responsible for stamen development, including
pollen development and pollen tube elongation, and allowed their functional
classification. These results provided new information on global mechanisms
related to male sterility in watermelon.
PMID- 26552449
TI - Risk factors for lumbar intervertebral disc height narrowing: a population-based
longitudinal study in the elderly.
AB - BACKGROUND: The progression of disc degeneration is generally believed to be
associated with low back pain and/or degenerative lumbar diseases, especially in
the elderly. The purpose of this study was to quantitatively evaluate changes in
lumbar disc height using radiographic measurements and to investigate risk
factors for development of disc height narrowing of the elderly. METHODS: From
1997 to 2007, 197 village inhabitants at least 65 years-old who participated in
baseline examinations and more than four follow-up examinations conducted every
second year were chosen as subjects for this study. Using lateral lumbar spine
radiographs of each subject, L1-L2 to L5-S1 disc heights were measured. The
subjects were divided into two groups according to the rate of change in disc
height: mildly decreased (<=20 % decrease) and severely decreased (>20 %
decrease). A stepwise multiple logistic regression analysis was used to select
those factors significantly associated with disc height narrowing. RESULTS: Disc
height at each intervertebral disc (IVD) level decreased gradually over ten years
(p < 0.01, an average 5.8 % decrease of all disc levels). There was no
significant difference in the rate of change in disc height among the IVD levels.
Female gender, radiographic knee osteoarthritis and low back pain at baseline
were associated with increased risk for disc height narrowing. CONCLUSIONS: We
conducted the first population-based cohort study of the elderly that
quantitatively evaluated lumbar disc height using radiographic measurements. The
risk factors identified in this study would contribute to a further understanding
the pathology of disc degeneration.
PMID- 26552450
TI - Is screening and surveillance for early detection of gastric cancer needed in
Korean Americans?
AB - The incidence rate of gastric cancer in Korean Americans is over five times
higher than that in non-Hispanic whites, and is similar to the incidence of
colorectal cancer in the overall United States population. In Korea, the National
Cancer Screening Program recommends endoscopy or upper gastrointestinal series
for people aged 40 years and older every 2 years. However, the benefit of gastric
cancer screening in Korean Americans has not been evaluated. Based on
epidemiologic studies, Korean Americans appear to have more similar gastric
cancer risk factors to Koreans as opposed to Americans of European descent,
though the risk of gastric cancer appears to decrease for subsequent generations.
Therefore, in accordance with recent recommendations regarding screening for
gastric cancer in Korea, endoscopic screening for gastric cancer in Korean
Americans should be considered, especially in those with known atrophic
gastritis/intestinal metaplasia or a family history of gastric cancer. In the
future, additional studies will needed to assess whether a screening program for
gastric cancer in Korean Americans will result in a survival benefit.
PMID- 26552451
TI - Role of dipeptidyl peptidase-4 inhibitors in new-onset diabetes after
transplantation.
AB - Despite strict pre- and post-transplantation screening, the incidence of new
onset diabetes after transplantation (NODAT) remains as high as 60%. This
complication affects the risk of cardiovascular events and patient and graft
survival rates. Thus, reducing the impact of NODAT could improve overall
transplant success. The pathogenesis of NODAT is multifactorial, and both
modifiable and nonmodifiable risk factors have been implicated. Monitoring and
controlling the blood glucose profile, implementing multidisciplinary care,
performing lifestyle modifications, using a modified immunosuppressive regimen,
administering anti-metabolite agents, and taking a conventional antidiabetic
approach may diminish the incidence of NODAT. In addition to these preventive
strategies, inhibition of dipeptidyl peptidase-4 (DPP4) by the gliptin family of
drugs has recently gained considerable interest as therapy for type 2 diabetes
mellitus and NODAT. This review focuses on the role of DPP4 inhibitors and
discusses recent literature regarding management of NODAT.
PMID- 26552453
TI - Time to learn from the past and prepare for the future in Helicobacter pylori
eradication.
PMID- 26552452
TI - Guidelines for the management of myeloproliferative neoplasms.
AB - Polycythemia vera, essential thrombocythemia, and primary myelofibrosis are
collectively known as 'Philadelphia-negative classical myeloproliferative
neoplasms (MPNs).' The discovery of new genetic aberrations such as Janus kinase
2 (JAK2) have enhanced our understanding of the pathophysiology of MPNs.
Currently, the JAK2 mutation is not only a standard criterion for diagnosis but
is also a new target for drug development. The JAK1/2 inhibitor, ruxolitinib, was
the first JAK inhibitor approved for patients with intermediate- to high-risk
myelofibrosis and its effects in improving symptoms and survival benefits were
demonstrated by randomized controlled trials. In 2011, the Korean Society of
Hematology MPN Working Party devised diagnostic and therapeutic guidelines for
Korean MPN patients. Subsequently, other genetic mutations have been discovered
and many kinds of new drugs are now under clinical investigation. In view of
recent developments, we have revised the guidelines for the diagnosis and
management of MPN based on published evidence and the experiences of the expert
panel. Here we describe the epidemiology, new genetic mutations, and novel
therapeutic options as well as diagnostic criteria and standard treatment
strategies for MPN patients in Korea.
PMID- 26552454
TI - Incidence of and risk factors for thyroid dysfunction during peginterferon alpha
and ribavirin treatment in patients with chronic hepatitis C.
AB - BACKGROUND/AIMS: Thyroid dysfunction (TD) is more likely to occur in patients
with chronic hepatitis C (CHC) and is particularly associated with interferon
(IFN) treatment. The purpose of this study was to investigate the incidence,
outcomes, and risk factors for TD during pegylated interferon (PEG-IFN) and
ribavirin (RBV) combined therapy in patients with CHC. METHODS: A total of 242
euthyroid patients with CHC treated with PEG-IFN/RBV were included. Thyroid
function and autoantibodies were measured at baseline, and virologic response and
thyroid function were assessed every 3 months during therapy. RESULTS: TD
developed in 67 patients (27.7%) during the PEG-IFN/RBV treatment. The types of
TD were subclinical hypothyroidism (50.7%), hypothyroidism (14.9%), thyroiditis
(11.9%), subclinical hyperthyroidism (10.4%), and hyperthyroidism (10.4%). Most
of the patients with TD recovered spontaneously; however, seven patients (10.4%)
needed thyroid treatment. The sustained virological response rate was higher in
patients with TD than those without (65.7% vs. 49.1%, p = 0.02). Baseline thyroid
stimulating hormone (TSH) concentrations (odds ratio [OR], 2.09; 95% confidence
interval [CI], 1.96 to 8.77; p < 0.001), presence of the thyroid peroxidase
antibody (OR, 8.81; 95% CI, 1.74 to 44.6; p = 0.009), and PEG-IFNalpha-2b (OR,
3.01; 95% CI, 1.43 to 6.39; p = 0.004) were independent risk factors for the
development of TD. CONCLUSIONS: TD developed in 27.7% of patients with CHC during
PEG-IFN/RBV treatment, and 10.4% of these patients needed thyroid treatment. TD
is associated with a favorable virologic response to PEG-IFN/RBV. Assessment of
TSH and thyroid autoantibodies at baseline and close monitoring of thyroid
function during PEG-IFN/RBV therapy are necessary for early detection and
management of IFN-induced TD.
PMID- 26552455
TI - Trends in Helicobacter pylori eradication rates by first-line triple therapy and
related factors in eradication therapy.
AB - BACKGROUND/AIMS: Trends in successful eradication of Helicobacter pylori using
first-line triple therapy, consisting of a proton pump inhibitor, amoxicillin,
and clarithromycin, have been understudied. We evaluated H. pylori eradication
rates at a single center over the last 10 years and identified risk factors
related to eradication failure. METHODS: This study included 1,413 patients who
were diagnosed with H. pylori infection and received 7 days of triple therapy
between January 2003 and December 2012. We investigated H. pylori eradication
rates retrospectively with respect to the year of therapy, as well as demographic
and clinical factors. H. pylori eradication was confirmed by a (13)C-urea breath
test or a rapid urease test at least 4 weeks after the completion of triple
therapy. RESULTS: The overall H. pylori eradication rate was 84.9%. Annual
eradication rates from 2003 to 2012 were 93.5%, 80.0%, 87.2%, 88.5%, 92.0%,
88.3%, 85.7%, 84.1%, 83.7%, and 78.8%, respectively, by per-protocol analysis.
The eradication rate with first-line triple therapy decreased during the last 10
years (p = 0.015). Multivariate analysis showed that female gender (odds ratio
[OR], 1.69; 95% confidence interval [CI], 1.12 to 2.55) and smoking (OR, 1.61;
95% CI, 1.05 to 2.47) were associated with the failure of H. pylori eradication
therapy. CONCLUSIONS: The efficacy of first-line triple therapy for H. pylori
infection has decreased over the last 10 years, suggesting an increase in
antibiotic-resistant H. pylori strains. Thus, other first-line therapies may be
necessary for H. pylori eradication in the near future.
PMID- 26552456
TI - Interatrial septal thickness as a marker of structural and functional remodeling
of the left atrium in patients with atrial fibrillation.
AB - BACKGROUND/AIMS: There have been reports that interatrial septal (IAS) thickness
is increased in patients with atrial fibrillation (AF). This study was performed
to investigate whether IAS thickness determined by transthoracic echocardiography
(TTE) represents the amount of left atrium (LA) structural and functional
remodeling. METHODS: The study population consisted of 104 consecutive patients
who underwent catheter ablation (CA) for AF (paroxysmal atrial fibrillation
[PAF], 82; persistent atrial fibrillation [PeAF], 22). IAS thickness and left
atrium volume (LAV) using TTE, and LA voltage (LA(VOL)) using 3-dimensional
electroanatomical mapping system were assessed during sinus rhythm. RESULTS: IAS
thickness was significantly correlated with maximal LAV (LAV(max)) (r = 0.288, p
= 0.003), mean LA(VOL) (r = -0.537, p < 0.001), total left atrium emptying
fraction (LAEF(total); r = -0.213, p = 0.030), and active LAEF (LAEF(active); r =
-0.249, p = 0.014). IAS thickness was greater in the high-risk group (>= 2)
compared to other groups according to CHA2DS2-VASc score (p = 0.019). During a
follow-up of 19.6 months, 23 subjects (22.1%; PAF, 17; PeAF, 6) had recurrence of
arrhythmia. Univariate analysis showed that LAV(max), minimal LAV, mean LA(VOL),
LVEF(total), LVEF(active), and IAS thickness were associated with recurrence of
arrhythmia. However, on multivariate analysis, only mean LA(VOL) and LAEF(active)
were independent risk factors for recurrence. CONCLUSIONS: Although IAS thickness
showed significant correlations with parameters for LA structural and functional
remodeling, this parameter alone could not independently predict recurrence of
arrhythmia after CA for AF.
PMID- 26552457
TI - Temporal trends and in-hospital outcomes of primary percutaneous coronary
intervention in nonagenarians with ST-segment elevation myocardial infarction.
AB - BACKGROUND/AIMS: Data regarding the outcomes of primary percutaneous coronary
intervention (PCI) for ST-segment elevation myocardial infarction (STEMI) in
nonagenarians are very limited. The aim of the present study was to evaluate the
temporal trends and in-hospital outcomes of primary PCI in nonagenarian STEMI
patients. METHODS: We retrospectively reviewed data from the Korea Acute
Myocardial Infarction Registry (KAMIR) from November 2005 to January 2008, and
from the Korea Working Group on Myocardial Infarction (KorMI) from February 2008
to May 2010. RESULTS: During this period, the proportion of nonagenarians among
STEMI patients more than doubled (0.59% in KAMIR vs. 1.35% in KorMI), and the
rate of use of primary PCI also increased (from 62.5% in KAMIR to 81.0% in
KorMI). We identified 84 eligible study patients for which the overall in
hospital mortality rate was 21.4% (25.0% in KAMIR vs. 20.3% in KorMI, p = 0.919).
Multivariate analysis identified two independent predictors of in-hospital
mortality, namely a final Thrombolysis in Myocardial Infarction (TIMI) flow < 3
(odds ratio [OR], 13.7; 95% confidence interval [CI], 3.2 to 59.0; p < 0.001) and
cardiogenic shock during hospitalization (OR, 6.7; 95% CI, 1.5 to 30.3; p =
0.013). CONCLUSIONS: The number of nonagenarian STEMI patients who have undergone
primary PCI has increased. Although a final TIMI flow < 3 and cardiogenic shock
are independent predictors of in-hospital mortality, primary PCI can be performed
with a high success rate and an acceptable in-hospital mortality rate.
PMID- 26552458
TI - Clinical manifestations of pneumonia according to the causative organism in
patients in the intensive care unit.
AB - BACKGROUND/AIMS: Whether the causative organism influences the clinical course of
pneumonia in the intensive care unit (ICU) is controversial. We assessed the
clinical manifestations and prognosis of pneumonia according to the causative
pathogens in patients in a medical ICU. METHODS: A retrospective observational
study was performed in a medical ICU. Among 242 patients who were admitted to the
ICU, 103 who were treated for pneumonia were analyzed. RESULTS: The causative
pathogen was identified in 50 patients (49.0%); 22 patients (21.6%) had multidrug
resistant (MDR) pathogens. The distribution of causative micro-organisms was
Staphylococcus aureus (20%), Pseudomonas species (16%), Klebsiella pneumoniae
(14%), and Acinetobacter baumannii (12%). No significant difference in ICU
mortality rate, duration of ICU stay, duration of mechanical ventilation, or
frequencies of re-intubation and tracheostomy were detected based on the
identification of any pathogen. In sub-analyses according to the pneumonia
classification, the number of pathogens identified did not differ between
pneumonia types, and a higher incidence of identified MDR pathogens was detected
in the hospital-acquired pneumonia group than in the community-acquired or
healthcare- acquired pneumonia groups. However, the clinical outcomes of
pneumonia according to identification status and type of pathogen did not differ
significantly between the groups. CONCLUSIONS: Neither the causative micro
organism nor the existence of MDR pathogens in critically ill patients with
pneumonia was associated with the clinical outcome of pneumonia, including ICU
mortality. This result was consistent regardless of the pneumonia classification.
PMID- 26552459
TI - Survival benefits of warfarin in Korean patients with idiopathic pulmonary
arterial hypertension.
AB - BACKGROUND/AIMS: Idiopathic pulmonary arterial hypertension (IPAH) is an
incurable disease with high mortality. Although most studies recommend
anticoagulation treatment for IPAH, the benefits are uncertain, particularly in
Korea, where it has not been studied. The purpose of this study was to evaluate
survival outcomes of Korean patients with IPAH treated with warfarin. METHODS: We
performed a retrospective cohort study of patients diagnosed previously with
pulmonary arterial hypertension (PAH) at the Asan Medical Center in Korea,
between January 1994 and February 2013. We excluded patients with associated PAH,
patients who did not undergo right heart catheterization (RHC), and patients with
a positive vasoreactivity test. Patients in the study cohort were classified into
a "warfarin group" and a "non-warfarin group," according to the treatment they
received during the first year after diagnosis. RESULTS: We identified 31
patients with IPAH and a negative vasoreactivity test on RHC. Median patient age
was 36.0 years, and 23 patients (74.2%) were female. The median time from the
onset of symptoms to diagnosis was 19.0 months, and the most common presenting
symptom was dyspnea. Survival rates of the patients at 1, 3, 5, and 10 years were
90.2%, 79.5%, 62.7%, and 34.8%, respectively. The mean survival period was 12.0
years in the warfarin group and 6.1 years in the non-warfarin group. Warfarin
treatment had significant survival benefits in patients with IPAH (p = 0.023).
CONCLUSIONS: Warfarin treatment substantially improved survival outcomes in
Korean cases of IPAH.
PMID- 26552460
TI - Obstructive sleep apnea with excessive daytime sleepiness is associated with non
alcoholic fatty liver disease regardless of visceral fat.
AB - BACKGROUND/AIMS: Obstructive sleep apnea (OSA) is associated with an increased
risk of obesity and non-alcoholic fatty liver disease (NAFLD), but it remains
unclear whether the risk of NAFLD is independently related to OSA regardless of
visceral obesity. Thus, the aim of the present study was to examine whether OSA
alone or in combination with excessive daytime sleepiness (EDS) or short sleep
duration was associated with NAFLD independent of visceral fat in Korean adults.
METHODS: A total of 621 participants were selected from the Korean Genome and
Epidemiology Study (KoGES). The abdominal visceral fat area (VFA) and hepatic fat
components of the participants were assessed using computed tomography scans and
they were then categorized into four groups depending on the presence of OSA and
EDS. RESULTS: The proportions of NAFLD were 21.1%, 18.5%, 32.4%, and 46.7% in
participants without OSA/EDS, with only EDS, with only OSA, and with both OSA and
EDS, respectively. A combination of OSA and EDS increased the odds ratio (OR) for
developing NAFLD (OR, 2.75; 95% confidence interval [CI], 1.21 to 6.28) compared
to those without OSA/EDS, and this association remained significant (OR, 2.38;
95% CI, 1.01 to 5.59) even after adjusting for VFA. In short sleepers (< 5 hours)
with OSA, the adjusted OR for NAFLD was 2.50 (95% CI, 1.08 to 5.75) compared to
those sleeping longer than 5 hours without OSA. CONCLUSIONS: In the present
study, OSA was closely associated with NAFLD in Korean adults. This association
was particularly strong in those with EDS or short sleep duration regardless of
VFA.
PMID- 26552461
TI - Predicting postoperative total calcium requirements after parathyroidectomy in
secondary hyperparathyroidism.
AB - BACKGROUND/AIMS: To prevent hypocalcemia after parathyroidectomy (PTX),
parenteral calcium is required in addition to oral calcitriol and calcium. After
switching to oral calcium, patients can be discharged from the hospital. The aim
of this study was to analyze the clinical characteristics and outcomes of PTX
performed at a single Korean center and to investigate the associated laboratory
factors used to analyze the total amount of postoperative calcium required.
METHODS: We enrolled 91 hemodialysis patients undergoing PTX from November 2003
to December 2011. We collected clinical and laboratory data preoperatively, 12
and 48 hours postoperatively, at discharge, and 3 and 6 months postoperatively.
RESULTS: In total, 59 patients underwent PTX with autotransplantation (AT), 6
underwent total PTX without AT, 11 underwent subtotal PTX, and 15 underwent
limited PTX. Total PTX without AT showed the lowest recurrence rate. At all
postoperative time points, the mean levels of serum calcium, phosphorus, and
intact parathyroid hormone (iPTH) decreased significantly, compared with
preoperative levels; however, alkaline phosphatase (ALP) increased significantly
from 48 hours postoperatively to discharge (p < 0.001). On multiple linear
regression analysis, the total amount of injected calcium during hospitalization
showed a significant correlation with preoperative ALP (p < 0.001), preoperative
iPTH (p = 0.037), and Deltaphosphorus at 48 hours (p < 0.001). We developed an
equation for estimating the total calcium requirement after PTX. CONCLUSIONS:
Preoperative ALP, preoperative iPTH, and Deltaphosphorus at 48 hours may be
significant factors in estimating the postoperative calcium requirement. The
formula for postoperative calcium requirement after PTX may help to predict the
duration of postoperative hospitalization.
PMID- 26552462
TI - Risk factors in the progression of BK virus-associated nephropathy in renal
transplant recipients.
AB - BACKGROUND/AIMS: BK virus-associated nephropathy (BKVAN) is an important cause of
allograft dysfunction in kidney transplant recipients. It has an unfavorable
clinical course, and no definite treatment guidelines have yet been established.
Here, we report our center's experience with biopsy-proven BKVAN and investigate
factors associated with its progression. METHODS: From January 2004 to April
2013, 25 patients with BKVAN were diagnosed by biopsy at Seoul St. Mary's
Hospital. Of the 25 patients, 10 were deceased-donor transplant recipients and 15
were living-donor transplant recipients. Three of the patients underwent
retransplantation. The primary immunosuppressant used was tacrolimus in 17
patients and cyclosporine in eight patients. RESULTS: BKVAN was observed at a
mean duration of 22.8 +/- 29.1 months after transplantation. The mean serum
creatinine level at biopsy was 2.2 +/- 0.7 mg/dL. BKVAN occurred with acute
rejection in eight patients (28%). Immunosuppression modification was performed
in 21 patients (84%). Additionally, leflunomide and intravenous immunoglobulin
were administered to 13 patients (52%) and two (8%), respectively. Allograft loss
occurred in five patients (27.8%) during the follow- up period at 0.7, 17.1,
21.8, 39.8, and 41.5 months after the BKVAN diagnosis. Advanced stages of BKVAN,
increased creatinine levels, and accompanying acute rejection at the time of
BKVAN diagnosis increased the risk of allograft failure. CONCLUSIONS: The
clinical outcomes in patients with biopsy-proven BKVAN were unfavorable in the
present study, especially in patients with advanced-stage BKVAN, poor renal
function, and acute allograft rejection.
PMID- 26552463
TI - The effects of nonyl phenoxypolyethoxyl ethanol on cell damage pathway gene
expression in SK-NSH cells.
AB - BACKGROUND/AIMS: Most pesticide formulations contain both chief and additive
ingredients. But, the additives may not have been tested as thoroughly as the
chief ingredients. The surfactant, nonyl phenoxypolyethoxylethanol (NP40), is an
additive frequently present in pesticide formulations. We investigated the
effects of NP40 and other constituents of a validamycin pesticide formulation on
cell viability and on the expression of genes involved in cell damage pathways.
METHODS: The effects of validamycin pesticide ingredients on cell viability and
of NP40 on the mRNA expression of 80 genes involved in nine key cellular pathways
were examined in the human neuroblastoma SK-N-SH cell line. RESULTS: The
chemicals present in the validamycin pesticide formulation were cytotoxic to SK-N
SH cells and NP40 showed the greatest cytotoxicity. A range of gene expression
changes were identified, with both up- and down-regulation of genes within the
same pathway. However, all genes tested in the necrosis signaling pathway were
down-regulated and all genes tested in the cell cycle checkpoint/arrest pathway
were up-regulated. The median fold-change in gene expression was significantly
higher in the cell cycle checkpoint/arrest pathway than in the hypoxia pathway
category (p = 0.0064). The 70 kDa heat shock protein 4 gene, within the heat
shock protein/unfolded protein response category, showed the highest individual
increase in expression (26.1-fold). CONCLUSIONS: NP40 appeared to be particularly
harmful, inducing gene expression changes that indicated genotoxicity, activation
of the cell death (necrosis signaling) pathway, and induction of the 70 kDa heat
shock protein 4 gene.
PMID- 26552464
TI - Analysis of factors affecting hemorrhagic diathesis and overall survival in
patients with acute promyelocytic leukemia.
AB - BACKGROUND/AIMS: This study investigated whether patients with acute
promyelocytic leukemia (APL) truly fulfill the diagnostic criteria of overt
disseminated intravascular coagulation (DIC), as proposed by the International
Society on Thrombosis and Haemostasis (ISTH) and the Korean Society on Thrombosis
and Hemostasis (KSTH), and analyzed which component of the criteria most
contributes to bleeding diathesis. METHODS: A single-center retrospective
analysis was conducted on newly diagnosed APL patients between January 1995 and
May 2012. RESULTS: A total of 46 newly diagnosed APL patients were analyzed. Of
these, 27 patients (58.7%) showed initial bleeding. The median number of points
per patient fulfilling the diagnostic criteria of overt DIC by the ISTH and the
KSTH was 5 (range, 1 to 7) and 3 (range, 1 to 4), respectively. At diagnosis of
APL, 22 patients (47.8%) fulfilled the overt DIC diagnostic criteria by either
the ISTH or KSTH. In multivariate analysis of the ISTH or KSTH diagnostic
criteria for overt DIC, the initial fibrinogen level was the only statistically
significant factor associated with initial bleeding (p = 0.035), but it was not
associated with overall survival (OS). CONCLUSIONS: Initial fibrinogen level is
associated with initial presentation of bleeding of APL patients, but does not
affect OS.
PMID- 26552465
TI - Clinical efficacy of erlotinib, a salvage treatment for non-small cell lung
cancer patients following gefitinib failure.
AB - BACKGROUND/AIMS: The purpose of this study was to identify predictive factors for
erlotinib treatment in non-small cell lung cancer (NSCLC) patients following
gefitinib failure. METHODS: Forty-five patients with NSCLC who were treated with
erlotinib following gefitinib failure at Seoul National University Hospital
between August 2005 and November 2011 were enrolled. Epidermal growth factor
receptor (EGFR) mutation status, pathologic findings and other clinical factors,
including response to tyrosine kinase inhibitors (TKIs) and progression-free
survival (PFS), were evaluated. RESULTS: Of the 45 patients, 40 patients (88.8%)
had adenocarcinoma. The following EGFR mutations were observed: five patients
with a deletion of exon 19, six patients with an L858R mutation, three patients
with wild-type EGFR, and 31 patients with unknown mutations. The response rate of
erlotinib was 4.4%, and stable disease was 42.2%. The median PFS for erlotinib
was 2.6 months (95% confidence interval, 1.4 to 3.7). Patients with a PFS >= 4
months during previous gefitinib treatment had a significantly longer PFS with
erlotinib (3.3 months vs. 1.6 months, respectively; p < 0.01) than patients with
PFS < 4 months with gefitinib. According to multivariate analyses, PFS >= 4
months for previous gefitinib treatment was significantly associated with
prolonged PFS with erlotinib (p = 0.04). However, the response rate of gefitinib
and treatment sequence were not associated with prolonged PFS with erlotinib (p =
0.28 and p = 0.67, respectively). CONCLUSIONS: Following rechallenge with the
EGFR TKI erlotinib following gefitinib failure, patients who showed prolonged PFS
with gefitinib benefit from erlotinib. However, further prospective studies are
needed to confirm these findings.
PMID- 26552466
TI - Serum galactomannan levels in the diagnosis of invasive aspergillosis.
AB - BACKGROUND/AIMS: In this study, the sensitivity-specificity of galactomannan
enzyme immunoassay (GM-EIA) with a cut-off value of 0.5 for a single, two, or
three consecutive positivity in the diagnosis of invasive pulmonary aspergillosis
(IPA) in neutropenic patients with hematological malignancy was investigated.
METHODS: IPA was classified as "proven," "probable," or "possible" as described
in the guidelines prepared by the European Organization for Research and
Treatment of Cancer and Mycoses Study Group." Serum samples were collected from
the patients twice a week throughout their hospitalization. A total of 1,385
serum samples, with an average of 8.3 samples per episode, were examined.
RESULTS: Based on the 165 febrile episodes in 106 patients, 80 (48.5%) were
classified as IPA (4 proven, 11 probable, 65 possible) and 85 (51.5%) as non-IPA.
The sensitivity/ specificity was 100%/27.1% for a single proven/probable IPA with
the cut of value of GM-EIA >= 0.5, 86.7%/71.8% for two consecutive positive
results, and 73.3%/85.9% for three consecutive positive results. CONCLUSIONS:
With the galactomannan levels measured twice a week, consecutive sensitivity
decreased and specificity increased. Therefore, an increase may be obtained in
sensitivity-specificity by more frequent monitoring of GM-EIA starting from the
first day of positivity is detected.
PMID- 26552467
TI - Factors related to outcomes in lupus-related protein-losing enteropathy.
AB - BACKGROUND/AIMS: Protein-losing enteropathy (PLE), characterized by severe
hypoalbuminemia and peripheral edema, is a rare manifestation of systemic lupus
erythematosus. This present study aimed to identify the distinctive features of
lupus-related PLE and evaluate the factors related to the treatment response.
METHODS: From March 1998 to March 2014, the clinical data of 14 patients with
lupus PLE and seven patients with idiopathic PLE from a tertiary center were
reviewed. PLE was defined as a demonstration of protein leakage from the
gastrointestinal tract by either technetium 99m-labelled human albumin scanning
or fecal alpha1-antitrypsin clearance. A positive steroid response was defined as
a return of serum albumin to >= 3.0 g/dL within 4 weeks after initial steroid
monotherapy, and remission as maintenance of serum albumin >= 3.0 g/dL for at
least 3 months. A high serum total cholesterol level was defined as a level of >=
240 mg/dL. RESULTS: The mean age of the lupus-related PLE patients was 37.0
years, and the mean follow-up duration was 55.8 months. Significantly higher
erythrocyte sedimentation rate and serum total cholesterol levels were found for
lupus PLE than for idiopathic PLE. Among the 14 patients with lupus PLE, eight
experienced a positive steroid response, and the serum total cholesterol level
was significantly higher in the positive steroid response group. A positive
steroid response was associated with an initial high serum total cholesterol
level and achievement of remission within 6 months. CONCLUSIONS: In lupus-related
PLE, a high serum total cholesterol level could be a predictive factor for the
initial steroid response, indicating a good response to steroid therapy alone.
PMID- 26552468
TI - Genetic analysis of ABCG2 and SLC2A9 gene polymorphisms in gouty arthritis in a
Korean population.
AB - BACKGROUND/AIMS: Gout is a common inf lammatory arthritis triggered by the
crystallization of uric acid in the joints. Serum uric acid levels are highly
heritable, suggesting a strong genetic component. Independent studies to confirm
the genetic associations with gout in various ethnic populations are warranted.
We investigated the association of polymorphisms in the ABCG2 and SLC2A9 genes
with gout in Korean patients and healthy individuals. METHODS: We consecutively
enrolled 109 patients with gout and 102 healthy controls. The diagnosis of gout
was based on the preliminary criteria of the America College of Rheumatology.
Genomic DNA was extracted from whole blood samples. We identified single
nucleotide polymorphism (SNP) changes in the ABCG2 and SLC2A9 genes using a
direct sequencing technique. rs2231142 in ABCG2 and rs6449213 and rs16890979 in
SLC2A9 and nearby regions were amplified by polymerase chain reaction. RESULTS:
Patients with gout had significantly higher A/A genotype (29.3% vs. 4.9%,
respectively) and A allele (52.8% vs. 26.5%, respectively) frequencies of
rs2231142 in ABCG2 than did controls (chi(2) = 29.42, p < 0.001; odds ratio,
3.32; 95% confidence interval, 2.11 to 5.20). We found novel polymorphisms
(c.881A>G and c.1002+78G>A) in the SLC2A9 gene. The univariate logistic
regression analysis revealed that the c.881A>G and c.1002+78G>A SNPs were
significantly higher in patients than in controls. CONCLUSIONS: We demonstrated a
significant association between rs2231142 in the ABCG2 gene and gout and
identified novel SNPs, c.881A>G and c.1002+78G>A, in the SLC2A9 gene that may be
associated with gout in a Korean population.
PMID- 26552469
TI - Acute myocardial infarction caused by a floating thrombus in the proximal
ascending aorta.
PMID- 26552470
TI - Fabry disease previously diagnosed as Henoch-Schonlein purpura.
PMID- 26552471
TI - Bone metastasis in pulmonary sclerosing hemangioma.
PMID- 26552472
TI - Long-term survival after concurrent chemoradiation therapy for esophageal cancer
with tracheal invasion.
PMID- 26552473
TI - Takayasu arteritis and antiphospholipid antibody syndrome in an elderly woman.
PMID- 26552474
TI - Nutmeg liver cardiac cirrhosis caused by constrictive pericarditis.
PMID- 26552475
TI - Extreme septal hypertrophy in an adolescent with congenital familial hypertrophic
cardiomyopathy.
PMID- 26552476
TI - Molecular insights into the surface-specific arrangement of complement C5
convertase enzymes.
AB - BACKGROUND: Complement is a large protein network in plasma that is crucial for
human immune defenses and a major cause of aberrant inflammatory reactions. The
C5 convertase is a multi-molecular protease complex that catalyses the cleavage
of native C5 into its biologically important products. So far, it has been
difficult to study the exact molecular arrangement of C5 convertases, because
their non-catalytic subunits (C3b) are covalently linked to biological surfaces
through a reactive thioester. Through development of a highly purified model
system for C5 convertases, we here aim to provide insights into the surface
specific nature of these important protease complexes. RESULTS: Alternative
pathway (AP) C5 convertases were generated on small streptavidin beads that were
coated with purified C3b molecules. Site-specific biotinylation of C3b via the
thioester allowed binding of C3b in the natural orientation on the surface. In
the presence of factor B and factor D, these C3b beads could effectively convert
C5. Conversion rates of surface-bound C3b were more than 100-fold higher than
fluid-phase C3b, confirming the requirement of a surface. We determine that high
surface densities of C3b, and its attachment via the thioester, are essential for
C5 convertase formation. Combining our results with molecular modeling explains
how high C3b densities may facilitate intermolecular interactions that only occur
on target surfaces. Finally, we define two interfaces on C5 important for its
recognition by surface-bound C5 convertases. CONCLUSIONS: We establish a highly
purified model that mimics the natural arrangement of C5 convertases on a
surface. The developed model and molecular insights are essential to understand
the molecular basis of deregulated complement activity in human disease and will
facilitate future design of therapeutic interventions against these critical
enzymes in inflammation.
PMID- 26552477
TI - Androgen receptor status is highly conserved during tumor progression of breast
cancer.
AB - BACKGROUND: With the advent of new and more efficient anti-androgen drugs
targeting androgen receptor (AR) in breast cancer (BC) is becoming an
increasingly important area of investigation. This would potentially be most
useful in triple negative BC (TNBC), where better therapies are still needed. The
assessment of AR status is generally performed on the primary tumor even if the
tumor has already metastasized. Very little is known regarding discrepancies of
AR status during tumor progression. To determine the prevalence of AR positivity,
with emphasis on TNBCs, and to investigate AR status during tumor progression, we
evaluated a large series of primary BCs and matching metastases and recurrences.
METHODS: AR status was performed on 356 primary BCs, 135 matching metastases, and
12 recurrences using a next-generation Tissue Microarray (ngTMA). A commercially
available AR antibody was used to determine AR-status by immunohistochemistry. AR
positivity was defined as any nuclear staining in tumor cells >=1 %. AR
expression was correlated with pathological tumor features of the primary tumor.
Additionally, the concordance rate of AR expression between the different tumor
sites was determined. RESULTS: AR status was positive in: 87 % (307/353) of
primary tumors, 86.1 % (105/122) of metastases, and in 66.7 % (8/12) of
recurrences. TNBC tested positive in 11.4 %, (4/35) of BCs. A discrepant result
was seen in 4.3 % (5/117) of primary BC and matching lymph node (LN) metastases.
Three AR negative primary BCs were positive in the matching LN metastasis,
representing 17.6 % of all negative BCs with lymph node metastases (3/17). Two AR
positive primary BCs were negative in the matching LN metastasis, representing
2.0 % of all AR positive BCs with LN metastases (2/100). No discrepancies were
seen between primary BC and distant metastases or recurrence (n = 17).
CONCLUSIONS: Most primary (87 %) and metastasized (86.1 %) BCs are AR positive
including a significant fraction of TNBCs (11.4 %). Further, AR status is highly
conserved during tumor progression and a change only occurs in a small fraction
(4.1 %). Our study supports the notion that targeting AR could be effective for
many BC patients and that re-testing of AR status in formerly negative or mixed
type BC's is recommended.
PMID- 26552479
TI - Speed of flea knockdown of spinosad compared to afoxolaner, and of spinosad
through 28 days post-treatment in controlled laboratory studies.
AB - BACKGROUND: The speed of flea knockdown by different products and their duration
of effectiveness are factors which affect veterinarian prescribing decisions. To
further validate the month-long pulicidal effectiveness of spinosad and determine
its rate of flea knockdown to that of afoxolaner, three studies were conducted in
two laboratories in the United States, utilizing flea infestations from colonies
which are regularly refreshed through introduction of locally caught fleas.
METHODS: All study assessors were blinded, dogs were ranked by pre-study flea
counts and randomized accordingly, and treatments administered on Day 0. All
studies included a negative control group; two also included an afoxolaner group.
In one study, flea challenges for treated and control dogs (10 per group) were
completed 21 and 28 days after treatment and counts were performed 24 h later. In
each of two speed-of-knockdown (SOK) studies, 36 dogs were randomized, six dogs
per group, to: untreated controls; administered oral afoxolaner (2.6-6.2 mg/kg);
or oral spinosad (32.1-59.2 mg/kg). In the SOK studies, live fleas from Day -1
infestations were counted after being combed off at 1 and 3 h after treatment,
and after reinfestations on Day 7. RESULTS: There were no treatment-related
adverse events. Spinosad was 98.6% effective at 28 days post treatment. For SOK,
geometric mean live flea counts for afoxolaner were not different from controls
at any assessment. For spinosad, all mean counts were significantly lower than in
controls (p <= 0.0128) except at 1 h post treatment in both studies. Spinosad was
significantly more effective than afoxolaner in both studies at 3 h post
treatment (p <= 0.0065) and post-Day 7 infestation (p <= 0.0054), and at 1 h post
treatment (p = 0.0276) and post-Day 7 infestation in one study. CONCLUSIONS:
These data validate spinosad's faster onset of flea knockdown than afoxolaner
against infestations present at the time of treatment, and faster residual speed
of flea knockdown for at least 7 days post treatment, and confirm spinosad's
extended residual speed of kill for at least 28 days post treatment.
PMID- 26552478
TI - Determination of reference intervals of serum levels of human epididymis protein
4 (HE4) in Chinese women.
AB - BACKGROUND: To determine reference intervals for serum levels of human epididymis
protein 4 (HE4) in Chinese women. METHODS: In this multicenter (n = 9) study, 618
healthy women, 767 patients with non-malignant diseases, and 951 patients with
malignant tumors were enrolled. Serum levels of HE4 were measured in all patients
using electrochemiluminescence immunoassays. The influence of age, menopause,
malignancy status and other characteristics on the levels of HE4 was evaluated
using univariate and multivariate analyses. Confidence intervals (2.5-97.5 %)
were determined in different populations. RESULTS: There were significant
differences in HE4 levels among groups with different ages, menopause or
malignancy status. Higher levels of HE4 were detected in elder compared to
younger, post- compare to pre- menopause and malignant compared to benign
subjects. Multivariate analysis showed that menopause and malignancy status, as
well as smoking and pelvic masses were independent factors involved in serum HE4
levels. In pre-menopause stage, the reference ranges of HE4 level were 29.30
68.79, 28.12-1284.83 and 34.75-981.91 pmol/L in healthy, benign and malignant
populations, respectively. In post-menopause stage, the reference ranges are
35.96-114.43, 39.11-2208.70 and 39.40-1678.13 pmol/L for those populations.
CONCLUSIONS: The present study has established the reference intervals of HE4
levels in pre- and post-menopause populations with different malignancy status.
PMID- 26552481
TI - Recent trends and advancements in bioassay based on bioluminescent technologies.
PMID- 26552480
TI - Early outgrowth cells versus endothelial colony forming cells functions in
platelet aggregation.
AB - BACKGROUND: Endothelial progenitor cells (EPCs) have been implicated in
neoangiogenesis, endothelial repair and cell-based therapies for cardiovascular
diseases. We have previously shown that the recruitment of EPCs to sites of
vascular lesions is facilitated by platelets where EPCs, in turn, modulate
platelet function and thrombosis. However, EPCs encompass a heterogeneous
population of progenitor cells that may exert different effects on platelet
function. Recent evidence suggests the existence of two EPC subtypes: early
outgrowth cells (EOCs) and endothelial colony-forming cells (ECFCs). We aimed at
characterizing these two EPC subtypes and at identifying their role in platelet
aggregation. METHODS: EOCs and ECFCs were generated from human peripheral blood
mononuclear cells (PBMCs) seeded in conditioned media on fibronectin and
collagen, respectively. The morphological, phenotypical and functional
characteristics of EOCs and ECFCs were assessed by optical and confocal laser
scanning microscopes, cell surface markers expression, and Matrigel tube
formation. The impact of EOCs and ECFCs on platelet aggregation was monitored in
collagen-induced optical aggregometry and compared with PBMCs and human umbilical
vein endothelial cells (HUVECs). The levels of the anti-platelet agents' nitric
oxide (NO) and prostacyclin (PGI2) released from cultured cells as well as the
expression of their respective producing enzymes NO synthases (NOS) and
cyclooxygenases (COX) were also assessed. RESULTS: We showed that EOCs display a
monocytic-like phenotype whereas ECFCs have an endothelial-like phenotype. We
demonstrated that both EOCs and ECFCs and their supernatants inhibited platelet
aggregation; however ECFCs were more efficient than EOCs. This could be related
to the release of significantly higher amounts of NO and PGI2 from ECFCs, in
comparison to EOCs. Indeed, ECFCs, like HUVECs, constitutively express the
endothelial (eNOS)-and inducible (iNOS)-NOS isoforms, and COX-1 and weakly
express COX-2, whereas EOCs do not constitutively express these NO and PGI2
producing enzymes. CONCLUSION: The different morphological, phenotypic and more
importantly the release of the anti-aggregating agents PGI2 and NO in each EPC
subtype are implicated in their respective roles in platelet function and thus,
may be linked to the increased efficiency of ECFCs in inhibiting platelet
aggregation as compared to EOCs.
PMID- 26552482
TI - The triple threat of pregnancy, HIV infection and malaria: reported causes of
maternal mortality in two nationwide health facility assessments in Mozambique,
2007 and 2012.
AB - BACKGROUND: The paper's primary purpose is to determine changes in magnitude and
causes of institutional maternal mortality in Mozambique. We also describe shifts
in the location of institutional deaths and changes in availability of prevention
and treatment measures for malaria and HIV infection. METHODS: Two national cross
sectional assessments of health facilities with childbirth services were
conducted in 2007 and 2012. Each collected retrospective data on deliveries and
maternal deaths and their causes. In 2007, 2,199 cases of maternal deaths were
documented over a 12 month period; in 2012, 459 cases were identified over a
three month period. In 2007, data collection also included reviews of maternal
deaths when records were available (n = 712). RESULTS: Institutional maternal
mortality declined from 541 to 284/100,000 births from 2007 to 2012. The rate of
decline among women dying of direct causes was 66% compared to 26% among women
dying of indirect causes. Cause-specific mortality ratios fell for all direct
causes. Patterns among indirect causes were less conclusive given differences in
cause-of-death recording. In absolute numbers, the combination of antepartum and
postpartum hemorrhage was the leading direct cause of death each year and HIV and
malaria the main non-obstetric causes. Based on maternal death reviews, evidence
of HIV infection, malaria or anemia was found in more than 40% of maternal deaths
due to abortion, ectopic pregnancy and sepsis. Almost half (49%) of all
institutional maternal deaths took place in the largest hospitals in 2007 while
in 2012, only 24% occurred in these hospitals. The availability of
antiretrovirals and antimalarials increased in all types of facilities, but
increases were most dramatic in health centers. CONCLUSIONS: The rate at which
women died of direct causes in Mozambique's health facilities appears to have
declined significantly. Despite a clear improvement in access to antiretrovirals
and antimalarials, especially at lower levels of health care, malaria, HIV, and
anemia continue to exact a heavy toll on child-bearing women. Going forward,
efforts to end preventable maternal and newborn deaths must maximize the use of
antenatal care that includes integrated preventive/treatment options for HIV
infection, malaria and anemia.
PMID- 26552483
TI - Is the skin a sanctuary for breast cancer cells during treatment with anti-HER2
antibodies?
AB - The occurrence of skin metastases is a common event in patients affected by
advanced breast cancer, usually associated with systemic disease progression.
Here we describe 2 cases of diffuse cutaneous metastases from HER2-overexpressing
breast cancer occurring despite a dramatic response in liver and bone,
respectively, during treatment with anti-HER2 antibodies Trastuzumab and
Pertuzumab. We discuss the reasons for this discrepancy and suggest a possible
implication of impaired immune response in the skin. Future research should
provide strategies to overcome the induction of immune privilege in the skin in
order to avoid discontinuation of effective treatments.
PMID- 26552484
TI - Identification and characterization of human nucleus pulposus cell specific
serotypes of adeno-associated virus for gene therapeutic approaches of
intervertebral disc disorders.
AB - BACKGROUND: Intervertebral disc (IVD) disorders are often accompanied by painful
inflammatory and immunopathological processes. Nucleus pulposus (NP) cells play a
pivotal role in maintenance of IVD by organizing the expression of anabolic,
catabolic, anti-catabolic and inflammatory cytokines. Human NP cells have been
targeted by gene therapeutic approaches using lentiviral or adenoviral systems
that could be critical due to genome incorporation or immunological side effects.
Adeno-associated viruses (AAVs), which do not express any viral gene and are not
linked with any known disease in humans, are attractive gene delivery vectors.
However, their lack of specific tissue tropism and preexisting immune response
are main problems for therapeutic applications. Heretofore, AAVs have not been
studied in human IVD research. Therefore, we attempted to identify NP cell
specific AAV serotype by targeting human NP cells with different self
complementary AAV (scAAV) serotypes. Identification and characterization of the
proper serotype is crucial to establish less immunogenic and safer gene
therapeutic approaches of IVD disorders. METHODS: Preoperative magnetic resonance
imaging (MRI) was used for grading of IVD degeneration. NP cells were isolated,
cultured with low-glucose and transduced with green fluorescent protein (GFP)
packing scAAV serotypes (scAAV1-8) in a dose-dependent manner. scAAV titers were
determined by quantitative polymerase chain reaction (qPCR). Transduction
efficiencies were determined by fluorescence microscopy and fluorescence
activated cell sorting within 48 days of post-transduction. The 3-(4, 5
dimethylthiazolyl-2)-2,5-diphenyltetrazolium bromide (MTT) assay was used to
determine NP cell viability. Three-dimensional (3D) cell culture and enzyme
linked immunosorbant assay (ELISA) were performed to examine the expression
levels of inflammatory, catabolic and matrix proteins in NP cells. RESULTS:
scAAV6, scAAV2 and scAAV3 showed high and prolonged transgene GFP expressions
with transdution efficiencies of 98.6 %, 91.5 % and 89.6 % respectively (p <=
0.002). Unlike scAAV6, the serotypes scAAV2 and scAAV3 declined the viability of
NP cells by about 25 % and 10 % respectively (p <= 0.001). Moreover, scAAV6 did
not affect the expression of the inflammatory, catabolic and matrix proteins.
CONCLUSIONS: As original primary research evaluating AAVs in degenerative human
IVDs, this study identified scAAV6 as a proper serotype for high, stable and non
immunogenic target gene expression in human NP cells. The data could be very
important to design efficient and safer gene therapeutic approaches of IVD
disorders.
PMID- 26552485
TI - From knowing our needs to enacting change: findings from community consultations
with indigenous communities in Bangladesh.
AB - INTRODUCTION: Indigenous peoples are among the most marginalized peoples in the
world due to issues relating to well-being, political representation, and
economic production. The research consortium Goals and Governance for Global
Health (Go4Health) conducted a community consultation process among marginalized
groups across the global South aimed at including their voices in the global
discourse around health in the post-2015 development agenda. This paper presents
findings from the consultations carried out among indigenous communities in
Bangladesh. METHODS: For this qualitative study, our research team consulted the
Tripura and Mro communities in Bandarban district living in the isolated
Chittagong Hill Tracts region. Community members, leaders, and key informants
working in health service delivery were interviewed. Data was analyzed using
thematic analysis. FINDINGS: Our findings show that remoteness shapes the daily
lives of the communities, and their lack of access to natural resources and basic
services prevents them from following health promotion messages. The communities
feel that their needs are impossible to secure in a politically indifferent and
sometimes hostile environment. CONCLUSION: Communities are keen to participate
and work with duty bearers in creating the conditions that will lead to their
improved quality of life. Clear policies that recognize the status of indigenous
peoples are necessary in the Bangladeshi context to allow for the development of
services and infrastructure.
PMID- 26552486
TI - Mycobacterium tuberculosis secretory proteins downregulate T cell activation by
interfering with proximal and downstream T cell signalling events.
AB - BACKGROUND: Mycobacterium tuberculosis (M. tuberculosis) modulates host immune
response, mainly T cell responses for its own survival leading to disease or
latent infection. The molecules and mechanisms utilized to accomplish immune
subversion by M. tuberculosis are not fully understood. Understanding the
molecular mechanism of T cell response to M. tuberculosis is important for
development of efficacious vaccine against TB. METHODS: Here, we investigated
effect of M. tuberculosis antigens Ag85A and ESAT-6 on T cell signalling events
in CD3/CD28 induced Peripheral blood mononuclear cells (PBMCs) of PPD+ve healthy
individuals and pulmonary TB patients. We studied CD3 induced intracellular
calcium mobilization in PBMCs of healthy individuals and TB patients by
spectrofluorimetry, CD3 and CD28 induced activation of mitogen activated protein
kinases (MAPKs) in PBMCs of healthy individuals and TB patients by western
blotting and binding of transcription factors NFAT and NFkappaB by
Electrophorectic mobility shift assay (EMSA). RESULTS: We observed CD3 triggered
modulations in free intracellular calcium concentrations in PPD+ve healthy
individuals and pulmonary TB patients after the treatment of M. tuberculosis
antigens. As regards the downstream signalling events, phosphorylation of MAPKs,
Extracellular signal-regulated kinase 1 and 2 (ERK1/2) and p38 was curtailed by
M. tuberculosis antigens in TB patients whereas, in PPD+ve healthy individuals
only ERK1/2 phosphorylation was inhibited. Besides, the terminal signalling
events like binding of transcription factors NFAT and NFkappaB was also altered
by M. tuberculosis antigens. Altogether, our results suggest that M. tuberculosis
antigens, specifically ESAT-6, interfere with TCR/CD28-induced upstream as well
as downstream signalling events which might be responsible for defective IL-2
production which further contributed in T-cell unresponsiveness, implicated in
the progression of disease. CONCLUSION: To the best of our knowledge, this is the
first study to investigate effect of Ag85A and ESAT-6 on TCR- and TCR/CD28-
induced upstream and downstream signalling events of T-cell activation in TB
patients. This study showed the effect of secretory antigens of M. tuberculosis
in the modulation of T cell signalling pathways. This inflection is accomplished
by altering the proximal and distal events of signalling cascade which could be
involved in T-cell dysfunctioning during the progression of the disease.
PMID- 26552487
TI - Levels of circulating endothelial cells are low in idiopathic pulmonary fibrosis
and are further reduced by anti-fibrotic treatments.
AB - BACKGROUND: It has been suggested that circulating fibrocytes and endothelial
cells actively participate in the intense remodelling of the pulmonary
vasculature in patients with idiopathic pulmonary fibrosis (IPF). Indeed,
fibrotic areas exist that have fewer blood vessels, whereas adjacent non-fibrotic
tissue is highly vascularized. The number of circulating endothelial cells (CEC)
and endothelial progenitor cells (EPC) might reflect the balance between vascular
injury and repair. Thus, fibrocytes as well as endothelial cells could
potentially be used as biomarkers of disease progression and treatment outcome.
METHODS: Peripheral blood samples were collected from 67 patients with a
multidisciplinary diagnosis of IPF and from 45 age-matched and sex-matched
healthy volunteers. Buffy coat was isolated according to standard procedures and
at least 20 million cells were stained with different monoclonal antibodies for
the detection of CEC, EPC and circulating fibrocytes. For the detection of CEC
and EPC, cells were stained with anti-CD45, anti-CD34, anti-CD133, anti-CD14,
anti-CD309 and with the viability probe Far-Red LIVE/DEAD. For the detection of
circulating fibrocytes, cells were first stained with LIVE/DEAD and the following
monoclonal antibodies: anti-CD3, anti-CD19, anti-CD45, anti-CD34 and anti-CD14,
then cells were fixed, permeabilized and stained with fluorochrome-conjugated
anti-collagen I monoclonal antibodies. RESULTS: Patients with IPF displayed
almost undetectable levels of circulating fibrocytes, low levels of CEC, and
normal levels of EPC. Patients treated with nintedanib displayed higher levels of
CEC, but lower levels of endothelial cells expressing CD309 (the type II receptor
for vascular endothelial growth factor). Treatment with both nintedanib and
pirfenidone reduced the percentage of CEC and circulating fibrocytes.
CONCLUSIONS: Levels of CEC were reduced in patients with IPF as compared to
healthy individuals. The anti-fibrotic treatments nintedanib and pirfenidone
further reduced CEC levels. These findings might help explain the mechanism of
action of these drugs and should be explored as predictive biomarkers in IPF.
PMID- 26552489
TI - Differential Effect of MyD88 Signal in Donor T Cells on Graft-versus-Leukemia
Effect and Graft-versus-Host Disease after Experimental Allogeneic Stem Cell
Transplantation.
AB - Despite the presence of toll like receptor (TLR) expression in conventional
TCRalphabeta T cells, the direct role of TLR signaling via myeloid
differentiation factor 88 (MyD88) within T lymphocytes on graft-versus-host
disease (GVHD) and graft-versus-leukemia (GVL) effect after allogeneic stem cell
transplantation (allo-SCT) remains unknown. In the allo-SCT model of C57BL/6 (H
2(b)) -> B6D2F1 (H-2(b/d)), recipients received transplants of wild type (WT) T
cell-depleted (TCD) bone marrow (BM) and splenic T cells from either WT or MyD88
deficient (MyD88KO) donors. Host-type (H-2(d)) P815 mastocytoma or L1210 leukemia
cells were injected either subcutaneously or intravenously to generate a GVHD/GVL
model. Allogeneic recipients of MyD88KO T cells demonstrated a greater tumor
growth without attenuation of GVHD severity. Moreover, GVHD-induced GVL effect,
caused by increasing the conditioning intensity was also not observed in the
recipients of MyD88KO T cells. In vitro, the absence of MyD88 in T cells resulted
in defective cytolytic activity to tumor targets with reduced ability to produce
IFN-gamma or granzyme B, which are known to critical for the GVL effect. However,
donor T cell expansion with effector and memory T-cell differentiation were more
enhanced in GVHD hosts of MyD88KO T cells. Recipients of MyD88KO T cells
experienced greater expansion of Foxp3- and IL4-expressing T cells with reduced
INF-gamma producing T cells in the spleen and tumor-draining lymph nodes early
after transplantation. Taken together, these results highlight a differential
role for MyD88 deficiency on donor T-cells, with decreased GVL effect without
attenuation of the GVHD severity after experimental allo-SCT.
PMID- 26552490
TI - Mucosal-Associated Invariant T Cell Deficiency in Chronic Obstructive Pulmonary
Disease.
AB - Mucosal-associated invariant T (MAIT) cells have been reported to play an
important role in mucosal immunity. However, little is known about the roles of
MAIT cells in chronic obstructive pulmonary disease (COPD). The aims of this
study were to examine the levels of circulating MAIT cells and their subsets in
COPD patients and to investigate the potential relationship between clinical
parameters and MAIT cell levels. Forty-five COPD patients and 57 healthy control
subjects were enrolled in the study. Circulating MAIT cells and their subset
levels in the peripheral blood were measured by flow cytometry. Disease grades
were classified according to the GOLD criteria for the assessment of severity of
COPD. Circulating MAIT cell levels were found to be significantly reduced in COPD
patients. In particular, this MAIT cell deficiency was more prominent in CD8+ and
double-negative T cell subsets. Interestingly, elevated serum C-reactive protein
level and reduced FEV1/FVC ratio were associated with MAIT cell deficiency in
COPD patients. Furthermore, the circulating MAIT levels were found to be
significantly lower in patients with moderate to severe COPD than in patients
with mild COPD. Our data shows that MAIT cells are numerically deficient in the
peripheral blood of patients with COPD. In addition, this MAIT cell deficiency
was found to reflect inflammatory activity and disease severity. These findings
provide important information for monitoring the changes in MAIT cell levels and
for predicting the prognosis during the disease course.
PMID- 26552488
TI - Functions of TET Proteins in Hematopoietic Transformation.
AB - DNA methylation is a well-characterized epigenetic modification that plays
central roles in mammalian development, genomic imprinting, X-chromosome
inactivation and silencing of retrotransposon elements. Aberrant DNA methylation
pattern is a characteristic feature of cancers and associated with abnormal
expression of oncogenes, tumor suppressor genes or repair genes. Ten-eleven
translocation (TET) proteins are recently characterized dioxygenases that
catalyze progressive oxidation of 5-methylcytosine to produce 5
hydroxymethylcytosine and further oxidized derivatives. These oxidized
methylcytosines not only potentiate DNA demethylation but also behave as
independent epigenetic modifications per se. The expression or activity of TET
proteins and DNA hydroxymethylation are highly dysregulated in a wide range of
cancers including hematologic and non-hematologic malignancies, and accumulating
evidence points TET proteins as a novel tumor suppressor in cancers. Here we
review DNA demethylation-dependent and -independent functions of TET proteins. We
also describe diverse TET loss-of-function mutations that are recurrently found
in myeloid and lymphoid malignancies and their potential roles in hematopoietic
transformation. We discuss consequences of the deficiency of individual Tet genes
and potential compensation between different Tet members in mice. Possible
mechanisms underlying facilitated oncogenic transformation of TET-deficient
hematopoietic cells are also described. Lastly, we address non-mutational
mechanisms that lead to suppression or inactivation of TET proteins in cancers.
Strategies to restore normal 5mC oxidation status in cancers by targeting TET
proteins may provide new avenues to expedite the development of promising anti
cancer agents.
PMID- 26552491
TI - Dermatologic care of institutionalized elderly patients: a survey among
dermatologists in the Netherlands.
AB - BACKGROUND: dermatologists are expected to be increasingly confronted with
institutionalized elderly patients, due to the rapidly ageing world population.
OBJECTIVES: to determine the proportion of dermatologists visiting patients in
nursing homes, together with the reasons for consultation, barriers to perform
these visits and diagnostic and treatment patterns. MATERIALS & METHODS: a web
based questionnaire regarding dermatologic care in nursing home patients was
developed by a multidisciplinary group of dermatologists, residents and elderly
care physicians. A cover letter containing a link to the final questionnaire was
sent by e-mail to all 661 dermatologists and dermatology residents in the
Netherlands. RESULTS: a total of 130 eligible questionnaires were returned
(19.7%). Most respondents (79.2%) never had contact with an elderly care
physician concerning a nursing home patient. However, only 30.0% of the
respondents ever visited a patient within a nursing home. The most common reasons
for nursing home visits were cutaneous (pre)malignancies (51.4%),
eczema/dermatitis (25.7%) and (pressure) ulcers (8.6%). The most important
barriers mentioned to perform nursing home visits were a lack of time and
indistinct and/or inadequate financial compensation. Diagnostic and treatment
patterns often differed from the outpatient clinic due to various patient-related
and logistic factors. CONCLUSION: dermatologists are frequently confronted with
nursing home patients but only a minority visits patients within nursing homes.
Lack of time and/or financial compensation seem important barriers.
PMID- 26552492
TI - Executive Dyscontrol of Learning and Memory: Findings from a Clade C HIV-positive
South African Sample.
AB - OBJECTIVE: Although pre-clinical work suggests there might be differences in
neurovirulence across HIV-1 clades, few studies investigate neuropsychological
deficits in the globally predominant clade C infection. The purpose of this study
was to investigate verbal learning and memory performance in HIV-positive
individuals in Cape Town, South Africa, where clade C is the most prevalent
subtype of the virus. METHOD: Using a case-control design, we recruited 53
isiXhosa-speaking, cART-naive HIV-positive adults and 53 demographically matched
HIV-negative controls. Participants were administered a comprehensive
neuropsychological test battery. The test of interest was the Hopkins Verbal
Learning Test-Revised (HVLT-R); previous studies have used that instrument to
identify executive dyscontrol of verbal learning and memory processes in clade B
HIV-positive participants. RESULTS: HIV-positive participants showed only partial
impairment on the HVLT-R's learning/memory components (e.g., they recalled
significantly fewer words across learning trials, but displayed relatively intact
performance on delayed recall trials). They also displayed little executive
dyscontrol over encoding and retrieval processes (e.g., there were no significant
between-group differences on measures of semantic or serial clustering).
CONCLUSIONS: Post-cART era studies suggest that verbal learning and memory
performance is impaired in clade B samples, at least partially due to executive
dyscontrol over encoding and retrieval processes. We found few such impairments
in the current clade C sample. These preliminary findings suggest different CNS
vulnerability across clades that would have implications for delineating clade
specific neuropathological and neurocognitive clinical features.
PMID- 26552493
TI - Infusing IVIG through Community Care Access Services in Patients with CIDP.
PMID- 26552494
TI - Reference values for the volumes of foetal heart atrial wall by three-dimensional
ultrasound using STIC and VOCAL methods between 20w0d and 33w6d weeks of
gestation.
AB - OBJECTIVE: To establish reference values for the volumes of foetal heart atrial
wall by three-dimensional (3D) ultrasound using spatio-temporal image correlation
(STIC) and virtual organ computer-aided analysis (VOCAL) methods. METHODS: We
performed a retrospective cross-sectional study with 170 normal singleton
pregnancies between 20 weeks + 0 days (20w0d) and 33 weeks + 6 days (33w6d) of
gestation. Foetal heart atrial wall volume was obtained by VOCAL method with 30
degree rotation (six planes) subtracting the internal volume from the atrium
volume. Polynomial regression with adjustments by determination coefficient
(R(2)) was performed. To calculate the interobserver reproducibility, concordance
correlation coefficient (CCC) was applied. RESULTS: The mean +/- standard
deviation (SD) for the left atrium wall volume (cm(3)) ranged from 0.54 +/- 0.21
at 20w0d-20w6d to 2.17 +/- 0.30 at 33w0d-33w6d. The mean +/- SD for the right
atrium wall volume (cm(3)) ranged from 0.45 +/- 0.16 at 20w0d-20w6d to 2.17 +/-
0.62 at 33w0d-33w6d. We observed a satisfactory interobserver reproducibility
with CCC = 0.69 and 0.58 for the left and right volumes of foetal heart atrial
wall, respectively. The best-fit models were first-degree: volume for the left
atrium wall = -2.194 + 0.139*GA (R(2 )=( )0.41) and volume for the right atrium
wall = -2.757 + 0.155*GA (R(2 )=( )0.37). CONCLUSION: Reference values for the
volumes of foetal heart atrial wall by 3D ultrasound using STIC and VOCAL methods
between 20w0d and 33w6d weeks of gestation were established.
PMID- 26552495
TI - Mental health of sexual minorities. A systematic review.
AB - Many studies, reviews, and meta-analyses have reported elevated mental health
problems for sexual minority (SM) individuals. This systematic review provides an
update by including numerous recent studies, and explores whether SM individuals
are at increased risk across selected mental health problems as per dimensions of
sexual orientation (SO), genders, life-stages, geographic regions, and in higher
quality studies. A systematic search in PubMed produced 199 studies appropriate
for review. A clear majority of studies reported elevated risks for depression,
anxiety, suicide attempts or suicides, and substance-related problems for SM men
and women, as adolescents or adults from many geographic regions, and with varied
SO dimensions (behaviour, attraction, identity), especially in more recent and
higher quality studies. One notable exception is alcohol-related problems, where
many studies reported zero or reversed effects, especially for SM men. All SM
subgroups were at increased risk, but bisexual individuals were at highest risk
in the majority of studies. Other subgroup and gender differences are more
complex and are discussed. The review supports the long-standing mental health
risk proposition for SM individuals, overall and as subgroups.
PMID- 26552496
TI - Inoculation methods using Rhodococcus erythropolis strain P30 affects bacterial
assisted phytoextraction capacity of Nicotiana tabacum.
AB - In this study different bacterial inoculation methods were tested for tobacco
plants growing in a mine-soil contaminated with Pb, Zn, and Cd. The inoculation
methods evaluated were: seed inoculation, soil inoculation, dual soil inoculation
event, and seed+soil inoculation. Each inoculum was added at two bacterial
densities (10(6) CFUs mL(-1) and 10(8) CFUs mL(-1)). The objectives were to
evaluate whether or not the mode of inoculation or the number of applied
microorganisms influences plant response. The most pronounced bacterial-induced
effect was found for biomass production, and the soil inoculation treatment
(using 10(6) CFUs mL(-1)) led to the highest increase in shoot dry weight yield
(up to 45%). Bacterial-induced effects on shoot metal concentrations were less
pronounced; although a positive effect was found on shoot Pb concentration when
using 10(8) CFUs mL(-1) in the soil inoculation (29% increase) and in the
seed+soil inoculation (34% increase). Also shoot Zn concentration increased by
24% after seed inoculation with 10(6) CFUs mL(-1). The best effects on the total
metal yield were not correlated with an increasing number of inoculated bacteria.
In fact the best results were found after a single soil inoculation using the
lower cellular density of 10(6) CFUs mL(-1).
PMID- 26552497
TI - JVIR Celebrates 25 Years of Innovation. Part 5: 2011-2015.
PMID- 26552498
TI - Radioembolization following Liver Resection: Safety and Dosing Considerations.
AB - PURPOSE: To evaluate the impact of previous liver resection on the safety of
resin microsphere radioembolization (RE). MATERIALS AND METHODS: A single-center
retrospective review was performed of 22 patients who underwent resin microsphere
RE after liver resection during the period 2009-2014. Prescribed patient dose
using the body surface area (BSA) model and a theoretical dose calculated from
the actual liver volume on imaging were recorded. Patient and treatment
characteristics were analyzed for factors that contributed to toxicity. RESULTS:
In 13 patients, 20 grade 1-3 toxicities were identified. No differences in
toxicity were seen based on extent of prior hepatic resection or whether whole
liver treatments were performed (P = .2). The measured liver volume based on
cross-sectional imaging correlated poorly with the expected liver volume based on
BSA (r = 0.43). After adjusting for the patients' measured liver volume on cross
sectional imaging, five patients were determined to be relatively overdosed and
seven patients were determined to be relatively underdosed by the BSA method.
Despite these differences, no association was found with patient toxicities and
either an overestimation or an underestimation of liver volume (P = .4).
CONCLUSION: Previous hepatic resection does not adversely alter the safety
profile of yttrium-90 RE. BSA poorly predicts expected liver volume in this
population. However, standard BSA-based dosing and whole-liver remnant treatments
do not increase hepatotoxicity.
PMID- 26552499
TI - Rational Molecular Engineering of Indoline-Based D-A-pi-A Organic Sensitizers for
Long-Wavelength-Responsive Dye-Sensitized Solar Cells.
AB - Indoline-based D-A-pi-A organic sensitizers are promising candidates for highly
efficient and long-term stable dye-sensitized solar cells (DSSCs). In order to
further broaden the spectral response of the known indoline dye WS-2, we
rationally engineer the molecular structure through enhancing the electron donor
and extending the pi-bridge, resulting in two novel indoline-based D-A-pi-A
organic sensitizers WS-92 and WS-95. By replacing the 4-methylphenyl group on the
indoline donor of WS-2 with a more electron-rich carbazole unit, the
intramolecular charge transfer (ICT) absorption band of dye WS-92 is slightly red
shifted from 550 nm (WS-2) to 554 nm (WS-92). In comparison, the incorporation of
a larger pi-bridge of cyclopentadithiophene (CPDT) unit in dye WS-95 not only
greatly bathochromatically tunes the absorption band to 574 nm but also largely
enhances the molar extinction coefficients (epsilon), thus dramatically improving
the light-harvesting capability. Under the standard global AM 1.5 solar light
condition, the photovoltaic performances of both organic dyes have been evaluated
in DSSCs on the basis of the iodide/triiodide electrolyte without any coadsorbent
or cosensitizer. The DSSCs based on WS-95 display better device performance with
power conversion efficiency (eta) of 7.69%. The additional coadsorbent in the dye
bath of WS-95 does not improve the photovoltaic performance, indicative of its
negligible dye aggregation, which can be rationalized by the grafted dioctyl
chains on the CPDT unit. The cosensitization of WS-95 with a short absorption
wavelength dye S2 enhances the IPCE and improves the eta to 9.18%. Our results
indicate that extending the pi-spacer is more rational than enhancing the
electron donor in terms of broadening the spectral response of indoline-based D-A
pi-A organic sensitizers.
PMID- 26552500
TI - Endoscopic management of bariatric surgery complications: what the
gastroenterologist should know.
AB - Obesity is a serious disorder in almost the entire world. It is an important risk
factor for a series of conditions that affect and threaten health. Currently,
bariatric surgery is the most effective treatment for morbid obesity, and in
addition to the resulting weight loss, it reduces morbidity in this population.
There has been a significant increase in the number of obese patients operated
on. Despite the success of bariatric surgery, an important group of patients
still present with major postoperative complications. In order for endoscopy to
effectively contribute to the diagnosis and treatment of complications deriving
from obesity surgery, the gastroenterologist must be aware of the particularities
involved in bariatric surgery. The present article is a review of the resulting
anatomic aspects of the main surgical techniques employed, the most common
postoperative symptoms, the potential complications, and the possibilities that
endoscopic diagnosis and treatment offer. Endoscopy is a growing and continuously
evolving method in the treatment of bariatric surgery complications. The aim of
this review is to contribute to the preparation of gastroenterologists so they
can offer adequate endoscopic diagnosis and treatment to this high-risk
population.
PMID- 26552501
TI - The Efficacy of Movement Representation Techniques for Treatment of Limb Pain--A
Systematic Review and Meta-Analysis.
AB - Relatively new evidence suggests that movement representation techniques (ie,
therapies that use the observation and/or imagination of normal pain-free
movements, such as mirror therapy, motor imagery, or movement and/or action
observation) might be effective in reduction of some types of limb pain. To
summarize the evidence regarding the efficacy of those techniques, a systematic
review with meta-analysis was performed. We searched Cochrane Central Register of
Controlled Trials, MEDLINE, EMBASE, CINAHL, AMED, PsychINFO, Physiotherapy
Evidence Database, and OT-seeker up to August 2014 and hand-searched further
relevant resources for randomized controlled trials that studied the efficacy of
movement representation techniques in reduction of limb pain. The outcomes of
interest were pain, disability, and quality of life. Study selection and data
extraction were performed by 2 reviewers independently. We included 15 trials on
the effects of mirror therapy, (graded) motor imagery, and action observation in
patients with complex regional pain syndrome, phantom limb pain, poststroke pain,
and nonpathological (acute) pain. Overall, movement representation techniques
were found to be effective in reduction of pain (standardized mean difference
[SMD] = -.82, 95% confidence interval [CI], -1.32 to -.31, P = .001) and
disability (SMD = .72, 95% CI, .22-1.22, P = .004) and showed a positive but
nonsignificant effect on quality of life (SMD = 2.61, 85% CI, -3.32 to 8.54, P =
.39). Especially mirror therapy and graded motor imagery should be considered for
the treatment of patients with complex regional pain syndrome. Furthermore, the
results indicate that motor imagery could be considered as a potential effective
treatment in patients with acute pain after trauma and surgery. To date, there is
no evidence for a pain reducing effect of movement representation techniques in
patients with phantom limb pain and poststroke pain other than complex regional
pain syndrome. PERSPECTIVE: In this systematic review we synthesize the evidence
for the efficacy of movement representation techniques (ie, motor imagery, mirror
therapy, or action observation) for treatment of limb pain. Our findings suggest
effective pain reduction in some types of limb pain. Further research should
address specific questions on the optimal type and dose of therapy.
PMID- 26552502
TI - Effects of Personal Exposure to Ambient Fine Particulate Matter on Acute Change
in Nocturnal Heart Rate Variability in Subjects Without Overt Heart Disease.
AB - The immediate effect within minutes to hours of personal exposure to ambient fine
particulate matter (PM2.5) on cardiac autonomic function is limited, particularly
at night. Our study aimed to assess the lagged association between personal
exposure to PM2.5 and nocturnal heart rate variability. Repeated measures panel
study among 21 community adults recruited from a local health clinic during the
period of March 1, 2004, to August 31, 2004, in Boston, Massachusetts, in the
United States. Ambulatory electrocardiogram and continuous monitoring of personal
exposure to PM2.5 and were measured for up to 2 consecutive days. We calculated 5
minute time-specific average PM2.5 exposure for each participant. Mixed-effects
models were fit for 5-minute SD of normal-to-normal intervals (SDNN) and 5-minute
heart rate in relation to 5-minute PM2.5 exposure lagged in 5-minute intervals up
to 4 hours. We found an 8.4% decrease in nocturnal SDNN (95% confidence interval
[CI] -11.3% to -5.5%) and a 1.9% increase in nighttime heart rate (95% CI 1.1% to
2.7%) for an interquartile range increase in PM2.5 (13.6 MUg/m(3)), after
adjusting for confounders. Significant decreases in nocturnal SDNN associated
with PM2.5 exposure occurred within 2.5 hours. The largest decrease in nocturnal
SDNN of -12.8% (95% CI -16.4 to -9.1%) that was associated with PM2.5 exposure
was found with a lag of 25 minutes. Rapid changes in nocturnal heart rate
variability associated with personal PM2.5 exposure occurred within the previous
2.5 hours, with the largest effects at 25 minutes, suggesting immediate cardiac
autonomic effects of fine particulate exposure.
PMID- 26552503
TI - Comparison of Ticagrelor Versus Thienopyridine Loading Effect on Fractional Flow
Reserve in Patients With Coronary Artery Disease.
AB - Ticagrelor loading dose (LD) increases adenosine plasma levels, which might
interfere with fractional flow reserve (FFR) assessment because the latter is
based on adenosine-induced hyperemia. In a prospective study, consecutive
patients who underwent coronary angiography with at least 1 de novo stenosis >50%
and <90% in severity amenable to intervention underwent FFR assessment using
intravenous adenosine 140 MUg/kg/min for 3 minutes. Patients were subsequently
randomized to either ticagrelor 180 mg (n = 38) or control thienopyridine (n =
38) (prasugrel 60 mg [n = 28] or clopidogrel 600 mg [n = 10]), followed by a
second FFR assessment of the target lesion 2 hours after drug. Pre-drug, steady
hyperemia FFR (sFFR, median, first to third quartiles) was 0.82 (0.75 to 0.88)
and 0.81 (0.75 to 0.88), p = 0.9, whereas post-drug, 0.82 (0.72 to 0.87) and 0.79
(0.73 to 0.86), p = 0.5, in thienopyridine and ticagrelor-treated patients,
respectively. The primary end point of percent relative change in sFFR between
pre- and post-drug periods was greater in ticagrelor- than thienopyridine-treated
patients, -1.24 (-5.54 to 0.0) versus -0.51 (-3.68 to 3.21), p = 0.03,
respectively. Absolute change in sFFR between pre- and post-drug periods was
marginally higher in ticagrelor- than thienopyridine-treated patients -0.01 (
0.04 to 0.0) versus -0.005 (-0.03 to 0.02), p = 0.048, respectively.
Reclassification of treatment decision at the sFFR <= 0.80 cutoff post-drug
occurred in 6 (15.8%) versus 5 (13.2%) of ticagrelor- and thienopyridine-treated
patients, respectively. In conclusion, after ticagrelor LD, an absolute and
relative reduction in sFFR compared with thienopyridine LD is observed.
Administration of ticagrelor should be considered as a potential source, albeit
minor, of FFR variability.
PMID- 26552504
TI - Racial Differences in Quality of Anticoagulation Therapy for Atrial Fibrillation
(from the TREAT-AF Study).
AB - The influence of race on quality of anticoagulation control is not well
described. We examined the association between race, international normalized
ratio (INR) monitoring intensity, and INR control in warfarin-treated patients
with atrial fibrillation (AF). Using data from the Veterans Health Administration
(VHA), we performed a retrospective cohort study of 184,161 patients with a new
diagnosis of AF/flutter from 2004 to 2012 who received any VHA prescription
within 90 days of diagnosis. The primary predictor was race, ascertained from
multiple VHA and linked Medicare demographic files. The primary outcome was first
year and long-term time in therapeutic range (TTR) of INR 2.0 to 3.0. Secondary
outcomes were INR monitoring intensity and warfarin persistence. Of the 116,021
patients who received warfarin in the cohort, INR monitoring intensity was
similar across racial groups. However, TTR was lowest in blacks and highest in
whites (first year 0.49 +/- 0.23 vs 0.57 +/- 0.21, p <0.001; long term 0.52 +/-
0.20 vs 0.59 +/- 0.18, p <0.001); 64% of whites and 49% of blacks had long-term
TTR >55% (p <0.001). After adjusting for site and patient-level covariates, black
race was associated with lower first-year and long-term TTRs (4.2% and 4.1% below
the conditional mean, relative to whites; p <0.0001 for both). One-year warfarin
persistence was slightly lower in blacks compared to whites (58% vs 60%, p
<0.0001). In conclusion, in patients with AF anticoagulated with warfarin,
differences in INR control are most evident among blacks, underscoring the need
to determine if other types of intensive management or warfarin alternatives may
be necessary to improve anticoagulation among vulnerable AF populations.
PMID- 26552505
TI - Diagnostic Performance of Cardiac Magnetic Resonance Imaging and Echocardiography
in Evaluation of Cardiac and Paracardiac Masses.
AB - Echocardiography is the preferred initial imaging method for assessment of
cardiac masses. Cardiac magnetic resonance (CMR) imaging, with its excellent
tissue characterization and wide field of view, may provide additional unique
information. We evaluated the predictive value of echocardiography and CMR
imaging parameters to identify tumors and malignancy and to provide
histopathologic diagnosis of cardiac masses. Fifty patients who underwent CMR
evaluation of a cardiac mass with subsequent histopathologic diagnosis were
identified. Echocardiography was available in 44 of 50 cases (88%).
Echocardiographic and CMR characteristics were evaluated for predictive value in
distinguishing tumor versus nontumor and malignant versus nonmalignant lesions
using histopathology as the gold standard. The Wilcoxon rank-sum test was used to
compare the 2 imaging methods' ability to provide the correct histopathologic
diagnosis. Parameters associated with tumor included location outside the right
atrium, T2 hyperintensity, and contrast enhancement. Parameters associated with
malignancy included location outside the cardiac chambers, nonmobility,
pericardial effusion, myocardial invasion, and contrast enhancement. CMR
identified 6 masses missed on transthoracic echocardiography (4 of which were
outside the heart) and provided significantly more correct histopathologic
diagnoses compared to echocardiography (77% vs 43%, p <0.0001). In conclusion,
CMR offers the advantage of identifying paracardiac masses and providing crucial
information on histopathology of cardiac masses.
PMID- 26552506
TI - Cardiovascular Risk Assessment and Management in Prerenal Transplantation
Candidates.
AB - Cardiovascular (CV) assessment in prerenal transplant patients varies by center.
Current guidelines recommend stress testing for candidates if >= 3 CV risk
factors exist. We evaluated the CV assessment and management in 685 patients
referred for kidney transplant over a 7-year period. All patients had CV risk
factors, and the most common cause of end-stage renal disease was diabetes.
Thirty-three percent (n = 229) underwent coronary angiography. The sensitivity of
stress testing to detect obstructive coronary artery disease (CAD) was poor
(0.26). Patients who had no CAD, nonobstructive CAD, or CAD with intervention had
significantly higher event-free survival compared with patients with obstructive
CAD without intervention. There were no adverse clinical events (death,
myocardial infarction, stroke, revascularization, and graft failure) within 30
days post-transplant in patients who had preoperative angiography (n = 77). Of
the transplanted patients who did not have an angiogram (n = 289), there were 8
clinical events (6 myocardial infarctions) in the first 30 days. In conclusion,
our results indicate that stress testing and usual risk factors were poor
predictors of obstructive CAD and that revascularization may prove beneficial in
these patients.
PMID- 26552508
TI - Cardiac Complications After Community-Acquired Pneumonia.
PMID- 26552507
TI - Comparison of Efficacy and Safety of Left Atrial Appendage Occlusion in Patients
Aged <75 to >= 75 Years.
AB - Left atrial appendage occlusion (LAAO) is emerging as a promising alternative to
oral anticoagulation. Because aged patients present a greater risk of not only
cardioembolic events but also major bleeding, LAAO might represent a valid
alternative as this would allow oral anticoagulation cessation while keeping
cardioembolic protection. The objective of the study was to explore the safety
and efficacy of LAAO in elderly patients. Data from the AMPLATZER Cardiac Plug
multicenter registry were analyzed. The cohort was categorized in 2 groups (<75
vs >= 75 years). A total of 1,053 subjects were included in the registry. Of
them, 219 were excluded because of combined procedures. As a result, 828 subjects
were included (54.6% >= 75 years). Procedural success was high and similar in
both groups (97.3%). Acute procedural major adverse events were not statistically
different among groups (3.2% in <75 years vs 5.1%; p = 0.17) although stratified
analysis showed a higher incidence of cardiac tamponade in elderly patients (0.5%
vs 2.2%; p = 0.04). With a median follow-up of 16.8 months, no significant
differences in stroke/TIA (1.9% vs 2.3%; p = 0.89) and major bleeding (1.7% vs
2.6%; p = 0.54) were observed. In conclusion, LAAO was associated with similar
procedural success in patients aged <75 and >= 75 years although older patients
had a higher incidence of cardiac tamponade. At follow-up, stroke and major
bleeding rates were similar among groups.
PMID- 26552509
TI - Cost-Effectiveness of Dabigatran (150 mg Twice Daily) and Warfarin in Patients >=
65 Years With Nonvalvular Atrial Fibrillation.
AB - Dabigatran has been shown to be superior to warfarin for stroke prevention in
nonvalvular atrial fibrillation (NVAF) but with higher out-of-pocket costs for
patients. Although dabigatran has been shown to be cost effective from a societal
perspective, cost implications for individual patients and insurers are not well
described. We aimed to assess cost perspectives of each payer (Medicare and
patient) in relation to administration, monitoring, and adverse outcomes for
dabigatran and warfarin in patients with and without prescription drug coverage.
Using a Markov model, we performed a decision analysis comparing 2 treatment
strategies (dose-adjusted warfarin and dabigatran 150 mg twice daily) in patients
65 years old with NVAF, CHADS2 scores >= 1, and Medicare insurance. Patients have
a quality-adjusted life expectancy of 8.998 quality-adjusted life years with
warfarin and 9.39 quality-adjusted life years with dabigatran 150 mg twice daily.
From Medicare's perspective, the incremental cost-effectiveness ratio comparing
dabigatran with warfarin was $35,311 for patients with Part D coverage and cost
saving for patients without coverage. From the patient's perspective, the
incremental cost-effectiveness ratio comparing dabigatran with warfarin was cost
saving for patients with Part D coverage and $63,884 for those without coverage.
In patients >= 65 years with NVAF and prescription insurance coverage, dabigatran
150 mg twice daily is both cost effective (Medicare's perspective) and cost
saving (patient perspective) compared with warfarin, at a willingness-to-pay
threshold of $100,000. However, patients without prescription drug coverage have
a high out-of-pocket cost burden with dabigatran therapy, leading to a reduction
in its cost-effectiveness compared with warfarin therapy. In conclusion, this
Markov model suggests that Medicare Part D coverage influences the cost
effectiveness of dabigatran 150 mg daily compared with dose-adjusted warfarin
from multiple payer perspectives.
PMID- 26552510
TI - Cost comparison of Transcatheter and Operative Pulmonary Valve Replacement (from
the Pediatric Health Information Systems Database).
AB - Outcomes for transcatheter pulmonary valve replacement (TC-PVR) and operative
pulmonary valve replacement (S-PVR) are excellent. Thus, their respective cost is
a relevant clinical outcome. We performed a retrospective cohort study of
children and adults who underwent PVR at age >= 8 years from January 1, 2011, to
December 31, 2013, at 35 centers contributing data to the Pediatric Health
Information Systems database to address this question. A propensity score
adjusted multivariable analysis was performed to adjust for known confounders.
Secondary analyses of department-level charges, risk of re-admission, and
associated costs were performed. A total of 2,108 PVR procedures were performed
in 2,096 subjects (14% transcatheter and 86% operative). The observed cost of S
PVR and TC-PVR was not significantly different (2013US $50,030 vs 2013US $51,297;
p = 0.85). In multivariate analysis, total costs of S-PVR and TC-PVR were not
significantly different (p = 0.52). Length of stay was shorter after TC-PVR (p
<0.0001). Clinical and supply charges were greater for TC-PVR (p <0.0001),
whereas laboratory, pharmacy, and other charges (all p <0.0001) were greater for
S-PVR. Risks of both 7- and 30-day readmission were not significantly different.
In conclusion, short-term costs of TC-PVR and S-PVR are not significantly
different after adjustment.
PMID- 26552511
TI - Usefulness of the Electrocardiographic P-Wave Axis as a Predictor of Atrial
Fibrillation.
AB - The association between abnormal electrocardiographic P-wave axis with atrial
fibrillation (AF) has not been systematically studied in community-based
populations. We examined the association between abnormal P-wave axis and AF in
4,274 participants (41% men and 95% white) from the Cardiovascular Health Study.
Axis values between 0 degrees and 75 degrees were considered normal. AF cases
were identified from study electrocardiograms and from hospitalization discharge
data. During a median follow-up of 12.1 years, a total of 1,274 participants
(30%) developed AF. The incidence rate of AF was 26 cases per 1,000 person-years
for those with abnormal P-wave axis and 24 cases per 1,000 person-years for
subjects with normal P-wave axis. Abnormal P-wave axis was associated with a 17%
increased risk of AF (95% confidence interval 1.03 to 1.33) after adjustment for
age, gender, race, education, income, smoking, diabetes, coronary heart disease,
stroke, heart failure, heart rate, systolic blood pressure, body mass index,
total cholesterol, high-density lipoprotein cholesterol, antihypertensive
medications, aspirin, and statins. The results were consistent in subgroup
analyses stratified by age, gender, and race. In conclusion, abnormal P-wave
axis, a routinely reported electrocardiographic measurement, is associated with
an increased risk of AF. This finding suggests a potential role for P-wave axis
in AF risk assessment.
PMID- 26552512
TI - Prognosis of Low-Risk Young Women Presenting to the Emergency Department With
Chest Pain.
AB - Identification of patients at low risk presenting to the emergency department
with chest pain is a continuing challenge. We examined a cohort of low-risk women
with negative cardiac injury markers, electrocardiogram with normal results, and
clinical stability. We hypothesized that these patients can be safely and
accurately managed in a chest pain unit (CPU), may not require predischarge
cardiac testing, and have an excellent short-term prognosis. The primary end
point was major cardiovascular events during index admission or follow-up. Mean
age of the 403 women was 42 +/- 4.3 years (30 to 50 years). No patient had a
cardiovascular event in the CPU, and none of the 321 patients followed for 6
months had a late cardiovascular event. Most (211, 52%) did not receive
predischarge cardiac testing. The remaining 192 patients (48%) had predischarge
exercise treadmill test, stress imaging, or cardiac catheterization. Of those
patients who underwent treadmill testing, almost 90% had no exercise-induced
chest pain and approximately 50% had functional capacity 8 to 14 METs. In
addition, 166 patients (41%) were discharged from the CPU after <2 hours and 21%
(n = 86) within 2 to 8 hours. In conclusion, this group of low-risk women was
safely and accurately managed in the CPU and discharged promptly. There were no
cardiac events on index admission or 6-month follow-up, and in most patients,
predischarge cardiac testing was unnecessary.
PMID- 26552513
TI - Group Beating in a Woman With a DDD Pacemaker.
PMID- 26552514
TI - A Cold Limit to Adaptation in the Sea.
AB - Temperature affects biological functions by altering reaction rates.
Physiological rates usually double to treble for every 10 degrees C rise, and 1
4 fold encompasses normal biological functions. However, in polar marine species
inhabiting temperatures around 0 degrees C many processes are slowed beyond the
Arrhenius relationships for warmer water species. Growth, embryonic development,
Specific dynamic action (SDA) duration, and time to acclimate to altered
temperature, are all 5-12 fold slower in species living near 0 degrees C than at
10 degrees C. This cold marine physiological transition to slower states is
absent, however, in oxygen consumption and SDA factorial scope; processes where
capacity is related to aerobic scope. My opinion is that processes involving
significant protein modification are impacted, and protein synthesis or folding
problems cause the slowing of rates beyond expected temperature effects.
PMID- 26552515
TI - Leadership in Mammalian Societies: Emergence, Distribution, Power, and Payoff.
AB - Leadership is an active area of research in both the biological and social
sciences. This review provides a transdisciplinary synthesis of biological and
social-science views of leadership from an evolutionary perspective, and examines
patterns of leadership in a set of small-scale human and non-human mammalian
societies. We review empirical and theoretical work on leadership in four
domains: movement, food acquisition, within-group conflict mediation, and between
group interactions. We categorize patterns of variation in leadership in five
dimensions: distribution (across individuals), emergence (achieved versus
inherited), power, relative payoff to leadership, and generality (across
domains). We find that human leadership exhibits commonalities with and
differences from the broader mammalian pattern, raising interesting theoretical
and empirical issues.
PMID- 26552516
TI - Measurement of human CYP1A2 induction by inhalation exposure to benzo(a)pyrene
based on in vivo isotope breath method.
AB - Cytochrome P450 1A2 (CYP1A2) is an enzyme involved in the metabolic activation of
certain carcinogens, and inducible by toxic substrates. To date, few studies have
investigated in vivo CYP1A2 induction in humans and its relationship to polycylic
aromatic hydrocarbons (PAHs) like benzo(a)pyrene (BaP). Non-smoking healthy male
coke-oven workers (n = 30) were recruited as 'exposure' group, and non-smoking
healthy office workers in the same city (n = 10) were selected as 'control'
group, to test whether high inhalation exposure to PAHs can induce CYP1A2
activity in human livers. Significantly higher inhalation exposure of PAHs were
found among the exposure group compared to the control. Inhalation BaP exposure
concentration in the exposure group was more than 30 times higher than the
control group (p < 0.001). However, the exposure group did not exhale significant
higher levels of (13)CO2/(12)CO2 in breath samples (p = 0.81), and no significant
relationship was found between the inhaled BaP concentration and the
(13)CO2/(12)CO2 ratio (p = 0.91). A significant association was found between the
(13)CO2/(12)CO2 exhalation and dietary BaP intake level. Hepatic CYP1A2
activity/induction level was not effected by inhaled BaP but was altered by
ingestion of BaP.
PMID- 26552517
TI - Reliable low-cost devices for monitoring ammonia concentrations and emissions in
naturally ventilated dairy barns.
AB - This research investigated the use of two relatively cost-effective devices for
determining NH3 concentrations in naturally ventilated (NV) dairy barns including
an Ogawa passive sampler (Ogawa) and a passive flux sampler (PFS). These samplers
were deployed adjacent to sampling ports of a photoacoustic infrared multigas
spectroscope (INNOVA), in a NV dairy barn. A 3-day deployment period was deemed
suitable for both passive samplers. The correlations between concentrations
determined with the passive samplers and the INNOVA were statistically
significant (r = 0.93 for Ogawa and 0.88 for PFS). Compared with reference
measurements, Ogawa overestimated NH3 concentrations in the barn by ~ 14%, while
PFS underestimated NH3 concentrations by ~ 41%. Barn NH3 emission factors per
animal unit (20.6-21.2 g d(-1) AU(-1)) based on the two passive samplers, after
calibration, were similar to those obtained with the reference method and were
within the range of values reported in literature.
PMID- 26552518
TI - The impacts of surface ozone pollution on winter wheat productivity in China--An
econometric approach.
AB - The impact of surface ozone pollution on winter wheat yield is empirically
estimated by considering socio-economic and weather determinants. This research
is the first to use an economic framework to estimate the ozone impact, and a
unique county-level panel is employed to examine the impact of the increasing
surface ozone concentration on the productivity of winter wheat in China. In
general, the increment of surface ozone concentration during the ozone-sensitive
period of winter wheat is determined to be harmful to its yield, and a
conservative reduction of ozone pollution could significantly increase China's
wheat supply.
PMID- 26552519
TI - Effects of conventional and biodegradable microplastics on a marine ecosystem
engineer (Arenicola marina) and sediment nutrient cycling.
AB - Effects of microplastic pollution on benthic organisms and ecosystem services
provided by sedimentary habitats are largely unknown. An outdoor mesocosm
experiment was done to realistically assess the effects of three different types
of microplastic pollution (one biodegradable type; polylactic acid and two
conventional types; polyethylene and polyvinylchloride) at increasing
concentrations (0.02, 0.2 and 2% of wet sediment weight) on the health and
biological activity of lugworms, Arenicola marina (Linnaeus, 1758), and on
nitrogen cycling and primary productivity of the sediment they inhabit. After 31
days, A. marina produced less casts in sediments containing microplastics.
Metabolic rates of A. marina increased, while microalgal biomass decreased at
high concentrations, compared to sediments with low concentrations or without
microplastics. Responses were strongest to polyvinylchloride, emphasising that
different materials may have differential effects. Each material needs to be
carefully evaluated in order to assess their risks as microplastic pollution.
Overall, both conventional and biodegradable microplastics in sandy sediments can
affect the health and behaviour of lugworms and directly or indirectly reduce
primary productivity of these habitats.
PMID- 26552520
TI - Impacts of explosive compounds on vegetation: A need for community scale
investigations.
AB - Explosive compounds are distributed heterogeneously across the globe as a result
of over a century of human industrial and military activity. RDX (hexahydro-1,3,5
trinitro-1,3,5-triazine) and TNT (2-methyl-1,3,5-trinitrobenzene) are the most
common and most abundant explosives in the environment. Vegetation exhibits
numerous physiological and morphological stress responses in the presence of RDX
and TNT. Varied stress responses act as physiological filters that facilitate the
proliferation of tolerant species and the extirpation of intolerant species.
Contaminants alter community composition as they differentially impact plants at
each life stage (i.e. germination, juvenile, adult), subsequently modifying
larger scale ecosystem processes. This review summarizes the current explosives
vegetation literature, focusing on RDX and TNT as these are well documented in
the literature, linking our current understanding to ecological theory. A
conceptual framework is provided that will aid future efforts in predicting plant
community response to residual explosive compounds.
PMID- 26552521
TI - Determination of moderately polar arsenolipids and mercury speciation in
freshwater fish of the River Elbe (Saxony, Germany).
AB - Arsenic and mercury are frequent contaminants in the environment and care must be
taken to limit their entrance into the food chain. The toxicity of both elements
strongly depends upon their speciation. Total amounts of As and Hg as well as
their species were analyzed in muscle and liver of 26 fishes of seven freshwater
fish species caught in the River Elbe. The median concentrations of As were 162
MUg kg(-1) w.w. in liver and 92 MUg kg(-1) w.w. in muscle. The median
concentrations of total Hg were 241 MUg kg(-1) w.w. in liver and 256 MUg kg(-1)
w.w. in muscle. While this level of Hg contamination of the freshwater fish in
the River Elbe is significantly lower than 20 years ago, it exceeds the
recommended environmental quality standard of 20 MUg Hg kg(-1) w.w. by a factor
of 5-50. However, the European maximum level of 500 MUg Hg kg(-1) for fish for
human consumption is rarely exceeded. Arsenic-containing fatty acids and
hydrocarbons were determined and partially identified in methanolic extracts of
the fish by HPLC coupled in parallel to ICP-MS (element specific detection) and
ESI-Q-TOF-MS (molecular structure detection). While arsenobetaine was the
dominant As species in the fish, six arsenolipids were detected and identified in
the extracts of liver tissue in common bream (Abramis brama), ide (Leuciscus
idus), asp (Aspius aspius) and northern pike (Esox lucius). Four arsenic
containing fatty acids (AsFA) and two arsenic-containing hydrocarbons (AsHC) are
reported in freshwater fish for the first time. With respect to mercury the more
toxic MeHg(+) was the major species in muscle tissue (>90% of total Hg) while in
liver Hg(2+) and MeHg(+) were of equal importance. The results show the high
relevance of element speciation in addition to the determination of total element
concentrations to correctly assess the burden of these two elements in fish.
PMID- 26552522
TI - Targeting neurotrophic factors and their receptors, but not cholinesterase or
neurotransmitter, in the neurotoxicity of TDCPP in Chinese rare minnow adults
(Gobiocypris rarus).
AB - Organophosphate flame retardants (OPFRs) have been detected at high
concentrations in various environmental and biotic samples, but little is known
about their toxicity. In this study, the potential neurotoxicity of three OPFRs
(TCEP, TDCPP, and TPP) and Chlorpyrifos (CPF, an organophosphate pesticide) were
compared in Chinese rare minnow using an acute toxicity test and a 21-day fish
assay. The acute test demonstrated significant inhibition of acetylcholinesterase
(AChE) and butyrylcholinesterase (BChE) by CPF. Although significant AChE
inhibition at high concentration of TPP was also observed, none of the OPFRs had
effects similar to CPF on these enzymes, indicating that their acute toxicities
to Chinese rare minnow may be unrelated to cholinesterase inhibition. In
addition, the 21-day fish assay with TDCPP demonstrated no significant effects on
cholinesterase activities or neurotransmitter levels. Nonetheless, this OPFR
exhibited widespread effects on the neurotrophic factors and their receptors
(e.g., ntf3, ntrk1, ntrk2, ngfr, and fgf2, fgf11, fgf22, fgfr4), indicating that
TDCPP or other OPFRs may elicit neurological effects by targeting neurotrophic
factors and their receptors in Chinese rare minnow.
PMID- 26552523
TI - Size evolution of ultrafine particles: Differential signatures of normal and
episodic events.
AB - The effect of fireworks on the aerosol number characteristics of atmosphere was
studied for an urban mega city. Measurements were made at 50 m height to assess
the local changes around the festival days. Apart from the increase in total
number concentration and characteristic accumulation mode, short-term increase of
ultrafine particle concentration was noted. Total number concentration varies an
order of magnitude during the measurement period in which peak occurs at a
frequency of approximately one per day. On integral scale, it seems not possible
to distinguish an episodic (e.g. firework bursting induced aerosol emission) and
a normal (ambient atmospheric changes) event. However these events could be
differentiated on the basis of size evolution analysis around number
concentration peaks. The results are discussed relative to past studies and
inferences are drawn towards aerosol signatures of firework bursting. The short
term burst in ultrafine particle concentration can pose an inhalation hazard.
PMID- 26552524
TI - Assessment of polycyclic aromatic hydrocarbons in indoor and outdoor air of
preschool environments (3-5 years old children).
AB - This work characterizes levels of polycyclic aromatic hydrocarbons (PAHs) in
indoor and outdoor air of preschool environments, and assesses the respective
risks for 3-5-years old children. Eighteen gaseous and particulate (PM1 and
PM2.5) PAHs were collected indoors and outdoors during 63 days at preschools in
Portugal. Gaseous PAHs accounted for 94-98% of total concentration (SigmaPAHs).
PAHs with 5-6 rings were predominantly found in PM1 (54-74% particulate
SigmaPAHs). Lighter PAHs originated mainly from indoor sources whereas congeners
with 4-6 rings resulted mostly from outdoor emissions penetration (motor vehicle,
fuel burning). Total cancer risks of children were negligible according to USEPA,
but exceeded (8-13 times) WHO health-based guideline. Carcinogenic risks due to
indoor exposure were higher than for outdoors (4-18 times).
PMID- 26552525
TI - Effect of chemical stress and ultraviolet radiation in the bacterial communities
of zebrafish embryos.
AB - This study aimed to assess the effect of ultraviolet radiation (UVR) and chemical
stress (triclosan-TCS; potassium dichromate-PD; prochloraz-PCZ) on bacterial
communities of zebrafish (Danio rerio) embryos (ZEBC). Embryos were exposed to
two UVR intensities and two chemical concentrations not causing mortality or any
developmental effect (equivalent to the No-Observed-Effect Concentration-NOEC;
NOEC diluted by 10-NOEC/10). Effects on ZEBC were evaluated using denaturing
gradient gel electrophoresis (DGGE) and interpreted considering structure,
richness and diversity. ZEBC were affected by both stressors even at
concentrations/doses not affecting the host-organism (survival/development). Yet,
some stress-tolerant bacterial groups were revealed. The structure of the ZEBC
was always affected, mainly due to xenobiotic presence. Richness and diversity
decreased after exposure to NOEC of PD. Interactive effects occurred for TCS and
UVR. Aquatic microbiota imbalance might have repercussions for the host/aquatic
system, particularly in a realistic scenario/climate change perspective
therefore, future ecotoxicological models should consider xenobiotics
interactions with UVR.
PMID- 26552526
TI - Physicochemical factors affecting the spatial variance of monomethylmercury in
artificial reservoirs.
AB - The aim of this study was to identify how hydrologic factors (e.g., rainfall,
maximum depth, reservoir and catchment area, and water residence time) and water
chemistry factors (e.g., conductivity, pH, suspended particulate matter,
chlorophyll-a, dissolved organic carbon, and sulfate) interact to affect the
spatial variance in monomethylmercury (MMHg) concentration in nine artificial
reservoirs. We hypothesized that the MMHg concentration of reservoir water would
be higher in eutrophic than in oligotrophic reservoirs because increased
dissolved organic matter and sulfate in eutrophic reservoirs can promote in situ
production of MMHg. Multiple tools, including Pearson correlation, a self
organizing map, and principal component analysis, were applied in the statistical
modeling of Hg species. The results showed that rainfall amount and hydraulic
residence time best explained the variance of dissolved Hg and dissolved MMHg in
reservoir water. High precipitation events and residence time may mobilize Hg and
MMHg in the catchment and reservoir sediment, respectively. On the contrary,
algal biomass was a key predictor of the variance of the percentage fraction of
unfiltered MMHg over unfiltered Hg (%MMHg). The creation of suboxic conditions
and the supply of sulfate subsequent to the algal decomposition seemed to support
enhanced %MMHg in the bloom reservoirs. Thus, the nutrient supply should be
carefully managed to limit increases in the %MMHg/Hg of temperate reservoirs.
PMID- 26552527
TI - Seasonal and spatial variations of PPCP occurrence, removal and mass loading in
three wastewater treatment plants located in different urbanization areas in
Xiamen, China.
AB - The occurrence and fate of 48 pharmaceuticals and personal care products (PPCPs)
in three wastewater treatment plants (WWTPs) located in different urbanization
areas in Xiamen, China was investigated over one year. Results showed that PPCPs
were widely detected, but the major PPCPs in the influent, effluent, and sludge
were different. Spatial and seasonal variations of PPCP levels in the influent
and sludge were observed. The removal efficiencies for most PPCPs were similar
among the three WWTPs, although they employed different biological treatment
processes. Furthermore, the mass loadings per inhabitant of most pharmaceuticals
had a positive correlation with the urbanization levels, indicating that most
pharmaceutical usage was higher in the urban core compared to the suburban zones.
The total mass loadings of all the 48 PPCPs in the effluent and waste sludge
showed close proportions, which suggested the importance of proper waste sludge
disposal to prevent a large quantity of PPCPs from entering the environment.
PMID- 26552528
TI - Short-term exposure to benzo[a]pyrene causes oxidative damage and affects
haemolymph steroid levels in female crab Portunus trituberculatus.
AB - Concern has increased regarding the adverse effects of polycyclic aromatic
hydrocarbons (PAHs) on reproduction. However, limited information is available on
the effects of PAHs in crustacean. In order to determine whether benzo[a]pyrene
(B[a]P) could cause reproductive toxicity on the swimming crab Portunus
trituberculatus, sexually mature female crabs were exposed to environmentally
relevant concentrations of B[a]P (0, 0.1, 0.5 and 2.5 MUg/L) for 10 days. B[a]P
treatments resulted in high accumulation in ovary, and induced oxidative stress
in a dose-dependent manner on ovary of crab. Furthermore, the haemolymph
estradiol (E2) and testosterone (T) levels were significantly decreased.
Histological investigation also revealed the reproductive toxicity caused by
B[a]P. The results demonstrated that waterborne exposure to B[a]P caused
oxidative damage and disrupted sex steroids in female crab P. trituberculatus,
ultimately resulting in histological alternation.
PMID- 26552529
TI - Reproduction impairment and endocrine disruption in female zebrafish after long
term exposure to MC-LR: A life cycle assessment.
AB - Microcystin-LR (MC-LR) has been found to cause reproductive and developmental
impairments as well as to disrupt sex hormone homeostasis of fish during acute
and sub-chronic toxic experiments. However, fish in natural environments are
continuously exposed to MC-LR throughout their entire life cycle as opposed to
short-term exposure. Here, we tested the hypothesis that the mechanism by which
MC-LR harms female fish reproduction and development within natural water bodies
is through interference of the reproductive endocrine system. In the present
study, zebrafish hatchlings (5 d post-fertilization) were exposed to 0, 0.3, 3
and 30 MUg/L MC-LR for 90 d until reaching sexual maturity. Female zebrafish were
selected, and the changes in growth and developmental indicators, ovarian
ultrastructure as well as the levels of gonadal steroid hormones and vitellogenin
(VTG) were examined along with the transcription of related genes in the
hypothalamic-pituitary-gonadal-liver axis (HPGL-axis). The results showed for the
first time, a life cycle exposure to MC-LR caused growth inhibition, decreased
ovary weight and ovarian ultra-pathological lesions. Decreased ovarian
testosterone levels indicated that MC-LR disrupted sex steroid hormone balance.
Significantly up-regulated transcription of brain FSHbeta and LHbeta along with
ovarian ERalpha, FSHR and LHR suggested positive feedback regulation in the HPGL
axis was induced as a compensatory mechanism for MC-LR damage. It was also noted
that ovarian VTG content and hepatic ERalpha and VTG1 expression were all down
regulated, which might be responsible for reduced vitellus storage noted in our
histological observations. Our findings indicate that a life cycle exposure to MC
LR impairs the development and reproduction of female zebrafish by disrupting the
transcription of related HPGL-axis genes, suggesting that MC-LR has potential
adverse effects on fish reproduction and thus population dynamics in MCs
contaminated aquatic environment.
PMID- 26552530
TI - An evaluation of the toxicity and bioaccumulation of bismuth in the coastal
environment using three species of macroalga.
AB - Bismuth is a heavy metal whose biogeochemical behaviour in the marine environment
is poorly defined. In this study, we exposed three different species of macroalga
(the chlorophyte, Ulva lactuca, the phaeophyte, Fucus vesiculosus, and the
rhodophyte, Chondrus crispus) to different concentrations of Bi (up to 50 MUg L(
1)) under controlled, laboratory conditions. After a period of 48-h, the
phytotoxicity of Bi was measured in terms of chlorophyll fluorescence quenching,
and adsorption and internalisation of Bi determined by ICP after EDTA extraction
and acid digestion, respectively. For all algae, both the internalisation and
total accumulation of Bi were proportional to the concentration of aqueous metal.
Total accumulation followed the order: F. vesiculosus > C. crispus > U. lactuca;
with respective accumulation factors of about 4200, 1700 and 600 L kg(-1).
Greatest internalisation (about 33% of total accumulated Bi) was exhibited by C.
crispus, the only macroalga to display a phytotoxic response in the exposures. A
comparison of the present results with those reported in the literature suggests
that Bi accumulation by macroalgae is significantly lower than its accumulation
by marine plankton (volume concentration factors of 10(5) to 10(7)), and that the
phytotoxicity of Bi is low relative to other heavy metals like Ag and Tl.
PMID- 26552531
TI - Effects of soil texture and drought stress on the uptake of antibiotics and the
internalization of Salmonella in lettuce following wastewater irrigation.
AB - Treated wastewater is expected to be increasingly used as an alternative source
of irrigation water in areas facing fresh water scarcity. Understanding the
behaviors of contaminants from wastewater in soil and plants following irrigation
is critical to assess and manage the risks associated with wastewater irrigation.
The objective of this study was to evaluate the effects of soil texture and
drought stress on the uptake of antibiotics and the internalization of human
pathogens into lettuce through root uptake following wastewater irrigation.
Lettuce grown in three soils with variability in soil texture (loam, sandy loam,
and sand) and under different levels of water stress (no drought control, mild
drought, and severe drought) were irrigated with synthetic wastewater containing
three antibiotics (sulfamethoxazole, lincomycin and oxytetracycline) and one
Salmonella strain a single time prior to harvest. Antibiotic uptake in lettuce
was compound-specific and generally low. Only sulfamethoxazole was detected in
lettuce with increasing uptake corresponding to increasing sand content in soil.
Increased drought stress resulted in increased uptake of lincomycin and decreased
uptake of oxytetracycline and sulfamethoxazole. The internalization of Salmonella
was highly dependent on the concentration of the pathogen in irrigation water.
Irrigation water containing 5 Log CFU/mL Salmonella resulted in limited incidence
of internalization. When irrigation water contained 8 Log CFU/mL Salmonella, the
internalization frequency was significantly higher in lettuce grown in sand than
in loam (p = 0.009), and was significantly higher in lettuce exposed to severe
drought than in unstressed lettuce (p = 0.049). This work demonstrated how
environmental factors affected the risk of contaminant uptake by food crops
following wastewater irrigation.
PMID- 26552533
TI - Occurrence and sources of natural and anthropogenic lipid tracers in surface
soils from arid urban areas of Saudi Arabia.
AB - Soil particles contain a variety of natural and anthropogenic organic components,
and in urban areas can be considered as local collectors of pollutants. Surface
soil samples were taken from ten urban areas in Riyadh during early winter of
2007. They were extracted with dichloromethane-methanol mixture and the extracts
were analyzed by gas chromatography-mass spectrometry. The major compounds were
unresolved complex mixture (UCM), plasticizers, n-alkanes, carbohydrates, n
alkanoic acids, hopanes, n-alkanols, and sterols. Vegetation detritus was the
major natural source of organic compounds (24.0 +/- 15.7%) in samples from areas
with less human activities and included n-alkanes, n-alkanoic acids, n-alkanols,
sterols and carbohydrates. Vehicular emission products and discarded plastics
were the major anthropogenic sources in the soil particles (53.3 +/- 21.3% and
22.7 +/- 10.7%, respectively). The anthropogenic tracers were UCM, plasticizers,
n-alkanes, hopanes and traces of steranes. Vegetation and human activities
control the occurrence and distribution of natural and anthropogenic extractable
organic matter in this arid urban area.
PMID- 26552532
TI - Phytotoxicity of wastewater-born micropollutants--Characterisation of three
antimycotics and a cationic surfactant.
AB - Sewage sludge applied to soil may be a valuable fertiliser but can also introduce
poorly degradable and highly adsorptive wastewater-born residues of
pharmaceuticals and personal care products (PPCPs) to the soil, posing a
potential risk to the receiving environment. Three azole antimycotics
(climbazole, ketoconazole and fluconazole), and one quaternary ammonium compound
(benzyldimethyldodecylammonium chloride, BDDA) that are frequently detected in
municipal sewage sludge and/or treated wastewater were therefore characterised in
their toxicity toward terrestrial (Brassica napus) and aquatic (Lemna minor)
plants. Fluconazole and climbazole showed the greatest toxicity to B. napus,
while toxicity of ketoconazole and BDDA was by one to two orders of magnitude
lower. Sludge amendment to soil at an agriculturally realistic rate of 5 t/ha
significantly reduced the bioconcentration of BDDA in B. napus shoots compared to
tests without sludge amendment, although not significantly reducing
phytotoxicity. Ketoconazole, fluconazole and BDDA proved to be very toxic to L.
minor with median effective concentrations ranging from 55.7 MUg/L to 969 MUg/L.
In aquatic as well as terrestrial plants, the investigated azoles exhibited
growth-retarding symptoms presumably related to an interference with phytohormone
synthesis as known for structurally similar fungicides used in agriculture. While
all four substances exhibited considerable phytotoxicity, the effective
concentrations were at least one order of magnitude higher than concentrations
measured in sewage sludge and effluent. Based on preliminary hazard quotients,
BDDA and climbazole appeared to be of greater environmental concern than the two
pharmaceuticals fluconazole and ketoconazole.
PMID- 26552534
TI - Assessment of biological effects of environmental pollution in Mersin Bay
(Turkey, northeastern Mediterranean Sea) using Mullus barbatus and Liza ramada as
target organisms.
AB - The increasing emphasis on the assessment and monitoring of marine ecosystems has
revealed the need to use appropriate biological indicators for these areas.
Enzyme activities and histopathology are increasingly being used as indicators of
environmental stress since they provide a definite biological end-point of
pollutant exposure. As part of an ecotoxicological assessment of Mersin Bay, EROD
enzyme activity and histopathological response in selected organs and tissues of
two species of fish, Mullus barbatus (red mullet) and Liza ramada (thinlip grey
mullet), captured from area were examined. Pollutant (Organochlorines (OC),
alkylphenols (APs) and BPA) levels and biomarker responses in tissue samples were
evaluated together for their potential to alter the metabolism and cellular
aspects in liver and gonad. Elevated induction of EROD activity and
histopathological alterations in contaminated samples from Mersin Bay was
observed compared to reference site indicating the exposure to potential
pollutants.
PMID- 26552535
TI - Fractionation of airborne particulate-bound elements in haze-fog episode and
associated health risks in a megacity of southeast China.
AB - Haze caused by high particulate matter loadings is an important environmental
issue. PM2.5 was collected in Nanjing, China, during a severe haze-fog event and
clear periods. The particulate-bound elements were chemically fractionated using
sequential extractions. The average PM2.5 concentration was 3.4 times higher
during haze-fog (96-518 MUg/m(3)) than non-haze fog periods (49-142 MUg/m(3)).
Nearly all elements showed significantly higher concentrations during haze-fog
than non-haze fog periods. Zn, As, Pb, Cd, Mo and Cu were considered to have
higher bioavailability and enrichment degree in the atmosphere. Highly
bioavailable fractions of elements were associated with high temperatures. The
integrated carcinogenic risk for two possible scenarios to individuals exposed to
metals was higher than the accepted criterion of 10(-6), whereas noncarcinogenic
risk was lower than the safe level of 1. Residents of a city burdened with haze
will incur health risks caused by exposure to airborne metals.
PMID- 26552536
TI - Feeding reduces waterborne Cu bioaccumulation in a marine rabbitfish Siganus
oramin.
AB - Waterborne metal uptake has been extensively studied and dietary metal
assimilation is increasingly recognized in fish, whilst the interaction between
the two uptake routes is largely overlooked. This study compared the waterborne
Cu bioaccumulation ((65)Cu as tracer) in a juvenile rabbitfish at different
feeding regimes (starvation (SG), feeding normal diet (NDG) or diet supplemented
with extra Cu (DCG)) to test the hypothesis that feeding can influence waterborne
metal uptake in marine fish. NDG and DCG diet was fed as a single meal and then
all fish were exposed to waterborne (65)Cu for 48 h, during which the time course
sampling was conducted to determine (65)Cu bioaccumulation, chyme flow and
dietary Cu assimilation. The results revealed that SG fish accumulated the
highest (65)Cu, followed by NDG (61% of SG), whilst DCG fish accumulated the
lowest (65)Cu (34% of SG). These results suggested a protective effect of feeding
against waterborne Cu bioaccumulation. This effect was most notable between 10
min and 16 h when there was chyme in gastrointestinal tract (GT). Dietary Cu
assimilation mainly occurred before 16 h after feeding. Waterborne (65)Cu influx
rate in the GT was positively correlated with (65)Cu contents of chyme in NDG,
whereas it was largely negatively correlated with (65)Cu contents of chyme in
DCG. The waterborne Cu uptake in the GT was mainly influenced by the chyme flow
and dietary Cu assimilation. Overall, our findings suggested that feeding has an
important effect on waterborne metal uptake and that both the feeding status of
the fish and the relative metal exposure through water and food should be
considered in prediction of the metal bioaccumulation and biomonitoring programs.
PMID- 26552537
TI - Isoprenoids emission in Stipa tenacissima L.: Photosynthetic control and the
effect of UV light.
AB - Fluxes of CO2 and isoprenoids were measured for the first time in Stipa
tenacissima L (alfa grass), a perennial tussock grass dominant in the driest
areas of Europe. In addition, we studied how those fluxes were influenced by
environmental conditions, leaf ontogeny and UV radiation and compared emission
rates in two contrasting seasons: summer when plants are mostly inactive and
autumn, the growing season in this region. Leaf ontogeny significantly affected
both photosynthesis and isoprenoids emission. Isoprene emission was positively
correlated with photosynthesis, although a low isoprene emission was detected in
brown leaves with a net carbon loss. Moreover, leaves with a significant lower
photosynthesis emitted only monoterpenes, while at higher photosynthetic rates
also isoprene was produced. Ambient UV radiation uncoupled photosynthesis and
isoprene emission. It is speculated that alfa grass represent an exception from
the general rules governing plant isoprenoid emitters.
PMID- 26552538
TI - Distributions and compositions of old and emerging flame retardants in the
rhizosphere and non-rhizosphere soil in an e-waste contaminated area of South
China.
AB - We investigated rhizosphere effects on the distributions and compositions of
polybrominated diphenyl ethers (PBDEs), novel brominated flame retardants
(NBFRs), and dechlorane plus (DPs) in rhizosphere soils (RS) and non-rhizosphere
soils (NRS) in an e-waste recycling area in South China. The concentrations of
PBDEs, NBFRs, and DPs ranged from 13.9 to 351, 11.6 to 70.8, and 0.64 to 8.74 ng
g(-1) in RS and 7.56 to 127, 8.98 to 144, and 0.38 to 8.45 ng g(-1) in NRS,
respectively. BDE-209 and DBDPE were the dominant congeners of PBDEs and NBFRs,
respectively. PBDEs, NBFRs, and DPs were more enriched in RS than NRS in most
vegetables species. Further analysis suggested that the differentiation of the
rhizosphere effect on halogenated flame retardants (HFRs) was not solely
controlled by the octanol-water coefficients. This difference was also reflected
by the correlations between total organic carbon (TOC) and PBDEs, NBFRs, or DPs,
which indicated that organic carbon was a more pivotal controlling factor for
PBDEs and DPs than for NBFRs in soil. We also found significant positive
correlations between PBDEs and their replacement products, which indicated a
similar emission pattern and environmental behaviour.
PMID- 26552539
TI - Association between air pollution and sperm quality: A systematic review and meta
analysis.
AB - Exposure to ambient air pollution has been clearly linked to adverse reproductive
outcome and fecundation index, but its effects on male semen quality are still
uncertain. In this study, we reviewed information from ten studies to get the
qualitative evidence of the influence of the ambient air pollution on sperm
quality and collected data from six of the ten studies to conduct meta-analysis.
The original studies classified participants into different exposure levels and
the highest and lowest expose levels were chosen as high expose and low expose
groups, respectively. The random-effect model was used in the meta-analysis with
the weight mean difference (WMD) as the measure indicator. The WMDs (95%
confidence intervals, CIs) of sperm volume, sperm count, semen concentration,
sperm progressive motility, total motility, and normal morphology were 0.09 (
0.04, 0.23), 0.46 (-4.47, 5.39), -8.21 (-20.38, 3.96), -7.76 (-16.26, 0.74),
7.61 (-16.97, 1.74) and -3.40 (-7.42, 0.62), respectively. In conclusion,
although the differences are not statistically significant between the two
groups, the overall trends and evidence from this review indicate the chronic
exposure to ambient pollutants at high level may alter men sperm quality.
PMID- 26552540
TI - Identification and characterization of tebuconazole transformation products in
soil by combining suspect screening and molecular typology.
AB - Pesticides generate transformation products (TPs) when they are released into the
environment. These TPs may be of ecotoxicological importance. Past studies have
demonstrated how difficult it is to predict the occurrence of pesticide TPs and
their environmental risk. The monitoring approaches mostly used in current
regulatory frameworks target only known ecotoxicologically relevant TPs. Here, we
present a novel combined approach which identifies and categorizes known and
unknown pesticide TPs in soil by combining suspect screening time-of-flight mass
spectrometry with in silico molecular typology. We used an empirical and
theoretical pesticide TP library for compound identification by both non-target
and target time-of-flight (tandem) mass spectrometry, followed by structural
proposition through a molecular structure correlation program. In silico
molecular typology was then used to group TPs according to common molecular
descriptors and to indirectly elucidate their environmental parameters by analogy
to known pesticide compounds with similar molecular descriptors. This approach
was evaluated via the identification of TPs of the triazole fungicide
tebuconazole occurring in soil during a field dissipation study. Overall, 22
empirical and 12 yet unknown TPs were detected, and categorized into three groups
with defined environmental properties. This approach combining suspect screening
time-of-flight mass spectrometry with molecular typology could be extended to
other organic pollutants and used to rationalize the choice of TPs to be
investigated towards a more comprehensive environmental risk assessment scheme.
PMID- 26552541
TI - PCB and PBDE levels in a highly threatened dolphin species from the Southeastern
Brazilian coast.
AB - In the Northern coast of Rio de Janeiro State is located the major urban centers
of the oil and gas industry of Brazil. The intense urbanization in recent decades
caused an increase in human use of the coastal areas, which is constantly
impacted by agricultural, industrial and wastewater discharges. Franciscana
dolphin (Pontoporia blainvillei) is a small cetacean that inhabits coastal
regions down to a 30 m depth. This species is considered the most threatened
cetacean in the Western South Atlantic Ocean. This study investigated the levels
of 52 PCB congeners and 9 PBDE congeners in liver of nine individuals found
stranded or accidentally caught between 2011 and 2012 in the Northern coast of
Rio de Janeiro. PCB mean levels ranged from 208 to 5543 ng g(-1) lw and PBDEs
mean concentrations varied between 13.84 and 36.94 ng g(-1) lw. Contamination
patterns suggest the previous use of Aroclor 1254, 1260 and penta-BDE mixtures in
Brazil. While still few studies have assessed the organic contamination in
cetaceans from the Southern Hemisphere, including Brazil, the levels found in
this study could represent a health risk to these endangered species.
PMID- 26552542
TI - Site energy distribution analysis of Cu (II) adsorption on sediments and residues
by sequential extraction method.
AB - Many models (e.g., Langmuir model, Freundlich model and surface complexation
model) have been successfully used to explain the mechanism of metal ion
adsorption on the pure mineral materials. These materials usually have a
homogeneous surface where all sites have the same adsorption energies. However,
it's hardly appropriate for such models to describe the adsorption on
heterogeneous surfaces (e.g., sediment surface), site energy distribution
analysis can be to. In the present study, the site energy distribution analysis
was used to describe the surface properties and adsorption behavior of the non
residual and residual components extracted from the natural aquatic sediment
samples. The residues were prepared "in-situ" by using the sequential extraction
procedure. The present study is intended to investigate the roles of different
components and the change of site energy distribution at different temperatures
of the sediment samples in controlling Cu (II) adsorption. The results of the
site energy distribution analysis indicated firstly, that the sorption sites of
iron/manganese hydrous oxides (IMHO) and organic matter (OM) have higher energy.
Secondly, light fraction (LF) and carbonates have little influence on site energy
distribution. Finally, there was increase in site energies with the increase of
temperature. Specially, low temperature (5 degrees C) significantly influenced
the site energies of IMHO and OM, and also had obvious effect on the energy
distribution of the sediments after removing target components. The site energy
distribution analysis proved to be a useful method for us to further understand
the energetic characteristics of sediment in comparison with those previously
obtained.
PMID- 26552543
TI - Silver nanoparticles impact the functional role of Gammarus roeseli (Crustacea
Amphipoda).
AB - Silver nanoparticles (nAg) are widely used in consumer products and the risk
associated with their potential release into freshwater ecosystems needs to be
addressed using environmentally realistic exposure concentrations. Here, the
effects of low concentrations (0.5-5 MUg L(-1)) of two different sized nAg (10
and 60 nm) and a silver nitrate positive control were evaluated in Gammarus
roeseli following exposure for 72 h. Cellular, individual and functional
endpoints were independently studied and the most striking results were reported
for functional endpoints. Indeed, without a change in their feeding activity, the
gammarids produced significantly fewer fine particles of organic matter when
exposed to nAg, even at 0.5 MUg L(-1) of 10 nm nAg. These functional endpoints
seem to be efficient markers for detecting the early effects of nAg on G.
roeseli.
PMID- 26552544
TI - Nickel toxicity to benthic organisms: The role of dissolved organic carbon,
suspended solids, and route of exposure.
AB - Nickel bioavailability is reduced in the presence of dissolved organic carbon
(DOC), suspended solids (TSS), and other complexing ligands; however, no studies
have examined the relative importance of Ni exposure through different
compartments (water, sediment, food). Hyalella azteca and Lymnaea stagnalis were
exposed to Ni-amended water, sediment, and food, either separately or in
combination. Both organisms experienced survival and growth effects in several Ni
compartment tests. The DOC amendments attenuated L. stagnalis Ni effects
(survival, growth, and (62)Ni bioaccumulation), and presence of TSS exposures
demonstrated both protective and synergistic effects on H. azteca and L.
stagnalis. (62)Ni trophic transfer from food to H. azteca and L. stagnalis was
negligible; however, bioaccumulating (62)Ni was attributed to (62)Ni-water
((62)Ni flux from food), (62)Ni-TSS, and (62)Ni-food. Overall, H. azteca and L.
stagnalis Ni compartment toxicity increased in the following order: Ni-water >>
Ni-sediment >> Ni-all (water, sediment, food) >> Ni-food.
PMID- 26552545
TI - Do cytostatic drugs reach drinking water? The case of mycophenolic acid.
AB - Mycophenolic acid (MPA) has been identified as a new river contaminant according
to its wide use and high predicted concentration. The aim of this study was to
monitor the impact of MPA in a drinking water treatment plant (DWTP) that
collects water downstream Llobregat River (NE Spain) in a highly densified urban
area. During a one week survey MPA was recurrently detected in the DWTP intake
(17-56.2 ng L(-1)). The presence of this compound in river water was associated
to its widespread consumption (>2 tons in 2012 in Catalonia), high excretion
rates and low degradability. The fate of MPA in waters at each treatment step of
the DWTP was analyzed and complete removal was observed after pretreatment with
chlorine dioxide. So far, MPA has not been described as water contaminant and its
presence associated with its consumption in anticancer treatments is of relevance
to highlight the importance of monitoring this compound.
PMID- 26552546
TI - Parenting and family support within a broad child abuse prevention strategy:
Child maltreatment prevention can benefit from public health strategies.
PMID- 26552547
TI - Integrated environmental policy: Chemicals and additives in textiles.
PMID- 26552548
TI - Laparoscopic Roux-en-Y gastric bypass reversal.
PMID- 26552549
TI - Comment on the REDUCE trial article: Is it really as good as it sounds?
PMID- 26552550
TI - Comment on: Influence of intraoperative hypotension on leaks after sleeve
gastrectomy.
PMID- 26552551
TI - Chemopreventive effect of chalcone derivative, L2H17, in colon cancer
development.
AB - BACKGROUND: Colon cancer is the third most commonly diagnosed cancer and the
second leading cause of cancer mortality worldwide. Chalcone and its derivatives
are reported to exhibit anti-cancer effects in several cancer cell lines,
including colon cancer cells. In addition, chalcones have advantages such as poor
interaction with DNA and low risk of mutagenesity. In our previous study, a group
of chalcone derivatives were synthesized and exhibited strong anti-inflammatory
activities. In this study, we evaluated the anti-cancer effects of the chalcone
derivative, L2H17, in colon cancer cells. METHODS: The cytotoxicities of L2H17 on
various colon cancer cell lines were investigated by MTT and clonogenic assay.
Cell cycle and apoptosis analysis were performed to evaluate the molecular
mechanism of L2H17-mediated inhibition of tumor growth. Also, scratch wound and
matrigel invasion experiments were performed to estimate the cell migration and
invasion after L2H17 treatment. Finally, we observed the anti-colon cancer
effects of L2H17 in vivo. RESULTS: Our data show that compound L2H17 exhibited
selective cytotoxic effect on colon cancer cells, via inducing G0/G1 cell cycle
arrest and apoptosis in CT26.WT cells. Furthermore, L2H17 treatment decreased
cell migration and invasion of CT26.WT cells. In addition, L2H17 possessed marked
anti-tumor activity in vivo. The molecular mechanism of L2H17-mediated inhibition
of tumor promotion and progression were function through inactivated NF-kappaB
and Akt signaling pathways. CONCLUSIONS: All these findings show that L2H17 might
be a potential growth inhibitory chalcones derivative for colon cancer cells.
PMID- 26552553
TI - Atrial fibrillation with Wolff-Parkinson-White syndrome in epilepsy: A
potentially fatal combination.
PMID- 26552552
TI - Infant frontal electroencephalogram asymmetry and negative emotional reactivity
as predictors of toddlerhood effortful control.
AB - Given the importance of children's self-regulation, relations were examined
between two fundamental components of self-regulation, specifically
temperamentally based reactivity and regulation. Infant negative emotional
reactivity and regulation, measured via frontal electroencephalogram (EEG)
asymmetry, were examined as potential precursors to understanding toddlerhood
regulation, conceptualized as effortful control. Our longitudinal design allowed
for examination of two perspectives on the interplay of reactivity and
regulation, namely that (a) early negative affectivity interferes with the
development of later regulation and (b) regulation is necessary to modulate
negative affectivity and, thus, would buffer the effects of negative affectivity
on later regulation. Mother-child dyads participated in a three-wave longitudinal
study. Baseline frontal EEG asymmetry was assessed at 10months (T1). Mothers
rated children's negative reactivity at both 10 and 24months (T2). Children's
effortful control, measured at 30-36months (T3), was a composite score of
maternal ratings and observed behavior during a snack delay. Negative affectivity
was related to effortful control; however, significant interactions between
negative affect and frontal EEG asymmetry were found. Higher levels of negative
affectivity at both T1 and T2 were associated with lower levels of effortful
control at T3, but only for toddlers who also had right frontal EEG asymmetry.
Negative affectivity was not associated with effortful control for the left
frontal EEG asymmetry group. Our moderation findings highlight the complex
relations of negative affect and frontal EEG asymmetry in understanding
children's development of self-regulation, specifically effortful control. The
interaction between early reactivity and physiological regulation indicates that
both may be important precursors of effortful control.
PMID- 26552554
TI - Drop attacks, falls and atonic seizures in the Video-EEG monitoring unit.
AB - PURPOSE: We set out to determine clinical and EEG features of seizures presenting
with falls, epileptic drop attacks and atonia in the video EEG monitoring unit.
METHODS: We searched the video EEG monitoring reports over a 5-year-period for
the terms "drop", "fall" and "atonic". RESULTS: Seizures presenting as epileptic
drop attacks, falls or atonia were found in 23/1112 (2%) admissions. About half
of the patients suffering from these seizure types had developmental delay and
learning difficulties and in half of the patients a lesion was seen on MRI which
was often frontal. The presumed epileptogenic zone was frontal in many cases
(43%), unclear with regards to a region or multifocal in 48% and posterior
temporal/occipital in 2 patients (9%). EEG patterns recorded were paroxysmal fast
activity, spike and wave discharges and EEG attenuation. Seizure related falls
were seen in 8 cases (34%) with injuries recorded during Video EEG monitoring in
half of those. CONCLUSION: Clinical and EEG features outlined here can help the
clinician to recognise patients at risk for these devastating seizure types.
PMID- 26552555
TI - Termination patterns of complex partial seizures: An intracranial EEG study.
AB - PURPOSE: While seizure onset patterns have been the subject of many reports,
there have been few studies of seizure termination. In this study we report the
incidence of synchronous and asynchronous termination patterns of partial
seizures recorded with intracranial arrays. METHODS: Data were collected from
patients with intractable complex partial seizures undergoing presurgical
evaluations with intracranial electrodes. Patients with seizures originating from
mesial temporal and neocortical regions were grouped into three groups based on
patterns of seizure termination: synchronous only (So), asynchronous only (Ao),
or mixed (S/A, with both synchronous and asynchronous termination patterns).
RESULTS: 88% of the patients in the MT group had seizures with a synchronous
pattern of termination exclusively (38%) or mixed (50%). 82% of the NC group had
seizures with synchronous pattern of termination exclusively (52%) or mixed
(30%). In the NC group, there was a significant difference of the range of
seizure durations between So and Ao groups, with Ao exhibiting higher
variability. Seizures with synchronous termination had low variability in both
groups. CONCLUSIONS: Synchronous seizure termination is a common pattern for
complex partials seizures of both mesial temporal or neocortical onset. This may
reflect stereotyped network behavior or dynamics at the seizure focus.
PMID- 26552556
TI - A retrospective cross-sectional study of the prevalence of generalized convulsive
status epilepticus in traumatic brain injury: United States 2002-2010.
AB - PURPOSE: To determine the incidence, predictors, and outcomes of generalized
convulsive status epilepticus (GCSE) in traumatic brain injury (TBI) patients.
METHODS: We conducted a retrospective cross-sectional study of adult patients
with acute TBI using the 2002-2010 Nationwide Inpatient Sample (NIS) database of
USA. We used multivariable logistic regression analyses to identify independent
predictors of GCSE in patients with TBI and to determine the impact of GCSE on
outcomes (in-hospital mortality, length of stay, total hospital charges, and
discharge disposition). RESULTS: Among 1,457,869 patients hospitalized with TBI,
2315 (0.16%) had GCSE. In-hospital mortality was significantly higher in patients
with GCSE (32.5% vs. 9.6%; unadjusted OR 4.54, 95% CI 4.16-4.96; p<0.001;
adjusted OR 3.41; 95% CI 3.09-3.76 p<0.001). Patients with GCSE had longer length
of stay (17.3 +/- 21.9 vs. 6.8 +/- 11.1 days; p<0.001), higher total hospital
charges ($147,415 +/- 162,319 vs. $54,041 +/- 90,524; p<0.001), and were less
likely to be discharged home (19.8% vs. 52.7%; p<0.001). Using multivariable
logistic regression analysis, age >35 years (OR 2.15; 95% CI 1.87-2.47), CNS
infections (OR 4.86; 95% CI 3.70-6.38), anoxic brain injury (OR 9.54; 95% CI 8.10
11.22), and acute ischemic stroke (OR 4.09; 95% CI 3.41-4.87) were independent
predictors of GCSE in TBI patients. Epilepsy was an independent negative
predictor of GCSE (OR 0.74; 95% CI 0.55-0.99). CONCLUSION: Despite its low
incidence, GCSE in TBI patients was associated with worse outcomes with threefold
higher in-hospital mortality, prolonged hospitalization, higher hospital charges,
and worse discharge disposition. Surprisingly, epilepsy is a negative predictor
of GCSE in this population.
PMID- 26552557
TI - Proton magnetic resonance spectroscopy in focal cortical dysplasia at 3T.
AB - PURPOSE: Focal cortical dysplasia (FCD) type II is a frequent cause of medically
intractable epilepsy. On conventional MRI diagnosis may be difficult. The purpose
of our study was to assess the metabolic characteristics of MRI-typical or
neuropathologically confirmed FCD II lesions at 3T. METHODS: In a prospective
study, 13 patients with drug-resistant epilepsy and MRI diagnosis of FCD II
(seven neuropathologically confirmed) were investigated by single-volume proton
magnetic resonance spectroscopy ((1)H MRS). We performed an intra-individual
comparison placing spectroscopic volumes of interest in the lesion and in the
apparently normal contralateral hemisphere. Spectroscopic results were correlated
with clinical data. RESULTS: Matched pair analysis revealed a significant
increase in absolute choline (Cho) concentration in the lesion volume (+32%,
p=0.015) compared to the control volume. This increase was associated with a
significant decrease in N-acetyl-aspartate (NAA) concentration (-13%; p=0.008).
Mean myo-inositol (Ins) levels were distinctly (+36%) but not significantly
(p=0.051) elevated. Lesional creatine (Cr) concentration correlated significantly
with the frequency of seizures (Spearman-Rho r=0.898; p=0.002), while
concentrations of NAA, Cho and Ins did not correlate with clinical or imaging
parameters. CONCLUSION: MR spectroscopy revealed a characteristic metabolic
pattern in FCD II lesions that helps to distinguish normal from epileptogenic
tissue.
PMID- 26552558
TI - GSTA1, GSTM1, GSTP1 and GSTT1 polymorphisms in progressive myoclonus epilepsy: A
Serbian case-control study.
AB - PURPOSE: Oxidative stress is recognized as an important factor in progressive
myoclonus epilepsy (PME). Genetic polymorphism of glutathione S-transferases
(GSTs), which are involved in both protection from oxidative damage and
detoxification, might alter the capacity for protecting tissues from exogenous
and endogenous oxidants. We aimed to assess a possible association between GST
polymorphism and PME, as well as, correlation between GST genotypes and oxidative
phenotype in PME patients. METHODS: GSTA1, GSTM1, GSTP1 and GSTT1 genotypes were
determined in 26 patients with PME and 66 controls. Byproducts of protein
oxidative damage (thiol groups (P-SH) and nitrotyrosine), superoxide dismutase
(SOD) and glutathione peroxidase (GPX) activities were determined. RESULTS: The
frequency of GSTA1, GSTM1 and GSTP1 genotypes was not significantly different
between PME patients and controls, while individuals with GSTT1-null genotype
were at 5.44-fold higher risk of PME than carriers of GSTT1-active genotype.
Moreover, significant risk of PME was obtained in carriers of both GSTT1-null and
GSTM1-null genotypes. Carriers of combined GSTA1- active and GSTT1-null genotype
were at highest, 7.55-fold increased risk of PME. Byproducts of protein damage
did not reach statistical significance, while SOD and GPX activities were
significantly higher in PME patients then in controls. When stratified according
to GST genotype, P-SH groups were significantly lower only in patients with GSTT1
null genotype in comparison to carriers of active genotype. Only SOD activity was
increased in GSTT1-null when compared to corresponding active genotype.
CONCLUSIONS: GSTT1-null genotype might be associated with the increased risk and
enhanced susceptibility to oxidative stress in PME patients.
PMID- 26552560
TI - Are psychogenic nonepileptic seizures genetically determined? It is time to
explore!
PMID- 26552559
TI - Gender differences in depression, but not in anxiety in people with epilepsy.
AB - PURPOSE: Anxiety and depression are frequent comorbidities in people with
epilepsy (PWE), but possible gender differences are often neglected. The aim of
the present study was to analyze if men and women with epilepsy differ with
regard to anxiety and depressive symptoms and to identify possible predictors.
METHODS: Adult consecutive PWE (N=302; 53% women) completed self-report
questionnaires, including the depression module of the Patient Health
Questionnaire (PHQ-9), the anxiety module of the Hospital Anxiety and Depression
Scale (HADS-A) and the subscales "medication effects" and "seizure worry" of the
Patient-weighted Quality of Life in Epilepsy Inventory-31-P (QOLIE-31-P).
RESULTS: There was no gender difference in extent of anxiety (p=.532), which was
mainly due to higher anxiety levels in men compared to the general population.
The gender difference in depressive symptoms was significant (p=.009), with
female patients being more affected. The most important predictors for anxiety
and depressive symptoms were detrimental effects of medication (QOL medication
effects) and of seizure worry (QOL seizure worry). Moreover, these predictors
were more closely associated with anxiety and depressive symptoms in men.
CONCLUSION: Future intervention studies could show whether providing more
information about the illness and medication effects may improve anxiety and
depression. Our results suggest that such interventions should be tailored to the
different needs of men and women.
PMID- 26552561
TI - Steroids efficacy in the acute management of seizure clusters in one case of
PCDH19 female epilepsy.
PMID- 26552562
TI - Use of suggestion methods to induce psychogenic non-epileptic seizures.
PMID- 26552563
TI - Eating epilepsy characterised by late-onset epileptic spasms in a case of Cri du
chat syndrome.
PMID- 26552564
TI - A prospective, multicenter study of cardiac-based seizure detection to activate
vagus nerve stimulation.
AB - PURPOSE: This study investigates the performance of a cardiac-based seizure
detection algorithm (CBSDA) that automatically triggers VNS (NCT01325623).
METHODS: Thirty-one patients with drug resistant epilepsy were evaluated in an
epilepsy monitoring unit (EMU) to assess algorithm performance and near-term
clinical benefit. Long-term efficacy and safety were evaluated with combined open
and closed-loop VNS. RESULTS: Sixty-six seizures (n=16 patients) were available
from the EMU for analysis. In 37 seizures (n=14 patients) a >= 20% heart rate
increase was found and 11 (n=5 patients) were associated with ictal tachycardia
(iTC, 55% or 35 bpm heart rate increase, minimum of 100 bpm). Multiple CBSDA
settings achieved a sensitivity of >= 80%. False positives ranged from 0.5 to
7.2/h. 27/66 seizures were stimulated within +/- 2 min of seizure onset. In 10/17
of these seizures, where triggered VNS overlapped with ongoing seizure activity,
seizure activity stopped during stimulation. Physician-scored seizure severity
(NHS3-scale) showed significant improvement for complex partial seizures (CPS) at
EMU discharge and through 12 months (p<0.05). Patient-scored seizure severity
(total SSQ score) showed significant improvement at 3 and 6 months. Quality of
life (total QOLIE-31-P score) showed significant improvement at 12 months. The
responder rate (>= 50% reduction in seizure frequency) at 12 months was 29.6%
(n=8/27). Safety profiles were comparable to prior VNS trials. CONCLUSIONS: The
investigated CBSDA has a high sensitivity and an acceptable specificity for
triggering VNS. Despite the moderate effects on seizure frequency, combined open-
and closed-loop VNS may provide valuable improvements in seizure severity and QOL
in refractory epilepsy patients.
PMID- 26552565
TI - Phenotyping juvenile myoclonic epilepsy. Praxis induction as a biomarker of
unfavorable prognosis.
AB - PURPOSE: Juvenile myoclonic epilepsy (JME) is a heterogeneous syndrome with
seizures presenting typical fluctuation in diurnal cycle and relation with
awakening. Few publications have approached clinical expressions of praxis
induction (PI) in the nosology of JME as well as its impact on outcome. The aim
of this study is to characterize PI as the only reflex trait in JME and its
relation with prognosis. METHOD: JME with PI reported on a questionnaire and
confirmed by video-EEG testing (Group 1, 20 patients) were compared with JME
without any reflex epileptic trait (Group 2, 25 patients) and followed for a mean
of 7.82 years (SD=3.98). Circadian distribution and frequency of seizures were
assessed in a diary. Patients also had psychiatric evaluation. RESULTS:
Prevalence of PI was 20/133 (15%) JME patients, and was predominant in males (1.5
male: 1 female; OR 13; p=0.042). Among Group 1 patients, only 2/20 presented
seizures exclusively in the morning (p=0.013), and none, exclusively on awakening
(p<0.001). PI patients had worse prognosis regarding control of myocloni (p=0.02)
and absences (p=0.01); only 7/20 (35.0%) could be treated with VPA in monotherapy
(p=0.01). At the last follow-up, 2/20 (10.0%) of Group 1 and 10 (40.0%) of Group
2 patients were free of all three seizure types (p=0.02). Even though relative
risk of stress as a precipitant of seizures increased 3.82 times in Group 1,
psychiatric comorbidities were not different between groups. CONCLUSION: PI
reflex trait in JME is related to seizures without preferential circadian
occurrence and reduced response to antiepileptic drugs.
PMID- 26552566
TI - Safety and tolerability of an oral zonisamide loading dose.
AB - PURPOSE: There are a limited number of anticonvulsant medications that can be
administered with an oral loading dose in order to rapidly achieve an effective
serum level, and most of these have associated adverse effects. Zonisamide is
approved for the treatment of partial onset epilepsy, and is used in practice for
both generalized and partial onset epilepsy. It is generally well-tolerated, has
a long half-life, and can be administered once daily. Unfortunately, the
recommended titration schedule for initiating therapy takes several weeks to
reach target dose and therapeutic serum levels. METHODS: We initiated zonisamide
therapy using a large initial dose of zonisamide in 32 patients in our epilepsy
monitoring unit over the past four years. RESULTS: Adverse effects were rare and
involved nausea/vomiting (9.4%) or drowsiness (6.3%). In patients where serum
levels were available for review, therapeutic or near-therapeutic levels were
achieved after an oral load of 600-900 mg given as divided doses over a 6-12h
period. CONCLUSION: This report is the first to suggest a method of rapidly
initiating zonisamide therapy, achieving therapeutic serum levels in a shorter
time frame, with an adverse effect profile similar to the recommended titration
schedule.
PMID- 26552567
TI - Jeavons syndrome as an occipital cortex initiated generalized epilepsy: Further
evidence from a patient with a photic-induced occipital seizure.
PMID- 26552568
TI - Concomitant lamotrigine use is associated with decreased efficacy of the
ketogenic diet in childhood refractory epilepsy.
AB - PURPOSE: Anti-epileptic drugs (AEDs) and the ketogenic diet (KD) are often used
concomitantly in children with refractory epilepsy. It has been hypothesised that
certain AEDs may interfere with KD. The purpose of this study was to elucidate
relationships between efficacy of KD and use of specific AEDs. METHODS: A
retrospective study was performed in 71 children with refractory epilepsy
starting the KD between 2008 and 2014 in Erasmus University Hospital Sophia
Children's Hospital. Efficacy of the KD (defined as 50% seizure reduction) was
evaluated after three months of treatment and related to the AEDs used. RESULTS:
The KD was successful after three months in 61% of the children (N=71). Efficacy
was significantly reduced if children (n=16) used lamotrigine (31%) at diet
initiation or in the course of the diet, compared to other antiepileptic drugs
(69%) (p=0.006). In comparison to children using other antiepileptic drugs, the
percentage of children that had adequate ketosis was significantly reduced in
case of lamotrigine use (p=0.049). CONCLUSION: Lamotrigine treatment during KD is
associated with a decreased efficacy of the KD.
PMID- 26552569
TI - Epilepsy and chromosome 18 abnormalities: A review.
AB - PURPOSE: To analyze the various types of epilepsy in subjects with chromosome 18
aberrations in order to define epilepsy and its main clinical, electroclinical
and prognostic aspects in chromosome 18 anomalies. METHODS: A careful overview of
recent works concerning chromosome 18 aberrations and epilepsy has been carried
out considering the major groups of chromosomal 18 aberrations, identified using
MEDLINE and EMBASE database from 1980 to 2015. RESULTS: Epilepsy seems to be
particularly frequent in patients with trisomy or duplication of chromosome 18
with a prevalence of up to 65%. Approximately, over half of the patients develop
epilepsy during the first year of life. Epilepsy can be focal or generalized;
infantile spasms have also been reported. Brain imagines showed anatomical
abnormalities in 38% of patients. Some antiepileptic drugs as valproic acid and
carbamazepine were useful for treating seizures although a large majority of
patients need polytherapy. CONCLUSION: Children with chromosomal 18 abnormalities
can present different types of epilepsy, more frequently focal seizures in
individuals with 18q- deletion syndrome, while both complex partial seizures and
generalized tonic-clonic seizures have been described in patients who suffer for
trisomy 18. Outcome in term of seizures frequency and duration seems to be
variable and epilepsy is drug resistant in half of the children, especially in
children with trisomy 18 and generalized epilepsy.
PMID- 26552570
TI - Temporal pole abnormalities in temporal lobe epilepsy with hippocampal sclerosis:
Clinical significance and seizure outcome after surgery.
AB - PURPOSE: To assess the clinical significance of temporal pole abnormalities
(temporopolar blurring, TB, and temporopolar atrophy, TA) in patients with
temporal lobe epilepsy (TLE) and hippocampal sclerosis (HS) with a long post
surgical follow-up. METHODS: We studied 60 consecutive patients with TLE-HS and
1.5 preoperative MRI scans who underwent surgery and were followed up for at
least 5 years (mean follow-up 7.3 years). Based on findings of pre-surgical MRI,
patients were classified according to the presence of TB or TA. Groups were
compared on demographic, clinical, neuropsychological data, and seizure outcome.
RESULTS: TB was found in 37 (62%) patients, while TA was found in 35 (58%)
patients, always ipsilateral to HS, with a high degree of overlap (83%) between
TB and TA (p<0.001). Patients with TB did not differ from those without TB with
regard to history of febrile convulsions, GTCSs, age of epilepsy onset, side of
surgery, seizure frequency, seizure outcome, and neuropsychological outcome. On
the other hand, they were significantly older, had a longer duration of epilepsy,
and displayed lower preoperative scores on several neuropsychological tests.
Similar findings were observed for TA. Multivariate analysis corroborated the
association between temporopolar abnormalities and age at onset, age at surgery
(for TB only), and lower preoperative scores on some neuropsychological tests.
CONCLUSIONS: Temporopolar abnormalities are frequent in patients with TLE-HS. Our
data support the hypothesis that TB and TA are caused by seizure-related damages.
These abnormalities did not influence seizure outcome, even after a long-term
post-surgical follow-up.
PMID- 26552571
TI - Malformations of cortical development and epilepsy: A cohort of 150 patients in
western China.
AB - PURPOSE: Malformations of cortical development (MCDs) are abnormalities of the
cerebral cortex that arise from abnormal formation of the cortical plate, and
have become increasingly identified as an important etiology for refractory
epilepsy. Little is known about the spectrum, distribution and clinical features
of MCDs, especially in resource-limited regions. This study investigates the
distribution of MCDs and compares the clinical features and long-term prognosis
between the two forms of MCDs: Simple and Multiple. METHOD: One hundred and fifty
epilepsy patients (138 adults, 12 pediatric patients) with radiologically
diagnosed MCDs were identified at a tertiary epilepsy center in western China.
Patients were divided into three subtypes according to the Barkovich
classification. They were further divided into either Simple or Multiple MCD
forms based on whether they had a single type of MCDs or other co-existing
developmental brain abnormalities. RESULTS: The most common type of MCD is focal
cortical dysplasia. We found perinatal insults more common in group III patients.
Multiple MCD was identified in 36 of 150 patients, and was associated with higher
rates of delayed milestones (p=0.005), cognitive impairment (p=0.023) and
neurological deficits (p=0.002) compared to Simple MCD. Extra-temporal epilepsy
was more commonly seen among individuals with Multiple MCD (p=0.017).
Participants with Multiple MCD were younger at time of seizure onset (p=0.003)
and at assessment (p=0.002), had a lower seizure-free rate (p=0.033) and had
worse outcomes overall. Patients with heterotopias were more commonly associated
with other abnormalities. CONCLUSION: MCDs are a critical cause of epilepsy and
pose a big challenge for resource-limited countries. Imaging techniques are
crucial in diagnosing and classifying cortical deformities. Multiple
malformations lead to more severe clinical features and worse prognosis.
Identifying and classifying MCDs can help physicians to better estimate patient
prognosis and seek the best, individualized therapeutic options.
PMID- 26552572
TI - Magnesium sulfate for non-eclamptic status epilepticus.
AB - BACKGROUND: Our goal was to perform a systematic review of the literature on the
use of intravenous magnesium sulfate (MgSO4) for non-eclamptic status epilepticus
(SE) and refractory status epilepticus (RSE). METHODS: Articles from MEDLINE,
BIOSIS, EMBASE, Global Health, Scopus, Cochrane Library, the International
Clinical Trials Registry Platform, clinicaltrials.gov (inception to June 2015),
reference lists of relevant articles, and gray literature were searched. The
strength of evidence was adjudicated using both the Oxford and GRADE methodology
by two independent reviewers. RESULTS: We identified 19 original articles. A
total of 28 patients were described in these articles with 11 being adult, 9
being pediatric, and 8 of unknown age. Seizure reduction/control with IV MgSO4
occurred in 14 of the 28 patients (50.0%), with 2 (7.1%) and 12 (42.9%)
displaying partial and complete responses respectively. Seizures recurred upon
withdrawal of MgSO4 therapy in 50% of the patients whom had reduction/control of
their SE/RSE. Three patients had recorded adverse events related to MgSO4
therapy. CONCLUSIONS: Oxford level 4, GRADE D evidence exists to suggest a trend
towards improved seizure control with the use of intravenous MgSO4 for non
eclamptic RSE. Routine use of IV MgSO4 in non-eclamptic SE/RSE cannot be
recommended at this time. Further prospective study of this drug is required in
order to determine its efficacy as an anti-epileptic in this setting.
PMID- 26552573
TI - Seizure reporting technologies for epilepsy treatment: A review of clinical
information needs and supporting technologies.
AB - This review surveys current seizure detection and classification technologies as
they relate to aiding clinical decision-making during epilepsy treatment.
Interviews and data collected from neurologists and a literature review
highlighted a strong need for better distinguishing between patients exhibiting
generalized and partial seizure types as well as achieving more accurate seizure
counts. This information is critical for enabling neurologists to select the
correct class of antiepileptic drugs (AED) for their patients and evaluating AED
efficiency during long-term treatment. In our questionnaire, 100% of neurologists
reported they would like to have video from patients prior to selecting an AED
during an initial consultation. Presently, only 30% have access to video. In our
technology review we identified that only a subset of available technologies
surpassed patient self-reporting performance due to high false positive rates.
Inertial seizure detection devices coupled with video capture for recording
seizures at night could stand to address collecting seizure counts that are more
accurate than current patient self-reporting during day and night time use.
PMID- 26552574
TI - Relationship between physical activity and cognitive function in apparently
healthy young to middle-aged adults: A systematic review.
AB - OBJECTIVES: There is increasing evidence that physical activity (PA) positively
affects cognitive function (CF). Existing research has focussed on this
association in children and the elderly, with less research available in young to
middle-aged adults who constitute a substantial proportion of the population.
DESIGN: A systematic review investigating the relationship between habitual PA
(>=12 months) and CF in young to middle-aged adults (18-50 years). METHODS: A
search was conducted using AMED, CINAHL, MEDLINE, PsychINFO, AUSPORT MED and
SPORTDiscus databases. Eligible studies had to report descriptive statistics for
CF and PA levels in healthy participants 18-50 years. Effect sizes (ES) (Hedges
g) were calculated where possible. RESULTS: The initial search netted 26,988
potentially relevant manuscripts, with four more identified through hand
searching. Fourteen were included for review. A range of validated platforms
assessed CF across three domains: executive function (12 studies), memory (four
studies) and processing speed (seven studies). Habitual PA was assessed via
questionnaire/self-report methods (n=13, 8 validated) or accelerometers (n=1). In
studies of executive function, five found a significant ES in favour of higher
PA, ranging from small to large. Although three of four studies in the memory
domain reported a significant benefit of higher PA, there was only one
significant ES, which favoured low PA. Only one study examining processing speed
had a significant ES, favouring higher PA. CONCLUSIONS: A limited body of
evidence supports a positive effect of PA on CF in young to middle-aged adults.
Further research into this relationship at this age stage is warranted.
PMID- 26552575
TI - To feel strong in an unfamiliar situation; Patients' lived experiences of
neurosurgical intensive care. A qualitative study.
AB - AIM: The aim of this study was to explore the lived experiences of conscious
patients in neurosurgical intensive care. METHOD: Data collection was performed
by qualitative interviews using an interview guide. Eleven former patients, seven
women and four men, were interviewed two to 14 months after discharge. The
interviews were recorded and transcribed verbatim and analysed using an
interpretive phenomenological approach. FINDINGS: The analysis revealed three
themes: To feel safe in an unfamiliar situation, to experience strains and
limitations, and to be confirmed as a human being. These three themes culminated
in the essence: To feel strong in an unfamiliar situation. Patients experienced a
soothing environment where, despite strains, they felt safe being cared for in a
ward with specialised medical treatment. When mental and physical strains
decreased during the period of care, they experienced the ability to cope with
the simplest tasks as a sign of regained identity. CONCLUSION: Patients' main
experience during intensive care was security. Security along with human contact
and interaction with staff and next of kin made the patients feel strengthened as
human beings in an unfamiliar situation. The fact that the patients were
conscious enabled them to understand their situation and to experience security.
PMID- 26552576
TI - Early maternal loss affects social integration of chimpanzees throughout their
lifetime.
AB - The long-term effects of early adverse experiences on later psychosocial
functioning are well described in humans, but sparsely documented for
chimpanzees. In our earlier studies, we investigated the effects of maternal and
social deprivation on three groups of ex-laboratory chimpanzees who experienced
either an early or later onset of long-term deprivation. Here we expand our
research by adding data on subjects that came from two stable zoo groups. The
groups comprised of early maternally deprived wild-caught chimpanzees and non
deprived zoo-born chimpanzees. We found that compared to zoo chimpanzees, ex
laboratory chimpanzees were more restricted regarding their association partners
in the newly formed groups, but not during their second year of group-life,
indicating that social stability has an important influence on the toleration of
association partners close-by. Social grooming activity, however, was impaired in
early long-term deprived ex-laboratory chimpanzees as well as in early maternally
deprived zoo chimpanzees compared to non-deprived zoo chimpanzees. Thus, we
conclude that early maternal loss has lifelong effects on the social integration
of chimpanzees which becomes evident in their grooming networks. Although the
retrospective nature of our study prevents a clear causal explanation, our
results are of importance for understanding the development of social competence
in chimpanzees.
PMID- 26552577
TI - Design, synthesis and evaluation of N-aryl-glyoxamide derivatives as structurally
novel bacterial quorum sensing inhibitors.
AB - Bacteria cooperatively regulate the expression of many phenotypes through a
mechanism called quorum sensing (QS). Many Gram-negative bacteria use an N-acyl
homoserine lactone (AHL)-mediated QS system to control biofilm formation and
virulence factor production. In recent years, quorum sensing inhibitors (QSIs)
have become attractive tools to overcome antimicrobial resistance exhibited by
various pathogenic bacteria. In the present study, we report the design and
synthesis of novel N-arylisatin-based glyoxamide derivatives via the ring-opening
reaction of N-aryl isatins with cyclic and acylic amines, and amino acid esters.
The QSI activity of the synthesized compounds was determined in the LasR
expressing Pseudomonas aeruginosa MH602 and LuxR-expressing Escherichia coli
MT102 reporter strains. Compounds 31 and 32 exhibited the greatest QSI activity
in P. aeruginosa MH602, with 48.7% and 42.7% reduction in QS activity at 250 MUM,
respectively, while compounds 31 and 34 showed 73.6% and 43.7% QSI activity in E.
coli MT102. In addition, the ability of these compounds to inhibit the production
of pyocyanin in P. aeruginosa (PA14) was also determined, with compound 28
showing 47% inhibition at 250 MUM. Furthermore, computational docking studies
were performed on the LasR receptor protein of P. aeruginosa, which showed that
formation of a hydrogen bonding network played a major role in influencing the QS
inhibitory activity. We envisage that these novel non-AHL glyoxamide derivatives
could become a new tool for the study of QS and potentially for the treatment of
bacterial infections.
PMID- 26552578
TI - Unique roles played by Acid-sensing ion channel 2.
AB - The discovery of Acid-sensing ion channels (ASICs) provided us the theoretical
basis to understand the pathological acidic environment. They belong to the
degenerin/epithelial Na+ channel family and function once extracellular pH
decreases to a certain level, and this characteristic make them spotlights in the
regulation or response of pH change. As a regulatory system, keeping the intra-
and extra-balance seems to be significant for ASICs, in which ASIC2 plays an
important role. We surprisingly noticed that ASIC2 owns some distinctive
properties, including its inter-system regulation, specific distribution and
transporting patterns, influence on cell migration and the unique role in
mechanosensitivity. Therefore, to conclude the functions and characterisitics of
ASIC2 indeed assist the understanding of interaction among ASICs subunits and the
regulation from extracellular environment to ASICs.
PMID- 26552580
TI - Correction: Hard-templating of Prussian blue analogues in mesoporous silica and
organosilica.
AB - Correction for 'Hard-templating of Prussian blue analogues in mesoporous silica
and organosilica' by Pei-Xi Wang, et al., Dalton Trans., 2015, 44, 14724-14731.
PMID- 26552579
TI - Unpacking the key components of a programme to improve the timeliness of hip
fracture care: a mixed-methods case study.
AB - BACKGROUND: Delay to surgery for patients with hip fracture is associated with
higher incidence of post-operative complications, prolonged recovery and length
of stay, and increased mortality. Therefore, many health care organisations
launch improvement programmes to reduce the wait for surgery. The heterogeneous
application of similar methods, and the multifaceted nature of the interventions,
constrain the understanding of which method works, when, and how. In complex
acute care settings, another concern is how changes for one patient group
influence the care for other groups. We therefore set out to analyse how multiple
components of hip-fracture improvement efforts aimed to reduce the time to
surgery influenced that time both for hip-fracture patients and for other acute
surgical orthopaedic inpatients. METHODS: This study is an observational mixed
methods single case study of improvement efforts at a Swedish acute care
hospital, which triangulates control chart analysis of process performance data
over a five year period with interview, document, and non-participant observation
data. RESULTS: The improvement efforts led to an increase in the monthly
percentage of hip-fracture patients operated within 24 h of admission from an
average of 47% to 83%, with performance predictably ranging between 67% and 98%
if the process continues unchanged. Meanwhile, no significant changes in lead
time to surgery for other acute surgical orthopaedic inpatients were observed.
Interview data indicated that multiple intervention components contributed to
making the process more reliable. The triangulation of qualitative and
quantitative data, however, indicated that key changes that improved performance
were the creation of a process improvement team and having an experienced
clinician coordinate demand and supply of surgical services daily and enhance pre
operative patient preparation. CONCLUSIONS: Timeliness of surgery for patients
with hip fracture in a complex hospital setting can be substantially improved
without displacing other patient groups, by involving staff in improvement
efforts and actively managing acute surgical procedures.
PMID- 26552581
TI - APOE-epsilon4 selectively modulates posteromedial cortex activity during scene
perception and short-term memory in young healthy adults.
AB - Apolipoprotein E (APOE) epsilon4 is a major genetic risk factor for Alzheimer's
disease (AD), yet the mechanisms by which APOE-epsilon4 influences early-life
brain function, and hence, in turn, risk for later-life AD, are poorly
understood. Here, we report a novel, and selective, pattern of functional brain
activity alteration in healthy young adult human APOE-epsilon4 carriers. Our
findings suggest that APOE-epsilon4 may influence vulnerability to poorer later
life cognitive health via its effect on posteromedial cortex (PMC), a hub region
within a brain network involved in spatial processing, and necessary for episodic
memory. In two neuroimaging tasks, APOE-epsilon4 carriers showed an inability to
effectively modulate PMC during scene, but not face and object, working memory
and perception. This striking pattern overlaps both functionally and
topographically, with the earliest cognitive deficits seen in clinical AD, as
well as reported alterations in the default network in amyloid-positive
individuals at increased risk of AD.
PMID- 26552582
TI - Hepatic myofibroblasts derived from Schistosoma mansoni-infected mice are a
source of IL-5 and eotaxin: controls of eosinophil populations in vitro.
AB - BACKGROUND: Hepatic myofibroblasts are relevant for pathogenesis of S. mansoni
infection. In normal liver, these perisinusoidal cells are quiescent, express the
lipocyte phenotype, and are located in the Disse's space, being the major site of
vitamin A storage. When activated, they convert to myofibroblasts and contribute
to granulomatous and diffuse liver fibrosis. In the present work, we observed
that myofibroblasts obtained from granulomatous periovular inflammatory reactions
in schistosome-infected mice (GR-MF) produce in vitro immunomodulatory cytokines
for eosinophil activation: IL-5 and eotaxin. METHODS AND RESULTS: The secretory
activity of GR-MF was detected after TGF-beta and IL-13 stimulation using 2D and
3D cell culture systems. In a mixed co-culture system using GR-MF with
hematopoietic bone marrow cells from infected mice, we observed eosinophil
survival that was dependent upon IL-5 and eotaxin, since antibodies against this
cytokines decreased eosinophil population, as measured by eosinophil peroxidase
activity. CONCLUSION: These results indicate that GR-MF may contribute to
maintenance of local eosinophilia in schistosomal hepatic granulomas, and can
function as immunoregulatory cells, besides their role in production of fibrosis.
PMID- 26552583
TI - The genetics of feed conversion efficiency traits in a commercial broiler line.
AB - Individual feed conversion efficiency (FCE) is a major trait that influences the
usage of energy resources and the ecological footprint of livestock production.
The underlying biological processes of FCE are complex and are influenced by
factors as diverse as climate, feed properties, gut microbiota, and individual
genetic predisposition. To gain an insight to the genetic relationships with FCE
traits and to contribute to the improvement of FCE in commercial chicken lines, a
genome-wide association study was conducted using a commercial broiler population
(n = 859) tested for FCE and weight traits during the finisher period from 39 to
46 days of age. Both single-marker (generalized linear model) and multi-marker
(Bayesian approach) analyses were applied to the dataset to detect genes
associated with the variability in FCE. The separate analyses revealed 22
quantitative trait loci (QTL) regions on 13 different chromosomes; the
integration of both approaches resulted in 7 overlapping QTL regions. The
analyses pointed to acylglycerol kinase (AGK) and general transcription factor 2
I (GTF2I) as positional and functional candidate genes. Non-synonymous
polymorphisms of both candidate genes revealed evidence for a functional
importance of these genes by influencing different biological aspects of FCE.
PMID- 26552584
TI - A Hybrid Circuit for Spoof Surface Plasmons and Spatial Waveguide Modes to Reach
Controllable Band-Pass Filters.
AB - We propose a hybrid circuit for spoof surface plasmon polaritons (SPPs) and
spatial waveguide modes to develop new microwave devices. The hybrid circuit
includes a spoof SPP waveguide made of two anti-symmetric corrugated metallic
strips and a traditional substrate integrated waveguide (SIW). From dispersion
relations, we show that the electromagnetic waves only can propagate through the
hybrid circuit when the operating frequency is less than the cut-off frequency of
the SPP waveguide and greater than the cut-off frequency of SIW, generating
efficient band-pass filters. We demonstrate that the pass band is controllable in
a large range by designing the geometrical parameters of SPP waveguide and SIW.
Full-wave simulations are provided to show the large adjustability of filters,
including ultra wideband and narrowband filters. We fabricate a sample of the new
hybrid device in the microwave frequencies, and measurement results have
excellent agreements to numerical simulations, demonstrating excellent filtering
characteristics such as low loss, high efficiency, and good square ratio. The
proposed hybrid circuit gives important potential to accelerate the development
of plasmonic integrated functional devices and circuits in both microwave and
terahertz frequencies.
PMID- 26552585
TI - Urbanization and Daily Exposure to Biomass Fuel Smoke Both Contribute to Chronic
Bronchitis Risk in a Population with Low Prevalence of Daily Tobacco Smoking.
AB - OBJECTIVE: Risk factors beyond tobacco smoking associated with chronic bronchitis
are not well understood. We sought to describe the prevalence and risk factors of
chronic bronchitis across four distinct settings in Peru with overall low
prevalence of tobacco smoking yet varying degrees of urbanization, daily exposure
to biomass fuel smoke and living at high altitude. METHODS: We analyzed data of
2,947 participants from rural and urban Puno, Lima and Tumbes including
spirometry, blood samples, anthropometry and administered questionnaires about
respiratory symptoms. We used multivariable Poisson regression to assess
biologic, socioeconomic and environmental risk factors associated with chronic
bronchitis. RESULTS: Overall prevalence of chronic bronchitis was 5.9% (95%CI
5.1%-6.9%) with variation by setting: prevalence was lower in semi-urban Tumbes
(1.3%) vs. highly urbanized Lima (8.9%), urban Puno (7.0%) and rural Puno (7.8%;
p < 0.001). Chronic bronchitis was more common among participants with vs.
without COPD based on FEV1/FVC< LLN (12.1% vs 5.6%, p < 0.01) and it was
associated with increased reporting of dyspnea on exertion (p < 0.001),
hospitalization (p = 0.003) and workdays missed due to respiratory symptoms (p <
0.001). Older age (Prevalence ratio [PR] = 1.23 for each 10-years of age, 95%CI
1.09-1.40) past history of asthma (PR = 2.87, 95%CI 1.80-4.56), urbanization (PR
= 3.34, 95%CI 2.18-5.11) and daily exposure to biomass fuel smoke (PR = 2.00,
95%CI 1.30-3.07) were all associated with chronic bronchitis. CONCLUSIONS: We
found important variations in the prevalence of chronic bronchitis across
settings. Prevalence increased with both urbanization and with daily exposure to
biomass fuel smoke. Having chronic bronchitis was also associated with worse
patient-centered outcomes including dyspnea, hospitalization and missed workdays.
PMID- 26552587
TI - Correction: biocompatible organic charge transfer complex nanoparticles based on
a semi-crystalline cellulose template.
AB - Correction for 'Biocompatible organic charge transfer complex nanoparticles based
on a semi-crystalline cellulose template' by Atsushi Nagai et al., Chem. Commun.,
2015, 51, 11868-11871.
PMID- 26552586
TI - Psychological job strain, social support at work and daytime secretion of
dehydroepiandrosterone (DHEA) in healthy female employees: cross-sectional
analyses.
AB - Evidence is limited concerning the influences of high psychological job strain
and low social support at work on daytime secretion of dehydroepiandrosterone
(DHEA), which demonstrates anti-cortisol effects. We carried out a cross
sectional study to examine the associations of job strain and social support with
daytime secretion amounts of DHEA and cortisol and daytime variation of the
cortisol-to-DHEA ratio (C/D ratio) in healthy female workers. Study subjects
comprised 115 healthy female nursery school teachers. Area under the curve with
respect to ground (AUCG) of salivary DHEA, cortisol and C/D ratio was calculated
for estimation of daytime secretion and variation. Social support scores were
negatively associated with daytime DHEA secretion (standardized partial
regression coefficient = -0.343, P < 0.001 by multiple linear regression
analysis). This association remained significant when daytime cortisol secretion
was additionally adjusted. Social support was not associated with daytime
variation of the C/D ratio. Significant association between social support and
daytime cortisol secretion was not confirmed. Job strain was not associated with
DHEA, cortisol or the C/D ratio. In summary, we found that daytime DHEA secretion
was increased in healthy workers with low social support, perhaps independent of
daytime cortisol secretion.
PMID- 26552588
TI - Sulfur alleviates arsenic toxicity by reducing its accumulation and modulating
proteome, amino acids and thiol metabolism in rice leaves.
AB - Arsenic (As) contamination of water is a global concern and rice consumption is
the biggest dietary exposure to human posing carcinogenic risks, predominantly in
Asia. Sulfur (S) is involved in di-sulfide linkage in many proteins and plays
crucial role in As detoxification. Present study explores role of variable S
supply on rice leaf proteome, its inclination towards amino acids (AA) profile
and non protein thiols under arsenite exposure. Analysis of 282 detected proteins
on 2-DE gel revealed 113 differentially expressed proteins, out of which 80 were
identified by MALDI-TOF-TOF. The identified proteins were mostly involved in
glycolysis, TCA cycle, AA biosynthesis, photosynthesis, protein metabolism,
stress and energy metabolism. Among these, glycolytic enzymes play a major role
in AA biosynthesis that leads to change in AAs profiling. Proteins of glycolytic
pathway, photosynthesis and energy metabolism were also validated by western blot
analysis. Conclusively S supplementation reduced the As accumulation in shoot
positively skewed thiol metabolism and glycolysis towards AA accumulation under
AsIII stress.
PMID- 26552589
TI - Molecular systems evaluation of oligomerogenic APP(E693Q) and fibrillogenic
APP(KM670/671NL)/PSEN1(Deltaexon9) mouse models identifies shared features with
human Alzheimer's brain molecular pathology.
AB - Identification and characterization of molecular mechanisms that connect genetic
risk factors to initiation and evolution of disease pathophysiology represent
major goals and opportunities for improving therapeutic and diagnostic outcomes
in Alzheimer's disease (AD). Integrative genomic analysis of the human AD brain
transcriptome holds potential for revealing novel mechanisms of dysfunction that
underlie the onset and/or progression of the disease. We performed an integrative
genomic analysis of brain tissue-derived transcriptomes measured from two lines
of mice expressing distinct mutant AD-related proteins. The first line expresses
oligomerogenic mutant APP(E693Q) inside neurons, leading to the accumulation of
amyloid beta (Abeta) oligomers and behavioral impairment, but never develops
parenchymal fibrillar amyloid deposits. The second line expresses
APP(KM670/671NL)/PSEN1(Deltaexon9) in neurons and accumulates fibrillar Abeta
amyloid and amyloid plaques accompanied by neuritic dystrophy and behavioral
impairment. We performed RNA sequencing analyses of the dentate gyrus and
entorhinal cortex from each line and from wild-type mice. We then performed an
integrative genomic analysis to identify dysregulated molecules and pathways,
comparing transgenic mice with wild-type controls as well as to each other. We
also compared these results with datasets derived from human AD brain.
Differential gene and exon expression analysis revealed pervasive alterations in
APP/Abeta metabolism, epigenetic control of neurogenesis, cytoskeletal
organization and extracellular matrix (ECM) regulation. Comparative molecular
analysis converged on FMR1 (Fragile X Mental Retardation 1), an important
negative regulator of APP translation and oligomerogenesis in the post-synaptic
space. Integration of these transcriptomic results with human postmortem AD gene
networks, differential expression and differential splicing signatures identified
significant similarities in pathway dysregulation, including ECM regulation and
neurogenesis, as well as strong overlap with AD-associated co-expression network
structures. The strong overlap in molecular systems features supports the
relevance of these findings from the AD mouse models to human AD.
PMID- 26552592
TI - A novel approach to PTSD modeling in rats reveals alternating patterns of limbic
activity in different types of stress reaction.
AB - Human reactions to trauma exposure are extremely diverse, with some individuals
exhibiting only time-limited distress and others qualifying for posttraumatic
stress disorder diagnosis (PTSD). Furthermore, whereas most PTSD patients mainly
display fear-based symptoms, a minority of patients display a co-morbid anhedonic
phenotype. We employed an individual profiling approach to model these intriguing
facets of the psychiatric condition in underwater-trauma exposed rats. Based on
long-term assessments of anxiety-like and anhedonic behaviors, our analysis
uncovered three separate phenotypes of stress response; an anxious, fear-based
(38%), a co-morbid, fear-anhedonic (15%), and an exposed-unaffected group (47%).
Immunohistochemical assessments for cellular activation (c-Fos) and activation of
inhibition (c-Fos+GAD67) revealed a differential involvement of limbic regions
and distinct co-activity patterns for each of these phenotypes, validating the
behavioral categorization. In accordance with recent neurocognitive hypotheses
for posttraumatic depression, we show that enhanced pretrauma anxiety predicts
the progression of posttraumatic anhedonia only in the fear-anhedonic phenotype.
PMID- 26552590
TI - The promise and pitfalls of intranasally administering psychopharmacological
agents for the treatment of psychiatric disorders.
AB - Accumulating research demonstrates the potential of intranasal delivery of
psychopharmacological agents to treat a range of psychiatric disorders and
symptoms. It is believed that intranasal administration offers both direct and
indirect pathways to deliver psychopharmacological agents to the central nervous
system. This administration route provides a unique opportunity to repurpose both
old drugs for new uses and improve currently approved drugs that are indicated
for other administration routes. Despite this promise, however, the physiology of
intranasal delivery and related assumptions behind the bypassing of the blood
brain barrier is seldom considered in detail in clinical trials and translational
research. In this review, we describe the current state of the art in intranasal
psychopharmacological agent delivery research and current challenges using this
administration route, and discuss important aspects of nose-to-brain delivery
that may improve the efficacy of these new therapies in future research. We also
highlight current gaps in the literature and suggest how research can directly
examine the assumptions of nose-to-brain delivery of psychopharmacological agents
in humans.
PMID- 26552591
TI - Inflammation is associated with decreased functional connectivity within
corticostriatal reward circuitry in depression.
AB - Depression is associated with alterations in corticostriatal reward circuitry.
One pathophysiological pathway that may drive these changes is inflammation.
Biomarkers of inflammation (for example, cytokines and C-reactive protein (CRP))
are reliably elevated in depressed patients. Moreover, administration of
inflammatory stimuli reduces neural activity and dopamine release in reward
related brain regions in association with reduced motivation and anhedonia.
Accordingly, we examined whether increased inflammation in depression affects
corticostriatal reward circuitry to lead to deficits in motivation and goal
directed motor behavior. Resting-state functional magnetic resonance imaging was
conducted on 48 medically stable, unmedicated outpatients with major depression.
Whole-brain, voxel-wise functional connectivity was examined as a function of CRP
using seeds for subdivisions of the ventral and dorsal striatum associated with
motivation and motor control. Increased CRP was associated with decreased
connectivity between ventral striatum and ventromedial prefrontal cortex (vmPFC)
(corrected P<0.05), which in turn correlated with increased anhedonia (R=-0.47,
P=0.001). Increased CRP similarly predicted decreased dorsal striatal to vmPFC
and presupplementary motor area connectivity, which correlated with decreased
motor speed (R=0.31 to 0.45, P<0.05) and increased psychomotor slowing (R=-0.35,
P=0.015). Of note, mediation analyses revealed that these effects of CRP on
connectivity mediated significant relationships between CRP and anhedonia and
motor slowing. Finally, connectivity between striatum and vmPFC was associated
with increased plasma interleukin (IL)-6, IL-1beta and IL-1 receptor antagonist
(R=-0.33 to -0.36, P<0.05). These findings suggest that decreased corticostriatal
connectivity may serve as a target for anti-inflammatory or pro-dopaminergic
treatment strategies to improve motivational and motor deficits in patients with
increased inflammation, including depression.
PMID- 26552593
TI - MicroRNA367 negatively regulates the inflammatory response of microglia by
targeting IRAK4 in intracerebral hemorrhage.
AB - BACKGROUND: Intracerebral hemorrhage (ICH) induces microglial activation and the
release of inflammatory cytokines, leading to inflammation in the brain. IRAK4,
an essential component of the MyD88-dependent pathway, activates subsets of
divergent signaling pathways in inflammation. METHODS: In the experiment,
microglia were stimulated with erythrocyte lysates, and then miR-367, IRAK4, NF
KB activation and downstream proinflammatory mediator production were analyzed.
In addition, inflammation, brain edema, and neurological functions in ICH mice
were also assessed. RESULTS: Here, we report that ICH downregulated miR-367
expression but upregulated IRAK4 expression in primary microglia. We also
demonstrate that miR-367 suppressed IRAK4 expression by directly binding its 3'
untranslated region. MiR-367 inhibited NF-KB activation and downstream
proinflammatory mediator production. Knocking down IRAK4 in microglia
significantly decreased the IRAK4 expression and inhibited the NF-KB activation
and the downstream production of proinflammatory mediators. In addition, our
results indicate that miR-367 could inhibit expression of proinflammatory
cytokines, reduce brain edema, and improve neurological functions in ICH mice.
CONCLUSIONS: In conclusion, our study demonstrates that miR-367/IRAK4 pathway
plays an important role in microglial activation and neuroinflammation in ICH.
Our finding also suggests that miR-367 might represent a potential therapeutic
target for ICH.
PMID- 26552594
TI - Development of 1-N-(11)C-Methyl-L- and -D-Tryptophan for pharmacokinetic imaging
of the immune checkpoint inhibitor 1-Methyl-Tryptophan.
AB - 1-Methyl-tryptophan (1MTrp) is known as a specific inhibitor targeting the immune
checkpoint protein indoleamine-2,3-dioxygenase, in two stereoisomers of
levorotary (L) and dextrorotary (D). A long-standing debate exists in immunology
and oncology: which stereoisomer has the potential of antitumor immunotherapy.
Herein, we developed two novel radioprobes, 1-N-(11)C-methyl-L- and -D-tryptophan
((11)C-L-1MTrp and (11)C-D-1MTrp), without modifying the chemical structures of
the two isomers, and investigated their utility for pharmacokinetic imaging of
the whole body. (11)C-L-1MTrp and (11)C-D-1MTrp were synthesized rapidly with
radiochemical yields of 47 +/- 6.3% (decay-corrected, based on (11)C-CO2), a
radiochemical purity of >98%, specific activity of 47-130 GBq/MUmol, and high
enantiomeric purity. PET/CT imaging in rats revealed that for (11)C-L-1MTrp, the
highest distribution of radioactivity was observed in the pancreas, while for
(11)C-D-1MTrp, it was observed in the kidney. Ex vivo biodistribution confirmed
the PET/CT results, indicating the differences in pharmacokinetics between the
two isomers. Both (11)C-L-1MTrp and (11)C-D-1MTrp are therefore useful PET probes
for delineating the distribution and action of the checkpoint inhibitor 1MTrp in
vivo. This study represents the first step toward using whole-body and real-time
insight to disentangle the antitumor potential of the two stereoisomers of 1MTrp,
and it can facilitate the development of 1MTrp immunotherapy.
PMID- 26552595
TI - Teledermatology: diagnostic reliability in 383 children.
AB - BACKGROUND: There are few studies of teledermatology focused on the pediatric age
group. The aim of this study was to assess the validity and reliability of store
and-forward teledermatology (STD) as a diagnostic tool for pediatricians and to
reduce face-to-face consultations. MATERIAL AND METHODS: A retrospective,
observational study of 383 children and adolescents under 15 years of age,
referred from primary care to Dermatology Department of University Hospital of La
Coruna, Spain, between 2011 and 2013, using a STD consult system. RESULTS:
Diagnoses concordance between pediatricians and teledermatologists was 39.2% of
cases and partial concordance 16.7%. Agreement for global diagnosis was kappa =
0.78 (p = 0.000) and for specific diagnosis was kappa = 0.73 (p = 0.000).
Management was concordant in 28.7% and partially concordant in 15.4%. Lower
reliability was statistically associated with modification of the lesions by
inappropriate treatments, incomplete clinical data or bad-quality photographic
images included in the referral consultation, diagnosis of infectious diseases
and rare dermatoses. The filtering percentage (as the percentage of avoided
clinic-based evaluations) was 64.5%. The mean response time of the consultant
dermatologists was 3.62 days. Referrals for live consultations due to poor
clinical information or insufficient quality of pictures were necessary in only
10% of the cases. CONCLUSION: The degree of diagnostic accuracy for the pediatric
population using STD as a diagnostic tool was similar to that achieved in adults.
Its usefulness for filtering dermatologic referral was also demonstrated in the
study, so it could be suitable for integration into the routine practice of
pediatricians.
PMID- 26552596
TI - TOGGLE: toolbox for generic NGS analyses.
AB - BACKGROUND: The explosion of NGS (Next Generation Sequencing) sequence data
requires a huge effort in Bioinformatics methods and analyses. The creation of
dedicated, robust and reliable pipelines able to handle dozens of samples from
raw FASTQ data to relevant biological data is a time-consuming task in all
projects relying on NGS. To address this, we created a generic and modular
toolbox for developing such pipelines. RESULTS: TOGGLE (TOolbox for Generic nGs
anaLysEs) is a suite of tools able to design pipelines that manage large sets of
NGS softwares and utilities. Moreover, TOGGLE offers an easy way to manipulate
the various options of the different softwares through the pipelines in using a
single basic configuration file, which can be changed for each assay without
having to change the code itself. We also describe one implementation of TOGGLE
in a complete analysis pipeline designed for SNP discovery for large sets of
genomic data, ready to use in different environments (from a single machine to
HPC clusters). CONCLUSION: TOGGLE speeds up the creation of robust pipelines with
reliable log tracking and data flow, for a large range of analyses. Moreover, it
enables Biologists to concentrate on the biological relevance of results, and
change the experimental conditions easily. The whole code and test data are
available at https://github.com/SouthGreenPlatform/TOGGLE .
PMID- 26552597
TI - The establishment of the Triple I (Hub), an intake, information and intervention
hub.
AB - OBJECTIVE: An integrated intake, information and intervention service, Triple I
(Hub) was evaluated against its goal to be streamlined, co-ordinated and patient
focussed. The integrated service co-located six previously disparate services,
often accessed by the same patients and healthcare professionals. The service was
evaluated five months after implementation. METHODS: Review methods included
satisfaction surveys and observations made by an external expert. RESULTS: Survey
findings from 118 participants indicated positive perceptions of all aspects of
the service provided by Triple I (Hub), with similar ratings provided by staff (n
= 56) and clients (n = 62). The external expert reported that there was improved
job satisfaction expressed by staff, and there was significant reduction in
processing time of aged care referrals from 3 weeks to less than 24 hours.
CONCLUSIONS: Evidence from mixed methods evaluation was used. Quantitative survey
results only reported satisfaction by users, but observations provided
supplementary indications for service development.
PMID- 26552599
TI - Interplay Between Premature Ejaculation and Erectile Dysfunction: A Systematic
Review and Meta-Analysis.
AB - INTRODUCTION: The specific determinants and underlying factors linking erectile
dysfunction (ED) and premature ejaculation (PE) have yet to be clearly
identified. AIM: The aim of this study was to review and meta-analyze all
available data regarding the link between ED and PE. METHODS: An extensive
Medline Embase and Cochrane search was performed including the following words:
"premature ejaculation" and "erectile dysfunction". MAIN OUTCOME MEASURES: All
observational trials comparing the risk of ED in relation to PE were included.
Data extraction was performed independently by two of the authors (G.R, G.C.),
and conflicts resolved by the third investigator (M.M.). RESULTS: Out of 474
retrieved articles, 18 were included in the study for a total of 57,229 patients,
of which 12,144 (21.2%) had PE. The presence of PE, however defined, was
associated with a significant increase in ED risk (odds ratio: 3.68[2.61;5.18]; P
< 0.0001). Meta-regression analysis showed that the risk of ED in PE subjects was
higher in older individuals as well as in those with a lower level of education
and in those who reported a stable relationship less frequently. In addition,
subjects with PE and ED more often reported anxiety and depressive symptoms and a
lower prevalence of organic associated morbidities, including diabetes mellitus,
hypertension and dyslipidemia. All the latter associations were confirmed even
after adjustment for age. Finally the risk of PE-related ED increased with the
increased proportion of acquired ejaculatory problems (adj r = 0.414; P < 0.0001
after the adjustment for age). CONCLUSIONS: In conclusion, the present data
showed that ED and PE are not distinctly separate entities, but should be
considered from a dimensional point of view. Understanding this dimensional
perspective might help sexual health care professionals in providing the most
appropriate therapeutic approach to realistically increase patient related
outcomes in sexual medicine.
PMID- 26552598
TI - Validation of a risk prediction tool for coronary heart disease in middle-aged
women.
AB - BACKGROUND: Health risk appraisal tools may be useful for identifying individuals
who would benefit from lifestyle changes and increased surveillance. We evaluated
the validity of the Your Disease Risk tool (YDR) for estimating relative risk of
coronary heart disease (CHD) among middle-aged women. METHODS: We included 55,802
women in the Nurses' Health Study who completed a mailed questionnaire about risk
factors in 1994 and had no history of heart disease at that time. Participants
were followed through 2004 for the occurrence of CHD. We estimated each woman's
10-year relative risk of CHD using YDR, and we compared the estimated YDR
relative risk category (ranging from "very much below average" to "very much
above average") to the observed relative risk for each category using logistic
regression. We also examined the discriminatory accuracy of YDR using concordance
statistics (c-statistics). RESULTS: There were 1165 CHD events during the 10-year
follow-up period. Compared to the "about average" category, the observed age
adjusted relative risk was 0.43 (95 % confidence interval: 0.33, 0.56) for the
"very much below average" category and 2.48 (95 % confidence interval: 1.68,
3.67) for the "very much above average" category. The age-adjusted c-statistic
for the model including the YDR relative risk category was 0.71 (95 % confidence
interval: 0.69, 0.72). The model performed better in younger than older women.
CONCLUSION: The YDR tool appears to have moderate validity for estimating 10-year
relative risk of CHD in this population of middle-aged women. Further research
should aim to improve the tool's performance and to examine its validity in other
populations.
PMID- 26552600
TI - Nuclear-enriched abundant transcript 1 as a diagnostic and prognostic biomarker
in colorectal cancer.
AB - BACKGROUND: High expression of the long non-coding RNA nuclear-enriched abundant
transcript 1 (NEAT1) in whole blood has been reported in colorectal cancer
patients; however, its' clinical significance and origin are unclear. We
evaluated the diagnostic and prognostic value, and origin of whole blood NEAT1 in
colorectal cancer. METHODS: Expression of NEAT1 variants, NEAT1_v1 and NEAT1_v2
were determined using real-time quantitative PCR. The diagnostic value of whole
blood NEAT1 expression was evaluated in test (n = 60) and validation (n = 200)
cohorts of colorectal cancer patients and normal controls (NCs). To identify the
origin of NEAT1, its expression was analyzed in blood, matched primary tumor
tissues, para-tumor tissues, metastatic tissues, and also immune cells from
patients or NCs. Function of NEAT1 in colorectal cell lines was also assessed.
The correlation of NEAT1 expression with clinical outcomes was assessed in 191
patients. RESULTS: Whole blood NEAT1 expression was significantly higher in
colorectal cancer patients than in NCs. NEAT1_v1 and NEAT1_v2 expression were
highly accurate in distinguishing colorectal cancer patients from NCs (area under
the curve: 0.787 and 0.871, respectively). Knockdown of NEAT1_v1 in vitro could
inhibit cell invasion and proliferation, while knockdown of NEAT1_v2 promoted
cell growth. However, whole blood expression was not correlated with matched
tissues. An elevated expression was seen in neutrophils from CRC patients.
Furthermore, high expression of NEAT1_v1 was correlated with worse overall
survival. In contrast, high expression of NEAT1_v2 alone was correlated with
better overall survival. CONCLUSION: Whole blood NEAT1 expression is a novel
diagnostic and prognostic biomarker of overall survival in colorectal cancer.
Elevated NEAT1 may derive from neutrophils.
PMID- 26552601
TI - We are also interested in how fathers feel: a qualitative exploration of child
health center nurses' recognition of postnatal depression in fathers.
AB - BACKGROUND: To become a parent is an emotionally life-changing experience.
Paternal depression during the postnatal period has been associated with
emotional and behavioral problems in children. The condition has predominantly
been related to mothers, and the recognition of paternal postnatal depression
(PND) has been paid less attention to. PND in fathers may be difficult to detect.
However, nurses in pediatric services meet a lot of fathers and are in a position
to detect a father who is suffering from PND. Therefore, the aim of this study
was (a) to explore Child Health Center nurses' experiences of observing
depression in fathers during the postnatal period; and (b) to explore hindrances
of observing these fathers. METHODS: A qualitative descriptive study was
conducted. Ten nurses were interviewed in 2014. A thematic data analysis was
performed and data were analyzed for meaning. RESULTS: Paternal PND was
experienced as being vague and difficult to detect. Experiences of fathers with
such problems were limited, and it was hard to grasp the health status of the
fathers, something which was further complicated when routines were lacking or
when gender attitudes influenced the daily work of the nurses. CONCLUSION: This
study contributes to an increased awareness of hindrances to the recognition of
PND in fathers. The importance to detect all signals of paternal health status in
fathers suffering from PND needs to be acknowledged. Overall, more attention
needs to be paid to PND in fathers where a part of the solution for this is that
they are screened just like the mothers.
PMID- 26552602
TI - Transition from clinician to academic: an interview study of the experiences of
UK and Australian Registered Nurses.
AB - AIM: The aim of this study was to explore and compare the experiences of nurses
in Australia and the UK as they moved from clinical practice into higher
education institutions. BACKGROUND: When nurse education moved from hospitals
into higher education institutions, the roles and career pathways of nurse
educators changed. DESIGN: The design method used in this study was qualitative
interview study. METHODS: Semi-structured interviews were undertaken with 14
nurse educators, seven in Australia and seven in the UK, in 2011-2012. Thematic
analysis of the transcripts was undertaken and triangulated with automated
content and thematic analysis by Leximancer(c) software. FINDINGS: Nurse
academics in Australia and the UK voiced similar enthusiasms and concerns. These
coalesced around four emergent themes: adapting to change, external pressures,
teaching and progress up the academic ladder. The Leximancer(c) analysis for both
sites ranked 'research' as the primary theme, linked with 'time', 'University'
and 'nursing' on both sites. Respondents were aware of the importance of research
to career progression in universities, but most prioritized their teaching and
clinical commitments for the sake of their organizations. Most respondents were
supported in their doctoral studies, but the absence of postdoctoral research
teams, mentors and role models was striking. CONCLUSION: Additional support is
needed to ensure that nurse academics are able to pursue research beyond doctoral
level.
PMID- 26552603
TI - The prevalence of anxiety among older adults in nursing homes and other
residential aged care facilities: a systematic review.
AB - OBJECTIVES: To synthesize and summarize the studies examining the prevalence rate
of anxiety disorders and symptoms in older adults living in residential aged
care. METHODS: Using the PRISMA guidelines, five electronic databases were
searched using key terms and subject headings, as well as reference lists of
relevant papers. The search was limited to literature published in English.
Eligible studies examined the prevalence of anxiety disorders or symptoms in aged
care residents aged 50+ years. RESULTS: A total of 2249 articles were identified,
of which 18 studies (with a total of 5927 participants) were included in this
review. The rate of overall anxiety disorders ranged from 3.2% to 20%, with the
highest quality studies estimating a prevalence rate of 5% to 5.7%. Generalized
anxiety disorder and specific phobias were found to be the most common anxiety
disorders among aged care residents, while clinically significant anxiety
symptoms were found to be more frequent (6.5% to 58.4%) than threshold disorders.
CONCLUSIONS: Anxiety disorders and anxiety symptoms are common in older aged care
residents. Given the paucity and overall quality of research examining anxiety
within this population and the heterogeneity found in studies, further research
is needed to help clarify this issue.
PMID- 26552604
TI - Micro-proteomics with iterative data analysis: Proteome analysis in C. elegans at
the single worm level.
AB - Proteomics studies typically analyze proteins at a population level, using
extracts prepared from tens of thousands to millions of cells. The resulting
measurements correspond to average values across the cell population and can mask
considerable variation in protein expression and function between individual
cells or organisms. Here, we report the development of micro-proteomics for the
analysis of Caenorhabditis elegans, a eukaryote composed of 959 somatic cells and
~1500 germ cells, measuring the worm proteome at a single organism level to a
depth of ~3000 proteins. This includes detection of proteins across a wide
dynamic range of expression levels (>6 orders of magnitude), including many
chromatin-associated factors involved in chromosome structure and gene
regulation. We apply the micro-proteomics workflow to measure the global proteome
response to heat-shock in individual nematodes. This shows variation between
individual animals in the magnitude of proteome response following heat-shock,
including variable induction of heat-shock proteins. The micro-proteomics
pipeline thus facilitates the investigation of stochastic variation in protein
expression between individuals within an isogenic population of C. elegans. All
data described in this study are available online via the Encyclopedia of
Proteome Dynamics (http://www.peptracker.com/epd), an open access, searchable
database resource.
PMID- 26552605
TI - Selective Enrichment of Cysteine-Containing Phosphopeptides for
Subphosphoproteome Analysis.
AB - Among the natural amino acids, cysteine is unique since it can form a disulfide
bond through oxidation and reduction of sulfhydryl and thus plays a pervasive
role in modulation of proteins activities and structures. Crosstalk between
phosphorylation and other post-translational modifications has become a recurrent
theme in cell signaling regulation. However, the crosstalk between the
phosphorylation and the formation and reductive cleavage of disulfide bond has
not been investigated so far. To facilitate the study of this crosstalk, it is
important to explore the subset of phosphoproteome where phosphorylations are
occurred near to cysteine in the protein sequences. In this study, we developed a
straightforward sequential enrichment method by combining the thiol affinity
chromatography with the immobilized titanium ion affinity chromatography to
selectively enrich cysteine-containing phosphopeptides. The high specificity and
high sensitivity of this method were demonstrated by analyzing the samples of
Jurkat cells. This "divide and conquer" strategy by specific analysis of a
subphosphoproteome enables identification of more low abundant phosphosites than
the conventional global phosphoproteome approach. Interestingly, amino acid
residues surrounding the identified phosphosites were enriched with buried
residues (L, V, A, C) while depleted with exposed residues (D, E, R, K). Also,
the phosphosites identified by this approach showed a dramatic decrease in
locating in disorder regions compared to that identified by conventional global
phosphoproteome. Further analysis showed that more proline directed kinases and
fewer acidophilic kinases were responsible for the phosphorylation sites of this
subphosphoproteome.
PMID- 26552606
TI - Vitamin D deficiency and placental calcification in low-risk obstetric
population: are they related?
AB - OBJECTIVE: We aimed to evaluate the relationship between placental calcification
and maternal and cord blood 25-hydroxyvitamin-D3 [25(OH)D] and calcium
concentrations in low-risk obstetric population at term and their consequences.
METHODS: Sixty non-complicated pregnant women at term admitted to maternity
clinic were included in this prospective case-control study and classified into
one of two groups according to grade of placental calcification by defined the
Grannum classification: Group 1 (n=30), with Grade 3 placenta and Group 2 (n=30),
the control group, no placental calcification noted. Baseline characteristics,
maternal serum and umbilical cord 25(OH)D and calcium levels were compared
between groups. RESULTS: The mean age of subjects was 26.4 +/- 5.7 years. The
mean serum 25(OH)D concentration of women (n=60) was 9.3 +/- 3.4 (range 5.59
15.48) ng/mL. The prevalence of vitamin D deficiency [25(OH)D <20 ng/mL] was
100%. Maternal serum and cord blood calcium levels were significantly higher in
Group 1 (p=0.036; p=0.037, respectively). In Group 2, maternal serum and cord
blood 25(OH)D levels were higher than Group 1 (11.35 +/- 6.54 and 10.22 +/- 3.59
versus 9.6 +/- 4.2 and 9.07 +/- 2.43 ng/mL); but the difference is not
statistically significant. CONCLUSIONS: Higher maternal calcium and lower 25(OH)D
levels detected in patients with Grade 3 placental calcification indicated the
importance of placenta on vitamin D regulation.
PMID- 26552607
TI - Nucleoporin 62 and Ca(2+)/calmodulin dependent kinase kinase 2 regulate androgen
receptor activity in castrate resistant prostate cancer cells.
AB - BACKGROUND: Re-activation of the transcriptional activity of the androgen
receptor (AR) is an important factor mediating progression from androgen
responsive to castrate-resistant prostate cancer (CRPC). However, the mechanisms
regulating AR activity in CRPC remain incompletely understood. Ca(2+) /calmodulin
dependent kinase kinase (CaMKK) 2 was previously shown to regulate AR activity in
androgen-responsive prostate cancer cells. Our objective was to further explore
the basis of this regulation in CRPC cells. METHODS: The abundance of CaMKK2 in
nuclear fractions of androgen-responsive prostate cancer and CRPC, cells were
determined by subcellular fractionation and Western blotting. CaMKK2 association
with nuclear pore complexes (NPCs) and nucleoporins (Nups) including Nup62, were
imaged by structured illumination and super-resolution fluorescence microscopy
and co-immunoprecipitation, respectively. The abundance and subcellular
localization of CaMKK2 and Nup62 in human clinical specimens of prostate cancer
was visualized by immunohistochemistry. The role of Nups in the growth and
viability of CRPC cells was assessed by RNA interference and cell counting. The
involvement of CaMKK2 and Nup62 in regulating AR transcriptional activity was
addressed by RNA interference, chromatin immunoprecipitation, androgen response
element reporter assay, and Western blotting. RESULTS: CaMKK2 was expressed at
higher levels in the nuclear fraction of CPRC C4-2 cells, than in that of
androgen-responsive LNCaP cells. In C4-2 cells, CaMKK2 associated with NPCs of
the nuclear envelope and physically interacted with Nup62. CaMKK2 and Nup62
demonstrated pronounced, and similar increases in both expression and
perinuclear/nuclear localization in human clinical specimens of advanced prostate
cancer relative to normal prostate. Knockdown of Nup62, but not of Nups, 98 or
88, reduced growth and viability of C4-2 cells. Knockdown of Nup62 produced a
greater reduction of the growth and viability of C4-2 cells than of non
neoplastic RWPE-1 prostatic cells. Nup62, CaMKK2, and the AR were recruited to
androgen response elements of the AR target genes, prostate specific antigen, and
transmembrane protease, serine 2. Knockdown of CaMKK2 and Nup62 reduced prostate
specific antigen expression and AR transcriptional activity driven by androgen
response elements from the prostate-specific probasin gene promoter. CONCLUSION:
Nup62 and CaMKK2 are required for optimal AR transcriptional activity and a
potential mechanism for AR re-activation in CRPC.
PMID- 26552608
TI - Ionic Self-Assembly and Red-Phosphorescence Properties of a Charged Platinum(II)
8-Quinolinol Complex Associated with Ammonium-Based Amphiphiles.
AB - A series of ionic associates based on the platinum(II) chelate of 5-sulfo-8
quinolinol, [Pt(qS)2](2-), and ammonium-based amphiphiles is described. At
variance with the prototypical neutral complex Pt(q)2 (q=8-quinolinol), these
dianionic fluorophores, functionalized at the periphery with sulfonate groups,
can be associated by the ionic self-assembly approach with various ammonium
cations, such as (H2n+1Cn)2Me2N(+) (n=12, 16, 18) or complex ammonium cations
carrying three Cn carbon chains (n=12, 14, 16) and an additional amide group.
Investigations of their luminescence properties in solution, in the solid state,
and, when possible, in thin films revealed that the phosphorescence properties in
condensed phases are directly correlated to intermolecular interactions between
the luminescent [Pt(qS)2](2-) centers. Of particular interest is also the
formation of a columnar liquid-crystalline phase around room temperature (between
-25 and +180 degrees C), as well as the very good film-forming ability of some
of these fluorophores from organic solvents.
PMID- 26552609
TI - Genetic testing for monogenic diabetes using targeted next-generation sequencing
in patients with maturity-onset diabetes of the young.
AB - INTRODUCTION: Molecular diagnosis of monogenic diabetes mellitus is important for
individualized patient care. Next-generation sequencing (NGS) enables a
simultaneous analysis of multiple genes in a single test. OBJECTIVES: We aimed to
assess the feasibility of using NGS for detecting mutations in a set of known
monogenic diabetes gene mutations in a cohort of Polish patients with maturity
onset diabetes of the young (MODY) with earlier negative Sanger sequencing
results for HNF1A-MODY or GCK-MODY. PATIENTS AND METHODS: We selected a panel of
28 chromosomal genes in which mutations have been reported to cause monogenic
diabetes. The MiSeq platform was used for NGS. An exon-capture assay was designed
to include coding regions and splice sites. A total of 54 patients with existing
negative Sanger sequencing screening results for HNF1A or GCK gene mutations were
selected for the study. RESULTS: NGS results were generated for all 54 patients
and 9 positive controls with previously identified HNF1A or GCK gene mutation.
All selected positive controls were confirmed by NGS. Among 28 genes, mutations
were detected in 16. The type of the analyzed genetic changes was described in
the NGS study as high (n = 3) or moderate (n = 76). Among the detected mutations,
there were 4 known GCK gene mutations that had been previously missed in Sanger
sequencing. So far, Sanger sequencing allowed us to confirm 21 gene mutations
detected by NGS, and segregation with diabetes in 14 pedigrees. CONCLUSIONS: Our
pilot study using NGS for monogenic diabetes screening in the MODY cohort
confirmed that it improves the detection of diabetes-related sequence
differences. The screening with NGS should also include diabetic patients for
whom Sanger-based screening for particular subtypes of MODY provided negative
results.
PMID- 26552610
TI - Representation of illness in Familial Amyloidotic Polyneuropathy Portuguese
Association newspaper: A documental study.
AB - This study explores illness representations within Familial Amyloidotic
Polyneuropathy Portuguese Association newspaper . A content analysis was
performed of the issue data using provisional coding related to the conceptual
framework of the study. All dimensions of illness representation in Leventhal's
Common Sense Model of illness cognitions and behaviors are present in the data
and reflect the experience of living with this disease. Understanding how a
person living with an hereditary, rare, neurodegenerative illness is important
for developing community nursing interventions. In conclusion, we suggest an
integration of common sense knowledge with other approaches for designing an
intervention program centered on people living with an hereditary
neurodegenerative illness, such as familial amyloidotic polyneuropathy.
PMID- 26552611
TI - Transitional Suspensions Containing Thermosensitive Dispersant for Three
Dimensional Printing.
AB - Tailoring the rheology of suspensions is an essential and persistent issue form
many applications, especially three-dimensional (3D) printing. Colloidal
suspensions of ceramic powder (Al2O3) dispersed by a special thermosensitive
dispersant (poly(acrylic acid)-poly(N-isopropylacrylamide), PAA-PNIPAM) were
designed, which underwent a remarkable fluid-gel transition in response to
thermal stimulus due to the phase transition of the graft chains (-PNIPAM). 3D
periodic structures with a fine size of 100 MUm were assembled by 3D printing.
PMID- 26552612
TI - Arsenic(V) biosorption by charred orange peel in aqueous environments.
AB - Biosorption efficiency of natural orange peel (NOP) and charred orange peel (COP)
was examined for the immobilization of arsenate (As(V)) in aqueous environments
using batch sorption experiments. Sorption experiments were carried out as a
function of pH, time, initial As(V) concentration and biosorbent dose, using NOP
and COP (pretreated with sulfuric acid). Arsenate sorption was found to be
maximum at pH 6.5, with higher As(V) removal percentage (98%) by COP than NOP
(68%) at 4 g L(-1) optimum biosorbent dose. Sorption isotherm data exhibited a
higher As(V) sorption (60.9 mg g(-1)) for COP than NOP (32.7 mg g(-1)). Langmuir
model provided the best fit to describe As(V) sorption. Fourier transform
infrared spectroscopy and scanning electron microscopy combined with energy
dispersive X-ray spectroscopy analyses revealed that the -OH, -COOH, and -N-H
surface functional groups were involved in As(V) biosorption and the meso- to
micro-porous structure of COP sequestered significantly (2-times) higher As(V)
than NOP, respectively. Arsenate desorption from COP was found to be lower (10%)
than NOP (26%) up to the third regeneration cycle. The results highlight that
this method has a great potential to produce unique 'charred' materials from the
widely available biowastes, with enhanced As(V) sorption properties.
PMID- 26552614
TI - Multiple facets of tightly coupled transducer-transistor structures.
AB - The ever increasing demand for data processing requires different paradigms for
electronics. Excellent performance capabilities such as low power and high speed
in electronics can be attained through several factors including using functional
materials, which sometimes acquire superior electronic properties. The
transduction-based transistor switching mechanism is one such possibility, which
exploits the change in electrical properties of the transducer as a function of a
mechanically induced deformation. Originally developed for deformation sensors,
the technique is now moving to the centre stage of the electronic industry as the
basis for new transistor concepts to circumvent the gate voltage bottleneck in
transistor miniaturization. In issue 37 of Nanotechnology, Chang et al show the
piezoelectronic transistor (PET), which uses a fast, low-power mechanical
transduction mechanism to propagate an input gate voltage signal into an output
resistance modulation. The findings by Chang et al will spur further research
into piezoelectric scaling, and the PET fabrication techniques needed to advance
this type of device in the future.
PMID- 26552613
TI - Paroxysmal Supraventricular Tachycardia With Ventriculoatrial Block and QRS
Duration Shortening: What Is the Mechanism?
PMID- 26552615
TI - Lung transplantation using controlled donation after circulatory death donors:
Trials and tribulations.
PMID- 26552616
TI - [Splenic hamartoma, severe thrombocytopenia, and macrocrania in a neonate: A case
study].
AB - We report a case of splenic hamartoma associated with neonatal macrocrania
diagnosed in a newborn. The diagnosis was made in the first 2 days of life upon
the existence of an abdominal mass in the newborn infant. Abdominal ultrasound
and abdominal computed tomography supported the diagnosis, but the histological
study was inconclusive. The indication for surgery is still controversial.
Through a literature review, the clinical, histological, and radiological aspects
are discussed. The combination of neonatal hamartoma, thrombocytopenia, and
macrocrania has never been reported.
PMID- 26552617
TI - [Inflammatory pseudo-tumor of the bladder expelled through the urethral meatus in
a girl].
AB - Inflammatory pseudo-tumors of the bladder are rare benign tumors that mostly
arise in the differential diagnosis of sarcomas in children. The authors report
an unusual case of pedunculated inflammatory pseudo-tumor of the bladder that
externalized by the urethral meatus in a 13-year-old girl. The treatment
consisted of a ligation-resection of the pedicle, followed by resection of the
tumor. After regular follow-up for 18 months there was no tumor recurrence.
PMID- 26552618
TI - [Osteoid osteoma on distal phalanx tip of second toe, without scintigraphy
fixation].
AB - Osteoid osteoma is a very small painful, benign tumor, located preferentially on
long bones. Cases on the phalanxes of the toes are very rare. Pain takes up
nearly all the clinical presentation. Poor clinical signs and atypical location
make diagnosis difficult and delayed. Sometimes, it can be confused with local
infection. Various additional tests are described to help diagnosis. Technetium
99-m scintigraphy coupled with CT is the key exam with high sensitivity and
morphological accuracy. We report on the case of a 10-year-old boy with an
atypical location of osteoid osteoma on the distal phalanx tip of the second toe,
without scintigraphy fixation and obvious nidus on X-ray and CT scan.
PMID- 26552619
TI - [Heart murmurs in asymptomatic children: When should you refer?].
AB - Heart murmurs are common in children and adolescents. Although most are innocent,
an isolated heart murmur in asymptomatic children may be the sole finding
indicating serious heart disease. Historical elements of familial heart disease,
cardiovascular symptoms and a well-conducted medical examination can identify
children with an increased risk of heart disease. The distinction between an
innocent heart murmur and a pathologic heart murmur is not always easy for
primary care physicians because most of them have little experience with
auscultation searching for congenital heart malformation. Echocardiography
provides a definitive diagnosis of heart disease but is not required in case of
innocent murmur. Inappropriate pediatric cardiologist and echocardiographic
referral leads to useless and expensive examinations, resulting in a work
overload for pediatric cardiologists. The objective of this review is to provide
the keys to differentiate innocent and pathologic murmurs, and to help physicians
decide on the optimal diagnostic strategy.
PMID- 26552620
TI - [Child protection system: Knowledge and role of the general practitioners in Ille
et-Vilaine].
AB - BACKGROUND AND AIMS: On 5 March 2007 the law concerning the child protection
system was reformed. Since this date, child protection services are responsible
for child abuse and neglect. Child protection services are now attempting to
determine the rightful place for parents. Asking for child protection is now
easier for the general practitioner (GP), who can submit a "preoccupying
information (PI)" form. The aim of this study was to review GPs' knowledge on
this issue 6 years after the passage of this new law. METHODS: Prospective postal
investigation between 04/01/2013 and 06/01/2013. RESULTS: A total of 298 (113
women) of the 899 GPs of the Ille-et-Vilaine area in Brittany answered a few
questions about their activity and their knowledge on child abuse and neglect.
The sample's mean age, sex, and practice was representative of the GPs in this
area. Only 25.5% of the GPs had any knowledge of this new law. The term
"preoccupying information" was unfamiliar to 70.1% of the GPs and what to do with
the PI was unknown to 77.2%. The GPs did not know which type of letter to send
nor where to send it between legal child protection and social protection
services. Only 5% of the GPs had child protection training on PI. The main
problem informing the child protection services was the lack of training.
Consequently, 91.9% of the GPs would like training. CONCLUSIONS: The GPs in the
Ille-et-Vilaine area in Brittany are unfamiliar with the child protection updates
and need special training.
PMID- 26552621
TI - [Failure mode and effects analysis (FMEA) of insulin in a mother-child university
affiliated health center].
AB - CONTEXT AND OBJECTIVES: Insulin is a high-alert drug. The main objective of this
descriptive cross-sectional study was to evaluate the risks associated with
insulin use in healthcare centers. The secondary objective was to propose
corrective measures to reduce the main risks associated with the most critical
failure modes in the analysis. METHODS: We conducted a failure mode and effects
analysis (FMEA) in obstetrics-gynecology, neonatology and pediatrics. RESULTS:
Five multidisciplinary meetings occurred in August 2013. A total of 44 out of 49
failure modes were analyzed. Nine out of 44 (20%) failure modes were deemed
critical, with a criticality score ranging from 540 to 720. DISCUSSION: Following
the multidisciplinary meetings, everybody agreed that an FMEA was a useful tool
to identify failure modes and their relative importance. This approach identified
many corrective measures. CONCLUSION: This shared experience increased awareness
of safety issues with insulin in our mother-child center. This study identified
the main failure modes and associated corrective measures.
PMID- 26552622
TI - Percutaneous suturing technique and single-site umbilical laparoscopic repair of
a Morgagni hernia: Review of three cases.
AB - Morgagni hernias are uncommon, accounting for only 1-2% of all congenital
diaphragmatic hernia. Minimally invasive surgery is today the gold standard
treatment. We present a technique using percutaneous suturing and single-site
umbilical laparoscopic repair of Morgagni hernia in three children. Recovery was
uneventful in all three patients. There was no recurrence and the chest
radiograph remained normal during the postoperative follow-up. The percutaneous
suturing technique and single-site umbilical laparoscopic repair of a Morgagni
hernia is an easy and effective alternative to standard laparoscopic repair.
PMID- 26552623
TI - [Are infant formulae named "taking over from breastfeeding" useful?].
PMID- 26552624
TI - [Septic shock following platelet transfusion contaminated with Citrobacter koseri
in a child with postchemotherapy febrile neutropenia].
AB - The bacterial transfusion risk is currently the greatest infectious risk of blood
transfusion. We report the case of a child with postchemotherapy febrile
neutropenia who presented septic shock following platelet transfusion
contaminated with Citrobacter koseri. The life-threatening development could have
been avoided by strict compliance with good clinical practice. The stability of
mortality rates due to adverse effects of bacterial proliferation during platelet
transfusions in France since 1994 calls for optimization of all preventive
measures throughout the transfusion chain and perfect knowledge of transfusion
rules by medical staff and care givers.
PMID- 26552625
TI - [Thrombotic thrombocytopenic purpura in a newborn].
AB - We report the case of a newborn presenting with hemolytic anemia,
thrombocytopenia, hyperbilirubinemia, and renal failure in the first hours of
life. An early plasmatherapy was undertaken, with good outcome. The specific von
Willebrand factor-cleaving protease activity (ADAMTS 13 for a disintegrin and
metalloprotease with thrombospondin type 1 repeats) was found to be low. This is
the specific biologic diagnostic element of congenital thrombotic
thrombocytopenic purpura (TTP). This disease of constitutional thrombotic
microangiopathy is rare. The prognosis, usually life-threatening, was completely
transformed given the better understanding of the pathogenesis of the disease and
therapeutic progress.
PMID- 26552626
TI - [Febrile ulceronecrotic Mucha-Habermann disease].
AB - Pityriasis lichenoides et varioliformis acuta (PLEVA) is an inflammatory skin
disease that is unknown to pediatricians. The ulceronecrotic febrile form is a
rare and potentially lethal variant. We report the case of a 7-year-old boy with
a papulovesicular eruption lasting for 4 weeks, secondarily associated with
ulcers and necrotic crusts, fever, and systemic signs. After exploring infectious
causes such as chickenpox, we discussed and confirmed PLEVA with histological
analysis. Systemic steroids and methotrexate improved the symptoms. It is
necessary to mention PLEVA in case of prolonged papulovesicular eruption. Prompt
diagnosis allows appropriate treatment, although there is no consensus on
therapeutic guidelines.
PMID- 26552627
TI - [Scoliosis secondary to tuberculous spondylitis: A case report and discussion of
diagnosis].
AB - Idiopathic scoliosis is the most common form of spinal deformity in adolescence.
However, secondary causes of scoliosis should always be considered so as to
provide appropriate treatment and avoid complications. Additional explorations,
such as MRI, are required in the presence of atypical signs. We report a case of
spinal tuberculosis, or Pott's disease, misdiagnosed as idiopathic scoliosis, and
then present a discussion to help identify the warning signs during the
evaluation of scoliosis that might indicate secondary scoliosis and suggest when
to perform further radiologic exploration.
PMID- 26552628
TI - [Recovery of Cushing syndrome revealing McCune-Albright syndrome].
AB - Cushing syndrome (CS) is a rare feature of McCune-Albright syndrome. Treatments
consist of bilateral adrenalectomy followed by lifelong glucocorticoid and
mineralocorticoid treatment. However, cases of spontaneous remission of CS have
been reported in the literature. We report a case of McCune-Albright syndrome
with CS treated with metyrapone for 30 months with prolonged remission after a 12
year follow-up. Adrenalectomy may be avoided in some cases of CS caused by McCune
Albright syndrome. Metyrapone could be a good alternative to surgical treatment.
PMID- 26552629
TI - [Impact of an intervention improving the food supply (excluding school meals)
with educational support in middle and high schools].
AB - Within the Nutrition, Prevention, and Health Program for children and teenagers
in Aquitaine, an experimental intervention was implemented in 2007-2008 in the
middle and high schools in Aquitaine (southwest France). This intervention aimed
to improve the eating habits of adolescents, combining actions to improve the
food supply sold during recreational times (remove/limit fat and sugar products
sold and promote the sale of fruits and bread) and health education actions to
make adolescents aware of the concept of nutritional balance and steer their
choice towards recommended products. The aim of this paper was to evaluate the
impact of the intervention on the eating behavior of adolescents and the food
supply sold during recreational times in middle and high schools in Aquitaine. A
survey was conducted before and after the implementation of the intervention in
seven middle and high schools that have implemented actions (intervention group)
and eight middle and high schools that have not implemented actions (control
group). In these schools, 1602 adolescents answered the survey before and 1050
after the intervention (samples were independent because of the anonymity of
responses). The impact of the intervention on the dietary behavior of teenagers
was modeled using logistic regression adjusted on potential confounding variables
(sex, age, and educational status). In multivariate analyses, the intervention
was associated with more frequent daily intake of breakfast (OR=2.63; 95% CI
[1.89; 3.66]) and lower intake of morning snacks (OR=0.66; 95% CI [0.48; 0.90]),
higher consumption of starchy foods (OR=1.77; 95% CI [1.30; 2.42]), bread at
breakfast, morning snacks, and a light afternoon meal (OR=1.43; 95% CI [1.07;
1.90]), and the food supply sold at recreational times (OR=1.34 95% CI [1.01;
1.78]). These results show that the "Improving food supply in middle and high
schools associated with educational support actions" project led to the sales of
recommended foods during recreational times and improved students' eating
behavior. These results encourage partners to pursue these actions in all
volunteer middle and high schools.
PMID- 26552630
TI - [A novel decision tree for pediatric thoracolumbar spine trauma].
PMID- 26552631
TI - [Assessment of BCG vaccine practices].
AB - Tuberculosis is a major public health problem. In France, the vaccine against
tuberculosis (Bacillus Calmette-Guerin, BCG) is in decline. This decline is
firstly due to changes in BGG administration that were implemented in 2006 and
secondly because of new recommandations in 2007 that ended compulsory
vaccination. To determine their position on this vaccine, in 2013-2014 we asked
general practitioners, pediatricians, and Maternal and Infantile Protection
Center physicians in the Gard and Herault departments (in Southern France) why
this vaccine was not administered and their suggestions for improvement. Most of
these doctors (73.9%) stated that they did not oppose this vaccination for
children. They expressed concern about potential side effects, technical problems
(intradermic injection, multi-dose bottles) and parents' refusal. One quarter of
these physicians would have preferred that this vaccine remains compulsory and
one third that this vaccine be administered in the maternity hospital. They also
requested simplified criteria for patient eligibility, technical improvements
(training for intradermal injection, single-dose vaccine) and more information
for the public concerning this vaccination.
PMID- 26552632
TI - [Neonatal mucolipidosis type II].
AB - Mucolipidosis type II (ML II, OMIM 252,500) is an autosomal recessive disorder
clinically characterized by facial dysmorphia similar to Hurler syndrome and
pronounced gingival hypertrophy. The disorder is caused by a defect in targeting
acid hydrolases on the surface of lysosomes, which impede their entry and lead to
accumulation of undigested substrates in lysosomes. The onset of the symptoms is
usually in infancy, beginning in the 6th month of life. Early onset, at birth or
even in utero, is a sign of severity and involves the specific dysmorphia as well
as skeletal dysplasia related to hyperparathyroidism. We report on a severe
neonatal form of this disorder revealed by respiratory distress with severe chest
deformity. The dysmorphic syndrome, combining coarse features, pronounced
gingival hypertrophy, with diffuse bone demineralization and secondary
hyperparathyroidism associating significant elevation of parathyroid hormone and
alkaline phosphatase with normal levels of vitamin D and calcium were
characteristics of mucolipidosis type II. Recognizing this specific association
of anomalies helps eliminate the differential diagnosis and establish appropriate
diagnosis and care.
PMID- 26552633
TI - [Colonic duplication revealed by intestinal obstruction due to fecal impaction].
AB - Colonic duplications are very rare in children. With rectal duplications, they
are the rarest locations of alimentary tract duplications, most often diagnosed
in the first years of life. We report an unusual case of colic duplication with
fecal impaction in a 9-month-old boy revealed by intestinal obstruction. We
discuss the main diagnostic and therapeutic aspects of this malformation.
PMID- 26552634
TI - Vulnerability of tropical forest ecosystems and forest dependent communities to
droughts.
AB - Energy captured by and flowing through a forest ecosystem can be indexed by its
total Net Primary Productivity (NPP). This forest NPP can also be a reflection of
its sensitivity to, and its ability to adapt to, any climate change while also
being harvested by humans. However detecting and identifying the vulnerability of
forest and human ecosystems to climate change requires information on whether
these coupled social and ecological systems are able to maintain functionality
while responding to environmental variability. To better understand what
parameters might be representative of environmental variability, we compiled a
metadata analysis of 96 tropical forest sites. We found that three soil textural
classes (i.e., sand, sandy loam and clay) had significant but different
relationships between NPP and precipitation levels. Therefore, assessing the
vulnerability of forests and forest dependent communities to drought was carried
out using data from those sites that had one of those three soil textural
classes. For example, forests growing on soil textures of sand and clay had NPP
levels decreasing as precipitation levels increased, in contrast to those forest
sites that had sandy loam soils where NPP levels increased. Also, forests growing
on sandy loam soil textures appeared better adapted to grow at lower
precipitation levels compared to the sand and clay textured soils. In fact in our
tropical database the lowest precipitation level found for the sandy loam soils
was 821 mm yr(-1) compared to sand at 1739 mm yr(-1) and clay at 1771 mm yr(-1).
Soil texture also determined the level of NPP reached by a forest, i.e., forest
growing on sandy loam and clay reached low-medium NPP levels while higher NPP
levels (i.e., medium, high) were found on sand-textured soils. Intermediate
precipitation levels (>1800-3000 mm yr(-1)) were needed to grow forests at the
medium and high NPP levels. Low thresholds of NPP were identified at both low
(~750 mm) and high precipitation (>3500 mm) levels. By combining data on the
ratios of precipitation to the amount of biomass produced in a year with how much
less precipitation input occurs during a drought year, it is possible to estimate
whether productivity levels are sufficient to support forest growth and forest
dependent communities following a drought. In this study, the ratios of annual
precipitation inputs required to produce 1 Mg ha(-1) yr(-1) biomass by soil
texture class varied across the three soil textural classes. By using a
conservative estimate of 20% of productivity collected or harvested by people and
30% precipitation reduction level as triggering a drought, it was possible to
estimate a potential loss of annual productivity due to a drought. In this study,
the total NPP unavailable due to drought and harvest by forest dependent
communities per year was 10.2 Mg ha(-1) yr(-1) for the sandy textured soils (64%
of NPP still available), 8.4 Mg ha(-1) yr(-1) for the sandy loam textured soils
(60% available) and 12.7 Mg ha(-1) yr(-1) for the clay textured soils (29%
available). Forests growing on clay textured soils would be most vulnerable to
drought triggered reductions in productivity so NPP levels would be inadequate to
maintain ecosystem functions and would potentially cause a forest-to-savanna
shift. Further, these forests would not be able to provide sufficient NPP to
satisfy the requirements of forest dependent communities. By predicting the
productivity responses of different tropical forest ecosystems to changes in
precipitation patterns coupled with edaphic data, it could be possible to
spatially identify where tropical forests are most vulnerable to climate change
impacts and where mitigation efforts should be concentrated.
PMID- 26552635
TI - Occlusal and MRI characterizations in systemic sclerosis patients: A prospective
study from Southern Italian cohort.
AB - OBJECTIVES: The aim of the present study was to assess the prevalence of
temporomandibular joint (TMJ) symptoms, clinical and magnetic resonance imaging
(MRI) findings in a cohort of Southern Italian patients with SSc. METHODS: Twenty
seven patients with SSc (12 diffuse, 15 limited, mean age 53.9, SD+/-1.2) and 28
healthy subjects (mean age 54.8, SD+/-4.2) were enrolled in this observational
cohort study. In all patients, clinical examination for assessing the presence of
TMJ sounds, pain in the TMJ area, tenderness of masticatory muscles, limited
mouth opening, pain assessment, MRI scan and Anamnestic and Dysfunctional Index
were performed. RESULTS: The test groups reported more clinical and MRI findings
of TMJ symptoms and dysfunction than control group. The frequency distributions
of symptoms were significantly different (P<0.05), in the test groups for TMJ
sounds, pain during mandibular movement and difficulty in the maximum mouth
opening. There was also a significant decrease (P<0.001), in the test groups, in
the mean of leftward, rightward laterotrusion and protrusion. Correlation
analysis allowed to affirm that maximum opening leftward laterotrusion,
protrusion and click were significantly correlated to Modified Rodnan Skin Score.
The mean duration of disease was significantly correlated, ever in total SSc
group, only for the maximum mouth opening value. CONCLUSION: This study
demonstrates that TMJ involvement is common in SSc patients and is correlated
with a length and involvement of disease and supports the notion that TMJ
examination should be encouraged in the rheumatology setting and clinicians
should provide a right pain management and patient support.
PMID- 26552636
TI - Combination of nonlinear ultrasonics and guided wave tomography for imaging the
micro-defects.
AB - The use of guided wave tomography has become an attractive alternative to convert
ultrasonic wave raw data to visualized results for quantitative signal
interpretation. For more accurate life prediction and efficient management
strategies for critical structural components, there is a demand of imaging micro
damages in early stage. However, there is rarely investigation on guided wave
tomographic imaging of micro-defects. One of the reasons for this might be that
it becomes challenging to monitor tiny signal difference coefficient in a
reliable manner for wave propagation in the specimens with micro-damages.
Nonlinear acoustic signal whose frequency differs from that of the input signal
can be found in the specimens with micro-damages. Therefore, the combination of
guided wave tomography and nonlinear acoustic response induced by micro-damages
could be a feasibility study for imaging micro-damages. In this paper, the
nonlinear Rayleigh surface wave tomographic method is investigated to locate and
size micro-corrosive defect region in an isotropic solid media. The variations of
acoustic nonlinear responses of ultrasonic waves in the specimens with and
without defects are used in guided wave tomographic algorithm to construct the
images. The comparisons between images obtained by experimental signals and real
defect region induced by hydrogen corrosion are presented in this paper. Results
show that the images of defect regions with different shape, size and location
are successfully obtained by this novel technique, while there is no visualized
result constructed by conventional linear ultrasonic tomographic one. The present
approach shows a potential for inspecting, locating and imaging micro-defects by
nonlinear Rayleigh surface wave tomography.
PMID- 26552637
TI - Giant balloon-like presacral schwannoma.
PMID- 26552638
TI - Delayed anterior cervical screws migrating simultaneously to the lung and
stomach.
PMID- 26552639
TI - A rare case of complete T10-T11 fracture and dislocation with the neurologic
signs of Frankel D.
PMID- 26552640
TI - Aneurysmal bone cyst of the sacrum.
PMID- 26552641
TI - Giant synovial sarcoma involved thoracolumbar vertebrae and paraspinal muscle.
PMID- 26552642
TI - A case of atypical spinal tuberculosis mimicking metastatic tumor.
PMID- 26552643
TI - BRCA2-positive spinal intramedullary ovarian metastatic disease: case report.
AB - BACKGROUND CONTEXT: Ovarian cancer is the fourth leading cause of cancer death in
women, but advances in treatment have led to longer survival among these
patients. Tied to these advances and increased survival, however, have been new
patterns of metastatic spread. PURPOSE: The authors discuss the management and
surgical decision making in patients with intramedullary ovarian metastatic
disease using a case illustration and relevant literature. STUDY DESIGN/SETTING:
A case report was used. METHODS: The authors describe a case of a 59-year-old
woman with Breast Cancer gene (BRCA) 2-positive ovarian cancer who developed
progressive myelopathy from a T10 to T11 intramedullary metastatic lesion.
RESULTS: The patient underwent a standard open T10-T11 laminectomy for
intramedullary tumor resection. Intraoperative ultrasound was used to direct the
dural opening over the lesion. After a posterior midline myelotomy, microsurgical
dissection revealed the intramedullary tumor with a discolored fibrous capsule,
which was carefully dissected off of the spinal tracts, and a gross total
resection was achieved. Postoperative magnetic resonance imaging at 6 months
demonstrated no evidence of residual or recurrent intramedullary tumor. The
patient underwent adjuvant external beam radiation to the thoracic spine but
succumbed to her primary disease 1 year after surgery. CONCLUSION: Although
central nervous system involvement of ovarian cancer confers a poor prognosis,
patients presenting with a solitary lesion and neurologic deficit may benefit
from surgical resection followed by steroids and radiation therapy, especially
when tissue diagnosis is necessary.
PMID- 26552645
TI - Effective Treatment With Albuterol in DOK7 Congenital Myasthenic Syndrome in
Children.
AB - BACKGROUND: Congenital myasthenic syndromes consist of rare disorders resulting
from mutations in genes encoding for presynaptic, synaptic, and postsynaptic
proteins that are involved in the signal transmission of the neuromuscular
junction. They are characterized by fatigable weakness of the skeletal muscles
with symptom onset from birth to early childhood. DOK7 (downstream of tyrosine
kinase 7) congenital myasthenic syndrome was previously treated successfully with
ephedrine and salbutamol; however, both are unavailable in the United States.
METHODS: Case report of a child with muscle weakness. RESULTS: This report
describes a boy who presented only with progressive limb-girdle muscle weakness
since age 2 years. The muscle biopsy with extensive studies revealed no obvious
etiologies. His muscle weakness rapidly worsened, requiring a wheelchair for
daily activities. Expanded neuromuscular gene panel promptly led to the diagnosis
of DOK7 congenital myasthenic syndrome, and his muscle strength dramatically and
persistently improved in four weeks with albuterol treatment, allowing him to
walk independently. In a brief literature review, 15 patients (five treated
between ages 5 and 17 years) from the Mayo Clinic with DOK7 mutations were also
successfully treated with albuterol. CONCLUSION: DOK7 congenital myasthenic
syndrome often presents with limb-girdle muscle weakness, which can become
progressive without proper treatment. If muscle biopsy reveals no obvious
etiology, an expanded neuromuscular gene panel may lead to a specific diagnosis
of congenital myasthenic syndrome such as those due to DOK7 mutation. Albuterol
is often used to treat bronchial asthma; however, it can also dramatically and
persistently improve the muscle strength of DOK7 congenital myasthenic syndrome.
PMID- 26552644
TI - Overexpression of HOXA10 promotes gastric cancer cells proliferation and
HOXA10(+)/CD44(+) is potential prognostic biomarker for gastric cancer.
AB - Gastric cancer (GC) is a malignant cancer with poor prognosis. This study aims to
investigate the roles of homeobox A10 (HOXA10) in GC and the correlations between
HOXA10/CD44 expression and GC prognosis. Based on qRT-PCR and Western Blot
analyses in 50 pairs of fresh GC samples and adjacent normal samples, it is
identified that HOXA10 was significantly up-regulated in GC tissues at mRNA and
protein levels. Cell proliferation, migration, and invasion were enhanced in GC
cells with overexpressed HOXA10, while inhibited in cells with silenced HOXA10.
Through IPA software, HOXA10 was predicted to interact with CD44 via MSN, which
was preliminarily confirmed by using Western Blot. Through immunohistochemistry
and tissue microarray (N=264), it is found that HOXA10 expression was
significantly correlated with tumor size (P=0.011) and CD44 expression (P<0.001),
while CD44 expression was significantly correlated with tumor size (P<0.001),
depth of tumor invasion (P<0.001), lymph node metastasis (P<0.001), distant
metastasis (P=0.001), UICC stage (P<0.001), histological differentiation
(P<0.001), and HOXA10 expression (P<0.001). Additionally, the over-all survival
and disease-free survival of HOXA10(+)/CD44(+) patients were dramatically
decreased in comparison with that of HOXA10(+)/CD44(-), HOXA10(-)/CD44(+), or
HOXA10(-)/CD44(-) patients (P<0.001), suggesting that the combinatory expression
of HOXA10 and CD44 was correlated with poor GC prognosis. In conclusion, HOXA10
and CD44 might play roles in GC tumorigenesis, metastasis, and invasion.
HOXA10(+)/CD44(+) expression might serve as a prognostic biomarker for GC, which
needs more studies to validate.
PMID- 26552647
TI - Arthroscopy and obesity.
AB - Obesity is a major public health issue, as incidence is rising in all developed
countries, although the proportion is lower in Europe than in the U.S. Over and
above the metabolic consequences and increased risk of diabetes, cardiovascular
pathology and certain forms of cancer, the present study focuses on
osteoarticular risk, and in particular on pathologies manageable by arthroscopy.
It also analyzes results and complications specific to arthroscopy in these
indications. Meniscal and ligamentous pathologies of the knee, rotator-cuff
pathology in the shoulder and tendon pathology in the elbow were not
significantly elevated, although a trend emerged. In contrast, there was
significant elevation of Achilles and plantar aponeurosis pathology. In terms of
postoperative complications, thromboembolic risk was elevated, but there were not
significantly more complications specific to arthroscopy. Finally, subjective
results were comparable to those for non-obese patients. LEVEL OF EVIDENCE:
Review.
PMID- 26552646
TI - A Novel Parent Questionnaire for the Detection of Seizures in Children.
AB - BACKGROUND: We developed a seizure questionnaire that could be administered by a
trained research assistant in a two-step process, approximating the clinical
diagnostic process of a pediatric epileptologist. This questionnaire was designed
to study seizure prevalence in a research population of 10-year-old children at
risk for epilepsy. METHODS: English-speaking parents of children 6 months to 12
years old were recruited from the pediatric neurology clinics at Boston Medical
Center and interviewed using a computerized questionnaire. An algorithm of parent
responses rendered a 4-level ranking scale of seizure probability for events: (1)
not likely, (2) indeterminate, (3) probable, (4) almost certain. Blinded to
questionnaire results, pediatric neurologists served as the diagnostic gold
standard, ranking each patient event using the same four-level scale based on
clinical history and examination. RESULTS: The questionnaire was completed by 150
of 177 (84.7%) enrolled parents. Seizure prevalence among participants was 38.6%.
The seizure questionnaire yielded a fitted receiver operating characteristic area
of 0.93 (95% confidence interval [CI], 0.89-0.97). Based on optimal sensitivity
and false-positive fraction, we dichotomized the questionnaire results as
consistent with seizure (levels 3 and 4) or without seizure (levels 1 and 2).
Overall, findings included a 91.4% sensitivity (95% CI, 84.2%-98.6%) and an 82.6%
specificity (95% CI, 74.9%-90.4%). The positive predictive value was 76.8% (95%
CI, 66.9%-86.8%) and the negative predictive value was 93.8% (95% CI, 88.6%
99.1%). CONCLUSIONS: This pediatric seizure questionnaire was both sensitive and
specific for detecting clinically confirmed seizures. This tool may be useful to
researchers and clinicians in screening large populations of children, decreasing
the time and cost of added neurological assessments.
PMID- 26552648
TI - Impact of Meyerozyma guilliermondii isolated from chickens against Eimeria sp.
protozoan, an in vitro analysis.
AB - BACKGROUND: Avian coccidiosis is a disease caused worldwide by several species of
parasite Eimeria that causes significant economic losses. This disease affects
chickens development and production, that most of times is controlled with
anticoccidial drugs. Although efforts have been made to address this disease,
they have been made to control Eimeria sporozoites, although enteric stages are
often vulnerable, however; the parasite oocyst remains a problem that must be
controlled, as it has a resistant structure that facilitates dispersion. Despite
some commercial products based on chemical compounds have been developed as
disinfectants that destroy oocysts, the solution of the problem remains to be
solved. RESULTS: In this work, we assessed in vitro anticoccidial activity of a
compound(s) secreted by yeast isolated in oocysts suspension from infected
chickens. The yeast was molecularly identified as Meyerozyma guilliermondii, and
its anticoccidial activity against Eimeria tenella oocysts was assessed. Here, we
report the damage to oocysts walls caused by M. guilliermondii culture,
supernatant, supernatant extract and intracellular proteins. In all cases, a
significant decreased of oocysts was observed. CONCLUSIONS: The yeast Meyerozyma
guilliermondii secretes a compound with anticoccidial activity and also has a
compound of protein nature that damages the resistant structure of oocyst,
showing the potential of this yeast and its products as a feasible method of
coccidiosis control.
PMID- 26552649
TI - Sonocatalytic degradation of malachite green oxalate by a semiconductor metal
oxide nanocatalyst.
AB - Advanced Oxidation Process (AOP) technologies are considered to be better
technique for the degradation or mineralization of many recalcitrant compounds
and pollutants. In the present study heterogeneous sonocatalytic degradation of a
model organic compound such as Malachite green oxalate (MGO) was carried out in
the aqueous phase. Zinc oxide nanorods were prepared by precipitation method
employing zinc acetates as precursors and were characterized by FT-IR, XRD, FE
SEM and EDAX analysis. Degradation of MGO in the aqueous phase was studied in
detail under the sonocatalytic process. Effects of pH, dye concentration, oxidant
concentration, kinetics and effect of electrolytes on dye degradation were
carried out to check the efficiency of the sonocatalyst. Effect of energy input
on the degradation processes was also investigated. The degradation of dye
molecules were monitored by UV-visible spectrophotometer and Chemical Oxygen
demand (COD). The dye molecules were readily degraded at above 90% in the pH
range 5.0-7.0 under ultrasound with zinc oxide nanorods. The interference of
electrolytes like NaCl, KCl, Na2CO3, NaHCO3 and MgSO4 on the degradation of dye
molecules were also studied on the sonocatalytic degradation of MGO. From the
kinetic studies it was observed that at lower initial concentration of dye
molecules the degradation efficiency was above 90%. The rate of the reaction
decreased on increasing the initial dye concentrations of the dye molecules. It
was observed that the complete mineralization of dye molecules was achieved
without the formation of toxic by-products. The reusability of the catalyst also
showed the effective degradation of the dye molecules up to five cycles without
loss of the catalytic activities.
PMID- 26552650
TI - A causal model for fatigue in lung cancer patients receiving chemotherapy.
AB - PURPOSE: This study explored a causal model explaining fatigue in lung cancer
patients currently undertaking Chemotherapy. METHOD: In this cross-sectional
study, a convenience sample of 246 lung cancer patients were recruited from six
Oncology centers throughout the north of Vietnam. The hypothesized model,
consisting of insomnia, dyspnea, cough, anxiety, stage of disease, physical
activity, nutritional status, and number of completed chemotherapy cycles, was
constructed based on Piper's Integrated Fatigue Model and a review of the
literature. RESULTS: All factors, except the number of completed chemotherapy
cycles significantly affected fatigue. The hypothesized model explained 42.9% of
fatigue variance. Dyspnea had the largest total effect on fatigue (beta = 0.397,
p < 0.01), followed by cough (beta = 0.343, p < 0.01), insomnia (beta = 0.318 (p
< 0.01), and anxiety (beta = 0.115, p < 0.05). However, insomnia had the greatest
direct effect on fatigue. There was also interplay among those four factors in
determining fatigue. Physical activity and nutrition status had small effects on
fatigue (beta = - 0.148, p < 0.01 and beta = - 0.156, p < 0.01). CONCLUSION: The
model fits well to explain fatigue. Having the largest direct effect on fatigue,
insomnia appeared as a factor of choice for future fatigue control programs. Due
to its higher direct effect on fatigue, dyspnea was recommended over cough for
fatigue management. Additionally, the interactions among fatigue, dyspnea, and
cough suggested that comprehensive programs, which simultaneously address these
three symptoms, would be a promising approach for practitioners to consider.
PMID- 26552651
TI - Erratum to: The time course of auditory and language-specific mechanisms in
compensation for sibilant assimilation.
PMID- 26552652
TI - The centroid paradigm: Quantifying feature-based attention in terms of attention
filters.
AB - This paper elaborates a recent conceptualization of feature-based attention in
terms of attention filters (Drew et al., Journal of Vision, 10(10:20), 1-16,
2010) into a general purpose centroid-estimation paradigm for studying feature
based attention. An attention filter is a brain process, initiated by a
participant in the context of a task requiring feature-based attention, which
operates broadly across space to modulate the relative effectiveness with which
different features in the retinal input influence performance. This paper
describes an empirical method for quantitatively measuring attention filters. The
method uses a "statistical summary representation" (SSR) task in which the
participant strives to mouse-click the centroid of a briefly flashed cloud
composed of items of different types (e.g., dots of different luminances or
sizes), weighting some types of items more strongly than others. In different
attention conditions, the target weights for different item types in the centroid
task are varied. The actual weights exerted on the participant's responses by
different item types in any given attention condition are derived by simple
linear regression. Because, on each trial, the centroid paradigm obtains
information about the relative effectiveness of all the features in the display,
both target and distractor features, and because the participant's response is a
continuous variable in each of two dimensions (versus a simple binary choice as
in most previous paradigms), it is remarkably powerful. The number of trials
required to estimate an attention filter is an order of magnitude fewer than the
number required to investigate much simpler concepts in typical psychophysical
attention paradigms.
PMID- 26552653
TI - A spectrum of intestinal injury models in neonatal mice.
AB - PURPOSE: To compare the degree of necrotizing enterocolitis (NEC)-like damage
under different stress conditions in neonatal mice. METHODS: 5-day-old C57BL/6
mice were assigned to: (A) breastfed and no stress factors; (B)
breastfed+maternal separation (3 h daily); (C)
breastfed+hypoxia+lipopolysaccharide (LPS-4 mg/kg/day); (D) hyperosmolar
formula+hypoxia+LPS. Mice were killed at 9 days of life. Ileum and colon were
stained for hematoxylin/eosin and blindly assessed. A scoring >=2 was considered
NEC. Data were compared using one-way ANOVA and reported as median (range).
RESULTS: Ileum-Mucosal injury was mild in group B (0.0-1). Hypoxia+LPS induced
greater injury in group C (1.6, 1-2.5; p < 0.0001 to B) and D (2, 0.5-3.5; p <
0.0001 to B). There were no differences between group C and D (p = n.s.). There
were no cases of NEC in group A or B, whereas NEC was present in 36 % group C and
68 % group D mice. Colon-a similar degree of mucosal injury was observed among
group B (2, 1-3), C (1.7, 0-3) and D (1.5, 1-3; p = n.s.). NEC was present in 75
% of group B, 50 % of group C and 86 % of group D. CONCLUSION: These models
establish a spectrum of intestinal injury and are useful to investigate the
variability of neonatal intestinal diseases, such as NEC.
PMID- 26552654
TI - Agreement of spatio-temporal gait parameters between a vertical ground reaction
force decomposition algorithm and a motion capture system.
AB - INTRODUCTION: A ground reaction force decomposition algorithm based on large
force platform measurements has recently been developed to analyze ground
reaction forces under each foot during the double support phase of gait. However,
its accuracy for the measurement of the spatiotemporal gait parameters remains to
be established. OBJECTIVE: The aim of the present study was to establish the
agreement between the spatiotemporal gait parameters obtained using (1) a walkway
(composed of six large force platforms) and the newly developed algorithm, and
(2) an optoelectronic motion capture system. METHODS: Twenty healthy children and
adolescents (age range: 6-17 years) and 19 healthy adults (age range: 19-51
years) participated in this study. They were asked to walk at their preferred
speed and at a speed that was faster than the preferred one. Each participant
performed three blocks of three trials in each of the two walking speed
conditions. RESULTS: The spatiotemporal gait parameters measured with the
algorithm did not differ by more than 2.5% from those obtained with the motion
capture system. The limits of agreement represented between 3% and 8% of the
average spatiotemporal gait parameters. Repeatability of the algorithm was
slightly higher than that of the motion capture system as the coefficient of
variations ranged from 2.5% to 6%, and from 1.5% to 3.5% for the algorithm and
the motion capture system, respectively. CONCLUSION: The proposed algorithm
provides valid and repeatable spatiotemporal gait parameter measurements and
offers a promising tool for clinical gait analysis. Further studies are warranted
to test the algorithm in people with impaired gait.
PMID- 26552655
TI - Bonobos modify communication signals according to recipient familiarity.
AB - Human and nonhuman primate communication differs in various ways. In particular,
humans base communicative efforts on mutual knowledge and conventions shared
between interlocutors. In this study, we experimentally tested whether bonobos
(Pan paniscus), a close relative to humans, are able to take into account the
familiarity, i.e. the shared interaction history, when communicating with a human
partner. In five experimental conditions we found that subjects took the
recipients' attentional state and their own communicative effectiveness into
account by adjusting signal production accordingly. More importantly, in case of
communicative failure, subjects repeated previously successful signals more often
with a familiar than unfamiliar recipient, with whom they had no previous
interactions, and elaborated by switching to new signals more with the unfamiliar
than the familiar one, similar to what has previously been found in two year-old
children. We discuss these findings in relation to the human capacity to
establish common ground between interlocutors, a crucial aspect of human
cooperative communication.
PMID- 26552656
TI - Targeting PSMA with a Cu-64 Labeled Phosphoramidate Inhibitor for PET/CT Imaging
of Variant PSMA-Expressing Xenografts in Mouse Models of Prostate Cancer.
AB - PURPOSE: Prostate-specific membrane antigen (PSMA) is highly up-regulated in
prostate tumor cells, providing an ideal target for imaging applications of
prostate cancer. CTT-1297 (IC50 = 27 nM) is an irreversible phosphoramidate
inhibitor of PSMA that has been conjugated to the CB-TE1K1P chelator for
incorporation of Cu-64. The resulting positron emission tomography (PET) agent,
[(64)Cu]ABN-1, was evaluated for selective uptake both in vitro and in vivo in
PSMA-positive cells of varying expression levels. The focus of this study was to
assess the ability of [(64)Cu]ABN-1 to detect and distinguish varying levels of
PSMA in a panel of prostate tumor-bearing mouse models. PROCEDURES: CTT-1297 was
conjugated to the CB-TE1K1P chelator using click chemistry and radiolabeled with
Cu-64. Internalization and binding affinity of [(64)Cu]ABN-1 was evaluated in the
following cell lines having varying levels of PSMA expression: LNCaP late-passage
> LNCaP early passage ~ C4-2B > CWR22rv1 and PSMA-negative PC-3 cells. PET/X-ray
computed tomography imaging was performed in NCr nude mice with subcutaneous
tumors of the variant PSMA-expressing cell lines. RESULTS: [(64)Cu]ABN-1
demonstrated excellent uptake in PSMA-positive cells in vitro, with ~80 %
internalization at 4 h for each PSMA-positive cell line with uptake (fmol/mg)
correlating to PSMA expression levels. The imaging data indicated significant
tumor uptake in all models. The biodistribution for late-passage LNCaP (highest
PSMA expression) demonstrated the highest specific uptake of [(64)Cu]ABN-1 with
tumor-to-muscle and tumor-to-blood ratios of 30 +/- 11 and 21 +/- 7,
respectively, at 24 h post-injection. [(64)Cu]ABN-1 cleared through all tissues
except for PSMA-positive kidneys. CONCLUSION: [(64)Cu]ABN-1 demonstrated
selective uptake in PSMA-positive cells and tumors, which correlated to the level
of PSMA expression. The data reported herein suggest that [(64)Cu]ABN-1 will
selectively target and image variant PSMA expression and in the future will serve
as a non-invasive method to follow the progression of prostate cancer in men.
PMID- 26552657
TI - A Novel Imaging Biomarker Extracted from Fluorescence Microscopic Imaging of TRA
8/DR5 Oligomers Predicts TRA-8 Therapeutic Efficacy in Breast and Pancreatic
Cancer Mouse Models.
AB - PURPOSE: The aim of the study was to develop a reliable quantitative imaging
biomarker from fluorescence microscopic imaging of TRA-8/death receptor 5 (DR5)
oligomer to predict TRA-8 therapeutic efficacy in human breast and pancreatic
cancer mouse models. PROCEDURES: Two breast (2LMP, SUM159) and two pancreatic
(MIA PaCa-2, PANC1) cancer cell lines were used. 10(5) cells per cell line were
placed in a culture dish and treated with Cy5.5-labeled TRA-8 overnight in vitro.
Three fluorescence microphotographs (*20) were acquired from randomly selected
areas, and about 300 cells were analyzed per cell line. Two-dimensional (2D)
fluorescence signal distribution of Cy5.5-TRA-8 on each cell was measured.
Gaussian curve fitting to the distribution was determined by the least square
regression method, and the coefficient of determination (R (2)) of the fitting
was found. In addition, two features of the best fitting Gaussian curve such as
peak amplitude and the volume under the curve (VUC) were retrieved. A novel image
biomarker was extracted by correlating the combination of R (2) value, peak
amplitude, and the VUC with the logarithmic values of the half maximal inhibitory
concentrations (IC50) of TRA-8 for the four cell lines or the percentage of tumor
growth inhibition (%TGI) at a week of TRA-8 treatment in animal models. RESULTS:
Cy5.5-TRA-8 binding to DR5 receptors resulted in an oligomer on each cell
membrane, and its fluorescence signal distribution followed Gaussian curve. Peak
amplitude of fluorescence signal in the oligomeric region, R (2) value of the
Gaussian fitting, and the VUC in TRA-8-sensitive cells were significantly higher
than those in resistant cells (p < 0.05). The novel imaging biomarker was
significantly correlated with either log10(IC50) or %TGI (p < 0.001). CONCLUSION:
The imaging biomarker extracted from the cellular distribution pattern of Cy5.5
TRA-8 may serve as a predictive biomarker of TRA-8 therapy for cancer patients.
PMID- 26552658
TI - Linking Syndemic Stress and Behavioral Indicators of Main Partner HIV
Transmission Risk in Gay Male Couples.
AB - The purpose of the current study was to examine whether syndemic stress in
partnered gay men might undermine communication processes essential to the
utilization of negotiated safety and other harm reduction strategies that rely on
partners' HIV status disclosure. Participants included 100 gay male couples (N =
200 individuals) living in the U.S., who responded to an online survey.
Participants completed measures of five syndemic factors (depression, poly-drug
use, childhood sexual abuse, intimate partner violence, and sexual compulsivity).
They also reported on whether condoms were used during first intercourse together
and the timing of first condomless anal intercourse (CAI) relative to HIV
disclosure in their relationship. Results of binary logistic regression analyses
supported the hypothesis that the sum of partners' syndemic stress was negatively
associated with condom use at first intercourse and with HIV disclosure prior to
first CAI. Syndemic stress may contribute to HIV transmission risk between main
partners in part because it accelerates the progression to CAI and interferes
with communication processes central to harm reduction strategies utilized by gay
men in relationships. Implications for prevention strategies and couples
interventions, such as couples HIV counseling and testing, that facilitate
communication skill-building, are discussed.
PMID- 26552659
TI - Functional analysis of differences in transcriptional activity conferred by
genetic variants in the 5' flanking region of the IL12RB2 gene.
AB - Interleukin 12 receptor beta chain (IL12RB2) is a crucial regulatory factor
involved in cell-mediated immune responses, and genetic variants of the gene
encoding IL12RB2 are associated with susceptibility to various immune-related
diseases. We previously demonstrated that haplotypes with single nucleotide
polymorphisms (SNPs) in the 5' flanking region of IL12RB2, including -1035A>G
(rs3762315) and -1023A>G (rs3762316), affect the expression of IL12RB2, thereby
altering susceptibility to leprosy and periodontal diseases. In the present
study, we identified transcription factors associated with the haplotype-specific
transcriptional activity of IL12RB2 in T cells and NK cells. The -1023G
polymorphism was found to create a consensus binding site for the transcription
factor activating protein (AP)-1, and enzyme-linked immunosorbent assay (ELISA)
based binding assays showed that these SNPs enhanced AP-1 binding to this region.
In reporter assays, suppression of JunB expression using siRNA eliminated
differences in the -1035G/-1023G and -1035A/-1023A regions containing IL12RB2
promoter activity in Jurkat T cells and NK3.3 cells. These results suggested that
the -1035/-1023 polymorphisms created differential binding affinities for JunB
that could lead to differential IL12RB2 expression. Moreover, the -1035G and
1035A alleles formed binding sites for GATA-3 and myocyte enhancer factor-2 (MEF
2), respectively. Our data indicated that in addition to JunB, the SNP at -1035/
1023 influenced GATA-3 and MEF-2 binding affinity, potentially altering IL12RB2
transcriptional activity. These findings confirm the effects of rs3762315 and
rs3762316 on IL12RB2 transcription. These genetic variants may alter cellular
activation of T cells and NK cells and modify cell-mediated immune responses.
PMID- 26552661
TI - Molecular construction of HIV-gp120 discontinuous epitope mimics by assembly of
cyclic peptides on an orthogonal alkyne functionalized TAC-scaffold.
AB - Mimics of discontinuous epitopes of for example bacterial or viral proteins may
have considerable potential for the development of synthetic vaccines, especially
if conserved epitopes can be mimicked. However, due to the structural complexity
and size of discontinuous epitopes molecular construction of these mimics remains
challeging. We present here a convergent route for the assembly of discontinuous
epitope mimics by successive azide alkyne cycloaddition on an orthogonal alkyne
functionalized scaffold. Here the synthesis of mimics of the HIV gp120
discontinuous epitope that interacts with the CD4 receptor is described. The
resulting protein mimics are capable of inhibition of the gp120-CD4 interaction.
The route is convergent, robust and should be applicable to other discontinuous
epitopes.
PMID- 26552660
TI - The diversity of the HLA-E-restricted peptide repertoire explains the
immunological impact of the Arg107Gly mismatch.
AB - Human leukocyte antigen (HLA)-E molecules are potent inhibitors of NK cell
mediated killing. Low in polymorphisms, two alleles are widely expressed among
diverse populations: HLA-E*01:01 and HLA-E*01:03. Both alleles are distinguished
by one SNP resulting in the substitution Arg107Gly. Both alleles present a
limited set of peptides derived from class I leader sequences physiologically;
however, HLA-E*01:01 presents non-canonical peptides in the absence of HLA class
I molecules. To further assess the functional differences between both alleles,
we analyzed the peptide repertoire of HLA-E*01:03 by applying soluble HLA
technology followed by mass-spectrometric peptide sequencing. HLA-E*01:03
restricted peptides showed a length of 9-17 amino acids and differed in their
biophysical properties, no overlap in the peptide repertoire of both allelic
variants could be observed; however, both alleles shared marginal peptides from
the same proteomic content. Artificial APCs expressing empty HLA-E*01:01 or
E*01:03 molecules were generated and stabilized using cognate HLA class I-derived
peptide ligands to analyze the impact of residue 107 within the HLA-E heavy chain
on the NKG2/CD94 receptor engagement. Differences in peptide stabilization could
be translated to the density and half-life time of peptide-HLA-E molecules on the
cell surface that subsequently impacted NK cell inhibition as verified by
cytotoxicity assays. Taken together, these data illustrate functional differences
of HLA-E allelic variants induced by a single amino acid. Furthermore, the
function of HLA-E in pathophysiologic situations when the HLA processing
machinery is interrupted seems to be more emphasized than previously described,
implying a crucial role for HLA-E in tumor or viral immune episodes.
PMID- 26552662
TI - Anti-PD-1/PD-L1 Therapy as a Promising Option for Non-Small Cell Lung Cancer: a
Single arm Meta-Analysis.
AB - Anti-PD-1/PD-L1 antibodies showed satisfactory efficacy in treating non-small
cell lung cancer. We conducted this meta-analysis to explore the advantage
subtypes and best therapeutic modalities of Anti-PD-1/PD-L1 therapy on NSCLC. A
quantitative meta-analysis was performed through a systematic search in PubMed,
Web of Science, and the Cochrane Library. The pooled ORR, 6-month progression
free survival rate (PFSR6m), and 1-year overall survival rate (OSR1y) were
calculated and compared. 15 trials were included in this meta-analysis. Our
analyses demonstrated the pooled ORR of 1st line and 2nd or more line anti-PD
1/PD-L1 therapy were 36.5% (21.9-51.0%) and 17.0% (14.3-19.7%), respectively.
While the difference was significant (Z = 3.31, p < 0.001). The pooled ORR for
non-squamous and squamous cell lung cancer were 18.5% (16.0-21.1%) and 17.9%
(14.4-21.5%), respectively. The difference was not significant (Z = 0.27, p =
0.791). The pooled ORR for PD-L1 positive and negative patients were 29.6% (21.6
37.6%) and 13.5% (10.6-16.3%), respectively. The difference was significant (Z =
4.39, p < 0.001). The PFSR6m for PD-L1 positive and negative NSCLC were 50.0%
(40.5-62.3%) and 27.0% (19.2-34.7%). The difference was significant (Z = 3.72, p
< 0.001). The OSR1y for PD-L1 positive and negative NSCLC were 66.8% (44.8%
88.9%) and 54.0% (32.6-75.3%). The difference was not significant (Z = 0.77, p =
0.441). Anti-PD-1/PD-L1 antibody can serve as a promising treatment option for
NSCLC. Patients with positive PD-L1 expression may benefit more from anti-PD-1/PD
L1 therapy. 1st-line anti-PD-1/PD-L1 therapy can be chosen as the best modality.
Squamous cell lung cancer also benefit from anti-PD-1/PD-L1 therapy.
PMID- 26552663
TI - Contribution of EVX1 in Aggressiveness of Esophageal Squamous Cell Carcinoma.
AB - Homeobox genes play an overruling role in the regional cell fate determination
during development. EVX1 is known as a new target gene of BMP signaling pathway,
a group of morphogens which are making the largest subset within the
transformation growth factor beta (TGF-beta) superfamily. In this study, we aimed
to enlighten the expression level of EVX1 in esophageal squamous cell carcinoma
(ESCC) and to disclose its apparent roles in maintenance and progression of the
disease. The expression level of EVX1 was analyzed in fresh tumoral tissues in
comparison with distant tumor-free tissues of 50 ESCC patients using relative
comparative real-time PCR. The importance of EVX1 in development and cancer was
also reviewed. EVX1 was underexpressed in 70% of tumor samples. There was a
significant correlation between down-regulation of EVX1 and lymph node metastasis
of tumor cells (p = 0.027). Furthermore, EVX1 underexpression was significantly
correlated with depth of tumor cell invasion (P = 0.037). To the best of our
knowledge, this is the first report highlighting EVX1 expression in ESCC to date.
The clinicopathological relevance of EVX1 mRNA expression in ESCC targeted this
gene as a new independent molecular marker for advanced tumor, which determine
the characteristics and behavior of aggressive ESCC.
PMID- 26552665
TI - Erratum to: An epidemiological study of paediatric motocross injuries in the
United Kingdom.
PMID- 26552664
TI - What maintains the high intra-follicular estradiol concentration in pre-ovulatory
follicles?
AB - PURPOSE: The purpose of the study was to establish the mechanism by which the
estrogen concentration difference between the follicular fluid and the serum is
maintained. METHODS: We used dialysis membrane with a pore size of <3 KD to
characterize the estrogen-binding capacity of the follicular fluid. We performed
PCR, western blot, and ELISA on luteinized granulosa cells to determine if sex
hormone-binding globulin (SHBG) is produced by granulosa cells, and finally we
used affinity columns and mass spectrometry to identify the estrogen-binding
protein in the follicular fluid. RESULTS: We found that a significant estrogen
concentration difference is maintained in a cell-free system and is lost with
proteolysis of the follicular fluid proteins. Luteinized granulosa cells are
likely not a source of SHBG, as we were not able to detect expression of SHBG in
these cells. Perlecan was the most highly enriched follicular fluid protein in
the affinity columns. CONCLUSIONS: We were able to identify perlecan as the most
likely candidate for the major estrogen-binding protein in the follicular fluid.
PMID- 26552666
TI - Regulatory framework for gene editing and other new breeding techniques (NBTs) in
Argentina.
AB - "New Breeding Techniques" (NBTs) are a group of recent innovations in plant
breeding using molecular biology tools. It is becoming evident that NBTs can
introduce advantageous traits for agriculture that could be commercially
available very soon However, there is still a need of clarifying its regulatory
status, particularly in regards to worldwide regulations on Genetically Modified
Organisms (GMOs). This article reviews the meaning of the NBTs concept, performs
an overall regulatory analysis of these technologies and reports the first
regulation in the world that is applied to these technologies, which was issued
by the Argentine Government.
PMID- 26552667
TI - Climate-driven migration: an exploratory case study of Maasai health perceptions
and help-seeking behaviors.
AB - OBJECTIVES: By 2050, over 250 million people will be displaced from their homes
by climate change. This exploratory case study examines how climate-driven
migration impacts the health perceptions and help-seeking behaviors of Maasai in
Tanzania. Increasing frequency and intensity of drought is killing livestock,
forcing Maasai to migrate from their rural homelands to urban centers in search
of ways to support their families. Little existing research investigates how this
migration changes the way migrants think about health and make healthcare
decisions. METHODS: This study used semi-structured qualitative interviews to
explore migrant and non-migrant beliefs surrounding health and healthcare.
Migrant and non-migrant participants were matched on demographic characteristics
and location. RESULTS: Migrants emphasized the importance of mental health in
their overall health perceptions, whereas non-migrants emphasized physical
health. Although non-migrants perceived more barriers to accessing healthcare,
migrant and non-migrant help-seeking behaviors were similar in that they only
sought help for physical health problems, and utilized hospitals as a last
option. CONCLUSIONS: These findings have implications for improving Maasai
healthcare utilization, and for future research targeting other climate-driven
migrant populations in the world.
PMID- 26552668
TI - High efficacy of the MACOP-B regimen in the treatment of adult Langerhans cell
histiocytosis, a 20 year experience.
AB - BACKGROUND: Adult Langerhans cell histiocytosis (LCH) is an orphan disease.
Chemotherapy is usually reserved to patients presenting with single system
multifocal (SS-m) or multisystem (MS) disease but due to the lack of randomized
studies no standard first line therapy has been defined yet. Pediatric regimens
based on the vinblastine/prednisone backbone are not well tolerated in adults and
probably less effective. We previously demonstrated high efficacy of the dose
dense polichemotherapy regimen MACOP-B in 7 adult patients with SS-m or MS-LCH,
in terms of high response rate and durable responses. Here we report an update of
these data with the purpose of evaluating the long term efficacy of MACOP-B in
adult LCH. METHODS: Clinical data of all adult LCH patients (n = 17) diagnosed
and treated at our Institution during the past 20-year period were
retrospectively reviewed. RESULTS: A total of 11 patients (6 with SS-m and 5 with
MS-LCH) were treated with MACOP-B from 1995 to 2014. The overall response rate
was confirmed to be 100 %, with a complete response of 73 % and a partial
response rate of 27 %. Overall progression free survival was 64 %, and disease
free survival after achievement of initial CR was 87 %. Overall survival rate was
82 % after 6.7 years of median follow-up. CONCLUSIONS: These data confirm high
activity of MACOP-B in adult LCH, indicating that a substantial fraction of
patients achieve long lasting responses and can be cured with this therapeutic
approach.
PMID- 26552669
TI - Total extraperitoneal approach for incarcerated obturator hernia repair.
AB - PURPOSE: To compare the feasibilities and efficacies of the total extraperitoneal
(TEP) technique and laparotomy for incarcerated obturator hernia repair. METHODS:
All study subjects were diagnosed with incarcerated obturator hernia,
preoperatively and TEP was performed as for TEP groin hernia repair. The
incarcerated intestine was retracted into the peritoneal cavity with the hernia
sac. The obturator foramen was then covered with a rectangular mesh (9 * 13 cm),
which also covered the internal inguinal ring, Hesselbach's triangle, and the
femoral ring. Non-ischemia of the incarcerated bowel was confirmed
laparoscopically. In patients undergoing laparotomy, the obturator foramen was
closed by continuous sutures, and no prosthesis was used. We recorded the length
of hospital stay, operative time, amount of intraoperative bleeding, and
postoperative complications. RESULTS: Twenty-two patients underwent obturator
hernia repair in our hospital between January 2000 and December 2012, of whom 10
were treated with laparotomy and the remaining 12 via TEP. Three patients
undergoing TEP were converted to laparotomy. The operation time was significantly
longer in the conversion group compared with either the laparotomy or the TEP
groups. There was no difference between the laparotomy and TEP groups regarding
intraoperative bleeding. Patients who underwent TEP without conversion had a
significantly shorter hospital stay than those who underwent laparotomy or
required conversion. CONCLUSIONS: TEP provides a suitable approach for
incarcerated obturator hernia repair, with favorable results regarding hospital
stay. TEP is a feasible, minimally invasive technique for the repair of
incarcerated obturator hernias.
PMID- 26552670
TI - Method for Assaying the Lipid Kinase Phosphatidylinositol-5-phosphate 4-kinase
alpha in Quantitative High-Throughput Screening (qHTS) Bioluminescent Format.
AB - Lipid kinases are important regulators of a variety of cellular processes and
their dysregulation causes diseases such as cancer and metabolic diseases.
Distinct lipid kinases regulate the seven different phosphorylated forms of
phosphatidylinositol (PtdIns). Some lipid kinases utilize long-chain lipid
substrates that have limited solubility in aqueous solutions, which can lead to
difficulties in developing a robust and miniaturizable biochemical assay. The
ability to prepare the lipid substrate and develop assays to identify modulators
of lipid kinases is important and is the focus of this methods chapter. Herein,
we describe a method to prepare a DMSO-based lipid mixture that enables the 1536
well screening of the lipid kinase phosphatidylinositol-5-phosphate 4-kinase
alpha (PI5P4Kalpha) utilizing the D-myo-di16-PtIns(5)P substrate in quantitative
high-throughput screening (qHTS) format using the ADP-GloTM technology to couple
the production of ADP to a bioluminescent readout.
PMID- 26552671
TI - Assaying Ceramide Synthase Activity In Vitro and in Living Cells Using Liquid
Chromatography-Mass Spectrometry.
AB - Sphingolipids are one the major lipid families in eukaryotes, incorporating a
diverse array of structural and signaling lipids such as sphingomyelin and
gangliosides. The core lipid component for all complex sphingolipids is ceramide,
a diacyl lipid consisting of a variable length fatty acid linked through an amide
bond to a long chain base such as sphingosine or dihydrosphingosine. This
reaction is catalyzed by a family of six ceramide synthases (CERS1-6), each of
which preferentially catalyzes the synthesis of ceramides with different fatty
acid chain lengths. Ceramides are themselves potent cellular and physiological
signaling molecules heavily implicated in diabetes and neurodegenerative
diseases, making it important for researchers to have access to sensitive and
accurate assays for ceramide synthase activity. This chapter describes methods
for assaying ceramide synthase activity in cell or tissue lysates, or in cultured
cells (in situ), using liquid chromatography-tandem mass spectrometry (LC-MS/MS)
as the readout. LC-MS/MS is a very sensitive and accurate means for assaying
ceramide synthase reaction products.
PMID- 26552672
TI - Fluorescent Assays for Ceramide Synthase Activity.
AB - Ceramides are the central lipid metabolite of the sphingolipid family, and exert
a potent influence over cell polarity, differentiation, and survival through
their biophysical properties and their specific interactions with cell signaling
proteins. Literature on the importance of ceramides in physiology and
pathological conditions continues to grow, with ceramides having been identified
as central effectors in major human pathologies such as diabetes and
neurodegenerative conditions. In mammals, ceramide synthesis from a sphingoid
base and a variable length fatty acid is catalyzed by a family of six ceramide
synthases (CERS1-6), whose active sites exhibit differential specificity for
different length fatty acids. CERS activity has traditionally been measured using
radioactive substrates. More recently mass spectrometry has been used. In this
chapter, we describe a fluorescent CERS assay, the results of which can be
quantified using thin-layer chromatography (TLC) or high-performance liquid
chromatography (HPLC). Methods for quantification with either TLC or HPLC are
described.
PMID- 26552674
TI - Measurement of Long-Chain Fatty Acyl-CoA Synthetase Activity.
AB - Long-chain fatty acyl-CoA synthetases (ACS) are a family of essential enzymes of
lipid metabolism, activating fatty acids by thioesterification with coenzyme A.
Fatty acyl-CoA molecules are then readily utilized for the biosynthesis of
storage and membrane lipids, or for the generation of energy by beta-oxidation.
Acyl-CoAs also function as transcriptional activators, allosteric inhibitors, or
precursors for inflammatory mediators. Recent work suggests that ACS enzymes may
drive cellular fatty acid uptake by metabolic trapping, and may also regulate the
channeling of fatty acids towards specific metabolic pathways. The implication of
ACS enzymes in widespread lipid associated diseases like type 2 diabetes has
rekindled interest in this protein family. Here, we describe in detail how to
measure long-chain fatty acyl-CoA synthetase activity by a straightforward
radiometric assay. Cell lysates are incubated with ATP, coenzyme A, Mg(2+), and
radiolabeled fatty acid bound to BSA. Differential phase partitioning of fatty
acids and acyl-CoAs is exploited to quantify the amount of generated acyl-CoA by
scintillation counting. The high sensitivity of this assay also allows the
analysis of small samples like patient biopsies.
PMID- 26552673
TI - Identification of the Interactome of a Palmitoylated Membrane Protein,
Phosphatidylinositol 4-Kinase Type II Alpha.
AB - Phosphatidylinositol 4-kinases (PI4K) are enzymes responsible for the production
of phosphatidylinositol 4-phosphates, important intermediates in several cell
signaling pathways. PI4KIIalpha is the most abundant membrane-associated kinase
in mammalian cells and is involved in a variety of essential cellular functions.
However, the precise role(s) of PI4KIIalpha in the cell is not yet completely
deciphered. Here we present an experimental protocol that uses a chemical cross
linker, DSP, combined with immunoprecipitation and immunoaffinity purification to
identify novel PI4KIIalpha interactors. As predicted, PI4KIIalpha participates in
transient, low-affinity interactions that are stabilized by the use of DSP. Using
this optimized protocol we have successfully identified actin cytoskeleton
regulators-the WASH complex and RhoGEF1, as major novel interactors of
PI4KIIalpha. While this chapter focuses on the PI4KIIalpha interactome, this
protocol can and has been used to generate other membrane interactome networks.
PMID- 26552675
TI - Qualitative and Quantitative In Vitro Analysis of Phosphatidylinositol
Phosphatase Substrate Specificity.
AB - Phosphoinositides compromise a family of eight membrane lipids which play
important roles in many cellular signaling pathways. Signaling through
phosphoinositides has been shown in a variety of cellular functions such cell
proliferation, cell growth, apoptosis, and vesicle trafficking. Phospholipid
phosphatases regulate cell signaling by modifying the concentration of
phosphoinositides and their dephosphorylated products. To understand the role of
individual lipid phosphatases in phosphoinositide turnover and functional
signaling, it is crucial to determine the substrate specificity of the lipid
phosphatase of interest. In this chapter we describe how the substrate
specificity of an individual lipid phosphatase can be qualitatively and
quantitatively measured in an in vitro radiometric assay. In addition, we specify
the different expression systems and purification methods required to produce the
necessary yield and functionality in order to further characterize these enzymes.
The outstanding versatility and sensitivity of this assay system are yet
unmatched and are therefore currently considered the standard of the field.
PMID- 26552676
TI - Luciferase Reporter Assays to Assess Liver X Receptor Transcriptional Activity.
AB - Luciferase reporter assays are sensitive and accurate tests that enable the
analysis of regulatory sequences, the magnitude of transcriptional activity by
transcription factors, and the discovery of gene regulatory elements and small
molecule modulators with high levels of precision. This is made possible through
detection of bioluminescence produced by luciferase-coding reporters in a wide
range of cellular environments. These assays are routinely used to analyze the
activity of transcription factors, including the lipid-activated liver X receptor
(LXR), in response to different stimuli as well as for the identification of
their ligands. In this chapter we describe in detail the assays performed to
investigate LXR activity in a macrophage-like cell line (RAW 267.4). These can be
easily adapted to other nuclear receptors and transcription factors.
PMID- 26552677
TI - Metabolically Biotinylated Reporters for Electron Microscopic Imaging of
Cytoplasmic Membrane Microdomains.
AB - The protein and lipid substituents of cytoplasmic membranes are not in general
homogeneously distributed across the membrane surface. Many membrane proteins,
including ion channels, receptors, and other signaling molecules, exhibit a
profound submicroscopic spatial organization, in some cases clustering in
submicron membrane subdomains having a protein and lipid composition distinct
from that of the bulk membrane. In the case of membrane-associated signaling
molecules, mounting evidence indicates that their nanoscale organization, for
example the colocalization of differing signaling molecules in the same membrane
microdomains versus their segregation into distinct microdomain species, can
significantly impact signal transduction. Biochemical membrane fractionation
approaches have been used to characterize membrane subdomains of unique protein
and lipid composition, including cholesterol-rich lipid raft structures. However,
the intrinsically perturbing nature of fractionation methods makes the
interpretation of such characterization subject to question, and indeed the
existence and significance of lipid rafts remain controversial. Electron
microscopic (EM) imaging of immunogold-labeled proteins in plasma membrane sheets
has emerged as a powerful method for visualizing the nanoscale organization and
colocalization of membrane proteins, which is not as perturbing of membrane
structure as are biochemical approaches. For the purpose of imaging putative
lipid raft structures, we recently developed a streamlined EM membrane sheet
imaging procedure that employs a unique genetically encoded and metabolically
biotinylated reporter that is targeted to membrane inner leaflet lipid rafts. We
describe here the principles of this procedure and its application in the imaging
of plasma membrane inner leaflet lipid rafts.
PMID- 26552678
TI - Fluorescence Recovery After Photobleaching Analysis of the Diffusional Mobility
of Plasma Membrane Proteins: HER3 Mobility in Breast Cancer Cell Membranes.
AB - The fluorescence recovery after photobleaching (FRAP) method is a straightforward
means of assessing the diffusional mobility of membrane-associated proteins that
is readily performed with current confocal microscopy instrumentation. We
describe here the specific application of the FRAP method in characterizing the
lateral diffusion of genetically encoded green fluorescence protein (GFP)-tagged
plasma membrane receptor proteins. The method is exemplified in an examination of
whether the previously observed segregation of the mammalian HER3 receptor
protein in discrete plasma membrane microdomains results from its physical
interaction with cellular entities that restrict its mobility. Our FRAP
measurements of the diffusional mobility of GFP-tagged HER3 reporters expressed
in MCF7 cultured breast cancer cells showed that despite the observed segregation
of HER3 receptors within plasma membrane microdomains their diffusion on the
macroscopic scale is not spatially restricted. Thus, in FRAP analyses of various
HER3 reporters a near-complete recovery of fluorescence after photobleaching was
observed, indicating that HER3 receptors are not immobilized by long-lived
physical interactions with intracellular species. An examination of HER3 proteins
with varying intracellular domain sequence truncations also indicated that a
proposed formation of oligomeric HER3 networks, mediated by physical interactions
involving specific HER3 intracellular domain sequences, either does not occur or
does not significantly reduce HER3 mobility on the macroscopic scale.
PMID- 26552679
TI - Isolation and Analysis of Detergent-Resistant Membrane Fractions.
AB - The hypothesis that the Golgi apparatus is capable of sorting proteins and
sending them to the plasma membrane through "lipid rafts," membrane lipid domains
highly enriched in glycosphingolipids, sphingomyelin, ceramide, and cholesterol,
was formulated by van Meer and Simons in 1988 and came to a turning point when it
was suggested that lipid rafts could be isolated thanks to their resistance to
solubilization by some detergents, namely Triton X-100. An incredible number of
papers have described the composition and properties of detergent-resistant
membrane fractions. However, the use of this method has also raised the fiercest
criticisms. In this chapter, we would like to discuss the most relevant
methodological aspects related to the preparation of detergent-resistant membrane
fractions, and to discuss the importance of discriminating between what is
present on a cell membrane and what we can prepare from cell membranes in a
laboratory tube.
PMID- 26552680
TI - Detection of Isolated Mitochondria-Associated ER Membranes Using the Sigma-1
Receptor.
AB - The interface between the endoplasmic reticulum (ER) and mitochondria referred to
as the MAM (mitochondria-associated ER membrane) plays important roles in many
physiological functions. A specific marker for this important entity of cellular
structure is urgently needed. Thus, we propose in this method chapter that the
membrane-bound ER chaperone sigma-1 receptor serves as an ideal marker for the
MAM. We describe in detail the preparation and purification of the MAM by using
the sigma-1 receptor as the marker and demonstrate the uniqueness of this marker
by using a variety of cells, peripheral and neuronal.
PMID- 26552681
TI - Using Surface Plasmon Resonance to Quantitatively Assess Lipid-Protein
Interactions.
AB - Surface Plasmon Resonance (SPR) is a quantitative, label-free method for
determining molecular interactions in real time. The technology involves fixing a
ligand onto a senor chip, measuring a baseline resonance angle, and flowing an
analyte in bulk solution over the fixed ligand to measure the subsequent change
in resonance angle. The mass of analyte bound to fixed ligand is directly
proportional to the resonance angle change and the system is sensitive enough to
detect as little as picomolar amounts of analyte in the bulk solution. SPR can be
used to determine both the specificity of molecular interactions and the kinetics
and affinity of an interaction. This technique has been especially useful in
measuring the affinities of lipid-binding proteins to intact liposomes of varying
lipid compositions.
PMID- 26552682
TI - Analyzing Protein-Phosphoinositide Interactions with Liposome Flotation Assays.
AB - Liposome flotation assays are a convenient tool to study protein-phosphoinositide
interactions. Working with liposomes resembles physiological conditions more than
protein-lipid overlay assays, which makes this method less prone to detect false
positive interactions. However, liposome lipid composition must be well
considered in order to prevent nonspecific binding of the protein through
electrostatic interactions with negatively charged lipids like
phosphatidylserine. In this protocol we use the PROPPIN Hsv2 (homologous with
swollen vacuole phenotype 2) as an example to demonstrate the influence of
liposome lipid composition on binding and show how phosphoinositide binding
specificities of a protein can be characterized with this method.
PMID- 26552683
TI - High-Throughput Fluorometric Assay for Membrane-Protein Interaction.
AB - Membrane-protein interaction plays key roles in a wide variety of biological
processes. To facilitate rapid and sensitive measurement of membrane binding of
soluble proteins, we developed a fluorescence-based quantitative assay that is
universally applicable to all proteins. This fluorescence-quenching assay employs
fluorescence protein (FP)-tagged proteins whose fluorescence intensity is greatly
decreased when they bind vesicles containing synthetic lipid dark quenchers, such
as N-dimethylaminoazobenzenesulfonylphosphatidylethanolamine (dabsyl-PE). This
simple assay can be performed with either a spectrofluorometer or a plate reader
and optimized for different proteins with various combinations of FPs and
quenching lipids. The assay allows rapid, sensitive, and accurate determination
of lipid specificity and affinity for various lipid binding domains and proteins,
and also high-throughput screening of small molecules that modulate membrane
binding of proteins.
PMID- 26552685
TI - Analysis of Sphingolipid Synthesis and Transport by Metabolic Labeling of
Cultured Cells with [3H]Serine.
AB - Analysis of lipid biosynthesis by radioactive precursor incorporation provides
information on metabolic rates and the identity of rate-limiting enzymes and
transporters. The biosynthesis of sphingolipids in cultured cells is initiated in
the endoplasmic reticulum (ER) by the formation of a sphingoid base from serine
and palmitoyl-CoA. N-acylation of the sphingoid base produces ceramide, which is
transported to the Golgi apparatus where phosphocholine or carbohydrate
headgroups are added to form sphingomyelin (SM) and complex glycosphingolipids
(GSLs), respectively. Herein is described a protocol to measure ceramide and SM
biosynthesis in cultured cells based on [(3)H]serine incorporation at the first
step in the pathway. The method can be used to assay the effect of
pharmacological and genetic manipulations on ceramide synthesis and transport to
the Golgi apparatus.
PMID- 26552684
TI - Guidelines for the Use of Protein Domains in Acidic Phospholipid Imaging.
AB - Acidic phospholipids are minor membrane lipids but critically important for
signaling events. The main acidic phospholipids are phosphatidylinositol
phosphates (PIPs also known as phosphoinositides), phosphatidylserine (PS), and
phosphatidic acid (PA). Acidic phospholipids are precursors of second messengers
of key signaling cascades or are second messengers themselves. They regulate the
localization and activation of many proteins, and are involved in virtually all
membrane trafficking events. As such, it is crucial to understand the subcellular
localization and dynamics of each of these lipids within the cell. Over the
years, several techniques have emerged in either fixed or live cells to analyze
the subcellular localization and dynamics of acidic phospholipids. In this
chapter, we review one of them: the use of genetically encoded biosensors that
are based on the expression of specific lipid binding domains (LBDs) fused to
fluorescent proteins. We discuss how to design such sensors, including the
criteria for selecting the lipid binding domains of interest and to validate
them. We also emphasize the care that must be taken during data analysis as well
as the main limitations and advantages of this approach.
PMID- 26552686
TI - Determination and Characterization of Tetraspanin-Associated Phosphoinositide-4
Kinases in Primary and Neoplastic Liver Cells.
AB - Accumulating evidence implicates phosphoinositide 4-phosphate as a regulatory
molecule in its own right recruiting specific effector proteins to cellular
membranes. Here, we describe biochemical and immunocytochemical methods to
evaluate tetraspanin-associated phosphoinositide-4 kinases activity in primary
human hepatic stellate cells (hHSC) and neoplastic hepatoblastoma cells.
PMID- 26552687
TI - Analysis of the Phosphoinositide Composition of Subcellular Membrane Fractions.
AB - Phosphoinositides play critical roles in the transduction of extracellular
signals through the plasma membrane and also in endomembrane events important for
vesicle trafficking and organelle function (Di Paolo and De Camilli, Nature
443(7112):651-657, 2006). The response triggered by these lipids is heavily
dependent on the microenvironment in which they are found. HPLC analysis of
labeled phosphoinositides allows quantification of the levels of each
phosphoinositide species relative to their precursor, phosphatidylinositol. When
combined with subcellular fractionation techniques, this strategy allows
measurement of the relative phosphoinositide composition of each membrane
fraction or organelle and determination of the microenvironment in which each
species is enriched. Here, we describe the steps to separate and quantify total
or localized phosphoinositides from cultured cells.
PMID- 26552688
TI - Single-Molecule Imaging of Signal Transduction via GPI-Anchored Receptors.
AB - Lipid rafts have been drawing extensive attention as a signaling platform. To
investigate molecular interactions in lipid rafts, we often need to observe
molecules in the plasma membranes of living cells because chemical fixation and
subsequent immunostaining with divalent or multivalent antibodies may change the
location of the target molecules. In this chapter, we describe how to examine
dynamics of raft-associated glycosylphosphatidylinositol (GPI)-anchored receptors
and interactions of the receptors with downstream signaling molecules by single
particle tracking or single-molecule imaging techniques.
PMID- 26552689
TI - Measuring Phosphatidylinositol Generation on Biological Membranes.
AB - Phosphatidylinositol (PI) is a phospholipid molecule required for the generation
of seven different phosphoinositide lipids which have a diverse range of
signaling and trafficking functions. The precise mechanism of
phosphatidylinositol supply during receptor activated signaling and the cellular
compartmentation of the synthetic process are still incompletely understood and
remain controversial despite several decades of research in this area. The
synthesis of phosphatidylinositol requires the activity of an enzyme called
phosphatidylinositol synthase, also known as CDIPT, which catalyzes a reversible
headgroup exchange reaction on its substrate liponucleotide CDP-diacylglycerol
resulting in the incorporation of inositol to generate phosphatidylinositol and
the release of CMP. This protocol describes a method for locating PI synthase
activity in isolated, intact biological membranes and vesicles.
PMID- 26552690
TI - Assay for CDP-Diacylglycerol Generation by CDS in Membrane Fractions.
AB - CDP-DAG is a liponucleotide formed by the condensation of CTP with the
phospholipid phosphatidic acid in a reaction catalyzed by CDP-DAG synthase (CDS).
CDP-DAG is required for the synthesis of phosphatidylinositol; the parent
molecule whence all seven phosphoinositides including the signaling molecules
PI4P, PI(4,5)P2, and PI(3,4,5)P3 are derived. This protocol describes a highly
sensitive radiometric assay to detect the generation of CDP-DAG on isolated
biological membrane fractions.
PMID- 26552691
TI - Ketamine for prehospital trauma analgesia in a low-resource rural trauma system:
a retrospective comparative study of ketamine and opioid analgesia in a ten-year
cohort in Iraq.
AB - BACKGROUND: Opioid analgesics are used in most trauma systems, and only a few
studies report on the use of ketamine for prehospital analgesia. In a low-cost
rural trauma system in Iraq paramedics have been using prehospital ketamine
analgesia for ten years. This study aims to evaluate the effects of prehospital
analgesia on physiologic trauma severity indicators and compare the effect of
ketamine and pentazocine on those indicators. METHODS: The investigation was
conducted as a retrospective cohort study with parallel group design. Three
subsamples of trauma patients were compared: no analgesia (n = 275), pentazocine
analgesia (n = 888), and ketamine analgesia (n = 713). Physiologic severity
scores were calculated based on rated values for respiratory rate, blood
pressure, and consciousness. The associations between outcomes and explanatory
variables were assessed using a generalized linear model. RESULTS: Paramedic
administration of analgesia was associated with a better physiologic severity
score (PSS) outcome (p = 0.01). In the two subsamples receiving analgesia
significantly better outcomes were observed for respiration (p < 0.0001) and
systolic blood pressure (p < 0.0001). In patients with Injury Severity Score >8
ketamine was associated with a significantly better effect on the systolic blood
pressure compared to opioid analgesia (p = 0.03). CONCLUSION: Prehospital
analgesia for trauma victims improves physiologic severity indicators in a low
resource trauma system. Compared to pentazocine, ketamine was associated with
improved blood pressure for patients with serious injuries. In a low-resource
setting, ketamine seems to be a good choice for prehospital analgesia in trauma
patients.
PMID- 26552692
TI - Roseomonas elaeocarpi sp. nov., isolated from olive (Elaeocarpus hygrophilus
Kurz.) phyllosphere.
AB - An aerobic, Gram-stain-negative, coccobacillus-shaped, non-endospore-forming,
pink-pigmented bacterium, designated PN2T, was isolated from an olive leaf. The
strain grew at 15-35 degrees C with an optimum temperature for growth at 30
degrees C, and at pH 5.0-7.5 with an optimum pH for growth at 6.0. Growth was
observed in the presence of up to 1.02 % (w/v) NaCl. The major fatty acids were
C19 : 0 cyclo omega8c, C16 : 0 and C18 : 1omega7c. The polar lipids were
diphosphatidylglycerol, phosphatidylethanolamine, phosphatidylcholine,
phosphatidylglycerol, unknown aminolipids, an unknown phospholipid and an unknown
lipid. The respiratory quinone was ubiquinone-10. The DNA G+C content of strain
PN2T was 70.4 mol%. Phylogenetic analysis based on 16S rRNA gene sequences
indicated that strain PN2T was closely related to members of the genus Roseomonas
and shared highest similarity with Roseomonas mucosa ATCC BAA-692T (96.5 %),
Roseomonas gilardii subsp. gilardii ATCC 49956T (96.2 %) and Roseomonas gilardii
subsp. rosea ATCC BAA-691T (96.2 %). Furthermore, the DNA-DNA relatedness value
between strain PN2T and the closest related species R. mucosa ATCC BAA-692T was
27 %. These data allowed the phenotypic and genotypic differentiation of strain
PN2T from its closest phylogenetic neighbour (R. mucosa ATCC BAA-692T). Based on
phenotypic and genotypic characteristics, strain PN2T is classified as
representing a novel species of the genus Roseomonas for which the name
Roseomonas elaeocarpi sp. nov. is proposed. The type strain is PN2T ( = BCC
44864T = NBRC 107871T).
PMID- 26552693
TI - Blood alcohol in victims of sudden cardiac death in northern Finland.
AB - AIMS: Momentary intake of large quantity of alcohol provokes ventricular ectopic
activity increasing electrical instability. The present study was aimed to assess
the prevalence of alcohol intake prior to a sudden cardiac death (SCD) event.
METHODS AND RESULTS: Victims of unexpected SCD [n = 2363, age 61 +/- 12 years,
males 1940 (82%)] included in the Finnish study of genotype and phenotype
profiles of SCD (FINGESTURE) had a thorough interview of family members, medico
legal autopsy, and determination of blood alcohol concentration. Because of the
Finnish law, all unexpected deaths undergo medico-legal autopsy. Patients who
were admitted to a hospital due to an acute myocardial infarction [n = 128, age
63 +/- 10 years, males 100 (78%)] served as controls. Based on autopsy findings,
1691 of these victims had ischaemic heart disease (IHD) and were included in the
present analysis. A total of 646 (38%) SCD victims with IHD had a blood ethanol
concentration above 00/00. Of these victims with blood alcohol test positive, 41%
(n = 264) had blood ethanol concentration >=1.50/00 and 56% (n = 362) >=10/00.
Male SCD victims had more frequently alcohol in blood than the females (40 vs.
27%, P < 0.001, respectively). None of the controls, who gave a consent for the
blood ethanol concentration determination (n = 88), had alcohol in blood. Of the
controls, 40 (31%) declined to participate in the study and give the consent for
blood alcohol testing. CONCLUSION: Almost 4 of 10 of the victims of unexpected
SCD have evidence of alcohol intake before the fatal event in the northern
Finland autopsy population.
PMID- 26552694
TI - Lesions with unclear malignant potential (B3) after minimally invasive breast
biopsy: evaluation of vacuum biopsies performed in Switzerland and recommended
further management.
AB - BACKGROUND: Histopathological B3 lesions after minimal invasive breast biopsy
(VABB) are a particular challenge for the clinician, as there are currently no
binding recommendations regarding the subsequent procedure. PURPOSE: To analyze
all B3 lesions, diagnosed at VABB and captured in the national central Swiss MIBB
database and to provide a data basis for further management in this subgroup of
patients. MATERIAL AND METHODS: All 9,153 stereotactically, sonographically, or
magnetic resonance imaging (MRI)-guided vacuum-assisted breast biopsies,
performed in Switzerland between 2009 and 2011, captured in a central database,
were evaluated. The rate of B3 lesions and the definitive pathological findings
in patients who underwent surgical resection were analyzed. RESULTS: The B3 rate
was 17.0% (1532 of 9000 biopsies with B classification). Among the 521 lesions
with a definitive postoperative diagnosis, the malignancy rate (invasive
carcinoma or DCIS) was 21.5%. In patients with atypical ductal hyperplasia,
papillary lesions, flat epithelial atypia, lobular neoplasia, and radial scar
diagnosed by VABB, the malignancy rates were 25.9%, 3.1%, 18.3%, 26.4%, and
11.1%, respectively. CONCLUSION: B3 lesions, comprising 17%, of all analyzed
biopsies, were common and the proportion of malignancies in those lesions
undergoing subsequent surgical excision was high (21.5%).
PMID- 26552696
TI - Para-occupational exposures to asbestos: lessons learned from Casale Monferrato,
Italy.
PMID- 26552695
TI - Effectiveness of workplace interventions in the prevention of upper extremity
musculoskeletal disorders and symptoms: an update of the evidence.
AB - The burden of disabling musculoskeletal pain and injuries (musculoskeletal
disorders, MSDs) arising from work-related causes in many workplaces remains
substantial. There is little consensus on the most appropriate interventions for
MSDs. Our objective was to update a systematic review of workplace-based
interventions for preventing and managing upper extremity MSD (UEMSD). We
followed a systematic review process developed by the Institute for Work & Health
and an adapted best evidence synthesis. 6 electronic databases were searched
(January 2008 until April 2013 inclusive) yielding 9909 non-duplicate references.
26 high-quality and medium-quality studies relevant to our research question were
combined with 35 from the original review to synthesise the evidence on 30
different intervention categories. There was strong evidence for one intervention
category, resistance training, leading to the recommendation: Implementing a
workplace-based resistance training exercise programme can help prevent and
manage UEMSD and symptoms. The synthesis also revealed moderate evidence for
stretching programmes, mouse use feedback and forearm supports in preventing
UEMSD or symptoms. There was also moderate evidence for no benefit for EMG
biofeedback, job stress management training, and office workstation adjustment
for UEMSD and symptoms. Messages are proposed for both these and other
intervention categories.
PMID- 26552697
TI - Structural basis for collagen recognition by the immune receptor OSCAR.
AB - The osteoclast-associated receptor (OSCAR) is a collagen-binding immune receptor
with important roles in dendritic cell maturation and activation of inflammatory
monocytes as well as in osteoclastogenesis. The crystal structure of the OSCAR
ectodomain is presented, both free and in complex with a consensus triple-helical
peptide (THP). The structures revealed a collagen-binding site in each
immunoglobulin-like domain (D1 and D2). The THP binds near a predicted collagen
binding groove in D1, but a more extensive interaction with D2 is facilitated by
the unusually wide D1-D2 interdomain angle in OSCAR. Direct binding assays,
combined with site-directed mutagenesis, confirm that the primary collagen
binding site in OSCAR resides in D2, in marked contrast to the related collagen
receptors, glycoprotein VI (GPVI) and leukocyte-associated immunoglobulin-like
receptor-1 (LAIR-1). Monomeric OSCAR D1D2 binds to the consensus THP with a KD of
28 uM measured in solution, but shows a higher affinity (KD 1.5 MUM) when binding
to a solid-phase THP, most likely due to an avidity effect. These data suggest a
2-stage model for the interaction of OSCAR with a collagen fibril, with
transient, low-affinity interactions initiated by the membrane-distal D1,
followed by firm adhesion to the primary binding site in D2.
PMID- 26552699
TI - Transcriptome Analysis of Recurrently Deregulated Genes across Multiple Cancers
Identifies New Pan-Cancer Biomarkers.
AB - Genes that are commonly deregulated in cancer are clinically attractive as
candidate pan-diagnostic markers and therapeutic targets. To globally identify
such targets, we compared Cap Analysis of Gene Expression profiles from 225
different cancer cell lines and 339 corresponding primary cell samples to
identify transcripts that are deregulated recurrently in a broad range of cancer
types. Comparing RNA-seq data from 4,055 tumors and 563 normal tissues profiled
in the The Cancer Genome Atlas and FANTOM5 datasets, we identified a core
transcript set with theranostic potential. Our analyses also revealed enhancer
RNAs, which are upregulated in cancer, defining promoters that overlap with
repetitive elements (especially SINE/Alu and LTR/ERV1 elements) that are often
upregulated in cancer. Lastly, we documented for the first time upregulation of
multiple copies of the REP522 interspersed repeat in cancer. Overall, our genome
wide expression profiling approach identified a comprehensive set of candidate
biomarkers with pan-cancer potential, and extended the perspective and pathogenic
significance of repetitive elements that are frequently activated during cancer
progression.
PMID- 26552698
TI - High-density lipoprotein modulates thrombosis by preventing von Willebrand factor
self-association and subsequent platelet adhesion.
AB - The ability of von Willebrand factor (VWF) to initiate platelet adhesion depends
on the number of monomers in individual VWF multimers and on the self-association
of individual VWF multimers into larger structures. VWF self-association is
accelerated by shear stress. We observed that VWF self-association occurs during
adsorption of VWF onto surfaces, assembly of secreted VWF into hyperadhesive VWF
strings on the endothelial surface, and incorporation of fluid-phase VWF into VWF
fibers. VWF adsorption under static conditions increased with increased VWF
purity and was prevented by a component of plasma. We identified that component
as high-density lipoprotein (HDL) and its major apolipoprotein ApoA-I. HDL and
ApoA-I also prevented VWF on the endothelium from self-associating into longer
strands and inhibited the attachment of fluid-phase VWF onto vessel wall strands.
Platelet adhesion to VWF fibers was reduced in proportion to the reduction in
self-associated VWF. In a mouse model of thrombotic microangiopathy, HDL also
largely prevented the thrombocytopenia induced by injection of high doses of
human VWF. Finally, a potential role for ApoA-I in microvascular occlusion
associated with thrombotic thrombocytopenic purpura and sepsis was revealed by
the inverse relationship between the concentration of ApoA-I and that of
hyperadhesive VWF. These results suggest that interference with VWF self
association would be a new approach to treating thrombotic disorders.
PMID- 26552700
TI - Generation of a Selective Small Molecule Inhibitor of the CBP/p300 Bromodomain
for Leukemia Therapy.
AB - The histone acetyltransferases CBP/p300 are involved in recurrent leukemia
associated chromosomal translocations and are key regulators of cell growth.
Therefore, efforts to generate inhibitors of CBP/p300 are of clinical value. We
developed a specific and potent acetyl-lysine competitive protein-protein
interaction inhibitor, I-CBP112, that targets the CBP/p300 bromodomains. Exposure
of human and mouse leukemic cell lines to I-CBP112 resulted in substantially
impaired colony formation and induced cellular differentiation without
significant cytotoxicity. I-CBP112 significantly reduced the leukemia-initiating
potential of MLL-AF9(+) acute myeloid leukemia cells in a dose-dependent manner
in vitro and in vivo. Interestingly, I-CBP112 increased the cytotoxic activity of
BET bromodomain inhibitor JQ1 as well as doxorubicin. Collectively, we report the
development and preclinical evaluation of a novel, potent inhibitor targeting
CBP/p300 bromodomains that impairs aberrant self-renewal of leukemic cells. The
synergistic effects of I-CBP112 and current standard therapy (doxorubicin) as
well as emerging treatment strategies (BET inhibition) provide new opportunities
for combinatorial treatment of leukemia and potentially other cancers.
PMID- 26552701
TI - Assembly and Release of Hepatitis B Virus.
AB - The hepatitis B virus (HBV) core protein is a dynamic and versatile protein that
directs many viral processes. During capsid assembly, core protein allosteric
changes ensure efficient formation of a stable capsid that assembles while
packaging viral RNA-polymerase complex. Reverse transcription of the RNA genome
as well as transport of the capsid to multiple cellular compartments are directed
by dynamic phosphorylation and structural changes of core protein. Subsequently,
interactions of the capsid with the surface proteins and/or host proteins trigger
envelopment and release of the viral capsids or the transport to the nucleus.
Held together by many weak protein-protein interactions, the viral capsid is an
extraordinary metastable machine that is stable enough to persist in the cellular
and extracellular environment but dissociates to allow release of the viral
genome at the right time during infection.
PMID- 26552703
TI - Proteasome Impairment Induces Recovery of Mitochondrial Membrane Potential and an
Alternative Pathway of Mitochondrial Fusion.
AB - Mitochondria are vital and highly dynamic organelles that continuously fuse and
divide to maintain mitochondrial quality. Mitochondrial dysfunction impairs
cellular integrity and is known to be associated with various human diseases.
However, the mechanism by which the quality of mitochondria is maintained remains
largely unexplored. Here we show that impaired proteasome function recovers the
growth of yeast cells lacking Fzo1, a pivotal protein for mitochondrial fusion.
Decreased proteasome activity increased the mitochondrial oxidoreductase protein
Mia40 and the ratio of the short isoform of mitochondrial intermembrane protein
Mgm1 (s-Mgm1) to the long isoform (l-Mgm1). The increase in Mia40 restored
mitochondrial membrane potential, while the increase in the s-Mgm1/l-Mgm1 ratio
promoted mitochondrial fusion in an Fzo1-independent manner. Our findings
demonstrate a new pathway for mitochondrial quality control that is induced by
proteasome impairment.
PMID- 26552702
TI - The Role of Hox Genes in Female Reproductive Tract Development, Adult Function,
and Fertility.
AB - HOX genes convey positional identity that leads to the proper partitioning and
adult identity of the female reproductive track. Abnormalities in reproductive
tract development can be caused by HOX gene mutations or altered HOX gene
expression. Diethylstilbestrol (DES) and other endocrine disruptors cause
Mullerian defects by changing HOX gene expression. HOX genes are also essential
regulators of adult endometrial development. Regulated HOXA10 and HOXA11
expression is necessary for endometrial receptivity; decreased HOXA10 or HOXA11
expression leads to decreased implantation rates. Alternation of HOXA10 and
HOXA11 expression has been identified as a mechanism of the decreased
implantation associated with endometriosis, polycystic ovarian syndrome,
leiomyoma, polyps, adenomyosis, and hydrosalpinx. Alteration of HOX gene
expression causes both uterine developmental abnormalities and impaired adult
endometrial development that prevent implantation and lead to female infertility.
PMID- 26552704
TI - Filamin A Expression Negatively Regulates Sphingosine-1-Phosphate-Induced NF
kappaB Activation in Melanoma Cells by Inhibition of Akt Signaling.
AB - Sphingosine-1-phosphate (S1P) is a bioactive lipid mediator that regulates many
processes in inflammation and cancer. S1P is a ligand for five G-protein-coupled
receptors, S1PR1 to -5, and also has important intracellular actions. Previously,
we showed that intracellular S1P is involved in tumor necrosis factor alpha (TNF)
induced NF-kappaB activation in melanoma cell lines that express filamin A
(FLNA). Here, we show that extracellular S1P activates NF-kappaB only in melanoma
cells that lack FLNA. In these cells, S1P, but not TNF, promotes IkappaB kinase
(IKK) and p65 phosphorylation, IkappaBalpha degradation, p65 nuclear
translocation, and NF-kappaB reporter activity. NF-kappaB activation induced by
S1P was mediated via S1PR1 and S1PR2. Exogenous S1P enhanced the phosphorylation
of protein kinase Cdelta (PKCdelta), and its downregulation reduced S1P-induced
the phosphorylation of IKK and p65. In addition, silencing of Bcl10 also
inhibited S1P-induced IKK phosphorylation. Surprisingly, S1P reduced Akt
activation in melanoma cells that express FLNA, whereas in the absence of FLNA,
high phosphorylation levels of Akt were maintained, enabling S1P-mediated NF
kappaB signaling. In accord, inhibition of Akt suppressed S1P-mediated IKK and
p65 phosphorylation and degradation of IkappaBalpha. Hence, these results support
a negative role of FLNA in S1P-mediated NF-kappaB activation in melanoma cells
through modulation of Akt.
PMID- 26552705
TI - A p38 Mitogen-Activated Protein Kinase-Regulated Myocyte Enhancer Factor 2-beta
Catenin Interaction Enhances Canonical Wnt Signaling.
AB - Canonical Wnt/beta-catenin signaling plays a major role in various biological
contexts, such as embryonic development, cell proliferation, and cancer
progression. Previously, a connection between p38 mitogen-activated protein
kinase (MAPK) signaling and Wnt-mediated activation of beta-catenin was implied
but poorly understood. In the present study, we investigated potential cross talk
between p38 MAPK and Wnt/beta-catenin signaling. Here we show that a loss of p38
MAPK alpha/beta function reduces beta-catenin nuclear accumulation in Wnt3a
stimulated primary vascular smooth muscle cells (VSMCs). Conversely, active p38
MAPK signaling increases beta-catenin nuclear localization and target gene
activity in multiple cell types. Furthermore, the effect of p38 MAPK alpha/beta
on beta-catenin activity is mediated through phosphorylation of a key p38 MAPK
target, myocyte enhancer factor 2 (MEF2). Here we report a p38 MAPK-mediated,
phosphorylation-dependent interaction between MEF2 and beta-catenin in multiple
cell types and primary VSMCs that results in (i) increased beta-catenin nuclear
retention, which is reversed by small interfering RNA (siRNA)-mediated MEF2 gene
silencing; (ii) increased activation of MEF2 and Wnt/beta-catenin target genes;
and (iii) increased Wnt-stimulated cell proliferation. These observations provide
mechanistic insight into a fundamental level of cross talk between p38 MAPK/MEF2
signaling and canonical Wnt signaling.
PMID- 26552706
TI - DNAM-1 controls NK cell activation via an ITT-like motif.
AB - DNAM-1 (CD226) is an activating receptor expressed on natural killer (NK) cells,
CD8(+) T cells, and other immune cells. Upon recognition of its ligands, CD155
and CD112, DNAM-1 promotes NK cell-mediated elimination of transformed and virus
infected cells. It also has a key role in expansion and maintenance of virus
specific memory NK cells. Herein, the mechanism by which DNAM-1 controls NK cell
mediated cytotoxicity and cytokine production was elucidated. Cytotoxicity and
cytokine production triggered by DNAM-1 were mediated via a conserved tyrosine-
and asparagine-based motif in the cytoplasmic domain of DNAM-1. Upon
phosphorylation by Src kinases, this motif enabled binding of DNAM-1 to adaptor
Grb2, leading to activation of enzymes Vav-1, phosphatidylinositol 3' kinase, and
phospholipase C-gamma1. It also promoted activation of kinases Erk and Akt, and
calcium fluxes. Although, as reported, DNAM-1 promoted adhesion, this function
was signal-independent and insufficient to promote cytotoxicity. DNAM-1 signaling
was also required to enhance cytotoxicity, by increasing actin polymerization and
granule polarization. We propose that DNAM-1 promotes NK cell activation via an
immunoreceptor tyrosine tail (ITT)-like motif coupling DNAM-1 to Grb2 and other
downstream effectors.
PMID- 26552707
TI - CLEC-2 in megakaryocytes is critical for maintenance of hematopoietic stem cells
in the bone marrow.
AB - Hematopoietic stem cells (HSCs) depend on the bone marrow (BM) niche for their
maintenance, proliferation, and differentiation. The BM niche is composed of
nonhematopoietic and mature hematopoietic cells, including megakaryocytes (Mks).
Thrombopoietin (Thpo) is a crucial cytokine produced by BM niche cells. However,
the cellular source of Thpo, upon which HSCs primarily depend, is unclear.
Moreover, no specific molecular pathway for the regulation of Thpo production in
the BM has been identified. Here, we demonstrate that the membrane protein C-type
lectin-like receptor-2 (CLEC-2) mediates the production of Thpo and other factors
in Mks. Mice conditionally deleted for CLEC-2 in Mks (Clec2(MkDelta/Delta))
produced lower levels of Thpo in Mks. CLEC-2-deficient Mks showed down-regulation
of CLEC-2-related signaling molecules Syk, Lcp2, and Plcg2. Knockdown of these
molecules in cultured Mks decreased expression of Thpo. Clec2(MkDelta/Delta) mice
exhibited reduced BM HSC quiescence and repopulation potential, along with
extramedullary hematopoiesis. The low level of Thpo production may account for
the decline in HSC potential in Clec2(MkDelta/Delta) mice, as administration of
recombinant Thpo to Clec2(MkDelta/Delta) mice restored stem cell potential. Our
study identifies CLEC-2 signaling as a novel molecular mechanism mediating the
production of Thpo and other factors for the maintenance of HSCs.
PMID- 26552709
TI - Effective High-Level Disinfection of Cystoscopes: Is Perfusion of Channels
Required?
PMID- 26552708
TI - Endothelial NOTCH1 is suppressed by circulating lipids and antagonizes
inflammation during atherosclerosis.
AB - Although much progress has been made in identifying the mechanisms that trigger
endothelial activation and inflammatory cell recruitment during atherosclerosis,
less is known about the intrinsic pathways that counteract these events. Here we
identified NOTCH1 as an antagonist of endothelial cell (EC) activation. NOTCH1
was constitutively expressed by adult arterial endothelium, but levels were
significantly reduced by high-fat diet. Furthermore, treatment of human aortic
ECs (HAECs) with inflammatory lipids (oxidized 1-palmitoyl-2-arachidonoyl-sn
glycero-3-phosphocholine [Ox-PAPC]) and proinflammatory cytokines (TNF and
IL1beta) decreased Notch1 expression and signaling in vitro through a mechanism
that requires STAT3 activation. Reduction of NOTCH1 in HAECs by siRNA, in the
absence of inflammatory lipids or cytokines, increased inflammatory molecules and
binding of monocytes. Conversely, some of the effects mediated by Ox-PAPC were
reversed by increased NOTCH1 signaling, suggesting a link between lipid-mediated
inflammation and Notch1. Interestingly, reduction of NOTCH1 by Ox-PAPC in HAECs
was associated with a genetic variant previously correlated to high-density
lipoprotein in a human genome-wide association study. Finally, endothelial Notch1
heterozygous mice showed higher diet-induced atherosclerosis. Based on these
findings, we propose that reduction of endothelial NOTCH1 is a predisposing
factor in the onset of vascular inflammation and initiation of atherosclerosis.
PMID- 26552711
TI - Liquid-liquid phase separation of freely falling undercooled ternary Fe-Cu-Sn
alloy.
AB - The active modulation and control of the liquid phase separation for high
temperature metallic systems are still challenging the development of advanced
immiscible alloys. Here we present an attempt to manipulate the dynamic process
of liquid-liquid phase separation for ternary Fe47.5Cu47.5Sn5 alloy. It was
firstly dispersed into numerous droplets with 66 ~ 810 MUm diameters and then
highly undercooled and rapidly solidified under the containerless microgravity
condition inside drop tube. 3-D phase field simulation was performed to explore
the kinetic evolution of liquid phase separation. Through regulating the combined
effects of undercooling level, phase separation time and Marangoni migration,
three types of separation patterns were yielded: monotectic cell, core shell and
dispersive structures. The two-layer core-shell morphology proved to be the most
stable separation configuration owing to its lowest chemical potential. Whereas
the monotectic cell and dispersive microstructures were both thermodynamically
metastable transition states because of their highly active energy. The Sn solute
partition profiles of Fe-rich core and Cu-rich shell in core-shell structures
varied only slightly with cooling rate.
PMID- 26552712
TI - BH3 mimetic ABT-737 induces apoptosis in CD34+ acute myeloid leukemia cells and
shows synergistic effect with conventional chemotherapeutic drugs.
AB - AIMS: Acute myeloid leukemia (AML) is an immunophenotypically heterogenous
malignant disease. The early immature CD34+ AML cell subpopulation is frequently
impervious to intensive chemotherapy, making them largely responsible for relapse
of AML. CD34+ AML cells have higher level of Bcl-2 protein expression than the
CD34- subpopulation. As such, development of drugs that specifically target the
Bcl-2 may have the potential to eliminate immature CD34+ AML progenitor cells and
provide therapeutic benefit. In this work, we made an attempt to investigate the
cytotoxic effect of a novel Bcl-2 family inhibitor, ABT-737, on CD34+ AML cell
lines (KG1a and Kasumi-1) as well as CD34+ primary AML cells. METHODS: Primary
human CD34+ cells were isolated from bone marrow mononuclear cells using CD34
MicroBead kit. The growth inhibitory effect was measured by cell counting kit-8.
Apoptosis was analyzed by annexin V/PI assays. Protein expression was determined
by Western blotting analysis. RESULTS: Inhibition of Bcl-2 by ABT-737 effectively
inhibited growth and induced apoptosis in CD34+ AML cell lines and CD34+ primary
AML cells without affecting CD34+ normal hematopoietic cells. Furthermore,
Western blot analysis showed that ABT-737 induced apoptosis associated with
caspase-3 activation and poly ADP-ribose polymerase (PARP) degradation. Finally,
ABT-737 synergistically enhanced the cytotoxic effect of cytarabine and
daunorubicin in CD34+ AML cells. CONCLUSION: Taken together, these findings
indicate that ABT-737 may offer as a promising molecular targeting agent in CD34+
AML.
PMID- 26552713
TI - Evaluation of the impact of multivalent metal ions on the permeation behavior of
Dolutegravir sodium.
AB - Interactions between active pharmaceutical ingredients (APIs) and polyvalent
cations are an important factor within drug absorption in the gastrointestinal
tract. Dolutegravir sodium, as a second-generation integrase stand transfer
inhibitor for the treatment of HIV was investigated regarding chelation with
Al(3+), Ca(2+), Fe(3+), Mg(2+ )and Zn(2+) ions at three different molar ratios.
Furthermore, the influence of drug-ion chelates on the permeability of the drug
across two intestinal membrane models was analyzed. For this purpose, Caco-2
monolayer model and Ussing chamber technique utilizing freshly excited rat
intestinal mucosa were chosen and a buffer system without additional Mg(2+) and
Ca(2+) ions was tested regarding cell detachment. The addition of polyvalent
cations in an equal molar ratio to the drug solution decreased the dissolved drug
by at least 11%. An increased multivalent cation concentration in a ratio of 1:10
afforded an API drop in the solution of at least 88% with the exception of
Mg(2+). In particular, Dolutegravir sodium was chelated with iron ions to nearly
100%. Overall, the higher the amount of metal ions in the solution, the lower was
the detected amount of the drug. The permeation experiments across the Caco-2
monolayer and the rat intestinal mucosa pointed out that the addition of AlCl3,
CaCl2 and ZnCl2 in a molar ratio of 10:1 to the drug led to significantly
decreased drug permeation. According to these results the co-administration of
Al(3+), Ca(2+ )or Zn(2+ )as well as of supplementary medications containing these
polyvalent ions is in case of oral Dolutegravir delivery not recommended.
PMID- 26552714
TI - Prediction of nested complementary pattern in argon dielectric-barrier discharge
at atmospheric pressure.
AB - A two-dimensional self-consistent fluid model was employed to investigate the
spatiotemporal nonlinear behavior in an argon glow-like/Townsend-like dielectric
barrier discharge (DBD) at atmospheric pressure. The discharge is characterized
by a major current pulse with a residual one ahead per half cycle of the external
voltage. The two current pulses are operated in glow mode, but with Townsend mode
between them. Contrasting spatial discharge structures are complementarily
presented not only at two current pulses in the same half cycle but also during
the discharge in the two adjacent-half cycles, resulting in the formation of a
unique nested complementary pattern each cycle. This peculiar behavior mainly
lies in the fact that sufficient charged particles are trapped in the gas gap due
to the last discharge and able to dominate the subsequent discharge through the
"spatial memory effect". The charge transport regime reveals that this nested
complementary pattern is presented only in a limited range of driving frequency.
PMID- 26552715
TI - Standardized weaning of infants <32 weeks of gestation from continuous positive
airway pressure - a feasibility study.
AB - The practice of weaning premature infants from continuous positive airway
pressure (CPAP) varies considerably and is usually performed without written
standards. In this study, the feasibility of a standardized weaning approach was
evaluated. In a quasi-experimental design, data from a prospective, post
intervention cohort (n=41) were compared to data from a pre-intervention cohort
(n=36). Standardized weaning was feasible but no significant differences in short
term respiratory outcomes were observed. Weaning from CPAP was achieved at 32.1
+/- 1.6 (post-intervention) versus 32.5 +/- 2.3 weeks (pre-intervention)
postmenstrual age. More rigorous, large-scale clinical trials are necessary
before firm recommendations on distinct weaning regimens can be made.
PMID- 26552716
TI - The use of mobile smart devices and medical apps in the family practice setting.
AB - RATIONALE, AIMS AND OBJECTIVES: In this study smartphones/tablet PCs and medical
application utilization by family physicians and factors concerning the
acceptance of medical application in family practice setting have been studied.
METHODS: One hundred seventy-six participants voluntarily agreed to fill out a 27
item questionnaire. Data were analysed with descriptive statistics and eight
items (acceptability of utilization of applications) revealed Cronbach's alpha of
0.965 and the factor analysis showed one factor explaining 80.6% of total
variance. RESULTS: The mean age of respondents was 35.7 [standard deviation (SD)
= 8.12; min-max = 24-52], 79 were male (45.9%) and 88 female (51.2%), 56 (32.5%)
were single and 113 (65.7%) married, and the mean experience duration as a
physician was 11.1 years (SD = 11.1; min-max = 1-28). One hundred sixty-seven
(97.1%) had a smartphone and/or tablet PC. Smartphone and/or tablet PC were used
since 3.7 (SD = 2.17; min-max = 0-12) years. Sixty-one (35.5%) felt that
smartphone and/or tablet PC are very important, 92 (53.5%) important, 2 (1.2%)
unimportant and 12 (7%) were undecided about this. One hundred eleven (64.5%)
participants had a medical application on the smartphone and 66 (38.4%) on the
tablet PC. They used 1.7 (SD = 2.04; min-max = 0-10) medical applications for
1.45 (SD = 2.53; min-max = 0-25) times on average. Eighty respondents (66.7%)
used a medical application for any medical problem. CONCLUSIONS: Almost all
family physicians used smartphone and/or tablet PC during daily practice, and the
reason of use was commonly for communication and Internet purposes. Usage during
working hours was limited, but medical apps were perceived mainly positively for
receiving medical information via Internet. Looking at the medical apps'
acceptability scale, participants were in agreement with the security, cost,
contents' quality, ease of use, support, ease of finding, ease of accessing and
motivation to use medical applications.
PMID- 26552717
TI - Near-infrared (NIR) up-conversion optogenetics.
AB - Non-invasive remote control technologies designed to manipulate neural functions
have been long-awaited for the comprehensive and quantitative understanding of
neuronal network in the brain as well as for the therapy of neurological
disorders. Recently, it has become possible for the neuronal activity to be
optically manipulated using biological photo-reactive molecules such as
channelrhodopsin (ChR)-2. However, ChR2 and its relatives are mostly reactive to
visible light, which does not effectively penetrate through biological tissues.
In contrast, near-infrared (NIR) light (650-1450 nm) penetrates deep into the
tissues because biological systems are almost transparent to light within this so
called 'imaging window'. Here we used lanthanide nanoparticles (LNPs), composed
of rare-earth elements, as luminous bodies to activate ChRs since they absorb low
energy NIR light to emit high-energy visible light (up-conversion). Here, we
created a new type of optogenetic system which consists of the donor LNPs and the
acceptor ChRs. The NIR laser irradiation emitted visible light from LNPs, then
induced the photo-reactive responses in the near-by cells that expressed ChRs.
However, there remains room for large improvements in the energy efficiency of
the LNP-ChR system.
PMID- 26552718
TI - Vinorelbine therapy in classic Kaposi's sarcoma: a retrospective study of 20
patients.
AB - BACKGROUND: Systemic chemotherapy is the main treatment of diffuse and/or
aggressive classic Kaposi's sarcoma (KS) but there are no standard treatment
guidelines and published literature regarding vinorelbine is lacking. OBJECTIVES:
To assess the safety and effectiveness of intravenous vinorelbine in the
treatment of classic KS. MATERIALS & METHODS: We performed a retrospective study
of a departmental database in histologically proven classic KS. RESULTS: Twenty
patients received intravenous vinorelbine as cycles of 20 mg/m(2) once every two
weeks for 5 cycles and subsequently at a dose of 30 mg/m(2) once every three
weeks. Of 19 assessable patients, three (16%) had a complete remission and 11
(58%) had a partial response, for an overall response rate of 74%. The remaining
5 patients had a stable disease (26%). Grade 3 or 4 toxicities were neutropenia
(3/20 patients), deep vein thrombosis (1/20 patients) and constipation (1/20
patients). The median progression-free survival from the start of therapy until
the development of progressive disease was 35.1 months. CONCLUSION: Vinorelbine
is an effective and overall well tolerated treatment for classic KS.
PMID- 26552719
TI - Adaptation of H9N2 AIV in guinea pigs enables efficient transmission by direct
contact and inefficient transmission by respiratory droplets.
AB - H9N2 avian influenza viruses circulate worldwide in poultry and have sporadically
infected humans, raising concern whether H9N2 viruses have pandemic potential.
Here, we use a guinea pig model to examine whether serial passage results in
adaptive viral changes that confer a transmissible phenotype to a wild-type H9N2
virus. After nine serial passages of an H9N2 virus through guinea pigs,
productive transmission by direct contact occurred in 2/3 guinea pig pairs. The
efficiency of transmission by direct contact increased following the fifteenth
passage and occurred in 3/3 guinea pig pairs. In contrast, airborne transmission
of the passaged virus was less efficient and occurred in 1/6 guinea pig pairs and
0/6 ferret pairs after the fifteenth passage. Three amino acid substitutions, HA1
Q227P, HA2-D46E, and NP-E434K, were sufficient for contact transmission in guinea
pigs (2/3 pairs). The two HA amino acid substitutions enhanced receptor binding
to alpha2,3-linked sialic acid receptors. Additionally, the HA2-D46E substitution
increased virus thermostability whereas the NP-E434K mutation enhanced viral RNA
polymerase activity in vitro. Our findings suggest that adaptive changes that
enhance viral receptor binding, thermostability, and replicative capacity in
mammalian cells can collectively enhance the transmissibility of H9N2 AIVs by
direct contact in the guinea pig model.
PMID- 26552720
TI - Peptide Functionalized Gold Nanorods for the Sensitive Detection of a Cardiac
Biomarker Using Plasmonic Paper Devices.
AB - The sensitivity of localized surface plasmon resonance (LSPR) of metal
nanostructures to adsorbates lends itself to a powerful class of label-free
biosensors. Optical properties of plasmonic nanostructures are dependent on the
geometrical features and the local dielectric environment. The exponential decay
of the sensitivity from the surface of the plasmonic nanotransducer calls for the
careful consideration in its design with particular attention to the size of the
recognition and analyte layers. In this study, we demonstrate that short peptides
as biorecognition elements (BRE) compared to larger antibodies as target capture
agents offer several advantages. Using a bioplasmonic paper device (BPD), we
demonstrate the selective and sensitive detection of the cardiac biomarker
troponin I (cTnI). The smaller sized peptide provides higher sensitivity and a
lower detection limit using a BPD. Furthermore, the excellent shelf-life and
thermal stability of peptide-based LSPR sensors, which precludes the need for
special storage conditions, makes it ideal for use in resource-limited settings.
PMID- 26552721
TI - Oriented Liquid Crystalline Polymer Semiconductor Films with Large Ordered
Domains.
AB - Large strains are applied to liquid crystalline poly(2,5-bis(3-tetradecylthiophen
2yl)thieno(3,2-b)thiophene) (pBTTT) films when held at elevated temperatures
resulting in in-plane polymer alignment. We find that the polymer backbone aligns
significantly in the direction of strain, and that the films maintain large quasi
domains similar to that found in spun-cast films on hydrophobic surfaces,
highlighted by dark-field transmission electron microscopy imaging. The highly
strained films also have nanoscale holes consistent with dewetting. Charge
transport in the films is then characterized in a transistor configuration, where
the field effect mobility is shown to increase in the direction of polymer
backbone alignment, and decrease in the transverse direction. The highest
saturated field-effect mobility was found to be 1.67 cm(2) V(-1) s(-1),
representing one of the highest reported mobilities for this material system. The
morphology of the oriented films demonstrated here contrast significantly with
previous demonstrations of oriented pBTTT films that form a ribbon-like
morphology, opening up opportunities to explore how differences in molecular
packing features of oriented films impact charge transport. Results highlight the
role of grain boundaries, differences in charge transport along the polymer
backbone and pi-stacking direction, and structural features that impact the field
dependence of charge transport.
PMID- 26552722
TI - Utilization of Donors with Hepatitis B Core Antibodies in Liver Transplantation.
AB - BACKGROUND: At present, the scarcity of organs is one of the major problems in
transplantation. We present our own experience in expanding the acceptance
criteria for deceased donors. We aimed to evaluate the potential impact of using
hepatitis B virus (HBV) core antibody (anti-HBc)-positive donor grafts on the
long-term liver transplantation results. MATERIAL AND METHODS: We retrospectively
analyzed data from 324 consecutive liver transplantation procedures performed in
the largest transplant center in Poland, between January 2007 and February 2012.
These patients included 36 who had received a liver from anti-HBc-positive
donors. RESULTS: The presence of anti-HBc antibodies in the donor did not have a
significant negative impact on the overall 3-year patient or graft survival
rates. Among recipients with pre-transplant HBV infection, patients who received
an organ from an anti-HBc-positive donor tended to have an improved survival rate
compared with patients who received liver transplants from seronegative donors
(p=0.080). However, there was a statistically significant reduction in graft
survival (p=0.035) in the recipients without serological markers of HBV infection
who received liver transplants harvested from anti-HBc-positive donors.
CONCLUSIONS: These results confirm the validity of extending the acceptance
criteria to anti-HBc-positive donors, particularly for patients with a prior HBV
infection. Despite the increased risk of graft loss, liver transplantation from
anti-HBc-positive donors among recipients not infected with HBV may also be
considered in appropriate cases.
PMID- 26552723
TI - Proteomics of cell-cell interactions in health and disease.
AB - The mechanisms of cell-cell communications are now under intense study by
proteomic approaches. Proteomics has unraveled changes in protein profiling as
the result of cell interactions mediated by ligand/receptor, hormones, soluble
factors, and the content of extracellular vesicles. Besides being a brief
overview of the main and profitable methodologies now available (evaluating
theory behind the methods, their usefulness, and pitfalls), this review focuses
on-from a proteome perspective-some signaling pathways and post-translational
modifications (PTMs), which are essential for understanding ischemic lesions and
their recovery in two vital organs in mammals, the heart, and the kidney.
Knowledge of misdirection of the proteome during tissue recovery, such as
represented by the convergence between fibrosis and cancer, emerges as an
important tool in prognosis. Proteomics of cell-cell interaction is also
especially useful for understanding how stem cells interact in injured tissues,
anticipating clues for rational therapeutic interventions. In the effervescent
field of induced pluripotency and cell reprogramming, proteomic studies have
shown what proteins from specialized cells contribute to the recovery of
infarcted tissues. Overall, we conclude that proteomics is at the forefront in
helping us to understand the mechanisms that underpin prevalent pathological
processes.
PMID- 26552724
TI - Patients' and carers' experiences of UK memory services.
AB - OBJECTIVE: The objective of this study is to test the validity of an
accreditation programme for memory services in the UK by investigating whether
different levels of accreditation status (excellent compared with accredited) are
reflected in patients' and carers' reported satisfaction. METHOD: A comparison of
survey data from patient and carer feedback questionnaires collected from
services as part of the accreditation process. RESULTS: Five hundred and eighty
three patient questionnaires and 663 carer questionnaires were returned from 41
services. Patients and carers who attended memory services which were later
'accredited as excellent', were more likely than those who had visited
'accredited' services to have: been given written information about a variety of
topics; been asked for feedback about using the memory service; and had found it
easier to get to their appointments. Carers attending services accredited as
excellent were more likely to have been offered an assessment of their needs.
CONCLUSION: Patients and carers had very good experiences of memory services
overall whether they had standard or excellent accreditation. However,
'excellent' services were consistently better on a number of factors. This
provides further support that the accreditation process is an important indicator
of the quality of memory services.
PMID- 26552725
TI - COD, nutrient removal and disinfection efficiency of a combined subsurface and
surface flow constructed wetland: A case study.
AB - A constructed wetland system composed of a subsurface flow wetland, a surface
flow wetland and a facultative pond was studied from July 2008 until May 2012. It
was created to treat the domestic sewage produced by a hamlet of 150 inhabitants.
Monthly physicochemical and microbiological analyses were carried out in order to
evaluate the removal efficiency of each stage of the process and of the total
treatment system. Pair-wise Student's t-tests showed that the mean removal of
each considered parameter was significantly different (alpha = 0.05) between the
various treatment phases. Two-way ANOVA and Tukey's HSD tests were used to find
significant differences between wetland types and seasons in the removal
efficiency of the considered water quality parameters. Significant differences in
percent removal efficiency between the treatment phases were observed for total
phosphorus, total nitrogen, ammonia nitrogen and organic load (expressed as
Chemical Oxygen Demand). In general, the wastewater treatment was carried by the
sub-superficial flow phase mainly, both in growing season and in quiescence
season. Escherichia coli removal ranged from 98% in quiescence season to >99% in
growing season (approximately 2-3 orders of magnitude). The inactivation of fecal
bacteria was not influenced by the season, but only by the treatment phase.
PMID- 26552726
TI - Wing patterning genes and coevolution of Mullerian mimicry in Heliconius
butterflies: Support from phylogeography, cophylogeny, and divergence times.
AB - Examples of long-term coevolution are rare among free-living organisms. Mullerian
mimicry in Heliconius butterflies had been suggested as a key example of
coevolution by early genetic studies. However, research over the last two decades
has been dominated by the idea that the best-studied comimics, H. erato and H.
melpomene, did not coevolve at all. Recently sequenced genes associated with wing
color pattern phenotype offer a new opportunity to resolve this controversy.
Here, we test the hypothesis of coevolution between H. erato and H. melpomene
using Bayesian multilocus analysis of five color pattern genes and five neutral
genetic markers. We first explore the extent of phylogenetic agreement versus
conflict between the different genes. Coevolution is then tested against three
aspects of the mimicry diversifications: phylogenetic branching patterns,
divergence times, and, for the first time, phylogeographic histories. We show
that all three lines of evidence are compatible with strict coevolution of the
diverse mimicry wing patterns, contrary to some recent suggestions. Instead,
these findings tally with a coevolutionary diversification driven primarily by
the ecological force of Mullerian mimicry.
PMID- 26552727
TI - Gapless quantum spin liquid ground state in the two-dimensional spin-1/2
triangular antiferromagnet YbMgGaO4.
AB - Quantum spin liquid (QSL) is a novel state of matter which refuses the
conventional spin freezing even at 0 K. Experimentally searching for the
structurally perfect candidates is a big challenge in condensed matter physics.
Here we report the successful synthesis of a new spin-1/2 triangular
antiferromagnet YbMgGaO4 with symmetry. The compound with an ideal two
dimensional and spatial isotropic magnetic triangular-lattice has no site-mixing
magnetic defects and no antisymmetric Dzyaloshinsky-Moriya (DM) interactions. No
spin freezing down to 60 mK (despite thetaw ~ -4 K), the power-law temperature
dependence of heat capacity and nonzero susceptibility at low temperatures
suggest that YbMgGaO4 is a promising gapless (<=|thetaw|/100) QSL candidate. The
residual spin entropy, which is accurately determined with a non-magnetic
reference LuMgGaO4, approaches zero (<0.6%). This indicates that the possible QSL
ground state (GS) of the frustrated spin system has been experimentally achieved
at the lowest measurement temperatures.
PMID- 26552728
TI - Artificial Photosynthesis at Dynamic Self-Assembled Interfaces in Water.
AB - Artificial photosynthesis is one of the big scientific challenges of today. Self
assembled dynamic interfaces, such as vesicles or micelles, have been used as
microreactors to mimic biological photosynthesis. These aggregates can help to
overcome typical problems of homogeneous photocatalytic water splitting.
Microheterogeneous environments organize catalyst-photosensitizer assemblies at
the interface in close proximity and thus enhance intermolecular interactions.
Thereby vesicles and micelles may promote photoinitiated charge separation and
suppress back electron transfer. The dynamic self-assembled interfaces solubilize
non-polar compounds and protect sensitive catalytic units and intermediates
against degradation. In addition, vesicles provide compartmentation that was used
to separate different redox environments needed for an overall water splitting
system. This Minireview provides an overview of the applications of micellar and
vesicular microheterogeneous systems for solar energy conversion by
photosensitized water oxidation and hydrogen generation.
PMID- 26552729
TI - Optimal detection of infratentorial lesions with a combined dual-echo MRI
sequence: "PT2".
AB - BACKGROUND: The infratentorial compartment is cardinal for multiple sclerosis
(MS) diagnosis. T2-weighted (T2) and proton density-weighted (PD) magnetic
resonance imaging (MRI) can visualize infratentorial lesions, but only
suboptimally. OBJECTIVE: To combine PD and T2 for better lesion assessment.
METHODS: T2 and PD from 35 cases were averaged to form "PT2" images. Two raters
counted infratentorial lesions and qualitatively assessed their conspicuity.
RESULTS: PT2 showed 244 infratentorial lesions, of which 94% and 74% were seen in
PD and T2. PT2 received higher grades for image quality and lesion conspicuity (p
< 0.001 for all comparisons). CONCLUSION: PT2 could improve our ability to
diagnose and monitor MS.
PMID- 26552731
TI - Are we in need of NEDA?
PMID- 26552730
TI - Seronegative neuromyelitis optica spectrum disorder patients diagnosed using new
diagnostic criteria.
AB - BACKGROUND: Recently, new diagnostic criteria for neuromyelitis optica spectrum
disorders (NMOSD) were published. OBJECTIVE: Our primary aim was to evaluate the
usefulness of the new diagnostic criteria in anti-aquaporin 4 (AQP4) antibody
negative cases. METHODS: Consecutive 471 patients whose anti-AQP4 antibody was
measured at Chiba University were reviewed. RESULTS: Four anti-AQP4 antibody
negative-patients, who fulfilled the new diagnostic criteria for NMOSD but not
2006 diagnostic criteria for neuromyelitis optica (NMO), were identified. They
showed high cerebrospinal fluid interleukin-6 and glial fibrillary acidic protein
levels, an absence of oligoclonal bands and/or cloud-like enhancement on magnetic
resonance imaging, which are compatible findings for NMO. CONCLUSION: The new
diagnostic criteria are clinically useful in seronegative NMOSD.
PMID- 26552732
TI - Impaired growth of the cerebellum in pediatric-onset acquired CNS demyelinating
disease.
AB - BACKGROUND: Acquired demyelinating syndromes (ADS) have the potential to
negatively impact cerebellar growth, given the proclivity for infratentorial
lesions in pediatric-onset multiple sclerosis (MS) and ADS. OBJECTIVE: To
investigate cerebellar growth longitudinally in pediatric ADS. METHODS:
Cerebellar volumes from 472 magnetic resonance imaging (MRI) scans of 98 patients
with monophasic ADS (monoADS), monophasic acute disseminated encephalomyelitis
(ADEM), and MS (49 girls; mean age: 11.4 years at first scan, mean follow-up: 3.1
years) imaged serially from onset and 897 MRI scans of 418 healthy children (223
girls, mean age: 11.3 years, mean follow-up: 2.9 years) were segmented
automatically, analyzed with mixed-effect models, and compared with cerebral
volume. RESULTS: Cerebellar developmental trajectories followed a U-shaped curve,
showing larger volumes in boys (p < 0.001). Cerebellar volumes in all three
patient groups failed to reach age-expected trajectories, leading to
significantly smaller volumes, notably in the posterior lobes. Cerebellar volume
reductions were of a similar magnitude to cerebral volume reductions. Cerebellar
white matter volume declined in MS and ADEM patients over time, while in monoADS
patients it remained similar to controls. Cerebellar volumes did not correlate
either with lesion volumes at onset or with physical disability. CONCLUSION:
MonoADS, ADEM, and MS in childhood lead to impaired age-expected growth of the
cerebellum.
PMID- 26552733
TI - Modified Frailty Index (mFI) in major gynaecological surgery: does it predict
outcome?
PMID- 26552734
TI - Germline copy number variation analysis in Finnish families with hereditary
prostate cancer.
AB - BACKGROUND: The inherited factors that predispose individuals to prostate cancer
(PrCa) remain largely unknown. The aim of this study was to identify germline
copy number variants (CNVs) in Finnish individuals that could contribute to an
increased PrCa risk. METHODS: Genome-wide CNV screening was performed by
analyzing single nucleotide polymorphisms from 105 PrCa patients and 37
unaffected relatives, representing 31 Finnish hereditary PrCa (HPC) families. The
CNVs that aggregated in affected individuals and overlapped with genes implicated
in cancer were validated using quantitative PCR in 189 index patients from
Finnish HPC families and in 476 controls. RESULTS: An intronic deletion (14.7 kb)
in the EPHA3 gene coding for class A ephrin receptor was observed in 11.6% of
PrCa patients and in 6.1% of controls. The deletion associated with an increased
PrCa risk (P = 0.018, OR = 2.06, 95%CI = 1.18-3.61). Although incomplete
segregation with affection status was observed, the results show that the
deletion was overrepresented in PrCa patients (56.1%) when compared to unaffected
male relatives (31.2%). Interestingly, PrCa-specific mortality was higher among
EPHA3 deletion carriers (24.3%) than among patients with a normal EPHA3 copy
number (3.4%). CONCLUSIONS: This study is the first investigation of the
contribution of germline CNVs to HPC susceptibility in Finland. A novel
association between the EPHA3 deletion and PrCa risk was observed and, if
confirmed, screening for this variant may aid in risk stratification among HPC
patients.
PMID- 26552735
TI - Impact of Continuous Positive Airway Pressure Therapy on Atrial Electromechanical
Delay in Obesity-Hypoventilation Syndrome Patients.
AB - BACKGROUND: Obesity-hypoventilation syndrome (OHS) is defined as daytime
hypercapnia and hypoxemia in obese patients with sleep-disordered breathing. We
evaluated the electrocardiographic P-wave duration and dispersion (PD) and
echocardiographic noninvasive indicators of atrial conduction heterogeneity in
OHS patients and the impact of CPAP on atrial conduction and atrial fibrillation
incidence. METHODS AND RESULTS: We enrolled 50 OHS patients and 50 sex- and age
matched obese subjects as control. Study population underwent cardiologic
evaluation and polysomnography before enrollment, at 1- and 6-month follow-ups
after CPAP therapy. The OHS group showed a significant increase in inter-atrial
(35.2 +/- 8 milliseconds vs. 20.1 +/- 2.7 milliseconds, P < 0.0001), intra-left
(30.5 +/- 7.2 milliseconds vs. 16.5 +/- 2 milliseconds, P < 0.0001), and intra
right atrial electromechanical delays (AEMD)(24.8 +/- 10 milliseconds vs. 15 +/-
2.6 milliseconds, P < 0.0001) as well as in Pmax (130 +/- 7.4 milliseconds vs. 97
+/- 7.2 milliseconds, P = 0.002) and PD (56.5 +/- 8.5 milliseconds vs. 31 +/- 7.2
milliseconds, P = 0.002) compared to the control group. Significant improvement
was noted after 6 months of CPAP therapy in inter-atrial (35.2 +/- 8 milliseconds
vs. 24.5 +/- 6.3 milliseconds, P < 0.0001), intra-left (30.5 +/- 7.2 milliseconds
vs. 20.6 +/- 5 milliseconds, P = 0.003), and intra-right AEMD (24.8 +/- 10
milliseconds vs. 17 +/- 7.5 milliseconds, P < 0.0001), as well as in Pmax (130 +/
7.4 milliseconds vs. 95 +/- 10 milliseconds, P < 0.0001) and in PD (56.5 +/- 8.5
milliseconds vs. 32.5 +/- 6 milliseconds, P < 0.0001) in the OHS group. External
loop recorder monitoring detected paroxysmal AF in 19 OHS patients (38%) with
significant reduction in paroxysmal AF episodes (12 +/- 6 vs. 47 +/- 12, P <
0.0001) after 6-month CPAP therapy. CONCLUSION: Our findings showed a significant
increase of electrocardiographic and echocardiographic indexes of atrial
conduction heterogeneity in OHS patients. The CPAP therapy, having a positive
impact on atrial conduction time, seems to reduce AF incidence in OHS patients.
PMID- 26552736
TI - Disc degeneration implies low back pain.
AB - BACKGROUND: Low back pain exerts a tremendous burden on individual patients and
society due to its prevalence and ability to cause long-term disability.
Contemporary treatment and prevention efforts are stymied by the absence of a
confirmed cause for the majority of low back pain patients. METHODS: A system
dynamics approach is used to build a physiologically-based model investigating
the relationship between disc degeneration and low back pain. The model's
predictions are evaluated under two different types of study designs and compared
with established observations on low back pain. RESULTS: A three-compartment
model (no disc degeneration, disc degeneration with pain remission, disc
degeneration with pain recurrence) accurately predicts the age-specific
prevalence observed in one of the largest population-based surveys (R (2) =
0.998). The estimated transition age at which intervertebral discs lose the
growth potential and begin degenerating is 13.3 years. The estimated disc
degeneration rate is 0.0344/year. Without any additional change being made to
parameter's values, the model also fully accounts for the age-specific prevalence
of disc degeneration detected with a lumbar MRI among asymptomatic individuals (R
(2) = 0.978). CONCLUSIONS: Dual testing of the proposed mechanistic model with
two independent data sources (one with lumbar MRI and the other without) confirm
that disc degeneration is the driving force behind and cause of age dependence in
low back pain. Observed complexity of low back pain epidemiology arises from the
slow dynamics of disc degeneration coupled with the fast dynamics of disease
recurrence.
PMID- 26552737
TI - From raw data to data-analysis for magnetic resonance spectroscopy--the missing
link: jMRUI2XML.
AB - BACKGROUND: Magnetic resonance spectroscopy provides metabolic information about
living tissues in a non-invasive way. However, there are only few multi-centre
clinical studies, mostly performed on a single scanner model or data format, as
there is no flexible way of documenting and exchanging processed magnetic
resonance spectroscopy data in digital format. This is because the DICOM standard
for spectroscopy deals with unprocessed data. This paper proposes a plugin tool
developed for jMRUI, namely jMRUI2XML, to tackle the latter limitation. jMRUI is
a software tool for magnetic resonance spectroscopy data processing that is
widely used in the magnetic resonance spectroscopy community and has evolved into
a plugin platform allowing for implementation of novel features. RESULTS:
jMRUI2XML is a Java solution that facilitates common preprocessing of magnetic
resonance spectroscopy data across multiple scanners. Its main characteristics
are: 1) it automates magnetic resonance spectroscopy preprocessing, and 2) it can
be a platform for outputting exchangeable magnetic resonance spectroscopy data.
The plugin works with any kind of data that can be opened by jMRUI and outputs in
extensible markup language format. Data processing templates can be generated and
saved for later use. The output format opens the way for easy data sharing- due
to the documentation of the preprocessing parameters and the intrinsic
anonymization--for example for performing pattern recognition analysis on
multicentre/multi-manufacturer magnetic resonance spectroscopy data. CONCLUSIONS:
jMRUI2XML provides a self-contained and self-descriptive format accounting for
the most relevant information needed for exchanging magnetic resonance
spectroscopy data in digital form, as well as for automating its processing. This
allows for tracking the procedures the data has undergone, which makes the
proposed tool especially useful when performing pattern recognition analysis.
Moreover, this work constitutes a first proposal for a minimum amount of
information that should accompany any magnetic resonance processed spectrum,
towards the goal of achieving better transferability of magnetic resonance
spectroscopy studies.
PMID- 26552738
TI - Self-Rated Health Across Race, Ethnicity, and Immigration Status for US
Adolescents and Young Adults.
AB - PURPOSE: Health disparities research seeks to understand and eliminate
differences in health based on social status. Self-rated health is often used to
document health disparities across racial/ethnic and immigrant groups, yet its
validity for such comparative research has not been established. To be useful in
disparities research, self-rated health must measure the same construct in all
groups, that is, a given level of self-rated health should reflect the same level
of mental and physical health in each group. This study asks, Is the relationship
between self-rated health and four indicators of health status--body mass index,
chronic conditions, functional limitations, and depressive symptoms--similar for
adolescents and young adults of different races/ethnicities and immigrant
generations? METHODS: Ordinary least squares regression was used to examine
associations of self-rated health with the four indicators of health status both
cross-sectionally and longitudinally using four waves of the National
Longitudinal Study of Adolescent to Adult Health. RESULTS: Health indicators
explained similar amounts of variance in self-rated health for all racial/ethnic
and immigrant generation groups. The cross-sectional association between the
health indicators and self-rated health did not vary across groups. The
longitudinal association between depressive symptoms and chronic conditions and
self-rated health also did not differ across groups. However, an increase in body
mass index was associated more negatively with later self-rated health for Asians
than for whites or blacks. CONCLUSIONS: Self-rated health is valid for
disparities research in large, population-based surveys of US adolescents and
young adults. In many of these surveys self-rated health is the only measure of
health.
PMID- 26552739
TI - Characteristics of a Favorable Weight Status Change From Adolescence to Young
Adulthood.
AB - PURPOSE: To explore 10-year longitudinal predictors (personal, psychological,
behavioral, and socioenvironmental) of exiting obesity from adolescence to young
adulthood. METHODS: Data were collected from a population-based cohort of
adolescents (n = 2,287) attending middle/high schools in Minneapolis-St. Paul in
1998-1999 (mean age = 14.9) and again in 2008-2009 (mean age = 25.3)
participating in Project Eating and Activity Among Teens and Young Adults. Self
reported height and weight were used to calculate weight status change between
adolescence and young adulthood, among participants with obesity at baseline (n =
175). Questionnaires assessed personal, psychological, behavioral, and
socioenvironmental factors hypothesized to play a role in obesity. Modified
Poisson regressions estimated adjusted relative risks (RRs) for exiting obesity
as a function of each baseline and 10-year change in predictor, controlling for
relevant covariates. RESULTS: Thirty-two percent of adolescents exited obesity in
young adulthood. Reductions in fast food intake (RR = .73, 95% confidence
interval [CI] = .61-.87) and screen time (RR = .98, 95% CI = .96-.99), and
increases in fruit/vegetable intake (RR = 1.06, 95% CI 1.01-1.12), moderate-to
vigorous physical activity (RR = 1.06, 95% CI = 1.00-1.12), home fruit/vegetable
availability (RR = 1.58, 95% CI = 1.19-2.09), family meals (RR = 1.12, 95% CI =
1.03-1.22), and serving vegetables at dinner (RR = 1.45, 95% CI = 1.10-1.92) were
associated with exiting obesity. Not dieting as an adolescent and improvements in
body satisfaction, depressive symptoms, self-esteem, and weight teasing were also
associated with exiting obesity. CONCLUSIONS: Promoting healthy eating and
activity, and improving the healthfulness of home food environments may be
promising intervention targets for promoting healthier weights in adolescents and
young adults with obesity. Addressing dieting behavior and the psychosocial
health of adolescents with obesity may also be needed throughout the transition
to young adulthood.
PMID- 26552740
TI - Lunchtime School Water Availability and Water Consumption Among California
Adolescents.
AB - PURPOSE: To examine the potential impact of California SB 1413, which required
school districts to provide free, fresh drinking water during mealtimes in food
service areas by July 1, 2011, on greater water consumption among California
adolescents. METHODS: Data were drawn from the 2012 and 2013 state-representative
California Health Interview Survey. A total of 2,665 adolescents aged 12-17 years
were interviewed regarding their water consumption and availability of free water
during lunchtime at their school. RESULTS: Three-fourths reported that their
school provided free water at lunchtime, mainly via fountains. In a multivariate
model that controlled for age, gender, income, race/ethnicity, body mass index,
and school type, adolescents in schools that provided free water consumed
significantly more water than adolescents who reported that water was not
available, bivariate (standard error) = .67 (.28), p = .02. School water access
did not significantly vary across the 2 years. CONCLUSIONS: Lunchtime school
water availability was related to water consumption, but a quarter of adolescents
reported that their school did not provide free water at lunch. Future research
should explore what supports and inducements might facilitate provision of
drinking water during school mealtimes.
PMID- 26552741
TI - Polyunsaturated fat intake and mortality in non-statin users, is there an
independent relationship? The authors reply.
PMID- 26552742
TI - The effect of a dietary portfolio compared to a DASH-type diet on blood pressure.
AB - BACKGROUND AND AIM: Compared to a DASH-type diet, an intensively applied dietary
portfolio reduced diastolic blood pressure at 24 weeks as a secondary outcome in
a previous study. Due to the importance of strategies to reduce blood pressure,
we performed an exploratory analysis pooling data from intensively and routinely
applied portfolio treatments from the same study to assess the effect over time
on systolic, diastolic and mean arterial pressure (MAP), and the relation to
sodium (Na(+)), potassium (K(+)), and portfolio components. METHODS AND RESULTS:
241 participants with hyperlipidemia, from four academic centers across Canada
were randomized and completed either a DASH-type diet (control n = 82) or a
dietary portfolio that included, soy protein, viscous fibers and nuts (n = 159)
for 24 weeks. Fasting measures and 7-day food records were obtained at weeks 0,
12 and 24, with 24-h urines at weeks 0 and 24. The dietary portfolio reduced
systolic, diastolic and mean arterial blood pressure compared to the control by
2.1 mm Hg (95% CI, 4.2 to -0.1 mm Hg) (p = 0.056), 1.8 mm Hg (CI, 3.2 to 0.4 mm
Hg) (p = 0.013) and 1.9 mm Hg (CI, 3.4 to 0.4 mm Hg) (p = 0.015), respectively.
Blood pressure reductions were small at 12 weeks and only reached significance at
24 weeks. Nuts, soy and viscous fiber all related negatively to change in mean
arterial pressure (rho = -0.15 to -0.17, p <= 0.016) as did urinary potassium
(rho = -0.25, p = 0.001), while the Na(+)/K(+) ratio was positively associated
(rho = 0.20, p = 0.010). CONCLUSIONS: Consumption of a cholesterol-lowering
dietary portfolio also decreased blood pressure by comparison with a healthy DASH
type diet. CLINICAL TRIAL REG. NO.: NCT00438425, clinicaltrials.gov.
PMID- 26552744
TI - Coupled resonances allow studying the aging of adhesive contacts between a QCM
surface and single, micrometer-sized particles.
AB - Interparticle contacts and contacts between particles and surfaces are known to
change over time. The contact area, the contact stiffness, and the contact
strength usually increase as the contact ages. Contact aging is mostly driven by
capillary forces, but also by plastic deformation. Making use of acoustic
resonators, we have studied the stiffness of contacts between the surface of a
quartz crystal microbalance (QCM) and individual, micrometer-sized particles
adsorbed to the resonator surface. Studying single particles avoids ensemble
averaging. Central to the analysis is the coupled resonance, occurring when a
surface-attached particle together with the link forms a resonator of its own. If
the frequency of this second resonator comes close to one of the crystal's
overtones, plots of shifts in resonance bandwidth versus overtone order display a
resonance curve. This secondary resonance is caused by the coupling between the
particle's resonance and the main resonance. One can read the frequency of the
coupled resonance from this plot. Similarly, resonance curves are observed in
plots of frequency and bandwidth versus time, if the contact stiffness varies
smoothly with time. Because the coupled resonance is a characteristic feature, it
is easily identified even in cases where frequency shifts of some other origin
are superimposed onto the data. For the cases studied here, the links stiffened
while they dried. Interestingly, the efficiency of coupling between the particle
resonance and the main resonance decreased at the same time. This can be
explained with an increase in the link's bending stiffness. The analysis
highlights that a QCM experiment amounts to vibrational spectroscopy on surface
attached particles. Among the application examples is the adsorption and drying
of a lycopodium spore. Clearly, the technique is also applicable to problems of
bioadhesion.
PMID- 26552743
TI - Lower-normal TSH is associated with better metabolic risk factors: A cross
sectional study on Spanish men.
AB - BACKGROUND AND AIMS: Subclinical thyroid conditions, defined by normal thyroxin
(T4) but abnormal thyroid-stimulating hormone (TSH) levels, may be associated
with cardiovascular and metabolic risk. More recently, TSH levels within the
normal range have been suggested to be associated with metabolic syndrome and
cardiovascular risk. This work studies the linearity of the relationship between
metabolic syndrome and TSH across the euthyroid range. METHODS AND RESULTS: We
studied 3533 male participants of the Aragon Workers' Health Study (AWHS) with
normal TSH and free T4 levels, across quintiles of these variables, after
adjusting for age, alcohol intake, and smoking. Compared with the lowest TSH
quintile, the odds ratios for metabolic syndrome at the higher quintiles, which
indicate lower thyroid function, were 1.34 (1.04, 1.73), 1.56 (1.21, 2.01), 1.57
(1.22, 2.03), and 1.71 (1.32, 2.21). The lowest free T4 quintile also showed an
odds ratio of 1.49 (1.16, 1.90) with respect to the highest quintile. In
addition, spline models showed departures from linearity: the risk of metabolic
syndrome mostly increases at TSH values below the median (sample half-closest to
subclinical hyperthyroidism). Interestingly, glucose also increases with TSH
primarily below the median TSH, diastolic blood pressure shows similar changes
across the entire TSH range, whereas body mass index, triglycerides, and high
density lipoprotein (HDL)-cholesterol change only at the highest normal TSH
values, which are associated with lower free T4 concentration. CONCLUSIONS: TSH
and free T4 within the normal range are associated with the metabolic syndrome.
The sample half-below the TSH median (with probably higher functional thyroid
status) exhibited better metabolic and cardiovascular profiles.
PMID- 26552745
TI - Esculin improves dyslipidemia, inflammation and renal damage in streptozotocin
induced diabetic rats.
AB - BACKGROUND: Increasing studies have shown that dyslipidemia and inflammatory
responses play important roles in the progression of microvascular diabetic
complications. Esculin (ES), a coumarin derivative, was extracted from Fraxinus
rhynchophylla. The present study was to evaluate the potential effects of ES on
lipid metabolism, inflammation responses and renal damage in streptozotocin (STZ)
induced experimental diabetic rats and explore the possible mechanism. METHODS:
Diabetic rat model was established by administration high-glucose-fat diet and
intraperitoneal injection of STZ 45 mg/kg. ES was administrated to diabetic rats
intragastrically at 10, 30 and 90 mg/kg for 10 weeks respectively. The levels of
triglycerides (TG), total cholesterol (T-CHO), low density lipoproteins (LDL),
and high-density-cholesterol (HDL-C) in serum were measured. IL-1, IL-6, ICAM-1,
NO, NAGL, and AGEs level in serum were detected by ELISA assay. The accumulation
of AGEs in kidney tissue was examined by immunohistochemistry assay. RESULTS: The
results showed that ES could decrease TG, T-CHO, LDL levels in serum of diabetic
rats in a dose dependent manner. ES also decreased IL-1, IL-6, ICAM-1, NO and
NGAL levels in serum of diabetic rats in a dose dependent manner. Furthermore, ES
at 30 and 90 mg/kg significantly decreased AGEs level in serum and alleviated
AGEs accumulation in renal in diabetic rats. CONCLUSIONS: Our findings indicate
that ES could improve dyslipidemia, inflammation responses, renal damage in STZ
induced diabetic rats and the possible mechanism might be associated with the
inhibition of AGEs formation.
PMID- 26552746
TI - Microenvironmental effects limit efficacy of thymoquinone treatment in a mouse
model of ovarian cancer.
AB - BACKGROUND: Ovarian cancer is the most lethal gynecologic malignancy, with
limited treatment options for chemoresistant disease. An important link between
inflammation and peritoneal spread of ovarian cancer is NF-kappaB signaling.
Thymoquinone (TQ) exerts multiple anti-tumorigenic cellular effects, including NF
kappaB inhibition. We aimed to investigate the therapeutic potential of TQ in an
established murine syngeneic model of ovarian cancer. METHODS: ID8-NGL mouse
ovarian cancer cells stably expressing an NF-kappaB reporter transgene were
injected intra-peritoneally into C57BL/6 mice, and mice were treated with TQ or
vehicle for 10 or 30 days. TQ was combined with the macrophage depleting drug,
liposomal clodronate, in selected experiments. Effects on peritoneal tumor burden
were measured by volume of ascites, number of peritoneal implants and mesenteric
tumor mass. NF-kappaB reporter activity and markers of proliferation and
apoptosis were measured in tumors and in confirmatory in vitro experiments.
Protein or mRNA expression of M1 (anti-tumor) and M2 (pro-tumor) macrophage
markers, and soluble cytokine profiles, were examined from harvested ascites
fluid, peritoneal lavages and/or tumor sections. 2-tailed Mann-Whitney tests were
used for measuring differences between groups in in vivo experiments. RESULTS:
Consistent with its effects in vitro, TQ reduced proliferation and increased
apoptosis in ID8-NGL tumors after 10 and 30 day treatment. Prolonged TQ treatment
did not significantly alter tumor number or mass compared to vehicle, but rather
exerted an overall deleterious effect by stimulating ascites formation. Increased
ascites was accompanied by elevated NF-kappaB activity in tumors and macrophages,
increased pro-tumor M2 macrophages and expression of pro-tumorigenic soluble
factors such as VEGF in ascites fluid, and increased tumor infiltration of M2
macrophages. In contrast, a 10 day exposure to TQ produced no ascites, and
reduced tumor NF-kappaB activity, M2 macrophages and soluble VEGF levels.
Peritoneal macrophage depletion by clodronate significantly reduced tumor burden.
However, TQ-stimulated ascites was further enhanced by co-treatment with
clodronate, with macrophages present overwhelmingly of the M2 phenotype.
CONCLUSIONS: Our findings show that pro-tumorigenic microenvironmental effects
limited the efficacy of TQ in a syngeneic mouse model of ovarian cancer, and
provide caution regarding its potential use in clinical trials in ovarian cancer
patients.
PMID- 26552747
TI - Comprehensive therapeutic approach for patients with heart failure and
comorbidity.
AB - The prevalence of heart failure increases with age and is accompanied by other
diseases, which are encompassed within a "cardiometabolic phenotype". Their
interrelation changes the evolution and treatment that each disease would have in
isolation. Patients with heart failure and comorbidity are frail and complex.
They require a comprehensive assessment (not just biomedical), which includes
functional, cognitive, affective and psychosocial aspects. The overall treatment,
which is not covered in the clinical practice guidelines, should adapt to each
and every one of the comorbidities. Polypharmacy should be avoided as much as
possible, due to its interactions and reduced adherence. Treatment needs to be
optimised and adapted to the evolutionary phase of the disease and the specific
needs of each patient. The complexity of the care process for patients with heart
failure and comorbidities requires the coordination of healthcare providers and
support from family and others involved in the patient's care.
PMID- 26552748
TI - Opinion Paper: Selective Targeting of Liver Nodules. Present Situation and New
Challenges to Enhance Indocyanine Green Captation from Colorectal Liver
Metastases.
PMID- 26552749
TI - Investigations in sono-enzymatic degradation of ibuprofen.
AB - The drug ibuprofen (IBP) appears frequently in the wastewater discharge from
pharmaceutical industries. This paper reports studies in degradation of IBP
employing hybrid technique of sono-enzymatic treatment. This paper also
establishes synergy between individual mechanisms of enzyme and sonolysis for IBP
degradation by identification of degradation intermediates, and Arrhenius &
thermodynamic analysis of the experimental data. Positive synergy between
sonolysis and enzyme treatment is attributed to formation of hydrophilic
intermediates during degradation. These intermediates form due to hydroxylation
and oxidation reactions induced by radicals formed during transient cavitation.
Activation energy and enthalpy change in sono-enzymatic treatment are lower as
compared to enzyme treatment, while frequency factor and entropy change are
higher as compared to sonolysis. Degradation of IBP in sono-enzymatic treatment
is revealed to be comparable with other hybrid techniques like photo-Fenton, sono
photocatalysis, and sono-Fenton.
PMID- 26552751
TI - Stand-Your-Ground is losing ground for racial minorities' health.
PMID- 26552750
TI - Chemotherapeutic agents attenuate CXCL12-mediated migration of colon cancer cells
by selecting for CXCR4-negative cells and increasing peptidase CD26.
AB - BACKGROUND: Recurrence of colorectal cancer (CRC) may arise due to the
persistence of drug-resistant and cancer-initiating cells that survive exposure
to chemotherapy. Proteins responsible for this recurrence include the chemokine
receptor CXCR4, which is known to enable CRC metastasis, as well as the cancer
initiating cell marker and peptidase CD26, which terminates activity of its
chemokine CXCL12. METHODS: We evaluated the expression and function of CXCR4 and
CD26 in colon cancer cell lines and xenografts following treatment with common
chemotherapies using radioligand binding, flow cytometry, immunofluorescence, and
enzymatic assays. RESULTS: 5-Fluorouracil, oxaliplatin and SN-38 (the active
metabolite of irinotecan), as well as cisplatin, methotrexate and vinblastine,
each caused decreases in cell-surface CXCR4 and concomitant increases in CD26 on
HT-29, T84, HRT-18, SW480 and SW620 CRC cell lines. Flow cytometry indicated that
the decline in CXCR4 was associated with a significant loss of CXCR4+/CD26-
cells. Elevations in CD26 were paralleled by increases in both the intrinsic
dipeptidyl peptidase activity of CD26 as well as its capacity to bind
extracellular adenosine deaminase. Orthotopic HT-29 xenografts treated with
standard CRC chemotherapeutics 5-fluorouracil, irinotecan, or oxaliplatin showed
dramatic increases in CD26 compared to untreated tumors. Consistent with the loss
of CXCR4 and gain in CD26, migratory responses to exogenous CXCL12 were
eliminated in cells pretreated with cytotoxic agents, although cells retained
basal motility. Analysis of cancer-initiating cell CD44 and CD133 subsets
revealed drug-dependent responses of CD26/CD44/CD133 populations, suggesting that
the benefits of combining standard chemotherapies 5-fluoruracil and oxaliplatin
may be derived from their complementary elimination of cell populations.
CONCLUSION: Our results indicate that conventional anticancer agents may act to
inhibit chemokine-mediated migration through eradication of CXCR4+ cells and
attenuation of chemokine gradients through elevation of CD26 activity.
PMID- 26552753
TI - Phrenic nerve palsy in cryoballoon ablation: Can it be prevented?
PMID- 26552752
TI - Negative concordance pattern in bipolar and unipolar recordings: An additional
mapping criterion to localize the site of origin of focal ventricular
arrhythmias.
AB - BACKGROUND: The relevance of the temporal relationship between a unipolar
electrogram (UEGM) and a bipolar electrogram (BEGM) in determining the site of
origin (SOO) of focal arrhythmias has been largely demonstrated. OBJECTIVE: We
sought to demonstrate that a negative concordance in the initial forces of these
EGMs is also helpful in predicting the SOO of premature ventricular contractions
(PVCs). METHODS: Mapping and radiofrequency (RF) ablation were performed in 41
patients with symptomatic PVCs in the absence of structural heart disease.
Simultaneous recordings of the minimally filtered (0.5-500 Hz) UEGM and filtered
BEGM (30-500 Hz) were analyzed at 247 mapping sites, where RF was attempted. EGMs
of 63 mechanically induced PVCs were separately analyzed as a validation group.
All ablation sites had a QS pattern in the UEGM. Acute PVC suppression was
defined as a complete disappearance of ventricular ectopic beats after a 60
second pulse of RF. RESULTS: RF ablation obtained PVC suppression (RF+) in 33 of
247 sites (13.3%). A negative concordance pattern (NCP) during the initial 20 ms
of both UEGM and BEGM was observed in 31 of 33 (94%) RF+ sites compared with 10
of 214 (4%)RF- sites (P < .0001). The NCP criterion demonstrated to be an
additional powerful predictor of acute RF success with sensitivity, specificity,
positive predictive value, and negative predictive value of 94%, 95%, 76%, and
99%, respectively. Similarly to RF+ sites, the NCP was observed in 60 of 63 sites
(95.2%) in the mechanical PVC group. CONCLUSION: An NCP in both UEGM and BEGM may
be an additional criterion that helps to localize the SOO of focal ventricular
arrhythmias.
PMID- 26552754
TI - Optimal QT interval correction formula in sinus tachycardia for identifying
cardiovascular and mortality risk: Findings from the Penn Atrial Fibrillation
Free study.
AB - BACKGROUND: The QT interval measures cardiac repolarization, and prolongation is
associated with adverse cardiovascular outcomes and death. The exponential Bazett
correction formula overestimates the QT interval during tachycardia. OBJECTIVE:
We evaluated 4 formulas of QT interval correction in individuals with sinus
tachycardia for the identification of coronary artery disease, heart failure, and
mortality. METHODS: The Penn Atrial Fibrillation Free study is a large cohort
study of patients without atrial fibrillation. The present study examined 6723
Penn Atrial Fibrillation Free study patients without a history of heart failure
and with baseline sinus rate >=100 beats/min. Medical records were queried for
index clinical parameters, incident cardiovascular events, and all-cause
mortality. The QT interval was corrected by using Bazett (QT/RR(0.5)), Fridericia
(QT/RR(0.33)), Framingham [QT + 0.154 * (1000 - RR)], and Hodges (QT + 105 *
(1/RR - 1)) formulas. RESULTS: In 6723 patients with a median follow-up of 4.5
years (interquartile range 1.9-6.4 years), the annualized cardiovascular event
rate was 2.3% and the annualized mortality rate was 2.2%. QT prolongation was
diagnosed in 39% of the cohort using the Bazett formula, 6.2% using the
Fridericia formula, 3.7% using the Framingham formula, and 8.7% using the Hodges
formula. Only the Hodges formula was an independent risk marker for death across
the range of QT values (highest tertile: hazard ratio 1.26; 95% confidence
interval 1.03-1.55). CONCLUSION: Although all correction formulas demonstrated an
association between QTc values and cardiovascular events, only the Hodges formula
identified one-third of individuals with tachycardia that are at higher risk of
all-cause mortality. Furthermore, the Bazett correction formula overestimates the
number of patients with a prolonged QT interval and was not associated with
mortality. Future work may validate these findings and result in changes to
automated algorithms for QT interval assessment.
PMID- 26552755
TI - Dabigatran etexilate and reduction in serum apolipoprotein B.
AB - OBJECTIVE: Carboxylesterases, which convert dabigatran etexilate to its active
form, dabigatran, have also been shown to influence lipoprotein metabolism,
although any pleotropic effects of the drug based on this possible mechanism has
not been evaluated. We examined the effects of dabigatran etexilate on serum
lipoprotein markers in the Randomized Evaluation of Long-Term Anticoagulation
Therapy (RE-LY) study. METHODS: 2513 participants from the RE-LY randomised
control trial with baseline and 3-month apolipoprotein B (ApoB) and
apolipoprotein A1 (ApoA1) measurements were included. We prospectively compared
the effects of dabigatran 110 mg twice daily, dabigatran 150 mg twice daily and
warfarin on changes in ApoB and ApoA1 concentrations using a mixed model
analysis. RESULTS: From baseline to 3 months, a significant reduction in ApoB
concentration was observed with low-dose dabigatran (-0.057 (95% CI -0.069 to
0.044) g/L, p<0.001) and high-dose dabigatran (-0.065 (95% CI -0.078 to -0.053)
g/L, p<0.001) but not warfarin (-0.006 g/L (95% CI -0.018 to 0.007) g/L, p=0.40).
Compared with warfarin, ApoB reduction was significantly greater with both doses
of dabigatran (p<0.001 for both groups). Reductions in ApoA1 concentrations did
not statistically differ with either dose of dabigatran when compared with
warfarin. CONCLUSIONS: Dabigatran is associated with a significant (~7%)
reduction in ApoB concentration, suggesting a novel effect of this drug on
lipoprotein metabolism. Further studies are needed to determine the mechanism of
this observed effect, and its impact on clinical outcomes.
PMID- 26552756
TI - Cost-effectiveness of percutaneous coronary intervention versus bypass surgery
from a Dutch perspective.
AB - AIMS: Recent cost-effectiveness analyses of percutaneous coronary intervention
(PCI) versus coronary artery bypass grafting (CABG) have been limited by a short
time horizon or were restricted to the US healthcare perspective. We, therefore,
used individual patient-level data from the SYNTAX trial to evaluate the cost
effectiveness of PCI versus CABG from a European (Dutch) perspective. METHODS AND
RESULTS: Between 2005 and 2007, 1800 patients with three-vessel or left main
coronary artery disease were randomised to either CABG (n=897) or PCI with drug
eluting stents (DES; n=903). Costs were estimated for all patients based on
observed healthcare resource usage over 5 years of follow-up. Health state
utilities were evaluated with the EuroQOL questionnaire. A patient-level
microsimulation model based on Dutch life-tables was used to extrapolate the 5
year in-trial data to a lifetime horizon. Although initial procedural costs were
lower for CABG, total initial hospitalisation costs per patient were higher (?17
506 vs ?14 037, p<0.001). PCI was more costly during the next 5 years of follow
up, due to more frequent hospitalisations, repeat revascularisation procedures
and higher medication costs. Nevertheless, total 5-year costs remained
?2465/patient higher with CABG. When the in-trial results were extrapolated to a
lifetime horizon, CABG was projected to be economically attractive relative to
DES-PCI, with gains in both life expectancy and quality-adjusted life expectancy.
The incremental cost-effectiveness ratio (ICER) (?5390/quality-adjusted life year
(QALY) gained) was favourable and remained 80 000/QALY in >90% of the bootstrap
replicates. Outcomes were similar when incorporating the prognostic impact of non
fatal myocardial infarction and stroke, as well as across a broad range of
assumptions regarding the effect of CABG on post-trial survival and costs.
However, DES-PCI was economically dominant compared with CABG in patients with a
SYNTAX Score <=22 or in those with left main disease. In patients for whom the
SYNTAX Score II favoured PCI based on lower predicted 4-year mortality, PCI was
also economically dominant, whereas in those patients for whom the SYNTAX Score
II favoured surgery, CABG was highly economically attractive (ICER range, ?2967
to ?3737/QALY gained). CONCLUSIONS: For the broad population with three-vessel or
left main disease who are candidates for either CABG or PCI, we found that CABG
is a clinically and economically attractive revascularisation strategy compared
with DES-PCI from a Dutch healthcare perspective. The cost-effectiveness of CABG
versus PCI differed according to several anatomic factors, however. The newly
developed SYNTAX Score II provides enhanced prognostic discrimination in this
population, and may be a useful tool to guide resource allocation as well. TRIAL
REGISTRATION NUMBER: Clinical trial unique identifier: NCT00114972
(http://www.clinical-trials.gov).
PMID- 26552757
TI - The potential of point-of-care ultrasound by non-experts to improve diagnosis and
patient care.
PMID- 26552758
TI - Medical therapy, percutaneous coronary intervention and prognosis in patients
with chronic total occlusions.
AB - OBJECTIVE: There is little published data reporting outcomes for those found to
have a chronic total coronary occlusion (CTO) that is electively treated
medically versus those treated by percutaneous coronary intervention (PCI). We
sought to compare long-term clinical outcomes between patients treated by PCI and
elective medical therapy in a consecutive cohort of patients with an identified
CTO. METHODS: Patients found to have a CTO on angiography between January 2002
and December 2007 in a single tertiary centre were identified using a dedicated
database. Those undergoing CTO PCI and elective medical therapy to the CTO were
propensity matched to adjust for baseline clinical and angiographic differences.
RESULTS: In total, 1957 patients were identified, a CTO was treated by PCI in 405
(20.7%) and medical therapy in 667 (34.1%), 885 (45.2%) patients underwent
coronary artery bypass graft surgery. Of those treated by PCI or medical therapy,
propensity score matching identified 294 pairs of patients, PCI was successful in
177 patients (60.2%). All-cause mortality at 5 years was 11.6% for CTO PCI and
16.7% for medical therapy HR 0.63 (0.40 to 1.00, p=0.052). The composite of 5
year death or myocardial infarction occurred in 13.9% of the CTO PCI group and
19.6% in the medical therapy group, HR 0.64 (0.42 to 0.99, p=0.043). Among the
CTO PCI group, if the CTO was revascularised by any means during the study
period, 5-year mortality was 10.6% compared with 18.3% in those not
revascularised in the medical therapy group, HR 0.50 (0.28-0.88, p=0.016).
CONCLUSIONS: Revascularisation, but not necessarily PCI of a CTO, is associated
with improved long-term survival relative to medical therapy alone.
PMID- 26552759
TI - [Acute unilateral arteritic anterior ischemic optic neuropathy becoming bilateral
despite corticosteroid therapy].
PMID- 26552760
TI - EphrinB2/EphA4-mediated activation of endothelial cells increases monocyte
adhesion.
AB - The membrane anchored ligand ephrinB2 belongs to the broad Eph/ephrin system and
is able to activate different Eph receptors. The Eph receptors belong to the huge
group of receptor-tyrosine kinases. Eph receptors as well as their corresponding
ephrin ligands are cell-membrane attached proteins. Therefore, direct cell-cell
contact is essentially for interaction. It is known that ephrinB2 plays a pivotal
role in developmental and in tumour angiogenesis. Previous studies point to a
crucial role of the EphA4-receptor in the process of monocyte adhesion. Since
ephrinB2 is known as an interaction partner of EphA4, the aim of the present
study was to investigate a possible interplay of EphA4-receptor with ephrinB2
during monocyte adhesion to the endothelium. As verified by bulk adhesion assays
and atomic-force microscopy based single-cell force spectroscopy, temporary
stimulation of endothelial cells from different sources with the soluble ligand
ephrinB2 increased monocyte adhesion to endothelial cells. The proadhesive effect
of ephrinB2 was independent of an active transcription, but is mediated via the
Rho signaling pathway with subsequent modulation of the actin cytoskeleton.
Furthermore, ephrinB2 mediated its impact on monocyte adhesion via the receptor
EphA4 as shown by siRNA-mediated silencing. Interestingly, ephrinB2 was induced
by TNF-alpha treatment. Silencing of ephrinB2 led to a lowering of the TNF-alpha
mediated monocyte adhesion to endothelial cells. Furthermore, immunohistochemical
staining of human atherosclerotic plaque revealed expression of ephrinB2 in
macrophages. The results of the present study point to a crucial role of ephrinB2
induced EphA4 forward signaling in the context of monocyte adhesion to
endothelial cells. This transcription-independent effect is mediated by Rho
signaling induced actin-filament polymerization.
PMID- 26552762
TI - Metabolism and lymphocyte biology.
PMID- 26552761
TI - Genotype-specific regulation of oral innate immunity by T2R38 taste receptor.
AB - The bitter taste receptor T2R38 has been shown to regulate mucosal innate immune
responses in the upper airway epithelium. Furthermore, SNPs in T2R38 influence
the sensitivity to 6-n-propylthiouracil (PROP) and are associated with caries
risk/protection. However, no study has been reported on the role of T2R38 in the
innate immune responses to oral bacteria. We hypothesize that T2R38 regulates
oral innate immunity and that this regulation is genotype-specific. Primary
gingival epithelial cells carrying three common genotypes, PAV/PAV (PROP super
taster), AVI/PAV (intermediate) and AVI/AVI (non-taster) were stimulated with
cariogenic bacteria Streptococcus mutans, periodontal pathogen Porphyromonas
gingivalis or non-pathogen Fusobacterium nucleatum. QRT-PCR analyzed T2R38 mRNA,
and T2R38-specific siRNA and ELISA were utilized to evaluate induction of hBD-2
(antimicrobial peptide), IL-1alpha and IL-8 in various donor-lines. Experiments
were set up in duplicate and repeated three times. T2R38 mRNA induction in
response to S. mutans was highest in PAV/PAV (4.3-fold above the unstimulated
controls; p<0.05), while lowest in AVI/AVI (1.2-fold). In PAV/PAV, hBD-2
secretion in response to S. mutans was decreased by 77% when T2R38 was silenced.
IL-1alpha secretion was higher in PAV/PAV compared to AVI/PAV or AVI/AVI with S.
mutans stimulation, but it was reduced by half when T2R38 was silenced (p<0.05).
In response to P. gingivalis, AVI/AVI showed 4.4-fold increase (p<0.05) in T2R38
expression, whereas the levels in PAV/PAV and AVI/PAV remained close to that of
the controls. Secretion levels of IL-1alpha and IL-8 decreased in AVI/AVI in
response to P. gingivalis when T2R38 was silenced (p<0.05), while the changes
were not significant in PAV/PAV. Our data suggest that the regulation of gingival
innate immunity by T2R38 is genotype-dependent and that the ability to induce a
high level of hBD-2 by PAV/PAV carriers may be a reason for protection against
caries in this group.
PMID- 26552763
TI - Molecular epidemiology of carbapenem resistant Enterobacteriaceae in Valle
d'Aosta region, Italy, shows the emergence of KPC-2 producing Klebsiella
pneumoniae clonal complex 101 (ST101 and ST1789).
AB - BACKGROUND: The spread of carbapenem resistant Enterobacteriaceae (CRE) is an
emerging clinical problem, of great relevance in Europe and worldwide. The aim of
this study was the molecular epidemiology of CRE isolates in Valle d'Aosta
region, Italy, and the mechanism of carbapenem resistance. RESULTS: Sixty
consecutive CRE samples were isolated from 52 hospital inpatients and/or
outpatients from November 2013 to August 2014. Genotyping of microbial isolates
was done by pulsed-field gel electrophoresis (PFGE) and multi-locus sequence
typing (MLST), carbapenemases were identified by PCR and sequencing. Carbapenem
resistance gene transfer was performed by filter mating, plasmids from parental
and transconjugant strains were assigned to incompatibility groups by PCR-based
replicon typing. Molecular characterization of CRE isolates assigned 25
Klebsiella pneumoniae isolates to PFGE types A1-A5 and sequencing type (ST) 101,
17 K. pneumoniae isolates to PFGE type A and ST1789 (a single locus variant of
ST101), 7 K. pneumoniae isolates to PFGE types B or C and ST512, 2 K. pneumoniae
isolates to PFGE type D and ST405, and 5 Escherichia coli isolates to PFGE type a
and ST131. All K. pneumoniae ST101 and ST1789 isolates were extended-spectrum
beta-lactamase (ESBL) producers and carried bla CTX-M-1 group gene; 4 K.
pneumoniae ST101 isolates were resistant to colistin. Molecular analysis of beta
lactamase genes identified bla KPC-2 and bla CTX-M-group 1 into conjugative
plasmid/s assigned to IncFII incompatibility group in ST101 and ST1789 K.
pneumoniae isolates, bla KPC-3 into conjugative plasmid/s assigned to IncF
incompatibility group in ST512 and ST405 K. pneumoniae isolates, bla VIM-1 into
conjugative plasmid/s assigned to IncN incompatibility group in ST131 E. coli
isolates. CONCLUSIONS: The spread of CRE in Valle d'Aosta region was caused by
the selection of KPC-2 producing K. pneumoniae ST101 and ST1789 epidemic clones
belonging to clonal complex 101, KPC-3 producing K. pneumoniae epidemic clones
assigned to ST512 and ST405, and VIM-1 producing E.coli ST131 epidemic clone.
Carbapenem resistance, along with bla KPC-2, bla KPC-3 and bla VIM-1
carbapenemase genes, was transferred by conjugative plasmids assigned to IncFII,
IncF, and IncN incompatibility groups, respectively, in filter mating
experiments. The emergence of colistin resistance was observed in KPC-2 producing
K. pneumoniae ST101 isolates.
PMID- 26552764
TI - Second-Line Chemotherapy for Metastatic Urothelial Carcinoma: Importance of Lymph
Node-Only Metastasis as a Prognostic Factor and Construction of a Prognostic
Model.
AB - BACKGROUND: A prognostic model for patients with metastatic urothelial carcinoma
(UC) progressing after platinum-based therapy was constructed from data from the
phase III vinflunine trial. However, prognostic information for patients treated
with other regimens is limited. MATERIALS AND METHODS: We pooled individual
patient data from 7 second-line studies and analyzed the influence of factors of
interest on overall survival (OS) through univariate and multivariate analysis. A
prognostic model was constructed, and data from an independent series were used
for validation. RESULTS: The data from 193 patients were pooled. The second-line
chemotherapy regimen was single-agent taxane in 54 patients (28%), a platinum
based combination in 47 (24%), and a non-platinum combination in 92 (48%). On
multivariate analysis, Eastern Cooperative Oncology Group performance status >=
1, hemoglobin < 10 g/dL, and metastatic patterns other than lymph node-only
metastasis emerged as independent adverse prognostic factors. Patients with all 3
factors (poor risk), 1 to 2 factors (intermediate risk), and no factors (good
risk) had a median OS of 3.1, 8.7, and 16.5 months, respectively (P < .0001). The
corresponding median OS for the validation series (n = 44) was 3.3, 8.1, and 13.3
months (P = .023). Furthermore, platinum-based regimens were independently
associated with an OS benefit compared with other regimens (hazard ratio, 0.31;
95% confidence interval, 0.18-0.53; P < .0001). CONCLUSION: We have proposed and
validated a prognostic model for patients with metastatic UC who were eligible
for second-line therapy. The proposed model could prove helpful for risk
stratification. Furthermore, our data suggest that testing second-line platinum
based regimens in randomized trials is warranted.
PMID- 26552765
TI - Stimulation with lysates of Aspergillus terreus, Candida krusei and Rhizopus
oryzae maximizes cross-reactivity of anti-fungal T cells.
AB - BACKGROUND AIMS: Invasive fungal diseases caused by filamentous fungi and yeasts
are significant causes of morbidity and mortality in immunosuppressed hematology
patients. We previously published a method to expand Aspergillus fumigatus
specific T cells for clinical cell therapy. In the present study, we investigated
expansion of T cells specific for other fungal pathogens and creation of a
broadly reactive panfungal T-cell product. METHODS: Fungal strains selected were
those frequently observed in the clinical hematology setting and included
Aspergillus, Candida, Fusarium, Rhizopus and Lomentospora/Scedosporium. Four T
cell cultures specific to each fungus were established. We selected lysates of
Aspergillus terreus, Candida krusei and Rhizopus oryzae to expand panfungal T
cells. Allelic restriction of anti-fungal activity was determined through the use
of specific major histocompatibility complex class II-blocking antibodies.
RESULTS: Individual T-cell cultures specific to each fungus could be expanded in
vitro, generating predominantly CD4(+) T cells of which 8% to 20% were fungus
specific. We successfully expanded panfungal T cells from the peripheral blood (n
= 8) and granulocyte-colony-stimulating factor-primed stem cell products (n = 3)
of normal donors by using a combination of lysates from Aspergillus terreus,
Candida krusei and Rhizopus oryzae. Anti-fungal activity was mediated through
human leukocyte antigen (HLA)-DR alleles and was maintained when antigen
presenting cells from partially HLA-DRB1-matched donors were used to stimulate T
cells. CONCLUSIONS: We demonstrate a method to manufacture panfungal T-cell
products with specificity against a range of clinical fungal pathogens by use of
the blood and stem cells of healthy donors as the starting material. The safety
and efficacy of these products will need to be tested clinically.
PMID- 26552766
TI - Novel bronchoscopic treatment for bronchopleural fistula using adipose-derived
stromal cells.
AB - BACKGROUND AIMS: In this report, we describe the successful bronchoscopic
management of bronchopleural fistula in two patients, using autologous adipose
derived stromal cells. Cell therapy was considered for 2 cases of bronchopleural
fistula refractory to conventional surgical treatment after control of the
primary disease was confirmed and active pleural infection was ruled out.
Briefly, adipose-derived stem cells were first isolated from lipoaspirate and
used without cell expansion. In 24 months, we have not received more patients
with bronchopleural fistula in our hospital and we have not been able to include
more patients. METHODS: Briefly, adipose-derived stem cells were first isolated
from lipo-aspirate and used without cell expansion. A bronchopleural fistula was
identified through bronchoscopy, and the mucosa surrounding the fistula was
ablated with an argon plasma coagulator. Isolated stem cells were then
endoscopically injected into the de-epithelialized area and fistulous tract. If
an open thoracostomy was present at the time of the intervention, the same
procedure was performed on the pleural side. Bronchoscopic follow-up was
scheduled weekly during the first month, monthly during the first year, and then
yearly. The underlying etiologies were left pneumonectomy and right lower video
assisted lobectomy for non-small-cell lung cancer. The sizes of the fistulas were
6 mm and 3 mm in diameter, respectively. RESULTS: Both patients were discharged
on the first postoperative day. The 3-year follow-up revealed a successful and
maintained fistula closure, no treatment-related adverse reactions, nonlocal
malignant recurrence and improved quality of life. CONCLUSIONS: This preliminary
study showed that bronchoscopic application of autologous adipose-derived stem
cells is a feasible, safe and effective procedure for treating bronchopleural
fistula.
PMID- 26552768
TI - Eosinophilic esophagitis. Preface.
PMID- 26552767
TI - No up-regulation of the phosphatidylethanolamine N-methyltransferase pathway and
choline production by sex hormones in cats.
AB - BACKGROUND: Feline hepatic lipidosis (FHL) is a common cholestatic disease
affecting cats of any breed, age and sex. Both choline deficiency and low hepatic
phosphatidylethanolamine N-methyltransferase (PEMT) activity are associated with
hepatic lipidosis (HL) in humans, mice and rats. The PEMT expression is known to
be upregulated by oestrogens, protecting the females in these species from the
development of HL when exposed to choline deficient diets. The aim of the present
study was to evaluate the influence of sex hormones on choline synthesis via the
PEMT pathway in healthy male and female cats before and after spaying/neutering,
when fed a diet with recommended dietary choline content. RESULTS: From six
female and six male cats PEMT activity was assayed directly in liver biopsies
taken before and after spaying/neutering, and assessed indirectly by analyses of
PEMT-specific hepatic phosphatidylcholine (PC) species and plasma choline levels.
Hepatic PEMT activity did not differ between intact female and male cats and no
changes upon spaying/neutering were observed. Likewise, no significant
differences in liver PC content and PEMT-specific polyunsaturated PC species were
found between the sexes and before or after spaying/neutering. CONCLUSION: These
results suggest that choline synthesis in cats differs from what is observed in
humans, mice and rats. The lack of evident influence of sex hormones on the PEMT
pathway makes it unlikely that spaying/neutering predisposes cats for HL by
causing PC deficiency as suggested in other species.
PMID- 26552769
TI - The genetic basis of eosinophilic esophagitis.
AB - Eosinophilic esophagitis is characterized by destructive responses of the immune
system to environmental allergens, including food, on the human esophagus. EoE is
now reported as a major cause of upper gastrointestinal morbidity in children and
adults and the incidence is reported to be on the increase. It is known that EoE
has a high degree of heritability, with a majority of the phenotypic variation
believed to be genetic in origin as shown by genetic epidemiology studies of
twins and families. Prior to 2010, there were no known genetic risk factors for
the disease. Three GWAS have since been published identifying 5 loci which
influence risk for EoE in both children and adults. The information gained from
GWAS has been of value in elucidating the pathways involved, such as IL4/STAT6,
and more unexpected pathways such as epithelial apical transport and wound
healing. We will review the results of the EoE GWAS and the known associated
genes, concluding with a discussion of some future directions for genetic studies
in EoE.
PMID- 26552770
TI - Allergic mechanisms of Eosinophilic oesophagitis.
AB - Eosinophilic oesophagitis (EoE) is characterized by oesophageal dysfunction and
oesophageal eosinophilia refractory to proton-pump-inhibitor treatment. EoE is a
food allergy, as elimination of food trigger(s) abrogates the disease, while
trigger reintroduction causes recurrence. The allergic mechanism of EoE involves
both IgE and non-IgE processes. There is a break in oral tolerance, the immune
mechanism allowing enteric exposure to food and micro-organisms without causing
deleterious immune responses. Changes in life-style, alterations in gut flora and
use of antibiotics may be increasing disease prevalence. Mouse models of EoE and
human studies revealed the role of regulatory T-cells and iNKT-cells in the
pathogenesis. Th2-cytokines like IL-4, IL-5 and IL-13, and other cytokines like
TGFbeta and TSLP are involved, but perhaps no one cytokine is critically
important for driving the disease. Control of EoE may require a pharmaceutical
approach that blocks more than one target in the Th2-inflammatory pathway.
PMID- 26552772
TI - Insights into the emerging epidemic of eosinophilic oesophagitis.
AB - Eosinophilic oesophagitis (EOE) is a relatively recently recognised condition
characterised by an increase in oesophageal eosinophils. EOE occurs in children
and adults with a strong male preponderance. There has been a sharp increase in
EOE in North America, Europe and Australia. The reasons for this increase remain
unclear but are likely to be influenced by genetic and environmental factors, as
well as early-life exposures. Based on recent population-based data, the
estimated EOE prevalence in the USA is 56.7 per 100,000 persons. The peak
prevalence was observed in patients between 35 and 39 years of age. Prevalence
figures in Asia and the Middle East generally appear to be lower than in Western
countries, but population-based studies are not available. A causal association
between coeliac disease and EOE appears unlikely. Data on the seasonal variation
of EOE remain inconclusive. Further population-based studies are needed to define
the epidemiology of EOE.
PMID- 26552773
TI - Clinical features of Eosinophilic esophagitis in children and adults.
AB - Eosinophilic esophagitis (EoE) may affect humans at any age with a predominance
for Caucasian males. The clinical manifestation of EoE varies depending on the
patient's age. Infants and young children may primarily present with unspecific
symptoms such as feeding problems, vomiting and abdominal pain. In adolescents
and adults, dysphagia and food impactation become the predominant symptoms. EoE
should also be considered in cases of refractory heartburn in both children and
adults. Concomitant allergic diseases such as asthma, rhinitis and eczema, as
well as peripheral eosinophilia and elevated total serum IgE values are common in
pediatric and adult EoE patients. EoE seems to be primarily a food antigen-driven
disease, whereas in adults, aeroallergen sensitization may dominate. Endoscopic
features of EoE include mucosal edema, furrows, exudates, corrugated rings,
strictures, and the so-called crepe paper sign. There appears to be a shift from
an inflammatory-predominant phenotype in young childhood towards a more fibrotic
phenotype in adolescents and adults. Long-term follow studies suggest that EoE is
a chronic and potentially progressive disease causing recurring dysphagia in the
majority of cases. The prevalence of strictures significantly increases with the
duration of untreated disease, stressing the importance of early diagnosis and
consequent treatment of EoE.
PMID- 26552771
TI - Environmental and infectious factors in eosinophilic esophagitis.
AB - Identifying possible environmental or infectious etiologic factors for
eosinophilic esophagitis (EoE) may offer insight into opportunities for disease
prevention and treatment. We reviewed the current literature to assess
environmental and infectious factors evaluated in EoE. Few studies have been
conducted, however a consistent inverse association between EoE and H. pylori has
been described. Several studies suggest a weak association between season and EoE
diagnosis, but the evidence is inconclusive. EoE has also been associated with
early life factors, including Cesarean delivery and antibiotic use. Larger
studies are needed to evaluate these associations more thoroughly. Several papers
have speculated the potential for anti-secretory agents to contribute to EoE.
This has not been formerly evaluated. In summary, there is significant
opportunity in the future to advance our understanding of possible environmental
etiologic factors for EoE.
PMID- 26552774
TI - Interactions between gastro-oesophageal reflux disease and eosinophilic
oesophagitis.
AB - Gastro-oesophageal reflux disease (GORD) is the most common oesophageal disorder,
whereas eosinophilic oesophagitis (EoE) is an emerging disease unresponsive to
PPI therapy. Updated guidelines in 2011 described proton pump inhibitor
responsive esophageal eosinophilia (PPI-REE), a novel phenotype in EoE patients
who were responsive to PPIs. This article aims to update the complex interplay
between GORD, EoE and PPIs. Oesophageal mucosal integrity is diffusely impaired
in EoE and PPI-REE patients. PPI-REE might occur with either normal or
pathological pH monitoring. The genetic hallmark of EoE is overlapped in PPI-REE,
but not in GORD. PPIs can partially restore epithelial integrity and reverse
allergic inflammation gene expression in PPI-REE. Acid hypersensitivity in EoE
patients may explain symptomatic but not histological response on PPIs. Unsolved
issues with PPI-REE are whether oesophageal barrier impairment is the cause or
the effect of oesophageal eosinophilia and whether PPIs primarily targets barrier
integrity or oesophageal inflammation.
PMID- 26552775
TI - Disease associations in eosinophilic oesophagitis and oesophageal eosinophilia.
AB - Eosinophilic infiltration into oesophageal tissue, typical of eosinophilic
oesophagitis (EoE), has been described in several other conditions, including
infections, hypersensitivity, and other autoimmune disorders. Since its
description, EoE has been associated with an increasing number of diseases also
characterized by tissue infiltration, including eosinophilic gastroenteritis and
Crohn's disease. While an association between EoE and coeliac disease was
previously reported, it is not supported by recent research. In contrast, EoE
seems to be common in patients with a history of congenital oesophageal atresia,
leading to hypotheses linking both disorders. The prevalence of EoE has also been
shown to be eight times higher in patients with connective tissue disorders
(CTDs), which has led to the proposal of an EoE-CTD phenotype, although this
requires further assessment. This paper reviews the evidence of EoE's
associations with several disorders, defining the common bases from an
epidemiological, clinical, molecular and genetic perspective whenever possible.
PMID- 26552777
TI - Diagnostic approach to eosinophilic oesophagitis: Pearls and pitfalls.
AB - Eosinophilic oesophagitis (EoE) has first been described a little over 20 years
ago. EoE has been defined by a panel of international experts as a "chronic,
immune/antigen-mediated, oesophageal disease, characterized clinically by
symptoms related to oesophageal dysfunction and histologically by eosinophil
predominant inflammation". A value of >= 15 eosinophils has been defined as
histologic diagnostic cutoff. Other conditions associated with oesophageal
eosinophilia, such as gastro-oesophageal reflux disease (GERD), PPI-responsive
oesophageal eosinophilia, or Crohn's disease should be excluded before EoE can be
diagnosed. This review highlights the latest insights regarding the diagnosis and
differential diagnosis of EoE.
PMID- 26552776
TI - An allergist's perspective to the evaluation of Eosinophilic Esophagitis.
AB - Eosinophilic Esophagitis (EoE) is a classic atopic disease as it shares features
with other atopic disease on all levels including pathogenesis, genetics,
epidemiology, and treatment options. EoE has elements of Th2 pathogenesis with
increase levels of Th2 cytokines (IL4, 5, and 13). In addition, it shares atopic
genetic risk factors including thymic stromal lymphopoietin (TSLP) loci as a risk
factor in genome wide association studies. EoE patients have a higher rate of
other atopic disease (asthma, allergic rhinitis and food allergy) compared to the
general population indicating their atopic phenotype. Like asthma, atopic
dermatitis or food allergy, EoE has increased in the last 20 years. Treatment
options include the basic principle of other atopic diseases include using
topical steroids or avoidance of the triggers (food or pollen). An allergist
provides a critical role as they are experts in the treatment of atopic disease
including avoidance strategies.
PMID- 26552778
TI - Elimination and elemental diet therapy in eosinophilic oesophagitis.
AB - Eosinophilic oesophagitis (EoE) is a chronic immune-mediated disorder of the
oesophagus. The incidence of EoE has been raised substantially and EoE has
recently become the most prevalent cause of dysphagia among the adolescents. Food
and aeroallergens are believed to play a major role in the pathogenesis. Current
treatment includes topical steroids and dietary therapy. Dietary therapy with
elimination of causative allergens could provide a durable long-term solution.
Dietary therapy in EoE consists of in elemental and empiric elimination diets.
Elemental diet with amino acid-based formula is most effective in achieving
disease remission but poor taste makes adherence challenging. Empiric elimination
diet based on avoidance of most common food allergens offers moderate response
rates, the usefulness of allergy test-directed elimination diets is questioned by
low response rates. In conclusion, dietary treatments for EoE seem promising, but
further refinement is required before it can become standard care.
PMID- 26552779
TI - Medical therapy in eosinophilic oesophagitis.
AB - Eosinophilic oesophagitis (EoE) is a chronic-inflammatory disease of the
oesophagus. If left untreated, eosinophilic inflammation induces fibrosis,
angiogenesis and stricture formation, resulting finally in a so called
remodelling with structural and functional damage of the organ. In addition,
patients with untreated EoE are permanently at risk of experiencing food
impactions. It is therefore widely accepted that active EoE should be treated.
Any treatment applied in EoE should ideally achieve two therapeutic goals: first,
resolution of symptoms, and, second, control of inflammation. Avoidance of food
allergens by elimination diets as well as anti-inflammatory drugs have both the
ability to achieve these goals. Among the pharmacological options, only
corticosteroids have documented efficacy, whereas alternatives have shown rather
disappointing results or are still under evaluation. Of note, swallowed topical
corticosteroids are at least as efficient as systemically administered
corticosteroids but have fewer side effects. As such topical corticosteroids are
widely used as first-line drug in the treatment of EoE, even though this compound
is currently not approved for this indication by regulatory authorities.
Unfortunately, complete resolution of symptoms can be achieved with swallowed
topical corticosteroids only in approximately 70% of patients despite appropriate
dosing and despite correct administration of these compounds. Control of
inflammation is even harder to achieve, as only in approximately 50% of patients
tissue eosinophilia disappears completely under this anti-inflammatory
medication. For this group of "difficult to treat" patients, therapeutic
alternatives are urgently needed. Fortunately several anti-allergic drugs and
several biologicals are currently under investigation.
PMID- 26552780
TI - Esophageal dilation in eosinophilic esophagitis.
AB - Tissue remodeling with scaring is common in adult EoE patients with long standing
disease. This is the major factor contributing to their complaints of solid food
dysphagia and recurrent food impactions. The best tests to define the degree of
remodeling are barium esophagram, high resolution manometry and endoscopy. Many
physicians are fearful to dilate EoE patients because of concerns about mucosal
tears and perforations. However, multiple recent case series attest to the safety
of esophageal dilation and its efficacy with many patients having symptom relief
for an average of two years. This chapter will review the sordid history of
esophageal dilation in EoE patients and outline how to perform this procedure
safely. The key is graduated dilation over one to several sessions to a diameter
of 15-18 mm. Postprocedural pain is to be expected and mucosal tears are a sign
of successful dilation, not complications. In some healthy adults, occasional
dilation may be preferred to regular use of medications or restricted diets. This
approach is now supported by recent EoE consensus statements and societal
guidelines.
PMID- 26552781
TI - Therapeutic strategies in eosinophilic esophagitis: Induction, maintenance and
refractory disease.
AB - Eosinophilic esophagitis (EoE) is a chronic, immune-mediated disease that is
increasingly recognized as one of the most common causes of dysphagia and foregut
symptoms in adults and children. Topical corticosteroids, elimination diets, and
esophageal dilations are effective options for both induction and maintenance
therapy in EoE. Current pharmacologic options are being used off-label as no
agent has yet been approved by regulatory authorities. Little is known about the
natural history of EoE, however, raising controversy regarding the necessity of
maintenance and therapy in asymptomatic or treatment-refractory patients.
Furthermore, variability in treatment endpoints used in EoE clinical trials makes
interpretation and comparability of EoE treatments challenging. Recent validation
of a patient-related outcome (PRO) instruments, a histologic scoring tool, and an
endoscopic grading system for EoE are significant advances toward establishing
consistent treatment endpoints.
PMID- 26552782
TI - Mid-term survival analysis of closed wedge high tibial osteotomy: A comparative
study of computer-assisted and conventional techniques.
AB - BACKGROUND: The purpose of the present study was to compare the clinical and
radiographic results and survival rates between computer-assisted and
conventional closing wedge high tibial osteotomies (HTOs). METHODS: Data from a
consecutive cohort comprised of 75 computer-assisted HTOs and 75 conventional
HTOs were retrospectively reviewed. The Knee Society knee and function scores,
Hospital for Special Surgery (HSS) score and femorotibial angle (FTA) were
compared between the two groups. Survival rates were also compared with procedure
failure. RESULTS: The knee and function scores at one year postoperatively were
slightly better in the computer-assisted group than those in conventional group
(90.1 vs. 86.1) (82.0 vs. 76.0). The HSS scores at one year postoperatively were
slightly better for the computer-assisted HTOs than those of conventional HTOs
(89.5 vs. 81.8). The inlier of the postoperative FTA was wider in the computer
assisted group than that in the conventional HTO group (88.0% vs. 58.7%), and
mean postoperative FTA was greater in the computer-assisted group that in the
conventional HTO group (valgus 9.0 degrees vs. valgus 7.6 degrees , p<0.001).
The five- and 10-year survival rates were 97.1% and 89.6%, respectively. No
difference was detected in nine-year survival rates (p=0.369) between the two
groups, although the clinical and radiographic results were better in the
computer-assisted group that those in the conventional HTO group. CONCLUSIONS:
Mid-term survival rates did not differ between computer-assisted and conventional
HTOs. A comparative analysis of longer-term survival rate is required to
demonstrate the long-term benefit of computer-assisted HTO. LEVEL OF EVIDENCE:
III.
PMID- 26552783
TI - Anterior cruciate ligament injury: A persistently difficult diagnosis.
AB - BACKGROUND: Historically anterior cruciate ligament (ACL) injuries have been
diagnosed poorly. A paper published in Injury in 1996 showed that less than 10%
of patients with an ACL injury had the diagnosis made by the first physician to
see them and that the average delay from first presentation to diagnosis was 21
months. The aim of our study was to investigate whether an improvement has been
made over the last two decades in diagnosing ACL injuries. METHODS: We identified
160 patients who had an ACL reconstruction performed by a single surgeon between
October 2004 and December 2011 and for whom a complete data set was available.
Data was extracted retrospectively from the hospital notes and a dedicated
patient database. We performed a sub-group analysis comparing patients seen prior
to the introduction of an acute knee injury clinic in April 2007 and patients
seen after the introduction of the clinic. RESULTS: 75.1% (120/160) of patients
presented first to an emergency department (ED) or to their general practitioner
(GP), but only 14.4% (23/160) were diagnosed on initial presentation. The median
number of healthcare professionals a patient saw prior to a diagnosis of ACL
injury was 3. The median delay from injury to presentation was 0 weeks (range 0
885), injury to diagnosis 13 weeks (0-926), presentation to diagnosis 10 weeks (0
924), presentation to a specialist knee clinic 24 weeks (0-1006), and specialist
knee clinic to surgery 13 weeks (0-102). The median total time from injury to
surgery was 42 weeks (0-1047). Following the implementation of an acute knee
injury clinic in 2007, the median delay from presentation to surgery dropped from
59 weeks to 36 weeks (p = 0.050) and there was a significant decrease in the
median delay from specialist knee clinic to surgery from 23 to 11 weeks
(p=0.002). CONCLUSION: Over the past two decades there appears to have been
little improvement in the early diagnosis of ACL injuries, with only 14.4% of
patients being diagnosed correctly at initial presentation. We recommend further
education of emergency and primary care clinicians in the diagnosis of ACL
injuries, emphasising the importance of the typical history of an ACL injury. The
implementation of an acute knee injury clinic may help minimise delays to
surgery, which should result in better patient outcomes.
PMID- 26552784
TI - Optically detecting the edge-state of a three-dimensional topological insulator
under ambient conditions by ultrafast infrared photoluminescence spectroscopy.
AB - Ultrafast infrared photoluminescence spectroscopy was applied to a three
dimensional topological insulator TlBiSe2 under ambient conditions. The dynamics
of the luminescence exhibited bulk-insulating and gapless characteristics bounded
by the bulk band gap energy. The existence of the topologically protected surface
state and the picosecond-order relaxation time of the surface carriers, which was
distinguishable from the bulk response, were observed. Our results provide a
practical method applicable to topological insulators under ambient conditions
for device applications.
PMID- 26552785
TI - Exhausted implanted pulse generator in sacral nerve stimulation for faecal
incontinence: What next in daily practice for patients?
AB - PURPOSE: The efficacy of sacral nerve stimulation in faecal incontinence relies
on an implanted pulse generator known to have a limited lifespan. The long-term
use of sacral nerve stimulation raises concerns about the true lifespan of
generators. The aim of the study was to assess the lifespan of sacral nerve
stimulation implanted pulse generators in daily practice, and the outcome of
exhausted generator replacement, in faecal incontinent patients. METHODS: Faecal
incontinent patients with pulse generators (Medtronic InterstimTM or
InterstimIITM) implanted in a single centre from 2001 to 2014 were prospectively
followed up. Generator lifespan was measured according to the Kaplan-Meier
method. Patients with a generator explanted/turned off before exhaustion were
excluded. Morbidity of exhausted generator replacement and the outcome (Cleveland
Clinic Florida Faecal Incontinence (CCF-FI) and Faecal Incontinence Quality of
Life (FIQL) scores) were recorded. RESULTS: Of 135 patients with an implanted
pulse generator, 112 (InterstimII 66) were included. Mean follow-up was 4.9 +/-
2.8 years. The generator reached exhaustion in 29 (26%) cases. Overall median
lifespan of an implanted pulse generator was approximately 9 years (95% CI 8
9.2). Interstim and InterstimII 25th percentile lifespan was 7.2 (CI 6.4-8.3) and
5 (CI 4-not reached) years, respectively. After exhaustion, generators were
replaced, left in place or explanted in 23, 2 and 4 patients, respectively.
Generator replacement was virtually uneventful. CCF-FI/FIQL scores remained
unchanged after generator replacement (CCF-FI 8 +/- 2 vs 7 +/- 3; FIQL 3 +/- 0.6
vs 3 +/- 0.5; p = ns). CONCLUSION: In this study, the implanted pulse generator
observed median lifespan was 9 years. After exhaustion, generators were safely
and efficiently replaced. The study also gives insight into long-term needs and
costs of sacral nerve stimulation (SNS) therapy.
PMID- 26552786
TI - Appropriate selection is essential for achieving satisfactory outcomes in
laparoscopic colonic resections in emergency settings.
PMID- 26552787
TI - Phosphinic acid-promoted addition reaction of isocyanides to (Z)-hydroximoyl
chlorides: efficient synthesis of alpha-(hydroxyimino)amides.
AB - The reaction of (Z)-hydroximoyl chlorides with isocyanides promoted by phosphinic
acid in the presence of triethylamine proceeds smoothly to afford alpha
(hydroxyimino)amides in good to high yields. Phosphinic acid plays an important
role in effectively promoting the reaction. A wide range of (Z)-hydroximoyl
chlorides and isocyanides were found to be suitable for this reaction.
PMID- 26552788
TI - Study on the removal of elemental mercury from simulated flue gas by Fe2O3
CeO2/AC at low temperature.
AB - Fe2O3 and CeO2 modified activated coke (AC) synthesized by the equivalent-volume
impregnation were employed to remove elemental mercury (Hg(0)) from simulated
flue gas at a low temperature. Effects of the mass ratio of Fe2O3 and CeO2,
reaction temperature, and individual flue gas components including O2, NO, SO2,
and H2O (g) on Hg(0) removal efficiency of impregnated AC were investigated. The
samples were characterized by Brunauer-Emmett-Teller (BET), X-ray diffraction
(XRD), scanning electron microscopy (SEM), and X-ray photoelectron spectroscopy
(XPS). Results showed that with optimal mass percentage of 3 % Fe2O3 and 3 % CeO2
on Fe3Ce3/AC, the Hg(0) removal efficiency could reach an average of 88.29 % at
110 degrees C. Besides, it was observed that O2 and NO exhibited a promotional
effect on Hg(0) removal, H2O (g) exerted a suppressive effect, and SO2 showed an
insignificant inhibition without O2 to some extent. The analysis of XPS indicated
that the main species of mercury on used Fe3Ce3/AC was HgO, which implied that
adsorption and catalytic oxidation were both included in Hg(0) removal.
Furthermore, the lattice oxygen, chemisorbed oxygen, and/or weakly bonded oxygen
species made a contribution to Hg(0) oxidation.
PMID- 26552789
TI - Ecological determinants of health: food and environment on human health.
AB - Human health and diseases are determined by many complex factors. Health threats
from the human-animal-ecosystems interface (HAEI) and zoonotic diseases
(zoonoses) impose an increasing risk continuously to public health, from those
emerging pathogens transmitted through contact with animals, food, water and
contaminated environments. Immense challenges forced on the ecological
perspectives on food and the eco-environments, including aquaculture, agriculture
and the entire food systems. Impacts of food and eco-environments on human health
will be examined amongst the importance of human interventions for intended
purposes in lowering the adverse effects on the biodiversity. The complexity of
relevant conditions defined as factors contributing to the ecological
determinants of health will be illuminated from different perspectives based on
concepts, citations, examples and models, in conjunction with harmful
consequential effects of human-induced disturbances to our environments and food
systems, together with the burdens from ecosystem disruption, environmental
hazards and loss of ecosystem functions. The eco-health literacy should be
further promoting under the "One Health" vision, with "One World" concept under
Ecological Public Health Model for sustaining our environments and the planet
earth for all beings, which is coincidentally echoing Confucian's theory for the
environmental ethics of ecological harmony.
PMID- 26552790
TI - Recycling of iron and silicon from drinking water treatment sludge for synthesis
of magnetic iron oxide@SiO2 composites.
AB - More attention has been paid to the deterioration of water bodies polluted by
drinking water treatment sludge (DWTS) in recent years. It is important to
develop methods to effectively treat DWTS by avoiding secondary pollution. We
report herein a novel investigation for recovery of Si and Fe from DWTS, which
are used for the synthesis of two iron oxide@SiO2 composites for adsorption of
reactive red X-3B (RRX-3B) and NaNO2. The results show that Fe(3+) (acid
leaching) and Si(4+) (basic-leaching) can be successfully recovered from roasted
DWTS. Whether to dissolve Fe(OH)3 precipitation is the key point for obtaining
Fe3O4 or gamma-Fe2O3 particles using the solvothermal method. The magnetic
characteristics of Fe3O4@SiO2 (390.0 m(2) g(-1)) or Fe2O3@SiO2 (220.9 m(2) g(-1))
are slightly influenced by the coated porous SiO2 layer. Peaks of Fe-O stretching
vibration (580 cm(-1)) and asymmetric Si-O-Si stretching vibrations (1080 cm(-1))
of Fe3O4@SiO2 indicate the successful coating of a thin silica layer (20-150 nm).
The adsorption capacity of RRX-3B and NaNO2 by Fe3O4@SiO2 is better than that of
Fe2O3@SiO2, and both composites can be recycled through an external magnetic
field. This method is an efficient and environmentally friendly method for
recycling DWTS.
PMID- 26552791
TI - Application of a novel electrochemical sensor containing organo-modified sericite
for the detection of low-level arsenic.
AB - A simple cyclic voltammetry (CV) analytical method with organo-modified sericite
for the working electrode was investigated to detect As(III) in an aquatic
environment, and optimal conditions for the reliable measurement of trace amounts
of As(III) were studied. A distinct, specific peak was clearly observed at 0.8 V
due to the reduction of H3AsO4 to H3AsO3. The specific peak current of arsenic
increased with increasing the concentration of As(III) and initially increased
proportionally to the scan rates. However, it disappeared as the scan rate
increased over 400 mV/s. Because the surface of the organo-modified sericite
electrode rapidly became saturated with As(III) when the deposition time
increased, an optimal deposition time was determined as 60 s. Pb(2+) had no
significant influence on the peak signal of As(III), whereas it was reduced as
the ratio of Cu/As increased. Considering the detection limit of arsenic (1 ppb),
this system can be used to detect low levels of As(III) in water systems.
PMID- 26552792
TI - Impact of the novel neonicotinoid insecticide Paichongding on bacterial
communities in yellow loam and Huangshi soils.
AB - Insecticides are widely sprayed in modern agriculture for ensuring the crop
yield, which could also lead to contamination and insecticide residue in soils.
Paichongding (IPP) is a novel neonicotinoid insecticide and was developed
recently in China. Soil bacterial community, diversity, and community composition
vary widely depending on environmental factors. As for now, little is known about
bacterial species thriving, bacterial community diversity, and structure in IPP
spraying soils. In present study, IPP degradation in yellow loam and Huangshi
soils was investigated, and bacterial communities and diversity were examined in
soil without IPP spray and with IPP spray through pyrosequencing of 16S ribosomal
RNA (rRNA) gene amplicons. The degradation ratio of IPP at 60 days after
treatment (DAT) reached 51.22 and 34.01 % in yellow loam and Huangshi soil,
respectively. A higher richness of operational taxonomic units (OTUs) was found
in yellow loam soil (867 OTUs) and Huangshi soil (762 OTUs) without IPP spray
while OUTs were relatively low in IPP-spraying soils. The community composition
also differed both in phyla and genus level between these two environmental
conditions. Proteobacteria, Firmicutes, Planctomycetes, Chloroflexi,
Armatimonadetes, and Chlorobi were stimulated to increase after IPP application,
while IPP inhibited the phyla of Bacteroidetes, Actinobacteria, and
Acidobacteria.
PMID- 26552793
TI - Glyphosate input modifies microbial community structure in clear and turbid
freshwater systems.
AB - Since it was commercially introduced in 1974, glyphosate has been one of the most
commonly used herbicides in agriculture worldwide, and there is growing concern
about its adverse effects on the environment. Assuming that glyphosate may
increase the organic turbidity of water bodies, we evaluated the effect of a
single application of 2.4 +/- 0.1 mg l(-1) of glyphosate (technical grade) on
freshwater bacterioplankton and phytoplankton (pico, micro, and
nanophytoplankton) and on the physical and chemical properties of the water. We
used outdoor experimental mesocosms under clear and oligotrophic (phytoplanktonic
chlorophyll a = 2.04 MUg l(-1); turbidity = 2.0 NTU) and organic turbid and
eutrophic (phytoplanktonic chlorophyll a = 50.3 MUg l(-1); turbidity = 16.0 NTU)
scenarios. Samplings were conducted at the beginning of the experiment and at 1,
8, 19, and 33 days after glyphosate addition. For both typologies, the herbicide
affected the abiotic water properties (with a marked increase in total
phosphorus), but it did not affect the structure of micro and nanophytoplankton.
In clear waters, glyphosate treatment induced a trend toward higher bacteria and
picoeukaryotes abundances, while there was a 2 to 2.5-fold increase in
picocyanobacteria number. In turbid waters, without picoeukaryotes at the
beginning of the experiment, glyphosate decreased bacteria abundance but
increased the number of picocyanobacteria, suggesting a direct favorable effect.
Moreover, our results show that the impact of the herbicide was observed in
microorganisms from both oligo and eutrophic conditions, indicating that the
impact would be independent of the trophic status of the water body.
PMID- 26552795
TI - What Cure Models Can Teach us About Genome-Wide Survival Analysis.
AB - The aim of logistic regression is to estimate genetic effects on disease risk,
while survival analysis aims to determine effects on age of onset. In practice,
genetic variants may affect both types of outcomes. A cure survival model
analyzes logistic and survival effects simultaneously. The aim of this simulation
study is to assess the performance of logistic regression and traditional
survival analysis under a cure model and to investigate the benefits of cure
survival analysis. We simulated data under a cure model and varied the percentage
of subjects at risk for disease (cure fraction), the logistic and survival effect
sizes, and the contribution of genetic background risk factors. We then computed
the error rates and estimation bias of logistic, Cox proportional hazards (PH),
and cure PH analysis, respectively. The power of logistic and Cox PH analysis is
sensitive to the cure fraction and background heritability. Our results show that
traditional Cox PH analysis may erroneously detect age of onset effects if no
such effects are present in the data. In the presence of genetic background risk
even the cure model results in biased estimates of both the odds ratio and the
hazard ratio. Cure survival analysis takes cure fractions into account and can be
used to simultaneously estimate the effect of genetic variants on disease risk
and age of onset. Since genome-wide cure survival analysis is not computationally
feasible, we recommend this analysis for genetic variants that are significant in
a traditional survival analysis.
PMID- 26552794
TI - Disturbance effects of PM10 on iNOS and eNOS mRNA expression levels and
antioxidant activity induced by ischemia-reperfusion injury in isolated rat
heart: protective role of vanillic acid.
AB - Myocardial infarction is the acute condition of myocardial necrosis that occurs
as a result of imbalance between coronary blood supply and myocardial demand. Air
pollution increases the risk of death from cardiovascular diseases (CVDs). The
aim of this study was to investigate the effects of particulate matter (PM) on
oxidative stress, the expression of inducible nitric oxide synthase (iNOS) and
endothelial nitric oxide synthase (eNOS) messenger RNA (mRNA) level induced by
ischemia-reperfusion injury, and the protective effects of vanillic acid (VA) in
the isolated rat heart. Male Wistar rats were randomly divided into eight groups
(n = 10), namely control, VAc, sham, VA, PMa (0.5 mg/kg), PMb (2.5 mg/kg), PMc (5
mg/kg), and PMc + VA groups. Particles with an aerodynamic diameter <10 MUm
(PM10) was instilled into the trachea through a fine intubation tube. Two days
following the PM10 instillation, the animal's hearts were isolated and
transferred to a Langendorff apparatus. The hearts were subjected to 30 min of
global ischemia followed by 60 min of reperfusion. The activities of superoxide
dismutase (SOD), glutathione peroxidase (GPx), catalase (CAT), xanthine oxidase
(XOX), and lactate dehydrogenase (LDH) were measured using special kits. Reverse
transcription polymerase chain reaction (RT-PCR) was used to determine levels of
iNOS and eNOS mRNA. An increase in left ventricular end-diastolic pressure
(LVEDP), S-T elevation, and oxidative stress in PM10 groups was observed.
Ischemia-reperfusion (I/R) induction showed a significant augment in the
expression of iNOS mRNA level and a significant decrease in the expression eNOS
mRNA level. This effect was more pronounced in the PM groups than in the control
and sham groups. Vanillic acid caused a significant decrease in LVEDP, S-T
elevation, and also a significant difference in eNOS mRNA expression level,
antioxidant enzymes, iNOS mRNA expression level, and oxidative stress occurred on
myocardial dysfunction after I/R in isolated rat hearts. This study showed that
PM10 exposure had devastating effects on the myocardial heart, oxidative stress,
and eNOS and iNOS mRNA expression levels. Vanillic acid was able to improve these
parameters. Vanillic acid as a potent antioxidant could also provide protection
against particulate matter-induced toxicity.
PMID- 26552796
TI - In vivo investigation to the macrolide-glycosylating enzyme pair DesVII/DesVIII
in Saccharopolyspora erythraea.
AB - Glycosyltransferase DesVII and its auxiliary partner DesVIII from Streptomyces
venezulae, homologs of EryCIII and EryCII in Saccharopolyspora erythraea, have
previously been demonstrated to be flexible on their substrates in vitro. Herein,
we investigated their in vivo function by interspecies complementation in the
mutant strains of Sac. erythraea A226. As desVII and desVIII were concomitantly
expressed in the DeltaeryCIII mutant, the erythromycin A (Er-A) production was
restored. Interestingly, co-expression of desVII and desVIII in the DeltaeryBV
mutant exhibited an increased Er-A yield by 15 % in comparison to A226. Hence,
DesVII/DesVIII not only replaced EryCIII to upload D-desosamine to C5 position of
3-O-mycarosyl erythronolide B (MEB) but also in vivo attached L-mycarose, not D
desosamine to C3 position of erythronolide B (EB) with a higher activity than
EryBV. Furthermore, expression of desVII in DeltaeryCIII and DeltaeryBV-CIII
partially restored the Er-A production; however, no Er-A was detected while
desVII was expressed in DeltaeryBV. It was implicated that DesVII coupled with
EryCII to form the DesVII/EryCII complex for attaching above two deoxysugars in
the absence of EryCIII in Sac. erythraea. In addition, when desVII and desVIII
were co-expressed in DeltaeryBV-CII, Er-A was recovered with a lower yield than
DeltaeryBV-CIII. Our study presents an opportunity with Sac. erythraea as a cell
factory for macrolide glycodiversification.
PMID- 26552797
TI - Overproduction of lactimidomycin by cross-overexpression of genes encoding
Streptomyces antibiotic regulatory proteins.
AB - The glutarimide-containing polyketides represent a fascinating class of natural
products that exhibit a multitude of biological activities. We have recently
cloned and sequenced the biosynthetic gene clusters for three members of the
glutarimide-containing polyketides-iso-migrastatin (iso-MGS) from Streptomyces
platensis NRRL 18993, lactimidomycin (LTM) from Streptomyces amphibiosporus ATCC
53964, and cycloheximide (CHX) from Streptomyces sp. YIM56141. Comparative
analysis of the three clusters identified mgsA and chxA, from the mgs and chx
gene clusters, respectively, that were predicted to encode the PimR-like
Streptomyces antibiotic regulatory proteins (SARPs) but failed to reveal any
regulatory gene from the ltm gene cluster. Overexpression of mgsA or chxA in S.
platensis NRRL 18993, Streptomyces sp. YIM56141 or SB11024, and a recombinant
strain of Streptomyces coelicolor M145 carrying the intact mgs gene cluster has
no significant effect on iso-MGS or CHX production, suggesting that MgsA or ChxA
regulation may not be rate-limiting for iso-MGS and CHX production in these
producers. In contrast, overexpression of mgsA or chxA in S. amphibiosporus ATCC
53964 resulted in a significant increase in LTM production, with LTM titer
reaching 106 mg/L, which is five-fold higher than that of the wild-type strain.
These results support MgsA and ChxA as members of the SARP family of positive
regulators for the iso-MGS and CHX biosynthetic machinery and demonstrate the
feasibility to improve glutarimide-containing polyketide production in
Streptomyces strains by exploiting common regulators.
PMID- 26552798
TI - Combination of phenylpyruvic acid (PPA) pathway engineering and molecular
engineering of L-amino acid deaminase improves PPA production with an Escherichia
coli whole-cell biocatalyst.
AB - In our previous study, we produced phenylpyruvic acid (PPA) in one step from L
phenylalanine by using an Escherichia coli whole-cell biocatalyst expressing an L
amino acid deaminase (L-AAD) from Proteus mirabilis KCTC2566. However, the PPA
titer was low due to the degradation of PPA and low substrate specificity of L
AAD. In this study, metabolic engineering of the L-phenylalanine degradation
pathway in E. coli and protein engineering of L-AAD from P. mirabilis were
performed to improve the PPA titer. First, three aminotransferase genes were
knocked out to block PPA degradation, which increased the PPA titer from 3.3 +/-
0.2 to 3.9 +/- 0.1 g/L and the substrate conversion ratio to 97.5 %. Next, L-AAD
was engineered via error-prone polymerase chain reaction, followed by site
saturation mutation to improve its catalytic performance. The triple mutant
D165K/F263M/L336M produced the highest PPA titer of 10.0 +/- 0.4 g/L, with a
substrate conversion ratio of 100 %, which was 3.0 times that of wild-type L-AAD.
Comparative kinetics analysis showed that compared with wild-type L-AAD, the
triple mutant had higher substrate-binding affinity and catalytic efficiency.
Finally, an optimal fed-batch biotransformation process was developed to achieve
a maximal PPA titer of 21 +/- 1.8 g/L within 8 h. This study developed a robust
whole-cell E. coli biocatalyst for PPA production by integrating metabolic and
protein engineering, strategies that may be useful for the construction of other
biotransformation biocatalysts.
PMID- 26552799
TI - Site localization of painful lesions during radiofrequency ablation of pulmonary
veins using circular multi-electrode catheters.
AB - PURPOSE: Previous data showed that pain sensation was common during pulmonary
vein isolation (PVI) using an 8-mm radiofrequency (RF) ablation catheter. Pain
was more common in the left pulmonary veins (PVs). We characterized the location
of pain during PVI using circular multi-electrode ablation catheters. METHODS:
Included are all consecutive patients with atrial fibrillation (AF) who underwent
PVI using the phased RF PVAC(r) catheter (Medtronic) or the irrigated nMARQTM
catheter (Biosense Webster) under conscious sedation between July 2011 and March
2015. Site of pain reaction was marked for each patient. RESULTS: A total of 251
patients (141 PVAC(r), 110 nMARQTM) were studied; 214 (85 %) had at least one
lesion associated with pain. Gender (r = 0.084, p = 0.186), type of AF (r =
0.048, p = 0.452), age (r = 0.078, p = 0.216), and repeat procedure (r = 0.018, p
= 0.78) were not correlated with pain. There was no association between site of
pain and catheter type; only 33% of the painful PVs were also the largest ones (p
= 0.5, kappa = 0.03, R = -0.083). One-year freedom from AF was similar for
patients with and without painful PVs (p = 0.6). The distribution of pain was as
follows: 126 (59%) left superior PV (LSPV), 28 (13%) left inferior, 28 (13%) all
PVs, 12 (5.6%) right superior, 12 (5.6%) right inferior, 18 (8.4%) left common,
and 2 (0.9%) right common PV. CONCLUSIONS: PVI using multi-electrode catheters
more commonly caused pain sensation in LSPV. There was no influence of catheter
type or PV size on pain localization. Our findings, which are similar to those
using an 8-mm ablation catheter, imply that location of pain is not catheter
dependent but rather a reflection of autonomic physiology.
PMID- 26552800
TI - Functional neuroimaging of extraversion-introversion.
AB - Neuroimaging techniques such as functional magnetic resonance imaging and
positron emission tomography have provided an unprecedented neurobiological
perspective for research on personality traits. Evidence from task-related
neuroimaging has shown that extraversion is associated with activations in
regions of the anterior cingulate cortex, dorsolateral prefrontal cortex, middle
temporal gyrus and the amygdala. Currently, resting-state neuroimaging is being
widely used in cognitive neuroscience. Initial exploration of extraversion has
revealed correlations with the medial prefrontal cortex, anterior cingulate
cortex, insular cortex, and the precuneus. Recent research work has indicated
that the long-range temporal dependence of the resting-state spontaneous
oscillation has high test-retest reliability. Moreover, the long-range temporal
dependence of the resting-state networks is highly correlated with personality
traits, and this can be used for the prediction of extraversion. As the long
range temporal dependence reflects real-time information updating in individuals,
this method may provide a new approach to research on personality traits.
PMID- 26552802
TI - Pearls: How to Remove a Ceramic Liner From a Well-fixed Acetabular Component.
PMID- 26552803
TI - CORR Insights((r)): What Are the 30-day Readmission Rates Across Orthopaedic
Subspecialties?
PMID- 26552801
TI - Dissecting the hypothalamic pathways that underlie innate behaviors.
AB - Many complex behaviors that do not require learning are displayed and are termed
innate. Although traditionally the subject matter of ethology, innate behaviors
offer a unique entry point for neuroscientists to dissect the physiological
mechanisms governing complex behaviors. Since the last century, converging
evidence has implicated the hypothalamus as the central brain area that controls
innate behaviors. Recent studies using cutting-edge tools have revealed that
genetically-defined populations of neurons residing in distinct hypothalamic
nuclei and their associated neural pathways regulate the initiation and
maintenance of diverse behaviors including feeding, sleep, aggression, and
parental care. Here, we review the newly-defined hypothalamic pathways that
regulate each innate behavior. In addition, emerging general principles of the
neural control of complex behaviors are discussed.
PMID- 26552804
TI - Does Chronic Corticosteroid Use Increase Risks of Readmission, Thromboembolism,
and Revision After THA?
AB - BACKGROUND: Systemic corticosteroids are commonly used to treat autoimmune and
inflammatory diseases, but they can be associated with various musculoskeletal
problems and disorders. There currently is a limited amount of data describing
the postoperative complications of THA associated specifically with chronic
corticosteroid use. QUESTIONS/PURPOSES: For chronic corticosteroid users
undergoing THA, we asked: (1) What is the risk of hospital readmission at 30 and
90 days after surgery? (2) What is the risk of venous thromboembolism at 30 and
90 days after surgery? (3) What is the risk of revision hip arthroplasty at 12
and 24 months after surgery? METHODS: We identified patients in the Statewide
Planning and Research Cooperative System who underwent primary THA between
January 2003 and December 2010. This database provides hospital discharge
abstracts for all admissions in the state of New York each year. We used
propensity scores to three-to-one match the 402 chronic corticosteroid users with
a comparison cohort of 1206 patients according to age, sex, race, comorbidity
score, year of surgery, and hip osteonecrosis. The risk of each outcome was
compared between chronic corticosteroid users and the matched cohort. Because
multiple comparisons were made, we considered p less than 0.008 as statistically
significant. RESULTS: Readmission was more common for corticosteroid users at 30
days (odds ratio [OR], 1.45; 95% CI, 1.14-1.85; p = 0.003) and 90 days (OR, 1.37;
95% CI, 1.09-1.73; p = 0.007). Venous thromboembolism was not more frequent in
corticosteroid users at 30 days (OR, 2.39; 95% CI, 1.08-5.26; p = 0.031) or 90
days (OR, 1.91; 95% CI, 1.03-3.53; p = 0.039). Revision arthroplasty was more
common in corticosteroid users at 12 months (OR, 2.49; 95% CI, 1.35-4.59; p =
0.004), but not 24 months (OR, 2.04; 95% CI, 1.19-3.50; p = 0.010). CONCLUSIONS:
After THA, chronic corticosteroid use is associated with an increased risk of
readmission at 30 and 90 days and revision hip arthroplasty at 12 months in
corticosteroid users. Patients and providers should discuss these risks before
surgery. Insurers should consider incorporating chronic corticosteroid use as a
comorbidity in bundled payments for THA, since this patient population is more
likely to return to their provider for care during the postoperative period.
LEVEL OF EVIDENCE: Level III, therapeutic study.
PMID- 26552805
TI - Editor's Spotlight/Take 5: High Rates of Interest in Sex in Patients With Hip
Arthritis.
PMID- 26552806
TI - Do Orthopaedic Surgeons Acknowledge Uncertainty?
AB - BACKGROUND: Much of the decision-making in orthopaedics rests on uncertain
evidence. Uncertainty is therefore part of our normal daily practice, and yet
physician uncertainty regarding treatment could diminish patients' health. It is
not known if physician uncertainty is a function of the evidence alone or if
other factors are involved. With added experience, uncertainty could be expected
to diminish, but perhaps more influential are things like physician confidence,
belief in the veracity of what is published, and even one's religious beliefs. In
addition, it is plausible that the kind of practice a physician works in can
affect the experience of uncertainty. Practicing physicians may not be
immediately aware of these effects on how uncertainty is experienced in their
clinical decision-making. QUESTIONS/PURPOSES: We asked: (1) Does uncertainty and
overconfidence bias decrease with years of practice? (2) What sociodemographic
factors are independently associated with less recognition of uncertainty, in
particular belief in God or other deity or deities, and how is atheism associated
with recognition of uncertainty? (3) Do confidence bias (confidence that one's
skill is greater than it actually is), degree of trust in the orthopaedic
evidence, and degree of statistical sophistication correlate independently with
recognition of uncertainty? METHODS: We created a survey to establish an overall
recognition of uncertainty score (four questions), trust in the orthopaedic
evidence base (four questions), confidence bias (three questions), and
statistical understanding (six questions). Seven hundred six members of the
Science of Variation Group, a collaboration that aims to study variation in the
definition and treatment of human illness, were approached to complete our
survey. This group represents mainly orthopaedic surgeons specializing in trauma
or hand and wrist surgery, practicing in Europe and North America, of whom the
majority is involved in teaching. Approximately half of the group has more than
10 years of experience. Two hundred forty-two (34%) members completed the survey.
We found no differences between responders and nonresponders. Each survey item
measured its own trait better than any of the other traits. Recognition of
uncertainty (0.70) and confidence bias (0.75) had relatively high Cronbach alpha
levels, meaning that the questions making up these traits are closely related and
probably measure the same construct. This was lower for statistical understanding
(0.48) and trust in the orthopaedic evidence base (0.37). Subsequently, combining
each trait's individual questions, we calculated a 0 to 10 score for each trait.
The mean recognition of uncertainty score was 3.2 +/- 1.4. RESULTS: Recognition
of uncertainty in daily practice did not vary by years in practice (0-5 years,
3.2 +/- 1.3; 6-10 years, 2.9 +/- 1.3; 11-20 years, 3.2 +/- 1.4; 21-30 years, 3.3
+/- 1.6 years; p = 0.51), but overconfidence bias did correlate with years in
practice (0-5 years, 6.2 +/- 1.4; 6-10 years, 7.1 +/- 1.3; 11-20 years, 7.4 +/-
1.4; 21-30 years, 7.1 +/- 1.2 years; p < 0.001). Accounting for a potential
interaction of variables using multivariable analysis, less recognition of
uncertainty was independently but weakly associated with working in a
multispecialty group compared with academic practice (beta regression
coefficient, -0.53; 95% confidence interval [CI], -1.0 to -0.055; partial R(2),
0.021; p = 0.029), belief in God or any other deity/deities (beta, -0.57; 95% CI,
-1.0 to -0.11; partial R(2), 0.026; p = 0.015), greater confidence bias (beta,
0.26; 95% CI, -0.37 to -0.14; partial R(2), 0.084; p < 0.001), and greater trust
in the orthopaedic evidence base (beta, -0.16; 95% CI, -0.26 to -0.058; partial
R(2), 0.040; p = 0.002). Better statistical understanding was independently, and
more strongly, associated with greater recognition of uncertainty (beta, 0.25;
95% CI, 0.17-0.34; partial R(2), 0.13; p < 0.001). Our full model accounted for
29% of the variability in recognition of uncertainty (adjusted R(2), 0.29).
CONCLUSIONS: The relatively low levels of uncertainty among orthopaedic surgeons
and confidence bias seem inconsistent with the paucity of definitive evidence. If
patients want to be informed of the areas of uncertainty and surgeon-to-surgeon
variation relevant to their care, it seems possible that a low recognition of
uncertainty and surgeon confidence bias might hinder adequately informing
patients, informed decisions, and consent. Moreover, limited recognition of
uncertainty is associated with modifiable factors such as confidence bias, trust
in orthopaedic evidence base, and statistical understanding. Perhaps improved
statistical teaching in residency, journal clubs to improve the critique of
evidence and awareness of bias, and acknowledgment of knowledge gaps at courses
and conferences might create awareness about existing uncertainties. LEVEL OF
EVIDENCE: Level 1, prognostic study.
PMID- 26552808
TI - [Knowledge achieves safety!].
PMID- 26552807
TI - Improving rates of maternal immunization: Challenges and opportunities.
AB - OBJECTIVES: An increasing number of vaccines are recommended or are being
developed for use during pregnancy to protect women, fetuses, and/or newborns.
For vaccines that are already recommended, vaccine uptake is variable and well
below desired target. We reviewed the literature related to factors that affect a
healthcare provider's recommendation and a woman's willingness to be vaccinated
during pregnancy. DESIGN: A scoping review of published literature from 2005 to
2015 was undertaken and all relevant articles were abstracted, summarized, and
organized thematically. RESULTS: Barriers and facilitators were identified that
either decreased or increased the likelihood of a healthcare provider offering
and a pregnant woman accepting vaccination during pregnancy. Concern about the
safety of vaccines given during pregnancy was the most often cited barrier among
both the public and healthcare providers. Other barriers included doubt about the
effectiveness of the vaccine, lack of knowledge about the burden of disease, and
not feeling oneself to be at risk of the infection. Major facilitators for
maternal immunization included specific safety information about the vaccine in
pregnant women, strong national recommendations, and healthcare providers who
both recommended and provided the vaccine to their patients. Systems barriers
such as inadequate facilities and staffing, vaccine purchase and storage, and
reimbursement for vaccination were also cited. Evidence-based interventions were
few, and included text messaging reminders, chart reminders, and standing orders.
CONCLUSIONS: In order to have an effective vaccination program, improvements in
the uptake of recommended vaccines during pregnancy are needed. A maternal
immunization platform is required that normalizes vaccination practice among
obstetrical care providers and is supported by basic and continuing education,
communication strategy, and a broad range of research.
PMID- 26552809
TI - Prognostic significance of ST3GAL-1 expression in patients with clear cell renal
cell carcinoma.
AB - BACKGROUND: Aberrant sialylated carbohydrate synthesis is frequently noted in
various cancers. Sialyltransferase ST3GAL-1, which adds a sialic acid in an alpha
2,3 linkage to Gal beta1,3 GalNAc, preforms an important role in modulating
cellular behaviors. However, little is known about prognostic significance of
ST3GAL-1 in clear cell renal cell carcinoma (ccRCC). In this study, we aimed to
investigate the prognostic significance of sialyltransferase ST3GAL-1 and its
correlation with clinical outcomes in patients with ccRCC. METHODS: A total of
286 patients who underwent nephrectomy between 2005 and 2007 in a single academic
center were recruited. Immunohistochemical staining was performed on tissue
microarrays to assess the expression level. Kaplan-Meier method and Cox
proportional hazard model were applied to assess the prognostic value of ST3GAL
1. Nomograms were generated as prediction model for overall survival and disease
free survival at 5 and 8 years after nephrectomy. RESULTS: The present results
show high expression of ST3GAL-1 is associated with reduced overall survival (p =
0.013) and disease free survival (p = 0.004). In multivariate cox analyses,
ST3GAL-1 was defined as an independent prognostic factor for overall survival (p
= 0.006) and disease free survival (p = 0.001). After incorporation into the
University of California Integrated Staging System (UISS) intermediate/high risk
group for non-metastatic ccRCC, ST3GAL-1 could further distinguish patient with
dismal prognosis (p = 0.015 and 0.002 for OS and DFS respectively). The nomograms
revealed better predictive accuracy in predicting 5- and 8- year overall survival
and disease free survival than the TNM stage alone. CONCLUSIONS: ST3GAL-1 is an
independent adverse prognostic factor for recurrence and survival of patients
with ccRCC.
PMID- 26552810
TI - Egicoccus halophilus gen. nov., sp. nov., a halophilic, alkalitolerant
actinobacterium and proposal of Egicoccaceae fam. nov. and Egicoccales ord. nov.
AB - A novel Gram-stain-positive, non-motile, moderately halophilic and alkalitolerant
actinobacterium, designated EGI 80432T, was isolated from a saline-alkaline soil
of Xinjiang province, north-west China. Cells were non-endospore-forming cocci
with a diameter of 0.5-0.8 MUm. Strain EGI 80432T grew in the presence of 0-9 %
(w/v) NaCl (optimum at 3-5 %), and also grew within the pH range 6.0-10.0
(optimum at pH 8.0-9.0) on marine 2216E medium. The peptidoglycan type was
A1gamma. The whole-cell hydrolysates contained glucose, galactose, mannose and
three unknown sugars as major sugars. The predominant menaquinone was MK-9(H4).
The major fatty acids were C17 : 1omega8c, summed feature 3 (C16 : 1omega7c/C16 :
1omega6c), C18 : 1omega9c and iso-C15 : 0 The polar lipids comprised
diphosphatidylglycerol, phosphatidylglycerol, one unknown phosphoglycolipid,
three unknown phospholipids and four unknown polar lipids. The genomic DNA G+C
content was 75.2 mol%. Phylogenetic analysis based on 16S rRNA gene sequences
revealed that strain EGI 80432T clustered within the radius of the class
Nitriliruptoria. Levels of sequence similarity between strain EGI 80432T and its
phylogenetic neighbours Nitriliruptor alkaliphilus ANL-iso2T and Euzebya
tangerina F10T were 94.1 and 88.1 %, respectively. Based on morphological,
physiological and chemotaxonomic characteristics and phylogenetic analysis, a
novel species of a new genus, Egicoccus halophilus gen. nov., sp. nov., is
proposed, within the new family and new order Egicoccaceae fam. nov. and
Egicoccales ord. nov. in the class Nitriliruptoria. The type strain of Egicoccus
halophilus is EGI 80432T ( = CGMCC 1.14988T = KCTC 33612T).
PMID- 26552811
TI - Prenatal diagnosis of Fraser syndrome: a matter of life or death?
AB - BACKGROUND: Fraser Syndrome is a rare, autosomal recessive syndrome. It's
characterized primarily by cryptophthalmos, syndactyly and urogenital
malformation. Respiratory malformations are frequently present and not taken into
account. To better manage childbirth at the time of delivery it is crucial to get
prenatal diagnosis early on in the pregnancy. CASE PRESENTATION: We are reporting
a female infant born by natural birth with 46,XX. She was characterized
phenotypically by cryptophthalmos, syndactyly, bilateral microtia and ambiguous
genitalia. A prenatal ultrasound didn't revealed or raised any suspects for the
Fraser Syndrome. It only discovered a unilateral kidney agenesis. At birth the
infant showed a severe respiratory distress, intubation was attempted but it
failed. The baby was transferred to Santobono-Pausilipon III level hospital. A
tracheostomy was performed successfully and saved her life. Computerized
Tomography revealed left microphthalmos and a malformation like-coloboma into
right ocular globe with cysts and a small calcification parietal anterior.
Genetic test revealed the typical mutations in the gene FREM2 confirming the
diagnosis of Fraser Syndrome. In her fourth month, after birth, the infant was
subjected to an operation to reconstruct eyelids with a mucous membrane graft.
The left renal function was normal. The baby showed a delay in motor milestones
for visual impairment. At the 19(th) month fallow-up, during a magnetic resonance
it was revealed: a normal morphologic brain development, a thin presence in the
right optic nerve and the visual cortex were developing. CONCLUSIONS: The
prenatal diagnosis of Fraser Syndrome is frequently possible. The prenatal
ultrasound can reveal features like polyhydramnios or oligohydramnios, echogenic
lungs, renal abnormalities or agenesis and cryptophthalmos that are pathognomonic
of the Fraser Syndrome. The health providers must keep in mind that if there are
suspects of the Fraser Syndrome during prenatal exams, the infants could have a
severe malformation in the respiratory tract.
PMID- 26552812
TI - Selection of Aptamers for Metabolite Sensing and Construction of Optical
Nanosensors.
AB - Optical nanosensors are based on particles with diameters from 20 to 200 nm
containing sensory elements. The latter are comprised of one or more signaling
molecules and one or more references, which allow measurements to be ratiometric
and hence independent on the amount of sensor. The signaling molecules may range
from simple ion-binding fluorophores, e.g., pH-sensitive dyes, to complex
biochemical assays. Aptamers are ideal for use in nanosensors because they are
relatively easy to modify chemically and hence to transform into signaling
molecules, and their binding affinities may be fine-tuned to a desired measuring
range in the selection process. Here we first describe the selection of
metabolite binding aptamers, how they are transformed into signaling molecules
using a molecular beacon construct and then how they are inserted into
nanoparticles. Finally, we briefly describe how the sensors are calibrated before
inserted into cells to measure metabolite concentration in real time. As examples
we present aptamers binding to key metabolites in cells: ATP and fructose 1, 6
bisphosphate (FBP).
PMID- 26552813
TI - SELEX of Cell-Specific RNA Aptamers.
AB - This chapter focuses on the selection of RNA aptamers, which bind to specific
cell surface components and thus can be internalized receptor mediated. Such
aptamers discriminate between different tissues, e.g., detect malignant cells,
and target them or induce apoptosis through drug internalization. However, before
starting the selection process the choice of an ideal target can be challenging.
To give an example for the selection of cell specific aptamers, we here used the
interleukin-6 receptor (IL-6R) as a target, which is presented on hepatocytes,
neutrophils, monocytes, and macrophages.
PMID- 26552814
TI - Developing Aptamers by Cell-Based SELEX.
AB - The reliable targeting of cell surface disease-associated proteins is a major
challenge in chemical biology and molecular medicine. In this regard, aptamers
represent a very attractive and innovative class of ligand molecules. Aptamers
are generated by a reiterated in vitro procedure, named SELEX (Systematic
Evolution of Ligands by Exponential enrichment). In order to generate aptamers
for heavily modified cell surface-bound proteins and transmembrane receptors, the
SELEX procedure has been recently adapted to the use of living cells as complex
targets (referred as "cell-SELEX"). Here we give an overview on the most recent
advances in the field of cell-SELEX technology, providing a detailed description
of the differential cell-SELEX approach that has been developed in our laboratory
to identify specific signatures for human malignant glioma and non-small-cell
lung cancer. The procedures used for the evaluation of binding specificity and
for the preliminary identification of potential target receptors will be also
described.
PMID- 26552815
TI - DNA Aptamer Generation by Genetic Alphabet Expansion SELEX (ExSELEX) Using an
Unnatural Base Pair System.
AB - Genetic alphabet expansion of DNA using unnatural base pair systems is expected
to provide a wide variety of novel tools and methods. Recent rapid progress in
this area has enabled the creation of several types of unnatural base pairs that
function as a third base pair in polymerase reactions. Presently, a major topic
is whether the genetic alphabet expansion system actually increases nucleic acid
functionalities. We recently applied our unnatural base pair system to in vitro
selection (SELEX), using a DNA library containing four natural bases and an
unnatural base, and succeeded in the generation of high-affinity DNA aptamers
that specifically bind to target proteins. Only a few hydrophobic unnatural bases
greatly augmented the affinity of the aptamers. Here, we describe a new approach
(genetic alphabet Expansion SELEX, ExSELEX), using our hydrophobic unnatural base
pair system for high affinity DNA aptamer generation.
PMID- 26552816
TI - Capillary Electrophoresis for the Selection of DNA Aptamers Recognizing Activated
Protein C.
AB - Capillary electrophoresis-based SELEX (CE-SELEX) is an efficient technique for
the isolation of aptamers binding to a wide range of target molecules. CE-SELEX
has a number of advantages over conventional SELEX procedures such as the
selection of aptamers can be performed on non-immobilized targets, usually within
a fewer number of selection cycles. Here we describe a complete procedure of CE
SELEX using activated protein C (APC) as the target protein.
PMID- 26552817
TI - Preparation of SELEX Samples for Next-Generation Sequencing.
AB - Fuelled by massive whole genome sequencing projects such as the human genome
project, enormous technological advancements and therefore tremendous price drops
could be achieved, rendering next-generation sequencing very attractive for deep
sequencing of SELEX libraries. Herein we describe the preparation of SELEX
samples for Illumina sequencing, based on the already established whole genome
sequencing workflow. We describe the addition of barcode sequences for
multiplexing and the adapter ligation, avoiding associated pitfalls.
PMID- 26552818
TI - Next-Generation Analysis of Deep Sequencing Data: Bringing Light into the Black
Box of SELEX Experiments.
AB - In silico analysis of next-generation sequencing data (NGS; also termed deep
sequencing) derived from in vitro selection experiments enables the analysis of
the SELEX procedure (Systematic Evolution of Ligands by EXponential enrichment)
in an unprecedented depth and improves the identification of aptamers. Besides
quality control and optimization of starting libraries, advanced screening
strategies for difficult targets or early identification of rare but high quality
aptamers which are otherwise lost in the in vitro selection experiments become
possible. The high information content of sequence data obtained from selection
experiments is furthermore useful for subsequent lead optimization.
PMID- 26552819
TI - Aptamer Binding Studies Using MicroScale Thermophoresis.
AB - The characterization and development of highly specific aptamers requires the
analysis of the interaction strength between aptamer and target. MicroScale
Thermophoresis (MST) is a rapid and precise method to quantify biomolecular
interactions in solution at microliter scale. The basis of this technology is a
physical effect referred to as thermophoresis, which describes the directed
movement of molecules through temperature gradients. The thermophoretic
properties of a molecule depend on its size, charge, and hydration shell. Since
at least one of these parameters is altered upon binding of a ligand, this method
can be used to analyze virtually any biomolecular interaction in any buffer or
complex bioliquid. This section provides a detailed protocol describing how MST
is used to obtain quantitative binding parameters for aptamer-target
interactions. The two DNA-aptamers HD1 and HD22, which are targeted against human
thrombin, are used as model systems to demonstrate a rapid and straightforward
screening approach to determine optimal buffer conditions.
PMID- 26552820
TI - Label-Free Determination of the Dissociation Constant of Small Molecule-Aptamer
Interaction by Isothermal Titration Calorimetry.
AB - Isothermal titration calorimetry (ITC) is a powerful label-free technique to
determine the binding constant as well as thermodynamic parameters of a binding
reaction and is therefore well suited for the analysis of small molecule-RNA
aptamer interaction. We will introduce you to the method and present a protocol
for sample preparation and the calorimetric measurement. A detailed note section
will point out useful tips and pitfalls.
PMID- 26552821
TI - Applications of Aptamers in Flow and Imaging Cytometry.
AB - Aptamers compete with antibodies in many applications, in which high-affinity and
specificity ligands are needed. In this regard, fluorescence-tagged aptamers have
gained applications in flow and imaging cytometry for detecting cells expressing
distinct antigens. Here we present prospective methods, as a starting point, for
using these high-affinity ligands for cytometry applications.
PMID- 26552822
TI - In Vitro and In Vivo Imaging of Fluorescent Aptamers.
AB - Fluorescence imaging techniques could be used in different ways to study the
interaction of aptamers with biological systems from cell culture to animal
models. Here, we present the methods developed in our laboratory for
fluorescently labeled aptamers, study their internalization inside living cells
using time-lapse microscopy, and monitor their biodistribution in mice bearing
subcutaneous xenograft tumors using planar fluorescence imaging and fluorescence
diffuse optical tomography (fDOT).
PMID- 26552823
TI - Crystallographic Pursuit of a Protein-RNA Aptamer Complex.
AB - Only a few of the aptamers designed to selectively target proteins have been
structurally characterized, such as those that target thrombin, von Willebrand
factor, Plasmodium falciparum lactate dehydrogenase, interleukin 6, and platelet
derived growth factor B. Most of these aptamers are composed of DNA and were
designed as therapeutics/diagnostics for targets found in human plasma. Recently,
the crystal structure of a complex between an RNA aptamer and an intracellular
target, G protein-coupled receptor kinase 2, was determined. Herein is described
the overall approach used to isolate crystals that would allow the identification
of the key interactions between aptamer and kinase. These strategies may be
useful in structural characterization of other SELEX-generated RNA aptamer
complexes.
PMID- 26552824
TI - Voltammetric Aptasensor Based on Magnetic Beads Assay for Detection of Human
Activated Protein C.
AB - Aptamers are defined as new generation of nucleic acids, which has recently
presented promising specifications over to antibodies. An increasing number of
electrochemical studies related to aptamer-based sensors, so-called aptasensors
have been introduced in the literature. Herein, the interaction between human
activated protein C (APC) and its cognate DNA aptamer (DNA APT) was performed at
the surface of magnetic beads (MBs), followed by voltammetric detection using
disposable graphite electrodes (PGEs).
PMID- 26552825
TI - Apta-PCR.
AB - Real-time Apta-PCR is a methodology that can be used for a wide variety of
applications ranging from food quality control to clinical diagnostics. This
method takes advantage of the combination of the sensitivity of nucleic acid
amplification with the selectivity of aptamers. Ultra-low detection of target
analyte can potentially be achieved, or, improved detection limits can be
achieved with aptamers of low-medium affinity. Herein, we describe a generic
methodology coined real-time Apta-PCR, using a model target (beta-conglutin) and
a competitive format, which can be adapted for the detection of any target which
an aptamer has been selected for.
PMID- 26552826
TI - Aptamer-Based Enzyme Capture Assay for Measurement of Plasma Thrombin Levels.
AB - The quantification of circulating thrombin is a valuable tool to accurately
assess the activity of the blood coagulation system. Here, we describe the
combined application of the thrombin-specific reversible active-site inhibitor
argatroban and the DNA-aptamer HD1-22 for conduction of an enzyme capture assay
for reliable measurement of plasma thrombin levels.
PMID- 26552827
TI - Application of Aptamers in Histopathology.
AB - Aptamers are proving to be exceedingly effective in a number of applications.
Given the disadvantages of antibodies, such as batch-to-batch variation and cross
reactivity, aptamers have the potential to revolutionize the field of
histopathology due to their high specificity and the ease of their synthesis and
modification. Here, we describe a chromogenic staining method for paraffin
embedded tissue sections with FITC-labeled aptamers.
PMID- 26552828
TI - Aptamer Stainings for Super-resolution Microscopy.
AB - Fluorescence microscopy is an invaluable tool to visualize molecules in their
biological context with ease and flexibility. However, studies using conventional
light microscopy have been limited to the resolution that light diffraction
allows (i.e., ~200 nm). This limitation has been recently circumvented by several
types of advanced fluorescence microscopy techniques, which have achieved
resolutions of up to ~10 nm. The resulting enhanced imaging precision has helped
to find important cellular details that were not visible using diffraction
limited instruments. However, it has also revealed that conventional stainings
using large affinity tags, such as antibodies, are not accurate enough for these
imaging techniques. Since aptamers are substantially smaller than antibodies,
they could provide a real advantage in super-resolution imaging. Here we compare
the live staining of transferrin receptors (TfnR) obtained with different
fluorescently labeled affinity probes: aptamers, specific monoclonal antibodies,
or the natural receptor ligand transferrin. We observed negligible differences
between these staining strategies when imaging is performed with conventional
light microscopy (i.e., laser scanning confocal microscopy). However, a clear
superiority of the aptamer tag over antibodies became apparent in super-resolved
images obtained with stimulated emission depletion (STED) microscopy.
PMID- 26552829
TI - Synthesis and Characterization of Aptamer-Targeted SNALPs for the Delivery of
siRNA.
AB - Aptamers selected against cell surface receptors represent a unique set of
ligands that can be used to target nanoparticles and other therapeutics to
specific cell types. Here, we describe a method for using aptamers to deliver
stable nucleic acid lipid particles (SNALPs) encapsulating small interfering RNA
(siRNA) to cells in vitro. Using this method, we have demonstrated the ability of
aptamer-conjugated SNALPs to achieve target-specific delivery and siRNA-mediated
knockdown of a gene of interest. We also describe methods to characterize SNALP
size, siRNA encapsulation efficiency, and aptamer conjugation efficiency.
PMID- 26552830
TI - Screening of Genetic Switches Based on the Twister Ribozyme Motif.
AB - The recent description of a new class of small endonucleolytic ribozymes termed
twister opened new avenues into the development of artificial riboswitches,
providing new tools for the development of artificial genetic circuits in
bacteria. Here we present a method to develop new ligand-dependent riboswitches,
employing the newly described catalytic motif as an expression platform in
conjugation with naturally occurring or in vitro-selected aptameric domains. The
twister motif is an outstandingly flexible tool for the development of highly
active ribozyme-based riboswitches able to control gene expression in a ligand
dependent manner in Escherichia coli.
PMID- 26552831
TI - Manual-based cognitive behavioral and cognitive rehabilitation therapy for young
onset dementia: a case report.
AB - There is a growing attention worldwide to young-onset dementia (YOD) and this
group's special challenges and needs. The literature on psychosocial
interventions for this population is scarce, and little is known about the
specific challenges and benefits of working therapeutically with this group of
patients. The aim of this study was to explore if a manual-based structured
cognitive behavioral/cognitive rehabilitation program would be beneficial for
these patients. One case, a 63-year-old woman with YOD, is presented to
illustrate how this intervention can be applied to individual patients to manage
depressive symptoms in YOD.
PMID- 26552833
TI - Integron diversity in bacterial communities of freshwater sediments at different
contamination levels.
AB - Integrons, genetic elements known to be involved in the adaptation of pathogenic
bacteria, were first discovered in the clinical setting. However, they are
ancient structures found in various environments. When clinical integrons have a
low diversity, with three integrases and gene cassettes essentially encoding
antibiotic resistance, in natural environments, integrons show a greater
diversity, of both gene cassettes and integrases. Although a large number of gene
cassettes from environmental samples have been identified, integrase diversity
remains poorly documented, and has not yet been investigated in freshwater
environments. The work presented here explores environmental integrons in
sediments from a freshwater environment, with emphasis on integrases. Integron
diversity in bacterial communities was analyzed at sampling stations with
different contamination levels and contaminant types. A total of 684 integrase
sequences were obtained and grouped into 322 previously undescribed integron
classes, revealing a diversity wider than that previously expected in non
clinical environments. The bacterial community structures did not fully explain
the integron diversity suggesting that integrase diversity could be influenced by
contamination level, and that contaminant type could influence gene cassette
diversity. These results provide further arguments for the involvement of
integrons in the adaptation of bacterial communities in response to contaminants
in natural environments.
PMID- 26552834
TI - Sequential Patterns of Health Conditions and Financial Outcomes in Late Life:
Evidence From the Health and Retirement Study.
AB - The cost and prevalence of chronic health conditions increase in late life and
can negatively impact accumulated wealth. Based on the financial challenges
midaged and older adults face, we sought to understand the evolution of
distinctive sequences of chronic health conditions and how these sequences affect
retirement savings. We used 10 waves of the Health and Retirement Study and
tracked the health states and changes in wealth of 5,540 individuals. We
identified five typical sequences of chronic health conditions, which are defined
as follows: Multimorbidity, Comorbidity, Mild Disease, Late Event, and No
Disease. Wealth accumulation differed across the five sequences. Multimorbidity
and Comorbidity were the most costly sequences. Individuals with these health
patterns, respectively, had $91,205 and $95,140, less net worth than respondents
identified with No Disease. Our findings suggest policy makers consider
sequential disease patterns when planning for the health-care needs and
expenditures of older Americans.
PMID- 26552835
TI - Novel Noxipoint Therapy versus Conventional Physical Therapy for Chronic Neck and
Shoulder Pain: Multicentre Randomised Controlled Trials.
AB - As chronic pain affects 115 million people and costs $600B annually in the US
alone, effective noninvasive nonpharmacological remedies are desirable. The
purpose of this study was to determine the efficacy and the generalisability of
Noxipoint therapy (NT), a novel electrotherapy characterised by site-specific
stimulation, intensity-and-submodality-specific settings and a immobilization
period, for chronic neck and shoulder pain. Ninety-seven heavily pretreated
severe chronic neck/shoulder pain patients were recruited; 34 and 44 patients
were randomly allocated to different treatment arms in two patient-and-assessor
blinded, randomised controlled studies. The participants received NT or
conventional physical therapy including transcutaneous electrical nerve
stimulation (PT-TENS) for three to six 90-minute sessions. In Study One, NT
improved chronic pain (-89.6%, Brief Pain Inventory, p < 0.0001, 95% confidence
interval), function (+77.4%, range of motion) and quality of life (+88.1%) at
follow-up (from 4 weeks to 5 months), whereas PT-TENS resulted in no significant
changes in these parameters. Study Two demonstrated similar advantages of NT over
PT-TENS and the generalisability of NT. NT-like treatments in a randomised rat
study showed a similar reduction in chronic hypersensitivity (-81%, p < 0.01)
compared with sham treatments. NT substantially reduces chronic neck and shoulder
pain, restores function, and improves quality of life in a sustained manner.
PMID- 26552837
TI - New blood marker can detect chronic kidney disease, study shows.
PMID- 26552836
TI - Co-morbid personality disorder in early intervention psychosis clients is
associated with greater key worker emotional involvement.
AB - AIM: Co-morbid personality disorder (PD) is associated with poorer outcomes in
psychosis patients, but it is not known whether these patterns are present at
illness onset. This study investigated the prevalence of co-morbid PD in clients
of an Early Intervention in Psychosis Service (EIPS) and compared key worker
engagement and service use between patients with and without co-morbid PD.
METHOD: Forty-nine participants were recruited from an inner London NHS EIPS. The
Structured Clinical Interview for the Diagnostic and Statistical Manual of Mental
Disorders IV (DSM-IV) Axis II Disorders was administered to identify whether
participants met criteria for a diagnosis of PD. Key workers completed measures
investigating the therapeutic relationship and emotional involvement. Data on
service use over a 2-year period from the date on which the patient was accepted
by the EIPS were collected from electronic clinical records. Service use and key
worker informed data were collected blind to PD diagnosis. RESULTS: Twenty-two of
the 49 (45%) patients met criteria for co-morbid PD. Keyworker worry and tension
were significantly higher in relation to patients with co-morbid PD compared with
those without. There were no significant differences between groups in
appointments offered or attended, but patients with co-morbid PD were
significantly less likely to be admitted to hospital than those without.
CONCLUSIONS: Co-morbid PD is common in EIPS patients. The EIPS model is both
assertive and intensive; although this appears to be effective in preventing
hospital admissions, this does not equip professionals to manage the higher
emotional burden associated with a co-morbid PD diagnosis.
PMID- 26552838
TI - High-throughput automated dissolution method applicable for a wide dose range of
controlled release pellets.
AB - The aim of the present study was to demonstrate the application of an automated
high-throughput (HT) dissolution method as a useful screening tool for
characterization of controlled release pellets in the formulation development
phase. Five controlled release pellet formulations with drug substances
exhibiting high or low solubility were chosen to investigate the correlation of
the automated HT dissolution method with the conventional dissolution testing.
Overall, excellent correlations (R(2 )>( )0.96) between the HT and the
conventional dissolution method were obtained. In one case the initial
unsatisfactory correlation (R(2 )=( )0.84) and poor method agreement (SD = 12.5)
was improved by optimizing the HT dissolution method with design of experiment
approach. Here in comparison to initial experimental HT dissolution settings,
increased amount of pellets (25% of the capsule filling mass), lower temperature
(22 degrees C) and no shaking resulted in significantly better correlation (R(2
)=( )0.97) and method agreement (SD = 5.3). These results show that such
optimization is valuable for the development of HT dissolution methods. In
conclusion, the high correlation of dissolution profiles obtained from the
conventional and the automated HT dissolution method combined with low within
sample and measurement system variability, justifies the utilization of the
automated HT dissolution method during development phase of controlled release
pellets.
PMID- 26552839
TI - Interface-designed Membranes with Shape-controlled Patterns for High-performance
Polymer Electrolyte Membrane Fuel Cells.
AB - Polymer electrolyte membrane fuel cell is a promising zero-emission power
generator for stationary/automotive applications. However, key issues, such as
performance and costs, are still remained for an economical commercialization.
Here, we fabricated a high-performance membrane electrode assembly (MEA) using an
interfacial design based on well-arrayed micro-patterned membranes including
circles, squares and hexagons with different sizes, which are produced by a
facile elastomeric mold method. The best MEA performance is achieved using
patterned Nafion membrane with a circle 2 MUm in size, which exhibited a very
high power density of 1906 mW/cm(2) at 75 degrees C and Pt loading of 0.4
mg/cm(2) with 73% improvement compared to the commercial membrane. The improved
performance are attributed to the decreased MEA resistances and increased surface
area for higher Pt utilization of over 80%. From these enhanced properties, it is
possible to operate at lower Pt loading of 0.2 mg/cm(2) with an outstanding
performance of 1555 mW/cm(2) and even at air/low humidity operations.
PMID- 26552841
TI - Pancreatic cancer surgery in elderly patients: Balancing between short-term harm
and long-term benefit. A population-based study in the Netherlands.
AB - BACKGROUND: At a national level, it is unknown to what degree elderly patients
with pancreatic or periampullary carcinoma benefit from surgical treatment
compared to their younger counterparts. We investigated resection rates and
outcomes after surgical treatment among elderly patients. METHODS: From the
Netherlands Cancer Registry, 20 005 patients diagnosed with primary pancreatic or
periampullary cancer in 2005-2013 were selected. The associations between age
(<70, 70-74, 75-79, >=80 years) and resection rates were investigated using
chi(2) tests, and surgical outcomes (30-, 90-day mortality) were evaluated using
logistic regression analysis. Overall survival after resection was investigated
by means of Kaplan-Meier and Cox proportional hazard regression analysis.
RESULTS: During the study period, resection rates increased in all age groups
(<70 years: 20-30%, p < 0.001; >=80 years: 2-8%, p < 0.001). Of 3845 patients who
underwent tumour resection for pancreatic or periampullary carcinoma, the
proportion of octogenarians increased from 3.5% to 5.5% (p = 0.03), whereas
postoperative mortality did not increase (30-day: 6-3%, p = 0.06; 90-day: 9-8%, p
= 0.21). With rising age, 30-day postoperative mortality increased (4-5-7-8%,
respectively, p < 0.001), while 90-day mortality was 6-10-13-12% (p < 0.001) and
three-year overall survival rates after surgery were 35-33-28-31%, respectively
(p < 0.001). After adjustment for confounding factors, octogenarians who survived
90 days postoperative exhibited an overall survival close to younger patients
[hazard ratio (>=80 vs. <70 years) = 1.21, 95% confidence interval (0.99-1.47), p
= 0.07]. CONCLUSION: Despite higher short-term mortality, octogenarians who
underwent pancreatic resection showed long-term survival similar to younger
patients. With careful patient screening and counselling of elderly patients, a
further increase of resection rates may be combined with improved outcomes.
PMID- 26552842
TI - Role of pharmacist in cardiovascular disease-related health promotion and in
hypertension and dyslipidemia management: a cross-sectional study in the State of
Qatar.
AB - RATIONALE, AIMS AND OBJECTIVES: In Qatar, cardiovascular diseases (CVD) have
recently become the leading cause of morbidity and mortality. Prevention,
detection and management of CVD risk factors reduce CVD chance. The study
objectives were to assess Qatar pharmacists' involvement in CVD health promotion,
to identify the activities that they currently provide to patients with CVD risk
factors, to describe their attitudes towards their involvement in CVD prevention
and to assess their perceived barriers for provision of CVD prevention services
METHOD: We conducted a cross-sectional survey of community and ambulatory
pharmacists in Qatar. Pharmacist characteristics, involvement in CVD-related
activities along with their attitudes and perceived barriers were analysed using
frequency distributions. Bivariate linear regression models were used to test for
associations between CVD health promotion activity score and each variable.
Variables with a P-value of 0.20 or less were included in the multivariate model.
RESULTS: A total of 141 pharmacists completed the survey (response rate 60%).
More than 70% responded with rarely or never to 6 out of the 10 CVD health
promotion activities. Eighty-four per cent and 68% always or often describe to
patients the appropriate time to take antihypertensive medications and the common
medication adverse effects, respectively. Yet, 50% rarely or never review the
medication refill history or provide adherence interventions. Lack of CVD
educational materials was the top perceived barrier (55%) in addition to lack of
having private counselling area (44.6%), and lack of time (38.3%). Females and
community pharmacists were more involved in CVD health promotion (P = 0.046 and P
= 0.017, respectively) than their counterparts. Health promotion practice
increased with increasing attitudes score and decreased with increased barriers
score (P = 0.012 and P = 0.001). CONCLUSION: The scope of pharmacy practice in
CVD prevention is limited in Qatar. Efforts need to be exerted to increase
pharmacists' involvement in CVD prevention.
PMID- 26552840
TI - Protein-Based Classifier to Predict Conversion from Clinically Isolated Syndrome
to Multiple Sclerosis.
AB - Multiple sclerosis is an inflammatory, demyelinating, and neurodegenerative
disease of the central nervous system. In most patients, the disease initiates
with an episode of neurological disturbance referred to as clinically isolated
syndrome, but not all patients with this syndrome develop multiple sclerosis over
time, and currently, there is no clinical test that can conclusively establish
whether a patient with a clinically isolated syndrome will eventually develop
clinically defined multiple sclerosis. Here, we took advantage of the
capabilities of targeted mass spectrometry to establish a diagnostic molecular
classifier with high sensitivity and specificity able to differentiate between
clinically isolated syndrome patients with a high and a low risk of developing
multiple sclerosis. Based on the combination of abundances of proteins chitinase
3-like 1 and ala-beta-his-dipeptidase in cerebrospinal fluid, we built a
statistical model able to assign to each patient a precise probability of
conversion to clinically defined multiple sclerosis. Our results are of special
relevance for patients affected by multiple sclerosis as early treatment can
prevent brain damage and slow down the disease progression.
PMID- 26552843
TI - Extremely High Thermal Conductivity of Aligned Carbon Nanotube-Polyethylene
Composites.
AB - The ultra-low thermal conductivity of bulk polymers may be enhanced by combining
them with high thermal conductivity materials such as carbon nanotubes. Different
from random doping, we find that the aligned carbon nanotube-polyethylene
composites has a high thermal conductivity by non-equilibrium molecular dynamics
simulations. The analyses indicate that the aligned composite not only take
advantage of the high thermal conduction of carbon nanotubes, but enhance thermal
conduction of polyethylene chains.
PMID- 26552844
TI - Leukemia cutis in myelodysplastic syndrome and chronic myelomonocytic leukemia
patients treated with adjusted dose 5-azacitidine.
PMID- 26552845
TI - Synthesis of Hierarchical Nanoporous Microstructures via the Kirkendall Effect in
Chemical Reduction Process.
AB - A series of novel hierarchical nanoporous microstructures have been synthesized
through one-step chemical reduction of micron size Cu2O and Co3O4 particles. By
controlling the reduction time, non-porous Cu2O microcubes sequentially transform
to nanoporous Cu/Cu2O/Cu dented cubic composites and hollow eightling-like Cu
microparticles. The mechanism involved in the complex structural evolution is
explained based on oxygen diffusion and Kirkendall effect. The nanoporous
Cu/Cu2O/Cu dented cubic composites exhibit superior electrochemical performance
as compared to solid Cu2O microcubes. The reduction of nonporous Co3O4 also
exhibits a uniform sequential reduction process from nonporous Co3O4 to porous
Co3O4/CoO composites, porous CoO, porous CoO/Co composites, and porous foam-like
Co particles. Nanoscale channels originate from the particle surface and
eventually develop inside the entire product, resulting in porous foam-like Co
microparticles. The Kirkendall effect is believed to facilitate the formation of
porous structures in both processes.
PMID- 26552846
TI - Pyk2 and Megakaryocytes Regulate Osteoblast Differentiation and Migration Via
Distinct and Overlapping Mechanisms.
AB - Osteoblast differentiation and migration are necessary for bone formation during
bone remodeling. Mice lacking the proline-rich tyrosine kinase Pyk2 (Pyk2-KO)
have increased bone mass, in part due to increased osteoblast proliferation.
Megakaryocytes (MKs), the platelet-producing cells, also promote osteoblast
proliferation in vitro and bone-formation in vivo via a pathway that involves
Pyk2. In the current study, we examined the mechanism of action of Pyk2, and the
role of MKs, on osteoblast differentiation and migration. We found that Pyk2-KO
osteoblasts express elevated alkaline phosphatase (ALP), type I collagen and
osteocalcin mRNA levels as well as increased ALP activity, and mineralization,
confirming that Pyk2 negatively regulates osteoblast function. Since Pyk2 Y402
phosphorylation is important for its catalytic activity and for its protein
scaffolding functions, we expressed the phosphorylation-mutant (Pyk2(Y402F) ) and
kinase-mutant (Pyk2(K457A) ) in Pyk2-KO osteoblasts. Both Pyk2(Y402F) and
Pyk2(K457A) reduced ALP activity, whereas only kinase-inactive Pyk2(K457A)
inhibited Pyk2-KO osteoblast migration. Consistent with a role for Pyk2 on ALP
activity, co-culture of MKs with osteoblasts led to a decrease in the level of
phosphorylated Pyk2 (pY402) as well as a decrease in ALP activity. Although, Pyk2
KO osteoblasts exhibited increased migration compared to wild-type osteoblasts,
Pyk2 expression was not required necessary for the ability of MKs to stimulate
osteoblast migration. Together, these data suggest that osteoblast
differentiation and migration are inversely regulated by MKs via distinct Pyk2
dependent and independent signaling pathways. Novel drugs that distinguish
between the kinase-dependent or protein-scaffolding functions of Pyk2 may provide
therapeutic specificity for the control of bone-related diseases.
PMID- 26552847
TI - Blunted Endogenous Opioid Release Following an Oral Amphetamine Challenge in
Pathological Gamblers.
AB - Pathological gambling is a psychiatric disorder and the first recognized
behavioral addiction, with similarities to substance use disorders but without
the confounding effects of drug-related brain changes. Pathophysiology within the
opioid receptor system is increasingly recognized in substance dependence, with
higher mu-opioid receptor (MOR) availability reported in alcohol, cocaine and
opiate addiction. Impulsivity, a risk factor across the addictions, has also been
found to be associated with higher MOR availability. The aim of this study was to
characterize baseline MOR availability and endogenous opioid release in
pathological gamblers (PG) using [(11)C]carfentanil PET with an oral amphetamine
challenge. Fourteen PG and 15 healthy volunteers (HV) underwent two
[(11)C]carfentanil PET scans, before and after an oral administration of 0.5
mg/kg of d-amphetamine. The change in [(11)C]carfentanil binding between baseline
and post-amphetamine scans (DeltaBPND) was assessed in 10 regions of interest
(ROI). MOR availability did not differ between PG and HV groups. As seen
previously, oral amphetamine challenge led to significant reductions in
[(11)C]carfentanil BPND in 8/10 ROI in HV. PG demonstrated significant blunting
of opioid release compared with HV. PG also showed blunted amphetamine-induced
euphoria and alertness compared with HV. Exploratory analysis revealed that
impulsivity positively correlated with caudate baseline BPND in PG only. This
study provides the first evidence of blunted endogenous opioid release in PG. Our
findings are consistent with growing evidence that dysregulation of endogenous
opioids may have an important role in the pathophysiology of addictions.
PMID- 26552848
TI - Modulation of P2X4/P2X7/Pannexin-1 sensitivity to extracellular ATP via
Ivermectin induces a non-apoptotic and inflammatory form of cancer cell death.
AB - Overexpression of P2X7 receptors correlates with tumor growth and metastasis.
Yet, release of ATP is associated with immunogenic cancer cell death as well as
inflammatory responses caused by necrotic cell death at sites of trauma or
ischemia-reperfusion injury. Using an FDA-approved anti-parasitic agent
Ivermectin as a prototype agent to allosterically modulate P2X4 receptors, we can
switch the balance between the dual pro-survival and cytotoxic functions of
purinergic signaling in breast cancer cells. This is mediated through augmented
opening of the P2X4/P2X7-gated Pannexin-1 channels that drives a mixed apoptotic
and necrotic mode of cell death associated with activation of caspase-1 and is
consistent with pyroptosis. We show that cancer cell death is dependent on ATP
release and death signals downstream of P2X7 receptors that can be reversed by
inhibition of NADPH oxidases-generated ROS, Ca(2+)/Calmodulin-dependent protein
kinase II (CaMKII) or mitochondrial permeability transition pore (MPTP).
Ivermectin induces autophagy and release of ATP and HMGB1, key mediators of
inflammation. Potentiated P2X4/P2X7 signaling can be further linked to the ATP
rich tumor microenvironment providing a mechanistic explanation for the tumor
selectivity of purinergic receptors modulation and its potential to be used as a
platform for integrated cancer immunotherapy.
PMID- 26552849
TI - Redox-Responsive Polyphosphoester-Based Micellar Nanomedicines for Overriding
Chemoresistance in Breast Cancer Cells.
AB - Multidrug resistance (MDR) has been recognized as a key factor contributing to
the failure of chemotherapy for cancer in the clinic, often due to insufficient
delivery of anticancer drugs to target cells. For addressing this issue, a redox
responsive polyphosphoester-based micellar nanomedicine, which can be triggered
to release transported drugs in tumor cells, has been developed. The micelles are
composed of diblock copolymers with a hydrophilic PEG block and a hydrophobic
polyphosphoester (PPE) block bearing a disulfide bond in a side group. After
incubating the redox-responsive micelles with drug-resistant tumor cells, the
intracellular accumulation and retention of DOX were significantly enhanced.
Moreover, after internalization by MDR cancer cells, the disulfide bond in the
side group was cleaved by the high intracellular glutathione levels, resulting in
a hydrophobic to hydrophilic transition of the PPE block and subsequent
disassembly of the micelles. Thus, the encapsulated DOX was rapidly released, and
abrogation of drug resistance in the cancer cells was observed in vitro.
Moreover, the DOX-loaded redox-responsive micelles exhibited significantly
enhanced inhibition of tumor growth in nude mice bearing MCF-7/ADR xenograft
tumors via tail vein injection, indicating that such micelles have great
potential in overcoming MDR for cancer therapy.
PMID- 26552851
TI - Polyradiculopathy and Gastroparesis due to Cytomegalovirus Infection in AIDS: A
Case Report and Review of Literature.
AB - BACKGROUND: Cytomegalovirus (CMV) infection has been well described as an
opportunistic infection of patients with human immunodeficiency virus (HIV). To
the best of our knowledge, this is the first case report of a patient with AIDS
and lumbosacral polyradiculopathy, associated with gastroparesis resulting from
CMV infection. CASE REPORT: A 46-year-old Hispanic woman with a history of HIV
for 10 years was admitted to our hospital for nausea, vomiting, urinary
retention, and generalized weakness. Bilateral lower extremity examination
revealed flaccid paraplegia, decreased sensations from the groin downwards,
bilateral lower extremity areflexia, and absent plantar reflexes, with enlarged
urinary bladder. CMV was detected in CSF by PCR, and cervical and lumbar magnetic
resonance imaging (MRI) revealed intense nodular leptomeningeal enhancement from
the lower thoracic cord and extending along the conus medullaris/filum terminalis
and nerve roots. Gastric emptying scintigraphy revealed severe delayed gastric
emptying time. Ganciclovir was initiated and her neurological symptoms and
gastrological symptoms gradually improved. Over 8 weeks, nausea and vomiting
resolved and the patient was able to walk before being discharged from the
hospital. CONCLUSIONS: Polyradiculopathy and gastroparesis can result from CMV
infection in AIDS patients. Whether the mechanism is secondary to viral infection
or immune systems remains unclear. It is important for physicians to be aware of
this uncommon presentation in the antiretroviral therapy (ART) era. CMV treatment
should be initiated immediately once diagnosis is confirmed.
PMID- 26552850
TI - A targeted proteomic strategy for the measurement of oral cancer candidate
biomarkers in human saliva.
AB - Head and neck cancers, including oral squamous cell carcinoma (OSCC), are the
sixth most common malignancy in the world and are characterized by poor prognosis
and a low survival rate. Saliva is oral fluid with intimate contact with OSCC.
Besides non-invasive, simple, and rapid to collect, saliva is a potential source
of biomarkers. In this study, we build an SRM assay that targets fourteen OSCC
candidate biomarker proteins, which were evaluated in a set of clinically-derived
saliva samples. Using Skyline software package, we demonstrated a statistically
significant higher abundance of the C1R, LCN2, SLPI, FAM49B, TAGLN2, CFB, C3,
C4B, LRG1, SERPINA1 candidate biomarkers in the saliva of OSCC patients.
Furthermore, our study also demonstrated that CFB, C3, C4B, SERPINA1 and LRG1 are
associated with the risk of developing OSCC. Overall, this study successfully
used targeted proteomics to measure in saliva a panel of biomarker candidates for
OSCC.
PMID- 26552854
TI - Social housing provision for minority ethnic older people with dementia: Findings
from a qualitative study.
AB - Little research has explored how not-for-profit housing providers, often termed
Housing Associations in the United Kingdom, meet the needs of older tenants with
dementia who are from black and ethnic minority communities. This article
presents findings from an exploratory study conducted in 2015. The study took an
audit approach, investigating current practice and policy in 12 Housing
Associations. All were developing their understanding of dementia; some were
augmenting their standard rented property portfolio to include housing with care
provision; and most had policies relating to equalities and diversity and were
offering dementia training to members of staff. None appeared to have fully
integrated the three strands of housing services, dementia care, and cultural or
ethnicity-related needs and preferences. A range of strategies was reported as
being developed to meet tenants' changing circumstances. Anxiety about the cost
of adaptations was commonly reported, although the nature and extent of this were
ill-defined. Discussion focuses on the findings' implications for housing
providers and for dementia professionals.
PMID- 26552852
TI - Reducing suicidal ideation in home health care: results from the CAREPATH
depression care management trial.
AB - OBJECTIVES: The study evaluated the effectiveness of a depression care management
intervention in reducing suicidal ideation (SI) among home health patients.
METHODS: Data come from the cluster-randomized effectiveness trial of the
Depression Care for Patients at Home (Depression CAREPATH), an intervention that
integrates depression care management into the routine nursing visits of Medicare
home health patients screening positive for depression. Patients were interviewed
at baseline, 3, 6, and 12-month follow-up. SI was measured using the Hamilton
Rating Scale for Depression item. We compared likelihood of any level of SI
between intervention and usual care patients using longitudinal logistic mixed
effects models. RESULTS: A total of 306 eligible patients enrolled in the trial.
Among them, 70 patients (22.9%) reported SI at baseline. Among patients with SI,
patients under the care of nurses randomized to CAREPATH were less likely to
report SI over the study period (odds ratio = 0.51, 95% CI; 0.24-1.07), with
63.6% of usual care versus 31.3% of CAREPATH participants continuing to report SI
after one year. Baseline major depression, greater perceived burdensomeness, and
greater functional disability were associated with greater likelihood of SI.
CONCLUSION: SI is reported in more than 10% of Medicare home health patients. The
Depression CAREPATH intervention was associated with a reduction in patients
reporting SI at one year, compared to enhanced usual care. Given relative low
burden on nursing staff, depression care management may be an important component
of routine home health practices producing long-term reduction in SI among high
risk patients. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26552856
TI - Dementia case management through the eyes of informal carers: A national
evaluation study.
AB - This paper focuses on the evaluation of dementia case management in the
Netherlands, as well as factors associated with positive evaluations of informal
caregivers. A survey was completed by 554 informal carers. The majority of the
informal carers were older (69% was 55+), and female (73%), and often concerned
the partner or adult children of the person with dementia. Eighty percent
indicated that the contact with the case manager facilitated their role as
informal carer, while 95% or more stated that the case manager showed sufficient
understanding, allowed enough space to decide together on how to approach
problems in the care, took time to listen to their story, gave sufficient
attention to and showed interest in their relative, took their schedule into
account and/or kept appointments. Contrary to the expectations, multilevel
analyses did not show association between informal caregivers' care burden and
the evaluation of case management. Neither were the period living with dementia
and the number of personal contacts with the case manager associated with the
evaluations of informal caregivers. However, being the partner of the patient was
significantly related ( p < 0.05) to a positive overall evaluation by informal
carers. These results suggest that sufficient case management resources should be
offered and targeted especially towards partners of people with dementia.
PMID- 26552857
TI - No substitute for real data: A cautionary note on the use of phylogenies from
birth-death polytomy resolvers for downstream comparative analyses.
AB - The statistical estimation of phylogenies is always associated with uncertainty,
and accommodating this uncertainty is an important component of modern
phylogenetic comparative analysis. The birth-death polytomy resolver is a method
of accounting for phylogenetic uncertainty that places missing (unsampled) taxa
onto phylogenetic trees, using taxonomic information alone. Recent studies of
birds and mammals have used this approach to generate pseudoposterior
distributions of phylogenetic trees that are complete at the species level, even
in the absence of genetic data for many species. Many researchers have used these
distributions of phylogenies for downstream evolutionary analyses that involve
inferences on phenotypic evolution, geography, and community assembly. I
demonstrate that the use of phylogenies constructed in this fashion is
inappropriate for many questions involving traits. Because species are placed on
trees at random with respect to trait values, the birth-death polytomy resolver
breaks down natural patterns of trait phylogenetic structure. Inferences based on
these trees are predictably and often drastically biased in a direction that
depends on the underlying (true) pattern of phylogenetic structure in traits. I
illustrate the severity of the phenomenon for both continuous and discrete traits
using examples from a global bird phylogeny.
PMID- 26552858
TI - Phytoextraction of heavy metals by Sesuvium portulacastrum l. a salt marsh
halophyte from tannery effluent.
AB - The present study investigated the sources for remediation of heavy metals and
salts from tannery effluent using salt marsh halophyte Sesuvium portulacastrum.
From the results observed, in tannery effluent treated soil from 1 kg dry weight
of plant sample, Sesuvium portulacastrum accumulated 49.82 mg Cr, 22.10 mg Cd,
35.10 mg Cu and 70.10 mg Zn and from 1 g dry weight of the plant sample, 246.21
mg Na Cl. Cultivation of Sesuvium portulacastrum significantly reduced the EC, pH
and SAR levels in tannery effluent and salt treated soil and correspondingly
increased in plant sample after 125 days of cultivation. In conclusion, Sesuvium
portulacastrum was an efficient in accumulating heavy metals such as Chromium,
Cadmium, Copper and Zinc, sodium and chloride maximum through its leaves when
compared to stem and root. The finding of these bioacccumulation studies
indicates that Sesuvium portulacastrum could be used for phytoremediation of
tannery effluent contaminated field.
PMID- 26552859
TI - Dual-emissive Polymer Dots for Rapid Detection of Fluoride in Pure Water and
Biological Systems with Improved Reliability and Accuracy.
AB - It is of paramount importance to develop new probes that can selectively,
sensitively, accurately and rapidly detect fluoride in aqueous media and
biological systems, because F(-) is found to be closely related to many health
and environmental concerns. Herein, a dual-emissive conjugated polyelectrolyte P1
containing phosphorescent iridium(III) complex was designed and synthesized,
which can form ultrasmall polymer dots (Pdots) in aqueous media. The F(-)
responsive tert-butyldiphenylsilyl moiety was introduced into iridium(III)
complex as the signaling unit for sensing F(-) with the quenched phosphorescence.
Thus, the dual-emissive Pdots can rapidly and accurately detect F(-) in aqueous
media and live cells as a ratiometric probe by measuring the change in the ratio
of the F(-)-sensitive red phosphorescence from iridium(III) complex to the F(-)
insensitive blue fluorescence from polyfluorene. Moreover, the interaction of
Pdots with F(-) also changes its emission lifetime, and the lifetime-based
detection of F(-) in live cells has been realized through photoluminescence
lifetime imaging microscopy for the first time. Both the ratiometric luminescence
and lifetime imaging have been demonstrated to be resistant to external
influences, such as the probe's concentration and excitation power. This study
provides a new perspective for the design of promising Pdots-based probes for
biological applications.
PMID- 26552860
TI - Fabrication of Fe-Doped LiCoO2 Sandwich-Like Nanocomposites as Excellent
Performance Cathode Materials for Lithium-Ion Batteries.
AB - In this article, the two-layer sandwiched graphene@LiFe0.Co0.8O2 nanoparticles
(SG@LFCO) have been prepared and investigated as high-rate and long-life cathode
materials for rechargeable lithium-ion batteries. The materials possess a high
surface area (267.1 m(2) g(-1)) and lots of void spaces. By combining various
favorable conditions, such as Fe doping, coating graphene, and designing novel
morphology, the as-prepared materials deliver a specific capacity of 115 mAh g(
1) at 10 C. At the 0.1 C cycling rate, the capacity retention of 97.2% is
sustained after 250 cycles and a coulombic efficiency of around 97.6% is
obtained.
PMID- 26552862
TI - Sensitivity Analysis Using Risk Measures.
AB - In a quantitative model with uncertain inputs, the uncertainty of the output can
be summarized by a risk measure. We propose a sensitivity analysis method based
on derivatives of the output risk measure, in the direction of model inputs. This
produces a global sensitivity measure, explicitly linking sensitivity and
uncertainty analyses. We focus on the case of distortion risk measures, defined
as weighted averages of output percentiles, and prove a representation of the
sensitivity measure that can be evaluated on a Monte Carlo sample, as a weighted
average of gradients over the input space. When the analytical model is unknown
or hard to work with, nonparametric techniques are used for gradient estimation.
This process is demonstrated through the example of a nonlinear insurance loss
model. Furthermore, the proposed framework is extended in order to measure
sensitivity to constant model parameters, uncertain statistical parameters, and
random factors driving dependence between model inputs.
PMID- 26552861
TI - Antenatal corticosteroid treatment in singleton, small-for-gestational-age
infants born at 24-31 weeks' gestation: a population-based study.
AB - OBJECTIVE: To assess the impact of antenatal corticosteroid therapy on mortality
and severe morbidities in preterm, small-for-gestational-age (SGA) neonates
compared with preterm non-SGA neonates. DESIGN: Population-based study.
SETTING/POPULATION: Israel National Very Low Birth Weight infant database from
1995-2012. METHODS: Singleton infants of 24-31 weeks' gestation, without major
malformations. Antenatal corticosteroids were considered either any treatment or
no treatment. MAIN OUTCOME MEASURES: Univariate and multivariable logistic
regression analyses were performed to assess the effect of antenatal
corticosteroids on neonatal mortality and a composite adverse outcome of
mortality or severe neonatal morbidity. RESULTS: Among the 10 887 study infants,
1771 were SGA. Of these, 70.4% of SGA and 66.7% of non-SGA neonates were exposed
to antenatal corticosteroids. Among SGA neonates, antenatal corticosteroids were
associated with decreased mortality (32.2 versus 19.3%, P < 0.0001) and composite
adverse outcome (54.1 versus 43.4%, P < 0.0001), similar to the effect in non-SGA
neonates (mortality 26.7 versus 12.2%, P < 0.0001; composite outcome 50.5 versus
34.6%, P < 0.0001). Multivariable logistic regression analyses demonstrated a 50%
reduction in mortality risk among SGA and 57% reduction in non-SGA neonates
exposed to corticosteroids [OR = 0.50, 95% confidence interval (95% CI) 0.39-0.64
and OR = 0.43, 95% CI 0.38-0.47, respectively], P-value for interaction = 0.08.
Composite adverse outcome risk was significantly reduced in SGA (OR = 0.67, 95%
CI 0.54-0.83) and non-SGA infants (OR = 0.57, 95% CI 0.52-0.63), P-value for
interaction = 0.04. CONCLUSIONS: Antenatal corticosteroids significantly reduced
mortality and severe morbidities among preterm SGA neonates, with slightly a less
pronounced effect compared with non-SGA preterm infants. Antenatal
corticosteroids should be given to fetuses suspected of intrauterine growth
retardation, at risk for preterm delivery, in order to improve perinatal outcome.
TWEETABLE ABSTRACT: Antenatal steroids reduced mortality and severe morbidities
among singleton, preterm SGA neonates.
PMID- 26552863
TI - Sequential MR Assessment of the Susceptibility Vessel Sign and Arterial Occlusion
in Acute Stroke.
AB - PURPOSE: Susceptibility vessel sign (SVS) may likely influence recanalization
after thrombolysis. We assessed, through the European sequential MRI database "I
KNOW," the relationship between the presence of SVS on T2-weighted gradient echo
imaging, its angiographic counterpart on magnetic resonance angiography and its
subsequent impact on recanalization after thrombolysis. MATERIALS AND METHODS:
Initial clinical and MRI characteristics and early follow up were analyzed in
acute ischemic stroke patients treated with rt-Pa within 4.5 hours. Patients
underwent multimodal MRI at admission. Sequential imaging performed 3 hours, 2
days and 1 month later allowed the analysis of SVS changes and recanalization.
RESULTS: Fifty patients were included in the study. SVS was observed in 54% of
cases at admission. SVS was still present in 46% patients at 3 hours, 16% at 2
days, and 0% at 1 month. It was an independent predictor of no recanalization
after thrombolysis (P = .04). After 3 hours, SVS disappeared in only 4 cases, and
was not linked with recanalization on MRA. Conversely, when SVS persisted, a
partial or complete recanalization was observed in 9 and 6 cases, respectively.
CONCLUSIONS: SVS is a predictor of lower recanalization rate. Its disappearance
is not necessarily correlated with recanalization.
PMID- 26552864
TI - Auditory Pathology in a Transgenic mtTFB1 Mouse Model of Mitochondrial Deafness.
AB - The A1555G mutation in the 12S rRNA gene of human mitochondrial DNA causes
maternally inherited, nonsyndromic deafness, an extreme case of tissue-specific
mitochondrial pathology. A transgenic mouse strain that robustly overexpresses
the mitochondrial 12S ribosomal RNA methyltransferase TFB1M (Tg-mtTFB1 mice)
exhibits progressive hearing loss that we proposed models aspects of A1555G
related pathology in humans. Although our previous studies of Tg-mtTFB1 mice
implicated apoptosis in the spiral ganglion and stria vascularis because of
mitochondrial reactive oxygen species-mediated activation of AMP kinase (AMPK)
and the nuclear transcription factor E2F1, detailed auditory pathology was not
delineated. Herein, we show that Tg-mtTFB1 mice have reduced endocochlear
potential, indicative of significant stria vascularis dysfunction, but without
obvious signs of strial atrophy. We also observed decreased auditory brainstem
response peak 1 amplitude and prolonged wave I latency, consistent with apoptosis
of spiral ganglion neurons. Although no major loss of hair cells was observed,
there was a mild impairment of voltage-dependent electromotility of outer hair
cells. On the basis of these results, we propose that these events conspire to
produce the progressive hearing loss phenotype in Tg-mtTFB1 mice. Finally,
genetically reducing AMPK alpha1 rescues hearing loss in Tg-mtTFB1 mice,
confirming that aberrant up-regulation of AMPK signaling promotes the observed
auditory pathology. The relevance of these findings to human A1555G patients and
the potential therapeutic value of reducing AMPK activity are discussed.
PMID- 26552865
TI - ART Adherence as a Key Component of Prevention With Persons Living With HIV in
Mozambique.
AB - Medication adherence is an effective approach to prevent HIV transmission. In
Mozambique, a country with a generalized epidemic, the government has adopted
Positive Prevention (PP) training for clinicians as part of its national
strategy. Our study, conducted after trainings in five clinics, examined the
understanding of trained health care staff and their patients about the
importance of adherence to antiretroviral therapy (ART), a key element of PP.
Interviews with trained clinicians (n = 31) and patients (n = 57) were conducted
and analyzed. Clinicians and patients demonstrated an understanding that ART
adherence could decrease HIV transmission. However, participants also highlighted
the difficulties of adherence when patients had limited access to food. At the
same time that treatment as prevention awareness was increasing, poverty and
widespread food insecurity were barriers to taking medications. In Mozambique,
the full benefits of treatment as prevention may not be realized without adequate
access to food.
PMID- 26552866
TI - Multiple Roles for Nogo Receptor 1 in Visual System Plasticity.
AB - During the developmental critical period for visual plasticity, discordant vision
alters the responsiveness of neurons in visual cortex. The subsequent closure of
the critical period not only consolidates neural function but also limits
recovery of acuity from preceding abnormal visual experience. Despite species
specific differences in circuitry of the visual system, these characteristics are
conserved. The nogo-66 receptor 1 (ngr1) is one of only a small number of genes
identified thus far that is essential to closing the critical period. Mice
lacking a functional ngr1 gene retain developmental visual plasticity as adults
and their visual acuity spontaneously improves after prolonged visual
deprivation. Experiments employing conditional mouse genetics have revealed that
ngr1 restricts plasticity within distinct circuits for ocular dominance and
visual acuity. However, the mechanisms by which NgR1 limits plasticity have not
been elucidated, in part because the subcellular localization and signal
transduction of the protein are only partially understood. Here we explore
potential mechanisms for NgR1 function in relation to manipulations that
reactivate visual plasticity in adults and propose lines of investigation to
address relevant gaps in knowledge.
PMID- 26552867
TI - HTLV-1 drives vigorous clonal expansion of infected CD8(+) T cells in natural
infection.
AB - BACKGROUND: Human T-lymphotropic Virus Type I (HTLV-1) is a retrovirus that
persistently infects 5-10 million individuals worldwide and causes disabling or
fatal inflammatory and malignant diseases. The majority of the HTLV-1 proviral
load is found in CD4(+) T cells, and the phenotype of adult T cell leukemia (ATL)
is typically CD4(+). HTLV-1 also infects CD8(+) cells in vivo, but the relative
abundance and clonal composition of the two infected subpopulations have not been
studied. We used a high-throughput DNA sequencing protocol to map and quantify
HTLV-1 proviral integration sites in separated populations of CD4(+) cells,
CD8(+) cells and unsorted peripheral blood mononuclear cells from 12 HTLV-1
infected individuals. RESULTS: We show that the infected CD8(+) cells constitute
a median of 5% of the HTLV-1 proviral load. However, HTLV-1-infected CD8(+)
clones undergo much greater oligoclonal proliferation than the infected CD4(+)
clones in infected individuals, regardless of disease manifestation. The CD8(+)
clones are over-represented among the most abundant clones in the blood and are
redetected even after several years. CONCLUSIONS: We conclude that although they
make up only 5% of the proviral load, the HTLV-1-infected CD8(+) T-cells make a
major impact on the clonal composition of HTLV-1-infected cells in the blood. The
greater degree of oligoclonal expansion observed in the infected CD8(+) T cells,
contrasts with the CD4(+) phenotype of ATL; cases of CD8(+) adult T-cell
leukaemia/lymphoma are rare. This work is consistent with growing evidence that
oligoclonal expansion of HTLV-1-infected cells is not sufficient for malignant
transformation.
PMID- 26552868
TI - Inferring intra-motif dependencies of DNA binding sites from ChIP-seq data.
AB - BACKGROUND: Statistical modeling of transcription factor binding sites is one of
the classical fields in bioinformatics. The position weight matrix (PWM) model,
which assumes statistical independence among all nucleotides in a binding site,
used to be the standard model for this task for more than three decades but its
simple assumptions are increasingly put into question. Recent high-throughput
sequencing methods have provided data sets of sufficient size and quality for
studying the benefits of more complex models. However, learning more complex
models typically entails the danger of overfitting, and while model classes that
dynamically adapt the model complexity to data have been developed, effective
model selection is to date only possible for fully observable data, but not,
e.g., within de novo motif discovery. RESULTS: To address this issue, we propose
a stochastic algorithm for performing robust model selection in a latent variable
setting. This algorithm yields a solution without relying on hyperparameter
tuning via massive cross-validation or other computationally expensive resampling
techniques. Using this algorithm for learning inhomogeneous parsimonious Markov
models, we study the degree of putative higher-order intra-motif dependencies for
transcription factor binding sites inferred via de novo motif discovery from ChIP
seq data. We find that intra-motif dependencies are prevalent and not limited to
first-order dependencies among directly adjacent nucleotides, but that second
order models appear to be the significantly better choice. CONCLUSIONS: The
traditional PWM model appears to be indeed insufficient to infer realistic
sequence motifs, as it is on average outperformed by more complex models that
take into account intra-motif dependencies. Moreover, using such models together
with an appropriate model selection procedure does not lead to a significant
performance loss in comparison with the PWM model for any of the studied
transcription factors. Hence, we find it worthwhile to recommend that any modern
motif discovery algorithm should attempt to take into account intra-motif
dependencies.
PMID- 26552869
TI - Dystonia in Machado-Joseph disease: Clinical profile, therapy and anatomical
basis.
AB - INTRODUCTION: Dystonia is frequent in Machado-Joseph disease, but several
important aspects are not yet defined, such as the detailed clinical profile,
response to treatment and anatomical substrate. METHODS: We screened 75
consecutive patients and identified those with dystonia. The Burke-Marsden-Fahn
Dystonia Rating Scale was employed to quantify dystonia severity. Patients with
dystonia received levodopa 600 mg/day for 2 months and were videotaped before and
after treatment. A blinded evaluator rated dystonia in the videos. Patients with
disabling dystonia who failed to respond to levodopa treatment received botulinum
toxin. Finally, volumetric T1 and diffusion tensor imaging sequences were
obtained in the dystonic group using a 3T-MRI scanner to identify areas of gray
and white matter that were selectively damaged. RESULTS: There were 21 patients
with dystonia (28%): 9 classified as generalized and 12 as focal/segmental.
Patients with dystonia had earlier onset and larger (CAG) expansions (28.9 +/-
11.7 vs 40.6 +/- 11.4; p < 0.001 and 75 vs 70; p < 0.001, respectively). Although
group analyses failed to show benefit on levodopa (p = 0.07), some patients had
objective improvement. In addition, ten patients received botulinum toxin
resulting in a significant change in dystonia scores after 4 weeks (p = 0.03).
Patients with dystonia had atrophy at pre- and paracentral cortices; whereas, non
dystonic patients had occipital atrophy. Basal ganglia volume was reduced in both
groups, but atrophy at the thalami, cerebellar white matter and ventral
diencephali was disproportionately higher in the dystonic group. CONCLUSION:
Dystonia in Machado-Joseph disease is frequent and often disabling, but may
respond to levodopa. It is associated predominantly with structural abnormalities
around the motor cortices and in the thalami.
PMID- 26552871
TI - Isolated Cutaneous Sarcoidosis.
PMID- 26552870
TI - Impact of phenolic composition on hepatoprotective and antioxidant effects of
four desert medicinal plants.
AB - BACKGROUND: Flavonoids and other polyphenols play a protective role in liver
diseases and possess a high antioxidant capacity. OBJECTIVE: To compare and
evaluate the antioxidant and hepatotoprotective activities of 4 deserts plants,
Fagonia indica Burm. f., Calotropis procera R.Br., Zygophylum hamiense Schweinf.
and Salsola imbricata Forssk. in correlation to their composition especially
their phenolic content. METHODS: The influence of extracting solvent on total
phenolic and flavonoidal contents was assessed spectrophotometrically. The
flavonoid and other polyphenolic components of the methanol extracts were
analyzed by RP-HPLC. DPPH radical scavenging potential of the different extracts
was estimated. The hepatoprotective and antioxidant activities of the extracts
against CCl4-induced hepatotoxicity in mice were evaluated. RESULTS: The flavonol
quercitrin and rosmarinic acid were major in the F. indica, C. procera and S.
imbricata samples, while rutin prevailed in that of Z. hamiense. The ethanolic
and methanolic extracts showed noticeable DPPH radical-scavenging activity as
compared to ascorbic acid. Assessment of liver enzymes revealed that oral
administration of the extracts did not show any evidence of hepatotoxicity.
Moreover, protection against CCl4-induced liver damage was evident upon
administration of three plants extracts namely, F. indica, C. procera and S.
imbricata. CONCLUSION: Overall, hepatotoxicity induced by CCl4 was effectively
prevented by the three plants extracts through scavenging of free radicals and by
boosting the antioxidant capacity of the liver. The protective effect of the
plants could be attributed to their high quercitrin and rosmarinic acid contents.
PMID- 26552872
TI - Glycyrrhizic acid, active component from Glycyrrhizae radix, prevents toxicity of
graphene oxide by influencing functions of microRNAs in nematode Caenorhabditis
elegans.
AB - We investigated effects of pretreatment with Glycyrrhizae radix (GR) or its
specific components on toxicity of graphene oxide (GO) in Caenorhabditis elegans.
GR pretreatment prevented GO toxicity on function of both primary and secondary
targeted organs. Among active components in GR, the beneficial effects of GR were
attributable to presence of glycyrrhizic acid. Glycyrrhizic acid pretreatment
suppressed translocation of GO into secondary targeted organs through intestinal
barrier. Glycyrrhizic acid pretreatment recovered expression patterns of
dysregulated microRNAs (miRNAs) induced by GO, and genes required for oxidative
stress control acted as targeted genes for some of these miRNAs. Among these
miRNAs, mir-360 mutation enhanced beneficial effects of glycyrrhizic acid. We
hypothesize that glycyrrhizic acid may prevent GO toxicity and translocation by
influencing functions of miRNAs which upstream regulate functions of their
targeted genes. Furthermore, glycyrrhizic acid had potential to extend lifespan,
and to suppress accelerated aging process induced by GO. FROM THE CLINICAL
EDITOR: Exposure to graphene oxide may pose toxic effects to health, as suggested
in animal studies. In this article, the authors showed that the use of
glycyrrhizae radix (GR) prevented toxicity of graphene oxide in Caenorhabditis
elegans. These results may provide novel strategies in the reducing potential
side effects of nanoparticles.
PMID- 26552873
TI - Effect of genistein added to bull semen after thawing on pronuclear and sperm
quality.
AB - The aim of this research was to study the effect of different genistein
treatments on bull sperm after thawing on pronuclear formation after in vitro
fertilization (IVF) and on different sperm quality variables. Three experiments
were performed. In Experiment 1, three treatments (Control, sperm incubation for
1h at 37 degrees C with or without genistein) and two sperm concentrations
during IVF (1 or 3 * 10(6)sperm/mL) were evaluated to study the influence of
genistein on pronuclear formation (PNF). Sperm incubation for 1h before IVF
reduced PNF regardless of sperm concentration. However, after sperm incubation
and with 3 * 10(6)sperm/mL in IVF, the genistein treatment group had greater
fertilization rates than the untreated group. In Experiment 2, six treatments
plus the control group were performed to study the effect of genistein (presence
or not) and incubation conditions (30 min at 37 degrees C, 1h at 27 degrees C
or at 37 degrees C) on PNF using 3 * 10(6)sperm/mL for IVF. When incubation time
was reduced to 30 min, PNF rate from the genistein treatment group was no
different from either the control group or in the group in which incubation
occurred for 1h at 27 degrees C. In Experiment 3, the effect of several
genistein treatments (control; genistein treatment for 30 min of incubation at 37
degrees C; genistein treatment for 1h of incubation at 27 degrees C) on sperm
motility, viability and DNA fragmentation were evaluated. Genistein did not
improve sperm motility and, depending on the experimental group or time, it
either reduced or had no effect on sperm motility. Genistein treatment did not
improve sperm viability after 5h of incubation. However, genistein treatment for
1h at 27 degrees C decreased sperm DNA fragmentation compared with the control
group after 5h of sperm incubation. In conclusion, the treatment of bull sperm
with genistein for 1h at 27 degrees C could decrease sperm DNA fragmentation,
although PNF rate after IVF and sperm motility were reduced.
PMID- 26552874
TI - Antibacterial action against food-borne microorganisms and antioxidant activity
of carvacrol-rich oil from Lippia origanoides Kunth.
AB - BACKGROUND: Lippia origanoides Kunth from Northeast Brazil is a plant of pleasant
odor used by local people as a food seasoning in substitution the oregano where
its carvacrol-rich oil has showed significant antimicrobial activity against
human pathogens. METHODS: GC and GC-MS analyzed the plant oil composition and its
antibacterial activity was evaluated by disk diffusion and microdilution broth
methods. The determination of oil antioxidant activity was made by DPPH radical
scavenging assay. Oil toxicity was performed on mice. RESULTS: The main
constituents of the oil were carvacrol (47.2%), thymol (12.8%), p-cymene (9.7%),
and p-methoxythymol (7.4%). The oil was active against the bacteria of Bacillus
cereus, B. subtilis, and Salmonella typhimurium, except for Pseudomonas
aeruginosa. The antioxidant activity has displayed a high dose-response (r(2) =
0.92), with the inhibition of DPPH radical from 15 to 82%, at concentrations from
5 to 50 MUg/mL, and also by the beta-carotene bleaching assay, which showed a
high inhibition of 85.2 +/- 6.8 %, corresponding to about 80% of the inhibition
of Trolox (93.4 +/- 0.7%), used as a standard. The lethal dose (LD50) of the oil
was determined in 1673.84 mg mL(-1). CONCLUSION: The results confirmed that the
oil of L. origanoides could be utilized for the prevention of food bacterial
growth, and as an antioxidative agent for retardation of food oxidation process.
The oil has low toxicity, allowing its application in the food industry.
Graphical Abstract Aerial parts of Lippia origanoides Kunth.
PMID- 26552875
TI - Primary mucinous adenocarcinoma of the female urethra: a contemporary
clinicopathologic analysis.
AB - Primary mucinous adenocarcinoma of the female urethra is very rare and may lead
to both diagnostic and therapeutic challenges. Although primary mucinous
adenocarcinoma of the prostate and prostatic urethra has been well characterized
in men, this is the largest clinicopathologic study to date of primary mucinous
adenocarcinoma of the female urethra. A search was made through the files of 2
major academic institutions for cases of confirmed primary mucinous
adenocarcinoma arising from the female urethra. Tumors arising from adjacent
organs were excluded both clinically and pathologically in all cases. Five cases
were identified. The mean patient age was 67 years (range, 54-74 years). All
patients presented with a polypoid/papillary mass arising from the urethra.
Pathologic stages were as follows: pT4 3 (60%) of 5 cases; pT3 1 (20%) of 5
cases, and pT2 1 (20%) of 5 cases. Immunohistochemical stains for GATA3, p63,
CK7, CK20, CDX2, ER, PAX8, and beta-catenin were performed on all cases.
Immunohistochemical stains were positive in the tumor cells for CDX2 in 4/5 (80%)
cases; focally positive for CK20 in 4/5 (80%) cases; focally positive for CK7 in
4/5 cases (80%); and negative for p63, GATA3, ER, PAX8 and beta-catenin in all
cases. In the 4 patients with available follow-up data, mean follow-up was 25
months (range, 4-54 months). It is critical for pathologists to be aware of this
entity in light of potential diagnostic pitfalls and therapeutic implications.
PMID- 26552876
TI - Correction.
PMID- 26552877
TI - Coarctation of the aorta with left pulmonary artery stenosis: a rare association
diagnosed with ECG-gated multislice dual-source CT angiography.
AB - We report an extremely rare case of coarctation of the aorta with associated left
pulmonary artery stenosis. This violates the traditional fetal flow pattern
theory. Two-dimensional echocardiography, although being the initial imaging
modality for diagnosing coarctation of the aorta, is limited in the demonstration
of branch pulmonary arteries. Retrospective ECG-gated multidetector CT
angiography is a non-invasive imaging technique for comprehensive assessment of
the site, length and severity of the stenosed aortic segment. It is also helpful
in differentiation of an interrupted aortic arch from severe coarctation.
Associated pulmonary arterial and venous system anomalies can be evaluated, which
dictates the management of aortic coarctation.
PMID- 26552878
TI - Pneumobilia with gastric outlet obstruction.
AB - Complications of peptic ulcer disease (PUD) like gastric outlet obstruction (GOO)
and biliary fistula have become extremely rare with the advent of proton-pump
inhibitors.This is a case of PUD presenting with GOO, a cholecystoduodenal
fistula discovered incidentally on upper gastrointestinal endoscopy, and the
presence of pneumobilia on a contrast-enhanced CT of the abdomen. A
gastrojejunostomy with internal pyloric exclusion was performed. Since the
patient did not have any signs of biliary tract disease,we decided not to operate
on the fistula to prevent injury to the bile duct. The patient had an uneventful
recovery.
PMID- 26552879
TI - Spontaneous resolution of a recurrent axillary cystic hygroma following acute
infection.
AB - We report a case of spontaneous resolution of a recurrent axillary cystic hygroma
in a 4-year-old boy. He presented with a 1-year history of a cystic lump in the
left axilla, which intermittently changed in size. Ultrasound suggested it was a
lipoma, with raised suspicions of vascular malformation. Scans were discussed in
a multidisciplinary meeting and provisional diagnosis of lymphocele or slow flow
lymphovascular malformation was made. It was surgically excised and histology
confirmed the lesion to be a cystic hygroma. However, it recurred within 3 weeks.
The patient was booked for aspiration and treatment with sclerotic agent OK 432.
He developed acute infection in the cystic hygroma a week before surgical
intervention and was treated with antibiotics for 5 days by his general
practitioner. Acute infection led to complete spontaneous resolution of the
cystic hygroma within a week. There are no other reported cases in which
recurrent cystic hygroma has resolved after a week of acute infection.
PMID- 26552880
TI - Soft-mask fabrication of gallium arsenide nanomembranes for integrated quantum
photonics.
AB - We report on the fabrication of quantum photonic integrated circuits based on
suspended GaAs membranes. The fabrication process consists of a single
lithographic step followed by inductively coupled-plasma dry etching through an
electron-beam-resist mask and wet etching of a sacrificial layer. This method
does not require depositing, etching, and stripping a hard mask, greatly reducing
fabrication time and costs, while at the same time yielding devices of excellent
structural quality. We discuss in detail the procedures for cleaning the resist
residues caused by the plasma etching and present a statistical analysis of the
etched feature size after each fabrication step.
PMID- 26552881
TI - Variation in genomic methylation in natural populations of Populus simonii is
associated with leaf shape and photosynthetic traits.
AB - DNA methylation, one of the best-studied types of chromatin modification,
suppresses the expression of transposable elements, pseudogenes, repetitive
sequences, and individual genes. However, the extent and variation of genome-wide
DNA methylation in natural populations of plants remain relatively unknown. To
investigate variation in DNA methylation and whether this variation associates
with important plant traits, including leaf shape and photosynthesis, 20 413 DNA
methylation sites were examined in a poplar association population (505
individuals) using methylation-sensitive amplification polymorphism (MSAP)
technology. Calculation of epi-population structure and kinships assigned
individuals into subsets (K=3), revealing that the natural population of P.
simonii consists of three subpopulations. Population epigenetic distance and
geographic distance showed a significant correlation (r=0.4688, P<0.001),
suggesting that environmental factors may affect epigenetics. Single-marker
approaches were also used to identify significant marker-trait associations,
which found 1087 high-confidence DNA methylation markers associated with
different phenotypic traits explaining ~5-15% of the phenotypic variance. Among
these loci, 147 differentially methylated fragments were obtained by sequencing,
representing 130 candidate genes. Expression analysis of six candidate genes
indicated that these genes might play important roles in leaf development and
regulation of photosynthesis. This study provides association analysis to study
the effects of DNA methylation on plant development and these data indicate that
epigenetics bridges environmental and genetic factors in affecting plant growth
and development.
PMID- 26552882
TI - Balance between carbon gain and loss under long-term drought: impacts on foliar
respiration and photosynthesis in Quercus ilex L.
AB - Terrestrial carbon exchange is a key process of the global carbon cycle
consisting of a delicate balance between photosynthetic carbon uptake and
respiratory release. We have, however, a limited understanding how long-term
decreases in precipitation induced by climate change affect the boundaries and
mechanisms of photosynthesis and respiration. We examined the seasonality of
photosynthetic and respiratory traits and evaluated the adaptive mechanism of the
foliar carbon balance of Quercus ilex L. experiencing a long-term rainfall
exclusion experiment. Day respiration (Rd) but not night respiration (Rn) was
generally higher in the drought treatment leading to an increased Rd/Rn ratio.
The limitation of mesophyll conductance (gm) on photosynthesis was generally
stronger than stomatal limitation (gs) in the drought treatment, reflected in a
lower gm/gs ratio. The peak photosynthetic activity in the drought treatment
occurred in an atypical favourable summer in parallel with lower Rd/Rn and higher
gm/gs ratios. The plant carbon balance was thus strongly improved through: (i)
higher photosynthetic rates induced by gm; and (ii) decreased carbon losses
mediated by Rd. Interestingly, photosynthetic potentials (Vc,max, Jmax, and TPU)
were not affected by the drought treatment, suggesting a dampening effect on the
biochemical level in the long term. In summary, the trees experiencing a 14-year
long drought treatment adapted through higher plasticity in photosynthetic and
respiratory traits, so that eventually the atypical favourable growth period was
exploited more efficiently.
PMID- 26552883
TI - The impact of abiotic factors on cellulose synthesis.
AB - As sessile organisms, plants require mechanisms to sense and respond to changes
in their environment, including both biotic and abiotic factors. One of the most
common plant adaptations to environmental changes is differential regulation of
growth, which results in growth either away from adverse conditions or towards
more favorable conditions. As cell walls shape plant growth, this differential
growth response must be accompanied by alterations to the plant cell wall. Here,
we review the impact of four abiotic factors (osmotic conditions, ionic stress,
light, and temperature) on the synthesis of cellulose, an important component of
the plant cell wall. Understanding how different abiotic factors influence
cellulose production and addressing key questions that remain in this field can
provide crucial information to cope with the need for increased crop production
under the mounting pressures of a growing world population and global climate
change.
PMID- 26552884
TI - A genome-wide association study of a global rice panel reveals resistance in
Oryza sativa to root-knot nematodes.
AB - The root-knot nematode Meloidogyne graminicola is one of the most serious
nematode pests worldwide and represents a major constraint on rice production.
While variation in the susceptibility of Asian rice (Oryza sativa) exists, so far
no strong and reliable resistance has been reported. Quantitative trait loci for
partial resistance have been reported but no underlying genes have been tagged or
cloned. Here, 332 accessions of the Rice Diversity Panel 1 were assessed for gall
formation, revealing large variation across all subpopulations of rice and higher
susceptibility in temperate japonica accessions. Accessions Khao Pahk Maw and LD
24 appeared to be resistant, which was confirmed in large pot experiments where
no galls were observed. Detailed observations on these two accessions revealed no
nematodes inside the roots 2 days after inoculation and very few females after 17
days (5 in Khao Pahk Maw and <1 in LD 24, in comparison with >100 in the
susceptible controls). These two cultivars appear ideal donors for breeding root
knot nematode resistance. A genome-wide association study revealed 11
quantitative trait loci, two of which are close to epistatic loci detected in the
Bala x Azucena population. The discussion highlights a small number of candidate
genes worth exploring further, in particular many genes with lectin domains and
genes on chromosome 11 with homology to the Hordeum Mla locus.
PMID- 26552885
TI - The auxin-inducible degradation (AID) system enables versatile conditional
protein depletion in C. elegans.
AB - Experimental manipulation of protein abundance in living cells or organisms is an
essential strategy for investigation of biological regulatory mechanisms. Whereas
powerful techniques for protein expression have been developed in Caenorhabditis
elegans, existing tools for conditional disruption of protein function are far
more limited. To address this, we have adapted the auxin-inducible degradation
(AID) system discovered in plants to enable conditional protein depletion in C.
elegans. We report that expression of a modified Arabidopsis TIR1 F-box protein
mediates robust auxin-dependent depletion of degron-tagged targets. We document
the effectiveness of this system for depletion of nuclear and cytoplasmic
proteins in diverse somatic and germline tissues throughout development. Target
proteins were depleted in as little as 20-30 min, and their expression could be
re-established upon auxin removal. We have engineered strains expressing TIR1
under the control of various promoter and 3' UTR sequences to drive tissue
specific or temporally regulated expression. The degron tag can be efficiently
introduced by CRISPR/Cas9-based genome editing. We have harnessed this system to
explore the roles of dynamically expressed nuclear hormone receptors in molting,
and to analyze meiosis-specific roles for proteins required for germ line
proliferation. Together, our results demonstrate that the AID system provides a
powerful new tool for spatiotemporal regulation and analysis of protein function
in a metazoan model organism.
PMID- 26552886
TI - Flow dynamics control the location of sprouting and direct elongation during
developmental angiogenesis.
AB - Angiogenesis is tightly controlled by a number of signalling pathways. Although
our understanding of the molecular mechanisms involved in angiogenesis has
rapidly increased, the role that biomechanical signals play in this process is
understudied. We recently developed a technique to simultaneously analyse flow
dynamics and vascular remodelling by time-lapse microscopy in the capillary
plexus of avian embryos and used this to study the hemodynamic environment
present during angiogenic sprouting. We found that sprouts always form from a
vessel at lower pressure towards a vessel at higher pressure, and that sprouts
form at the location of a shear stress minimum, but avoid locations where two
blood streams merge even if this point is at a lower level of shear stress than
the sprouting location. Using these parameters, we were able to successfully
predict sprout location in quail embryos. We also found that the pressure
difference between two vessels is permissive to elongation, and that sprouts will
either change direction or regress if the pressure difference becomes negative.
Furthermore, the sprout elongation rate is proportional to the pressure
difference between the two vessels. Our results show that flow dynamics are
predictive of the location of sprout formation in perfused vascular networks and
that pressure differences across the interstitium can guide sprout elongation.
PMID- 26552888
TI - DAF-18/PTEN locally antagonizes insulin signalling to couple germline stem cell
proliferation to oocyte needs in C. elegans.
AB - During development, stem cell populations rapidly proliferate to populate the
expanding tissues and organs. During this phase, nutrient status, by systemically
affecting insulin/IGF-1 signalling, largely dictates stem cell proliferation
rates. In adults, however, differentiated stem cell progeny requirements are
generally reduced and vary according to the spatiotemporal needs of each tissue.
We demonstrate here that differential regulation of germline stem cell
proliferation rates in Caenorhabditis elegans adults is accomplished through
localized neutralization of insulin/IGF-1 signalling, requiring DAF-18/PTEN, but
not DAF-16/FOXO. Indeed, the specific accumulation of oocytes, the terminally
differentiated stem cell progeny, triggers a feedback signal that locally
antagonizes insulin/IGF-1 signalling outputs in the germ line, regardless of
their systemic levels, to block germline stem cell proliferation. Thus, during
adulthood, stem cells can differentially respond within tissues to otherwise
equal insulin/IGF-1 signalling inputs, according to the needs for production of
their immediate terminally differentiated progeny.
PMID- 26552887
TI - Neural crest cell-autonomous roles of fibronectin in cardiovascular development.
AB - The chemical and mechanical properties of extracellular matrices (ECMs) modulate
diverse aspects of cellular fates; however, how regional heterogeneity in ECM
composition regulates developmental programs is not well understood. We
discovered that fibronectin 1 (Fn1) is expressed in strikingly non-uniform
patterns during mouse development, suggesting that regionalized synthesis of the
ECM plays cell-specific regulatory roles during embryogenesis. To test this
hypothesis, we ablated Fn1 in the neural crest (NC), a population of multi-potent
progenitors expressing high levels of Fn1. We found that Fn1 synthesized by the
NC mediated morphogenesis of the aortic arch artery and differentiation of NC
cells into vascular smooth muscle cells (VSMCs) by regulating Notch signaling. We
show that NC Fn1 signals in an NC cell-autonomous manner through integrin
alpha5beta1 expressed by the NC, leading to activation of Notch and
differentiation of VSMCs. Our data demonstrate an essential role of the localized
synthesis of Fn1 in cardiovascular development and spatial regulation of Notch
signaling.
PMID- 26552889
TI - In vivo quantitative imaging of photoassimilate transport dynamics and allocation
in large plants using a commercial positron emission tomography (PET) scanner.
AB - BACKGROUND: Although important aspects of whole-plant carbon allocation in crop
plants (e.g., to grain) occur late in development when the plants are large,
techniques to study carbon transport and allocation processes have not been
adapted for large plants. Positron emission tomography (PET), developed for
dynamic imaging in medicine, has been applied in plant studies to measure the
transport and allocation patterns of carbohydrates, nutrients, and phytohormones
labeled with positron-emitting radioisotopes. However, the cost of PET and its
limitation to smaller plants has restricted its use in plant biology. Here we
describe the adaptation and optimization of a commercial clinical PET scanner to
measure transport dynamics and allocation patterns of (11)C-photoassimilates in
large crops. RESULTS: Based on measurements of a phantom, we optimized instrument
settings, including use of 3-D mode and attenuation correction to maximize the
accuracy of measurements. To demonstrate the utility of PET, we measured (11)C
photoassimilate transport and allocation in Sorghum bicolor, an important staple
crop, at vegetative and reproductive stages (40 and 70 days after planting; DAP).
The (11)C-photoassimilate transport speed did not change over the two
developmental stages. However, within a stem, transport speeds were reduced
across nodes, likely due to higher (11)C-photoassimilate unloading in the nodes.
Photosynthesis in leaves and the amount of (11)C that was exported to the rest of
the plant decreased as plants matured. In young plants, exported (11)C was
allocated mostly (88 %) to the roots and stem, but in flowering plants (70 DAP)
the majority of the exported (11)C (64 %) was allocated to the apex. CONCLUSIONS:
Our results show that commercial PET scanners can be used reliably to measure
whole-plant C-allocation in large plants nondestructively including, importantly,
allocation to roots in soil. This capability revealed extreme changes in carbon
allocation in sorghum plants, as they advanced to maturity. Further, our results
suggest that nodes may be important control points for photoassimilate
distribution in crops of the family Poaceae. Quantifying real-time carbon
allocation and photoassimilate transport dynamics, as demonstrated here, will be
important for functional genomic studies to unravel the mechanisms controlling
phloem transport in large crop plants, which will provide crucial insights for
improving yields.
PMID- 26552891
TI - Treatment of recalcitrant granuloma annulare (GA) with adalimumab: A single
center, observational study.
AB - BACKGROUND: Generalized or disseminated granuloma annulare (GA) is
therapeutically challenging. Adalimumab, a tumor necrosis factor-alpha
antagonist, has recently been used to treat GA. OBJECTIVE: We sought to evaluate
adalimumab's efficacy in treating GA. METHODS: We identified 7 patients with
physician-verified GA who were treated with adalimumab. Primary endpoints were
improvements in GA Investigator Global Assessment score and body surface area.
Secondary end points included erythema and induration improvement. RESULTS: Seven
adults, ages 51 to 77 years, were included. All patients' GA Investigator Global
Assessment scores improved by 2 or greater. We found significant improvements in
body surface area, erythema, and induration (average improvements by 87%, 88%,
and 95%, respectively). Two patients required increases in adalimumab frequency.
Two others noted GA recurrence; 1 restarted adalimumab and cleared again. Adverse
events were minimal. LIMITATIONS: Conclusions are limited because of small sample
size and observational nature of the study. CONCLUSION: Our results suggest that
adalimumab could be an effective and well-tolerated treatment for GA. It is a
particularly attractive therapy for patients who have generalized or disseminated
GA.
PMID- 26552892
TI - Reflections on the Nobel Prize for Medicine 2015--The Public Health Legacy and
Impact of Avermectin and Artemisinin.
AB - The award of the Nobel Prize to Dr Bill Campbell and Professor Satoshi Omura for
their role in the discovery of avermectin and Professor Youyou Tu for her work on
the development of artemisinin has been universally welcomed by the International
Health community for what the Nobel Committee described as 'The discoveries of
Avermectin and Artemisinin have revolutionized therapy for patients suffering
from devastating parasitic diseases. Campbell, Omura and Tu have transformed the
treatment of parasitic diseases. The global impact of their discoveries and the
resulting benefit to mankind are immeasurable'.
PMID- 26552893
TI - Geographical distribution of Dermacentor marginatus and Dermacentor reticulatus
in Europe.
AB - The goal of this paper is to present up-to-date maps depicting the geographical
distribution of Dermacentor species in Europe based on georeferenced sampling
sites. Therefore, a dataset was compiled, resulting in 1286 D. marginatus
(Sulzer, 1776) and 1209 D. reticulatus (Fabricius, 1794) locations. Special
emphasis is given to the region of the European Alps depicting a presumable
climate barrier of the mountains and to overlaps in the distribution of both
species as well as on the situation in eastern European countries. For the latter
newly described Dermacentor findings comprise 59 locations in Romania and 62
locations in Ukraine. The geographical distributions of both species in Europe
range from Portugal to Ukraine (and continue to the east of Kazakhstan). Although
it is well known that D. marginatus is adapted to a warmer and drier climate at
more southern latitudes and D. reticulatus to a moderately moist climate at more
northern latitudes, the distribution limits of both species were not well known.
Here, the northern and southern distribution limits for both species in Europe,
as determined from the georeferenced database, were specified for D. marginatus
by the belt of 33-51 degrees N latitude and for D. reticulatus by the belt of 41
57 degrees N latitude. Thus, overlapping species distributions were found
between 41 degrees N and 51 degrees N.
PMID- 26552894
TI - Editorial.
PMID- 26552890
TI - Endometrial stem/progenitor cells: the first 10 years.
AB - BACKGROUND: The existence of stem/progenitor cells in the endometrium was
postulated many years ago, but the first functional evidence was only published
in 2004. The identification of rare epithelial and stromal populations of
clonogenic cells in human endometrium has opened an active area of research on
endometrial stem/progenitor cells in the subsequent 10 years. METHODS: The
published literature was searched using the PubMed database with the search terms
'endometrial stem cells and menstrual blood stem cells' until December 2014.
RESULTS: Endometrial epithelial stem/progenitor cells have been identified as
clonogenic cells in human and as label-retaining or CD44(+) cells in mouse
endometrium, but their characterization has been modest. In contrast, endometrial
mesenchymal stem/stromal cells (MSCs) have been well characterized and show
similar properties to bone marrow MSCs. Specific markers for their enrichment
have been identified, CD146(+)PDGFRbeta(+) (platelet-derived growth factor
receptor beta) and SUSD2(+) (sushi domain containing-2), which detected their
perivascular location and likely pericyte identity in endometrial basalis and
functionalis vessels. Transcriptomics and secretomics of SUSD2(+) cells confirm
their perivascular phenotype. Stromal fibroblasts cultured from endometrial
tissue or menstrual blood also have some MSC characteristics and demonstrate
broad multilineage differentiation potential for mesodermal, endodermal and
ectodermal lineages, indicating their plasticity. Side population (SP) cells are
a mixed population, although predominantly vascular cells, which exhibit adult
stem cell properties, including tissue reconstitution. There is some evidence
that bone marrow cells contribute a small population of endometrial epithelial
and stromal cells. The discovery of specific markers for endometrial
stem/progenitor cells has enabled the examination of their role in endometrial
proliferative disorders, including endometriosis, adenomyosis and Asherman's
syndrome. Endometrial MSCs (eMSCs) and menstrual blood stromal fibroblasts are an
attractive source of MSCs for regenerative medicine because of their relative
ease of acquisition with minimal morbidity. Their homologous and non-homologous
use as autologous and allogeneic cells for therapeutic purposes is currently
being assessed in preclinical animal models of pelvic organ prolapse and phase
I/II clinical trials for cardiac failure. eMSCs and stromal fibroblasts also
exhibit non-stem cell-associated immunomodulatory and anti-inflammatory
properties, further emphasizing their desirable properties for cell-based
therapies. CONCLUSIONS: Much has been learnt about endometrial stem/progenitor
cells in the 10 years since their discovery, although several unresolved issues
remain. These include rationalizing the terminology and diagnostic
characteristics used for distinguishing perivascular stem/progenitor cells from
stromal fibroblasts, which also have considerable differentiation potential. The
hierarchical relationship between clonogenic epithelial progenitor cells,
endometrial and decidual SP cells, CD146(+)PDGFR-beta(+) and SUSD2(+) cells and
menstrual blood stromal fibroblasts still needs to be resolved. Developing more
genetic animal models for investigating the role of endometrial stem/progenitor
cells in endometrial disorders is required, as well as elucidating which bone
marrow cells contribute to endometrial tissue. Deep sequencing and epigenetic
profiling of enriched populations of endometrial stem/progenitor cells and their
differentiated progeny at the population and single-cell level will shed new
light on the regulation and function of endometrial stem/progenitor cells.
PMID- 26552895
TI - Development of an endoluminal intestinal attachment for a clinically applicable
distraction enterogenesis device.
AB - PURPOSE: Previous methods of distraction enterogenesis have relied upon blind
ending intestinal segments or transmural device fixation, requiring multiple
operations and potential bowel injury. We hypothesized that using a novel
attachment would allow reversible device coupling to the luminal bowel surface,
achieving effective endoluminal distraction. METHODS: A telescopic hydraulic
device was designed with latex balloon attachments covered with high-friction
mesh and a dilating fenestrated elastic mask (DFM attachment), allowing mesh-to
mucosa contact only with inflation. Yorkshire pigs underwent jejunal Roux-en-Y
limb creation and device placement via jejunostomy. Devices underwent 3 cycles of
balloon inflation and hydraulic extension/retraction per day for 7 days and then
explanted and studied for efficacy. RESULTS: DFM attachment allowed reversible,
high-strength endoluminal coupling without tissue injury or reduction in bowel
perfusion. After 7 day implant, distracted bowel achieved a 44 +/- 2% increase in
length vs. fed, nondistracted bowel, corresponding to a gain of 7.1 +/- 0.3 cm.
Distracted bowel demonstrated increased epithelial cell proliferation vs. control
bowel. Attachment sites demonstrated villus flattening, increased crypt depth,
thicker muscularis mucosa, and unchanged muscularis propria thickness vs.
CONCLUSION: Novel high-strength, reversible attachments enabled fully endoluminal
distraction enterogenesis, achieving length gains comparable to open surgical
techniques. This approach may allow development of clinically applicable
technology for SBS treatment.
PMID- 26552896
TI - Endoscopic button gastrostomy: Comparing a sutured endoscopic approach to the
current techniques.
AB - PURPOSE: Button gastrostomy is the preferred feeding device in children and can
be placed open or laparoscopically (LBG). Alternatively, a percutaneous
endoscopic gastrostomy (PEG) can be placed initially and exchanged for a button.
Endoscopic-assisted button gastrostomy (EBG) combines both techniques, using only
one incision and suturing the stomach to the abdominal wall. The long-term
outcomes and potential costs for EBG were compared to other techniques. METHODS:
Children undergoing EBG, LBG, and PEG (2010-2013) were compared. Patient
demographics, procedure duration/complications, and clinic and emergency room
(ER) visits for an eight-week follow-up period were compared. RESULTS: Patient
demographics were similar (32 patients/group). Mean procedure time (min) for EBG
was 38 +/- 9, compared to 58 +/- 20 for LBG and 31 +/- 10 for PEG (p<0.0001). The
most common complications were granulation tissue and infection with a trend
toward fewer infections in EBG group. Average number of ER visits was similar,
but PEG group had fewer clinic visits. 97% of PEG patients had subsequent visits
for exchange to button gastrostomy. CONCLUSIONS: EBG is safe and comparable to
LBG and PEG in terms of complications. It has a shorter procedure time than LBG
and does not require laparoscopy, device exchange, or subsequent fluoroscopic
confirmation, potentially reducing costs.
PMID- 26552897
TI - Effect of cell seeding on neotissue formation in a tissue engineered trachea.
AB - BACKGROUND: Surgical management of long segment tracheal disease is limited by a
paucity of donor tissue and poor performance of synthetic materials. A potential
solution is the development of a tissue-engineered tracheal graft (TETG) which
promises an autologous airway conduit with growth capacity. METHODS: We created a
TETG by vacuum seeding bone marrow-derived mononuclear cells (BM-MNCs) on a
polymeric nanofiber scaffold. First, we evaluated the role of scaffold porosity
on cell seeding efficiency in vitro. We then determined the effect of cell
seeding on graft performance in vivo using an ovine model. RESULTS: Seeding
efficiency of normal porosity (NP) grafts was significantly increased when
compared to high porosity (HP) grafts (NP: 360.3 +/- 69.19 * 10(3) cells/mm(2);
HP: 133.7 +/- 22.73 * 10(3) cells/mm(2); p<0.004). Lambs received unseeded (n=2)
or seeded (n=3) NP scaffolds as tracheal interposition grafts for 6 weeks. Three
animals were terminated early owing to respiratory complications (n=2 unseeded,
n=1 seeded). Seeded TETG explants demonstrated wound healing, epithelial
migration, and delayed stenosis when compared to their unseeded counterparts.
CONCLUSION: Vacuum seeding BM-MNCs on nanofiber scaffolds for immediate
implantation as tracheal interposition grafts is a viable approach to generate
TETGs, but further preclinical research is warranted before advocating this
technology for clinical application.
PMID- 26552898
TI - Highly efficient hyperentanglement concentration with two steps assisted by
quantum swap gates.
AB - We present a two-step hyperentanglement concentration protocol (hyper-ECP) for
polarization-spatial hyperentangled Bell states based on the high-capacity
character of hyperentanglement resorting to the swap gates, which is used to
obtain maximally hyperentangled states from partially hyperentangled pure states
in long-distance quantum communication. The swap gate, which is constructed with
the giant optical circular birefringence (GOCB) of a diamond nitrogen-vacancy
(NV) center embedded in a photonic crystal cavity, can be used to transfer the
information in one degree of freedom (DOF) between photon systems. By
transferring the useful information between hyperentangled photon pairs, more
photon pairs in maximally hyperentangled state can be obtained in our hyper-ECP,
and the success probability of the hyper-ECP is greatly improved. Moreover, we
show that the high-fidelity quantum gate operations can be achieved by mapping
the infidelities to heralded losses even in the weak coupling regime.
PMID- 26552899
TI - 2-Arylquinazolin-4(3H)-ones: A new class of alpha-glucosidase inhibitors.
AB - Twenty-five derivatives of 2-arylquinazolin-4(3H)-ones (1-25) were evaluated for
their yeast (Saccharomyces cerevisiae) alpha-glucosidase inhibitory activities.
All synthetic compounds, except 1 and 6, were found to be several hundred fold
more active (IC50 values in the range of 0.3+/-0.01-117.9+/-1.76MUM), than the
standard drug, acarbose (IC50=840+/-1.73MUM). The enzyme kinetic studies on the
most active compounds 12, 4, 19, and 13 were performed for the determination of
their modes of inhibition and dissociation constants Ki. Study of the modes of
inhibition of compounds 12, and 4 were also performed using molecular modeling
techniques. In brief, current study identifies a novel class of alpha-glucosidase
inhibitors which can be further studied for the treatment of hyperglycemia and
obesity.
PMID- 26552900
TI - Continuous-flow thermolysis for the preparation of vinylglycine derivatives.
AB - Syn sulfoxide elimination was carried out under continuous-flow conditions in a
mesofluidic thermolysis reactor. The design of the reactor enabled accurate
control of reaction time and conditions, affording a convenient scale-independent
procedure for the production of N,C-protected vinylglycine derivatives.
Thermolysis at 270 degrees C under 1000 psi of pressure in superheated toluene
enabled typical daily outputs ranging from 11 to 46 g per day with excellent
selectivities and ee (>97%). The various competitive reaction pathways were
studied and rationalized according to a computational study.
PMID- 26552902
TI - How fast is the reaction of hydrated electrons with graphene oxide in aqueous
dispersions?
AB - Understanding the mechanism of the reduction of graphene oxide (GO) is a key
question in graphene related materials science. Here, we investigate the kinetics
of the reaction of radiolytically generated hydrated electrons with GO in water.
The electron transfer proceeds on the ns time scale and not on the ps time scale,
as recently reported by Gengler et al. (Nat. Commun., 2013, 4, 2560).
PMID- 26552901
TI - A preliminary study of the effect of closed incision management with negative
pressure wound therapy over high-risk incisions.
AB - BACKGROUND: Certain postoperative wounds are recognised to be associated with
more complications than others and may be termed high-risk. Wound healing can be
particularly challenging following high-energy trauma where wound necrosis and
infection rates are high. Surgical incision for joint arthrodesis can also be
considered high-risk as it requires extensive and invasive surgery and
postoperative distal limb swelling and wound dehiscence are common. Recent human
literature has investigated the use of negative pressure wound therapy (NPWT)
over high-risk closed surgical incisions and beneficial effects have been noted
including decreased drainage, decreased dehiscence and decreased infection rates.
In a randomised, controlled study twenty cases undergoing distal limb high-energy
fracture stabilisation or arthrodesis were randomised to NPWT or control groups.
All cases had a modified Robert-Jones dressing applied for 72 h postoperatively
and NPWT was applied for 24 h in the NPWT group. Morphometric assessment of limb
circumference was performed at six sites preoperatively, 24 and 72 h
postoperatively. Wound discharge was assessed at 24 and 72 h. Postoperative
analgesia protocol was standardised and a Glasgow Composite Measure Pain Score
(GCPS) carried out at 24, 48 and 72 h. Complications were noted and differences
between groups were assessed. RESULTS: Percentage change in limb circumference
between preoperative and 24 and 72 h postoperative measurements was significantly
less at all sites for the NPWT group with exception of the joint proximal to the
surgical site and the centre of the operated bone at 72 h. Median discharge score
was lower in the NPWT group than the control group at 24 h. No significant
differences in GCPS or complication rates were noted. CONCLUSIONS: Digital
swelling and wound discharge were reduced when NPWT was employed for closed
incision management. Larger studies are required to evaluate whether this will
result in reduced discomfort and complication rates postoperatively.
PMID- 26552903
TI - Cardioversion of atrial fibrillation or atrial flutter into sinus rhythm reduces
nocturnal central respiratory events and unmasks obstructive sleep apnoea.
AB - AIMS: Sleep-disordered breathing (SDB), in particular obstructive sleep apnoea,
is associated with an increased risk of onset or recurrence of atrial
fibrillation (AF) and atrial flutter. This prospective study investigated the
relationship between restoration of sinus rhythm and SDB in patients with AF or
atrial flutter. METHODS AND RESULTS: 138 consecutive patients (age 67.8 +/- 10.3
years, 67.4 % male) with AF (86.2 %) or atrial flutter (13.8 %) were enrolled and
underwent multichannel cardiorespiratory polygraphy the night before and
immediately after electrical cardioversion (CV). None of the patients was treated
with ventilation therapy before or during the study. Overall prevalence of SDB
[apnoea-hypopnoea index (AHI) >=5/h] was 92 % and prevalence of moderate-to
severe SDB (AHI) >=15/h was 64 %. Within the first night after CV, AHI decreased
from 23.4 +/- 16.3 to 16.3 +/- 11.5/h, p < 0.001. This was due to a significant
decrease in central respiratory events, with a total reduction of patients
showing central sleep apnoea (n = 53 at baseline vs n = 23 immediately after CV;
p < 0.001). CONCLUSIONS: In conclusion, SDB represents a highly prevalent
comorbidity in patients with atrial arrhythmias. Through cardioversion, an
immediate reduction of SDB can be detected due to a significant reduction in
central respiratory events.
PMID- 26552904
TI - Antegrade transcatheter mitral valve-in-valve implantation with combined atrial
septal defect closure.
PMID- 26552905
TI - General practitioners' adherence to chronic heart failure guidelines regarding
medication: the GP-HF study.
AB - AIMS: The adherence to the guidelines for pharmacotherapy of chronic heart
failure (HF)-patients improves prognosis. Although general practitioners (GPs)
treat the majority of HF-patients, information about GPs' current guideline
adherence and their typical prescription rationales is sparse. METHODS AND
RESULTS: A three-stage study design was employed. In Stage I, 206 patient records
from 15 randomly chosen GP practices were analysed; 76 % of patients were
prescribed ACE-inhibitors or angiotensin receptor blockers (ACEIs/ARBs), 73 %
beta blocker (BBs), but only 18 % mineralocorticoid receptor antagonists (MRAs).
ACEI doses were at 62 % of the guideline recommended target doses, BBs at 46 %,
while MRAs were sufficiently highly dosed. The guideline adherence indicator (GAI
3) was only 22 %. In Stage II, GPs in all 15 practices were interviewed, and
health record documentation deficits and patients' contraindications were taken
into account. This increased the percentage of patients correctly prescribed
ACEIs/ARBs to 87 %, BBs to 84 % and GAI-3 to 56 %. MRAs exhibited the most
frequent contraindications, but remained underprescribed. Many GPs seemed not to
be aware of the therapeutic value of MRAs or the need to reach target doses of
the indicated drug classes. Patients-interviewed in Stage III-reported good
tolerability of HF-drugs and generally good compliance, although 11 %
discontinued HF-medication on their own. CONCLUSIONS: Guideline adherence of GPs
was higher than expected from literature and might be further improved by
highlighting MRAs as the third prognostically relevant drug class beside
ACEIs/ARBs and BBs and stronger emphasis on target doses.
PMID- 26552906
TI - Prediction and validation of DXA-derived appendicular lean soft tissue mass by
ultrasound in older adults.
AB - The purpose of this study was to develop regression-based prediction equations
for estimating dual-energy X-ray absorptiometry (DXA)-derived appendicular lean
soft tissue mass (aLM) using ultrasound and to investigate the validity of these
equations in 102 Caucasian adults aged 50 to 76 years. The subjects were randomly
separated into two groups: 71 in the model-development group (41 men and 30
women) and 31 in the cross-validation group (18 men and 13 women). aLM was
measured using a DXA, and muscle thickness (MT) was measured using ultrasound at
9 sites. Stepwise linear regression analysis was used to determine predictive
models for DXA-derived aLM from MT variables, sex, and age. A number of
ultrasound prediction equations for estimation of aLM were developed and then
cross-validated in a subsample of older adults. The results indicated that
ultrasound MT and MT * height can be used to accurately and reliably estimate DXA
derived aLM in older Caucasian adults.
PMID- 26552907
TI - Robotic-Assisted Surgery Improves the Quality of Total Mesorectal Excision for
Rectal Cancer Compared to Laparoscopy: Results of a Case-Controlled Analysis.
AB - BACKGROUND: The use of a robotic surgical system is claimed to allow precise
traction and counter-traction, especially in a narrow pelvis. Whether this
translates to improvement of the quality of the resected specimen is not yet
clear. The aim of the study was to compare the quality of the TME and the short
term oncological outcome between robotic and laparoscopic rectal cancer
resections. METHODS: 20 consecutive robotic TME performed in a single institution
for rectal cancer (Rob group) were matched 1:2 to 40 laparoscopic resections (Lap
group) for gender, body mass index (BMI), and distance from anal verge on rigid
proctoscopy. The quality of TME was assessed by 2 blinded and independent
pathologists and reported according to international standardized guidelines.
RESULTS: Both samples were well matched for gender, BMI (median 25.9 vs. 24.2
kg/m(2), p = 0.24), and level of the tumor (4.1 vs. 4.8 cm, p = 0.20). The
quality of the TME was better in the Robotic group (complete TME: 95 vs. 55 %; p
= 0.0003, nearly complete TME 5 vs. 37 %; p = 0.04, incomplete TME 0 vs. 8 %, p =
0.09). A trend for lower positive circumferential margin was observed in the
Robotic group (10 vs. 25 %, p = 0.1). CONCLUSIONS: These results suggest that
robotic-assisted surgery improves the quality of TME for rectal cancer. Whether
this translates to better oncological outcome needs to be further investigated.
PMID- 26552908
TI - Krukenberg Tumors of Gastric Origin: The Rationale of Surgical Resection and
Perioperative Treatments in a Multicenter Western Experience.
AB - BACKGROUND: In case of Krukenberg tumor (KT) of gastric origin it is
controversial and debated whether radical surgery in case of synchronous KT or
metastasectomy in case of metachronous ones is associated with additional
benefits. Role of perioperative treatments is unclear. METHODS: Among 2515 female
patients who were diagnosed with gastric cancer between January 1990 and December
2012 from 9 Italian centers, 63 presented simultaneously or developed KT as
recurrence. RESULTS: Thirty patients presented with synchronous KT, while 33
developed metachronous ovarian metastases during follow-up. The differences
between the two groups were analyzed and compared. The median age of 63 patients
was 48.0 years (range 31-71). Resection was possible in 53 patients (20
synchronous and 33 metachronous). Twelve patients in the synchronous group and 15
patients of the metachronous group underwent hyperthermic intraperitoneal
chemotherapy after resection of KT. All of them underwent adjuvant chemotherapy
after KT resection. The median survival for all population was 23 months (95 %
confidence interval, 7-39 months). The median survival time in the metachronous
group was 36 months, which was significantly longer than that in the synchronous
group, 17 months, p < 0.0001. CONCLUSIONS: KT remains a clinical challenge for
gastric cancer therapy. The extent of disease and feasibility of removal of the
metastatic lesion must be carefully evaluated prior to surgery to define the
patients group who could benefit most from a resection associated with
perioperative treatments.
PMID- 26552909
TI - Using Transcutaneous Laryngeal Ultrasonography (TLUSG) to Assess Post
thyroidectomy Patients' Vocal Cords: Which Maneuver Best Optimizes Visualization
and Assessment Accuracy?
AB - To assess vocal cord (VC) movement with transcutaneous laryngeal ultrasound
(TLUSG), three maneuvers, namely passive (quiet respiration), active (phonation),
and Valsalva maneuvers have been described. It remains unclear which maneuver or
using more maneuvers provides better visualization and assessment accuracy. We
prospectively evaluated 342 post-thyroidectomy patients from two centers. They
underwent TLUSG with direct laryngoscopic (DL) validation afterwards. During
TLUSG, patients were instructed to perform all three maneuvers (passive, active,
and Valsalva). VC visualization rate and accuracy between three maneuvers were
compared. Visualization rate tended to be higher in Valsalva maneuver than that
in other two maneuvers (92.1% vs. passive: 91.5%; active: 89.8%). While 19
patients had post-operative VC palsy, passive maneuver had lower test specificity
than active (94.3 vs. 97.6%, p = 0.01) and Valsalva maneuvers (94.3 vs. 97.4%, p
= 0.02). In assessable VCs, passive maneuver has a higher ability to
differentiate between mobile VCs and VC palsy (Area under ROC curve--passive:
0.942, active: 0.863, Valsalva: 0.893). TLUSG with more maneuvers did not improve
sensitivity or specificity. On applying TLUSG as a screening tool (i.e., only
selected patient with "unassessable" VCs or VCP on TLUSG for DL), Valsalva
maneuver (85.96%) saved more patients from DL than passive (81.87%) or active
(84.81%) maneuver. Passive maneuver has a higher ability to differentiate VC
palsy from normal. Using TLUSG as a screening tool, Valsalva was the preferred
maneuver as it was more specific, had high visualization rate, and saved more
patients from DL.
PMID- 26552910
TI - Parapharyngeal Metastasis of Papillary Thyroid Carcinoma.
AB - BACKGROUND: Nodal involvement of papillary thyroid carcinoma (PTC) commonly
occurs in the paratracheal region and the internal jugular chain. Lymph node
metastasis in the parapharyngeal space (PPS) is rare. In this report, we describe
our experience and surgical outcomes of patients with PPS metastasis of PTC.
METHODS: Clinical data of patients with PTC who underwent surgery at our
institution between January 2006 and December 2013 were retrospectively reviewed,
and 22 patients with PPS metastasis were enrolled. RESULTS: There were 2 primary
and 20 secondary cases of PPS metastasis. Involvement of the jugular nodes was
noted before or at the time of PPS metastasis detection in all cases. A
transcervical surgical approach with partial resection of the mandibular angle
was performed in 21 patients, while 1 patient underwent extirpation of the PPS
metastasis via a transoral approach. Although curative resection was performed in
21 patients, the PPS metastasis was not removable in 1 patient owing to an
invaded internal carotid artery at the skull base. Twelve and 6 patients had
locoregional and distant recurrence, respectively. Of the 12 patients with
locoregional recurrence, isolated locoregional recurrence in the PPS occurred in
1. Eight patients died of distant or locoregional recurrence, with a median
survival time of 91.7 months. CONCLUSIONS: For patients who experience recurrence
after thyroid surgery, the possibility of PPS metastasis should be considered. In
this series, all patients with PPS metastasis also had previous unilateral or
bilateral cervical metastasis. Despite curative attempt, most patients
experienced local or distant recurrence.
PMID- 26552911
TI - Recurrent Laryngeal Nerve Liberations and Reconstructions: A Single Institution
Experience.
AB - BACKGROUND: Recurrent laryngeal nerve (RLN) palsy rates vary from 0.5 to 10%,
even 20% in thyroid cancer surgery. The aim of this paper was to present our
experience with RLN liberations and reconstructions after various mechanisms of
injury. METHODS: Patients were treated in our institution from year 2000 to 2015.
First group (27 patients) had large benign goiters, locally advanced
thyroid/parathyroid carcinomas, or incomplete previous surgery of malignant
thyroid disease. Second group (5 patients) had reoperations due to RLN paralysis
on laryngoscopy. Liberations and reconstructions of injured RLNs were performed.
RESULTS: Surgical exploration of central compartment enabled identification of
the RLN injury mechanism. Liberations were performed in 11 patients, 2 months to
16 years after RLN injury, by removing misplaced ligations. Immediate or delayed
(18 months to 23 years) RLN reconstructions were performed in 21 patients, by
direct suture or ansa cervicalis-to-RLN anastomosis (ARA). RLN liberation
provided complete voice recovery within 3 weeks in all patients. Patients with
direct sutures had better phonation 1 month after reconstruction. Improved
phonation was observed 2-6 months after ARA in 43% of patients. CONCLUSIONS:
Vocal cords do not regain normal movement once being paralyzed after RLN
transection, but they restore tension during phonation by reconstruction. Nerve
liberation is a useful method which enables patients with RLN paresis/paralysis a
significant improvement in phonation, even complete voice recovery. Reinnervation
of vocal cords, using one of the mentioned techniques, should be a standard in
thyroid and parathyroid surgery, with aim to improve quality of patient's life.
PMID- 26552912
TI - Variation on a Theme: Alternative to Plastic Bag in ALPPS Procedures-Feasibility
and Clinical Safety of COVA+TM Membrane in ALPPS Procedure.
PMID- 26552913
TI - Evaluation of 64-Channel Contrast-Enhanced Multi-detector Row Computed Tomography
for Preoperative N Staging in cT2-4 Gastric Carcinoma.
AB - BACKGROUND: Preoperative N staging is essential for the best treatment planning
in patients with gastric carcinoma. The aim of this study was to evaluate the
accuracy of preoperative N staging using contrast-enhanced multi-detector row
computed tomography (CE-MDCT) in patients with resectable cT2-4 gastric
carcinoma. METHODS: A total of 218 patients who underwent a gastrectomy with D2
lymphadenectomy for previously untreated cT2-4 primary gastric carcinoma were
studied. Preoperative N staging was performed according to the 7th (UICC) TNM
Staging System using pre-specified criteria on a 64-channel CE-MDCT and was
compared with postoperative pathologic N staging. RESULTS: In all 218 patients, a
distal or total gastrectomy was performed. The overall accuracy of the
preoperative N staging was 46.3% (101/218), with the proportion of over- and
under-staging being 26.6% (58/218) and 27.1% (59/218), respectively. The
sensitivity, specificity, and accuracy for lymph node metastasis (>=pN1) were
79.1% (106/134), 50.0% (42/84), and 67.9% (148/218), respectively. The
sensitivity, specificity, and accuracy for multiple lymph node metastases (>=pN2)
were 80.2% (73/91), 68.5% (87/127), and 73.4% (160/218), respectively.
Multivariate analyses showed that macroscopic type 2 and >=6 cm-sized tumors were
associated with preoperative over-N staging, while macroscopic type 1/3 tumors
were associated with under-N staging. CONCLUSION: Preoperative N staging with
pinpoint accuracy is difficult. However, CE-MDCT offers a reasonably high
sensitivity and specificity for >=pN2 and may be useful for selecting candidates
for neoadjuvant therapies. The macroscopic type and size of the primary tumor may
affect the accuracy of preoperative N staging.
PMID- 26552914
TI - Late Recurrence After Radical Resection of Esophageal Cancer.
AB - BACKGROUND: Approximately 10-20 % of esophageal cancer patients in whom
recurrence is diagnosed experience late recurrence beyond 2 years after
esophagectomy. However, the risk of late recurrence is still unclear. The aim of
this study was to identify the risk factors of late recurrence for appropriate
postoperative surveillance. METHODS: A total of 447 patients underwent radical
esophagectomy and reconstruction for esophageal cancer from 2005 to 2014.
Patients who had recurrence beyond 2 years after esophagectomy were defined as
the late recurrence group and the remaining patients with recurrence as the early
recurrence group. A comparison of the clinicopathological factors and prognosis
was performed between patients with early recurrence, late recurrence, and no
recurrence. RESULTS: Recurrences were recognized in 117(26.2 %) of the 447
patients. Recurrence was diagnosed within 2 years after surgery in 103 patients
(88.0 %) and after 2 years in 14 patients (12.0 %). Patients with late recurrence
showed a favorable prognosis compared with those with early recurrence (P =
0.0131), and late recurrence was an independent factor associated with a
favorable prognosis after recurrence (HR 0.199, P = 0.025). In the comparison
between patients with late recurrence and those with no recurrence who had a
minimal recurrence-free survival of 2 years, pathological lymph node metastasis
at esophagectomy was found to be an independent predictor of late recurrence (HR
7.296, P = 0.043). CONCLUSIONS: Pathological lymph node metastasis at
esophagectomy is a risk factor of late recurrence for esophageal cancer, and a
close, lifelong follow-up is recommended for such patients.
PMID- 26552916
TI - Portrait of Dr. Ted M. Ross.
PMID- 26552915
TI - Effects of the Timing of Herbivory on Plant Defense Induction and Insect
Performance in Ribwort Plantain (Plantago lanceolata L.) Depend on Plant
Mycorrhizal Status.
AB - Plants often are exposed to antagonistic and symbiotic organisms both aboveground
and belowground. Interactions between above- and belowground organisms may occur
either simultaneously or sequentially, and jointly can determine plant responses
to future enemies. However, little is known about time-dependency of such
aboveground-belowground interactions. We examined how the timing of a 24 h period
of aboveground herbivory by Spodoptera exigua (1-8 d prior to later arriving
conspecifics) influenced the response of Plantago lanceolata and the performance
of later arriving conspecifics. We also examined whether these induced responses
were modulated by the arbuscular mycorrhizal fungus (AMF) Funneliformis mosseae.
The amount of leaf area consumed by later arriving herbivores decreased with time
after induction by early herbivores. Mycorrhizal infection reduced the relative
growth rate (RGR) of later arriving herbivores, associated with a reduction in
efficiency of conversion of ingested food rather than a reduction in relative
consumption rates. In non-mycorrhizal plants, leaf concentrations of the defense
compound catalpol showed a linear two-fold increase during the eight days
following early herbivory. By contrast, mycorrhizal plants already had elevated
levels of leaf catalpol prior to their exposure to early herbivory and did not
show any further increase following herbivory. These results indicate that AMF
resulted in a systemic induction, rather than priming of these defenses. AMF
infection significantly reduced shoot biomass of Plantago lanceolata. We conclude
that plant responses to future herbivores are not only influenced by exposure to
prior aboveground and belowground organisms, but also by when these prior
organisms arrive and interact.
PMID- 26552917
TI - Granulation of Non-filamentous Bulking Sludge Directed by pH, ORP and DO in an
Anaerobic/Aerobic/Anoxic SBR.
AB - In an anaerobic/aerobic/anoxic (A/O/A) sequencing batch reactor (SBR), non
filamentous bulking sludge granulated after the adjustment of cycle duration and
influent composition directed by pH, oxidation-reduction potential (ORP) and
dissolved oxygen (DO). The turning points and plateaux of pH, ORP and DO profiles
indicated the end of biochemical reactions, such as chemical oxygen demand (COD)
consumption, P release, ammonium oxidation, P uptake and denitrification. The
difference of nutrient concentration between the beginning and turning points
represented the actual treatment capability of the sludge. Non-filamentous
bulking with SVI30 of 255 mL g(-1) resulted in a huge biomass loss. After
regulation, the cycle duration was shortened from 310 to 195 min without
unnecessary energy input. In addition, the settling ability was obviously
improved as SVI30 reduced to 28 mL g(-1). Moreover, matured granules with an
average diameter of 600 MUm were obtained after 45 days, and simultaneous COD,
ammonium and phosphate (P) removal was also realized after granulation.
PMID- 26552918
TI - A rare case of ulcerative colitis exacerbated by VZV infection.
AB - A 16-years old man with severe ulcerative colitis (UC) was admitted to our
hospital. After initiating treatment with corticosteroid for UC, chicken pox
appeared. At the same time of appearance of chicken pox, the disease activity of
UC was exacerbated. After initiating the treatment with acyclovir, both chicken
pox and UC improved. Because colonoscopic findings revealed the remaining of
moderately active UC, initiating the treatment with infliximab could induce
clinical remission of UC without relapse of varicella-zoster virus (VZV)
infection. This is a very rare case of UC with concomitant VZV infection.
According to our report, the vaccination for VZV prior to immunosuppressive
treatments would be necessary for VZV naive patients with UC.
PMID- 26552920
TI - [Requirements for mycological diagnostics in accordance with the guideline of the
German Medical Association for quality assurance of medical laboratory tests].
AB - The ability of recognizing various clinical manifestations of mucocutaneous
mycosis, making a diagnosis, and establishing a treatment is part of a
dermatologist's daily routine. However, due to the fact that clinical
manifestations, laboratory diagnostics, and treatment are performed in one hand,
laboratory findings are properly classified and interpreted. Since new binding
guidelines of the German Medical Association on quality assurance measures in
medical laboratory testing came into force, there is much concern among
dermatologists of how to comply with these new regulations. It is the intention
of the authors to help our readers to implement these new rules in order to make
sure that mycological diagnostics continue to be part of a dermatologist's
professional work.
PMID- 26552919
TI - Inhibiting inducible miR-223 further reduces viable cells in human cancer cell
lines MCF-7 and PC3 treated by celastrol.
AB - BACKGROUND: Celastrol is a novel anti-tumor agent. Ways to further enhance this
effect of celastrol has attracted much research attention. METHODS AND RESULTS:
Here, we report that celastrol treatment can elevate miR-223 in human breast
cancer cell line MCF-7 and prostate cancer PC3. Down-regulating miR-223 could
increase the number of viable cells, yet it further reduced viable cells in
samples that were treated by celastrol; up-regulation of miR-223 displayed
opposite effects. Celastrol's miR-223 induction might be due to NF-kappaB
inhibition and transient mTOR activation: these two events occurred prior to miR
223 elevation in celastrol-treated cells. NF-kappaB inhibitor, like celastrol,
could induce miR-223; the induction of miR-223 by NF-kappaB inhibitor or
celastrol was reduced by the use of mTOR inhibitor. Finally and interestingly,
miR-223 also could affect NF-kappaB and mTOR and the effects were different
between cells treated or not treated with celastrol, thus providing an
explanation for differing effects of miR-223 alteration on cellular viability in
the presence of celastrol or not. CONCLUSIONS: For the first time, we disclose
that celastrol could induce miR-223 in breast and prostate cancer cells, and that
inhibiting miR-223 could further reduce the living cells in celastrol-treated
cancer cell lines. We thus provide a novel way to increase celastrol's anti
cancer effects.
PMID- 26552921
TI - Extracorporeal therapies in pediatric severe sepsis: findings from the pediatric
health-care information system.
AB - INTRODUCTION: Pediatric severe sepsis (PSS) continues to be a major health
problem. Extracorporeal therapies (ETs), defined as extracorporeal membrane
oxygenation (ECMO) and RRenal replacement therapyenal replacement therapy (RRT),
are becoming more available for utilization in a variety of health conditions. We
aim to describe (1) rates of utilization of ET in PSS, (2) outcomes for PSS
patients receiving ET, and (3) epidemiologic characteristics of patients
receiving ET. METHODS: We conducted a retrospective review of a prospectively
collected database. Data from the Pediatric Health Information System (PHIS)
database collected by the Children's Hospital Association (CHA) from 2004-2012
from 43 US children's hospitals' pediatric intensive care units (PICUs) were
used. Patients with PSS were defined by (1) International Classification of
Diseases, 9th Revision (ICD-9) codes reflecting severe sepsis and septic shock
and (2) ICD-9 codes of infection and organ dysfunction as defined by updated
Angus criteria. Among the patients with PSS, those with a PHIS flag of ECMO or
RRT were identified further as our main cohort. RESULTS: From 2004 to 2012,
636,842 patients were identified from 43 hospitals, and PSS prevalence was 7.7 %
(49,153 patients). Nine point eight percent (4795 patients) received at least one
form of ET, and the associated mortality rate was 39 %. Mortality rates were 47.8
% for those who received ECMO, 32.3 % in RRT, and 58.0 % in RRT + ECMO.
Underlying co-morbidities were found in 3745 patients (78.1 %) who received ET
(81 % for ECMO, 77.9 % in RRT, and 71.2 % in those who received both). There was
a statistically significant increase in ECMO utilization in patients with at
least three organ dysfunctions from 2004 to 2012 (6.9 % versus 10.3 %, P < 0.001)
while RRT use declined (24.5 % versus 13.2 %, P < 0.001). After 2009, there was a
significant increase in ECMO utilization (3.6 % in 2004-2008 versus 4.0 % in 2009
2012, P = 0.004). ECMO and RRT were used simultaneously in only 500 patients with
PSS (1 %). CONCLUSIONS: ETs were used in a significant portion of PSS patients
with multiple organ dysfunction syndrome (MODS) during this time period.
Mortality was significant and increased with increasing organ failure. ECMO use
in PSS patients with MODS increased from 2004 to 2012. Further evaluation of ET
use in PSS is warranted.
PMID- 26552922
TI - A novel N-terminal region of the membrane beta-hexosyltransferase: its role in
secretion of soluble protein by Pichia pastoris.
AB - The beta-hexosyltransferase (BHT) from Sporobolomyces singularis is a membrane
bound enzyme that catalyses transgalactosylation reactions to synthesize galacto
oligosaccharides (GOSs). To increase the secretion of the active soluble version
of this protein, we examined the uncharacterized novel N-terminal region (amino
acids 1-110), which included two predicted endogenous structural domains. The
first domain (amino acids 1-22) may act as a classical leader while a non
classical signal was located within the remaining region (amino acids 23-110). A
functional analysis of these domains was performed by evaluating the amounts of
the rBHT forms secreted by recombinant P. pastoris strains carrying combinations
of the predicted structural domains and the alpha mating factor (MFalpha) from
Saccharomyces cerevisiae as positive control. Upon replacement of the leader
domain (amino acids 1-22) by MFalpha (MFalpha-rBht(23-594)), protein secretion
increased and activity of both soluble and membrane-bound enzymes was improved 53
and 14-fold, respectively. Leader interference was demonstrated when MFalpha
preceded the putative classical rBHT(1-22) leader (amino acids 1-22), explaining
the limited secretion of soluble protein by P. pastoris (GS115 : : MFalpha-rBht(1
594)). To validate the role of the N-terminal domains in promoting protein
secretion, we tested the domains using a non-secreted protein, the anti-beta
galactosidase single-chain variable antibody fragment scFv13R4. The recombinants
carrying chimeras of the N-terminal 1-110 regions of rBHT preceding scFv13R4
correlated with the secretion strength of soluble protein observed with the rBHT
recombinants. Finally, soluble bioactive HIS-tagged and non-tagged rBHT (purified
to homogeneity) obtained from the most efficient recombinants (GS115 : : MFalpha
rBht(23-594)-HIS and GS115 : : MFalpha-rBht(23-594)) showed comparable activity
rates of GOS generation.
PMID- 26552924
TI - [A new dawn for psychiatry: focus on early detection and prevention of severe
mental illness].
PMID- 26552923
TI - A grid matrix-based Raman spectroscopic method to characterize different cell
milieu in biopsied axillary sentinel lymph nodes of breast cancer patients.
AB - Raman spectroscopy which is based upon inelastic scattering of photons has a
potential to emerge as a noninvasive bedside in vivo or ex vivo molecular
diagnostic tool. There is a need to improve the sensitivity and predictability of
Raman spectroscopy. We developed a grid matrix-based tissue mapping protocol to
acquire cellular-specific spectra that also involved digital microscopy for
localizing malignant and lymphocytic cells in sentinel lymph node biopsy sample.
Biosignals acquired from specific cellular milieu were subjected to an advanced
supervised analytical method, i.e., cross-correlation and peak-to-peak ratio in
addition to PCA and PC-LDA. We observed decreased spectral intensity as well as
shift in the spectral peaks of amides and lipid bands in the completely
metastatic (cancer cells) lymph nodes with high cellular density. Spectral
library of normal lymphocytes and metastatic cancer cells created using the
cellular specific mapping technique can be utilized to create an automated smart
diagnostic tool for bench side screening of sampled lymph nodes. Spectral library
of normal lymphocytes and metastatic cancer cells created using the cellular
specific mapping technique can be utilized to develop an automated smart
diagnostic tool for bench side screening of sampled lymph nodes supported by
ongoing global research in developing better technology and signal and big data
processing algorithms.
PMID- 26552925
TI - [The attitude of the general public towards (discharged) psychiatric patients:
results from NEMESIS-2].
AB - BACKGROUND: In the Netherlands there is no up-to-date information about the
attitude of the public to (discharged) psychiatric patients. Also, very little is
known about which population groups hold stigmatising views. AIM: To measure the
public's attitudes to (discharged) psychiatric patients and to find out whether
these attitudes differ according to the background characteristics (e.g.
demographics, respondent's psychiatric history). METHOD: In our study we used
attitudes collected via the Netherlands Mental Health Survey and Incidence Study
2, a psychiatric epidemiological study of the adult general population (n = 6646;
aged 18-64 years). The psychiatric history of the respondents was assessed by
means of the Composite International Diagnostic Interview 3.0. RESULTS: More than
70% of the respondents stated that they had no objection to having a (discharged)
psychiatric patient as a neighbour, friend or colleague. However, their
'willingness' declined markedly, namely to less than 30%, when they were asked if
they would be willing to have a (discharged) psychiatric patient as their son-in
law or baby-sitter. A comparison with other earlier Dutch studies indicates that
since 1987 the willingness of members of the public to let (ex-)psychiatric
patients participate in their private and/or family life has increased only very
slightly. CONCLUSION: Nowadays, just as in past decades, most Dutch citizens are
not opposed to living alongside (discharged) psychiatric patients, but they have
reservations about letting such persons participate in their private and family
life.
PMID- 26552926
TI - [Depression during the perimenopause].
AB - BACKGROUND: Women are believed to be more vulnerable to depression during the
perimenopause than during the premenopausal period. In clinical practice little
attention has been given to the relationship between the menopause and
depression. AIM: To provide an overview of the literature on the relationship
between the perimenopause and the development of depression and to analyse the
relationship between hormonal fluctuations and depression. METHOD: We consulted
the databases of PubMed, Web of Science and the Cochrane library, searching for
epidemiologic studies on perimenopausal depression. We selected 22 studies
relating to the prevalence of and the risk of depression during perimenopause.
RESULTS: Most of the 22 epidemiological studies selected suggest that the chances
of developing depression during the perimenopause are higher than during during
the premenopausal period. We found no unambiguous correlation between the
fluctuation of hormones (e.g. oestrogen) and depression. A possible reason for
this finding is that it is difficult to measure these hormones accurately.
CONCLUSION: The chances of developing depression seem to be higher during the
perimenopause than during the premenopause. The difficulty in measuring the
fluctuations of female hormones during the perimenopausal stage may be the reason
why no correlation between depression and the fluctuations of hormones has yet
been unambiguously established. Future studies and meta-analysis could provide a
more accurate estimate of the risk of developing depression during the
perimenopause and could give detailed information about the relationship between
hormonal factors and perimenopausal depression.
PMID- 26552927
TI - [Early-onset eating disorders: a review of the literature].
AB - BACKGROUND: The incidence of anorexia nervosa (AN) in adolescents has increased
significantly in recent years. In several studies and in the media it has been
suggested that AN has recently become more prevalent in the pre-adolescence. In
view of the impact that an eating disorder can have on a child, it is important
to diagnose and start treating the illness as early as possible. AIM: To review
the literature on the characteristics and susceptibilities of patients with
eating disorders because this information can be important for early diagnosis,
prevention and identification of susceptibilities to early-onset eating
disorders. METHOD: We searched the literature for articles relating to early
onset eating disorders. We based our search on PubMed and on related relevant
articles listed in the references. We selected 34 relevant articles published
between 1987 and 2014. RESULTS: The literature lists characteristics and
susceptibilities at various levels. Many types of factors are involved; examples
of 'biological' factors are prior streptococcal infection, previous consultations
with GP and a patients medical history; psychological factors include
comorbidity, temperament, a particular personality profile, maturation-anxiety;
environmental factors such as family history, family functioning and/or stressful
events can play a role in the development of eating disorders. CONCLUSION The
literature indicates that the early development of AN in children is related to a
complex combination of etiological factors. However, there is a need for more
research into this group of patients.
PMID- 26552928
TI - [Functional recovery and self-regulation: assignments for both clients and
psychiatrists].
AB - BACKGROUND: Currently, attention is focused on recovery, but the concept is under
discussion. The functional aspect, i.e. the re-establishment and development of
mental functions, is rarely explained in mental health care. As a result, certain
opportunities may be missed, particularly with regard to helping clients to
develop or restore their self-regulatory abilities. AIM: To clarify what we mean
by functional recovery and to explain why it is important to deal with this theme
separately and to distinguish between functioning and mental functions. METHOD:
An overview is given of current developments in and around mental health care,
and the true meaning of the concept of recovery is discussed. Furthermore,
arguments are presented which stress the usefulness of distinguishing between
four aspects of recovery: clinical, personal, social and functional. RESULTS: As
is still the case in regular health care (rehabilitation), the subject of
functional recovery is hardly ever dealt with as a separate entity. If it were to
be dealt with separately and if attention were to be given particularly to the
executive functions and their significance for self-regulation, fresh
opportunities would arise for supporting clients in their recovery process.
CONCLUSION: If functional recovery is dealt with separately, new opportunities
for recovery will arise, even if clinical recovery is no longer a viable option.
The use of the International Classification of Functioning, Disability and Health
(ICF) can ensure that focus in the future will shift to the recovery of
psychosocial functioning and mental functions.
PMID- 26552929
TI - [Music therapy and depression].
AB - BACKGROUND: Music therapy is a predominantly non-verbal psychotherapy based on
music improvisation, embedded in a therapeutic relationship. This is the reason
why music therapy is also used to treat depression. AIM: To examine the efficacy
of music therapy and to report on the results of recent research into the value
of music therapy as a treatment for depression. METHOD: We reviewed the
literature on recent research into music therapy and depression, reporting on the
methods used and the results achieved, and we assessed the current position of
music therapy for depression in the context of evidence-based scientific
research. RESULTS: A wide variety of research methods was used to investigate the
effects of using music therapy as a psychotherapy. Most studies focused usually
on the added value that music therapy brings to the standard form of psychiatric
treatment, when administered with or without psychopharmacological support. Music
therapy produced particularly significant and favourable results when used to
treat patients with depression. CONCLUSION: Current research into music therapy
and depression points to a significant and persistent reduction in patients'
symptoms and to improvements in their quality of life. However, further research
is needed with regard to the best methods of illustrating the effects of music
therapy.
PMID- 26552930
TI - [Amisulpride: a treatment option for psychotic disorders soon to be available in
the Netherlands].
AB - BACKGROUND: The antipsychotic drug amisulpride has not yet been officially
approved for use in the Netherlands, although it is already available in other
European countries , including Belgium, the UK and Germany. However, a fast-track
procedure has recently been initiated so that amisulpride will soon become
available in the Netherlands as well. AIM: To summarise the efficacy and side
effects of amisulpride. METHOD: We discuss the evidence presented in the
scientific literature. RESULTS: The scientific literature assures us that
amisulpride is an effective antipsychotic drug with an acceptable range of side
effects. This means that there are two main advantages that ensue from the use of
amisulpride: a patient's psychosis is more likely to go into remission and
patients are less likely to stop taking the drug. CONCLUSION: The availability of
amisulpride in the Netherlands will constitute a valuable addition to the
pharmacotherapeutic options for treating psychotic disorders in our county.
PMID- 26552932
TI - [Admitting and caring for refugees. Can their sense of self-worth be reclaimed?].
PMID- 26552931
TI - [Hypomania following the use of varenicline in two patients with bipolar
disorder].
AB - Varenicline is a drug that has been developed to help people stop smoking .
Results regarding its efficacy are promising. The safety of the drug, however, is
controversial when used for patients with mental illnesses because it can have
neuropsychiatric side-effects. Although Dutch guidelines and the
'Farmacotherapeutisch Kompas' include warnings about the use of varenicline by
people with severe mental illness, the drug is still being prescribed for this
group of patients. This is not without risk. We illustrate this point by focusing
on two patients with bipolar disorder who developed manic symptoms while using
varenicline. We discuss relevant literature and make recommendations.
PMID- 26552934
TI - Electron Beam Induced Artifacts During in situ TEM Deformation of Nanostructured
Metals.
AB - A critical assumption underlying in situ transmission electron microscopy studies
is that the electron beam (e-beam) exposure does not fundamentally alter the
intrinsic deformation behavior of the materials being probed. Here, we show that
e-beam exposure causes increased dislocation activation and marked stress
relaxation in aluminum and gold films spanning a range of thicknesses (80-400
nanometers) and grain sizes (50-220 nanometers). Furthermore, the e-beam induces
anomalous sample necking, which unusually depends more on the e-beam diameter
than intensity. Notably, the stress relaxation in both aluminum and gold occurs
at beam energies well below their damage thresholds. More remarkably, the stress
relaxation and/or sample necking is significantly more pronounced at lower
accelerating voltages (120 kV versus 200 kV) in both the metals. These
observations in aluminum and gold, two metals with highly dissimilar atomic
weights and properties, indicate that e-beam exposure can cause anomalous
behavior in a broad spectrum of nanostructured materials, and simultaneously
suggest a strategy to minimize such artifacts.
PMID- 26552935
TI - Insomnia is associated with suicide attempt in middle-aged and older adults with
depression.
AB - BACKGROUND: Insomnia increases in prevalence with age, is strongly associated
with depression, and has been identified as a risk factor for suicide in several
studies. The aim of this study was to determine whether insomnia severity varies
between those who have attempted suicide (n = 72), those who only contemplate
suicide (n = 28), and those who are depressed but have no suicidal ideation or
attempt history (n = 35). METHODS: Participants were middle-aged and older adults
(age 44-87, M = 66 years) with depression. Insomnia severity was measured as the
sum of the early, middle, and late insomnia items from the Hamilton Rating Scale
for Depression. General linear models examined relations between group status as
the independent variable and insomnia severity as the dependent variable.
RESULTS: The suicide attempt group suffered from more severe insomnia than the
suicidal ideation and non-suicidal depressed groups (p < 0.05). Differences
remained after adjusting for potential confounders including demographics,
cognitive ability, alcohol dependence in the past month, severity of depressed
mood, anxiety, and physical health burden. Moreover, greater insomnia severity in
the suicide attempt group could not be explained by interpersonal difficulties,
executive functioning, benzodiazepine use, or by the presence of post-traumatic
stress disorder. CONCLUSIONS: Our results suggest that insomnia may be more
strongly associated with suicidal behavior than with the presence of suicidal
thoughts alone. Accordingly, insomnia is a potential treatment target for
reducing suicide risk in middle-aged and older adults.
PMID- 26552936
TI - Enhancement of the catalytic efficiency and thermostability of Stenotrophomonas
sp. keratinase KerSMD by domain exchange with KerSMF.
AB - In this study, we enhanced the catalytic efficiency and thermostability of
keratinase KerSMD by replacing its N/C-terminal domains with those from a
homologous protease, KerSMF, to degrade feather waste. Replacement of the N
terminal domain generated a mutant protein with more than twofold increased
catalytic activity towards casein. Replacement of the C-terminal domain obviously
improved keratinolytic activity and increased the k(cat)/K(m) value on a
synthetic peptide, succinyl-Ala-Ala-Pro-Phe-p-nitroanilide, by 54.5%. Replacement
of both the N- and C-terminal domains generated a more stable mutant protein,
with a Tm value of 64.60 +/- 0.65 degrees C and a half-life of 244.6 +/- 2 min at
60 degrees C, while deletion of the C-terminal domain from KerSMD or KerSMF
resulted in mutant proteins exhibiting high activity under mesophilic conditions.
These findings indicate that the pre-peptidase C-terminal domain and N-propeptide
are not only important for substrate specificity, correct folding and
thermostability but also support the ability of the enzyme to convert feather
waste into feed additives.
PMID- 26552937
TI - Probing the interactions of CdTe quantum dots with pseudorabies virus.
AB - Quantum dots (QDs) have become one of the most promising luminescent materials
for tracking viral infection in living cells. However, several issues regarding
how QDs interact with the virus remain unresolved. Herein, the effects of
Glutathione (GSH) capped CdTe QDs on virus were investigated by using
pseudorabies virus (PRV) as a model. One-step growth curve and fluorescence
colocalization analyses indicate that CdTe QDs inhibit PRV multiplication in the
early stage of virus replication cycle by suppressing the invasion, but have no
significant effect on the PRV penetration. Fluorescence spectrum analysis
indicates that the size of QDs is reduced gradually after the addition of PRV
within 30 min. Release of Cd(2+) was detected during the interaction of QDs and
PRV, resulting in a decreased number of viruses which can infect cells. Further
Raman spectra and Circular Dichroism (CD) spectroscopy analyses reveal that the
structure of viral surface proteins is altered by CdTe QDs adsorbed on the virus
surface, leading to the inhibition of virus replication. This study facilitates
an in-depth understanding of the pathogenic mechanism of viruses and provides a
basis for QDs-labeled virus research.
PMID- 26552938
TI - Application of a hot-melt granulation process to enhance fenofibrate solid dose
manufacturing.
AB - Evaluation of hot-melt granulation of fenofibrate and croscarmellose sodium and
its cooling time for the molten mass in a ratio of 55:45 was conducted to assess
the manufacturing process capability to produce an acceptable granulation which
flows well on Korsch PH300 tablet compression machine. The formation of the drug
polymer eutectic mixture was investigated by differential scanning calorimetry,
scanning electron microscopy and X-ray powder diffraction. The physical
properties of the hot-melt was determined by examining the milled blocks after
solidification and milling after cooling periods of 10, 20 and 30 d. The milled
material was assessed for the effect of hold time of the blend on the solid dose
compression characteristics. The impact of cooling on the processing of the
blocks was assessed after 10, 20 and 30 d of cooling. The study suggests that
after the hot-melt formed the fenofibrate crystallized independently and a solid
solution with croscarmellose sodium was not formed. The age of the blocks
determined the hardness of the crystals, changing the processing nature of the
granules with respect to compression and powder flow characteristics. The blocks
processed after 20 d and beyond produced granules with a characteristic suitable
for holding the blend for 14 d in the bin with no impact on flow properties and
compressibility of the blend. There was no chipping, capping, sticking or picking
observed and a higher compression speed was achieved.
PMID- 26552939
TI - Increased homocysteine levels exist in women with preeclampsia from early
pregnancy.
AB - OBJECTIVE: The present prospective study examines the levels of maternal plasma
folate, vitamin B12 and homocysteine in normotensive control (NC) women and women
with preeclampsia (PE) from early pregnancy till delivery. METHODS: The present
study includes 126 NC and 62 PE women. Maternal blood was collected at 3 time
points during pregnancy (T1 = 16th-20th weeks, T2 = 26th-30th weeks and T3 = at
delivery). Levels of folate, vitamin B12 and homocysteine were estimated by the
chemiluminescent microparticle immunoassay technology. RESULTS: Maternal plasma
folate levels were similar between NC and PE women at all the time points across
gestation. Maternal plasma vitamin B12 levels were significantly higher in PE (p
< 0.05) as compared with NC at T2. Maternal plasma homocysteine levels were
higher in PE as compared with NC at all the time points, i.e. T1, T2 (p < 0.05
for both) and T3 (p < 0.01). CONCLUSION: Our results indicate that higher
homocysteine levels exist in women with PE from early pregnancy and continue till
delivery.
PMID- 26552940
TI - Systematic Review and Meta-Analysis: Dose-Response Relationship of Selective
Serotonin Reuptake Inhibitors in Major Depressive Disorder.
AB - OBJECTIVE: Previous studies suggested that the treatment response to selective
serotonin reuptake inhibitors (SSRIs) in major depressive disorder follows a flat
response curve within the therapeutic dose range. The present study was designed
to clarify the relationship between dosage and treatment response in major
depressive disorder. METHOD: The authors searched PubMed for randomized placebo
controlled trials examining the efficacy of SSRIs for treating adults with major
depressive disorder. Trials were also required to assess improvement in
depression severity at multiple time points. Additional data were collected on
treatment response and all-cause and side effect-related discontinuation. All
medication doses were transformed into imipramine-equivalent doses. The
longitudinal data were analyzed with a mixed-regression model. Endpoint and
tolerability analyses were analyzed using meta-regression and stratified subgroup
analysis by predefined SSRI dose categories in order to assess the effect of SSRI
dosing on the efficacy and tolerability of SSRIs for major depressive disorder.
RESULTS: Forty studies involving 10,039 participants were included. Longitudinal
modeling (dose-by-time interaction=0.0007, 95% CI=0.0001-0.0013) and endpoint
analysis (meta-regression: beta=0.00053, 95% CI=0.00018-0.00088, z=2.98)
demonstrated a small but statistically significant positive association between
SSRI dose and efficacy. Higher doses of SSRIs were associated with an increased
likelihood of dropouts due to side effects (meta-regression: beta=0.00207, 95%
CI=0.00071-0.00342, z=2.98) and decreased likelihood of all-cause dropout (meta
regression: beta=-0.00093, 95% CI=-0.00165 to -0.00021, z=-2.54). CONCLUSIONS:
Higher doses of SSRIs appear slightly more effective in major depressive
disorder. This benefit appears to plateau at around 250 mg of imipramine
equivalents (50 mg of fluoxetine). The slightly increased benefits of SSRIs at
higher doses are somewhat offset by decreased tolerability at high doses.
PMID- 26552941
TI - Transformation of Mental Health Care for U.S. Soldiers and Families During the
Iraq and Afghanistan Wars: Where Science and Politics Intersect.
AB - The cumulative strain of 14 years of war on service members, veterans, and their
families, together with continuing global threats and the unique stresses of
military service, are likely to be felt for years to come. Scientific as well as
political factors have influenced how the military has addressed the mental
health needs resulting from these wars. Two important differences between mental
health care delivered during the Iraq and Afghanistan wars and previous wars are
the degree to which research has directly informed care and the consolidated
management of services. The U.S. Army Medical Command implemented programmatic
changes to ensure delivery of high-quality standardized mental health services,
including centralized workload management; consolidation of psychiatry,
psychology, psychiatric nursing, and social work services under integrated
behavioral health departments; creation of satellite mental health clinics
embedded within brigade work areas; incorporation of mental health providers into
primary care; routine mental health screening throughout soldiers' careers;
standardization of clinical outcome measures; and improved services for family
members. This transformation has been accompanied by reduction in psychiatric
hospitalizations and improved continuity of care. Challenges remain, however,
including continued underutilization of services by those most in need, problems
with treatment of substance use disorders, overuse of opioid medications,
concerns with the structure of care for chronic postdeployment (including
postconcussion) symptoms, and ongoing questions concerning the causes of
historically high suicide rates, efficacy of resilience training initiatives, and
research priorities. It is critical to ensure that remaining gaps are addressed
and that knowledge gained during these wars is retained and further evolved.
PMID- 26552942
TI - Lurasidone for the Treatment of Major Depressive Disorder With Mixed Features: A
Randomized, Double-Blind, Placebo-Controlled Study.
AB - OBJECTIVE: Accumulating evidence indicates that manic symptoms below the
threshold for hypomania (mixed features) are common in individuals with major
depressive disorder. This form of depression is often severe and is associated
with an increased risk for recurrence, suicide attempts, substance abuse, and
functional disability. This study evaluated the efficacy and safety of lurasidone
in major depressive disorder with mixed features. METHODS: Patients meeting DSM
IV-TR criteria for major depressive disorder who presented with two or three
protocol-defined manic symptoms were randomly assigned to 6 weeks of double-blind
treatment with either lurasidone at 20-60 mg/day (N=109) or placebo (N=100).
Changes from baseline in Montgomery-Asberg Depression Rating Scale score (MADRS;
primary outcome measure) and Clinical Global Impressions severity subscale score
(CGI-S; key secondary outcome measure) were evaluated using a mixed model for
repeated-measures analysis. RESULTS: Lurasidone significantly improved depressive
symptoms and overall illness severity, assessed by least squares mean change at
week 6 in the MADRS and CGI-S scores: -20.5 compared with -13.0 (effect size,
0.80) and -1.8 compared with -1.2 (effect size, 0.60), respectively. Significant
improvement in manic symptoms, assessed by the Young Mania Rating Scale, was also
observed, in addition to other secondary efficacy endpoints. Rates of
discontinuation due to adverse events were low. The most common adverse events
were nausea (6.4% and 2.0% in the lurasidone and placebo groups, respectively)
and somnolence (5.5% and 1.0%). CONCLUSIONS: Lurasidone was effective and well
tolerated in this study involving patients with major depressive disorder
associated with subthreshold hypomanic symptoms (mixed features).
PMID- 26552943
TI - Modeling crowdsourcing as collective problem solving.
AB - Crowdsourcing is a process of accumulating the ideas, thoughts or information
from many independent participants, with aim to find the best solution for a
given challenge. Modern information technologies allow for massive number of
subjects to be involved in a more or less spontaneous way. Still, the full
potentials of crowdsourcing are yet to be reached. We introduce a modeling
framework through which we study the effectiveness of crowdsourcing in relation
to the level of collectivism in facing the problem. Our findings reveal an
intricate relationship between the number of participants and the difficulty of
the problem, indicating the optimal size of the crowdsourced group. We discuss
our results in the context of modern utilization of crowdsourcing.
PMID- 26552944
TI - Recovery time for inflamed middle ear mucosa in chronic otitis media.
AB - CONCLUSION: The present study shows that 2-3 weeks after medical treatment the
status of middle ear mucosa in draining ears is similar to that of dry ears for
at least 3 months. OBJECTIVE: To measure the time required for an inflamed middle
ear mucosa to return into optimal state after appropriate medical treatment in
chronic suppurative otitis media (CSOM). To assess optimal timing for elective
surgical treatment of draining ears in uncomplicated CSOM. METHODS: In this
prospective study, the Eustachian tube (ET) mucociliary clearance time (MCT) was
used as the method to demonstrate the status of middle ear mucosa. In group 1 (28
patients) ET-MCT was measured in ears that were free of drainage for at least 3
months. In Group 2 (21 patients), ET-MCT was measured in draining ears, who
responded to 10-14 days medical treatment, at presentation, after 10 days and 1
month. RESULTS: The ET-MCT was 8.63 +/- 1.32 min in group 1 and 28.96 +/- 8.19
min in group 2 at presentation; and the difference was statistically significant
(p < 0.001). The ET-MCT was 14.76 +/- 5.11 min after 10 days and 9.31 +/- 2.33
min after 1 month in group 2. The ET-MCT was indifferent between groups 1 and 2
after 1 month (p = 0.235).
PMID- 26552945
TI - A Tale of Two Systems: Combining Forces to Improve Veteran and Military Health
Care.
PMID- 26552946
TI - No association between use of multivitamin supplement containing vitamin D during
pregnancy and risk of Type 1 Diabetes in the child.
AB - BACKGROUND: Sweden has the second highest incidence of type 1 diabetes in the
world. Nutritional aspects in utero and in infancy affect the development. We
conducted a survey to determine whether reported maternal use of vitamin D
containing micronutrient supplements during pregnancy was associated with the
risk of developing type 1 diabetes in the child. METHODS: This report was based
on data from the ABIS (All Babies In Southeast Sweden) study, with questionnaire
data on 16 339 mother and infant pairs at birth and at 1-yr of age (n = 10 879),
of whom 108 children were registered with type 1 diabetes before 14-16 yr of age.
The questions 'during pregnancy, did you take any vitamin/mineral supplements?'
and 'if yes, which? (open answer)' in addition to other lifestyle questions were
answered. Logistic regression was performed with onset of type 1 diabetes as the
dependent variable and vitamin D supplementation use as the independent variable,
adjusted for relevant factors. RESULTS: Vitamin D supplementation during
pregnancy was consumed by 9.3% of mothers whose children later got type1 diabetes
and among 11.3% of those mothers whose children did not get type 1 diabetes (p =
0.532). No significant association was found between reported supplement intake
of vitamin D during pregnancy and risk of type 1 diabetes, even when adjusting
for factors which could influence the association. CONCLUSION: Maternal use of
vitamin D-containing multivitamin supplements during pregnancy was not related to
the risk of developing type 1 diabetes in children before 14-16 yr of age in
Southeast of Sweden.
PMID- 26552947
TI - Suicide risk assessment and intervention in people with mental illness.
AB - Suicide is the 15th most common cause of death worldwide. Although relatively
uncommon in the general population, suicide rates are much higher in people with
mental health problems. Clinicians often have to assess and manage suicide risk.
Risk assessment is challenging for several reasons, not least because
conventional approaches to risk assessment rely on patient self reporting and
suicidal patients may wish to conceal their plans. Accurate methods of predicting
suicide therefore remain elusive and are actively being studied. Novel approaches
to risk assessment have shown promise, including empirically derived tools and
implicit association tests. Service provision for suicidal patients is often
substandard, particularly at times of highest need, such as after discharge from
hospital or the emergency department. Although several drug based and
psychotherapy based treatments exist, the best approaches to reducing the risk of
suicide are still unclear. Some of the most compelling evidence supports long
established treatments such as lithium and cognitive behavioral therapy. Emerging
options include ketamine and internet based psychotherapies. This review
summarizes the current science in suicide risk assessment and provides an
overview of the interventions shown to reduce the risk of suicide, with a focus
on the clinical management of people with mental disorders.
PMID- 26552948
TI - Chemically Lithiated TiO2 Heterostructured Nanosheet Anode with Excellent Rate
Capability and Long Cycle Life for High-Performance Lithium-Ion Batteries.
AB - A new form of dual-phase heterostructured nanosheet comprised of oxygen-deficient
TiO2/Li4Ti5O12 has been successfully synthesized and used as anode material for
lithium ion batteries. With the three-dimensional (3D) Ti mesh as both the
conducting substrate and the Ti(3+)/Ti(4+) source, blue anatase
Ti(3+)/TiO2nanosheets were grown by a hydrothermal reaction. By controlling the
chemical lithiation period of TiO2 nanosheets, a phase boundary was created
between the TiO2 and the newly formed Li4Ti5O12, which contribute additional
capacity benefiting from favorable charge separation between the two phase
interfaces. Through further hydrogenation of the 3D TiO2/Li4Ti5O12
heterostructured nanosheets (denoted as H-TiO2/LTO HNS), an extraordinary rate
performance with capacity of 174 mAh g(-1) at 200 C and outstanding long-term
cycling stability with only an ~6% decrease of its initial specific capacity
after 6000 cycles were delivered. The heterostructured nanosheet morphology
provides a short length of lithium diffusion and high electrode/electrolyte
contact area, which could also explain the remarkable lithium storage
performance. In addition, the full battery assembled based on the H-TiO2/LTO
anode achieves high energy and power densities.
PMID- 26552949
TI - Physiological Expression and Accumulation of the Products of Two Upstream Open
Reading Frames mrtl and MycHex1 Along With p64 and p67 Myc From the Human c-myc
Locus.
AB - In addition to the canonical c-Myc p64 and p67 proteins, the human c-myc locus
encodes two distinct proteins, mrtl (myc-related translation/localization
regulatory factor) and MycHex1 (Myc Human Exon 1), from the upstream open reading
frames within the 5'-untranslated region of the c-myc P0 mRNA. The aim of this
study is to examine simultaneously, for the first time, mrtl, MycHex1, c-Myc p64,
and p67 in human tumor cell lines and pediatric brain tumor tissues. Western blot
analysis demonstrated endogenous mrtl, MycHex1, c-Myc p64, and p67
simultaneously. The relative abundance of mrtl and MycHex1 were consistent among
a variety of human tumor cell lines, and the relative intensities of mrtl and
MycHex1 correlated positively. Confocal imaging revealed mrtl predominantly
localized to the nuclear envelope, along with prominent reticular pattern in the
cytoplasm. MycHex1 was observed as a series of bright foci located within the
nucleus, a subset of which colocalized with fibrillarin. mrtl and MycHex1 co
immunoprecipitated with RACK1, c-Myc, fibrillarin, coilin, and with each other.
These findings suggest that mrtl and MycHex1 have multiple interaction partners
in both the nucleus and cytoplasm. Sequence analyses confirmed a known
polymorphism of mrtl at base 1965 (G>T) and new mutations at bases 1900 (C>G) and
1798 (C>G). Evidence is presented for expression and stable accumulation of all
four proteins encoded by three distinct non-overlapping open reading frames
within the human c-myc locus. Additional work is warranted to further elucidate
the functional or regulatory roles of these molecules in regulation of c-Myc and
in oncogenesis.
PMID- 26552950
TI - CHAARTED/GETUG 12--docetaxel in non-castrate prostate cancers.
PMID- 26552951
TI - Colorectal cancer: Targeting BRAF mutations equally?
PMID- 26552954
TI - Adjuvant TKIs in NSCLC: what can we learn from RADIANT?
PMID- 26552957
TI - Chemotherapy and the recurrence score--results as expected?
PMID- 26552953
TI - The changing landscape of phase I trials in oncology.
AB - Advances in our knowledge of the molecular pathogenesis of cancer have led to
increased interest in molecularly targeted agents (MTAs), which target specific
oncogenic drivers and are now a major focus of cancer drug development. MTAs
differ from traditional cytotoxic agents in various aspects, including their
toxicity profiles and the potential availability of predictive biomarkers of
response. The landscape of phase I oncology trials is evolving to adapt to these
novel therapies and to improve the efficiency of drug development. In this
Review, we discuss new strategies used in phase I trial design, such as novel
dose-escalation schemes to circumvent limitations of the classic 3 + 3 design and
enable faster dose escalation and/or more-precise dose determinations using
statistical modelling; improved selection of patients based on genetic or
molecular biomarkers; pharmacokinetic and pharmacodynamic analyses; and the early
evaluation of efficacy - in addition to safety. Indeed, new expedited approval
pathways that can accelerate drug development require demonstration of efficacy
in early phase trials. The application of molecular tumour profiling for matched
therapy and the testing of drug combinations based on a strong biological
rationale are also increasingly seen in phase I studies. Finally, the shift
towards multi-institutional trials and centralized study management results in
consequent implications for institutions and investigators. These issues are also
highlighted herein.
PMID- 26552959
TI - CheckMate for advanced-stage ccRCC? Nivolumab and cabozantinib aMETEORate poor
survival.
PMID- 26552960
TI - Cell signalling: Truncation to expansion - a new indication for ALK inhibition?
PMID- 26552962
TI - The need to revisit published data: A concept and framework for complementary
proteomics.
AB - Tandem proteomic strategies based on large-scale and high-resolution mass
spectrometry have been widely applied in various biomedical studies. However,
protein sequence databases and proteomic software are continuously updated.
Proteomic studies should not be ended with a stable list of proteins. It is
necessary and beneficial to regularly revise the results. Besides, the original
proteomic studies usually focused on a limited aspect of protein information and
valuable information may remain undiscovered in the raw spectra. Several studies
have reported novel findings by reanalyzing previously published raw data.
However, there are still no standard guidelines for comprehensive reanalysis. In
the present study, we proposed the concept and draft framework for complementary
proteomics, which are aimed to revise protein list or mine new discoveries by
revisiting published data.
PMID- 26552961
TI - Green tea inhibited the elimination of nephro-cardiovascular toxins and
deteriorated the renal function in rats with renal failure.
AB - Chronic kidney disease (CKD) is a major health problem worldwide. Indoxyl sulfate
(IS) and p-cresyl sulfate (PCS) are highly protein-bound nephro-cardiovascular
toxins, which are not efficiently removed through hemodialysis. The renal
excretions of IS and PCS were mediated by organic anion transporters (OATs) such
as OAT1 and OAT3. Green tea (GT) is a popular beverage containing plenty of
catechins. Previous pharmacokinetic studies of teas have shown that the major
molecules present in the bloodstream are the glucuronides/sulfates of tea
catechins, which are putative substrates of OATs. Here we demonstrated that GT
ingestion significantly elevated the systemic exposures of endogenous IS and PCS
in rats with chronic renal failure (CRF). More importantly, GT also significantly
increased the levels of serum creatinine (Cr) and blood urea nitrogen (BUN) in
CRF rats. Mechanism studies indicated that the serum metabolites of GT (GTM)
inhibited the uptake transporting functions of OAT1 and OAT3. In conclusion, GT
inhibited the elimination of nephro-cardiovascular toxins such as IS and PCS, and
deteriorated the renal function in CRF rats.
PMID- 26552963
TI - Topical therapy for psoriasis: a promising future. Focus on JAK and
phosphodiesterase-4 inhibitors.
AB - Psoriasis is a common, chronic and disabling skin disorder affecting
approximately 2% of the population, associated with significant negative impact
on the patient's quality of life. Approximately 80% of those affected with
psoriasis have mild-to-moderate forms and are usually treated with topical
therapy, whereas phototherapy and systemic therapies are used for those with
severe disease. In the past three decades, the major advances in psoriasis
therapy have been in systemic agents for the treatment of moderate-to-severe
psoriasis, particularly new immunomodulatory and biological molecules, while
topical therapies have remained relatively unchanged over the past decades.
Indeed, topical corticosteroids and vitamin D3 analogs are still the gold
standard of therapy for mild-to-moderate psoriasis. Thus, there is a need to
develop new and more effective topical agents in the short and long term, with a
better efficacy and safety profile than corticosteroids and vitamin D3 analogs.
Over the past five years, investigation into topical therapy has expanded, with
exciting new drugs being developed. Preliminary results of these emerging agents
that selectively target disease-defining pathogenic pathways seem to be
promising, although long-term and large-scale studies assessing safety and
efficacy are still lacking. The aim of this article was to review the clinical
and research data of some emerging topical agents, focusing on Janus kinase
signal transducer and activator of transcription and phosphodiesterase type 4
inhibitors, which are currently being investigated.
PMID- 26552964
TI - Fast-moving dislocations trigger flash weakening in carbonate-bearing faults
during earthquakes.
AB - Rupture fronts can cause fault displacement, reaching speeds up to several ms(-1)
within a few milliseconds, at any distance away from the earthquake nucleation
area. In the case of silicate-bearing rocks the abrupt slip acceleration results
in melting at asperity contacts causing a large reduction in fault frictional
strength (i.e., flash weakening). Flash weakening is also observed in experiments
performed in carbonate-bearing rocks but evidence for melting is lacking. To
unravel the micro-physical mechanisms associated with flash weakening in
carbonates, experiments were conducted on pre-cut Carrara marble cylinders using
a rotary shear apparatus at conditions relevant to earthquakes propagation. In
the first 5 mm of slip the shear stress was reduced up to 30% and CO2 was
released. Focused ion beam, scanning and transmission electron microscopy
investigations of the slipping zones reveal the presence of calcite nanograins
and amorphous carbon. We interpret the CO2 release, the formation of nanograins
and amorphous carbon to be the result of a shock-like stress release associated
with the migration of fast-moving dislocations. Amorphous carbon, given its low
friction coefficient, is responsible for flash weakening and promotes the
propagation of the seismic rupture in carbonate-bearing fault patches.
PMID- 26552965
TI - Prevalence and predictors of tobacco use in the elderly.
AB - OBJECTIVE: Smoking is a well-established public health issue, which has not been
examined previously among the elderly in Singapore. This paper describes and
identifies the current prevalence and predictors of tobacco use among the older
resident population. METHODS: Data were derived from the Well-being of the
Singapore Elderly study, a cross-sectional epidemiological study of the elderly
in Singapore. Sociodemographic data from 2565 Singapore residents aged 60 years
and above were collected through face-to-face interviews. Multinomial logistic
regression analyses identified predictors of tobacco use. RESULTS: A total of 236
respondents were current tobacco users (9.5%). The majority of older tobacco
users were men (88.1%). Significant predictors of tobacco use were gender,
marital status, and education level. Younger age (60-74 years old) was associated
with more tobacco use, and the completion of tertiary education with lower rates
of use. CONCLUSIONS: Smoking prevalence among the elderly was lower than that of
the Singapore general adult population (16%). However, the rate is still high and
is of concern given the likelihood of a higher rate of physical disorders, which
could be worsened with tobacco use. The identification of those at risk enables
them to be targeted for smoking cessation programs and other interventions.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26552966
TI - Phytoremediation of lead-contaminated soil by Sinapis arvensis and Rapistrum
rugosum.
AB - Nowadays, public concern relating to ecological deleterious effects of heavy
metals is on the rise. To evaluate the potential of Rapistrum rugosum and Sinapis
arvensis in lead- contaminate phytoremediate, a pot culture experiment was
conducted. The pots were filled by soil treated with different rates of leadoxide
(PbO) including 0 (control), 100, 200, 300, 400, and 500 mg Pb per 1 kg soil.
Germinated seeds were sown. Surprisingly, with increasing concentration of Pb,
dry weight of R. rugosum and S. arvensis did not decrease significantly. In both
of species, the concentration of Pb was higher in roots than shoots. In general,
S.arvensis was absorbed more Pb compared to R. rugosum. The results revealed high
potential of R. rugosum and S. arvensis in withdrawing Pb from contaminated soil.
For both species, a positive linear relation was observed between Pb
concentration in soil and roots. However, linear relationship was not observed
between Pb concentration in the soil and shoots. Although both species test had
low ability in translocation Pb from roots to shoots but they showed high ability
in uptake soil Pb by roots. Apparently, these plants are proper species for using
in phytoremediation technology.
PMID- 26552967
TI - 2-DG-Regulated RIP and c-FLIP Effect on Liver Cancer Cell Apoptosis Induced by
TRAIL.
AB - BACKGROUND: Cancer cells survival depends on glucose metabolism and ATP.
Inhibiting glucose metabolism is a possible anticancer treatment. The
phosphorylation of 2-deoxy-D-glucose (2-DG), which is a glycogen analogue,
seriously affects the normal glycometabolism phosphorylation process, leading to
ATP consumption. Studies showed that 2-DG could regulate RIP and c-FLIP. This
paper aimed to investigate the effect of 2-DG on RIP and c-FLIP expression in
HepG2 and Hep3B cells, further illustrating the effect and mechanism of 2-DG
regulating RIP and c-FLIP expression on liver cancer cell apoptosis induced by
TRAIL. MATERIAL AND METHODS: RIP and c-FLIP gene silencing HepG2 and Hep3B cell
models were established by siRNA and detected by Western blot. Cell viability was
determined by MTT and apoptosis rate was measured by flow cytometry. JC-1
fluorescent probe was used to test mitochondrial membrane potential. RESULTS: 2
DG or TRAIL alone significantly reduced HepG2 and Hep3B cell survival rate and
promoted apoptosis. Compared with the single TRAIL treatment group, the
combination of 2-DG and TRAIL could reduce cell survival rate, increase apoptosis
rate, and decease mitochondrial membrane potential, which is dependent on
Caspases. 2-DG can inhibit RIP and c-FLIP expression, leading to increased TRAIL
induced HepG2 and Hep3B cells apoptosis. CONCLUSIONS: 2-DG can down-regulate RIP
and c-FLIP expression, and change Caspases activities to increase the liver
cancer cell apoptosis induced by TRAIL.
PMID- 26552968
TI - Efficacy of Extended-Interval Dosing of Micafungin Evaluated Using a
Pharmacokinetic/Pharmacodynamic Study with Humanized Doses in Mice.
AB - The pharmacokinetic/pharmacodynamic (PK/PD) characteristics of the echinocandins
favor infrequent administration of large doses. The in vivo investigation
reported here tested the utility of a range of humanized dose levels of
micafungin using a variety of prolonged dosing intervals for the prevention and
therapy of established disseminated candidiasis. Humanized doses of 600 mg
administered every 6 days prevented fungal growth in prophylaxis. Humanized doses
of 300 to 1,000 mg administered every 6 days demonstrated efficacy for
established infections.
PMID- 26552970
TI - Ertapenem-Containing Double-Carbapenem Therapy for Treatment of Infections Caused
by Carbapenem-Resistant Klebsiella pneumoniae.
AB - We describe outcomes of patients with infections with carbapenem-resistant
Klebsiella pneumoniae (CRKP) who received ertapenem-containing double-carbapenem
therapy (ECDCT). Clinical success was observed in 7/18 (39%) patients overall:
bloodstream infections, 3/7 (43%); pneumonia, 1/5 (20%); intraabdominal
infections, 0/2 (0%); urinary tract infections, 2/3 (67%); and a skin and skin
structure infection, 1/1 (100%). Microbiologic success was observed in 11/14
(79%) evaluable patients; 5/18 (28%) patients died. ECDCT may be effective for
CRKP infections with limited treatment options.
PMID- 26552969
TI - Antimicrobial Peptide CMA3 Derived from the CA-MA Hybrid Peptide: Antibacterial
and Anti-inflammatory Activities with Low Cytotoxicity and Mechanism of Action in
Escherichia coli.
AB - CA-MA is a hybrid antimicrobial peptide (AMP) derived from two naturally
occurring AMPs, cecropin A and magainin 2. CA-MA shows strong antimicrobial
activity against Gram-negative and Gram-positive bacteria but also exhibits
cytotoxicity toward mammalian cells. Our objective was to identify CA-MA
analogues with reduced cytotoxicity by systematic replacement of amino acids with
positively charged R groups (His and Lys), aliphatic R groups (Leu), or polar R
groups (Glu). Among the CA-MA analogues studied (CMA1 to -6), CMA3 showed the
strongest antimicrobial activity, including against drug-resistant Escherichia
coli and Pseudomonas aeruginosa strains isolated from hospital patients. CMA3
appeared to act by inducing pore formation (toroidal model) in the bacterial
membrane. In cytotoxicity assays, CMA3 showed little cytotoxicity toward human
red blood cells (hRBCs) or HaCaT cells. Additionally, no fluorescence was
released from small or giant unilamellar vesicles exposed to 60 MUM CMA3 for 80
s, whereas fluorescence was released within 35 s upon exposure to CA-MA. CMA3
also exerted strong lipopolysaccharide (LPS)-neutralizing activity in RAW 264.7
cells, and BALB/c mice exposed to LPS after infection by Escherichia coli showed
improved survival after administration of one 0.5-mg/kg of body weight or 1-mg/kg
dose of CMA3. Finally, in a mouse model of septic shock, CMA3 reduced the levels
of proinflammatory factors, including both nitric oxide and white blood cells,
and correspondingly reduced lung tissue damage. This study suggests that CMA3 is
an antimicrobial/antiendotoxin peptide that could serve as the basis for the
development of anti-inflammatory and/or antimicrobial agents with low
cytotoxicity.
PMID- 26552971
TI - In Vitro Activity of Ceftolozane-Tazobactam against Anaerobic Organisms
Identified during the ASPECT-cIAI Study.
AB - The in vitro activities of ceftolozane-tazobactam, meropenem, and metronidazole
were determined against anaerobic organisms isolated from patients with
complicated intraabdominal infections (cIAI) in global phase III studies.
Ceftolozane-tazobactam activity was highly variable among different species of
the Bacteroides fragilis group, with MIC90 values ranging from 2 to 64 MUg/ml.
More-potent in vitro activity was observed against selected Gram-positive
anaerobic organisms; however, small numbers of isolates were available, and,
therefore, the clinical significance of these results is unknown. Variable
activity of ceftolozane-tazobactam against anaerobic organisms necessitates use
in combination with metronidazole for the treatment of cIAI.
PMID- 26552972
TI - Model-Based Evaluation of Higher Doses of Rifampin Using a Semimechanistic Model
Incorporating Autoinduction and Saturation of Hepatic Extraction.
AB - Rifampin is a key sterilizing drug in the treatment of tuberculosis (TB). It
induces its own metabolism, but neither the onset nor the extent of autoinduction
has been adequately described. Currently, the World Health Organization
recommends a rifampin dose of 8 to 12 mg/kg of body weight, which is believed to
be suboptimal, and higher doses may potentially improve treatment outcomes.
However, a nonlinear increase in exposure may be observed because of saturation
of hepatic extraction and hence this should be taken into consideration when a
dose increase is implemented. Intensive pharmacokinetic (PK) data from 61 HIV-TB
coinfected patients in South Africa were collected at four visits, on days 1, 8,
15, and 29, after initiation of treatment. Data were analyzed by population
nonlinear mixed-effects modeling. Rifampin PKs were best described by using a
transit compartment absorption and a well-stirred liver model with saturation of
hepatic extraction, including a first-pass effect. Autoinduction was
characterized by using an exponential-maturation model: hepatic clearance almost
doubled from the baseline to steady state, with a half-life of around 4.5 days.
The model predicts that increases in the dose of rifampin result in more-than
linear drug exposure increases as measured by the 24-h area under the
concentration-time curve. Simulations with doses of up to 35 mg/kg produced
results closely in line with those of clinical trials.
PMID- 26552973
TI - In Vitro Activity of ASP2397 against Aspergillus Isolates with or without
Acquired Azole Resistance Mechanisms.
AB - ASP2397 is a new compound with a novel and as-yet-unknown target different from
that of licensed antifungal agents. It has activity against Aspergillus and
Candida glabrata. We compared its in vitro activity against wild-type and azole
resistant A. fumigatus and A. terreus isolates with that of amphotericin B,
itraconazole, posaconazole, and voriconazole. Thirty-four isolates, including 4
wild-type A. fumigatus isolates, 24 A. fumigatus isolates with alterations in
CYP51A TR/L98H (5 isolates), M220 (9 isolates), G54 (9 isolates), and HapE (1
isolate), and A. terreus isolates (2 wild-type isolates and 1 isolate with an
M217I CYP51A alteration), were analyzed. EUCAST E.Def 9.2 and CLSI M38-A2 MIC
susceptibility testing was performed. ASP2397 MIC50 values (in milligrams per
liter, with MIC ranges in parentheses) determined by EUCAST and CLSI were 0.5
(0.25 to 1) and 0.25 (0.06 to 0.25) against A. fumigatus CYP51A wild-type
isolates and were similarly 0.5 (0.125 to >4) and 0.125 (0.06 to >4) against
azole-resistant A. fumigatus isolates, respectively. These values were comparable
to those for amphotericin B, which were 0.25 (0.125 to 0.5) and 0.25 (0.125 to
0.25) against wild-type isolates and 0.25 (0.125 to 1) and 0.25 (0.125 to 1)
against isolates with azole resistance mechanisms, respectively. In contrast,
MICs for the azole compounds were elevated and highest for itraconazole: >4 (1 to
>4) and 4 (0.5 to >4) against isolates with azole resistance mechanisms compared
to 0.125 (0.125 to 0.25) and 0.125 (0.06 to 0.25) against wild-type isolates,
respectively. ASP2397 was active against A. terreus CYP51A wild-type isolates
(MIC 0.5 to 1), whereas MICs of both azole and ASP2397 were elevated for the
mutant isolate. ASP2397 displayed in vitro activity against A. fumigatus and A.
terreus isolates which was independent of the presence or absence of azole target
gene resistance mutations in A. fumigatus. The findings are promising at a time
when azole-resistant A. fumigatus is emerging globally.
PMID- 26552974
TI - Carriage of Enterobacteria Producing Extended-Spectrum beta-Lactamases and
Composition of the Gut Microbiota in an Amerindian Community.
AB - Epidemiological and individual risk factors for colonization by enterobacteria
producing extended-spectrum beta-lactamases (E-ESBL) have been studied
extensively, but whether such colonization is associated with significant changes
in the composition of the rest of the microbiota is still unknown. To address
this issue, we assessed in an isolated Amerindian Guianese community whether
intestinal carriage of E-ESBL was associated with specificities in gut microbiota
using metagenomic and metatranscriptomic approaches. While the richness of taxa
of the active microbiota of carriers was similar to that of noncarriers, the taxa
were less homogeneous. In addition, species of four genera, Desulfovibrio,
Oscillospira, Parabacteroides, and Coprococcus, were significantly more abundant
in the active microbiota of noncarriers than in the active microbiota of
carriers, whereas such was the case only for species of Desulfovibrio and
Oscillospira in the total microbiota. Differential genera in noncarrier
microbiota could either be associated with resistance to colonization or be the
consequence of the colonization by E-ESBL.
PMID- 26552975
TI - Pharmacodynamics of Ceftolozane plus Tazobactam Studied in an In Vitro
Pharmacokinetic Model of Infection.
AB - Ceftolozane plus tazobactam is an antipseudomonal cephalosporin combined with
tazobactam, an established beta-lactamase inhibitor, and has in vitro potency
against a range of clinically important beta-lactamase-producing bacteria,
including most extended-spectrum-beta-lactamase (ESBL)-positive
Enterobacteriaceae. The pharmacodynamics of beta-lactam-beta-lactamase inhibitor
combinations presents a number of theoretical and practical challenges, including
modeling different half-lives of the compounds. In this study, we studied the
pharmacodynamics of ceftolozane plus tazobactam against Escherichia coli and
Pseudomonas aeruginosa using an in vitro pharmacokinetic model of infection. Five
strains of E. coli, including three clinical strains plus two CTX-M-15 (one high
and one moderate) producers, and five strains of P. aeruginosa, including two
with OprD overexpression and AmpC beta-lactamases, were employed. Ceftolozane
MICs (E. coli, 0.12 to 0.25 mg/liter, and P. aeruginosa, 0.38 to 8 mg/liter) were
determined in the presence of 4 mg/liter tazobactam. Dose ranging of ceftolozane
(percentage of time in which the free-drug concentration exceeds the MIC
[fT>MIC], 0 to 100%) plus tazobactam (human pharmacokinetics) was simulated every
8 hours, with half-lives (t1/2) of 2.5 and 1 h, respectively. Ceftolozane and
tazobactam concentrations were confirmed by high-performance liquid
chromatography (HPLC). The ceftolozane-plus-tazobactam fT>MIC values at 24 h for
a static effect and a 1-log and 2-log drop in initial inoculum for E. coli were
27.8% +/- 5.6%, 33.0% +/- 5.6%, and 39.6% +/- 8.5%, respectively. CTX-M-15
production did not affect the 24-h fT>MIC for E. coli strains. The ceftolozane
plus-tazobactam fT>MIC values for a 24-h static effect and a 1-log and 2-log drop
for P. aeruginosa were 24.9% +/- 3.0%, 26.6% +/- 3.9%, and 31.2% +/- 3.6%.
Despite a wide range of absolute MICs, the killing remained predictable as long
as the MICs were normalized to the corresponding fT>MIC. Emergence of resistance
on 4* MIC plates and 8* MIC plates occurred maximally at an fT>MIC of 10 to 30%
and increased as time of exposure increased. The fT>MIC for a static effect for
ceftolozane plus tazobactam is less than that observed with other cephalosporins
against E. coli and P. aeruginosa and is more similar to the fT>MIC reported for
carbapenems.
PMID- 26552976
TI - Plasmid-Mediated OqxAB Is an Important Mechanism for Nitrofurantoin Resistance in
Escherichia coli.
AB - Increasing consumption of nitrofurantoin (NIT) for treatment of acute
uncomplicated urinary tract infections (UTI) highlights the need to monitor
emerging NIT resistance mechanisms. This study investigated the molecular
epidemiology of the multidrug-resistant efflux gene oqxAB and its contribution to
nitrofurantoin resistance by using Escherichia coli isolates originating from
patients with UTI (n = 205; collected in 2004 to 2013) and food-producing animals
(n = 136; collected in 2012 to 2013) in Hong Kong. The oqxAB gene was highly
prevalent among NIT-intermediate (11.5% to 45.5%) and -resistant (39.2% to 65.5%)
isolates but rare (0% to 1.7%) among NIT-susceptible (NIT-S) isolates. In our
isolates, the oqxAB gene was associated with IS26 and was carried by plasmids of
diverse replicon types. Multilocus sequence typing revealed that the clones of
oqxAB-positive E. coli were diverse. The combination of oqxAB and nfsA mutations
was found to be sufficient for high-level NIT resistance. Curing of oqxAB
carrying plasmids from 20 NIT-intermediate/resistant UTI isolates markedly
reduced the geometric mean MIC of NIT from 168.9 MUg/ml to 34.3 MUg/ml. In the
plasmid-cured variants, 20% (1/5) of isolates with nfsA mutations were NIT-S,
while 80% (12/15) of isolates without nfsA mutations were NIT-S (P = 0.015). The
presence of plasmid-based oqxAB increased the mutation prevention concentration
of NIT from 128 MUg/ml to 256 MUg/ml and facilitated the development of
clinically important levels of nitrofurantoin resistance. In conclusion, plasmid
mediated oqxAB is an important nitrofurantoin resistance mechanism. There is a
great need to monitor the dissemination of this transferable multidrug-resistant
efflux pump.
PMID- 26552977
TI - Effect of Meropenem Concentration on the Detection of Low Numbers of Carbapenem
Resistant Enterobacteriaceae.
PMID- 26552978
TI - Population Pharmacokinetics and Pharmacodynamics of Extended-Infusion
Piperacillin and Tazobactam in Critically Ill Children.
AB - The study objective was to evaluate the population pharmacokinetics and
pharmacodynamics of extended-infusion piperacillin-tazobactam in children
hospitalized in an intensive care unit. Seventy-two serum samples were collected
at steady state from 12 patients who received piperacillin-tazobactam at 100/12.5
mg/kg of body weight every 8 h infused over 4 h. Population pharmacokinetic
analyses were performed using NONMEM, and Monte Carlo simulations were performed
to estimate the piperacillin pharmacokinetic profiles for dosing regimens of 80
to 100 mg/kg of the piperacillin component given every 6 to 8 h and infused over
0.5, 3, or 4 h. The probability of target attainment (PTA) for a cumulative
percentage of the dosing interval that the drug concentration exceeds the MIC
under steady-state pharmacokinetic conditions (TMIC) of >=50% was calculated at
MICs ranging from 0.25 to 64 mg/liter. The mean +/- standard deviation (SD) age,
weight, and estimated glomerular filtration rate were 5 +/- 3 years, 17 +/- 6.2
kg, and 118 +/- 41 ml/min/1.73 m(2), respectively. A one-compartment model with
zero-order input and first-order elimination best fit the pharmacokinetic data
for both drugs. Weight was significantly associated with piperacillin clearance,
and weight and sex were significantly associated with tazobactam clearance.
Pharmacokinetic parameters (mean +/- SD) for piperacillin and tazobactam were as
follows: clearance, 0.22 +/- 0.07 and 0.19 +/- 0.07 liter/h/kg, respectively;
volume of distribution, 0.43 +/- 0.16 and 0.37 +/- 0.14 liter/kg, respectively.
All extended-infusion regimens achieved PTAs of >90% at MICs of <=16 mg/liter.
Only the 3-h infusion regimens given every 6 h achieved PTAs of >90% at an MIC of
32 mg/liter. For susceptible bacterial pathogens, piperacillin-tazobactam doses
of >=80/10 mg/kg given every 8 h and infused over 4 h achieve adequate
pharmacodynamic exposures in critically ill children.
PMID- 26552979
TI - High Prevalence of Biocide Resistance Determinants in Staphylococcus aureus
Isolates from Three African Countries.
AB - We assessed the prevalence of six biocide resistance genes among 82 methicillin
resistant Staphylococcus aureus (MRSA) and 219 methicillin-susceptible S. aureus
(MSSA) isolates from three African countries; the prevalence was very high for
sepA (95.3%), mepA (89.4%), and norA (86.4%), intermediate for lmrS (60.8%) and
qacAB (40.5%), and low for smr (3.7%). A significant association between biocide
resistance genes and antibiotic resistance was observed, and a new cutoff MIC of
>=1 mg/liter for chlorhexidine nonsusceptibility was defined.
PMID- 26552980
TI - Azole Resistance in Aspergillus fumigatus Clinical Isolates from an Italian
Culture Collection.
AB - The aims of the study were to investigate the prevalence of azole resistance
among Aspergillus fumigatus clinical isolates. A total of 533 clinical isolates
that had been collected between 1995 and 2006, from 441 patients, were screened.
No resistance was detected in isolates collected between 1995 and 1997. Starting
in 1998, the resistance rate was 6.9%; a total of 24 patients (6.25%) harbored a
resistant isolate. The TR34/L98H substitution was found in 21 of 30 tested
isolates.
PMID- 26552981
TI - Susceptibility of Clostridium difficile Isolates of Varying Antimicrobial
Resistance Phenotypes to SMT19969 and 11 Comparators.
AB - We determined the in vitro activity of SMT19969 and 11 comparators, including
metronidazole, vancomycin, and fidaxomicin, against 107 C. difficile isolates of
different antimicrobial resistance phenotypes. Fidaxomicin and SMT19969 were the
most active. The fidaxomicin and SMT19969 geometric mean MICs were highest in
ribotypes known to show multiple resistance. Coresistance to linezolid and
moxifloxacin was evident in ribotypes 001, 017, 027, and 356. The high-level
ceftriaxone resistance in ribotypes 356 and 018 was location linked.
PMID- 26552982
TI - Extracellular DNA Acidifies Biofilms and Induces Aminoglycoside Resistance in
Pseudomonas aeruginosa.
AB - Biofilms consist of surface-adhered bacterial communities encased in an
extracellular matrix composed of DNA, exopolysaccharides, and proteins.
Extracellular DNA (eDNA) has a structural role in the formation of biofilms, can
bind and shield biofilms from aminoglycosides, and induces antimicrobial peptide
resistance mechanisms. Here, we provide evidence that eDNA is responsible for the
acidification of Pseudomonas aeruginosa planktonic cultures and biofilms.
Further, we show that acidic pH and acidification via eDNA constitute a signal
that is perceived by P. aeruginosa to induce the expression of genes regulated by
the PhoPQ and PmrAB two-component regulatory systems. Planktonic P. aeruginosa
cultured in exogenous 0.2% DNA or under acidic conditions demonstrates a 2- to 8
fold increase in aminoglycoside resistance. This resistance phenotype requires
the aminoarabinose modification of lipid A and the production of spermidine on
the bacterial outer membrane, which likely reduce the entry of aminoglycosides.
Interestingly, the additions of the basic amino acid L-arginine and sodium
bicarbonate neutralize the pH and restore P. aeruginosa susceptibility to
aminoglycosides, even in the presence of eDNA. These data illustrate that the
accumulation of eDNA in biofilms and infection sites can acidify the local
environment and that acidic pH promotes the P. aeruginosa antibiotic resistance
phenotype.
PMID- 26552983
TI - Insights into the Molecular Mechanism of Polymerization and Nucleoside Reverse
Transcriptase Inhibitor Incorporation by Human PrimPol.
AB - Human PrimPol is a newly identified DNA and RNA primase-polymerase of the archaeo
eukaryotic primase (AEP) superfamily and only the second known polymerase in the
mitochondria. Mechanistic studies have shown that interactions of the primary
mitochondrial DNA polymerase gamma (mtDNA Pol gamma) with nucleoside reverse
transcriptase inhibitors (NRTIs), key components in treating HIV infection, are a
major source of NRTI-associated toxicity. Understanding the interactions of host
polymerases with antiviral and anticancer nucleoside analog therapies is critical
for preventing life-threatening adverse events, particularly in AIDS patients who
undergo lifelong treatment. Since PrimPol has only recently been discovered, the
molecular mechanism of polymerization and incorporation of natural nucleotide and
NRTI substrates, crucial for assessing the potential for PrimPol-mediated NRTI
associated toxicity, has not been explored. We report for the first time a
transient-kinetic analysis of polymerization for each nucleotide and NRTI
substrate as catalyzed by PrimPol. These studies reveal that nucleotide
selectivity limits chemical catalysis while the release of the elongated DNA
product is the overall rate-limiting step. Remarkably, PrimPol incorporates four
of the eight FDA-approved antiviral NRTIs with a kinetic profile distinct from
that of mtDNA Pol gamma that may manifest in toxicity.
PMID- 26552984
TI - fosI Is a New Integron-Associated Gene Cassette Encoding Reduced Susceptibility
to Fosfomycin.
AB - In this work, we demonstrate that the fosI gene encodes a predicted small protein
with 134 amino acids and determines reduced susceptibility to fosfomycin. It
raised the MIC from 0.125 to 6 MUg/ml when the pBRA100 plasmid was introduced
into Escherichia coli TOP10 and to 16 MUg/ml when the gene was cloned into the
pBC_SK(-) vector and expressed in E. coli TOP10.
PMID- 26552985
TI - C5A Protects Macaques from Vaginal Simian-Human Immunodeficiency Virus Challenge.
AB - A safe and effective vaginal microbicide could decrease human immunodeficiency
virus (HIV) transmission in women. Here, we evaluated the safety and microbicidal
efficacy of a short amphipathic peptide, C5A, in a rhesus macaque model. We found
that a vaginal application of C5A protects 89% of the macaques from a simian
human immunodeficiency virus (SHIV-162P3) challenge. We observed no signs of
lesions or inflammation in animals vaginally treated with repeated C5A
applications. With its noncellular cytotoxic activity and rare mechanism of
action, C5A represents an attractive microbicidal candidate.
PMID- 26552986
TI - Inhibition of Calcium-Dependent Protein Kinase 1 (CDPK1) In Vitro by
Pyrazolopyrimidine Derivatives Does Not Correlate with Sensitivity of
Cryptosporidium parvum Growth in Cell Culture.
AB - Cryptosporidiosis is a serious diarrheal disease in immunocompromised patients
and malnourished children, and treatment is complicated by a lack of adequate
drugs. Recent studies suggest that the natural occurrence of a small gatekeeper
residue in serine threonine calcium-dependent protein kinase 1 (CDPK1) of
Cryptosporidium parvum might be exploited to target this enzyme and block
parasite growth. Here were explored the potency with which a series of
pyrazolopyrimidine analogs, which are selective for small gatekeeper kinases,
inhibit C. parvum CDPK1 and block C. parvum growth in tissue culture in vitro.
Although these compounds potently inhibited kinase activity in vitro, most had no
effect on parasite growth. Moreover, among those that were active against
parasite growth, there was a very poor correlation with their 50% inhibitory
concentrations against the enzyme. Active compounds also had no effect on cell
invasion, unlike the situation in Toxoplasma gondii, where these compounds block
CDPK1, prevent microneme secretion, and disrupt cell invasion. These findings
suggest that CPDK1 is not essential for C. parvum host cell invasion or growth
and therefore that it is not the optimal target for therapeutic intervention.
Nonetheless, several inhibitors with low micromolar 50% effective concentrations
were identified, and these may affect other essential targets in C. parvum that
are worthy of further exploration.
PMID- 26552987
TI - Interactions of OP0595, a Novel Triple-Action Diazabicyclooctane, with beta
Lactams against OP0595-Resistant Enterobacteriaceae Mutants.
AB - OP0595 is a novel diazabicyclooctane which, like avibactam, inhibits class A and
C beta-lactamases. In addition, unlike avibactam, it has antibacterial activity,
with MICs of 0.5 to 4 MUg/ml for most members of the family Enterobacteriaceae,
owing to inhibition of PBP2; moreover, it acts synergistically with PBP3-active
beta-lactams independently of beta-lactamase inhibition, via an "enhancer
effect." Enterobacteriaceae mutants stably resistant to 16 MUg/ml OP0595 were
selected on agar at frequencies of approximately 10(-7). Unsurprisingly, OP0595
continued to potentiate substrate beta-lactams against mutants derived from
Enterobacteriaceae with OP0595-inhibited class A and C beta-lactamases. Weaker
potentiation of partners, especially aztreonam, cefepime, and piperacillin--less
so meropenem--remained frequent for OP0595-resistant Enterobacteriaceae mutants
lacking beta-lactamases or with OP0595-resistant metallo-beta-lactamases (MBLs),
indicating that the enhancer effect is substantially retained even when
antibiotic activity is lost.
PMID- 26552988
TI - Heterologous Expression and Functional Characterization of the Exogenously
Acquired Aminoglycoside Resistance Methyltransferases RmtD, RmtD2, and RmtG.
AB - The exogenously acquired 16S rRNA methyltransferases RmtD, RmtD2, and RmtG were
cloned and heterologously expressed in Escherichia coli, and the recombinant
proteins were purified to near homogeneity. Each methyltransferase conferred an
aminoglycoside resistance profile consistent with m(7)G1405 modification, and
this activity was confirmed by in vitro 30S methylation assays. Analyses of
protein structure and interaction with S-adenosyl-l-methionine suggest that the
molecular mechanisms of substrate recognition and catalysis are conserved across
the 16S rRNA (m(7)G1405) methyltransferase family.
PMID- 26552990
TI - Doubly curved nanofiber-reinforced optically transparent composites.
AB - Doubly curved nanofiber-reinforced optically transparent composites with low
thermal expansion of 15 ppm/k are prepared by hot pressing vacuum-filtered
Pickering emulsions of hydrophobic acrylic resin monomer, hydrophilic chitin
nanofibers and water. The coalescence of acrylic monomer droplets in the emulsion
is prevented by the chitin nanofibers network. This transparent composite has 3D
shape moldability, making it attractive for optical precision parts.
PMID- 26552989
TI - Structure and function of the septum nasi and the underlying tension chord in
crocodylians.
AB - A long rostrum has distinct advantages for prey capture in an aquatic or semi
aquatic environment but at the same time poses severe problems concerning
stability during biting. We here investigate the role of the septum nasi of
brevirostrine crocodilians for load-absorption during mastication.
Histologically, both the septum nasi and the septum interorbitale consist of
hyaline cartilage and therefore mainly resist compression. However, we identified
a strand of tissue extending longitudinally below the septum nasi that is
characterized by a high content of collagenous and elastic fibers and could
therefore resist tensile stresses. This strand of tissue is connected with the m.
pterygoideus anterior. Two-dimensional finite element modeling shows that
minimization of bending in the crocodilian skull can only be achieved if tensile
stresses are counteracted by a strand of tissue. We propose that the newly
identified strand of tissue acts as an active tension chord necessary for
stabilizing the long rostrum of crocodilians during biting by transforming the
high bending stress of the rostrum into moderate compressive stress.
PMID- 26552991
TI - The heterocyst differentiation transcriptional regulator HetR of the filamentous
cyanobacterium Anabaena forms tetramers and can be regulated by phosphorylation.
AB - Many filamentous cyanobacteria respond to the external cue of nitrogen scarcity
by the differentiation of heterocysts, cells specialized in the fixation of
atmospheric nitrogen in oxic environments. Heterocysts follow a spatial pattern
along the filament of two heterocysts separated by ca. 10-15 vegetative cells
performing oxygenic photosynthesis. HetR is a transcriptional regulator that
directs heterocyst differentiation. In the model strain Anabaena sp. PCC 7120,
the HetR protein was observed in various oligomeric forms in vivo, including a
tetramer that peaked with maximal hetR expression during differentiation.
Tetramers were not detected in a hetR point mutant incapable of differentiation,
but were conspicuous in an over-differentiating strain lacking the PatS
inhibitor. In differentiated filaments the HetR tetramer was restricted to
heterocysts, being undetectable in vegetative cells. HetR co-purified with RNA
polymerase from Anabaena mainly as a tetramer. In vitro, purified recombinant
HetR was distributed between monomers, dimers, trimers and tetramers, and it was
phosphorylated when incubated with (gamma-(32)P)ATP. Phosphorylation and PatS
hampered the accumulation of HetR tetramers and impaired HetR binding to DNA. In
summary, tetrameric HetR appears to represent a functionally relevant form of
HetR, whose abundance in the Anabaena filament could be negatively regulated by
phosphorylation and by PatS.
PMID- 26552992
TI - Which one of the two common reporter systems is more suitable for
chemiluminescent enzyme immunoassay: alkaline phosphatase or horseradish
peroxidase?
AB - Alkaline phosphatase and horseradish peroxidase are the most commonly used
reporter systems in chemiluminescent enzyme immunoassay (CLEIA). Which one,
therefore, would be better when establishing a CLEIA method for a new target
substance? There was no standard answer. In this study, both reporters were
compared systematically including luminescence kinetics, conjugation methods,
optimal condition and detection performance, using two common drugs, SD-methoxy
pyrimidine and enrofloxacin, as determination objects. The results revealed that
there was much difference between the luminescence kinetics of the two systems.
However, there was little difference between these systems when detecting the
same substance, including in optimal conditions and determination of performance.
Both reporters were suitable for establishing chemiluminescent enzyme
immunoassays. Therefore, the choice of alkaline phosphatase or horseradish
peroxidase as the reporter system in chemiluminescent enzyme immunoassays depends
on availability. Conversely, these two report systems could be applied in
simultaneous analysis of multicomponents due to their different optical behaviors
and similar performances. But attention should be paid to conjugation method and
coating buffer, which affected the luminescent intensity of different
determination targets.
PMID- 26552993
TI - Flood Risk, Flood Mitigation, and Location Choice: Evaluating the National Flood
Insurance Program's Community Rating System.
AB - Climate change is expected to worsen the negative effects of natural disasters
like floods. The negative impacts, however, can be mitigated by individuals'
adjustments through migration and relocation behaviors. Previous literature has
identified flood risk as one significant driver in relocation decisions, but no
prior study examines the effect of the National Flood Insurance Program's
voluntary program-the Community Rating System (CRS)-on residential location
choice. This article fills this gap and tests the hypothesis that flood risk and
the CRS-creditable flood control activities affect residential location choices.
We employ a two-stage sorting model to empirically estimate the effects. In the
first stage, individuals' risk perception and preference heterogeneity for the
CRS activities are considered, while mean effects of flood risk and the CRS
activities are estimated in the second stage. We then estimate heterogeneous
marginal willingness to pay (WTP) for the CRS activities by category. Results
show that age, ethnicity and race, educational attainment, and prior exposure to
risk explain risk perception. We find significant values for the CRS-creditable
mitigation activities, which provides empirical evidence for the benefits
associated with the program. The marginal WTP for an additional credit point
earned for public information activities, including hazard disclosure, is found
to be the highest. Results also suggest that water amenities dominate flood risk.
Thus, high amenity values may increase exposure to flood risk, and flood
mitigation projects should be strategized in coastal regions accordingly.
PMID- 26552994
TI - The 'cause' of my cancer, beliefs about cause among breast cancer patients and
survivors who do and do not seek IO care.
AB - OBJECTIVE: We sought to describe survivors' beliefs about the cause of their
breast cancer and to test the hypothesis that beliefs about cancer's cause are
associated with treatment preferences in accordance with the common sense model
of self-regulation of health and illness. METHODS: Breast cancer survivors (n =
552) participating in an observational study of cancer outcomes responded to an
open-ended question about the cause of their cancer. Of these, 245 women had
sought treatment from complementary and alternative integrative oncology (IO)
clinics, and 307 women did not. RESULTS: Women frequently described theories for
their cancer's cause including genetics and family history (31%), stress and
coping (31%), toxins and chemicals (27%), a variety of lifestyle and
epidemiological risk factors, and randomness (17%). Self-reported beliefs about
cancer's cause differed among women in association with their use of IO. IO users
were somewhat more likely to describe stress and poor coping as causes of their
cancer and less likely to describe random chance as a cause of cancer (p < 0.05).
CONCLUSIONS: Beliefs about the cause of cancer change over time and may predict
decisions to use specific treatment including complementary and alternative
medicine and IO. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26552995
TI - Prevalence and severity of gingivitis in school students aged 6-11 years in
Tafelah Governorate, South Jordan: results of the survey executed by National
Woman's Health Care Center.
AB - BACKGROUND: A cross-sectional census was conducted on 994 public school students
aged 6-11 years living in 3 different parts of Tafeleh Governorate-South of
Jordan, to determine the prevalence, and severity of gingivitis and to evaluate
the oral hygiene habits among them as a part a survey executed by National
Woman's Health Care Center. All students were examined for gingival index (GI)
and plaque index (PI), information about oral hygiene habits was recorded.
RESULTS: Only 29.8 % had healthy gingiva, 38.5 % had mild gingivitis, 31.4 % had
moderate gingivitis, and 0.3 % had severe gingivitis. The difference between both
genders was not statistically significant P > 0.05. 36.8 % of the examined
students never brushed their teeth. Average gingival index (GI) and average
plaque index (PI) were 0.77 and 0.61 respectively. CONCLUSIONS: Fair oral hygiene
with mild to moderate gingivitis is highly prevalent among Tafelah school
children. This study indicated that oral health status among schoolchildren in
Tafelah is poor and needs to be improved. Long-term school based oral health
education programme is highly recommended.
PMID- 26552996
TI - First and repeat liver resection for primary and recurrent intrahepatic
cholangiocarcinoma.
AB - BACKGROUND: Recurrence after resection of intrahepatic cholangiocarcinoma (ICC)
remains common. The present study sought to evaluate risk factors for recurrence
and the results of repeat liver resection (RLR) for recurrent ICC. METHODS:
Between 1997 and 2012, clinical data and outcomes of 125 consecutive patients
undergoing liver resection for ICC were retrospectively analyzed. RESULTS: The
rate of R0 resection was 89% (n = 110). Overall median survival was 35 months,
and 1-, 3-, and 5-year actuarial survival rates were 80%, 48%, and 28%,
respectively. Recurrence occurred in 76 patients (63.5%) and was intrahepatic
only for 39 patients (51%). Tumor size greater than 5 cm was identified as an
independent risk factor for recurrence (P <= .0001). RLR for recurrent ICC was
feasible in 10 patients (25%) with a median survival after recurrence of 25
months (16 to 76). CONCLUSIONS: Tumor size more than 5 cm represents an
independent risk factor for recurrence after resection of ICC. RLR in case of
recurrent ICC, when feasible, is associated with longer overall survival.
PMID- 26552997
TI - Influence of preoperative magnetic resonance imaging on the surgical management
of breast cancer patients.
AB - BACKGROUND: Magnetic resonance imaging (MRI) is gaining popularity in the
preoperative management of breast cancer patients. However, the role of this
modality remains controversial. We aimed to study the impact of preoperative MRI
(pMRI) on the surgical management of breast cancer patients. METHODS: This
retrospective study included 766 subjects with breast cancer treated operatively
at the specialized academic center. RESULTS: Between those who underwent pMRI
(MRI group, n = 307) and those who did not (no-MRI group, n = 458), there were no
significant differences (P = .254) in the proportions of either total
mastectomies (20.5% vs 17.2%, respectively) or segmental mastectomies (79.5% vs
82.8%). Patients in the MRI group were significantly more likely (P = .002) to
undergo contralateral surgery (11.7% vs 5.5%). Similar results were obtained in
multivariate analysis adjusting for age, with the proportions of contralateral
breast operations significantly higher in the MRI group (Odds Ratio = 2.25, P =
.007). pMRI had no significant effect (P = .54) on the proportion of total re
excisions (7.5% vs 8.7%) or the type of re-excision (total vs segmental
mastectomy) between the groups. CONCLUSIONS: pMRI does not have a significant
impact on the type of operative intervention on the ipsilateral breast but is
associated with an increase in contralateral operations. Similarly, pMRI does not
change the proportion of re-excisions or the type of the re-excision performed.
This study demonstrates that pMRI has little impact on the surgical management of
breast cancer, and its value as a routine adjunct in the preoperative work-up of
recently diagnosed breast cancer patients needs to be re-examined.
PMID- 26552998
TI - Is moral bioenhancement dangerous?
AB - In a recent response to Persson and Savulescu's Unfit for the Future, Nicholas
Agar argues that moral bioenhancement is dangerous. His grounds for this are that
normal moral judgement should be privileged because it involves a balance of
moral subcapacities; moral bioenhancement, Agar argues, involves the enhancement
of only particular moral subcapacities, and thus upsets the balance inherent in
normal moral judgement. Mistaken moral judgements, he says, are likely to result.
I argue that Agar's argument fails for two reasons. First, having strength in a
particular moral subcapacity does not necessarily entail a worsening of moral
judgement; it can involve strength in a particular aspect of morality. Second,
normal moral judgement is not sufficiently likely to be correct to be the
standard by which moral judgements are measured.
PMID- 26552999
TI - Indeterminacy and the normative basis of the harm threshold for overriding
parental decisions: a response to Birchley.
AB - Birchley's critique of the harm threshold for overriding parental decisions is
successful in demonstrating that the harm threshold, like the best interests
standard, suffers from the problem of indeterminacy. However, his focus on
critiquing empirical rather than normative arguments for the harm threshold means
that his broad conclusion that it is 'ill-judged' is not justified. Advocates of
the harm threshold can accept that the concept of harm to a child is
indeterminate, yet still invoke strong normative arguments for this way of
responding to parental decisions that conflict with medical recommendations. I
suggest that Birchley's discussion, rather than showing that the harm threshold
is mistaken, instead highlights the importance of developing a comprehensive
account of children's interests, for proponents of a best interests approach and
for advocates of the harm threshold.
PMID- 26553000
TI - Does acromegaly suffice to explain the origin of Pulcinella? A novel
interpretation.
PMID- 26553001
TI - Efficacy and safety of haloperidol for in-hospital delirium prevention and
treatment: A systematic review of current evidence.
AB - OBJECTIVE: Haloperidol is generally considered the drug of choice for in-hospital
delirium management. We conducted a systematic review to evaluate the evidence
for the efficacy and safety of haloperidol for the prevention and treatment of
delirium in hospitalized patients. METHODS: PubMed, Embase, Cumulative Index to
Nursing and Allied Health (CINAHL), PsycINFO, and the Cochrane Library were
systematically searched up to April 21, 2015. We included English full-text
randomized controlled trials using haloperidol for the prevention or treatment of
delirium in adult hospitalized patients reporting on delirium incidence,
duration, or severity as primary outcome. Quality of evidence was graded. Meta
analysis was not conducted because of between-study heterogeneity. RESULTS:
Twelve studies met our inclusion criteria, four prevention and eight treatment
trials. Methodological limitations decreased the graded quality of included
studies. Results from placebo-controlled prevention studies suggest a haloperidol
induced protective effect for delirium in older patients scheduled for surgery:
two studies reported a significant reduction in ICU delirium incidence and one
study found a significant reduction in delirium severity and duration. Although
placebo-controlled trials are missing, pharmacological treatment of established
delirium reduced symptom severity. Haloperidol administration was not associated
with treatment-limiting side-effects, but few studies used a systematic approach
to identify adverse events. CONCLUSION: Although results on haloperidol for
delirium management seem promising, current prevention trials lack external
validity and treatment trials did not include a placebo arm on top of standard
nonpharmacological care. We therefore conclude that the current use of
haloperidol for in-hospital delirium is not based on robust and generalizable
evidence.
PMID- 26553002
TI - A comprehensive mRNA expression analysis of developing chicken articular
cartilage.
AB - Articular cartilage present at the ends of appendicular skeletal elements
provides friction-less movement to the synovial joints and any damage to this
tissue can lead to a degenerative disease of joint called osteoarthritis. During
past two decades although many genes e.g.,Gdf5, Wnt9a, Noggin etc. have been
identified and characterized in joint development, still a comprehensive
understanding of molecular network(s) operational in articular cartilage
morphogenesis is far from being drawn. Here we report identification of 36 genes
(19 from literature survey and 17 from microarray analysis) that are expressed in
developing chicken phalangeal joints in a spatiotemporally dynamic manner. For
both these set of genes across the time window investigated we observed three
kinds of expression patterns: early, late and constant. The early expressed genes
are invariably expressed in a domain broader than the interzone while the late
expressed genes are expressed in restricted spatial domains. The comprehensive
expression analysis presented in this report provides a candidate list of
molecular players involved in articular cartilage differentiation and/or
maintenance.
PMID- 26553003
TI - Reconstruction of 3D genome architecture via a two-stage algorithm.
AB - BACKGROUND: The three-dimensional (3D) configuration of chromosomes within the
eukaryote nucleus is an important factor for several cellular functions,
including gene expression regulation, and has also been linked with cancer
causing translocation events. While visualization of such architecture remains
limited to low resolutions, the ability to infer structures at increasing
resolutions has been enabled by recently-devised chromosome conformation capture
techniques. In particular, when coupled with next generation sequencing, such
methods yield an inventory of genome-wide chromatin contacts or interactions.
Various algorithms have been advanced to operate on such contact data to produce
reconstructed 3D configurations. Studies have shown that these reconstructions
can provide added value over raw interaction data with respect to downstream
biological insights. However, only limited, low-resolution reconstructions have
been realized for mammals due to computational bottlenecks. RESULTS: Here we
propose a two-stage algorithm to partially overcome these computational barriers.
The central idea is to initially utilize existing reconstruction techniques on an
individual chromosome basis, using intra-chromosomal contacts, and then to
relatively position these chromosome-level reconstructions using inter
chromosomal contacts. This two-stage strategy represents a natural approach in
view of the within- versus between- chromosome distribution of contacts. It can
increase resolution ~ 20 fold for mouse and human. After describing the algorithm
we present 3D architectures for mouse embryonic stem cells and human
lymphoblastoid cells. We evaluate the impact of several factors on reconstruction
reproducibility and explore a variety of sampling schemes. We further analyze
replicate data at differing resolutions obtained from recently devised in situ Hi
C assays. In all instances we demonstrate insensitivity of the whole-genome 3D
reconstruction obtained by the two-stage algorithm to the sampling strategy used.
CONCLUSIONS: Our two-stage algorithm has the potential to significantly increase
the resolution of 3D genome reconstructions. The improvements are such that we
can progress from 1 Mb resolution to 100 kb resolution, notable since this latter
value has been identified as critical to inferring topological domains in
analyses performed on the contact (rather than 3D) level.
PMID- 26553004
TI - Infrastructural challenges to better health in maternity facilities in rural
Kenya: community and healthworker perceptions.
AB - BACKGROUND: The efforts and commitments to accelerate progress towards the
Millennium Development Goals for maternal and newborn health (MDGs 4 and 5) in
low and middle income countries have focused primarily on providing key medical
interventions at maternity facilities to save the lives of women at the time of
childbirth, as well as their babies. However, in most rural communities in sub
Saharan, access to maternal and newborn care services is still limited and even
where services are available they often lack the infrastructural prerequisites to
function at the very basic level in providing essential routine health care
services, let alone emergency care. Lists of essential interventions for normal
and complicated childbirth, do not take into account these prerequisites, thus
the needs of most health facilities in rural communities are ignored, although
there is enough evidence that maternal and newborn deaths continue to remain
unacceptably high in these areas. METHODS: This study uses data gathered through
qualitative interviews in Kitonyoni and Mwania sub-locations of Makueni County in
Eastern Kenya to understand community and provider perceptions of the obstacles
faced in providing and accessing maternal and newborn care at health facilities
in their localities. RESULTS: The study finds that the community perceives
various challenges, most of which are infrastructural, including lack of
electricity, water and poor roads that adversely impact the provision and access
to essential life-saving maternal and newborn care services in the two sub
locations. CONCLUSIONS: The findings and recommendations from this study are
important for the attention of policy makers and programme managers in order to
improve the state of lower-tier health facilities serving rural communities and
to strengthen infrastructure with the aim of making basic routine and emergency
obstetric and newborn care services more accessible.
PMID- 26553005
TI - Modulation of expression of heat shock proteins and apoptosis by Flueggea
leucopyrus (Willd) decoction in three breast cancer phenotypes.
AB - BACKGROUND: During the past few years, there has been an increasing interest
among the Traditional and Folk medical practitioners of Sri Lanka in the use of a
decoction prepared from Flueggea leucopyrus (Willd.) for treating various cancers
including breast cancer. In the present study, the cytotoxicity of this decoction
and its effects on Heat Shock Protein (HSP) expression and apoptosis were
compared in three breast cancer phenotypes, to scientifically evaluate if a
decoction prepared from F. leucopyrus (Willd.) is useful for the treatment of
breast cancer. METHODS: Cytotoxic potential of the F. leucopyrus decoction was
determined by evaluating its effects in MCF-7, MDA-MB-231 and SKBR-3 breast
cancer cell lines, and MCF-10A (non-cancerous) breast cell line, by use of the
Sulphorhodamine (SRB) assay. The effect of the decoction on HSP gene expression
in the above cells was evaluated by (a) Real time reverse transcription PCR (RT
PCR) and (b) Immunofluorescence analysis of HSP protein expression. Effects of
the decoction on apoptosis were evaluated by (a) fluorescent microscopic
examination of apoptosis related morphological changes and (b) DNA fragmentation
(c) Caspase 3/7 assay. RESULTS: F. leucopyrus decoction can mediate significant
cytotoxic effects in all three breast cancer cells phenotypes (IC50 values:
27.89, 99.43, 121.43 MUg/mL at 24 h post incubation periods, for MCF-7, MDA-MB
231, SKBR-3 respectively) with little effect in the non-cancerous breast cell
line MCF-10A (IC50: 570.4 MUg/mL). Significant (*P <0.05) inhibitions of HSP 90
and HSP 70 expression were mediated by the decoction in MCF-7 and MDA-MB-231,
with little effect in the SKBR-3 cells. Clear apoptotic morphological changes on
Acridine orange/Ethidium bromide staining and DNA fragmentation were observed in
all three breast cancer cell lines. Caspase 3/7 were significantly (*P <0.05)
activated only in MDA-MB-231 and SKBR-3 cells indicating caspase dependent
apoptosis in these cells and caspase independent apoptosis in MCF-7 cells.
CONCLUSIONS: Modulation of HSP 90 and HSP 70 expressions is a possible mechanism
by which the decoction of F. leucopyrus mediates cytotoxic effects MCF-7 and MDA
MB-231 cells. This effect appears to correlate with enhanced apoptosis in these
cells. In SKBR-3 cells, mechanisms other than HSP inhibition may be utilized to a
greater extent by the decoction to mediate the observed cytotoxic effects.
Overall findings suggest that the decoction has the potential to be exploited
further for effective treatment of breast cancer.
PMID- 26553006
TI - Effect of phytosterols and inulin-enriched soymilk on LDL-cholesterol in Thai
subjects: a double-blinded randomized controlled trial.
AB - BACKGROUND: Hypercholesterolemia, particularly high LDL-c and non-HDL-c levels,
is a traditional risk for cardiovascular disease. Ingestion of diets containing
phytosterols and inulin can reduce plasma LDL-c and triglyceride levels,
respectively. Phytosterols and inulin-enriched soymilk may be an alternative for
a supplemental diet to improve both LDL-c and non-HDL-c to reduce the risk of
cardiovascular disease. METHODS: Two hundred and forty subjects who were 18 years
old or older and had a baseline LDL-c of 130 mg/dl or higher were enrolled into
the double-blinded randomized controlled trial study. Subjects were randomly
assigned into the study group that received 2 g/day of phytosterols and 10 g/day
of inulin-enriched soymilk or into the control group that received standard
soymilk. The lipid profile was measured every 2 weeks for 8 weeks. Primary
outcomes were 1) to determine the LDL-c reduction after consumption of
phytosterols and inulin-enriched soymilk for 8 weeks and 2) to compare the
difference of the LDL-c levels between the study and control groups. The
secondary outcomes were to compare the difference of TC, TG and HDL-c between the
study and control groups. RESULTS: At the end of the study, the median LDL-c
levels decreased significantly from 165 (132, 254) mg/dl to 150 (105, 263) mg/dl
in the study group (p < 0.001) and from 165 (130, 243) mg/dl to 159 (89, 277)
mg/dl in the control group (p = 0.014). The LDL-c reduction was significantly
better in the study group (-10.03%, (-37.07, 36.00) vs -1.31% (-53.40, 89.73), p
< 0.001). TC also reduced significantly by 6.60% in the study group while it
reduced only by 1.76% in the control group (p < 0.001). There were no statistical
differences in TG and HDL-c levels between both study groups. The adverse events
in the study group and the control groups were not different (RR 1.33 [0.871
2.030, 95 % CI]). CONCLUSION: Daily consumption of soymilk containing 2 g of
phytosterols and 10 g of inulin reduced TC and LDL-c better than standard
soymilk. It had no effect on TG and HDL-c levels compared to standard soymilk.
Both soymilk products were comparably safe. TRIAL REGISTRATION: Thai Clinical
Trial Registry: TCTR20150417001 date: April 17, 2015.
PMID- 26553008
TI - Study of surface charges in ballistic deflection transistors.
AB - This paper presents a comprehensive study of the behavior of surface charges in
ballistic deflection transistors, at room temperature, where the in-plane
geometry associating two drains with two gates in push-pull modes allows the
control of electron path. Monte Carlo simulations were performed and compared
with experimental data by using different models for accounting for surface
charge effects. The simple model which assumes a constant and uniform value of
the surface charge provides good results at equilibrium, but it is not able to
correctly reproduce the BDT's complex behavior when biased. We have confirmed
that for a correct description of the device operation it is necessary to use a
model allowing the surface charge to adapt itself locally to the carrier
concentration in its surroundings.
PMID- 26553009
TI - Editorial: Supramolecular Systems in Nanomedicines: Therapeutic Applications and
Future Perspectives - Part I.
PMID- 26553007
TI - G-8 indicates overall and quality-adjusted survival in older head and neck cancer
patients treated with curative radiochemotherapy.
AB - BACKGROUND: Evidence-based guidelines concerning the older head and neck cancer
(HNCA) patient are lacking. Accurate patient selection for optimal care
management is therefore challenging. We examined if geriatric assessment is
indicative of long-term health-related quality of life (HRQOL) and overall
survival in this unique population. METHODS: All HNCA patients, aged >=65 years,
eligible for curative radio(chemo)therapy were evaluated with the Geriatric-8 (G
8) questionnaire and a comprehensive geriatric assessment (CGA). Euroqol-5
dimensions (EQ-5D) and survival were collected until 36 months post treatment
start. Repeated measures ANOVA was applied to analyse HRQOL evolution in 'fit'
and 'vulnerable' patients, defined by G-8. Kaplan-Meier curves and cox
proportional hazard analysis were established for determination of the prognostic
value of geriatric assessments. Quality-adjusted survival was calculated in both
patient subgroups. RESULTS: One hundred patients were recruited. Seventy-two
percent of patients were considered vulnerable according to CGA (>=2 abnormal
tests). Fit patients maintained a relatively acceptable long-term HRQOL, whilst
vulnerable patients showed significantly lower median health states. The
difference remained apparent at 36 months. Vulnerability, as classified by G-8 or
CGA, came forward as independent predictor for lower EQ-5D index scores. After
consideration of confounders, a significantly lower survival was observed in
patients defined vulnerable according to G-8, compared to fit patients. A similar
trend was seen based on CGA. Calculation of quality-adjusted survival showed
significantly less remaining life months in perfect health in vulnerable
patients, compared to fit ones. CONCLUSIONS: G-8 is indicative of quality
adjusted survival, and should be considered at time of treatment decisions for
the older HNCA patient.
PMID- 26553010
TI - Proline Oxidase (POX) as A Target for Cancer Therapy.
AB - Proline dehydrogenase/proline oxidase (PRODH/POX) is an enzyme catalyzing the
first step of proline degradation, during which ROS and/or ATP is generated. POX
is widely distributed in living organisms and is responsible for a number of
regulatory processes such as redox homeostasis, osmotic adaptation, cell
signaling and oxidative stress. Recent data provided evidence that POX plays an
important role in carcinogenesis and tumor growth. POX may induce apoptosis in
both intrinsic and extrinsic way. Due to ROS generation, POX may induce caspase-9
activity, which mediates mitochondrial apoptosis (intrinsic apoptosis pathway).
POX can also stimulate TRAIL (tumor necrosis factorrelated apoptosis inducing
ligand) and DR5 (death receptor 5) expression, resulting in cleavage of
procaspase-8 and thus extrinsic apoptotic pathway. However, this tumor suppressor
in certain environmental conditions may act as a prosurvival factor. Genotoxic,
inflammatory and metabolic stress may switch POX from tumor growth inhibiting to
tumor growth supporting factor. The potential mechanisms which may regulate
switching of POX mode are discussed in this review.
PMID- 26553011
TI - Increased Prevalence of Controlled Viremia and Decreased Rates of HIV Drug
Resistance Among HIV-Positive People Who Use Illicit Drugs During a Community
wide Treatment-as-Prevention Initiative.
AB - BACKGROUND: Although treatment-as prevention (TasP) is a new cornerstone of
global human immunodeficiency virus (HIV)-AIDS strategies, its effect among HIV
positive people who use illicit drugs (PWUD) has yet to be evaluated. We sought
to describe longitudinal trends in exposure to antiretroviral therapy (ART),
plasma HIV-1 RNA viral load (VL) and HIV drug resistance during a community-wide
TasP intervention. METHODS: We used data from the AIDS Care Cohort to Evaluate
Exposure to Survival Services study, a prospective cohort of HIV-positive PWUD
linked to HIV clinical monitoring records. We estimated longitudinal changes in
the proportion of individuals with VL <50 copies/mL and rates of HIV drug
resistance using generalized estimating equations (GEE) and extended Cox models.
RESULTS: Between 1 January 2006 and 30 June 2014, 819 individuals were recruited
and contributed 1 or more VL observation. During that time, the proportion of
individuals with nondetectable VL increased from 28% to 63% (P < .001). In a
multivariable GEE model, later year of observation was independently and
positively associated with greater likelihood of nondetectable VL (adjusted odds
ratio = 1.20 per year; P < .001). Although the proportion of individuals on ART
increased, the incidence of HIV drug resistance declined (adjusted hazard ratio =
0.78 per year; P = .011). CONCLUSIONS: We observed significant improvements in
several measures of exposure to ART and virologic status, including declines in
HIV drug resistance, in this large long-running community-recruited cohort of HIV
seropositive illicit drug users during a community-wide ART expansion
intervention. Our findings support continued efforts to scale up ART coverage
among HIV-positive PWUD.
PMID- 26553013
TI - Replication in Psychological Science.
PMID- 26553012
TI - In Vivo Profiling and Distribution of Known and Novel Phase I and Phase II
Metabolites of Efavirenz in Plasma, Urine, and Cerebrospinal Fluid.
AB - Efavirenz (EFV) is principally metabolized by CYP2B6 to 8-hydroxy-efavirenz (8OH
EFV) and to a lesser extent by CYP2A6 to 7-hydroxy-efavirenz (7OH-EFV). So far,
most metabolite profile analyses have been restricted to 8OH-EFV, 7OH-EFV, and
EFV-N-glucuronide, even though these metabolites represent a minor percentage of
EFV metabolites present in vivo. We have performed a quantitative phase I and II
metabolite profile analysis by tandem mass spectrometry of plasma, cerebrospinal
fluid (CSF), and urine samples in 71 human immunodeficiency virus patients taking
efavirenz, prior to and after enzymatic (glucuronidase and sulfatase) hydrolysis.
We have shown that phase II metabolites constitute the major part of the known
circulating efavirenz species in humans. The 8OH-EFV-glucuronide (gln) and 8OH
EFV-sulfate (identified for the first time) in humans were found to be 64- and 7
fold higher than the parent 8OH-EFV, respectively. In individuals (n = 67)
genotyped for CYP2B6, 2A6, and CYP3A metabolic pathways, 8OH-EFV/EFV ratios in
plasma were an index of CYP2B6 phenotypic activity (P < 0.0001), which was also
reflected by phase II metabolites 8OH-EFV-glucuronide/EFV and 8OH-EFV-sulfate/EFV
ratios. Neither EFV nor 8OH-EFV, nor any other considered metabolites in plasma
were associated with an increased risk of central nervous system (CNS) toxicity.
In CSF, 8OH-EFV levels were not influenced by CYP2B6 genotypes and did not
predict CNS toxicity. The phase II metabolites 8OH-EFV-gln, 8OH-EFV-sulfate, and
7OH-EFV-gln were present in CSF at 2- to 9-fold higher concentrations than 8OH
EFV. The potential contribution of known and previously unreported EFV
metabolites in CSF to the neuropsychological effects of efavirenz needs to be
further examined in larger cohort studies.
PMID- 26553014
TI - Memory Transmission in Small Groups and Large Networks: An Agent-Based Model.
AB - The spread of social influence in large social networks has long been an interest
of social scientists. In the domain of memory, collaborative memory experiments
have illuminated cognitive mechanisms that allow information to be transmitted
between interacting individuals, but these experiments have focused on small
scale social contexts. In the current study, we took a computational approach,
circumventing the practical constraints of laboratory paradigms and providing
novel results at scales unreachable by laboratory methodologies. Our model
embodied theoretical knowledge derived from small-group experiments and
replicated foundational results regarding collaborative inhibition and memory
convergence in small groups. Ultimately, we investigated large-scale, realistic
social networks and found that agents are influenced by the agents with which
they interact, but we also found that agents are influenced by nonneighbors
(i.e., the neighbors of their neighbors). The similarity between these results
and the reports of behavioral transmission in large networks offers a major
theoretical insight by linking behavioral transmission to the spread of
information.
PMID- 26553016
TI - Is there benefit in extensive screening for cancer in patients with unprovoked
venous thromboembolism?
PMID- 26553015
TI - A bulk segregant transcriptome analysis reveals metabolic and cellular processes
associated with Orange allelic variation and fruit beta-carotene accumulation in
melon fruit.
AB - BACKGROUND: Melon fruit flesh color is primarily controlled by the "golden"
single nucleotide polymorhism of the "Orange" gene, CmOr, which dominantly
triggers the accumulation of the pro-vitamin A molecule, beta-carotene, in the
fruit mesocarp. The mechanism by which CmOr operates is not fully understood. To
identify cellular and metabolic processes associated with CmOr allelic variation,
we compared the transcriptome of bulks of developing fruit of homozygous orange
and green fruited F3 families derived from a cross between orange and green
fruited parental lines. RESULTS: Pooling together F3 families that share same
fruit flesh color and thus the same CmOr allelic variation, normalized traits
unrelated to CmOr allelic variation. RNA sequencing analysis of these bulks
enabled the identification of differentially expressed genes. These genes were
clustered into functional groups. The relatively enriched functional groups were
those involved in photosynthesis, RNA and protein regulation, and response to
stress. CONCLUSIONS: The differentially expressed genes and the enriched
processes identified here by bulk segregant RNA sequencing analysis are likely
part of the regulatory network of CmOr. Our study demonstrates the resolution
power of bulk segregant RNA sequencing in identifying genes related to
commercially important traits and provides a useful tool for better understanding
the mode of action of CmOr gene in the mediation of carotenoid accumulation.
PMID- 26553017
TI - N2 extenuates experimental ischemic stroke through platelet aggregation
inhibition.
AB - INTRODUCTION: Thromboxane A2 (TXA2) can induce the platelet aggregation and lead
to thrombosis. This will cause the low-reflow phenomenon after ischemic stroke
and aggravate the damage of brain issues. Therefore, it is potential to develop
the drugs inhibiting TXA2 pathway to treat cerebral ischemia. AIM: This study
aims to prove the protective effect of N2 (4-(2-(1H-imidazol-1-yl) ethoxy)-3
methoxybenzoic acid) on focal cerebral ischemia and reperfusion injury through
platelet aggregation inhibition. MATERIALS AND METHODS: Middle cerebral artery
occlusion/reperfusion (MCAO/R) is used as the animal model. Neurological deficit
score, Morris water maze, postural reflex test, Limb-use asymmetry test, infarct
volume, and water content were performed to evaluate the protective effect of N2
in MCAO/R rats. 9, 11-dieoxy-11alpha, 9alpha-methanoepoxyprostaglandin F2alpha
(U46619) or adenosine diphosphate (ADP) was used as the inducer of platelet
aggregation. RESULTS AND CONCLUSIONS: N2 can improve the motor function, learning
and memory ability in MCAO/R rats while reducing the infarct volume. N2 can
inhibit TXA2 formation but promote PGI2, and can inhibit platelet aggregation
induced by U46619 and ADP. Further, N2 inhibits thrombosis with a minor adverse
effect of bleeding than Clopidogrel. In conclusion, N2 can produce the protective
effect on MCAO/R brain injury through inhibiting TXA2 formation, platelet
aggregation and thrombosis.
PMID- 26553018
TI - Role of blood transfusion product type and amount in deep vein thrombosis after
cardiac surgery.
AB - BACKGROUND: Postoperative deep vein thrombosis (DVT) is associated with
significant morbidity. Even with maximal thromboprophylaxis, postoperative DVT is
present in 10% of cardiac surgery patients, and is linked to receiving
transfusion. We hypothesized that the incidence of DVT varies with the transfused
blood product type, and increases with transfusion dose. STUDY DESIGN AND
METHODS: 139/1070 cardiac surgery patients have DVT despite maximal chemo and
mechanical prophylaxis. DVTs were detected via serial perioperative duplex venous
scans (DVS). Red blood cells (RBC), platelets (PLT), plasma (FFP) and
cryoprecipitate transfusion data were collected. RESULTS: Transfusion was used in
506(47%) patients: RBC [468(44%); 4.0 +/- 4.2u]; FFP [155(14.5%); 3.5 +/- 2.3 u];
PLT [185(17.3%); 2.2 +/- 1.3 u] and Cryoprecipitate [51(4.8%); 1.3 +/- 0.6 u].
Isolated RBC transfusion accounted for 92.6% patients receiving one product, and
their DVT rate was increased considerably compared to no transfusion (16.7%
versus 7.3%; P<0.001). Incidence of DVT increased substantially for multiple
product transfusions; particularly when both RBC and FFP are used (25%-40%).
Relative to no RBC (n=602), multivariate logistic regression analysis identified
a significant RBC-DVT dose dependent relation (P<0.001) with: 1-3 RBC units
[n=285, AOR=1.95(1.23-3.07), adjusted odds ratio (95% confidence interval)]; 4-6
units [n=117; AOR=1.65(0.86-3.20)]; and >= 7 RBC units [n=66; 3.19(1.52-6.70)].
This relation also increased according to an RBC*FFP interaction term
[AOR=1.87(1.11-3.22); P=0.022]. CONCLUSION: RBC transfusion is associated with
increased risk of DVT after cardiac surgery in a dose-dependent fashion that is
exacerbated when accompanied with FFP. Postoperative screening diagnostic DVS are
warranted in this transfused, high risk for DVT population to facilitate timely
therapeutic intervention.
PMID- 26553019
TI - Prevention and follow-up in thromboembolic ischemic stroke: Do we need to think
out of the box?
AB - Stroke is one of the most debilitating thrombotic diseases, and world-wide it is
estimated that by, 2030, 23 million people will be affected. Except for the
impact on the individual families, the world economy is also affected adversely.
Although the medical treatment and knowledge of stroke are both increasing and
well-researched, we still do not see a decrease in stroke prevalence. Currently
various diagnostic tests are employed to determine the specific type of ischemic
stroke as classified by the TOAST criteria. However, these tests are done after
the stroke has occurred and therefore only contribute to the unquestionably
crucial aspect of treating that particular stroke patient, but it does not
improve prevention of future events. Prevention strategies regarding first-time
stroke need urgent attention given the alarming present and future incidence of
stroke. Therefore, here we discuss the importance of stroke prevention and
suggest a more inclusive, perhaps "new" comprehensive approach for pre-stroke
screening. Ultrastructural tests, particularly scanning electron microscopy,
provide an innovative and novel advance in preventative and individualized
patient-centered precision medicine. This precise technique when used in
combination with well-established methods, as well as viscoelastic methods like
thromboelastography (TEG), as a screening tool to prevent stroke can ultimately
alleviate the financial and economical burden of stroke and also improve quality
of life. Although we appreciate the fact that this suggestion might be difficult
to accept by clinicians, a bold new approach is needed to address this pandemic
we call stroke.
PMID- 26553020
TI - Identifying venous thromboembolism and major bleeding in emergency room
discharges using administrative data.
AB - BACKGROUND: Administrative data can be used to identify venous thromboembolism
(VTE) and major bleeding (MB) events. However, the validity of this data in
emergency room discharge records in Canada is unknown. METHODS: We conducted a
single-institution retrospective chart re-abstraction study in London, Canada. We
identified all adult patients with a VTE or MB code included in the mandatory
Canadian Institute for Health Information National Ambulatory Care Reporting
System seen at our institution between July 2002 and March 2014. VTE was defined
using the International Classification of Diseases, 10th revision (ICD-10CM)
codes for deep venous thrombosis (DVT), and pulmonary embolism (PE) whereas MB
was defined using codes for intracerebral hemorrhage, subarachnoid hemorrhage,
subdural hemorrhage, upper, and lower gastrointestinal bleeding. A random sample
of 50 patients was obtained for each condition. Two abstractors independently
conducted blinded diagnostic adjudication using standard criteria. Agreement was
calculated using kappa statistics. Positive predictive values were calculated for
VTE, MB and each diagnosis. RESULTS: Overall, ICD-10CM codes demonstrated very
good ability to identify major bleeding events (PPV 88%). Diagnostic codes
performed particularly well for all intracranial and lower gastrointestinal
bleeds. In contrast, ICD-10CM codes for VTE had moderate ability (PPV 49%).
Diagnostic codes for PE performed better than those for DVT. CONCLUSION: Single
ICD-10CM codes for venous thromboembolism have moderate predictive value for
identifying DVT and PE in emergency room discharges. In contrast, codes for MB
events have very good ability and it would be adequate to use them for research
purposes.
PMID- 26553021
TI - Quality of HbA1c Measurement in Trinidad and Tobago.
AB - BACKGROUND: Monitoring of HbA1c is the standard of care to assess diabetes
control. In Trinidad & Tobago (T&T) there are no existing data on the quality of
HbA1c measurement. Our study examined the precision and accuracy of HbA1c testing
in T&T. METHODS: Sets of 10 samples containing blinded duplicates were shipped to
laboratories in T&T. This exercise was repeated 6 months later. Precision and
accuracy were estimated for each laboratory/method. RESULTS: T&T methods included
immunoassay, capillary electrophoresis, and boronate affinity binding. Most, but
not all, laboratories demonstrated acceptable precision and accuracy.
CONCLUSIONS: Continuous oversight of HbA1c testing (eg, through proficiency
testing) in T&T is recommended. These results highlight the lack of oversight of
HbA1c testing in some developing countries.
PMID- 26553022
TI - Evaluation of System Accuracy of the GlucoMen LX Plus Blood Glucose Monitoring
System With Reference to ISO 15197:2013.
PMID- 26553023
TI - Hemoglobin A1c and Self-Monitored Average Glucose: Validation of the Dynamical
Tracking eA1c Algorithm in Type 1 Diabetes.
AB - BACKGROUND: Previously we have introduced the eA1c-a new approach to real-time
tracking of average glycemia and estimation of HbA1c from infrequent self
monitoring (SMBG) data, which was developed and tested in type 2 diabetes. We now
test eA1c in type 1 diabetes and assess its relationship to the hemoglobin
glycation index (HGI)-an established predictor of complications and treatment
effect. METHODS: Reanalysis of previously published 12-month data from 120
patients with type 1 diabetes, age 39.15 (14.35) years, 51/69 males/females,
baseline HbA1c = 7.99% (1.48), duration of diabetes 20.28 (12.92) years, number
SMBG/day = 4.69 (1.84). Surrogate fasting BG and 7-point daily profiles were
derived from these unstructured SMBG data and the previously reported eA1c method
was applied without any changes. Following the literature, we calculated HGI =
HbA1c - (0.009 * Fasting BG + 6.8). RESULTS: The correlation of eA1c with
reference HbA1c was r = .75, and its deviation from reference was MARD = 7.98%;
95% of all eA1c values fell within +/-20% from reference. The HGI was well
approximated by a linear combination of the eA1c calibration factors: HGI =
0.007552*theta1 + 0.007645*theta2 - 3.154 (P < .0001); 73% of low versus moderate
high HGIs were correctly classified by the same factors as well. CONCLUSIONS: The
eA1c procedure developed in type 2 diabetes to track in real-time changes in
average glycemia and present the results in HbA1c-equivalent units has shown
similar performance in type 1 diabetes. The eA1c calibration factors are highly
predictive of the HGI, thereby explaining partially the biological variation
causing discrepancies between HbA1c and its linear estimates from SMBG data.
PMID- 26553024
TI - Bayesian modeling suggests that IL-12 (p40), IL-13 and MCP-1 drive murine
cytokine networks in vivo.
AB - BACKGROUND: Cytokine-hormone network deregulations underpin pathologies ranging
from autoimmune disorders to cancer, but our understanding of these networks in
physiological/pathophysiological states remains patchy. We employed Bayesian
networks to analyze cytokine-hormone interactions in vivo using murine lactation
as a dynamic, physiological model system. RESULTS: Circulatory levels of
estrogen, progesterone, prolactin and twenty-three cytokines were profiled in
post partum mice with/without pups. The resultant networks were very robust and
assembled about structural hubs, with evidence that interleukin (IL)-12 (p40), IL
13 and monocyte chemoattractant protein (MCP)-1 were the primary drivers of
network behavior. Network structural conservation across physiological scenarios
coupled with the successful empirical validation of our approach suggested that
in silico network perturbations can predict in vivo qualitative responses. In
silico perturbation of network components also captured biological features of
cytokine interactions (antagonism, synergy, redundancy). CONCLUSION: These
findings highlight the potential of network-based approaches in identifying novel
cytokine pharmacological targets and in predicting the effects of their exogenous
manipulation in inflammatory/immune disorders.
PMID- 26553025
TI - Macrolide Use and Neutrophil Function/Cytokine Levels in Hospitalized Patients
with Community-Acquired Pneumonia: A Pilot Study.
AB - PURPOSE: The objective of this study was to measure plasma cytokine levels and
blood neutrophil functions as well as clinical outcomes in hospitalized patients
with community-acquired pneumonia (CAP) treated with or without macrolide use--a
known modulator of inflammatory response. METHODS: Subjects with CAP had
peripheral blood analyzed for some neutrophil functions (degranulation of
secretory vesicles and specific granules, respiratory burst response and
phagocytosis) and ten cytokine levels measured in serum and sputum supernatants.
Neutrophil function in healthy volunteers was also measured for reference. Values
were measured on the day of enrollment, days 2-4 and 5-7, depending on a
patient's length of stay. Early and late clinical outcomes were also evaluated.
All values were compared between those treated with or without a macrolide.
RESULTS: A total of 40 subjects were in this study; 14 received macrolide
treatment, and 26 did not. Neutrophil function in the macrolide group was not
significantly different compared to the non-macrolide group. None of the median
cytokine levels or IQRs were statistically significant between the groups.
However, a trend toward decreased IL-6, IL-8, and IFN-gamma levels, and favorable
clinical outcomes were present in the macrolide group. CONCLUSIONS: This pilot
study showed no statistical difference between cytokine levels or neutrophil
activity for CAP patients prescribed a macrolide containing regimen. Considering
the trend of lower cytokine levels in the macrolide group when comparing the 5-
to 7-day time period with the non-macrolide group, a full study with an
appropriate sample size may be warranted.
PMID- 26553026
TI - A novel ethanol-tolerant laccase, Tvlac, from Trametes versicolor.
AB - OBJECTIVES: To produce and characterize novel laccases with ethanol tolerance
from Trametes versicolor using agriculture by-products as energy source. RESULTS:
Trametes versicolor 1017 produces two laccase isoenzymes with a total activity of
10 U ml(-1) within 8 days when using wheat bran and peanut powder as energy
sources in liquid culture medium. A novel isoenzyme, named Tvlac, was identified,
purified and characterized. Its optimum pH and temperature were from 4.5 to 5 and
55 to 60 degrees C, respectively. Its activity was stimulated by ethanol at 10 %
(v/v) which increased the V 0. CONCLUSIONS: The biochemical properties of Tvlac
substantiate the potential of this enzyme for applications under an aqueous
ethanol mixture environment.
PMID- 26553027
TI - Nitrogen- Doped Graphene Quantum Dots: "Turn-off" Fluorescent Probe for Detection
of Ag(+) Ions.
AB - Highly luminescent nitrogen-doped graphene quantum dots (N-GQDs) were prepared
from glucose and ammonia as carbon and nitrogen sources, respectively. The N-GQDs
showed a strong emission at 458 nm with excitation at 360 nm. The N-GQDs
exhibited analytical potential as sensing probes for silver ions determination.
Factors affecting the fluorescence sensing of Ag(+) ions such as pH, N-GQDs
concentration and incubation time were studied using Box-Behnken experimental
design. The optimum conditions were determined as pH 7, N-GQDs concentration 1
mg/mL and time 60 min. It suggested that N-GQDs exhibited high sensitivity and
selectivity toward Ag(+). The linear range of N-GQDs and the limit of detection
(LOD) were 0.2-40 MUM and 168 nM, respectively. The N-GQDs-based Ag(+) ions
sensor was successfully applied to the determination of Ag(+) in tap water and
real river water samples.
PMID- 26553028
TI - Interaction of Graphene Quantum Dots with 4-Acetamido-2,2,6,6
Tetramethylpiperidine-Oxyl Free Radicals: A Spectroscopic and Fluorimetric Study.
AB - We report on the interaction of graphene quantum dots (GQDs) with 4-acetamido
2,2,6,6-tetramethylpiperidine-oxyl (4-acetamido-TEMPO) free radicals. The GQDs
were N and S, N doped. The fluorescence quantum yields were higher for the doped
GQDs compared to the undoped. The interaction is assessed by spectrofluorimetric,
steady state/time resolved fluorescence and electron paramagnetic resonance (EPR)
techniques. Fluorescence quenching was observed upon the addition of 4-acetamido
TEMPO to the GQDs. Photo-induced electron transfer (PET) mechanism was suggested
as the plausible mechanism involved in the fluorescence quenching in which 4
acetamido-TEMPO acted as the electron acceptor.
PMID- 26553029
TI - Effects of refractive errors on visual evoked magnetic fields.
AB - BACKGROUND: The latency and amplitude of visual evoked cortical responses are
known to be affected by refractive states, suggesting that they may be used as an
objective index of refractive errors. In order to establish an easy and reliable
method for this purpose, we herein examined the effects of refractive errors on
visual evoked magnetic fields (VEFs). METHODS: Binocular VEFs following the
presentation of a simple grating of 0.16 cd/m(2) in the lower visual field were
recorded in 12 healthy volunteers and compared among four refractive states: 0D,
+1D, +2D, and +4D, by using plus lenses. RESULTS: The low-luminance visual
stimulus evoked a main MEG response at approximately 120 ms (M100) that reversed
its polarity between the upper and lower visual field stimulations and originated
from the occipital midline area. When refractive errors were induced by plus
lenses, the latency of M100 increased, while its amplitude decreased with an
increase in power of the lens. Differences from the control condition (+0D) were
significant for all three lenses examined. The results of dipole analyses showed
that evoked fields for the control (+0D) condition were explainable by one dipole
in the primary visual cortex (V1), while other sources, presumably in V3 or V6,
slightly contributed to shape M100 for the +2D or +4D condition. CONCLUSIONS: The
present results showed that the latency and amplitude of M100 are both useful
indicators for assessing refractive states. The contribution of neural sources
other than V1 to M100 was modest under the 0D and +1D conditions. By considering
the nature of the activity of M100 including its high sensitivity to a spatial
frequency and lower visual field dominance, a simple low-luminance grating
stimulus at an optimal spatial frequency in the lower visual field appears
appropriate for obtaining data on high S/N ratios and reducing the load on
subjects.
PMID- 26553030
TI - Association between patient-provider communication and lung cancer stigma.
AB - PURPOSE: The majority (95 %) of lung cancer patients report stigma, with 48 % of
lung cancer patients specifically reporting feeling stigmatized by their medical
providers. Typically associated with the causal link to smoking and the
historically poor prognosis, lung cancer stigma can be seen as a risk factor for
poor psychosocial and medical outcomes in the context of lung cancer diagnosis
and treatment. Thus, modifiable targets for lung cancer stigma-reducing
interventions are needed. The present study sought to test the hypothesis that
good patient-provider communication is associated with lower levels of lung
cancer stigma. METHODS: Lung cancer patients (n = 231) across varying stages of
disease participated in a cross-sectional, multisite study designed to understand
lung cancer stigma. Patients completed several survey measures, including
demographic and clinical characteristics, a measure of patient-provider
communication (Consumer Assessment of Healthcare Providers and Systems Program or
CAHPS), and a measure of lung cancer stigma (Cataldo Lung Cancer Stigma Scale).
RESULTS: As hypothesized, results indicated that good patient-provider
communication was associated with lower levels of lung cancer stigma (r = -0.18,
p < 0.05). These results remained significant, even when controlling for relevant
demographic and clinical characteristics (Stan. beta = -0.15, p < 0.05).
CONCLUSIONS: Results indicate that good patient-provider communication is
associated with lower levels of lung cancer stigma, suggesting that improving
patient-provider communication may be a good intervention target for reducing
lung cancer stigma.
PMID- 26553031
TI - Prediction of critical weight loss during radiation treatment in head and neck
cancer patients is dependent on BMI.
AB - PURPOSE: The aims of the present study were to explore pre-treatment predictors
of weight loss during radiation treatment only in head and neck cancer (HNSCC)
patients and investigate the weight loss in patients with or without a feeding
tube. METHODS: Retrospectively, weight change during curative radiotherapy was
investigated in 476 consecutive HNSCC patients. Independent predictors were
identified using multivariate regression analysis with weight loss below or above
5 % as the primary dependent variable. RESULTS: Baseline BMI, tumor site, and
stage predicted weight loss above 5 %. The odds of weight loss above 5 % in
patients with BMI >25 were 3.00 +/- 0.64 times higher compared with patients with
BMI <25 (p < 0.0001). Patients with pharyngeal, oral cavity, or supraglottic
tumors had 3.12 +/- 0.80 times higher odds of weight loss above 5 % compared with
glottic cancer patients (p < 0.0001), and the odds were 1.68 +/- 0.40 times
higher in stage III-IV patients compared with stage I-II patients (p = 0.03).
Seperate analyses revealed that tumor site and stage only predicted weight loss
in patients with BMI >25 but not in patients with BMI <25. Patients receiving a
feeding tube weighed less than patients without (73.8 vs 78.3 kg) and feeding
tube reduced, but did not prevent, weight loss which averaged 6.7 +/- 4.7 kg (7.4
+/- 4.7 %) compared with 4.7 +/- 5.9 kg (5.5 +/- 6.0 %) in patients without a
feeding tube (P < 0.0001). CONCLUSION: Pre-treatment BMI, tumor site and stage
predicted weight loss above 5 % in HNSCC patients during radiotherapy. BMI should
be considered when analyzing weight loss in HNSCC patients receiving curative
radiotherapy.
PMID- 26553032
TI - Outpatient management of intensively treated acute leukemia patients--the
patients' perspective.
AB - PURPOSE: In recent years, patients with acute leukemia (AL) have, to a greater
extent, been managed in an outpatient setting where they live at home but appear
every other day for follow-up visits at hospital. This qualitative article
elucidates how patients with AL experience the different conditions of the
inpatient and outpatient settings and how they reflect on these transitions in
order to create meaning in and keep up everyday life. METHODS: Qualitative semi
structured individual interviews twice with each AL patient focusing on the
outpatient setting, impact on everyday life, responsibility and the home were
performed. Twenty-two patients were interviewed the first time, and 15 of these
were interviewed the second time. The data were analyzed in an everyday life
relational perspective. RESULTS: Outpatient management facilitates time to be
administrated by the patients and thereby the possibility of maintaining everyday
life, which was essential to the patients. The privacy ensured by the home was
important to patients, and they accepted the necessary responsibility that came
with it. However, time spent together with fellow patients and their relatives
was an important and highly valued part of their social life. CONCLUSIONS:
Approached from the patient perspective, outpatient management provided a
motivation for patients as it ensured their presence at home and provided the
possibility of taking part in everyday life of the family, despite severe illness
and intensive treatment. This may suggest a potential for extending the
outpatient management further and also for patient involvement in own care.
PMID- 26553033
TI - Weekly carboplatin in combination with weekly paclitaxel in the treatment of
metastatic non-small cell lung cancer: a single center 10-year experience.
AB - PURPOSE: The primary objective of this retrospective analysis is to assess
efficacy and toxicity of a chemotherapeutic regimen using weekly carboplatin in
combination with weekly paclitaxel as first-line therapy for advanced/metastatic
non-small cell lung cancer (NSCLC). METHODS: All patients with stage IIIB/IV
NSCLC treated with weekly carboplatin AUC (area under the curve) 3 days 1, 8, 15,
q4w in combination with weekly paclitaxel 75 mg/m(2) days 1, 8, 15, q4w as first
line therapy at the Kantonsspital Graubuenden between August 2004 and May 2014
were retrospectively analyzed by medical record review. RESULTS: A total of 90
patients were treated. Median age was 66 years (range 39-88). A total of 229
chemotherapy cycles were administered. Hematological and non-hematological
toxicity were acceptable allowing for a median relative dose intensity for all
planned treatment cycles of 76 %. Overall response rate was 34 %. Median overall
and progression free survival was 6.3 (95 % CI, 4.9-8.7) and 3.4 (95 % CI, 2.3
5.1) months, respectively. Patients with an ECOG performance score (PS) of 0 or 1
had a significantly better OS compared to patients with a PS of >=2. No
statistically significant difference was observed in patients younger or older
than 70 years of age. CONCLUSIONS: Weekly carboplatin in combination with weekly
paclitaxel results in good response rates and acceptable toxicity in patients
with advanced and metastatic NSCLC including patients with poor risk features
(brain metastases, older age, and impaired PS). Nonetheless, selecting the right
patient for a platinum-based combination treatment remains an important task in
clinical practice.
PMID- 26553034
TI - Unenhanced Cone Beam Computed Tomography and Fusion Imaging in Direct
Percutaneous Sac Injection for Treatment of Type II Endoleak: Technical Note.
PMID- 26553035
TI - Acceptability of provider-initiated HIV testing as an intervention for prevention
of mother to child transmission of HIV and associated factors among pregnant
women attending at Public Health Facilities in Assosa town, Northwest Ethiopia.
AB - BACKGROUND: Despite more efforts for prevention of mother to child HIV
transmission, still there are problems with provider-initiated HIV testing. This
study was done to assess the acceptance rate of provider-initiated HIV testing
among antenatal care attendants and its associated factors. METHODS: Institutions
based cross sectional study with a sample size of 398 was conducted from February
to March 2014 in two health facilities in Assosa town. Proportional allocation of
the sample size of health facilities followed by systematic sampling method was
done; data were collected using an interviewer administered questionnaire.
Bivariate and multivariate regression analysis was employed using SPSS version
20. RESULTS: A total of 386 pregnant women participated with response rate 97 %
and 312 (80.8 %) of them accepted provider-initiated HIV testing. The odds of
acceptance of provider-initiated HIV testing was higher among rural residents
(AOR 4.04; 95 % CI 1.24-13.11) than urban. It was also higher among students (AOR
6.00; 95 % CI 1.45-24.75), merchants (AOR 4.43; 95 % CI 1.18-16.68) and employed
women (AOR 2.15; 95 % CI 1.08-4.30) than housewives. Pregnant women who had no
stigmatized attitude towards people living with HIV/AIDS were more likely to
accept testing (AOR 3.54; 95 % CI 1.23-10.16) than who had a strong stigmatized
attitude. In addition, those who planned to disclose their test results from
their husbands were higher odd of acceptance (AOR 14.85; 95 % CI 4.60-47.94) than
who secreted. CONCLUSION: Acceptance of provider-initiated HIV testing among
pregnant women attending for antenatal care services was relatively high. Mothers
from urban residence, occupational satus being housewives, stigmatization and not
having a plan to disclose the status of test results were negatively affect the
acceptance of provider-initiated HIV testing. During counselling sessions,
antenatal care providers should focus on barriers of provider-initiated HIV
testing such as residence, occupational status, stigmatized attitudes and
disclosure status of results of HIV tests.
PMID- 26553036
TI - Immunoglobulin G4-associated autoimmune hepatitis may be found in Western
countries.
AB - BACKGROUND: Immunoglobulin G4-related disease is a multi-systemic autoimmune
disease. The sole involvement of the liver has been recently reported in Japanese
patients and named "immunoglobulin G4-associated autoimmune hepatitis". AIM: To
examine the baseline and the 2-year follow-up characteristics of non-Asian
patients with immunoglobulin G4-associated autoimmune hepatitis compared to
patients with classical autoimmune hepatitis. METHODS: This was a retrospective
study of patients who had undergone liver biopsy between March 2009 and January
2012 before starting any treatment. All patients were treated according to the
guidelines. Immunoglobulin G4-associated autoimmune hepatitis was diagnosed
according to Umemura's histological definition: at least 10 positive
immunoglobulin G4-plasma cells per high power field. RESULTS: Among 28 enrolled
patients (males 39%, median age 54 years): 7 had immunoglobulin G4-associated
autoimmune hepatitis (25%) and 21 had classical hepatitis; fibrosis and activity
stages were F1: 57%, F2: 11%, F3: 11%, F4: 21% and A1: 18%, A2: 39%, A3: 43%.
Alanine aminotransferase (ALT) activity and serum immunoglobulin G levels were
similar in the two groups at baseline and at 2 years. Complete biochemical
response (normal ALT) was similar in immunoglobulin G4-associated autoimmune
hepatitis and classical hepatitis (67% vs. 59% at 2 years, p=0.74). CONCLUSION:
Immunoglobulin G4-associated autoimmune hepatitis has been observed in Western
patients and seems to evolve in a similar manner to classical hepatitis.
PMID- 26553037
TI - Phenotypic Modulation and Neuroprotective Effects of Olfactory Ensheathing Cells:
a Promising Tool for Cell Therapy.
AB - Olfactory Ensheathing Cells (OECs), exhibiting phenotypic characteristics of both
astrocytes and Schwann Cells, show peculiar plasticity. In vitro, OECs promote
axonal growth, while in vivo they promote remyelination of damaged axons. We
decided to further investigate OEC potential for regeneration and functional
recovery of the damaged Central Nervous System (CNS). To study OEC antigen
modulation, OECs prepared from postnatal mouse olfactory bulbs were grown in
different culture conditions: standard or serum-free media with/without Growth
Factors (GFs) and analyzed for different neural specific markers. OEC functional
characterizations were also achieved. Resistance of OECs to the neurotoxin 6
hydroxydopamine (6-OHDA) was analyzed by evaluating apoptosis and death. OEC
neuroprotective properties were investigated by in vitro co-cultures or by
addition of OEC conditioned medium to the neuroblastoma SH-SY5Y cells exposed to
6-OHDA. We observed: 1) modification of OEC morphology, reduced cell survival and
marker expression in serum-free medium; 2) GF addition to serum-free medium
condition influenced positively survival and restored basal marker expression; 3)
no OEC apoptosis after a prolonged exposition to 6-OHDA; 4) a clear OEC
neuroprotective tendency, albeit non statistically significant, on 6-OHDA treated
SH-SY5Y cells. These peculiar properties of OECs might render them potential
clinical agents able to support injured CNS.
PMID- 26553038
TI - Depleting Tumor-NQO1 Potentiates Anoikis and Inhibits Growth of NSCLC.
AB - The fundamental role that NAD(P)H/quinone oxidoreductase 1 (NQO1) plays, in
normal cells, as a cytoprotective enzyme guarding against stress induced by
reactive oxygen species (ROS) is well documented. However, what is not known is
whether the observed overexpression of NQO1 in neoplastic cells contributes to
their survival. The current study discovered that depleting NQO1 expression in
A549 and H292 lung adenocarcinoma cells caused an increase in ROS formation,
inhibited anchorage-independent growth, increased anoikis sensitization, and
decreased three-dimensional tumor spheroid invasion. These in vivo data further
implicate tumor-NQO1 expression in a protumor survival role, because its
depletion suppressed cell proliferation and decreased lung tumor xenograft
growth. Finally, these data reveal an exploitable link between tumor-NQO1
expression and the survival of lung tumors because NQO1 depletion significantly
decreased the percentage of ALDH((high)) cancer cells within the tumor
population. IMPLICATIONS: Loss of tumor-NQO1 expression inhibits tumor growth and
suggests that novel therapeutics directed at tumor-NQO1 may have clinical
benefit.
PMID- 26553039
TI - Quantitative assessment of early biomechanical modifications in diabetic foot
patients: the role of foot kinematics and step width.
AB - BACKGROUND: Forefoot ulcers (FU) are one of the most disabling and relevant
chronic complications of diabetes mellitus (DM). In recent years there is
emerging awareness that a better understanding of the biomechanical factors
underlying the diabetic ulcer could lead to improve the management of the
disease, with significant socio-economic impacts. Our purpose was to try to
detect early biomechanical factors associated with disease progression. METHODS:
Thirty subjects (M/F: 22/8; mean age +/- SD: 61,84 +/- 10 years) with diagnosis
of type II DM were included. The participants were divided into 3 groups (10
subjects per group) according to the stage of evolution of the disease: Group 1,
subjects with newly diagnosed type II DM, without clinical or instrumental
diabetic peripheral neuropathy (DPN) nor FU (group called "DM"); Group 2, with
DPN but without FU (group called "DPN"); Group 3, with DPN and FU (group called
"DNU"). All subjects underwent 3-D Gait Analysis during walking at self-selected
speed, measuring spatio-temporal, kinematic and kinetic parameters and focusing
on ankle and foot joints. The comparative analysis of values between groups was
performed using 1-way ANOVA. We also investigated group to group differences with
Tukey HSD test. The results taken into consideration were those with a
significance of P < 0,05. 95 % confidence interval was also calculated. RESULTS:
A progressive and significant trend of reduction of ROM in flexion-extension of
the metatarso-phalangeal joint (P = 0.0038) and increasing of step width (P =
0.0265) with the advance of the disease was evident, with a statistically
significant difference comparing subjects with recently diagnosed diabetes
mellitus and subjects with diabetic neuropathy and foot ulcer (P = 0.0048 for ROM
and P = 0.0248 for step width at Tukey's test). CONCLUSIONS: The results provide
evidence that foot segmental kinematics, along with step width, can be proposed
as simple and clear indicators of disease progression. This can be the starting
point for planning more targeted strategies to prevent the occurrence and the
recurrence of a FU in diabetic subjects.
PMID- 26553042
TI - [Frontal cephalgia and obstruction of nasal breathing: A rare differential
diagnosis of rhinosinusitis].
PMID- 26553044
TI - Middle turbinectomy after nasotracheal intubation.
AB - Nasotracheal intubation (NTI) is used for maintaining airway access during
maxillofacial surgery or in cases of severe oral trauma. We describe a case of
middle turbinectomy complication as a result of NTI. The purposes of this paper
are to raise awareness of this complication and review associated imaging
findings.
PMID- 26553043
TI - Topological control of nitric oxide secretion by tantalum oxide nanodot arrays.
AB - BACKGROUND: Nitric oxide (NO) plays a very important role in the cardiovascular
system as a major secondary messenger in signaling pathway. Its concentration
regulates most of the important physiological indexes including the systemic
blood pressure, blood flow, regional vascular tone and other cardiac functions.
The effect of nanotopography on the NO secretion in cardiomyocytes has not been
elucidated before. In this study, we report how the nanotopography can modulate
the secretion profile of NO and attempt to elucidate the genetic pathways
responsible for the same by using Tantalum Oxide nanodot arrays ranging from 10
to 200 nm. A series of nanodot arrays were fabricated with dot diameter ranging
from 10 to 200 nm. Temporal NO release of cardiomyocytes was quantified when
grown on different surfaces. Quantitative RT-PCR and Western blot were performed
to verify the genetic pathways of NO release. RESULTS: After hours 24 of cell
seeding, NO release was slowly enhanced by the increase of dot diameter from 10
nm up to 50 nm, mildly enhanced to a medium level at 100 nm, and increase rapidly
to a high level at 200 nm. The temporal enhancement of NO release dropped
dramatically on day 3. On day 5, a topology-dependent profile was established
that maximized at 50 nm and dropped to control level at 200 nm. The NO releasing
profile was closely associated with the expression patterns of genes associated
with Endothelial nitric oxide synthase (eNOS) pathway [GPCR, PI3K, Akt, Bad, Bcl
2, NFkappaB(p65), eNOS], but less associated with Inducible nitric oxide synthase
(iNOS) pathway (TNF-alpha, ILK, Akt, IkappaBalpha, NFkappaB, iNOS). Western
blotting of Akt, eNOS, iNOS, and NFkappaB further validated that eNOS pathway was
modulated by nanotopology. CONCLUSIONS: Based on the findings of the present
study, 50, 100 nm can serve as the suitable nanotopography patterns for cardiac
implant surface design. These two nanodot arrays promote NO secretion and can
also promote the vascular smooth muscle relaxation. The results of this study can
improve the heart stent design in the medical treatments.
PMID- 26553045
TI - Erratum to: Development of the Post Cardiac Surgery (POCAS) prognostic score.
PMID- 26553046
TI - Performance evaluation of three commercial molecular assays for the detection of
Mycobacterium tuberculosis from clinical specimens in a high TB-HIV-burden
setting.
AB - BACKGROUND: A major challenge faced by countries with a high burden of
tuberculosis (TB) is early detection especially in individuals with
paucibacillary disease which is common in HIV endemic settings. Remarkable
efforts have been made globally to accelerate the development and expansion of
new diagnostic technologies that allow better and earlier diagnosis of active
tuberculosis particularly directly from clinical specimens with a few commercial
options available. These include GenoType MTBDRplus Version 2.0 (Hain
Lifescience), Xpert(r) MTB/RIF (Cepheid) and AnyplexTM plus MTB/NTM/DR-TB Real
time detection (Seegene). We evaluated the diagnostic performance of these three
commercial molecular assays for the detection of Mycobacterium tuberculosis
complex from clinical specimens in a high TB-HIV-burden setting. METHODS: This
was a retrospective laboratory-based study using stored remnant sediments from
clinical specimens of presumptive pulmonary TB cases. A stratified sample of
smear positive TB, smear negative TB and TB culture negatives was included. All
the samples were tested on the three molecular assays following the
manufacturers' instructions; except for AnyplexTMplus, for which DNA extraction
was performed using the NucliSENS(r) easyMAG(r) platform (bioMerieux). Samples
were also processed for liquid TB culture and time-to-culture positivity was
recorded. RESULTS: Of the 90 sediments processed, 81 were analyzable across all
three systems. The overall sensitivity was highest for Xpert(r) MTB/RIF (89.1%)
followed by GenoType MTBDRplus (70.9%) and AnyplexTM plus (65.5%). The
specificity and sensitivity in smear positive cases was comparable across all
systems. There was a significant difference in sensitivity between Xpert(r)
MTB/RIF and the other two assays for smear-negative cases (P < 0.05). The
performance in cases where the time-to-culture positivity was >= 20 days was also
significantly poorer for both AnyplexTM plus and GenoType MTBDRplus compared to
Xpert(r) MTB/RIF (P < 0.05). Xpert(r) MTB/RIF achieved 100% specificity, while
AnyplexTM plus and GenoType MTBDRplus achieved 96.2 and 92.3% respectively.
CONCLUSION: The Xpert(r) MTB/RIF was superior to the other two assays for the
detection of TB in smear negative specimens notably when bacterial loads are very
low in sputum. It is important that studies reporting on test performance
stratify their results by time-to-culture positivity to accurately assess
clinical performance especially in high HIV settings.
PMID- 26553047
TI - A changing climate of skepticism: The factors shaping climate change coverage in
the US press.
AB - Skepticism toward climate change has a long tradition in the United States. We
focus on mass media as the conveyors of the image of climate change and ask: Is
climate change skepticism still a characteristic of US print media coverage? If
so, to what degree and in what form? And which factors might pave the way for
skeptics entering mass media debates? We conducted a quantitative content
analysis of US print media during one year (1 June 2012 to 31 May 2013). Our
results show that the debate has changed: fundamental forms of climate change
skepticism (such as denial of anthropogenic causes) have been abandoned in the
coverage, being replaced by more subtle forms (such as the goal to avoid binding
regulations). We find no evidence for the norm of journalistic balance, nor do
our data support the idea that it is the conservative press that boosts
skepticism.
PMID- 26553048
TI - Histone methylation levels correlate with TGFBIp and extracellular matrix gene
expression in normal and granular corneal dystrophy type 2 corneal fibroblasts.
AB - BACKGROUND: TGFbeta1-induced expression of transforming growth factor beta
induced protein (TGFBIp) and extracellular matrix (ECM) genes plays a major role
in the development of granular corneal dystrophy type 2 (GCD2: also called
Avellino corneal dystrophy). Although some key transcription factors are known,
the epigenetic mechanisms modulating TGFBIp and ECM expression remain unclear. We
examined the role of chromatin markers such as histone H3 lysine methylation
(H3Kme) in TGFbeta1-induced TGFBIp and ECM gene expression in normal and GCD2
derived human corneal fibroblasts. METHODS: Wild-type (n = 3), GCD2-heterozygous
(n = 1), and GCD2-homozygous (n = 3) primary human corneal fibroblasts were
harvested from human donors and patients prepared. Microarray and gene-expression
profiling, Chromatin immunoprecipitation microarray analysis, and Methylated DNA
isolation assay-assisted CpG microarrays was performed in Wild-type and GCD2
homozygous human cells. RESULTS: Transcription and extracellular-secretion levels
of TGFBIp were high in normal cells compared with those in GCD2-derived cells and
were related to H3K4me3 levels but not to DNA methylation over the TGFBI locus.
TGFbeta1 increased the expression of TGFBIp and the ECM-associated genes
connective tissue growth factor, collagen-alpha2[Iota], and plasminogen activator
inhibitor-1 in normal corneal fibroblasts. Increased levels of gene-activating
markers (H3K4me1/3) and decreased levels of repressive markers (H3K27me3) at the
promoters of those gene accompanied the changes in expression. TGFbeta1 also
increased recruitment of the H3K4 methyltransferase MLL1 and of SET7/9 and also
the binding of Smad3 to the promoters. Knockdown of both MLL1 and SET7/9
significantly blocked the TGFbeta1-induced gene expression and inhibited TGFbeta1
induced changes in promoter H3K4me1/3 levels. Those effects were very weak,
however, in GCD2-derived corneal fibroblasts. CONCLUSIONS: Taken together, the
results show the functional role of H3K4me in TGFbeta1-mediated TGFBIp and ECM
gene expression in corneal fibroblasts. Pharmacologic and other therapies that
regulate these modifications could have potential cornea-protective effects for
granular corneal dystrophy.
PMID- 26553049
TI - Optimization of combined temozolomide and peptide receptor radionuclide therapy
(PRRT) in mice after multimodality molecular imaging studies.
AB - BACKGROUND: Successful treatments of patients with somatostatin receptor (SSTR)
overexpressing neuroendocrine tumours (NET) comprise somatostatin-analogue
lutetium-177-labelled octreotate ((177)Lu-TATE) treatment, also referred to as
peptide receptor radionuclide therapy (PRRT), and temozolomide (TMZ) treatment.
Their combination might result in additive effects. Using MRI and SPECT/CT, we
studied tumour characteristics and therapeutic responses after different
(combined) administration schemes in a murine tumour model in order to identify
the optimal treatment schedule for PRRT plus TMZ. METHODS: We performed molecular
imaging studies in mice bearing SSTR-expressing H69 (humane small cell lung
cancer) tumours after single intravenous (i.v.) administration of 30 MBq (177)Lu
TATE or TMZ (oral 50 mg/kg daily for 14 days). Tumour perfusion was evaluated
weekly by dynamic contrast-enhanced MRI (DCE-MRI), whereas tumour uptake of
(111)In-octreotide was quantified using SPECT/CT until day 39 after treatment.
Based on these results, seven different (177)Lu-octreotate and TMZ combination
schemes were evaluated for therapy response, varying the order and time interval
of the two therapies and compared with single treatments. RESULTS: PRRT and TMZ
both resulted in tumour size reduction, accompanied by significant changes in MRI
characteristics such as an enhanced tumour perfusion. Moreover, TMZ treatment
also resulted in increased uptake of the SST analogue (111)In-octreotide until
day 13. In the subsequent therapy study, 90 % of animals receiving (177)Lu-TATE
at day 14 after TMZ treatment showed complete response, being the best anti
tumour results among groups. CONCLUSIONS: Molecular imaging studies indicated
that PRRT after TMZ treatment could induce optimal therapeutic effects because of
enhanced tumour uptake of radioactivity after TMZ, which was confirmed by therapy
responses. Therefore, clinical translation of TMZ treatment prior to PRRT might
increase tumour responses in NET patients as well.
PMID- 26553050
TI - Metal and metalloid containing natural products and a brief overview of their
applications in biology, biotechnology and biomedicine.
AB - Bioinorganic natural product chemistry is a relatively unexplored but rapidly
developing field with enormous potential for applications in biology,
biotechnology (especially in regards to nanomaterial development, synthesis and
environmental cleanup) and biomedicine. In this review the occurrence of metals
and metalloids in natural products and their synthetic derivatives are reviewed.
A broad overview of the area is provided followed by a discussion on the more
common metals and metalloids found in natural sources, and an overview of the
requirements for future research. Special attention is given to metal
hyperaccumulating plants and their use in chemical synthesis and bioremediation,
as well as the potential uses of metals and metalloids as therapeutic agents. The
potential future applications and development in the field are also discussed.
PMID- 26553051
TI - Adapting anatomy teaching to surgical trends: a combination of classical
dissection, medical imaging, and 3D-printing technologies.
AB - PURPOSE: Many regions worldwide report difficulties in recruiting applicants to
surgery. One strategy proposed to reverse this trend consists of early exposure
of medical students to the field. Against this backdrop, the present study
presents an innovative approach for anatomy teaching, integrating a surgically
relevant trend: 3D printing. METHODS: Whole-body computed tomography (CT) was
made of two cadavers. Twelve students performed measurements and 3D
reconstructions of selected anatomical structures (Osirix, Mimics). 3D printed
(3DP) models were obtained (ZPrinter 310 Plus), and the students completed the
analogous measurements on these replicas. Finally, classical anatomical
dissection was performed and the same parameters were measured. The differences
between the values obtained by the three modalities were submitted to standard
statistical analysis (Wilcoxon two-tail paired test). RESULTS: Qualitative
comparison of the digital 3D reconstructions based on the students' manual CT
segmentation and the anatomical reality showed excellent correlation.
Quantitatively, the values measured on the CT images and the physical models
created by 3D printing differed from those measured on the cadavers by less than
2 mm. Students were highly appreciative of the approach (CT, 3DP, cadaver). Their
average satisfaction score was 5.8 on a 1-6 scale. CONCLUSIONS: This study shows
that the approach proposed can be achieved. The results obtained also show that
CT-based 3D printed models are close to the authentic anatomic reality. The
program allows early and interactive exposure of medical students to a surgically
relevant trend-in this case 3D printing.
PMID- 26553052
TI - Comprehensive analyses of mutations and hepatitis B virus integration in
hepatocellular carcinoma with clinicopathological features.
AB - BACKGROUND AND AIMS: Genetic alterations in specific genes are critical events in
carcinogenesis and hepatocellular carcinoma (HCC) progression. However, the
genetic alterations responsible for HCC development, progression, and survival
are unclear. METHODS: We investigated the essential difference in genetic
alterations between HCC and adjacent non-HCC tissues using next-generation
sequencing technology. RESULTS: We found recurrent mutations in several genes
such as telomerase reverse transcriptase (TERT; 65% of the total 104 HCCs), TP53
(38%), CTNNB1 (30%), AXIN1 (2%), PTEN (2%), and CDKN2A (2%). TERT promoter
mutations were associated with older age (p = 0.005), presence of hepatitis C
virus (HCV) infection (p = 0.003), and absence of hepatitis B virus (HBV)
infection (p < 0.0001). In hepatitis B surface antigen (HBs Ag)-positive HCC
without TERT promoter mutations, HBV integration into TERT locus was found in 47%
patients and was mutually exclusive to TERT promoter mutations. Most (89%) HBV
integrants were in the HBx region. TP53 mutations were associated with HBV
infection (p = 0.0001) and absence of HCV infection (p = 0.002). CTNNB1 mutations
were associated with absence of HBV infection (p = 0.010). Moreover, TERT
promoter mutation was significantly associated with shorter disease-free survival
(p = 0.005) and poor overall survival (p = 0.024). CONCLUSIONS: Gene alterations
in TERT promoter, TP53, CTNNB1, and HBV integration were closely associated with
HCC development, and mutations in TERT promoter are related to poor prognosis.
These results are useful for understanding the underlying mechanism of
hepatocarcinogenesis, diagnosis, and predicting outcomes of patients with HCC.
PMID- 26553053
TI - Percutaneous biliary drainage is oncologically inferior to endoscopic drainage: a
propensity score matching analysis in resectable distal cholangiocarcinoma.
AB - BACKGROUND: The aim of this study was to evaluate whether percutaneous
transhepatic biliary drainage (PTBD) increases the incidence of seeding
metastasis and shortens postoperative survival compared with endoscopic biliary
drainage (EBD). METHODS: A total of 376 patients with distal cholangiocarcinoma
who underwent pancreatoduodenectomy following either PTBD (n = 189) or EBD (n =
187) at 30 hospitals between 2001 and 2010 were retrospectively reviewed. Seeding
metastasis was defined as peritoneal/pleural dissemination and PTBD sinus tract
recurrence. Univariate and multivariate analyses followed by propensity score
matching analysis were performed to adjust the data for the baseline
characteristics between the two groups. RESULTS: The overall survival of the PTBD
group was significantly shorter than that of the EBD group (34.2 % vs 48.8 % at 5
years; P = 0.003); multivariate analysis showed that the type of biliary drainage
was an independent predictor of survival (P = 0.036) and seeding metastasis (P =
0.001). After two new cohorts with 82 patients each has been generated after 1:1
propensity score matching, the overall survival rate in the PTBD group was
significantly less than that in the EBD group (34.7 % vs 52.5 % at 5 years, P =
0.017). The estimated recurrence rate of seeding metastasis was significantly
higher in the PTBD group than in the EBD group (30.7 % vs 10.7 % at 5 years, P =
0.006), whereas the recurrence rates at other sites were similar between the two
groups (P = 0.579). CONCLUSIONS: Compared with EBD, PTBD increases the incidence
of seeding metastasis after resection for distal cholangiocarcinoma and shortens
postoperative survival.
PMID- 26553055
TI - Lung cancer mortality and years of potential life lost among males and females
over six decades in a country with high smoking prevalence: an observational
study.
AB - BACKGROUND: Little is known about sex-specific trends in lung cancer mortality
and years of potential life lost (YPLL) attributable to lung cancer over more
than five decades. The aim of the present study was to describe mortality and
YPLL due to lung cancer over 61 years of observation in a country with a high
smoking prevalence. METHODS: We obtained data on trends in lung cancer mortality,
population-level vital statistics, sales of taxed tobacco products, and survey
data on smoking behavior among the German population. We then undertook joinpoint
regression analyses to determine sex-specific trends in lung cancer mortality and
YPLL. RESULTS: Rates of lung cancer mortality and rates of lung cancer among all
causes of death increased more among females than among males. Although YPLL
among females increased from 6.6 in 1952 to 11.3 in 2012, this figure was found
to have decreased from 7.3 to 4.4 among males in the same period. Sales of
tobacco subject to tax increased from 1,509 cigarette equivalents per resident
aged 15 or older in 1952 to 2,916 in 1976 - after which there was a decline. The
prevalence of current smoking among females aged 35 years or older remained
stable between 17.9 and 18.9 % in the period from 1989 to 2009. Among males in
the same age group, however, prevalence decreased from 36.7 % in 1989 to 27.5 %
in 2009. CONCLUSIONS: Lung cancer mortality and YPLL among females increased over
the six decades studied. Women should be more considered in smoking policies.
PMID- 26553054
TI - Regulation of virulence: the rise and fall of gastrointestinal pathogens.
AB - Colonization resistance by the commensal microbiota is a key defense against
infectious pathogens in the gastrointestinal tract. The microbiota directly
competes with incoming pathogens by occupying the colonization niche, depleting
nutrients in the gut lumen as well as indirectly inhibiting the growth of
pathogens through activation of host immunity. Enteric pathogens have evolved
strategies to cope with microbiota-mediated colonization resistance. Pathogens
utilize a wide array of virulence factors to outcompete their commensal rivals in
the gut. However, since the expression of virulence factors is costly to maintain
and reduces bacterial fitness, pathogens need to regulate their virulence
properly in order to maximize their fitness. To this end, most pathogens use
environmental cues to regulate their virulence gene expression. Thus, a dynamic
regulation of virulence factor expression is a key invasion strategy utilized by
enteric pathogens. On the other hand, host immunity selectively targets virulent
pathogens in order to counter infection in the gut. The host immune system is
generally tolerant of harmless microorganisms, such as the commensal microbiota.
Moreover, the host relies on its commensal microbiota to contribute, in concert
with its immune system, to the elimination of pathogens. Collectively, regulation
of virulence determines the fate of enteric pathogens, from the establishment of
infection to the eventual elimination. Here, we will review the dynamics of
virulence and its role in infection.
PMID- 26553056
TI - Sequence information gain based motif analysis.
AB - BACKGROUND: The detection of regulatory regions in candidate sequences is
essential for the understanding of the regulation of a particular gene and the
mechanisms involved. This paper proposes a novel methodology based on information
theoretic metrics for finding regulatory sequences in promoter regions. RESULTS:
This methodology (SIGMA) has been tested on genomic sequence data for Homo
sapiens and Mus musculus. SIGMA has been compared with different publicly
available alternatives for motif detection, such as MEME/MAST, Biostrings
(Bioconductor package), MotifRegressor, and previous work such Qresiduals
projections or information theoretic based detectors. Comparative results, in the
form of Receiver Operating Characteristic curves, show how, in 70% of the studied
Transcription Factor Binding Sites, the SIGMA detector has a better performance
and behaves more robustly than the methods compared, while having a similar
computational time. The performance of SIGMA can be explained by its parametric
simplicity in the modelling of the non-linear co-variability in the binding motif
positions. CONCLUSIONS: Sequence Information Gain based Motif Analysis is a
generalisation of a non-linear model of the cis-regulatory sequences detection
based on Information Theory. This generalisation allows us to detect
transcription factor binding sites with maximum performance disregarding the
covariability observed in the positions of the training set of sequences. SIGMA
is freely available to the public at http://b2slab.upc.edu.
PMID- 26553057
TI - Venous Thromboembolism in Patients Diagnosed With Lung Cancer.
AB - PURPOSE: Considering the high prevalence of lung cancer, our purpose was to
summarize the existing literature to identify the several factors that contribute
to the increased risk of venous thromboembolism (VTE) in patients with lung
cancer and to analyze the current recommendations for thromboprophylaxis and
treatment of VTE in those patients. METHODS: We searched the Medline and EMBASE
databases from February 1985 to February 2014 to identify retrospective and
prospective randomized controlled studies that investigate one or more risk
factors for VTEs in patients with lung cancer. RESULTS: A VTE is a major
complication for patients diagnosed with lung cancer. The risk factors for VTE
events in patients with lung cancer consist of cancer-related (histological type
and stage of cancer), treatment-related (surgery, chemotherapy, angiogenic
agents, and supportive care agents), and patient-related factors (comorbidities,
immobility, performance status, and prior thrombosis). Low-molecular-weight
heparins are recommended for long-term treatment of cancer-associated thrombosis.
Duration of anticoagulant therapy beyond 6 months should be based on individual
clinical evaluation. Thromboprophylaxis for patients with lung cancer during
hospitalization and immediate postoperative period is well established.
CONCLUSIONS: Efforts to assess thrombotic risk in patients with lung cancer may
improve therapeutic and preventive strategies in the future, with final goal to
minimize the burden and consequences of thrombotic events in patients with lung
cancer.
PMID- 26553059
TI - Farmers knowledge and perception on maize stem borers and their indigenous
control methods in south western region of Cameroon.
AB - BACKGROUND: Agriculture is a major contributor to the Gross Domestic Product
(GDP) of Cameroon, The South West region of Cameroon is known for its potential
in the production of major agricultural commodities, but farmers' yields from
various speculations are low, dwindling over time due to some major constraints.
Maize production is hampered by adverse socio-economic factors, several pests and
diseases as well as high rainfall with low solar radiation. Lepidopterous maize
stem borers are a major threat to increase maize production. Therefore we
hypothesized that the farmers of the South West region: (1) also perceived stem
borers as an important pest of maize; (2) they have their own indigenous methods
of control; (3) they use chemical pesticides because they have no alternative,
but would prefer plant materials if these were standardized. METHODS: A semi
structured questionnaire survey was administered in four villages: Maumu, Lower
Bokova, Ekona and Bonduma. A total of 151 (male and female) farmers were randomly
interviewed to document farmers' perception on stem borers, and their use of
indigenous knowledge to manage key pests of maize. RESULTS: Stem borers were
present throughout the maize growing areas in the Fako division and ranked as one
of the most important pests of the crop. Most farmers (82.1 %) perceived that
stem borers caused significant damage on maize and were responsible for yield
reductions in the crop. The increased impact of these pests was due to
improper/untimely use of expensive conventional insecticides given the lack of a
cheaper alternative method of control. About 50 % of respondent admitted not
having any indigenous knowledge of stem borer control, while only 20 % had tried
plant products. The most relevant indigenous stem borer control was the use of
wood ash. Most (90 %) of the respondent would prefer plant-based insecticides in
future because they are safer, cheaper and readily available. CONCLUSIONS:
Farmers' knowledge would contribute in understanding the activities of stem
borers and use of plant insecticides. Research is therefore needed to standardize
the methods of using plant-based products and also identify the active
ingredients of these plants to ensure their effectiveness against maize stem
borers and other pests.
PMID- 26553058
TI - Genetic Factors Affecting Late-Onset Alzheimer's Disease Susceptibility.
AB - Alzheimer's disease is considered a progressive brain disease in the older
population. Late-onset Alzheimer's disease (LOAD) as a multifactorial dementia
has a polygenic inheritance. Age, environment, and lifestyle along with a growing
number of genetic factors have been reported as risk factors for LOAD. Our aim
was to present results of LOAD association studies that have been done in
northwestern Iran, and we also explored possible interactions with apolipoprotein
E (APOE) status. We re-evaluated the association of these markers in dominant,
recessive, and additive models. In all, 160 LOAD and 163 healthy control subjects
of Azeri Turkish ethnicity were studied. The Chi-square test with Yates'
correction and Fisher's exact test were used for statistical analysis. A
Bonferroni-corrected p value, based on the number of statistical tests, was
considered significant. Our results confirmed that chemokine receptor type 2
(CCR2), estrogen receptor 1 (ESR1), toll-like receptor 2 (TLR2), tumor necrosis
factor alpha (TNF alpha), APOE, bridging integrator 1 (BIN1), and
phosphatidylinositol-binding clathrin assembly protein (PICALM) are LOAD
susceptibility loci in Azeri Turk ancestry populations. Among them, variants of
CCR2, ESR1, TNF alpha, and APOE revealed associations in three different genetic
models. After adjusting for APOE, the association (both allelic and genotypic)
with CCR2, BIN1, and ESRalpha (PvuII) was evident only among subjects without the
APOE epsilon4, whereas the association with CCR5, without Bonferroni correction,
was significant only among subjects carrying the APOE epsilon4 allele. This
result is an evidence of a synergistic and antagonistic effect of APOE on variant
associations with LOAD.
PMID- 26553060
TI - Myocardial denervation coincides with scar heterogeneity in ischemic
cardiomyopathy: A PET and CMR study.
AB - BACKGROUND: Mismatch between myocardial innervation and perfusion assessed with
positron emission tomography (PET) is a potential risk marker for ventricular
arrhythmias in patients with ischemic cardiomyopathy. This mismatch zone
originates from residual viable myocardium that has sustained ischemic nerve
injury. Heterogenic scar size assessed with late gadolinium-enhanced (LGE)
cardiac magnetic resonance imaging (CMR) is also a risk marker of ventricular
arrhythmias. These two imaging parameters may represent identical morphological
tissue features. The current study explored the relation between innervation
perfusion mismatch and heterogenic scar size. METHODS: Twenty-eight patients (26
males, age 67 +/- 8 years) with ischemic cardiomyopathy and a left ventricular
ejection fraction below 35%, eligible for ICD implantation were included. All
patients underwent both [11C]-hydroxyephedrine and [15O]-water PET studies to
assess myocardial sympathetic innervation and perfusion. LGE CMR was conducted to
assess total myocardial scar size, scar core size, and heterogenic scar size.
RESULTS: Perfusion defect size was 16.6 +/- 9.9% and innervation defect size was
33.7 +/- 10.8%, which resulted in an innervation-perfusion mismatch of 17.6 +/-
8.9%. Total scar size, scar core size, and heterogenic scar size were 21.2 +/-
8.6%, 14.7 +/- 6.6%, and 6.5 +/- 2.9%, respectively. No relation between scar
core size and perfusion deficit size was observed (r = 0.18, P = .36). Total scar
size was correlated with the innervation defect size (r = 0.52, P = .004) and the
heterogenic scar zone displayed a significant correlation with the innervation
perfusion mismatch area (r = 0.67, P < .001). CONCLUSIONS: Denerved residual
viable myocardium in ischemic cardiomyopathy as observed with innervation
perfusion PET is related to the heterogenic scar zone as assessed with LGE CMR.
PMID- 26553061
TI - Folate Receptor-Targeted Polymeric Micellar Nanocarriers for Delivery of Orlistat
as a Repurposed Drug against Triple-Negative Breast Cancer.
AB - Triple-negative breast cancer (TNBC) is a recalcitrant malignancy with no
available targeted therapy. Off-target effects and poor bioavailability of the
FDA-approved antiobesity drug orlistat hinder its clinical translation as a
repurposed new drug against TNBC. Here, we demonstrate a newly engineered drug
formulation for packaging orlistat tailored to TNBC treatment. We synthesized
TNBC-specific folate receptor-targeted micellar nanoparticles (NP) carrying
orlistat, which improved the solubility (70-80 MUg/mL) of this water-insoluble
drug. The targeted NPs also improved the delivery and bioavailability of orlistat
to MDA-MB-231 cells in culture and to tumor xenografts in a nude mouse model. We
prepared HEA-EHA copolymer micellar NPs by copolymerization of 2
hydroxyethylacrylate (HEA) and 2-ethylhexylacrylate (EHA), and functionalized
them with folic acid and an imaging dye. Fluorescence-activated cell sorting
(FACS) analysis of TNBC cells indicated a dose-dependent increase in apoptotic
populations in cells treated with free orlistat, orlistat NPs, and folate
receptor-targeted Fol-HEA-EHA-orlistat NPs in which Fol-HEA-EHA-orlistat NPs
showed significantly higher cytotoxicity than free orlistat. In vitro analysis
data demonstrated significant apoptosis at nanomolar concentrations in cells
activated through caspase-3 and PARP inhibition. In vivo analysis demonstrated
significant antitumor effects in living mice after targeted treatment of tumors,
and confirmed by fluorescence imaging. Moreover, folate receptor-targeted Fol
DyLight747-orlistat NP-treated mice exhibited significantly higher reduction in
tumor volume compared to control group. Taken together, these results indicate
that orlistat packaged in HEA-b-EHA micellar NPs is a highly promising new drug
formulation for TNBC therapy. Mol Cancer Ther; 15(2); 221-31. (c)2015 AACR.
PMID- 26553062
TI - Piscivory in a Miocene Cetotheriidae of Peru: first record of fossilized stomach
content for an extinct baleen-bearing whale.
AB - Instead of teeth, modern mysticetes bear hair-fringed keratinous baleen plates
that permit various bulk-filtering predation techniques (from subsurface skimming
to lateral benthic suction and engulfment) devoted to various target prey (from
small invertebrates to schooling fish). Current knowledge about the feeding
ecology of extant cetaceans is revealed by stomach content analyses and
observations of behavior. Unfortunately, no fossil stomach contents of ancient
mysticetes have been described so far; the investigation of the diet of fossil
baleen whales, including the Neogene family Cetotheriidae, remains thus largely
speculative. We report on an aggregate of fossil fish remains found within a
mysticete skeleton belonging to an undescribed late Miocene (Tortonian)
cetotheriid from the Pisco Formation (Peru). Micro-computed tomography allowed us
to interpret it as the fossilized content of the forestomach of the host whale
and to identify the prey as belonging to the extant clupeiform genus Sardinops.
Our discovery represents the first direct evidence of piscivory in an ancient
edentulous mysticete. Since among modern mysticetes only Balaenopteridae are
known to ordinarily consume fish, this fossil record may indicate that part of
the cetotheriids experimented some degree of balaenopterid-like engulfment
feeding. Moreover, this report corresponds to one of the geologically oldest
records of Sardinops worldwide, occurring near the Tortonian peak of oceanic
primary productivity and cooling phase. Therefore, our discovery evokes a link
between the rise of Cetotheriidae; the setup of modern coastal upwelling systems;
and the radiation of epipelagic, small-sized, schooling clupeiform fish in such
highly productive environments.
PMID- 26553064
TI - Gastric Cancer Regional Detection System.
AB - In this study, a novel system was created to localize cancerous regions for
stomach images which were taken with computed tomography(CT). The aim was to
determine the coordinates of cancerous regions which spread in the stomach area
in the color space with using this system. Also, to limit these areas with a high
accuracy ratio and to feedback to the user of this system were the other
objectives. This integration was performed with using energy mapping, analysis
methods and multiple image processing methods and the system which was consisted
from these advanced algorithms was appeared. For this work, in the range of 25-40
years and when gender discrimination was insignificant, 30 volunteer patients
were chosen. During the formation of the system, to exalt the accuracy to the
maximum level, 2 main stages were followed up. First, in the system, advanced
image processing methods were processed between each other and obtained data were
studied. Second, in the system, FFT and Log transformations were used
respectively for the first two cases, then these transformations were used
together for the third case. For totally three cases, energy distribution and DC
energy intensity analysis were done and the performance of this system was
investigated. Finally, with using the system's unique algorithms, a non-invasive
method was achieved to detect the gastric cancer and when FFT and Log
transformation were used together, the maximum success rate was obtained and this
rate was calculated as 83,3119 %.
PMID- 26553065
TI - A novel two-step genome editing strategy with CRISPR-Cas9 provides new insights
into telomerase action and TERT gene expression.
AB - BACKGROUND: To facilitate indefinite proliferation, stem cells and most cancer
cells require the activity of telomerase, which counteracts the successive
shortening of telomeres caused by incomplete DNA replication at the very end of
each chromosome. Human telomerase activity is often determined by the expression
level of telomerase reverse transcriptase (TERT), the catalytic subunit of the
ribonucleoprotein complex. The low expression level of TERT and the lack of
adequate antibodies have made it difficult to study telomerase-related processes
in human cells. RESULTS: To overcome the low CRISPR-Cas9 editing efficiency at
the TERT locus, we develop a two-step "pop-in/pop-out" strategy to enrich cells
that underwent homologous recombination (HR). Using this technique, we fuse an N
terminal FLAG-SNAP-tag to TERT, which allows us to reliably detect TERT in
western blots, immunopurify it for biochemical analysis, and determine its
subcellular localization by fluorescence microscopy. TERT co-localizes detectably
with only 5-7 % of the telomeres at a time in S-phase HeLa cells; no nucleolar
localization is detected. Furthermore, we extend this approach to perform single
base-pair modifications in the TERT promoter; reverting a recurrent cancer
associated TERT promoter mutation in a urothelial cancer cell line results in
decreased telomerase activity, indicating the mutation is causal for telomerase
reactivation. CONCLUSIONS: We develop a two-step CRISPR-Cas9 genome editing
strategy to introduce precise modifications at the endogenous TERT locus in human
cell lines. This method provides a useful tool for studying telomerase biology,
and suggests a general approach to edit loci with low targeting efficiency and to
purify and visualize low abundance proteins.
PMID- 26553066
TI - The burden attributable to headache disorders in India: estimates from a
community-based study in Karnataka State.
AB - BACKGROUND: Headache disorders are common worldwide, causing pain and disability.
India appears to have a very high prevalence of migraine, and of other headache
disorders in line with global averages. Our objective was to estimate the burdens
attributable to these disorders in order to inform health policy. METHODS: In a
door-to-door survey, biologically unrelated adults (18-65 years) were randomly
sampled from urban and rural areas of Bangalore and interviewed by trained
researchers. The validated structured questionnaire enquired into several aspects
of burden. RESULTS: Of 2,329 participants (non-participation rate 7.4 %), 1,488
(63.9 %; 621 male, 867 female) reported headache in the preceding year. Symptom
burden was high. Migraine (1-year prevalence 25.2 %) occurred on average on 28
days/year but, in 38.0 % of cases (ie, 9.6 % of adults), on >=3 days/month (>=10
% of days). All causes of headache on >=15 days/month (prevalence 3.0 %) occurred
on a mean of 245 days/year. Both these and migraine were rated severe in
intensity. Participants with headache lost 4.3 % of productive time; those with
migraine lost 5.8 % (equating to 1.5 % from the adult population). Lost paid
worktime accounted for 40 % of this, probably detracting directly from GDP. We
estimated population-level disability attributable to migraine using the
disability weight from GBD2010 for the ictal state (0.433). Mean disability per
person with migraine was 1.8 %, reducing the functional capacity of the entire
adult population by 0.46 %. Fewer than one quarter of participants with headache
had engaged with health-care services for headache in the last year. Actual
expenditure on headache care was greatest among those with headache on >=15
days/month (especially probable medication-overuse headache), but otherwise not
high. Expressed willingness to pay for effective treatment for headache was
higher, signalling dissatisfaction with current treatments. CONCLUSIONS: In
Karnataka State, southern India, prevalent headache disorders, especially
migraine, give rise to commensurately heavy burdens. Limited access to health
care fails to alleviate these. Structured headache services, with their basis in
primary care, are the most efficient, effective, affordable and equitable
solution. They could be implemented within the health-care infrastructure of
India and are likely to be cost-saving. This solution requires political will,
itself dependent on awareness.
PMID- 26553067
TI - Association between a vascular endothelial growth factor gene polymorphism
(rs2146323) and diabetic retinopathy: a meta-analysis.
AB - BACKGROUND: Vascular endothelial growth factor (VEGF) is thought to play an
important role in the pathogenesis of diabetic retinopathy (DR). Previous studies
have associated the VEGF rs2146323 polymorphism with the risk of DR. However, the
results of these studies are inconsistent. A meta-analysis was performed to
evaluate the association between the VEGF rs2146323 polymorphism and the risk of
DR. METHODS: The PubMed, EMBASE, Web of Science and Google Scholar literature
databases until March 2015 were searched. The differences in the studies were
expressed in the form of an odds ratio (OR) and the corresponding 95% confidence
interval (CI). Heterogeneity among the studies was tested using the I(2)
statistic based on the Q test. RESULTS: A total of four studies (598 cases and
709 controls) were included in the meta-analysis. A significant association was
found involving the rs2146323 polymorphism in the dominant model (CA + AA VS. CC)
(OR = 1.38, CI = 1.10-1.72, P = 0.005) and the co-dominant model (CA VS. CC) (OR
= 1.37, CI = 1.08-1.74, P = 0.008). CONCLUSIONS: Our meta-analysis confirmed the
association between the VEGF rs2146323 polymorphism and the risk of DR.
PMID- 26553069
TI - Neonatal preintubation sedation: a national survey in Malaysia.
AB - BACKGROUND: There is a shift of practice towards administering sedation in
neonates around the world. At the present moment, there is no available data or
literature on the practice of sedation before intubation of neonates in Malaysia
thus, evaluation of these practice was not possible. This study was conducted to
evaluate neonatal preintubation sedation practice and the availability of
neonatal preintubation sedation policy in government, university and private
Malaysian Neonatal Intensive Care Units (NICUs) in 2007. METHODS: All 43 NICUs in
Malaysia were identified and approached to participate in the study. Phone
interviews with doctors' in-charge of NICUs were conducted in 29 governments, 3
universities and in 7 private NICUs. RESULTS: Only 7 NICUs had written policy on
neonatal preintubation sedation use. Seventy-seven percent and 97.4 % of NICUs
used sedation during emergency intubation and during planned intubation
respectively. Sixty seven percent used either morphine or midazolam with no
preference of either drug. CONCLUSION: This study showed a significant proportion
of NICUs used sedation during emergency or planned intubation. However, the
majority does not write policy on neonatal preintubation sedation use (82.1 %).
The types and drug administration methods are not standardized in all of the
NICUs. This will require a standard national written policy to be developed.
PMID- 26553068
TI - Autophagy contributes to the chemo-resistance of non-small cell lung cancer in
hypoxic conditions.
AB - BACKGROUND: The development of chemo-resistance in non-small lung cancer is a
major obstacle in treating patients. Hypoxia is a commonly faced microenvironment
in solid tumor and suggested to be related to both autophagy and chemo
resistance. METHODS: In this study, we investigated the role of hypoxia-induced
autophagy in acquiring chemo-resistance in both cancer cell (A549) and human
cancer tissue RESULTS: Hypoxic exposure (1 % O2) of A549 cell stimulated
autophagic induction in cancer cells, shown by increase of LC3BI to LC3BII
conversion and decrease of p62/sequestosome1 in Western blot, increased GFP-LC
puncta in confocal microscopy, and increased number of double-membrane autophagic
vacuoles in electron micrographs. Hypoxic exposure also induced resistance of
cancer cells to cisplatin, and LC3B siRNA restored the sensitivity of cancer
cells to chemotherapy. Furthermore, Human lung cancer tissues that experienced
chemotherapy showed increase of LC3BI to LC3BII conversion and decrease of
p62/sequestosome1 compared with chemo-naive cancer tissue in Western blot.
CONCLUSION: Autophagy may play an important role in acquiring resistance to
chemotherapy in lung cancer and hypoxia related pathway seems to be involved in
autophagy induction.
PMID- 26553071
TI - Correction to "Metabolite Profiling of Bendamustine in Urine of Cancer Patients
after Administration of [14C]Bendamustine".
PMID- 26553070
TI - Uptake of a fluorescent L-glucose derivative 2-NBDLG into three-dimensionally
accumulating insulinoma cells in a phloretin-sensitive manner.
AB - Of two stereoisomers of glucose, only D- and not L-glucose is abundantly found in
nature, being utilized as an essential fuel by most organisms. The uptake of D
glucose into mammalian cells occurs through glucose transporters such as GLUTs,
and this process has been effectively monitored by a fluorescent D-glucose
derivative 2-[N-(7-Nitrobenz-2-oxa-1,3-diazol-4-yl)amino]-2-deoxy-D-glucose (2
NBDG) at the single cell level. However, since fluorescence is an arbitrary
measure, we have developed a fluorescent analog of L-glucose 2-[N-(7-Nitrobenz-2
oxa-1,3-diazol-4-yl)amino]-2-deoxy-L-glucose (2-NBDLG), as a negative control
substrate for more accurately identifying the stereoselectivity of the uptake.
Interestingly, a small portion of mouse insulinoma cells MIN6 abundantly took up
2-NBDLG at a late culture stage (? 10 days in vitro, DIV) when multi-cellular
spheroids exhibiting heterogeneous nuclei were formed, whereas no such uptake was
detected at an early culture stage (? 6 DIV). The 2-NBDLG uptake was persistently
observed in the presence of a GLUT inhibitor cytochalasin B. Neither D- nor L
glucose in 50 mM abolished the uptake. No significant inhibition was detected by
inactivating sodium/glucose cotransporters (SGLTs) with Na(+)-free condition. To
our surprise, the 2-NBDLG uptake was totally inhibited by phloretin, a broad
spectrum inhibitor against transporters/channels including GLUTs and aquaporins.
From these, a question might be raised if non-GLUT/non-SGLT pathways participate
in the 2-NBDLG uptake into spheroid-forming MIN6 insulinoma. It might also be
worthwhile investigating whether 2-NBDLG can be used as a functional probe for
detecting cancer, since the nuclear heterogeneity is among critical features of
malignancy.
PMID- 26553072
TI - Enhanced Detection of Antigen-Specific CD4+ T Cells Using Altered Peptide
Flanking Residue Peptide-MHC Class II Multimers.
AB - Fluorochrome-conjugated peptide-MHC (pMHC) class I multimers are staple
components of the immunologist's toolbox, enabling reliable quantification and
analysis of Ag-specific CD8(+) T cells irrespective of functional outputs. In
contrast, widespread use of the equivalent pMHC class II (pMHC-II) reagents has
been hindered by intrinsically weaker TCR affinities for pMHC-II, a lack of
cooperative binding between the TCR and CD4 coreceptor, and a low frequency of Ag
specific CD4(+) T cell populations in the peripheral blood. In this study, we
show that peptide flanking regions, extending beyond the central nonamer core of
MHC-II-bound peptides, can enhance TCR-pMHC-II binding and T cell activation
without loss of specificity. Consistent with these findings, pMHC-II multimers
incorporating peptide flanking residue modifications proved superior for the ex
vivo detection, characterization, and manipulation of Ag-specific CD4(+) T cells,
highlighting an unappreciated feature of TCR-pMHC-II interactions.
PMID- 26553073
TI - Structural and Functional Changes of the Invariant NKT Clonal Repertoire in Early
Rheumatoid Arthritis.
AB - Invariant NKT cells (iNKT) are potent immunoregulatory T cells that recognize
CD1d via a semi-invariant TCR (iNKT-TCR). Despite the knowledge of a defective
iNKT pool in several autoimmune conditions, including rheumatoid arthritis (RA),
a clear understanding of the intrinsic mechanisms, including qualitative and
structural changes of the human iNKT repertoire at the earlier stages of
autoimmune disease, is lacking. In this study, we compared the structure and
function of the iNKT repertoire in early RA patients with age- and gender-matched
controls. We analyzed the phenotype and function of the ex vivo iNKT repertoire
as well as CD1d Ag presentation, combined with analyses of a large panel of ex
vivo sorted iNKT clones. We show that circulating iNKTs were reduced in early RA,
and their frequency was inversely correlated to disease activity score 28.
Proliferative iNKT responses were defective in early RA, independent of CD1d
function. Functional iNKT alterations were associated with a skewed iNKT-TCR
repertoire with a selective reduction of high-affinity iNKT clones in early RA.
Furthermore, high-affinity iNKTs in early RA exhibited an altered functional Th
profile with Th1- or Th2-like phenotype, in treatment-naive and treated patients,
respectively, compared with Th0-like Th profiles exhibited by high-affinity iNKTs
in controls. To our knowledge, this is the first study to provide a mechanism for
the intrinsic qualitative defects of the circulating iNKT clonal repertoire in
early RA, demonstrating defects of iNKTs bearing high-affinity TCRs. These
defects may contribute to immune dysregulation, and our findings could be
exploited for future therapeutic intervention.
PMID- 26553074
TI - The Bacterial Enzyme IdeS Cleaves the IgG-Type of B Cell Receptor (BCR),
Abolishes BCR-Mediated Cell Signaling, and Inhibits Memory B Cell Activation.
AB - Ag binding to the BCR is a critical step in B cell development and activation,
initiating a cascade of signaling events ultimately leading to proliferation,
differentiation, or cell death. A bacterial enzyme, IgG-degrading enzyme of
Streptococcus pyogenes (IdeS), was shown to specifically cleave IgG molecules
below the hinge region of soluble IgG and when IgG is bound to Ag, resulting in
one F(ab')2 molecule and one homodimeric Fc fragment. Whether IdeS could also
cleave the IgG molecule when it is present in the BCR attached to the B cell
membrane in a complex with CD79a and CD79b is unknown. In this article, we
present human in vitro and ex vivo data showing that IdeS cleaves the IgG present
in the BCR complex and very efficiently blocks Ag binding to the BCR. As a
consequence of IdeS cleaving the BCR, signaling cascades downstream of the BCR
are blocked, and memory B cells are temporarily silenced, preventing them from
responding to antigenic stimulation and their transition into Ab-producing cells.
PMID- 26553075
TI - Compensatory Regulatory Networks between CD8 T, B, and Myeloid Cells in Organ
Transplantation Tolerance.
AB - In transplantation tolerance, numerous regulatory populations have the capacity
to inhibit allograft rejection; however, their compensatory capacities have never
been clearly evidenced. We have previously demonstrated that the tolerogenic
effect mediated by CD8(+)CD45RC(low) regulatory T cells (Tregs) in a model of
organ transplantation with CD40Ig could be abrogated by permanent depletion of
CD8(+) cells that resulted in allograft rejection in half of the recipients. This
result demonstrated that CD8(+) Tregs were essential, but also that half of the
recipients still survived indefinitely. We also demonstrated that no other
regulatory populations, besides CD8(+) Tregs, could induce and maintain allograft
tolerance in CD40Ig-treated tolerant animals. In the current study, we analyzed
the mechanisms that arose following CD8(+) Treg depletion and allowed
establishment of networks of new regulatory cells to maintain allograft survival.
We identified regulatory B cells (Bregs) and regulatory myeloid cells (RegMCs) as
being responsible of the maintenance of the long-term allograft survival. We
demonstrated that both regulatory cell subsets efficiently inhibited antidonor
immune responses in adoptively transferred recipients. Although Bregs were
induced, they were not essential for the maintenance of the graft as demonstrated
in IgM-deficient recipients. In addition, we showed that RegMCs were the most
suppressive and acted alone, whereas Bregs activity was associated with increased
suppressive activity of other subsets in adoptively transferred recipients.
Altogether, to our knowledge, we demonstrated in this study for the first time
the emergence of both Bregs and RegMCs following Tregs depletion and highlighted
the importance of regulatory cell networks and their synergistic potential in
transplantation.
PMID- 26553076
TI - Estrogen Receptor alpha Deficiency Modulates TLR Ligand-Mediated PDC-TREM
Expression in Plasmacytoid Dendritic Cells in Lupus-Prone Mice.
AB - Female lupus-prone NZM2410 estrogen receptor alpha (ERalpha)-deficient mice are
protected from renal disease and have prolonged survival compared with wild-type
littermates; however, the mechanism of protection is unknown. Plasmacytoid
dendritic cells (pDCs) and type I IFN drive lupus pathogenesis. Estrogen acting
via ERalpha enhances both pDC development and IFN production. The objectives for
this study were to determine if ERalpha modulates pDC function and IFN activity
in predisease NZM2410 mice as a possible protective mechanism of ERalpha
deficiency in lupus-prone mice. We measured the effect of ERalpha deficiency on
spleen pDC frequency, number, maturation, and activation state. ERalpha
deficiency reduced type I IFN activity and the frequency of MHC class II(+) pDCs
in the spleen without altering overall pDC frequency, number, or maturation
state. Additionally, ERalpha-deficient NZM2410 mice had a significantly decreased
frequency of pDCs expressing PDC-TREM, a modulator of TLR-mediated IFN
production. After in vitro TLR9 stimulation, ERalpha deficiency significantly
reduced the expression of PDC-TREM on pDCs from both NZM2410 and C57BL/6 mice.
Thus, we have identified a significant effect of ERalpha deficiency on pDCs in
predisease NZM2410 mice, which may represent a mechanism by which ERalpha
deficiency protects NZM2410 mice from lupuslike disease.
PMID- 26553077
TI - Determining the origin of synchronous multifocal bladder cancer by exome
sequencing.
AB - BACKGROUND: Synchronous multifocal tumours are commonly observed in urothelial
carcinomas of the bladder. The origin of these physically independent tumours has
been proposed to occur by either intraluminal migration (clonal) or spontaneous
transformation of multiple cells by carcinogens (field effect). It is unclear
which model is correct, with several studies supporting both hypotheses. A
potential cause of this uncertainty may be the small number of genetic mutations
previously used to quantify the relationship between these tumours. METHODS: To
better understand the genetic lineage of these tumours we conducted exome
sequencing of synchronous multifocal pTa urothelial bladder cancers at a high
depth, using multiple samples from three patients. RESULTS: Phylogenetic analysis
of high confidence single nucleotide variants (SNV) demonstrated that the
sequenced multifocal bladder cancers arose from a clonal origin in all three
patients (bootstrap value 100 %). Interestingly, in two patients the most common
type of tumour-associated SNVs were cytosine mutations of TpC* dinucleotides
(Fisher's exact test p < 10(-41)), likely caused by APOBEC-mediated deamination.
Incorporating these results into our clonal model, we found that TpC* type
mutations occurred 2-5* more often among SNVs on the ancestral branches than in
the more recent private branches (p < 10(-4)) suggesting that TpC* mutations
largely occurred early in the development of the tumour. CONCLUSIONS: These
results demonstrate that synchronous multifocal bladder cancers frequently arise
from a clonal origin. Our data also suggests that APOBEC-mediated mutations occur
early in the development of the tumour and may be a driver of tumourigenesis in
non-muscle invasive urothelial bladder cancer.
PMID- 26553078
TI - US Senate committee launches investigation into drug pricing.
PMID- 26553079
TI - Assessing the Fauna of Aquatic Insects for Possible Use for Malaria Vector
Control in Large River, Central Iran.
AB - Insects with over 30,000 aquatic species are known as very successful arthropods
in freshwater habitats. Some of them are applied as biological indicators for
water quality control, as well as the main food supply for fishes and amphibians.
The faunistic studies are the basic step in entomological researches; the current
study was carried out emphasizing on the fauna of aquatic insects in Karaj River,
northern Iran. A field study was carried out in six various sampling site of
Karaj River during spring 2013. The aquatic insects were collected using several
methods such as D-frame nets, dipping and direct search on river floor stones.
Specimens were collected and preserved in Ethanol and identified by standard
identification keys. Totally, 211 samples were collected belonging to three
orders; Plecoptera, Trichoptera and Ephemeroptera. Seven genuses (Perla,
Isoperla, Hydropsyche, Cheumatopsyche, Baetis, Heptagenia and Maccafferium) from
five families (Perlidae, Perlodidae, Hydropsychidae, Batidae, Heptagenidae) were
identified. The most predominant order was Plecoptera followed by Trichoptera.
Karaj River is a main and important river, which provides almost all of water of
Karaj dam. So, identification of aquatic species which exist in this river is
vital and further studies about systematic and ecological investigations should
be performed. Also, monitoring of aquatic biota by trained health personnel can
be a critical step to describe water quality in this river. Understanding the
fauna of aquatic insects will provide a clue for possible biological control of
medically important aquatic insects such as Anopheles as the malaria vectors.
PMID- 26553080
TI - Remyelination of the Corpus Callosum by Olfactory Ensheathing Cell in an
Experimental Model of Multiple Sclerosis.
AB - Multiple Sclerosis (MS) causes loss of the myelin sheath, which leads to loss of
neurons. Regeneration of myelin sheath stimulates axon regeneration and neurons'
survival. In this study, olfactory ensheathing cell (OEC) transplantation is
investigated to restore myelin sheath in an experimental model of MS in male
mice.OECs were isolated from the olfactory mucosa of seven-day-old infant rats
and cultured. Then, cells were evaluated and approved by flow cytometry by p75
and GFAP markers. A total of 32 mice (C57BL /6) were studied in four groups; 1)
without any treatment (control), 2) Sham (receiving PBS), 3) MS model and 4) MS
and OEC transplantation. MS was induced by adding Cuprizon in the diet of animals
for six weeks. After the expiration of 20 days, histologic analysis was performed
with approval of the presence of cells in the graft area and the removal of
myelin and myelin regeneration with two types of luxal fast blue (LFB) staining
and immunohistochemistry. The purity of the cells ensheathing the olfactory was
90%. There was a significant difference in Myelin percentage of PBS and OEC
recipient groups (P<=0.05). MBP and PLP of the myelin sheath in the group
receiving OECs were more than MS group.According to the findings, in MS model MBP
and PLP of the myelin sheath is reduced. In the group receiving OECs, it was
returned to a normal level significantly compared to the sham group received only
PBS significant differences were observed. The OECs transplantation can improve
myelin restoration.
PMID- 26553081
TI - Clinical Grade Human Adipose Tissue-Derived Mesenchymal Stem Cell Banking.
AB - In this study, our aim was to produce a generation of GMP-grade adipose tissue
derived mesenchymal stem cells for clinical applications. According to our
results, we fulfill to establish consistent and also reproducible current good
manufacturing practice (cGMP) compliant adipose tissue-derived mesenchymal stem
cells from five female donors. The isolated cells were cultured in DMEM
supplemented with 10% fetal bovine serum and characterized by standard methods.
Moreover, karyotyping was performed to evaluate chromosomal stability. Mean of
donors' age was 47.6 +/- 8.29 year, mean of cell viability was 95.6 +/- 1.51%,
and cell count was between 9*106 and 14*106 per microliter with the mean of
12.2*106 +/- 2863564.21 per microliter. The main aim of this project was
demonstrating the feasibility of cGMP-compliant and clinical grade adipose tissue
derived mesenchymal stem cells preparation and banking for clinical cell
transplantation trials.
PMID- 26553082
TI - Do Pilea Microphylla Improve Sperm DNA Fragmentation and Sperm Parameters in
Varicocelized Rats?
AB - Varicocele is one of the most common causes of primary male infertility. Pilea
microphylla (PM) is being used as folk medicine. This study was aimed to
investigate the effects of PM in a rat model of varicocele. A total of 30 male
Wistar rats were divided into control, sham, varicocele, accessory varicocele and
PM-treated groups. After 10 weeks of varicocele induction, sperm parameters and
chromatin (Aniline blue, acridine orange and toluidine blue) were evaluated,
except for the treated and accessory groups that received 50 mg/kg PM orally
daily for 10 weeks and then were sacrificed. Sperm parameters significantly
decreased in varicocele groups (P < 0.01). Moreover, there was a negative
correlation between the DNA fragmentation and sperm parameters in varicocelized
rats. Administration of PM led to significantly increased sperm parameters and AO
staining (P < 0.05). These findings suggest that PM improves sperm parameters and
DNA fragmentation in varicocelized rats. PM can reduce the damage to sperm DNA
but not chromatin condensation.
PMID- 26553083
TI - Effect of Enrofloxacin on Histochemistry, Immunohistochemistry and Molecular
Changes in Lamb Articular Cartilage.
AB - Enrofloxacin is a synthetic chemotherapeutic agent from the class of the
fluoroquinolones that is widely used to treat bacterial infections. It is
metabolized to ciprofloxacin in the body as active metabolite. Fluoroquinolones
change in the articular cartilage, especially with high doses and more than two
weeks use. So, due to relatively excessive use of enrofloxacin in mammals and
similarity of lambs to human subjects with respect to skeletal activity cycles,
this study was done to investigate the effects of enrofloxacin on some cellular
and molecular changes in growing lamb articular cartilage to evaluate some
possible mechanisms involved these changes. Twelve, 2-month-old male lambs
divided into three groups: control group received only normal saline; therapeutic
group received 5mg/kg enrofloxacin subcutaneously, daily, for 15 days and toxic
group received 35 mg/kg enrofloxacin in the same manner as therapeutic group.
Twenty four hours after the last dose, the animals were sacrificed, and their
stifle joints were dissected. Sampling from distal femoral and proximal tibial
extremities was done quickly for further histological and molecular studies.
Collagen-p content was studied with avidin-biotin immunohistochemistry method in
different groups. Expression of Sox9 and caspase-3 was evaluated by Real-time
PCR. Immunohistochemical changes were included decreases of matrix proteoglycans,
carbohydrates, and Collagen-p in the toxic group. Some of these changes were
observed in the therapeutic group with less intensity in comparison to the toxic
group. Enrofloxacin were significantly decreased (P<=0.05). Sox9 expression in
therapeutic and toxic groups compared to control group. But caspase -3
expressions in the toxic group significantly increased (P<=0.0001) with a
comparison to other groups, while, between control and therapeutic groups, there
were no significant differences. So, it can be concluded that enrofloxacin
increases apoptosis in chondrocytes and decreases their numbers. Enrofloxacin use
in growing lambs even at recommended therapeutic dose is not completely safe on
articular cartilage. Moreover, higher doses of enrofloxacin induce severe changes
in lamb articular cartilage.
PMID- 26553084
TI - The Comparison of Procalcitonin Guidance Administer Antibiotics with Empiric
Antibiotic Therapy in Critically Ill Patients Admitted in Intensive Care Unit.
AB - The empiric antibiotic therapy can result in antibiotic overuse, development of
bacterial resistance and increasing costs in critically ill patients. The aim of
the present study was to evaluate the effect of procalcitonin (PCT) guide
treatment on antibiotic use and clinical outcomes of patients admitted to
intensive care unit (ICU) with systemic inflammatory response syndrome (SIRS). A
total of 60 patients were enrolled in this study and randomly divided into two
groups, cases that underwent antibiotic treatment based on serum level of PCT as
PCT group (n=30) and patients who undergoing antibiotic empiric therapy as
control group (n=30). Our primary endpoint was the use of antibiotic treatment.
Additional endpoints were changed in clinical status and early mortality.
Antibiotics use was lower in PCT group compared to control group (P=0.03).
Current data showed that difference in SOFA score from the first day to the
second day after admitting patients in ICU did not significantly differ (P=0.88).
Patients in PCT group had a significantly shorter median ICU stay, four days
versus six days (P=0.01). However, hospital stay was not statistically
significant different between two groups, 20 days versus 22 days (P=0.23). Early
mortality was similar between two groups. PCT guidance administers antibiotics
reduce antibiotics exposure and length of ICU stay, and we found no differences
in clinical outcomes and early mortality rates between the two studied groups.
PMID- 26553085
TI - Effect of Intravenous Dexamethasone on Preparing the Cervix and Labor Induction.
AB - The use of corticosteroids is one of the methods put forward for the
strengthening and speeding up the process of labor. After identification of
glucocorticoid receptors in human amnion, the role of corticosteroids in starting
the process of labor has been studied in numerous studies. The purpose of this
study was to determine the effect of intravenous Dexamethasone on preparing the
cervix and on labor induction. A randomized, clinical, and double-blind trial was
conducted on 172 women divided into a control and an experimental group. The
inclusion criteria were that they had to be primparous, in or before the 40th
week of pregnancy, and with Bishop scores (B.S.s) of 4 or lower. The exclusion
criteria were diabetes, preeclampsia, macrosomia, twin pregnancy, rupture of the
membrane (ROM), breech, and women suffering from background diseases. The B.S.s
of the women was measured in charge of the study, and each woman was
intravenously injected with eight milligrams of Dexamethasone or eight milligrams
of distilled water. Four hours after the injections, the B.S.s of the
participants was measured, and they were put under the conditions of labor
induction using oxytocin. Information was collected in checklists A and B. The
patients were compared with respect to B.S., the time the induction started, the
average interval between the start of induction and the beginning of the active
phase of childbirth, and the average length of time between the start of the
active phase and the second stage of childbirth. The first and five minutes Apgar
scores of the two groups of women were compared. The frequencies, the means, and
the standard deviations were calculated using the SPSS - 16 software, and
analysis of the results was performed with the Student's t- test and the chi
square test with with P<0.05. There were no statistically significant differences
between the two groups in terms of their age, period of pregnancy, and B.S. at
the start of the study. The average B.S. of women four hours after the injections
with Dexamethasone was 5.9 +/- 1.57, and the corresponding figure for women in
the control group was 4.6 +/- 1.72. These figures were significantly different at
P<0.001. The average interval between labor induction and the start of the active
phase in the group injected with Dexamethasone was significantly less than that
of the control group (2.87+/-0.93 versus 3.80+/- 0.93 at P<0.001). The average
duration of the active phase of the second stage of childbirth was 3.47+/-1.10
hours in the experimental group and 3.6 +/- 0.99 hours in the control group at
P<0.49. These two figures were not significantly different. The Apgar scores of
the first and fifth minutes after the birth of the children of the two groups of
women were not significantly different. It was found that intravenous
Dexamethasone improves the Bishop score of the cervix and thus causes softening
of the cervix and reduces the length of time between labor induction and the
start of the active phase of childbirth.
PMID- 26553086
TI - Effect of Zolpidem on Sleep Quality of Professional Firefighters; a Double Blind,
Randomized, Placebo-Controlled Crossover Clinical Trial.
AB - Professional firefighting is among the most demanding jobs. Prior studies have
showed the notable prevalence of poor sleep quality among professional
firefighters that may result in catastrophes. The aim of this study was in field
confirmation of zolpidem usage (10 mg/PO/bed time) for short term management of
poor sleeps quality among professional firefighters. In a double-blind,
randomized, placebo-controlled crossover clinical trial among professional
firefighters, 27 poor sleepers were assigned randomly to one of the two groups.
Two 14 days experimental periods were separated by a 14-day washout phase. Sleep
quality was assessed using the Persian version of Pittsburgh Sleep Quality Index
(PSQI). Six of the 27 enrolled voluntaries dropped out. Two rare side effects of
zolpidem occurred in the study. A significant improvement of the PSQI score was
detected in zolpidem period versus placebo in both groups (7.14 +/- 3.02 vs 12.38
+/- 2.51, P<0.001) although zolpidem had no significant effect on time of waking
up (6.76 +/- 1.21 vs.6.64 +/- 1.27, P=0.89). Zolpidem significantly improved all
components of PSQI (Subjective sleep quality, Sleep latency, Sleep duration,
Habitual sleep efficiency, Sleep disturbances and Daytime dysfunction) in the
current study except the use of sleep medication. Sleep onset latency was the
component of PSQI with the greatest degree of abnormality among firefighters in a
previous study. Interestingly, sleep latency was the component of PSQI with the
most treatment effect of zolpidem in the current study. Zolpidem can be used asa
part of treatment regimens in short time management of poor sleep quality among
professional firefighters.
PMID- 26553087
TI - Comparative Evaluation of Corrected QT and Ionized Calcium in Children.
AB - Convulsion is one of the most common problems in children and hypocalcemia is one
the most frequent etiological items in children's convulsion. Corrected QT (QTc)
in EKG is prolonged in hypocalcemia, so it can be a useful tool for evaluation of
serum ionized calcium. In three groups (first seizure, multiple seizures, without
seizure or control ) every 25 cases, QTc, serum ionized ca, total ca, ABG, and
serum albumin level have been checked in the Department of Pediatrics of Imam
Khomeini Hospital and outpatient department of Ahari children's medical center in
2008. Prolonged QTc was observed in 72% of convulsive children (36 cases) but
only 19% of non-convulsive children (5 cases) showed this pattern. Ionized
calcium was significantly higher in non-convulsive than convulsive groups. There
was no correlation between prolonged QTc and total serum calcium; however, this
correlation with low ionized calcium was significant. QTc is an easy and rapid
method for serum ionized calcium evaluation.
PMID- 26553088
TI - Sleep Problems Under-Reported by Parents in Iranian Children.
AB - Sleep problems are common in childhood, but there are limited studies regarding
that in Iranian children and awareness of the sleep problems and their
complication in Iranian parents. We arranged this study in which parents of
children attending for a sick visit or routine growth control to assess whether
sleep problems are under-reported at general pediatric visits. In a cross
sectional study from April 2010 to April 2011 in 301 children aged 2-14 years old
attending to pediatric clinics were enrolled. To investigate the general
orientation of parents about their child sleep problem we asked them a global
question at first regarding sleep of their child. After that, the Persian version
of BEARS questionnaire was completed by them. Only 30 (9.9%) parents reported
sleep problems in their children in response to primary global question but by
collecting the data from BEARS questionnaire it was revealed 45.18% (136/301) of
children had one or more of sleep disorders at all. As mentioned 136 (45.18%)
children had slept problems of which the most frequent complaint (15.28%) was
related to bedtime problems. The second complaint (11.96%) was awakening during
the night children. A significant association between sleep problems and child
gender was not found. Co-sleeping with parents was found in 55.48% of all
children in this study. Despite the high prevalence and adverse effects of sleep
disorders, the present study suggests that parents underreport sleep problems at
consultation. We suggest children should be assessed for sleep disorders in
monitoring and health screening visits.
PMID- 26553089
TI - Hemolytic Anemia after Aortic Valve Replacement: a Case Report.
AB - Hemolytic anemia is exceedingly rare and an underestimated complication after
aortic valve replacement (AVR).The mechanism responsible for hemolysis most
commonly involves a regurgitated flow or jet that related to paravalvar leak or
turbulence of subvalvar stenosis. It appears to be independent of its severity as
assessed by echocardiography. We present a case of a 24-year-old man with a
history of AVR in 10 year ago that developed severe hemolytic anemia due to a
mild subvalvar stenosis caused by pannus formation and mild hypertrophic septum.
After exclusion of other causes of hemolytic anemia and the lack of clinical and
laboratory improvement, the patient underwent redo valve surgery with pannus and
subvalvar hypertrophic septum resection. Anemia and heart failure symptoms
gradually resolved after surgery.
PMID- 26553090
TI - Impending Complete Airway Obstruction from a Reinforced Orotracheal Tube: a Case
Report.
AB - Reinforced tubes are commonly used to minimize the opportunity of upper airway
obstruction in patients at risk. There are a few reports of the airway
obstruction resulted from kinked reinforced tubes. This report describes the
obstruction of a reinforced tube in an adult patient who underwent tonsillectomy.
Under general anesthesia; an armoured endotracheal tube was inserted into the
trachea uneventfully. A few minutes after starting the surgery, the anesthesia
machine detected a high airway pressure and an increased ETCO2 (end-tidal CO2) up
to 50 mmHg. Further evaluation showed spiral wire damage resulted from Mouth Gag
device that led to airway obstruction. Early anticipation of the complications
leads to proper management of such critical and life threatening complications
and prevention of hypoxia, hypercapnia, pneumothorax, and pulmonary edema. Based
on our experience using an armoured endotracheal tube in tonsillectomy does not
guarantee a safe airway and intensive monitoring is necessary.
PMID- 26553091
TI - Erratum: Indeterminate Cell Histiocytosis: Report of a Case.
PMID- 26553093
TI - Laboratory domestication changed the expression patterns of oxytocin and
vasopressin in brains of rats and mice.
AB - The process of domestication is recognized to exert significant effects on the
social behaviors of various animal species, including defensive and cognitive
behaviors that are closely linked to the expression of oxytocin (OT) and
vasopressin (AVP) in selected areas of the brain. However, it is still unclear
whether the behavioral changes observed under domestication have resulted in
differences in the neurochemical systems that regulate them. In this study, we
compared the differences in distribution patterns and regional quantities of OT
and/or AVP staining in the forebrains of wild and laboratory strains of rats and
mice. Our results indicated that, in the anterior hypothalamus (AH), laboratory
strains showed significantly higher densities of OT-ir (immunoreactive) and AVP
ir cells than wild strains, while no significant difference in the densities of
those cells in the lateral hypothalamus (LH) was detected between wild and
laboratory strains. Laboratory strains showed higher densities of OT-ir and AVP
ir cells than wild strains in the medial preoptic area (MPOA), and differed in
almost every MPOA subnucleus. Our results suggest that domestication
significantly alters the expression of OT and AVP in related brain areas of
laboratory rats and mice, an observation that could explain the identified
changes in behavioral patterns.
PMID- 26553092
TI - Behaviour change strategies for reducing blood pressure-related disease burden:
findings from a global implementation research programme.
AB - BACKGROUND: The Global Alliance for Chronic Diseases comprises the majority of
the world's public research funding agencies. It is focussed on implementation
research to tackle the burden of chronic diseases in low- and middle-income
countries and amongst vulnerable populations in high-income countries. In its
inaugural research call, 15 projects were funded, focussing on lowering blood
pressure-related disease burden. In this study, we describe a reflexive mapping
exercise to identify the behaviour change strategies undertaken in each of these
projects. METHODS: Using the Behaviour Change Wheel framework, each team rated
the capability, opportunity and motivation of the various actors who were
integral to each project (e.g. community members, non-physician health workers
and doctors in projects focussed on service delivery). Teams then mapped the
interventions they were implementing and determined the principal policy
categories in which those interventions were operating. Guidance was provided on
the use of Behaviour Change Wheel to support consistency in responses across
teams. Ratings were iteratively discussed and refined at several group meetings.
RESULTS: There was marked variation in the perceived capabilities, opportunities
and motivation of the various actors who were being targeted for behaviour change
strategies. Despite this variation, there was a high degree of synergy in
interventions functions with most teams utilising complex interventions involving
education, training, enablement, environmental restructuring and persuasion
oriented strategies. Similar policy categories were also targeted across teams
particularly in the areas of guidelines, communication/marketing and service
provision with few teams focussing on fiscal measures, regulation and
legislation. CONCLUSIONS: The large variation in preparedness to change behaviour
amongst the principal actors across these projects suggests that the
interventions themselves will be variably taken up, despite the similarity in
approaches taken. The findings highlight the importance of contextual factors in
driving success and failure of research programmes. Forthcoming outcome and
process evaluations from each project will build on this exploratory work and
provide a greater understanding of factors that might influence scale-up of
intervention strategies.
PMID- 26553094
TI - The Nicaraguan pediatric influenza cohort study: design, methods, use of
technology, and compliance.
AB - BACKGROUND: Influenza causes substantial morbidity and mortality worldwide, yet
few data exist on influenza infection rates in tropical, developing countries. In
2011, we established the Nicaraguan Pediatric Influenza Cohort Study (NPICS) to
study the burden and seasonality of influenza in Nicaraguan children. Here we
describe the study design, methods, and participation data of the NPICS for 2011
2013. METHODS/DESIGN: A total of 1532 children aged 0 to 12 years were enrolled
into the study in 2011, and an additional 401 children were enrolled between 2012
and 2013. Children were provided with all of their medical care through the
study, and data on medical visits were recorded systematically. A number of
surveys were conducted together with a blood sample annually, including a height
and weight measurement, a socio-economic status and risk factor survey, and a
breastfeeding survey. DISCUSSION: Unique features of our study include the
customized low-cost, open-source informatics system as well as the development of
methods to leverage infrastructure and resources by conducting multiple studies
in the same setting while maximizing protocol adherence and quality control.
These methods should be useful to others conducting large cohort studies,
particularly in low-resource settings.
PMID- 26553095
TI - In vitro antioxidant and cholinesterase inhibitory activities of methanolic fruit
extract of Phyllanthus acidus.
AB - BACKGROUND: Alzheimer's disease (AD) is a progressive neurodegenerative disorder
clinically characterized by loss of memory and cognition. Cholinergic deficit and
oxidative stress have been implicated in the pathogenesis of AD. Therefore,
inhibition of acetylcholinesterase and oxidation are the two promising strategies
in the development of drug for AD. Phyllanthus acidus, belonging to the family
Euphorbiaceae, is a tree and has been used in traditional medicine to treat
several pain, inflammatory and oxidative stress related disorders such as
rheumatism, bronchitis, asthma, respiratory disorder, also important to promote
intellect and enhance memory, thus supporting its possible anti-Alzheimer's
properties. In this study, P. acidus was evaluated for its cholinesterase
inhibitory and antioxidant activities. METHODS: In this study, we evaluated the
antioxidant potential and neuroprotective activity of P. acidus by assessing
total phenol content (FCR assay), total flavonoid content, total antioxidant
capacity, Fe (3+) reducing power capacity, DPPH (2, 2-diphenyl-1-picrylhydrazyl)
and hydroxyl radical scavenging capacity, lipid peroxidation inhibition activity
& metal chelating activity. In addition acetylcholinestrase (AChE) and
butyrylcholinestrase (BChE) inhibitory activities were performed using Ellman's
method. RESULTS: Total phenolic content and total flavonoid content of the
extract were 116.98 mg of gallic acid equivalent and 168.24 mg of quercetin
equivalent per gm of dried extract. The methanolic extract of P. acidus (MEPA)
showed considerable total antioxidant activity and reducing capacity. In DPPH
scavenging assay and hydroxyl radical scavenging assay, the MEPA showed 84.33 %
and 77.21 % scavenging having IC50 of 15.62 and 59.74 MUg/ml respectively. In
lipid peroxidation inhibition activity MEPA showed moderate inhibition of
peroxidation at all concentrations with IC50 value of 471.63 MUg/ml and exhibited
metal chelating activity with IC50 value 308.67 MUg/ml. The MEPA exhibited
inhibition of rat brain acetylcholinesterase and human blood
butyrylcholinesterase in a dose dependent manner and the IC50 value was found to
be 1009.87 MUg/ml and 449.51 MUg/ml respectively. CONCLUSION: These results of
the present study reveal that MEPA has considerable amount of antioxidant
activity as well as anti-acetylcholinesterase and anti-butyrylcholinesterase
activity which suggest its effectiveness against Alzheimer's disease and other
neurodegenerative disorders.
PMID- 26553096
TI - Protein kinase STK25 controls lipid partitioning in hepatocytes and correlates
with liver fat content in humans.
AB - AIMS/HYPOTHESIS: Type 2 diabetes is closely associated with pathological lipid
accumulation in the liver, which is suggested to actively contribute to the
development of insulin resistance. We recently identified serine/threonine
protein kinase 25 (STK25) as a regulator of liver steatosis, whole-body glucose
tolerance and insulin sensitivity in a mouse model system. The aim of this study
was to assess the role of STK25 in the control of lipid metabolism in human
liver. METHODS: Intracellular fat deposition, lipid metabolism and insulin
sensitivity were studied in immortalised human hepatocytes (IHHs) and HepG2
hepatocellular carcinoma cells in which STK25 was overexpressed or knocked down
by small interfering RNA. The association between STK25 mRNA expression in human
liver biopsies and hepatic fat content was analysed. RESULTS: Overexpression of
STK25 in IHH and HepG2 cells enhanced lipid deposition by suppressing beta
oxidation and triacylglycerol (TAG) secretion, while increasing lipid synthesis.
Conversely, knockdown of STK25 attenuated lipid accumulation by stimulating beta
oxidation and TAG secretion, while inhibiting lipid synthesis. Furthermore, TAG
hydrolase activity was repressed in hepatocytes overexpressing STK25 and
reciprocally increased in cells with STK25 knockdown. Insulin sensitivity was
reduced in STK25-overexpressing cells and enhanced in STK25-deficient
hepatocytes. We also found a statistically significant positive correlation
between STK25 mRNA expression in human liver biopsies and hepatic fat content.
CONCLUSIONS/INTERPRETATION: Our data suggest that STK25 regulates lipid
partitioning in human liver cells by controlling TAG synthesis as well as
lipolytic activity and thereby NEFA release from lipid droplets for beta
oxidation and TAG secretion. Our findings highlight STK25 as a potential drug
target for the prevention and treatment of type 2 diabetes.
PMID- 26553097
TI - The Antiproliferative and Pro-apoptotic Effects of Methoxyamine on Pediatric
Medulloblastoma Cell Lines Exposed to Ionizing Radiation and Chemotherapy.
AB - Medulloblastoma (MB) treatment is continuously evolving. Better treatment
approaches, focused on particular molecular pathways involved in MB development
and progression support new treatment strategies. This article explores the
antiproliferative, proapoptotic and radiosensitizing effects of Methoxyamine
(MX), a base excision repair (BER) inhibitor that has shown anticancer potential
by sensitizing tumor cells to ionizing radiation and chemotherapy. The DAOY (a
desmoplastic cerebellar-derived MB) and ONS-76 (classical MB) cell lines were
treated with MX at different concentrations, either alone or combined with
various chemotherapeutic compounds: cisplatin (CDDP), temozolomide (TMZ) and
thiotepa (THIO). Additionally, cell lines were exposed to MX and treated at
different ionizing radiation fractions. Measurement of cell growth by XTT assay,
clonogenic assay and detection of apoptotic cell death through caspase activity
was obtained. Exposure to MX significantly decreased cell proliferation (p<0.05)
while increasing cell apoptosis (p<0.05). Growth reduction was concentration
dependent for both DAOY and ONS-76 cells lines. Conversely, MX failed to enhance
the cytotoxicity of CDDP, TMZ, and THIO. Moreover, MX treatment radiosensitized
both cell lines, with ONS-76 cells being more prone to radiation effects at
higher doses of exposure. These data support the role of MX as a direct cytotoxic
compound for pediatric MB cells by inhibiting the BER pathway. Nevertheless, an
antagonism, rather than a synergic or additive effect of MX with different
concentrations of CDDP, TMZ and THIO was observed. Likewise, the radiosensitizing
effect on MB cell lines seems to depend on radiation doses and MB subtype. This
information may be relevant for clinical study designs employing BER inhibitors
for MB.
PMID- 26553099
TI - High-throughput blood cell focusing and plasma isolation using spiral inertial
microfluidic devices.
AB - Herein, we explored the blood cell focusing and plasma isolation using a spiral
inertial microfluidic device. First, the flow-rate and concentration effects on
the migration dynamics of blood cells were systematically investigated to uncover
the focusing mechanisms and steric crowding effects of cells in Dean-coupled
inertial flows. A novel phenomenon that the focusing status of discoid red blood
cells (RBCs) changes according to the channel height was discovered. These
experimental data may provide valuable insights for the high-throughput
processing of blood samples using inertial microfluidics. On the basis of the
improved understandings on blood cell focusing, efficient isolation of plasma
from whole blood with a 20-fold dilution was achieved at a throughput up to 700
MUl/min. The purity of the isolated blood plasma was close to 100 %, and the
plasma yield was calculated to be 38.5 %. As compared with previously-reported
devices, our spiral inertial microfluidic device provides a balanced overall
performance, and has overriding advantages in terms of processing throughput and
operating efficiency.
PMID- 26553098
TI - Altered Gray Matter in Adolescents with d-Transposition of the Great Arteries.
AB - OBJECTIVE: To investigate the structural brain characteristics of adolescent
patients with d-transposition of the great arteries (d-TGA), repaired with the
arterial switch operation in early infancy, using quantitative volumetric
magnetic resonance imaging. STUDY DESIGN: Ninety-two patients with d-TGA from the
Boston Circulatory Arrest Study (76% male; median age at scan 16.1 years) and 49
control subjects (41% male; median age at scan 15.7 years) were scanned using a
1.5-Tesla magnetic resonance imaging system. Subcortical and cortical gyral
volumes and cortical gyral thicknesses were measured using surface-based
morphometry. Group differences were assessed with linear regression. RESULTS:
Compared with controls, patients with d-TGA demonstrated significantly reduced
subcortical volumes bilaterally in the striatum and pallidum. Cortical regions
that showed significant volume and thickness differences between groups were
distributed throughout parietal, medial frontoparietal, cingulate, and temporal
gyri. Among adolescents with d-TGA, volumes and thicknesses correlated with
several perioperative variables, including age at surgery, cooling duration,
total support time, and days in the cardiac intensive care unit. CONCLUSIONS:
Adolescents with d-TGA repaired early in life exhibit widespread differences from
control adolescents in gray matter volumes and thicknesses, particularly in
parietal, midline, and subcortical brain regions, corresponding to white matter
regions already known to demonstrate altered microstructure. These findings
complement observations made in white matter in this group and suggest that the
adolescent d-TGA cognitive profile derives from altered brain development
involving both white and gray matter.
PMID- 26553100
TI - Extended-gate field-effect transistor packed in micro channel for glucose, urea
and protein biomarker detection.
AB - This study developed a packaging method to integrate the extended-gate field
effect transistor (EGFET) into a microfluidic chip as a biological sensor. In
addition, we present two immobilization approaches for the bio-recognition that
are appropriate to this chip, allowing it to measure the concentrations of
hydrogen ions, glucose, urea, and specific proteins in a solution. Alginate
calcium microcubes were used to embed the enzymes and magnetic powder (enzyme
carrier). When the sensing chip needs the enzyme for the catalytic reaction, the
alginate microcubes containing the corresponding enzymes enter through the flow
channel and are immobilized on the EGFET surface with an external magnet. High
sensing performance of the chip is achieved, with 37.45 mV/mM for measuring
hydrogen ions at pH 6-8 with a linearity of 0.9939, 7.00 mV/mM for measuring
glucose with a linearity of 0.9962, and 8.01 mV/mM for measuring urea with a
linearity of 0.9809. In addition, based on the principle of the immunoassay, the
magnetic beads with the specific antibody were used to capture the target protein
in the sample. Then, negatively charged DNA fragments bound to a secondary
antibody were used to amplify the signal for EGFET measurement. The magnetic
beads with completed immune response bonding were then fixed on the surface of
the sensor by an external magnetic field. Therefore, the measured object can
directly contact the sensor surface, and quantitative detection of the protein
concentration can be achieved. Apolipoprotein A1 (APOA1) was detected as a target
protein, with a minimum detection limit of approximately 12.5 ng/mL.
PMID- 26553102
TI - Synthesis of multi-functional materials through self-assembly of N-alkyl
phenothiazine linked poly(aryl ether) dendrons.
AB - Multi-functional self-assembled systems are developed from first and second
generation poly aryl ether dendrons, which are covalently attached to N-alkyl
phenothiazine unit through an acylhydrazone linkage. For the first time, dendron
based systems have been utilized for efficient oil spill recovery. Furthermore,
the hydrophobic nature of the compound has been exploited to make self-cleaning
surfaces with anti-wetting properties. More importantly, the visco-elastic
property of the gel enables us to develop a gel based ink from the compound,
which can be read under UV-light.
PMID- 26553101
TI - Retention of basic life support knowledge, self-efficacy and chest compression
performance in Thai undergraduate nursing students.
AB - This study explored the retention of basic life support knowledge, self-efficacy,
and chest compression performance among Thai nursing students at a university in
Thailand. A one-group, pre-test and post-test design time series was used.
Participants were 30 nursing students undertaking basic life support training as
a care provider. Repeated measure analysis of variance was used to test the
retention of knowledge and self-efficacy between pre-test, immediate post-test,
and re-test after 3 months. A Wilcoxon signed-rank test was used to compare the
difference in chest compression performance two times. Basic life support
knowledge was measured using the Basic Life Support Standard Test for Cognitive
Knowledge. Self-efficacy was measured using the Basic Life Support Self-Efficacy
Questionnaire. Chest compression performance was evaluated using a data printout
from Resusci Anne and Laerdal skillmeter within two cycles. The training had an
immediate significant effect on the knowledge, self-efficacy, and skill of chest
compression; however, the knowledge and self-efficacy significantly declined
after post-training for 3 months. Chest compression performance after training
for 3 months was positively retaining compared to the first post-test but was not
significant. Therefore, a retraining program to maintain knowledge and self
efficacy for a longer period of time should be established after post-training
for 3 months.
PMID- 26553103
TI - Change in Cognitive Abilities in Older Latinos.
AB - The aim of this study was to compare patterns of cognitive decline in older
Latinos and non-Latinos. At annual intervals for a mean of 5.7 years, older
Latino (n=104) and non-Latino (n=104) persons of equivalent age, education, and
race completed a battery of 17 cognitive tests from which previously established
composite measures of episodic memory, semantic memory, working memory,
perceptual speed, and visuospatial ability were derived. In analyses adjusted for
age, sex, and education, performance declined over time in each cognitive domain,
but there were no ethnic group differences in initial level of function or annual
rate of decline. There was evidence of retest learning following the baseline
evaluation, but neither the magnitude nor duration of the effect was related to
Latino ethnicity, and eliminating the first two evaluations, during which much of
retest learning occurred, did not affect ethnic group comparisons. Compared to
the non-Latino group, the Latino group had more diabetes (38.5% vs. 25.0;
chi2[1]=4.4; p=.037), fewer histories of smoking (24.0% vs. 39.4%, chi2[1]=5.7;
p=.017), and lower childhood household socioeconomic level (-0.410 vs. -0.045,
t[185.0]=3.1; p=.002), but controlling for these factors did not affect results.
Trajectories of cognitive aging in different abilities are similar in Latino and
non-Latino individuals of equivalent age, education, and race. (JINS, 2016, 22,
58-65).
PMID- 26553104
TI - Oxygen carrier YQ23 can enhance the chemotherapeutic drug responses of
chemoresistant esophageal tumor xenografts.
AB - PURPOSE: Adjunct chemoradiation is offered to unresectable esophageal squamous
cell carcinoma (ESCC) patients, while its use is limited in tumors with strong
resistance. Oxygen carriers or anti-hypoxic drugs belong to an emerging class of
regulators that can alleviate tumor hypoxia. METHODS: We investigate the
potential use of a novel oxygen carrier YQ23 in sensitizing chemoresistant ESCC
in a series of subcutaneous tumor xenograft models developed using ESCC cell
lines with different strengths of chemosensitivities. RESULTS: Tumor xenografts
were developed using SLMT-1 and HKESC-2 ESCC cell lines with different strengths
of resistance to two chemotherapeutic drugs, 5-fluorouracil and cisplatin. More
resistant SLMT-1 xenografts responded better to YQ23 treatment than HKESC-2, as
reflected by the induced tumor oxygen level. YQ23 sensitized SLMT-1 xenografts
toward 5-fluorouracil via its effect on reducing the level of a hypoxic marker
HIF-1alpha. Furthermore, a derangement of tumor microvessel density and integrity
was demonstrated with a concurrent decrease in the level of a tumor mesenchymal
marker vimentin. Similar to the 5-fluorouracil sensitizing effect, YQ23 also
enhanced the response of SLMT-1 xenografts toward cisplatin by reducing the tumor
size and the number of animals with invasive tumors. Chemosensitive HKESC-2
xenografts were irresponsive to combined YQ23 and cisplatin treatment.
CONCLUSIONS: In all, YQ23 functions selectively on chemoresistant ESCC
xenografts, which implicates its potential use as a chemosensitizing agent for
ESCC patients.
PMID- 26553105
TI - The effect of annular repair on the failure strength of the porcine lumbar disc
after needle puncture and punch injury.
AB - PURPOSE: The purpose was to quantify the structural integrity of annulus fibrosis
(AF) after injuries with repair. METHODS: Punctures in the AF of 6-month-old
porcine spine specimens were made with 18-, 20-, 22-, 24-, and 26-gauge needles.
Leakage testing was performed immediately after needle puncture (Group 1), after
the puncture was repaired with a modified purse-string suture (MPSS) (Group 2),
and after needle puncture with immediate repair (Group 3). Punch injuries
repaired with the MPSS alone, or with an AF graft and MPSS were also examined.
RESULTS: There was no leakage from 26-gauge needle punctures. Pressures at which
the nucleus pulposus leaked from the 24-, 22-, 20- and 18-gauge needle punctures
(Group 1) were 4.28, 2.03, 1.27, and 1.06 MPa, respectively. Failure pressure
after repair (Group 2, 3) was significantly greater than without (Group 1).
Failure pressure in Group 3 was much greater than in Group 2 with 18- and 20
gauge punctures. Punch injury repaired with a graft and MPSS had significantly
greater failure pressure than repair with MPSS alone (1.88 vs. 1.02 MPa, p =
0.0001). CONCLUSIONS: The MPSS can restore the mechanical integrity of the AF
after needle puncture. An annular graft along with a MPSS may increase the
structural integrity of the AF after a punch injury. As this was an acute animal
study, the measurements and results may not directly translate to the human
intervertebral disc.
PMID- 26553106
TI - A randomized controlled trial of Mindfulness-Based Cognitive Therapy (MBCT)
versus treatment-as-usual (TAU) for chronic, treatment-resistant depression:
study protocol.
AB - BACKGROUND: Major depression is a common psychiatric disorder, frequently taking
a chronic course. Despite provision of evidence-based treatments, including
antidepressant medication and psychological treatments like cognitive behavioral
therapy or interpersonal therapy, a substantial amount of patients do not
recover. Mindfulness-Based Cognitive Therapy (MBCT) has been found to be
effective in reducing relapse in recurrent depression, as well as lowering
symptom levels in acute depression. The effectiveness of MBCT for chronic,
treatment-resistant depression has only be studied in a few pilot trials. A large
randomized controlled trial is necessary to examine the effectiveness of MBCT in
reducing depressive symptoms in chronic, treatment-resistant depression.
METHODS/DESIGN: A randomized-controlled trial is conducted to compare MBCT with
treatment-as-usual (TAU). Patients with chronic, treatment-resistant depression
who have received antidepressant medication and cognitive behavioral therapy or
interpersonal therapy are included. Assessments take place at baseline and post
intervention/TAU-period. The primary outcome are depressive symptoms. Secondary
outcomes are: remission rates, quality of life, rumination, mindfulness skills
and self-compassion. Patients in the TAU condition are offered to participate in
the MBCT after the post TAU-period assessment. From all completers of the MBCT
(MBCT condition and patients participating after the TAU-period), follow-up
assessments are taken at three and six months after the completion of the MBCT.
DISCUSSION: This trial will result in valuable information about the
effectiveness of MBCT in chronic, treatment-resistant depressed patients who
previously received antidepressant medication and psychological treatment. TRIAL
REGISTRATION: trialregister.nl NTR4843, registered 14th October 2014.
PMID- 26553107
TI - AlignWise: a tool for identifying protein-coding sequence and correcting frame
shifts.
AB - BACKGROUND: Identifying protein-coding genes from species without a reference
genome sequence can be complicated by the presence of sequencing errors,
particularly insertions and deletions. A number of tools capable of correcting
erroneous frame-shifts within assembled transcripts are available but often do
not report back DNA sequences required for subsequent phylogenetic analysis.
Amongst those that do, the Genewise algorithm is the most effective. However, it
requires a homology wrapper to be used in this way, and here we demonstrate it
perfectly corrects frame-shifts only 60% of the time. RESULTS: We therefore
created AlignWise, a tool that combines Genewise with our own homology-based
method, AlignFS, to identify protein-coding regions and correct erroneous frame
shifts, suitable for subsequent phylogenetic analysis. We compared AlignWise
against other open reading frame finding software and demonstrate that the
AlignFS algorithm is more accurate than Genewise at correcting frame-shifts
within an order. We show that AlignWise provides the greatest accuracy at higher
evolutionary distances, out-performing both AlignFS and Genewise individually.
CONCLUSIONS: AlignWise produces a single ORF per transcript and identifies and
corrects frame-shifts with high accuracy. It is therefore well suited for
analysing novel transcriptome assemblies and EST sequences in the absence of a
reference genome.
PMID- 26553108
TI - Effect of oxidative stress on ventricular repolarization in patients with type 2
diabetes: non-invasive quantification via transmural dispersion of
repolarization.
PMID- 26553109
TI - User Interaction in Semi-Automatic Segmentation of Organs at Risk: a Case Study
in Radiotherapy.
AB - Accurate segmentation of organs at risk is an important step in radiotherapy
planning. Manual segmentation being a tedious procedure and prone to inter- and
intra-observer variability, there is a growing interest in automated segmentation
methods. However, automatic methods frequently fail to provide satisfactory
result, and post-processing corrections are often needed. Semi-automatic
segmentation methods are designed to overcome these problems by combining
physicians' expertise and computers' potential. This study evaluates two semi
automatic segmentation methods with different types of user interactions, named
the "strokes" and the "contour", to provide insights into the role and impact of
human-computer interaction. Two physicians participated in the experiment. In
total, 42 case studies were carried out on five different types of organs at
risk. For each case study, both the human-computer interaction process and
quality of the segmentation results were measured subjectively and objectively.
Furthermore, different measures of the process and the results were correlated. A
total of 36 quantifiable and ten non-quantifiable correlations were identified
for each type of interaction. Among those pairs of measures, 20 of the contour
method and 22 of the strokes method were strongly or moderately correlated,
either directly or inversely. Based on those correlated measures, it is concluded
that: (1) in the design of semi-automatic segmentation methods, user interactions
need to be less cognitively challenging; (2) based on the observed workflows and
preferences of physicians, there is a need for flexibility in the interface
design; (3) the correlated measures provide insights that can be used in
improving user interaction design.
PMID- 26553110
TI - High Fidelity Tape Transfer Printing Based On Chemically Induced Adhesive
Strength Modulation.
AB - Transfer printing, a two-step process (i.e. picking up and printing) for
heterogeneous integration, has been widely exploited for the fabrication of
functional electronics system. To ensure a reliable process, strong adhesion for
picking up and weak or no adhesion for printing are required. However, it is
challenging to meet the requirements of switchable stamp adhesion. Here we
introduce a simple, high fidelity process, namely tape transfer printing (TTP),
enabled by chemically induced dramatic modulation in tape adhesive strength. We
describe the working mechanism of the adhesion modulation that governs this
process and demonstrate the method by high fidelity tape transfer printing
several types of materials and devices, including Si pellets arrays,
photodetector arrays, and electromyography (EMG) sensors, from their preparation
substrates to various alien substrates. High fidelity tape transfer printing of
components onto curvilinear surfaces is also illustrated.
PMID- 26553111
TI - A cationic azobenzene-surfactant-modified graphene hybrid: unique photoresponse
and electrochemical behavior.
AB - Surfactant-modified graphene hybrids containing azobenzene groups were for the
first time prepared, and the electrochemical performance was investigated. The
hybrids were obtained by electrostatic interactions between cationic azobenzene
surfactants and negatively charged graphene oxide in water. The electrostatic
interactions, chemical structure and photoresponse of the hybrids were measured
by using zeta potential values, fluorescence spectra, FTIR, XPS, XRD, SEM, UV-Vis
absorption, AFM and Raman spectra. The electrochemical performance was estimated
using cyclic voltammetry. The results show that strong electrostatic interactions
exist between the azobenzene surfactants and graphene oxide. Notably, this
azobenzene-graphene hybrid can self-assemble into aggregation structures in
aqueous solution. Besides, the self-assembly can be reversibly controlled by
ultraviolet light (365 nm) and blue light (455 nm) irradiation. This process is
driven by the photoinduced polarity change of the cationic azobenzene surfactant
and is responsible for the graphene hybrids' electrochemical performance. It is
the first example of the reversible self-assembly of graphene driven by light
irradiation.
PMID- 26553112
TI - Pop in, pop out: a novel gene-targeting strategy for use with CRISPR-Cas9.
AB - The CRISPR-Cas9 system is frequently used to create small deletions in the
genomes of mammalian cells, but the isolation of precisely targeted mutants is
still challenging. A new, two-step 'pop in & out' targeting approach facilitates
this task.
PMID- 26553113
TI - Absence of physiologic breast response to pregnancy and lactation after radiation
therapy.
PMID- 26553115
TI - Correction to "Synthetic metallochaperone ZMC1 rescues mutant p53 conformation by
transporting zinc into cells as an ionophore".
PMID- 26553114
TI - Improvement in latent variable indirect response joint modeling of a continuous
and a categorical clinical endpoint in rheumatoid arthritis.
AB - Improving the quality of exposure-response modeling is important in clinical drug
development. The general joint modeling of multiple endpoints is made possible in
part by recent progress on the latent variable indirect response (IDR) modeling
for ordered categorical endpoints. This manuscript aims to investigate, when
modeling a continuous and a categorical clinical endpoint, the level of
improvement achievable by joint modeling in the latent variable IDR modeling
framework through the sharing of model parameters for the individual endpoints,
guided by the appropriate representation of drug and placebo mechanism. This was
illustrated with data from two phase III clinical trials of intravenously
administered mAb X for the treatment of rheumatoid arthritis, with the 28-joint
disease activity score (DAS28) and 20, 50, and 70% improvement in the American
College of Rheumatology (ACR20, ACR50, and ACR70) disease severity criteria were
used as efficacy endpoints. The joint modeling framework led to a parsimonious
final model with reasonable performance, evaluated by visual predictive check.
The results showed that, compared with the more common approach of separately
modeling the endpoints, it is possible for the joint model to be more
parsimonious and yet better describe the individual endpoints. In particular, the
joint model may better describe one endpoint through subject-specific random
effects that would not have been estimable from data of this endpoint alone.
PMID- 26553116
TI - Association of homocysteine level and vascular burden and cognitive function in
middle-aged and older adults with chronic kidney disease.
AB - OBJECTIVES: Patients with chronic kidney disease (CKD) have been found to have
cognitive impairment. However, the core features and clinical correlates of
cognitive impairment are still unclear. Elevated homocysteine levels are present
in CKD, and this is a risk factor for cognitive impairment and vascular diseases
in the general population. Thus, this study investigated the core domains of
cognitive impairment and investigated the associations of homocysteine level and
vascular burden with cognitive function in patients with CKD. METHODS: Patients
with CKD aged >= 50 years and age- and sex-matched normal comparisons were
enrolled. The total fasting serum homocysteine level was measured. Vascular
burden was assessed using the Framingham Cardiovascular Risk Scale. Cognitive
function was evaluated using comprehensive neuropsychological tests. RESULTS: A
total of 230 patients with CKD and 92 comparisons completed the study. Memory
impairment and executive dysfunction were identified as core features of
cognitive impairment in the CKD patients. Among the patients with CKD, higher
serum homocysteine levels (beta = -0.17, p = 0.035) and higher Framingham
Cardiovascular Risk Scale scores (beta = -0.18, p = 0.013) were correlated with
poor executive function independently. However, an association with memory
function was not noted. Our results showed that an elevated homocysteine level
and an increased vascular burden were independently associated with executive
function, but not memory, in CKD patients. CONCLUSIONS: This findings suggested
the co-existence of vascular and non-vascular hypotheses regarding executive
dysfunction in CKD patients. Meanwhile, other risk factors related to CKD itself
should be investigated in the future. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26553117
TI - Glucose and fatty acid metabolism in infarcted heart from streptozotocin-induced
diabetic rats after 2 weeks of tissue remodeling.
AB - BACKGROUND: The effects of streptozotocin (STZ)-induced diabetes on heart
metabolism and function after myocardial infarction (MI) remodelling were
investigated in rats. METHODS: Fifteen days after STZ (50 mg/kg b.w. i.v.)
injection, MI was induced by surgical occlusion of the left coronary artery. Two
weeks after MI induction, contents of glycogen, ATP, free fatty acids and
triacylglycerols (TG) and enzyme activities of glycolysis and Krebs cycle
(hexokinase, glucose-6-phosphate dehydrogenase, phosphofructokinase, citrate
synthase) and expression of carnitine palmitoyl-CoA transferase I (a key enzyme
of mitochondrial fatty acid oxidation) were measured in the left ventricle (LV).
Plasma glucose, free fatty acids and triacylglycerol levels were determined.
Ejection fraction (EF) and shortening fraction (SF) were also measured by
echocardiography. RESULTS: Glycogen and TG contents were increased (p < 0.05)
whereas ATP content was decreased in the LV of the non-infarcted diabetic group
when compared to the control group (p < 0.05). When compared to infarcted control
rats (MI), the diabetic infarcted rats (DI) showed (p < 0.05): increased plasma
glucose and TG levels, elevated free fatty acid levels and increased activity of,
citrate synthase and decreased ATP levels in the LV. Infarct size was smaller in
the DI group when compared to MI rats (p < 0.05), and this was associated with
higher EF and SF (p < 0.05). CONCLUSIONS: Systolic function was preserved or
recovered more efficiently in the heart from diabetic rats two weeks after MI,
possibly due to the high provision of glucose and free fatty acids from both
plasma and heart glycogen and triacylglycerol stores.
PMID- 26553119
TI - Ascending aortic aneurysm caused by Mycobacterium tuberculosis.
AB - BACKGROUND: Tuberculous aortitis is an unusual presentation of a common disease
in Sri Lanka. There were no reported cases of tuberculous aortitis from Sri
Lanka. Here we report a case of a 40-year-old woman who developed an ascending
aortic aneurysm with severe aortic regurgitation caused by Mycobacterium
tuberculosis. CASE PRESENTATION: A 40-year-old Sri Lankan female who presented
with exertional breathlessness (NYHA II) and weight loss for 4 weeks duration was
found to have collapsing pulse and early diastolic murmur at left sternal edge.
Transthoracic and transesophageal echocardiogram showed ascending aortic aneurysm
with severe aortic regurgitation. Computed tomographic aortography confirmed the
diagnosis of aneurysmal dilatation of the ascending aorta. She underwent
successful aortic valve replacement and aortic root replacement. The final
diagnosis of tuberculous aortitis was made on the basis of macroscopic appearance
of inflammation and microscopic confirmation of caseating granuloma. She made a
good clinical recovery with category 1 antituberculous chemotherapy. CONCLUSIONS:
Although most cases of aortitis are non-infectious in Sri Lanka, an infectious
etiology must be considered in the differential diagnosis because therapeutic
approaches differ widely. Tuberculous aortitis may be under diagnosed in Sri
Lanka, a country with intermediate tuberculosis burden, as the histological or
microbiological diagnosis is not possible in most cases. The clinical and
radiological diagnostic criteria for tuberculous aortitis need to be set out in
case of aneurysmal aortic disease in the absence of apparent etiology.
PMID- 26553118
TI - Cross-Sectional Study of Respiratory Symptoms, Spirometry, and Immunologic
Sensitivity in Epoxy Resin Workers.
AB - OBJECTIVES: An epoxy resin worker developed hypersensitivity pneumonitis
requiring lung transplantation and had an abnormal blood lymphocyte proliferation
test (LPT) to an epoxy hardener. We assessed the prevalence of symptoms, abnormal
spirometry, and abnormal epoxy resin LPT results in epoxy resin workers compared
to unexposed workers. METHODS: Participants completed questionnaires and
underwent spirometry. We collected blood for epoxy resin LPT and calculated
stimulation indices for five epoxy resin products. RESULTS: We compared 38
exposed to 32 unexposed workers. Higher exposed workers were more likely to
report cough (OR 10.86, [1.23-infinity], p = 0.030) or wheeze (OR 4.44, [1.00
22.25], p = 0.049) than unexposed workers, even controlling for smoking. Higher
exposed workers were more likely to have abnormal FEV1 than unexposed workers (OR
10.51, [0.86-589.9], p = 0.071), although not statistically significant when
adjusted for smoking. There were no differences in proportion of abnormal epoxy
resin system LPTs between exposed and unexposed workers. CONCLUSIONS: In summary,
workers exposed to epoxy resin system chemicals were more likely to report
respiratory symptoms and have abnormal FEV1 than unexposed workers. Use of epoxy
resin LPT was not helpful as a biomarker of exposure and sensitization.
PMID- 26553120
TI - Gravity-driven postseismic deformation following the Mw 6.3 2009 L'Aquila (Italy)
earthquake.
AB - The present work focuses on the postseismic deformation observed in the region of
L'Aquila (central Italy) following the Mw 6.3 earthquake that occurred on April
6, 2009. A new, 16-month-long dataset of COSMO-SkyMed SAR images was analysed
using the Persistent Scatterer Pairs interferometric technique. The analysis
revealed the existence of postseismic ground subsidence in the mountainous rocky
area of Mt Ocre ridge, contiguous to the sedimentary plain that experienced
coseismic subsidence. The postseismic subsidence was characterized by
displacements of 10 to 35 mm along the SAR line of sight. In the Mt Ocre ridge,
widespread morphological elements associated with gravitational spreading have
been previously mapped. We tested the hypothesis that the postseismic subsidence
of the Mt Ocre ridge compensates the loss of equilibrium induced by the nearby
coseismic subsidence. Therefore, we simulated the coseismic and postseismic
displacement fields via the finite element method. We included the gravitational
load and fault slip and accounted for the geometrical and rheological
characteristics of the area. We found that the elastoplastic behaviour of the
material under gravitational loading best explains the observed postseismic
displacement. These findings emphasize the role of gravity in the postseismic
processes at the fault scale.
PMID- 26553122
TI - Should we keep on measuring multimorbidity?
PMID- 26553123
TI - [Securing the therapy management during the leave permissions for the elderly
patients].
AB - Leave permission can be granted over a limited period of hospitalisation during
which the patient can return home under the responsibility of the hospital.
Despite its frequency, this practice is not evaluated in terms of maintaining the
security of medication. This complex process involves several actors, processes
and locations. In that case, the drug iatrogenic risk is not at all negligible,
especially for the elderly. Patient comprehension of medication is not always
easy and must be evaluated before leaving the hospital. Therefore, a risk
analysis has been initiated to ensure the medical practice's security of our
geriatric hospital. Multidisciplinary working group meetings were dedicated to
analyse and overcome 21 unacceptable failure modes. The establishment of
traceability nurse/patient for the medication intake, information and evaluation
of drug monitoring allowed the patient's medication compliance. In the meanwhile,
the role of the working group on the security of the internal drug circuit in the
hospital has integrated the harmonization of practices, a unique source of
information and a variety of comprehensible, readable and informative materials
to propose to the patients. These preventive actions have been formulated to
secure, optimize and to individualize drug management during the leave
permission. In the context of optimization during transit period home/hospital,
this process reorganized by the working group can be integrated in a public
health approach to reduce the number of preventable readmissions.
PMID- 26553124
TI - Vitiligo and remarkable freckles in chronic graft-versus-host disease.
PMID- 26553125
TI - Anti-inflammatory effects of Saururus chinensis aerial parts in murine
macrophages via induction of heme oxygenase-1.
AB - Saururus chinensis (Lour.) Baill. is a perennial plant distributed throughout
Northeast Asia and its roots have been widely used as a traditional medicine for
hepatitis, asthma, pneumonia, and gonorrhea. This study was designed to
investigate the anti-inflammatory activity of an extract of S. chinensis of the
aerial parts (rather than the root), and the signaling pathway responsible for
this effect in lipopolysaccharide-stimulated murine macrophages. The subfraction
4 (SCF4) from the n-hexane layer of the ethanol extract of the aerial parts of S.
chinensis exhibited the highest nitrite-inhibitory activity. SCF4 significantly
inhibited the production of nitrite and the expression of pro-inflammatory
mediators via heme oxygenase-1 upregulation. SCF4 caused significant
phosphorylation of p38 MAPK and Akt, which subsequently induced the nuclear
translocation of p-p65 nuclear factor-kappaB and Nrf2. SCF4 also suppressed the
phosphorylation of signal transducers and activators of transcription 1 (p
STAT1). The heme oxygenase-1 inhibitor zinc protoporphyrin attenuated the
inhibitory effect of SCF4 on lipopolysaccharide-stimulated nitrite production and
expression of inflammatory mediators, tumor necrosis factor alpha, and p-STAT1.
We identified sauchinone as the active compound in S. chinensis extract and SCF4.
Sauchinone was shown to significantly inhibit nitrite production and inflammatory
mediators expression via heme oxygenase-1 upregulation. These results suggest
that S. chinensis extract, SCF4, and its active compound, sauchinone, could be
used as an anti-inflammatory agent.
PMID- 26553121
TI - Hyponatremia in the intensive care unit: How to avoid a Zugzwang situation?
AB - Hyponatremia is a common electrolyte derangement in the setting of the intensive
care unit. Life-threatening neurological complications may arise not only in case
of a severe (<120 mmol/L) and acute fall of plasma sodium levels, but may also
stem from overly rapid correction of hyponatremia. Additionally, even mild
hyponatremia carries a poor short-term and long-term prognosis across a wide
range of conditions. Its multifaceted and intricate physiopathology may seem
deterring at first glance, yet a careful multi-step diagnostic approach may
easily unravel the underlying mechanisms and enable physicians to adopt the
adequate measures at the patient's bedside. Unless hyponatremia is associated
with obvious extracellular fluid volume increase such as in heart failure or
cirrhosis, hypertonic saline therapy is the cornerstone of the therapeutic of
profound or severely symptomatic hyponatremia. When overcorrection of
hyponatremia occurs, recent data indicate that re-lowering of plasma sodium
levels through the infusion of hypotonic fluids and the cautious use of
desmopressin acetate represent a reasonable strategy. New therapeutic options
have recently emerged, foremost among these being vaptans, but their use in the
setting of the intensive care unit remains to be clarified.
PMID- 26553126
TI - Effects of salt intake and potassium supplementation on renalase expression in
the kidneys of Dahl salt-sensitive rats.
AB - Renalase is currently the only known amine oxidase in the blood that can
metabolize catecholamines and regulate sympathetic activity. High salt intake is
associated with high blood pressure (BP), possibly through the modulation of
renalase expression and secretion, whereas potassium can reverse the high salt
mediated increase in blood pressure. However, whether potassium could also
modulate BP through renalase is unclear. In this study, we aim to investigate how
salt intake and potassium supplementation affect the level of renalase in rats.
Eighteen salt-sensitive (SS) and 18 SS-13BN rats were divided into six groups,
receiving normal salt (0.3% NaCl), high salt (8% NaCl) and high salt/potassium
(8% NaCl and 8% KCl) dietary intervention for four weeks. At the end of
experiments, blood and kidneys were collected for analysis. mRNA level of
renalase was measured by quantitative real-time PCR and protein level was
determined by Western blot. We found that mRNA and protein levels of renalase in
the kidneys of SS and SS-13BN rats were significantly decreased (P < 0.05) after
high salt intervention, whereas dopamine in plasma was increased (P < 0.05)
compared with rats received normal salt, suggesting that salt may induce salt
sensitive hypertension through inhibition of renalase expression. We also found
increased mRNA level and protein level of renalase, decreased catecholamine
levels in plasma, and decreased BP in SS rats treated with high salt/potassium,
compared with that of the high salt SS group. Taken together, the salt-induced
increase and potassium-induced decrease in BP could be mediated through renalase.
More studies are needed to confirm our findings and understand the underlying
mechanisms.
PMID- 26553127
TI - A Holistic Multi Evidence Approach to Study the Fragmentation Behaviour of
Crystalline Mannitol.
AB - Mannitol is an essential excipient employed in orally disintegrating tablets due
to its high palatability. However its fundamental disadvantage is its
fragmentation during direct compression, producing mechanically weak tablets. The
primary aim of this study was to assess the fracture behaviour of crystalline
mannitol in relation to the energy input during direct compression, utilising
ball milling as the method of energy input, whilst assessing tablet
characteristics of post-milled powders. Results indicated that crystalline
mannitol fractured at the hydrophilic (011) plane, as observed through SEM,
alongside a reduction in dispersive surface energy. Disintegration times of post
milled tablets were reduced due to the exposure of the hydrophilic plane, whilst
more robust tablets were produced. This was shown through higher tablet hardness
and increased plastic deformation profiles of the post-milled powders, as
observed with a lower yield pressure through an out-of-die Heckel analysis.
Evaluation of crystal state using x-ray diffraction/differential scanning
calorimetry showed that mannitol predominantly retained the beta-polymorph;
however x-ray diffraction provided a novel method to calculate energy input into
the powders during ball milling. It can be concluded that particle size reduction
is a pragmatic strategy to overcome the current limitation of mannitol
fragmentation and provide improvements in tablet properties.
PMID- 26553128
TI - A single cervical length measurement at 18-24 weeks is a better predictor of
preterm birth than the change in cervical length with gestation.
PMID- 26553130
TI - Nanotechnology in Phytotherapy: Antiinflammatory Effect of a Nanostructured
Thymol Gel from Lippia sidoides in Acute Periodontitis in Rats.
AB - Lippia sidoides Cham (Verbenaceae) is largely distributed in the northeastern
region of Brazil. It is popularly known as 'Alecrim-pimenta'. Recent studies have
shown that some species of Lippia have interesting pharmacological activities.
This study aimed to evaluate the effect of a nanostructured thymol gel (TG) 1.2
mg/g on acute phase of ligature-induced periodontitis model [acute periodontal
disease (APD)] in rats. APD was induced in 24 Wistar rats subjected to ligature
placement on left molars in maxillae. Animals were treated with TG, immediately
after APD induction. Saline-based gel was utilized as negative control and
diethylammonium diclofenac gel 10 mg/g was used as positive control. Animals were
randomly assigned into the groups. The periodontium and the surrounding gingiva
were examined at histopathology, as well as the neutrophil influx into the
gingiva was assayed using myeloperoxidase activity levels by ELISA method. TG
treatment reduced tissue lesion at histopathology coupled to decreased
myeloperoxidase activity production in gingival tissue when compared with the
saline gel control group (p < 0.05). The TG gel was able to provide a significant
myeloperoxidase decreasing in gingiva tissue confirming to be effective in
reducing gingival inflammation in this model.
PMID- 26553131
TI - Atom-Economical Dimerization Strategy by the Rhodium-Catalyzed Addition of
Carboxylic Acids to Allenes: Protecting-Group-Free Synthesis of Clavosolide A and
Late-Stage Modification.
AB - Natural products of polyketide origin with a high level of symmetry, in
particular C2 -symmetric diolides as a special macrolactone-based product class,
often possess a broad spectrum of biological activity. An efficient route to this
important structural motif was developed as part of a concise and highly
convergent synthesis of clavosolide A. This strategy features an atom-economic
"head-to-tail" dimerization by the stereoselective rhodium-catalyzed addition of
carboxylic acids to terminal allenes with the simultaneous construction of two
new stereocenters. The excellent efficiency and selectivity with which the C2
symmetric core structures were obtained are remarkable considering the outcome
under classical dimerization conditions. Furthermore, this approach facilitates
late-stage modification and provides ready access to potential new lead
structures.
PMID- 26553129
TI - A systematic review of instruments for measuring outcomes in economic evaluation
within aged care.
AB - BACKGROUND: This paper describes the methods and results of a systematic review
to identify instruments used to measure quality of life outcomes in older people.
The primary focus of the review was to identify instruments suitable for
application with older people within economic evaluations conducted in the aged
care sector. METHODS: Online databases searched were PubMed, Medline, Scopus, and
Web of Science, PsycInfo, CINAHL, Embase and Informit. Studies that met the
following criteria were included: 1) study population exclusively above 65 years
of age 2) measured health status, health related quality of life or quality of
life outcomes more broadly through use of an instrument developed for this
purpose, 3) used a generic preference based instrument or an older person
specific preference based or non-preference based instrument or both, and 4)
published in journals in the English language after 2000. RESULTS: The most
commonly applied generic preference based instrument in both the community and
residential aged care context was the EuroQol - 5 Dimensions (EQ-5D), followed by
the Adult Social Care Outcomes Toolkit (ASCOT) and the Health Utilities Index
(HUI2/3). The most widely applied older person specific instrument was the ICEpop
CAPability measure for Older people (ICECAP-O) in both community and residential
aged care. CONCLUSION: In the absence of an ideal instrument for incorporating
into economic evaluations in the aged care sector, this review recommends the use
of a generic preference based measure of health related quality of life such as
the EQ-5D to obtain quality adjusted life years, in combination with an
instrument that has a broader quality of life focus like the ASCOT, which was
designed specifically for evaluating interventions in social care or the ICECAP
O, a capability measure for older people.
PMID- 26553132
TI - miR-23a binds to p53 and enhances its association with miR-128 promoter.
AB - Apoptosis plays an important role in cardiac pathology, but the molecular
mechanism by which apoptosis regulated remains largely elusive. Here, we report
that miR-23a promotes the apoptotic effect of p53 in cardiomyocytes. Our results
showed that miR-23a promotes apoptosis induced by oxidative stress. In exploring
the molecular mechanism by which miR-23a promotes apoptosis, we found that it
sensitized the effect of p53 on miR-128 regulation. It promoted the association
of p53 to the promoter region of miR-128, and enhanced the transcriptional
activation of p53 on miR-128 expression. miR-128 can downregulate prohibitin
expression, and subsequently promote apoptosis. Our data provides novel evidence
revealing that miR-23a can stimulate transcriptional activity of p53.
PMID- 26553133
TI - Thyroid function: Differentiated pluripotent stem cells restore thyroid function.
PMID- 26553135
TI - Sample size considerations for the external validation of a multivariable
prognostic model: a resampling study.
AB - After developing a prognostic model, it is essential to evaluate the performance
of the model in samples independent from those used to develop the model, which
is often referred to as external validation. However, despite its importance,
very little is known about the sample size requirements for conducting an
external validation. Using a large real data set and resampling methods, we
investigate the impact of sample size on the performance of six published
prognostic models. Focussing on unbiased and precise estimation of performance
measures (e.g. the c-index, D statistic and calibration), we provide guidance on
sample size for investigators designing an external validation study. Our study
suggests that externally validating a prognostic model requires a minimum of 100
events and ideally 200 (or more) events.
PMID- 26553134
TI - Regulation of metabolism by the innate immune system.
AB - Low-grade tissue inflammation induced by obesity can result in insulin
resistance, which in turn is a key cause of type 2 diabetes mellitus. Cells of
the innate immune system produce cytokines and other factors that impair insulin
signalling, which contributes to the connection between obesity and the onset of
type 2 diabetes mellitus. Here, we review the innate immune cells involved in
secreting inflammatory factors in the obese state. In the adipose tissue, these
cells include proinflammatory adipose tissue macrophages and natural killer
cells. We also discuss the role of innate immune cells, such as anti-inflammatory
adipose tissue macrophages, eosinophils, group 2 innate lymphoid cells and
invariant natural killer T cells, in maintaining an anti-inflammatory and insulin
sensitive environment in the lean state. In the liver, both Kupffer cells and
recruited hepatic macrophages can contribute to decreased hepatic insulin
sensitivity. Proinflammatory macrophages might also adversely affect insulin
sensitivity in the skeletal muscle and pancreatic beta-cell function. Finally,
this Review provides an overview of the mechanisms for regulating proinflammatory
immune responses that could lead to future therapeutic opportunities to improve
insulin sensitivity.
PMID- 26553136
TI - Genomic profiling of CHEK2*1100delC-mutated breast carcinomas.
AB - BACKGROUND: CHEK2*1100delC is a moderate-risk breast cancer susceptibility allele
with a high prevalence in the Netherlands. We performed copy number and gene
expression profiling to investigate whether CHEK2*1100delC breast cancers harbor
characteristic genomic aberrations, as seen for BRCA1 mutated breast cancers.
METHODS: We performed high-resolution SNP array and gene expression profiling of
120 familial breast carcinomas selected from a larger cohort of 155 familial
breast tumors, including BRCA1, BRCA2, and CHEK2 mutant tumors. Gene expression
analyses based on a mRNA immune signature was used to identify samples with
relative low amounts of tumor infiltrating lymphocytes (TILs), which were
previously found to disturb tumor copy number and LOH (loss of heterozygosity)
profiling. We specifically compared the genomic and gene expression profiles of
CHEK2*1100delC breast cancers (n = 14) with BRCAX (familial non
BRCA1/BRCA2/CHEK2*1100delC mutated) breast cancers (n = 34) of the luminal
intrinsic subtypes for which both SNP-array and gene expression data is
available. RESULTS: High amounts of TILs were found in a relatively small number
of luminal breast cancers as compared to breast cancers of the basal-like
subtype. As expected, these samples mostly have very few copy number aberrations
and no detectable regions of LOH. By unsupervised hierarchical clustering of copy
number data we observed a great degree of heterogeneity amongst the
CHEK2*1100delC breast cancers, comparable to the BRCAX breast cancers.
Furthermore, copy number aberrations were mostly seen at low frequencies in both
the CHEK2*1100delC and BRCAX group of breast cancers. However, supervised class
comparison identified copy number loss of chromosomal arm 1p to be associated
with CHEK2*1100delC status. CONCLUSIONS: In conclusion, in contrast to basal-like
BRCA1 mutated breast cancers, no apparent specific somatic copy number aberration
(CNA) profile for CHEK2*1100delC breast cancers was found. With the possible
exception of copy number loss of chromosomal arm 1p in a subset of tumors, which
might be involved in CHEK2 tumorigenesis. This difference in CNAs profiles might
be explained by the need for BRCA1-deficient tumor cells to acquire survival
factors, by for example specific copy number aberrations, to expand. Such factors
may not be needed for breast tumors with a defect in a non-essential gene such as
CHEK2.
PMID- 26553137
TI - Association between genetic variants of the clock gene and obesity and sleep
duration.
AB - Obesity is a multifactorial disease caused by the interaction of genetic and
environmental factors related to lifestyle aspects. It has been shown that
reduced sleep is associated with increased body mass index (BMI). Circadian
Locomotor Output Cycles Kaput (CLOCK) gene variants have also been associated
with obesity. The objective of this mini-review was to discuss the available
literature related to CLOCK gene variants associated with adiposity and sleep
duration in humans. In total, 16 articles complied with the terms of the search
that reported CLOCK variants associated with sleep duration, energy intake, and
BMI. Overall, six CLOCK single nucleotide polymorphisms (SNPs) have been
associated with sleep duration, and three variants have been associated with
energy intake variables. Overall, the most studied area has been the association
of CLOCK gene with obesity; close to eight common variants have been associated
with obesity. The most studied CLOCK SNP in different populations is rs1801260,
and most of these populations correspond to European populations. Collectively,
identifying at risk CLOCK genotypes is a new area of research that may help
identify individuals who are more susceptible to overeating and gaining weight
when exposed to short sleep durations.
PMID- 26553138
TI - Combining carbon ion irradiation and non-homologous end-joining repair inhibitor
NU7026 efficiently kills cancer cells.
AB - BACKGROUND: Our previous data demonstrated that targeting non-homologous end
joining repair (NHEJR) yields a higher radiosensitivity than targeting homologous
recombination repair (HRR) to heavy ions using DNA repair gene knockouts (KO) in
mouse embryonic fibroblast (MEF). In this study, we determined if combining the
use of an NHEJR inhibitor with carbon (C) ion irradiation was more efficient in
killing human cancer cells compared with only targeting a HRR inhibitor. METHODS:
The TP53-null human non-small cell lung cancer cell line H1299 was used for
testing the radiosensitizing effect of NHEJR-related DNA-dependent protein kinase
(DNA-PK) inhibitor NU7026, HRR-related Rad51 inhibitor B02, or both to C ion
irradiation using colony forming assays. The mechanism underlying the inhibitor
radiosensitization was determined by flow cytometry after H2AX phosphorylation
staining. HRR-related Rad54-KO, NHEJR-related Lig4-KO, and wild-type TP53-KO MEF
were also included to confirm the suppressing effect specificity of these
inhibitors. RESULTS: NU7026 showed significant sensitizing effect to C ion
irradiation in a concentration-dependent manner. In contrast, B02 showed a slight
sensitizing effect to C ion irradiation. The addition of NU7026 significantly
increased H2AX phosphorylation after C ion and x-ray irradiations in H1299 cells,
but not B02. NU7026 had no effect on radiosensitivity to Lig4-KO MEF and B02 had
no effect on radiosensitivity to Rad54-KO MEF in both irradiations. CONCLUSION:
These results suggest that inhibitors targeting the NHEJR pathway could
significantly enhance radiosensitivity of human cancer cells to C ion
irradiation, rather than targeting the HRR pathway.
PMID- 26553140
TI - Policy Barriers to Best Practices: The Impact of Restrictive State Regulations on
Access to Long-acting Reversible Contraceptives.
PMID- 26553139
TI - Fatalism, medical mistrust, and pretreatment health-related quality of life in
ethnically diverse prostate cancer patients.
AB - OBJECTIVE: Few studies have examined the impact of cultural processes prevalent
in minority ethnic groups such as cancer fatalism and medical mistrust on health
related quality of life (HRQoL) following a cancer diagnosis. The present study
examined relationships among ethnicity, HRQoL, and two possible cultural
vulnerability factors-fatalistic attitudes and medical mistrust-among an
ethnically diverse sample of men with prostate cancer (PC) prior to undergoing
active treatment. METHODS: A total of 268 men with localized PC (30% African
American, 29% Hispanic, and 41% non-Hispanic White) were assessed cross
sectionally prior to active treatment. Path analyses examined relationships among
ethnicity, vulnerability factors, and HRQoL. RESULTS: Ethnicity was not related
to HRQoL after controlling for relevant covariates. Hispanic men reported greater
cancer fatalism compared with non-Hispanic White men (beta = 0.15, p = 0.03), and
both Hispanics (beta = 0.19, p < 0.01) and African Americans (beta = 0.20, p <
0.01) reported greater medical mistrust than non-Hispanic Whites. Fatalism
demonstrated a trend toward negatively impacting physical well-being (beta =
0.12, p = 0.06), but was not significantly related to emotional well-being (beta
= -0.10, p = 0.11). Greater medical mistrust was associated with poorer physical
(beta = -0.14, p = 0.03) and emotional well-being (beta = -0.13, p = 0.04).
CONCLUSIONS: Results indicate that fatalistic attitudes and medical system
mistrust were more prevalent among minority men. Less trust in the medical system
was associated with poorer physical and emotional well-being. Attention to
perceptions of the healthcare system and its relation to HRQoL may have
implications for targeting culturally driven attitudes that may compromise
adjustment to a PC diagnosis.Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26553141
TI - Radical fluorination powered expedient synthesis of 3-fluorobicyclo[1.1.1]pentan
1-amine.
AB - Exploration of novel chemical space, a modern trend in medicinal chemistry, is
heavily reliant on synthetic access to new and interesting building blocks. In
this direction, the following work describes an expedient synthesis of one such
moiety, 3-fluorobicyclo[1.1.1]pentan-1-amine, by employing radical fluorination.
PMID- 26553142
TI - Diagnostic yield and accuracy of CT angiography, MR angiography, and digital
subtraction angiography for detection of macrovascular causes of intracerebral
haemorrhage: prospective, multicentre cohort study.
AB - STUDY QUESTION: What are the diagnostic yield and accuracy of early computed
tomography (CT) angiography followed by magnetic resonance imaging/angiography
(MRI/MRA) and digital subtraction angiography (DSA) in patients with non
traumatic intracerebral haemorrhage? METHODS: This prospective diagnostic study
enrolled 298 adults (18-70 years) treated in 22 hospitals in the Netherlands over
six years. CT angiography was performed within seven days of haemorrhage. If the
result was negative, MRI/MRA was performed four to eight weeks later. DSA was
performed when the CT angiography or MRI/MRA results were inconclusive or
negative. The main outcome was a macrovascular cause, including arteriovenous
malformation, aneurysm, dural arteriovenous fistula, and cavernoma. Three blinded
neuroradiologists independently evaluated the images for macrovascular causes of
haemorrhage. The reference standard was the best available evidence from all
findings during one year's follow-up. STUDY ANSWER AND LIMITATIONS: A
macrovascular cause was identified in 69 patients (23%). 291 patients (98%)
underwent CT angiography; 214 with a negative result underwent additional MRI/MRA
and 97 with a negative result for both CT angiography and MRI/MRA underwent DSA.
Early CT angiography detected 51 macrovascular causes (yield 17%, 95% confidence
interval 13% to 22%). CT angiography with MRI/MRA identified two additional
macrovascular causes (18%, 14% to 23%) and these modalities combined with DSA
another 15 (23%, 18% to 28%). This last extensive strategy failed to detect a
cavernoma, which was identified on MRI during follow-up (reference strategy). The
positive predictive value of CT angiography was 72% (60% to 82%), of additional
MRI/MRA was 35% (14% to 62%), and of additional DSA was 100% (75% to 100%). None
of the patients experienced complications with CT angiography or MRI/MRA; 0.6% of
patients who underwent DSA experienced permanent sequelae. Not all patients with
negative CT angiography and MRI/MRA results underwent DSA. Although the previous
probability of finding a macrovascular cause was lower in patients who did not
undergo DSA, some small arteriovenous malformations or dural arteriovenous
fistulas may have been missed. WHAT THIS STUDY ADDS: CT angiography is an
appropriate initial investigation to detect macrovascular causes of non-traumatic
intracerebral haemorrhage, but accuracy is modest. Additional MRI/MRA may find
cavernomas or alternative diagnoses, but DSA is needed to diagnose macrovascular
causes undetected by CT angiography or MRI/MRA. FUNDING, COMPETING INTERESTS,
DATA SHARING: Dutch Heart Foundation and The Netherlands Organisation for Health
Research and Development, ZonMw. The authors have no competing interests. Direct
requests for additional data to the corresponding author.
PMID- 26553143
TI - Appropriate antibiotic use for patients with complicated urinary tract infections
in 38 Dutch Hospital Departments: a retrospective study of variation and
determinants.
AB - BACKGROUND: Appropriate antibiotic use in patients with complicated urinary tract
infections can be measured by a valid set of nine quality indicators (QIs). We
evaluated the performance of these QIs in a national setting and investigated
which determinants influenced appropriate antibiotic use. For the latter, we
distinguished patient, department and hospital characteristics, including
organizational interventions aimed at improving the quality of antibiotic use
(antibiotic stewardship elements). METHODS: A retrospective, observational
multicentre study included 1964 patients (58% male sex) with a complicated
urinary tract infection treated at Internal Medicine and Urology departments of
19 Dutch university and non-university hospitals. Data of 50 patients per
department were extracted from medical charts. QI performance scores were
calculated using previously constructed algorithms. Department and hospital
characteristics were collected using questionnaires filled in by an internal
medicine physician and an urologist. Regression analysis was performed to
identify determinants of QI performance. Clustering at department and hospital
level was taken into account through inclusion of random effects in a multi-level
model. RESULTS: Median QI performance of departments varied between 31% ('Treat
urinary tract infection in men according to local guideline') and 77% ('Perform
urine culture'). The patient characteristics non-febrile urinary tract infection,
female sex and presence of a urinary catheter were negatively associated with
performance on many QIs. The presence of an infectious diseases physician and an
antibiotic formulary were positively associated with 'Prescribe empirical therapy
according to guideline'. No other department or hospital characteristics,
including stewardship elements, were consistently associated with better QI
performance. CONCLUSIONS: A large inter-department variation was demonstrated in
the appropriateness of antibiotic use. In particular certain patient
characteristics (more than department or hospital characteristics) influenced the
quality of antibiotic use. Some, but not all antibiotic stewardship elements did
translate into better QI performance.
PMID- 26553144
TI - Internet screening for anxiety disorders: Treatment-seeking outcomes in a three
month follow-up study.
AB - Although many people use the internet to diagnose mental health problems, little
is known about the relationship between internet self-diagnosis and treatment
seeking. The MACSCREEN (a validated, self-report screening tool for anxiety and
depression) was posted on our clinic homepage and respondents were invited to
take an anxiety test. Three months after completing the MACSREEN and a variety of
symptom severity scales, respondents were emailed a follow up questionnaire
asking about treatment-seeking behaviours. Of the 770 MACSCREEN respondents, 103
completed the follow-up questionnaire. Of these, 100% met criteria for at least
one anxiety or mood disorder diagnosis and 51% sought treatment after completing
the MACSCREEN. In the 49% who did not seek treatment, fear of medication (57%),
discomfort talking to their doctor about anxiety (28%) and the belief that
symptoms were not severe enough (28%) were cited as barriers. Compared to non
seekers, treatment-seekers were significantly more likely to meet screening
criteria for Generalized Anxiety Disorder, Obsessive Compulsive Disorder,
Posttraumatic Stress Disorder and Depression. Higher Sheehan Disability Scale
scores and being married (versus single) significantly increased the odds of
treatment-seeking, suggesting that functional impairment and disease burden on
the family may be stronger predictors of treatment seeking than overall severity
of symptoms.
PMID- 26553145
TI - Stigma never dies: Mourning a spouse who died of AIDS in China.
AB - Stigma towards people with HIV (PHIV) can affect their family members. In this
study of 68 HIV seronegative participants in China whose spouse died of AIDS,
35.3% reported prolonged grief. Stigma beliefs towards PHIV (i.e., belief that
PHIV's death leaves the deceased, the family and society better off) predicted
grief symptoms. Social campaigns to combat stigma and grief therapy to
reconstruct the meaning of HIV-related death may be helpful to reduce suffering
in HIV bereaved.
PMID- 26553146
TI - Skidmore Clips of Neutral and Expressive Scenarios (SCENES): Novel dynamic
stimuli for social cognition research.
AB - Social cognition research has relied primarily on photographic emotional stimuli.
Such stimuli likely have limited ecological validity in terms of representing
real world social interactions. The current study presents evidence for the
validity of a new stimuli set of dynamic social SCENES (Skidmore Clips of
Emotional and Neutral Expressive Scenarios). To develop these stimuli, ten
undergraduate theater students were recruited to portray members of an audience.
This audience was configured to display (seven) varying configurations of social
feedback, ranging from unequivocally approving to unequivocally disapproving
(including three different versions of balanced/neutral scenes). Validity data
were obtained from 383 adult participants recruited from Amazon's Mechanical
Turk. Each participant viewed three randomly assigned scenes and provided a
rating of the perceived criticalness of each scene. Results indicate that the
SCENES reflect the intended range of emotionality, and pairwise comparisons
suggest that the SCENES capture distinct levels of critical feedback. Overall,
the SCENES stimuli set represents a publicly available (www.scenesstimuli.com)
resource for researchers interested in measuring social cognition in the presence
of dynamic and naturalistic social stimuli.
PMID- 26553148
TI - Toward a unifying strategy for the structure-based prediction of toxicological
endpoints.
AB - Most computational methods used for the prediction of toxicity endpoints are
based on the assumption that similar compounds have similar biological
properties. This principle can be exploited using computational methods like read
across or quantitative structure-activity relationships. However, there is no
general agreement about which method is the most appropriate for quantifying
compound similarity neither for exploiting the similarity principle in order to
obtain reliable estimations of the compound properties. Moreover, optimal
similarity metrics and modeling methods might depend on the characteristics of
the endpoints and training series used in each case. This study describes a
comparative analysis of the predictive performance of diverse similarity metrics
and modeling methods in toxicological applications. A collection of two
quantitative (n = 660, n = 1114) and three qualitative (n = 447, n = 905, n =
1220) datasets representing very different endpoints of interest in drug safety
evaluation and rigorous methods were used to estimate the external predictive
ability in each case. The results confirm that no single approach produces the
best results in all instances, and the best predictions were obtained using
different tools in different situations. The trends observed in this study were
exploited to propose a unifying strategy allowing the use of the most suitable
method for every compound. A comparison of the quality of the predictions
obtained by the unifying strategy with those obtained by standard prediction
methods confirmed the usefulness of the proposed approach.
PMID- 26553147
TI - Creation and validation of the Cognitive and Behavioral Response to Stress Scale
in a depression trial.
AB - The Cognitive and Behavioral Response to Stress Scale (CB-RSS) is a self-report
measure of the use and helpfulness of several cognitive and behavioral skills.
Unlike other measures that focus on language specific to terms used in therapy,
the CB-RSS was intended to tap the strategies in ways that might be
understandable to those who had not undergone therapy. The measure was included
in a clinical trial of cognitive-behavioral therapy for depression and completed
by 325 participants at baseline and end of treatment (18 weeks). Psychometric
properties of the scale were assessed through iterative exploratory and
confirmatory factor analyses. These analyses identified two subscales, cognitive
and behavioral skills, each with high reliability. Validity was addressed by
investigating relationships with depression symptoms, positive affect, perceived
stress, and coping self-efficacy. End of treatment scores predicted changes in
all outcomes, with the largest relationships between baseline CB-RSS scales and
coping self-efficacy. These findings suggest that the CB-RSS is a useful tool to
measure cognitive and behavioral skills both at baseline (prior to treatment) as
well as during the course of treatment.
PMID- 26553149
TI - Immunosuppressive phenolic compounds from Hydnora abyssinica A. Braun.
AB - BACKGROUND: Hydnora abyssinica (HA) A. Braun is an endemic Sudanese medicinal
plant traditionally used as anti-inflammatory and against many infectious
diseases. However, it proved to be very rich in phenols and tannins, so the
present study was undertaken to investigate the immunomodulatory potential of the
whole plant ethanolic extract and its isolated compounds. METHODS: Lymphocyte
proliferation, chemiluminescence and superoxide reduction assays were used for
immunomodulatory evaluation. While, MTT (3-(4, 5-dimethylthazol-2-yl)-2, 5
diphenyl tetrazonium bromide) test was performed on 3 T3 cell line clone in order
to evaluate the cytoxicity effect of the extracts and isolated compounds of
phenolic derivatives which were carried out by chromotographic techniques.
RESULTS: Catechin, (1), tyrosol (2) and benzoic acid, 3, 4, dihydroxy-, ethyl
ester (3) compounds were isolated from HA ethanolic extract which revealed potent
immunosuppressive activity against reactive oxygen species from both polymorph
nuclear cells (PMNs) (45-90 % inhibition) and mononuclear cells (MNCs) (30 -65 %
inhibition), T lymphocyte proliferation assay (70-93 % inhibition) as well as
potent inhibitory effect against superoxide production (42-71 % inhibition) at
concentrations of 6.25-100 MUg/mL. Catechin (1) was found the most potent
immunosuppressive agent among all constituents examined. CONCLUSION: These
results can support the traditional uses of H. abyssinica extracts as anti
inflammatory and immunosuppressive and further investigations of the mode of
action and other pharmacological studies are highly desirable.
PMID- 26553150
TI - An interaction proteomics survey of transcription factor binding at recurrent
TERT promoter mutations.
AB - Aberrant telomerase reactivation in differentiated cells represents a major event
in oncogenic transformation. Recurrent somatic mutations in the human telomerase
reverse transcriptase (TERT) promoter region, predominantly localized to two
nucleotide positions, are highly prevalent in many cancer types. Both mutations
create novel consensus E26 transformation-specific (ETS) motifs and are
associated with increased TERT expression. Here, we perform an unbiased proteome
wide survey of transcription factor binding at TERT promoter mutations in
melanoma. We observe ELF1 binding at both mutations in vitro and we show that
increased recruitment of GABP is enabled by the spatial architecture of native
and novel ETS motifs in the TERT promoter region. We characterize the dynamics of
competitive binding between ELF1 and GABP and provide evidence for ELF1 exclusion
by transcriptionally active GABP. This study thus provides an important
description of proteome-wide, mutation-specific binding at the recurrent,
oncogenic TERT promoter mutations.
PMID- 26553151
TI - Sclerostin Enhances Adipocyte Differentiation in 3T3-L1 Cells.
AB - Sclerostin, a secreted protein encoded by the Sost gene, is produced by
osteocytes and is inhibited by osteoblast differentiation and bone formation.
Recently, a functional association between bone and fat tissue has been
suggested, and a correlation between circulating sclerostin levels and lipid
metabolism has been reported in humans. However, the effects of sclerostin on
adipogenesis remain unexplored. In the present study, we examined the role of
sclerostin in regulating adipocyte differentiation using 3T3-L1 preadipocytes. In
these cells, sclerostin enhanced adipocyte-specific gene expression and the
accumulation of lipid deposits. Sclerostin also upregulated CCAAT/enhancer
binding protein beta expression but not cell proliferation and caspase-3/7
activities. Sclerostin also attenuated canonical Wnt3a-inhibited adipocyte
differentiation. Recently, the transcriptional modulator TAZ has been involved in
the canonical Wnt signaling pathway. Sclerostin reduced TAZ-responsive
transcriptional activity and TAZ-responsive gene expression. Transfection of 3T3
L1 cells with TAZ siRNA increased the lipid deposits and adipogenic gene
expression. These results show that sclerostin upregulates adipocyte
differentiation in 3T3-L1 cells, suggesting a possible role for the osteocyte
derived sclerostin as a regulator of fat metabolism and as a reciprocal regulator
of bone and adipose tissues metabolism.
PMID- 26553152
TI - Letter from the Editor.
PMID- 26553153
TI - Human Vaccines & Immunotherapeutics: News.
PMID- 26553154
TI - From plant virology to vaccinology: The road less travelled.
PMID- 26553155
TI - Clinical Radiology and Radiology Research in a Sea of Change.
PMID- 26553156
TI - Association of pulse wave velocity with total lung capacity: A cross-sectional
analysis of the BOLD London study.
AB - BACKGROUND: Low lung function, measured using spirometry, has been associated
with mortality from cardiovascular disease, but whether this is explained by
airflow obstruction or restriction is a question that remains unanswered.
OBJECTIVES: To assess the association of total lung capacity (TLC), forced vital
capacity (FVC) and forced expiratory volume in 1 s (FEV1) with several cardio
metabolic and inflammatory markers. METHODS: In the follow up of the Burden of
Lung Disease (BOLD) study in London, acceptable post-bronchodilator spirometric,
pulse rate, pulse wave velocity and blood pressure data were obtained from 108
participants. Blood samples for measurement of cardio-metabolic and inflammatory
markers were also collected from these participants. Association of lung function
and volume with the different biomarkers was examined in multivariable linear
regression models adjusted for potential confounders. RESULTS: Following
adjustment for age, sex, height, and ethnicity, TLC (adjusted coefficient =
1.53; 95% CI: -2.57, -0.49) and FVC (adjusted coefficient = -2.66; 95% CI: -4.98,
-0.34) were inversely associated with pulse wave velocity, and further adjustment
for smoking status, pack-years and body mass index (BMI) did not materially
change these results. FEV1 was inversely associated with systolic blood pressure,
and adjustment for smoking status, pack-years and BMI made this association
stronger (adjusted coefficient = -9.47; 95% CI: -15.62, -3.32). CONCLUSION: The
inverse association of pulse wave velocity, which is a marker of cardiovascular
disease, with TLC suggests that the association of the former with low FVC is
independent of airflow obstruction. The association between FEV1 with systolic
blood pressure after adjustment for FVC suggests an association with airflow
obstruction rather than with restricted spirometry.
PMID- 26553157
TI - Radionuclidic purity tests in (18)F radiopharmaceutIcals production process.
AB - Radionuclidic purity tests of (18)F radiopharmaceuticals (Na(18)F and
fluorodeoxyglucose [(18)F]FDG) and radionuclide composition analysis of
irradiated water [(18)O]H2O were performed. The measurements were conducted using
a High-Purity Germanium (HPGe) detector and a liquid scintillation counter.
Radionuclide identification and activity measurements were performed for samples
from different stages of the production process. Most of the impurities were
detected on QMA (quaternary methylammonium) anion exchange columns and in liquid
wastes. Using liquid scintillation counting, the activity of (3)H resulting from
the (18)O[p, (3)H](16)O reaction was determined. It was shown that all of the
impurities were efficiently determined and eliminated in the radiopharmaceuticals
synthesis process and that the final products meet the requirements set by
relevant regulations.
PMID- 26553158
TI - Angiopoietin-1 and C16 Peptide Attenuate Vascular and Inflammatory Responses in
Experimental Allergic Encephalomyelitis.
AB - Breakdown of normal blood-brain barrier function and accompanying vascular
leakage are fundamental stages in the onset of multiple sclerosis and its animal
counterpart, experimental allergic encephalomyelitis. In the present study,
angiopoietin-1, an endothelial growth factor well known for its role in
establishing and maintaining vascular integrity, and C16, a peptide that
competitively binds to integrin alphavbeta3 expressed on endothelial cells, were
used to treat acute experimental allergic encephalomyelitis in Lewis rats.
Angiopoietin-1 was more effective than C16 for reducing inflammation-induced
vascular leakage. Moreover, treatment with a combination of angiopoietin-1 and
C16 resulted in greater effects, not only in alleviating inflammation and
reducing axonal loss/demyelination but also in down-regulating pro-inflammatory
cytokine expression and improving electrophysiological dysfunction, than
treatment with either angiopoietin-1 or C16 alone. Different protective effects
were observed with angiopoietin-1 and C16 treatment suggesting that these
proteins target specific receptors to act through different pathways.
Furthermore, angiopoietin-1 and C16 may form the basis of a promising therapeutic
strategy for experimental allergic encephalomyelitis and multiple sclerosis.
PMID- 26553159
TI - The Effects of Testosterone Supplementation on Cognitive Functioning in Older
Men.
AB - Reduction in testosterone levels in men during aging is associated with cognitive
decline and risk of dementia. Animal studies have shown benefits for testosterone
supplementation in improving cognition and reducing Alzheimer's disease
pathology. In a randomized, placebo-controlled, crossover study of men with
subjective memory complaint and low testosterone levels, we investigated whether
testosterone treatment significantly improved performance on various measures of
cognitive functioning. Forty-four men were administered a battery of
neuropsychological tests to establish the baseline prior to being randomly
divided into two groups. The first group (Group A) received 24 weeks of
testosterone treatment (T treatment) followed by 4 weeks washout, and then 24
weeks of placebo (P); the second group (Group B) received the same treatments, in
reverse order (Placebo, washout, and then T treatment). In group A (TeP),
compared to baseline, there was a modest (1 point) but significant improvement in
general cognitive functioning as measured by the Mini Mental State Examination
(MMSE) following testosterone treatment. This improvement from baseline was
sustained following the washout period and crossover to placebo treatment.
Similar Mini Mental State Examination (MMSE) scores were observed when comparing
testosterone treatment with placebo. In group B (PeT) a significant increase was
observed from baseline following testosterone treatment and a trend towards an
increase when compared to placebo treatment. Improvements in baseline depression
scores (assessed by Geriatric Depression Scale) were observed following
testosterone/placebo treatment in both groups, and no difference was observed
when comparing testosterone with placebo treatment. Our findings indicate a
modest improvement on global cognition with testosterone treatment. Larger
clinical trials with a longer follow- up and with the inclusion of blood and
brain imaging markers are now needed to conclusively determine the significance
of testosterone treatment.
PMID- 26553160
TI - Anti-Neoplastic and Calcium Modulatory Action of Caffeic Acid Phenethyl Ester and
Dasatinib in C6 Glial Cells: A Therapeutic Perspective.
AB - Gliomas are often recognized as highly heterogeneous cancerous phenotype. They
are perpetually recurrent, obstinately resistant to treatment and hence almost
incurable. Drug development studies to date have revealed only modest effect in
attenuating growth of these tumors. The present study was aimed at elucidating
the potential of targeting glioma through a novel combination of drugs in
comparison to single agent. Here, we show that the combined administration of
Caffeic acid phenethyl ester [CAPE] and Dasatinib exerts a strong antitumor
action on C6 glioma cells. Combinational treatment inhibits proliferation,
induces apoptosis, modulates astrocytic phenotype and decreases cell density.
Results suggest that combinational therapy inhibits migration and invasiveness,
decreases cell survival fraction and hence clonogenic property of C6 cells. The
Nitric oxide [NO] levels were significantly reduced by combination treatment at
all time points and effect was persistent over the time in comparison to single
drug treatment. Atomic Absorption Spectroscopy [AAS] analysis of intracellular
and extracellular calcium revealed that the treatment with CAPE and Dasatinib
strongly modulates the calcium [Ca(2+)] levels. Herein, we demonstrate that
treatment of C6 glioma cells with CAPE and Dasatinib significantly decrease the
activity of catalase [CAT]. The results in totality suggest that the
combinational therapy remarkably reduces the proliferation of glioma cells
possibly through different mechanisms, targeting multiple pathways involved in
tumor growth, proliferation and development implicating the relevance of using
these drugs in combination therapy for effective treatment of glioma. In vitro
results suggest that CAPE and Dasatinib cotreatment could be therapeutically
exploited for the management of gliomas.
PMID- 26553161
TI - Chemotherapy-Induced Peripheral Neuropathic Pain and Rodent Models.
AB - Painful peripheral neuropathies resulting from cancer chemotherapy treatment is
frequently dose-dependent and may diminish following dose reduction or
termination of chemotherapy. However, dose reduction or treatment termination
could lead to reemergence of the cancer. In addition, chemotherapy-induced
peripheral neuropathy (CIPN) may persist long after termination of chemotherapy.
Thus, there is a need for treatments to ameliorate pain during the course of an
effective cancer treatment regimen. Because the mechanism underlying CIPN has yet
to be fully characterized, there is a current lack of effective treatments for
CIPN. Preclinical studies in CIPN rodent models have suggested a number of
potential neuropathological mechanisms, which could serve as platforms for the
development of novel therapeutics. Although a number of potential analgesic
therapies have demonstrated robust efficacy in preclinical studies, rigorous
clinical testing has yet to fully validate the preclinical findings. The lack of
congruence between preclinical and clinical findings could be in part due to the
phylogenetic distance between the main model species and humans. Thus, a CIPN
model in nonhuman primates could serve to bridge the translational gap between
laboratory findings in small animals and clinical utility. The current review
points out the short comings of current CIPN rodent models and suggests the use
of large animals, such as the nonhuman primate, to narrow the translational gap
between preclinical and clinical findings and the discovery of novel
therapeutics.
PMID- 26553162
TI - Folic Acid Can Contribute to Memory Deficit and Na+, K+- ATPase Failure in the
Hippocampus of Adolescent Rats Submitted to Hypoxia- Ischemia.
AB - Recent findings have demonstrated a dual effect of the folic acid (FA)
supplementation on the nervous system of rats. We found that FA treatment
prevented memory impairment and Na(+), K(+)- ATPase inhibition in the striatum
and cortex in adult rats that suffered neonatal hypoxia-ischemia (HI). However,
spatial memory deficit has been associated with FA supplementation. In the
present study we investigated the role of FA supplementation on spatial memory
and Na(+), K(+)-ATPase activity in the hippocampus, as well as on morphologic
alterations in adolescent rats submitted to neonatal HI. Wistar rats of both
sexes at postnatal day (PND) 7 were submitted to Levine-Rice HI procedure.
Intraperitoneal doses of FA were administered immediately before HI and repeated
daily until the maximum PND 40. Hippocampal volume and striatum area were
estimated and Na(+), K(+)-ATPase activity in the hippocampus was measured at PND
31. Also, the performance of the animals in the water maze was assessed and
Na(+), K(+)-ATPase activity measured again at PND 52. Interestingly, HI and FA
resulted in spatial memory deficits in the Morris water maze and the Na(+), K(+)
ATPase activity was impaired at PND 31 in HI rats which received FA.
Additionally, Na(+), K(+)-ATPase activity in adulthood showed a decrease after HI
and a recovery in supplemented animals. Hippocampal and striatal atrophy were
partially reversed by FA. To conclude, the present results support the hypothesis
that FA supplementation during development contributes to memory deficits caused
by HI and Na(+), K(+)-ATPase failure in adolescent rats, although, in adulthood,
FA has been effective in reversing enzymatic activity in the hippocampus.
PMID- 26553163
TI - Rabbit Models of Ocular Diseases: New Relevance for Classical Approaches.
AB - Over 100 million individuals are affected by irreversible visual impairments and
blindness worldwide, while ocular diseases remain a challenging problem despite
significant advances in modern ophthalmology. Development of novel drugs and drug
delivery mechanisms, as well as advanced ophthalmological techniques requires
experimental models including animals, capable of developing ocular diseases with
similar etiology and pathology, suitable for future trials of new therapeutic
approaches. Although experimental ophthalmology and visual research are
traditionally performed on rodent models, these animals are often unsuitable for
pre-clinical drug efficacy and safety studies, as well as for testing novel drug
delivery approaches, e.g. controlled release of pharmaceuticals using intra
ocular implants. Therefore, rabbit models of ocular diseases are particularly
useful in this context, since rabbits can be easily handled, while sharing more
common anatomical and biochemical features with humans compared to rodents,
including longer life span and larger eye size. This review provides a brief
description of clinical, morphological and mechanistic aspects of the most common
ocular diseases (dry eye syndrome, glaucoma, age-related macular degeneration,
light-induced retinopathies, cataract and uveitis) and summarizes the diversity
of current strategies for their experimental modeling in rabbits. Several
applications of some of these models in ocular pharmacology and eye care
strategies are also discussed.
PMID- 26553164
TI - Coenzyme Q10 for Patients with Parkinson's Disease: A Systematic Review and Meta
Analysis.
AB - INTRODUCTION: Coenzyme Q10 (CoQ10) is an antioxidant that enhances the activity
of complex I and II in the Electron Transport Chain. Many preclinical and
clinical studies evaluated CoQ10 for neuroprotection against Parkinson's disease
(PD). The aim of this study is to synthesize evidence from published randomized
controlled trials (RCTs) about the benefit of CoQ10 supplementation for patients
with Parkinson's disease. METHODS: We followed the PRISMA statement guidelines
during the preparation of this systematic review and metaanalysis. A computer
literature search for (PubMed, EBSCO, Web of science and Ovid Midline) was
carried out. We included RCTs comparing CoQ10 with placebo in terms of motor
functions and quality of life. Outcomes of total Unified Parkinson's Disease
Rating Scale (UPDRS), UPDRS I, UPDRS II, UPDRS III and Schwab and England scores
were pooled as standardized mean difference (SMD) between two groups from
baseline to the endpoint. RESULTS: Five RCTs (981 patients) were included in this
study. The overall effect did not favor either of the two groups in terms of:
total UPDRS score (SMD -0.05, 95%CI [-0.10, 0.15]), UPDRS I (SMD -0.03, 95% CI [
0.23, 0.17]), UPDRS II (SMD -0.10, 95%CI [-0.35, 0.15]), UPDRS III (SMD -0.05,
95%CI [-0.07, 0.17]) or Schwab and England score (SMD 0.08, 95%CI [-0.13, 0.29]).
CONCLUSION: CoQ10 supplementation does not slow functional decline nor provide
any symptomatic benefit for patients with Parkinson's disease.
PMID- 26553165
TI - Donepezil May Reduce Insulin-Like Growth Factor-1 (IGF-1) Levels in Alzheimer's
disease.
AB - OBJECTIVE: Determine if donepezil will raise the insulin-like growth factor-1
levels of patients with amnestic mild cognitive impairment and Alzheimer's
disease. DESIGN: In an outpatient setting, recruit amnestic mild cognitive
impairment and Alzheimer's disease patients who were to start treatment with
donepezil. Measure total serum insulin-like growth factor-1 levels before and
after 3-6 months of treatment. RESULTS: Twenty-four patients were recruited.
After a mean duration of 129 +/- 37 days, 14 patients returned taking 5 mg (n=4)
or 10 mg (n=10) donepezil per day. Twelve patients experienced decreases in their
insulin-like growth factor-1 levels, one had no change, and one experienced an
increase. Their mean insulin-like growth factor-1 level dropped by 13%, from 113
+/- 31 ng/ml to 98 +/- 28 ng/ml (p<0.001). CONCLUSION: Contrary to the expected
increase in insulin-like growth factor-1 levels in response to donepezil that has
been reported for normal elderly adults, our patients experienced decreases. This
finding suggests that the somatotropic axis is altered in amnestic mild cognitive
impairment and Alzheimer's disease relative to normal older adults.
PMID- 26553166
TI - Lower KV7.5 Potassium Channel Subunit Expression in an Animal Model of Paroxysmal
Dystonia.
AB - Dystonia is a hyperkinetic disabling movement disorder. In the dt(sz) hamster, a
model of paroxysmal dystonia, pronounced antidystonic effects of the KV7.2-5
potassium channel opener retigabine and aggravation of dystonia by a selective
KV7.2-5 blocker indicated a pathophysiological role of an abnormal expression of
KV7 channels. We therefore investigated the expression of KV7 subunits in brains
of dystonic hamsters. While KV7.2 and KV7.3 subunits were unaltered, lower KV7.5
mRNA levels became evident in motor areas and in limbic structures of dystonic
hamsters. The KV7.2/3 subunit-preferring channel opener N-(6-chloropyridin-3-yl)
3,4- difluorobenzamide (ICA 27243; 10-30 mg/kg i.p.) failed to reduce the
severity of dystonia in mutant hamsters, suggesting that the previously observed
antidystonic action of retigabine is mediated by the activation of KV7.5
channels. The experiments indicate a functional relevance for KV7.5 channels in
paroxysmal dystonia. We suggest that compounds highly selective for subtypes of
KV7 channels, i.e. for KV7.5, may provide new therapeutic approaches.
PMID- 26553167
TI - Rare earth activated yttrium aluminate phosphors with modulated luminescence.
AB - Yttrium aluminate (Y3 A5 O12 ) was doped with different rare earth ions (i.e.
Gd(3+) , Ce(3+) , Eu(3+) and/or Tb(3+) ) in order to obtain phosphors (YAG:RE)
with general formula,Y3-x-a Gdx REa Al5 O12 (x = 0; 1.485; 2.97 and a = 0.03).
The synthesis of the phosphor samples was done using the simultaneous addition of
reagents technique. This study reveals new aspects regarding the influence of
different activator ions on the morpho-structural and luminescent characteristics
of garnet type phosphor. All YAG:RE phosphors are well crystallized powders
containing a cubic-Y3 Al5 O12 phase as major component along with monoclinic-Y4
Al2 O9 and orthorhombic-YAlO3 phases as the impurity. The crystallites dimensions
of YAG:RE phosphors vary between 38 nm and 88 nm, while the unit cell slowly
increase as the ionic radius of the activator increases. Under UV excitation,
YAG:Ce exhibits yellow emission due to electron transition in Ce(3+) from the 5d
level to the ground state levels ((2) F5/2 , (2) F7/2 ). The emission intensity
of Ce(3+) is enhanced in the presence of the Tb(3+) ions and is decreased in the
presence of Eu(3+) ions due to some radiative or non-radiative processes that
take place between activator ions. By varying the rare earth ions, the emission
colour can be modulated from green to white and red. Copyright (c) 2015 John
Wiley & Sons, Ltd.
PMID- 26553168
TI - Prescription drug coverage: implications for hormonal therapy adherence in women
diagnosed with breast cancer.
AB - In spite of its demonstrated benefits, many women do not initiate hormonal
therapy, and among those who do, many discontinue it prematurely. We examined
whether differences in hormonal therapy adherence may be at least partially
explained by the availability of prescription drug coverage. Women aged 20-79
years diagnosed with stage I-III breast cancer between June 2005 and February
2007 were enrolled in the study. Women completed a mailed survey, on average 9
months after diagnosis, and again approximately 4 years later (N = 712). Adjusted
logistic regression was used to predict the likelihood of initiating hormonal
therapy and hormonal therapy continuation. Women who had prescription drug
coverage were more likely to initiate hormonal therapy relative to women without
prescription drug coverage (OR 2.91, 95 % CI 1.24-6.84). Women with prescription
drug coverage were also more likely to continue hormonal therapy (OR 2.23; 95 %
CI 0.99-5.05, p = 0.0543). The lowest income women were also less likely to
continue hormonal therapy relative to women with annual household income that
exceeded $70,000 (OR 0.55; 95 % CI 0.29-1.04) with a borderline significance of
(p = 0.08). This study demonstrates the critical role of prescription drug
coverage in hormonal therapy initiation and continuation, independent of health
insurance coverage. These findings add to the body of literature that addresses
medication adherence. Financial factors must be considered along with behavioral
factors that influence adherence, which is becoming increasingly relevant to
oncology as treatments are shifted to oral medications, many of which are very
expensive.
PMID- 26553169
TI - Effects of Organic and Waste-Derived Fertilizers on Yield, Nitrogen and
Glucosinolate Contents, and Sensory Quality of Broccoli (Brassica oleracea L.
var. italica).
AB - Organic vegetable production attempts to pursue multiple goals concerning
influence on environment, production resources, and human health. In areas with
limited availability of animal manure, there is a need for considering various
off-farm nutrient resources for such production. Different organic and waste
derived fertilizer materials were used for broccoli production at two latitudes
(58 degrees and 67 degrees ) in Norway during two years. The fertilizer
materials were applied at two rates of total N (80 and 170 kg ha(-1)) and
compared with mineral fertilizer (170 kg ha(-1)) and no fertilizer. Broccoli
yield was strongly influenced by fertilizer materials (algae meal < unfertilized
control < sheep manure < extruded shrimp shell < anaerobically digested food
waste < mineral fertilizer). Yield, but not glucosinolate content, was linearly
correlated with estimated potentially plant-available N. However, extruded shrimp
shell and mineral NPK fertilizer gave higher glucosinolate contents than sheep
manure and no fertilizer. Sensory attributes were less affected by fertilizer
material and plant-available N.
PMID- 26553170
TI - Divergence of the dengue virus type 2 Cosmopolitan genotype associated with two
predominant serotype shifts between 1 and 2 in Surabaya, Indonesia, 2008-2014.
AB - Indonesia is one of the biggest dengue endemic countries, and, thus, is an
important place to investigate the evolution of dengue virus (DENV). We have
continuously isolated DENV in Surabaya, the second biggest city in Indonesia,
since 2008. We previously reported sequential changes in the predominant serotype
from DENV type 2 (DENV-2) to DENV type 1 (DENV-1) in November 2008 and from DENV
1 to DENV-2 in July 2013. The predominance of DENV-2 continued in 2014, but not
in 2015. We herein phylogenetically investigated DENV-2 transitions in Surabaya
between 2008 and 2014 to analyze the divergence and evolution of DENV-2
concomitant with serotype shifts. All DENV-2 isolated in Surabaya were classified
into the Cosmopolitan genotype, and further divided into 6 clusters. Clusters 1
3, dominated by Surabaya strains, were defined as the "Surabaya lineage".
Clusters 4-6, dominated by strains from Singapore, Malaysia, and many parts of
Indonesia, were the "South East Asian lineage". The most recent common ancestor
of these strains existed in 1988, coinciding with the time that an Indonesian
dengue outbreak took place. Cluster 1 appeared to be unique because no other DENV
2 isolate was included in this cluster. The predominance of DENV-2 in 2008 and
2013-14 were caused by cluster 1, whereas clusters 2 and 3 sporadically emerged
in 2011 and 2012. The characteristic amino acids of cluster 1, E-170V and E-282Y,
may be responsible for its prevalence in Surabaya. No amino acid difference was
observed in the envelope region between strains in 2008 and 2013-14, suggesting
that the re-emergence of DENV-2 in Surabaya was due to the loss or decrease of
herd immunity in the 5-year period when DENV-2 subsided. The South East Asian
lineage primarily emerged in Surabaya in 2014, probably imported from other parts
of Indonesia or foreign countries.
PMID- 26553172
TI - Food insecurity in veteran households: findings from nationally representative
data.
AB - OBJECTIVE: The present study is the first to use nationally representative data
to compare rates of food insecurity among households with veterans of the US
Armed Forces and non-veteran households. DESIGN: We used data from the 2005-2013
waves of the Current Population Survey - Food Security Supplement to identify
rates of food insecurity and very low food security in veteran and non-veteran
households. We estimated the odds and probability of food insecurity in veteran
and non-veteran households in uncontrolled and controlled models. We replicated
these results after separating veteran households by their most recent period of
service. We weighted models to create nationally representative estimates.
SETTING: Nationally representative data from the 2005-2013 waves of the Current
Population Survey - Food Security Supplement. SUBJECTS: US households (n 388
680). RESULTS: Uncontrolled models found much lower rates of food insecurity (8.4
%) and very low food security (3.3 %) among veteran households than in non
veteran households (14.4 % and 5.4 %, respectively), with particularly low rates
among households with older veterans. After adjustment, average rates of food
insecurity and very low food security were not significantly different for
veteran households. However, the probability of food insecurity was significantly
higher among some recent veterans and significantly lower for those who served
during the Vietnam War. CONCLUSIONS: Although adjusting eliminated many
differences between veteran and non-veteran households, veterans who served from
1975 and onwards may be at higher risk for food insecurity and should be the
recipients of targeted outreach to improve nutritional outcomes.
PMID- 26553173
TI - Accuracy and reaction time in recognition of facial emotions in people with
multiple sclerosis.
AB - INTRODUCTION: Facial emotional expression constitutes a basic guide in the social
interaction and, thus, the alterations in its expression or recognition imply an
important limitation for the communication. On the other hand, cognitive
impairment and the presence of depressive symptoms, which are commonly found in
patients with multiple sclerosis, it is unknown how they influence cognitive
function and depression on emotional recognition. AIMS: To consider the
evaluation of time reaction and response accuracy of facial expression
recognition in people affected by multiple sclerosis, and to assess the possible
variables that may be modulating the emotion recognition, such as depression and
cognitive functions. SUBJECTS AND METHODS: The study has a cross-sectional non
experimental design with a single measurement. The sample is compound by 85
participants, 45 diagnosed as multiple sclerosis and 40 control subjects.
RESULTS: Multiple sclerosis subjects reveal significant differences in both
reaction time and response accuracy in neuropsychological tests in comparison to
the control group. Explanatory models were identified in the emotional
recognition. CONCLUSION: Multiple sclerosis subjects face difficulties at
recognising facial emotions; and differences at attention memory, processing
speed and depressive symptomatology were observed in regard to the control group.
PMID- 26553174
TI - [Relationship between ultrasound measurements of the median nerve and
electrophysiological severity in carpal tunnel syndrome].
AB - INTRODUCTION: Ultrasonography is a tool that has advanced a great deal in the
diagnosis of neural compressive pathologies, such as carpal tunnel syndrome
(CTS). In order to plan the treatment it is important to establish the severity
of the pathology, which means that it would be important to know the capacity of
ultrasonography to determine the extent to which the median nerve is compromised
at this level. AIM: To investigate the correlation between ultrasound
measurements and electrophysiological severity in patients with CTS. PATIENTS AND
METHODS: Ultrasound measurements were performed with 59 subjects (97 wrists) who
were referred to have an electroneurogram (ENG) due to suspected CTS. According
to the ENG, the subjects were classified as healthy, mild, moderate or severe
CTS. The relationship between the ultrasound measurements and the results of the
ENG were later analysed in terms of their severity. The ROC (receiver operating
characteristic) curves were calculated for the optimal cut-off values in each
group, taking into account their severity. RESULTS: Both ultrasound measurements
showed a correlation with the severity of the CTS determined by ENG. The cross
sectional area of the median nerve in the wrist (CSA-W) showed the highest
correlation (r = 0.613). CONCLUSIONS: There is a relation between the ultrasound
measurements of the median nerve, especially in the CSA-W, and the severity of
CTS in the clinical context. These measurements could be used as complementary
data to diagnose CTS and to determine its severity.
PMID- 26553175
TI - [A pilot study on the living with a chronic process scale in patients with
Parkinson's disease].
AB - INTRODUCTION: To be able to provide patients with a chronic illness personalised
care, it is necessary to know how they live with their disorder. Today, there is
no validated scale that assesses this aspect. AIM: To present the pilot study of
the preliminary version of the living with a chronic process scale (EC-PC, from
the name in Spanish) carried out in patients with Parkinson's disease (PD) in
Spain. PATIENTS AND METHODS: A preliminary version of the scale was produced from
a literature review and the participation of experts in chronic processes and in
PD. Fifteen patients, in all the different stages of PD, answered the preliminary
version of the EC-PC (39 items) and a questionnaire about the EC-PC. The
viability/acceptability and preliminary aspects of internal consistency were
analysed. RESULTS: No domain showed any floor or ceiling effects, but 43.6% of
the items had a ceiling effect. The corrected item-total correlation was
satisfactory, except in five items. The internal consistency of the five domains
was satisfactory, with alpha indexes of 0.81-0.92 and item homogeneity
coefficients of 0.19-0.43. The patients identified three items as ambiguous and
difficult to answer. Based on these results, 12 items were eliminated and the
final version of the EC-PC was drafted, its content being considered satisfactory
following its evaluation by expert professionals. CONCLUSIONS: The EC-PC, pending
validation, is a viable scale of potential interest in the clinical and community
healthcare setting for assessing the ability to live with a chronic process like
PD.
PMID- 26553176
TI - [IgG4- and MPO-ANCA-associated hypertrophic pachymeningitis].
AB - INTRODUCTION: Idiopathic hypertrophic pachymeningitis is a fibroinflammatory
immune-mediated disease of the dura mater. Its diagnosis requires the preclusion
of infectious, tumoral and other inflammatory diseases. In recent years new
entities have been reported that can present with hypertrophic pachymeningitis,
such as IgG4-associated disease and MPO-ANCA+ pachymeningitis, as a form of
vasculitis limited to the central nervous system. CASE REPORT: We describe the
case of a 64 years-old male with headaches and cervicalgia, predominantly at
night, and clinical signs and symptoms of spinal cord compression. Following the
diagnosis of craniocervical hypertrophic pachymeningitis provided by the magnetic
resonance imaging study, an aetiological study was conducted. Infectious and
tumoral diseases were precluded. The clinical features did not show any systemic
involvement and high levels of IgG4 and MPO-ANCA+ were found in the results of
the analyses. The clinical signs and symptoms quickly improved following
treatment with corticoids. CONCLUSIONS: IgG4-related disease and MPO-ANCA
associated vasculitis limited to the central nervous system can account for a
high percentage of the cases of hypertrophic pachymeningitis that were considered
idiopathic, and their diagnosis requires a biopsy and a histological study.
PMID- 26553177
TI - [The social brain: neurobiological bases of clinical interest].
AB - INTRODUCTION: Human social capacities are developmentally late and unique. They
allow for a specialisation that enhances the availability of resources and
facilitates reproduction. Our social complexity rests on specific circuits and
mechanisms, which are analysed here. DEVELOPMENT: The following are put into
operation for those purposes: knowledge of the other by means of empathy,
specific mechanisms that endow us with the capacity to detect defrauders, genetic
and biochemical factors, and the autonomic nervous system. Empathy is the basic
mechanism in sociability. It has different levels of complexity (emotional,
cognitive, attribution), with specific anatomical differentiation. Social matters
are linked to emotional ones, and this in turn to the homeostatic aspects. Hence,
physical and social pain share an anatomical matrix and therapies. We are social
beings of a selfish biological nature, which we adjust thanks to a special
capacity to detect defrauders, which is dominant over those involving planning or
abstraction. Oxytocin is the essential prosocial neurochemical mediator.
Serotonin and the enzyme MAO are considered as having an antisocial capacity,
which is dependent on the interaction with adverse environments. Finally, the
vagal system, which is more recent phylogenetically speaking and myelinated, that
of the dorsal nucleus of the vagus nerve, is a requirement for warm and leisurely
social interaction. CONCLUSIONS: The neurobiology of social matters makes it
possible to recognise disorders affecting this behaviour in structural injuries
(vascular, of the white matter, dementias, etc.), neurodevelopmental disorders
(autism), psychiatric illnesses (schizophrenia) or personality disorders. There
are a number of promising therapeutic interventions (transcranial magnetic
stimulation, drugs). The addition of cultural and environmental factors to the
neurobiological ones introduces a greater amount of ecological complexity, but
without lessening the validity of what it outlined.
PMID- 26553178
TI - [Guillain-Barre syndrome. Early ultrasound findings].
PMID- 26553179
TI - [40.a Reunion de la Sociedad de Neurofisiologia Clinica de las Comunidades de
Valencia y Murcia. Communications].
PMID- 26553180
TI - [Deep vein thrombosis and dural fistula: a case with infrequent clinical
characteristics].
PMID- 26553182
TI - The Creation of a Model Pediatric Ward for African American Children in 1920s
Kansas City.
PMID- 26553181
TI - Children's Food and Beverage Promotion on Television to Parents.
AB - BACKGROUND: Nutritionally poor foods are heavily advertised to children on
television. Whether those same products are also advertised to parents on
television has not been systematically examined. METHODS: This study is a content
analysis of advertisements for children's packaged foods and beverages aired over
US network, cable, and syndicated television for 1 year (2012 to 2013). The
target audience of each advertisement was defined as children or parents based on
advertisement content, where parent-directed advertisements included emotional
appeals related to family bonding and love. Advertisement characteristics and
patterns of airtime were compared across target audience, and the proportion of
total airtime devoted to advertisements targeting parents was computed. RESULTS:
Fifty-one children's food or beverage products were advertised over the study
year, 25 (49%) of which were advertised directly to parents. Parent-directed
advertisements more often featured nutrition and health messaging and an active
lifestyle than child-directed advertisements, whereas child-directed
advertisements more frequently highlighted fun and product taste. Over all
products, 42.4% of total airtime was devoted to advertisements that targeted
parents. The products with the most amount of airtime over the study year were
ready-to-eat cereals, sugar-sweetened beverages, and children's yogurt, and the
proportion of total advertisement airtime for those products devoted to parents
was 24.4%, 72.8%, and 25.8%, respectively. DISCUSSION: Television advertisements
for children's packaged foods and beverages frequently targeted parents with
emotional appeals and messaging related to nutrition and health. Findings are of
concern if exposure to such advertisements among parents may shape their beliefs
about the appropriateness of nutritionally questionable children's foods and
beverages.
PMID- 26553183
TI - Identification of Barriers to Pediatric Care in Limited-Resource Settings: A
Simulation Study.
AB - OBJECTIVES: Eighty percent of the 10 million annual deaths in children aged <5
years in developing countries are estimated to be avoidable, with improvements in
education for pediatric emergency management being a key factor. Education must
take into account cultural considerations to be effective. Study objectives were:
(1) to use simulation to identify factors posing barriers to patient care in
limited resource settings (LRS); and (2) to understand how simulations in LRS can
affect communication and decision-making processes. METHODS: A qualitative study
was conducted at 17 different sites in 12 developing countries in Asia, Latin
America, and Africa. Data from observations of 68 in situ simulated pediatric
emergencies were coded for thematic analysis. Sixty-two different "key
informants" were interviewed regarding perceived benefit of simulations. RESULTS:
Coding of observations and interviews yielded common themes: impact of culture on
team hierarchy, impact of communication and language barriers on situational
awareness, systematic emergency procedures, role delineation, shared cognition
and resource awareness through simulation, logistic barriers to patient care, and
use of recognition-primed decision-making by experienced clinicians. Changes in
clinical environments were implemented as a result of simulations. CONCLUSIONS:
Ad hoc teams in LRS face challenges in caring safely for patients; these include
language and cultural barriers, as well as environmental and resource
constraints. Engaging teams in simulations may promote improved communication,
identification of systems issues and latent threats to target for remediation.
There may be a role for training novices in use of recognition-primed or
algorithmic decision-making strategies to improve rapidity and efficiency of
decisions in LRS.
PMID- 26553184
TI - Pituicytoma and Cushing's Disease in a 7-Year-Old Girl: A Mere Coincidence?
AB - Pituicytoma is a tumor extremely rare in childhood, with only 4 cases reported in
literature. It is thought to arise from the specialized glial elements called
"pituicytes." The association of pituicytoma and Cushing's disease (CD) has been
described only once so far, in an adult patient. A 7-year-old girl was referred
for clinical signs of hypercortisolism, and a diagnosis of CD was made. MRI
revealed 2 pathologic areas in the pituitary gland. The patient underwent
surgery, with microscopic transsphenoidal approach, and a well-circumscribed area
of pathologic tissue was identified and removed. Surprisingly, histologic and
immunohistochemical study provided unequivocal evidence of pituicytoma. No
pituitary adenoma could be identified. For persistent hypercortisolism, the
patient necessitated transsphenoidal endoscopic reintervention and 2 other
lesions were removed. By immunohistological examination, these lesions were
confirmed to be corticotropin-secreting adenoma. Unfortunately, there was no
postoperative decrease in corticotropin and cortisol levels, and the patient
underwent bilateral laparoscopic adrenalectomy. Considering that we report a
second case of association of pituicytoma and corticotropin-secreting adenoma,
that CD is infrequent, and pituicytoma is extremely rare in childhood, the
coexistence of these 2 tumors should not be considered a mere coincidence. To
date, there is no conclusive evidence about the origin of these different
subtypes of pituitary tumors. This case supports the hypothesis that these tumors
share a common progenitor cell, which could be the folliculostellate cell.
PMID- 26553185
TI - Predicting Nonhemolytic Neonatal Hyperbilirubinemia.
AB - BACKGROUND: Before hospital discharge, newborn infants should be assessed for the
risk of excessive hyperbilirubinemia. We determined maternal and obstetric risk
factors for hyperbilirubinemia in infants born at term (gestational age >=37
weeks) to form an individualized risk assessment tool for clinical use. METHODS:
This was a population-based study with data from the Swedish Medical Birth
Register from 1999 to 2012, including 1,261,948 singleton infants. Outcome was
defined as infants diagnosed with hyperbilirubinemia (N = 23,711), excluding all
cases of hemolytic (immune-mediated or other specified hemolytic) diseases of the
newborn. RESULTS: Risk factors with an adjusted odds ratio (aOR) for neonatal
hyperbilirubinemia of >=1.5 (medium-sized effect or more) were gestational age 37
to 38 weeks (aOR = 2.83), failed vacuum extraction (aOR = 2.79), vacuum
extraction (aOR = 2.22), Asian mother (aOR = 2.09), primipara (aOR = 2.06), large
for-gestational-age infant (aOR = 1.84), obese mother (aOR = 1.83), and small-for
gestational-age infant (aOR = 1.66). Planned cesarean delivery (CD) was
associated with a reduced risk (aOR = 0.45). Without any of these risk factors
(normal birth weight infant delivered vaginally at 39 to 41 weeks' gestation by a
non-Asian, nonobese, multiparous mother) the rate of nonhemolytic neonatal
hyperbilirubinemia was 0.7%. In relation to the combined load of different risk
factors, rates of neonatal hyperbilirubinemia ranged from 0.2% to 25%.
CONCLUSIONS: Collection of a few easily available maternal and obstetric risk
factors predicts >100-fold variation in the incidence of neonatal
hyperbilirubinemia. The information provided herein enables individualized risk
prediction with interactions between different risk factors taken into account.
PMID- 26553186
TI - Neonatal Sulfhemoglobinemia and Hemolytic Anemia Associated With Intestinal
Morganella morganii.
AB - Sulfhemoglobinemia is a rare disorder characterized by the presence of
sulfhemoglobin in the blood. It is typically drug-induced and may cause hypoxia,
end-organ damage, and death through oxygen deprivation. We present here a case of
non-drug-induced sulfhemoglobinemia in a 7-day-old preterm infant complicated by
hemolytic anemia. Microbiota compositional analysis of fecal samples to
investigate the origin of hydrogen sulphide revealed the presence of Morganella
morganii at a relative abundance of 38% of the total fecal microbiota at the time
of diagnosis. M morganii was not detected in the fecal samples of 40 age-matched
control preterm infants. M morganii is an opportunistic pathogen that can cause
serious infection, particularly in immunocompromised hosts such as neonates.
Strains of M morganii are capable of producing hydrogen sulphide, and virulence
factors include the production of a diffusible alpha-hemolysin. The infant in
this case survived intact through empirical oral and intravenous antibiotic
therapy, probiotic administration, and red blood cell transfusions. This
coincided with a reduction in the relative abundance of M morganii to 3%.
Neonatologists should have a high index of suspicion for intestinal pathogens in
cases of non-drug-induced sulfhemoglobinemia and consider empirical treatment of
the intestinal microbiota in this potentially lethal condition.
PMID- 26553187
TI - Biological and Social Influences on Outcomes of Extreme-Preterm/Low-Birth Weight
Adolescents.
AB - BACKGROUND AND OBJECTIVES: The importance of biological versus social influences
on long-term outcomes of extremely preterm children is debatable. The goal of
this study was to determine the relative contributions of biological and social
exposures to outcomes into adolescence in extremely preterm survivors,
hypothesizing that biological exposures would be more important early, but social
exposures would dominate later. METHODS: The study included 298 consecutive
survivors born at <28 weeks' gestation or weighing <1000 g in Victoria, Australia
(during 1991-1992), and 262 normal birth weight (>2499 g) control subjects who
were used to standardize outcomes for the preterm group. Cognitive ability was
assessed at 2, 5, 8, and 18 years of age. Academic achievement was assessed at 8
and 18 years of age. RESULTS: The differences between the preterm and control
groups for cognitive and academic scores remained relatively constant over time.
The biological variables most associated with worse outcomes within the extremely
preterm group were intraventricular hemorrhage and postnatal corticosteroid
therapy. Of the social variables, being reared in a multilingual household was
disadvantageous early, with social class and maternal education becoming more
important for later outcomes. The strength of the biological associations mostly
equaled or exceeded those of social exposures, even in late adolescence.
CONCLUSIONS: Contrary to expectations, several perinatal biological exposures had
large and persistent adverse associations with cognitive and academic outcomes
among extremely preterm survivors. As expected, some social variables assumed
increasing importance in later years but mostly did not diminish or exceed the
important biological associations.
PMID- 26553188
TI - Systemwide Solutions to Improve Early Intervention for Developmental-Behavioral
Concerns.
PMID- 26553189
TI - Risks in a Trial of an Innovative Treatment of Duchenne Muscular Dystrophy.
AB - Studies of innovative therapies for muscular dystrophy raise unique ethical
issues. The disease is currently untreatable and relentlessly progressive. A
number of potentially efficacious treatments are being developed, but like all
treatments, they may have unforeseen adverse effects. Nevertheless, patients and
families, facing a bleak future, may be willing to take the gamble and try the
treatments. Many doctors are eager to study them. But should institutional review
boards approve them? This article discusses these issues and recounts the ways
that one such study elicited different responses from different institutional
review boards.
PMID- 26553190
TI - 3% Hypertonic Saline Versus Normal Saline in Inpatient Bronchiolitis: A
Randomized Controlled Trial.
AB - BACKGROUND AND OBJECTIVES: Bronchiolitis, the most common reason for
hospitalization in children younger than 1 year in the United States, has no
proven therapies effective beyond supportive care. We aimed to investigate the
effect of nebulized 3% hypertonic saline (HS) compared with nebulized normal
saline (NS) on length of stay (LOS) in infants hospitalized with bronchiolitis.
METHODS: We conducted a prospective, randomized, double-blind, controlled trial
in an urban tertiary care children's hospital in 227 infants younger than 12
months old admitted with a diagnosis of bronchiolitis (190 completed the study);
113 infants were randomized to HS (93 completed the study), and 114 to NS (97
completed the study). Subjects received 4 mL nebulized 3% HS or 4 mL 0.9% NS
every 4 hours from enrollment until hospital discharge. The primary outcome was
median LOS. Secondary outcomes were total adverse events, subdivided as clinical
worsening and readmissions. RESULTS: Patient characteristics were similar in
groups. In intention-to-treat analysis, median LOS (interquartile range) of HS
and NS groups was 2.1 (1.2-4.6) vs 2.1 days (1.2-3.8), respectively, P = .73. We
confirmed findings with per-protocol analysis, HS and NS groups with 2.0 (1.3
3.3) and 2.0 days (1.2-3.0), respectively, P = .96. Seven-day readmission rate
for HS and NS groups were 4.3% and 3.1%, respectively, P = .77. Clinical
worsening events were similar between groups (9% vs 8%, P = .97). CONCLUSIONS:
Among infants admitted to the hospital with bronchiolitis, treatment with
nebulized 3% HS compared with NS had no difference in LOS or 7-day readmission
rates.
PMID- 26553193
TI - Endocrine Pathology Society Hubert Wolfe Award for 2015: Call for Nominations.
PMID- 26553191
TI - Evaluating the impact of cannabis use on thalamic connectivity in youth at
clinical high risk of psychosis.
AB - BACKGROUND: Disruptions in thalamic functional connectivity have been observed in
people with schizophrenia and in youth at clinical high risk (CHR) of psychosis.
However, the impact of environmental risk factors for psychosis on thalamic
dysconnectivity is poorly understood. We tested whether thalamic dysconnectivity
is related to patterns of cannabis use in a CHR sample. METHODS: 162 CHR and 105
control participants were assessed on cannabis use severity, frequency, and age
at onset of first use as part of the North American Prodrome Longitudinal Study
and completed resting-state fMRI scans. Whole-brain thalamic functional
connectivity maps were generated using individual subjects' anatomically defined
thalamic seeds. RESULTS: Thalamic connectivity did not significantly correlate
with current cannabis use severity or frequency in either CHR or controls. In CHR
cannabis users, a significant correlation emerged between attenuated thalamic
connectivity with left sensory/motor cortex and a younger age at onset of
cannabis use. CHR who used cannabis before age 15 did not differ on thalamic
connectivity as compared to CHR who used after age 15 or CHR who were cannabis
naive. No group differences in thalamic connectivity emerged when comparing CHR
separated by moderate/high use frequency, low-frequency or cannabis naive.
CONCLUSIONS: Although a younger age at onset of cannabis use may be associated
with disrupted thalamo-cortical coupling, cannabis use does not appear to be an
identifying characteristic for thalamic connectivity in CHR with moderate/high
use frequency compared to low-frequency users or CHR who are cannabis naive.
PMID- 26553192
TI - Med14 cooperates with brg1 in the differentiation of skeletogenic neural crest.
AB - BACKGROUND: An intricate gene regulatory network drives neural crest migration
and differentiation. How epigenetic regulators contribute to this process is just
starting to be understood. RESULTS: We found that mutation of med14 or brg1 in
zebrafish embryos resulted in a cluster of neural crest cell-related defects. In
med14 or brg1 mutants, neural crest cells that form the jaw skeleton were
specified normally and migrated to target sites. However, defects in their
subsequent terminal differentiation were evident. Transplantation experiments
demonstrated that med14 and brg1 are required directly in neural crest cells.
Analysis of med14; brg1 double mutant embryos suggested the existence of a strong
genetic interaction between members of the Mediator and BAF complexes.
CONCLUSIONS: These results suggest a critical role for Mediator and BAF complex
function in neural crest development, and may also clarify the nature of defects
in some craniofacial abnormalities.
PMID- 26553194
TI - Adverse cutaneous drug eruptions: current understanding.
AB - Adverse cutaneous drug reactions are recognized as being major health problems
worldwide causing considerable costs for health care systems. Most adverse
cutaneous drug reactions follow a benign course; however, up to 2% of all adverse
cutaneous drug eruptions are severe and life-threatening. These include acute
generalized exanthematous pustulosis (AGEP), drug reaction with eosinophilia and
systemic symptoms (DRESS), Stevens-Johnson syndrome (SJS), and toxic epidermal
necrolysis (TEN). Physicians should be aware of specific red flags to rapidly
identify these severe cutaneous drug eruptions and initiate appropriate
treatment. Besides significant progress in clinical classification and treatment,
recent studies have greatly enhanced our understanding in the pathophysiology of
adverse cutaneous drug reactions. Genetic susceptibilities to certain drugs have
been identified in SJS/TEN patients, viral reactivation in DRESS has been
elucidated, and the discovery of tissue resident memory T cells helps to better
understand the recurrent site-specific inflammation in patients with fixed drug
eruption.
PMID- 26553195
TI - Effect of a topical nonsteroidal anti-inflammatory agent (0.1 % pranoprofen) on
acute central serous chorioretinopathy.
AB - PURPOSE: To investigate the effects of topical pranoprofen 0.1 % on acute central
serous chorioretinopathy (CSC). METHODS: The medical records of 52 cases (52
patients) of CSC were retrospectively reviewed. Twenty-six patients were treated
with topical pranoprofen 0.1 % (treatment group) and 26 patients did not receive
treatment (control group). Baseline and follow-up values for visual acuity,
subfoveal choroidal thickness (SCT), subretinal fluid (SRF) maximum height, and
central macular thickness (CMT) were examined and compared between groups.
RESULTS: In the treatment group, mean SCT decreased from 365.5 +/- 52.9 MUm at
baseline to 288.9 +/- 36.1 MUm at 6 months after initiation of treatment (p =
0.005). Both SRF maximum height and CMT were also decreased from baseline at 1
month (SRF maximum height, baseline: 221.5 +/- 108.4, 1 month: 97.7 +/- 54.3 MUm,
p = 0.002; CMT, baseline: 403.9 +/- 114.6, 1 month: 270.1 +/- 37.9 MUm, p =
0.003). In the control group, SCT decreased throughout the follow-up period, but
the change was not significant. Subretinal fluid maximum height and CMT were
significantly decreased after 3 months in the control group (SRF, baseline: 265.4
+/- 112.4 MUm, 6 months: 64.8 +/- 116.9 MUm, p = 0.005; CMT, baseline: 459.1 +/-
104.9 MUm, 6 months: 304.6 +/- 92.8 MUm, p < 0.001). Visual acuity was improved
from baseline in both groups after 6 months, but the improvement was only
significant in the treatment group (p = 0.002). The rate of disease recurrence
was lower in the treatment group (23 %) than in the control group (38 %), but
this difference between groups was not statistically significant (p = 0.229, chi
square test). CONCLUSIONS: Topical pranoprofen 0.1 % was effective in treating
acute CSC, as demonstrated by an increase in visual acuity and a decrease in SRF,
SCT, and CMT after treatment. These results suggest that topical pranoprofen 0.1
% may be useful in treating patients with acute CSC.
PMID- 26553196
TI - Modified corneal incisions in intraoperative floppy iris syndrome (IFIS)-prone
patients.
AB - BACKGROUND: We aimed to report a simple technique that involves modified anterior
(to the limbus) elongated corneal incisions in order to reduce the incidence and
severity of intraoperative floppy iris syndrome (IFIS) and related complications.
METHODS: This was a retrospective study of phacoemulsification cataract surgeries
performed by a single surgeon on patients receiving tamsulosin or alfuzosin
between 1 January 2009 and 31 July 2012 at Meir Medical Center, Kfar-Sava,
Israel. We recorded preoperative gender, age, alpha-antagonist medication,
coexisting pseudoexfoliation (PXF), and intraoperative use of ophthalmic
viscosurgical devices (OVDs), pupil size, complications, IFIS grading and the
need for additional operative strategies to manage IFIS. Elongated corneal
incisions were performed approximately 1 mm anterior to the limbus. RESULTS:
Ninety-three eyes of 81 men were included. Mean age was 76.5 years (range 55 to
96 years). Forty-seven eyes (40 patients) had documented use of alfuzosin and 45
eyes (40 patients) of tamsulosin. One patient received both. The overall rate of
IFIS was 22.6 % (n = 21). Eyes of patients who were treated with alfuzosin had a
milder grading (p < 0.001) and an overall lower percentage of IFIS compared to
tamsulosin (4.26 % versus 42.22 % respectively, p < 0.001). No additional
strategies were used to manage IFIS during surgery. No intraoperative
complications occurred. CONCLUSION: Anterior elongated incisions are simple and
efficient in preventing IFIS, exempting the surgeon from the use of additional
expensive devices or materials in most cases. They do not limit the surgeon to
one strategy, and therefore, if necessary, another may be applied at any given
time.
PMID- 26553197
TI - Systemic immunosuppression with mycophenolate mofetil to prevent corneal graft
rejection after high-risk penetrating keratoplasty: a 2-year follow-up study.
AB - PURPOSE: In this study, we aimed to evaluate the efficacy and safety of systemic
immunosuppression with mycophenolate mofetil (MMF) to prevent corneal graft
rejection after high-risk penetrating keratoplasty. METHODS: One hundred and
ninety-six consecutive patients who underwent high-risk penetrating keratoplasty
defined as the presence of deep vascularization in more than two quadrants,
keratouveitis, emergency keratoplasties, and retransplantations were enrolled in
the study. Ninety-eight prospectively followed up patients were treated with MMF
[with dose adjustment based on mycophenolic acid (MPA) serum concentration], and
98 patients were in the non-MMF-treated retrospectively assessed control group.
RESULTS: During a mean of 24 months of observation, immune reactions occurred in
eight cases (8 %) and graft rejection with subsequent graft failure occurred in
three cases (3 %) in the MMF group. In the control group, graft rejection
occurred in 76 cases (78 %) and failure due to graft rejection occurred in 30
cases (31 %). Kaplan-Meier analysis demonstrated that 93 % of the grafts in the
MMF-treated group and 47 % in the control group showed no immune rejection (p <
0.01, log-rank test) after a year. Cox regression analysis proved that MMF
treatment decreased the risk of graft rejection 11 times (RR = 11, 95.0 % CI 4.8
25, p < 0.0001). Among 98 MMF-treated patients, 13 had gastric discomfort, three
developed leucopenia, and two had anemia that resolved after MMF dose reduction.
CONCLUSIONS: MMF treatment after high risk penetrating keratoplasty is safe and
reduces the incidence of immune graft rejection and graft failure. Side effects
were rare and reversible in all but one case.
PMID- 26553198
TI - Steady-state multifocal visual evoked potential (ssmfVEP) using dartboard
stimulation as a possible tool for objective visual field assessment.
AB - PURPOSE: To investigate whether a conventional, monitor-based multifocal visual
evoked potential (mfVEP) system can be used to record steady-state mfVEP
(ssmfVEP) in healthy subjects and to study the effects of temporal frequency,
electrode configuration and alpha waves. METHODS: Multifocal pattern reversal VEP
measurements were performed at 58 dartboard fields using VEP recording equipment.
The responses were measured using m-sequences with four pattern reversals per m
step. Temporal frequencies were varied between 6 and 15 Hz. Recordings were
obtained from nine normal subjects with a cross-shaped, four-electrode device
(two additional channels were derived). Spectral analyses were performed on the
responses at all locations. The signal to noise ratio (SNR) was computed for each
response using the signal amplitude at the reversal frequency and the noise at
the neighbouring frequencies. RESULTS: Most responses in the ssmfVEP were
significantly above noise. The SNR was largest for an 8.6-Hz reversal frequency.
The individual alpha electroencephalogram (EEG) did not strongly influence the
results. The percentage of the records in which each of the 6 channels had the
largest SNR was between 10.0 and 25.2 %. CONCLUSION: Our results in normal
subjects indicate that reliable mfVEP responses can be achieved by steady-state
stimulation using a conventional dartboard stimulator and multi-channel electrode
device. The ssmfVEP may be useful for objective visual field assessment as
spectrum analysis can be used for automated evaluation of responses. The optimal
reversal frequency is 8.6 Hz. Alpha waves have only a minor influence on the
analysis. Future studies must include comparisons with conventional mfVEP and
psychophysical visual field tests.
PMID- 26553199
TI - Severe cranial neuropathies caused by falls from heights in children.
AB - PURPOSE: Falls from heights are the most common traumatic event associated with
emergency department visits in children. This study investigated the incidence
and clinical course of cranial neuropathies caused by falls from heights in
children. METHODS: The computerized records of a tertiary pediatric medical
center were searched for all patients admitted to the emergency department in
2004-2014 with a head injury caused by falling from a height. Those with cranial
neuropathies involving optic and eye-motility disturbances were identified, and
their clinical, imaging, and outcome data were evaluated. RESULTS: Of the
estimated 61,968 patients who presented to the emergency department during the
study period because of a fall, 18,758 (30.3 %) had head trauma. Only 12 (seven
boys, five girls, average age 6.7 years) had a visual disturbance. Eight were
diagnosed with traumatic optic neuropathy, one after a 6-month delay, including
two with accompanying cranial nerve (CN) III injuries. Five patients had
anisocoria or an abnormal pupillary response to light at presentation, one
patient had CN VI paralysis and temporary vision loss, and one patient had an
isolated CN III injury diagnosed on follow-up. Visual improvement varied among
the patients. CONCLUSION: Cranial neuropathies due to falls from heights are rare
in children and are associated with high visual morbidity. Vision or ocular
motility impairment, especially monocular vision loss, may be missed during acute
intake to the emergency department, and a high index of suspicion is needed.
Assessment of the pupillary response to light is essential.
PMID- 26553201
TI - Evaluation of diagnostic accuracy in CT perfusion analysis in moyamoya disease.
AB - PURPOSE: The purpose of the present study was to determine optimal threshold of
vascular pixel elimination (VPE) for CT perfusion (CTP) and to assess diagnostic
accuracy of CTP by comparing with xenon enhanced CT (XeCT) in moyamoya disease.
MATERIALS AND METHODS: Twenty-three patients underwent XeCT and CTP. Cerebral
blood flow (CBF) images were generated for XeCT and CTP using nine types of
software. Region of interest (ROI) measurement was performed on XeCT-CBF and CTP
CBF. Linear regression analysis was performed between XeCT-CBF and CTP-CBF in all
software, without and with VPE. The Pearson correlation coefficient was
calculated, and an optimal threshold was determined based on maximum correlation
coefficients. Correlation coefficients at various VPE thresholds including data
of no-VPE were compared with each other. The maximum correlation coefficient at
the optimal threshold was also compared. RESULTS: Optimal thresholds varied among
software types (0.8-2.2 and 7-14 ml/100 g in relative and absolute VPE,
respectively). There were significant differences between correlation
coefficients at a range of VPE thresholds compared to no-VPE in most software
types. There were significant differences in maximum correlation coefficient at
optimal threshold among various software types. CONCLUSION: Optimal threshold of
VPE for CTP could be determined and diagnostic accuracy of CTP varied among
software types in moyamoya disease.
PMID- 26553202
TI - CRISPR sabotage.
AB - The biological arms race generally involves the rapid co-evolution of anti-virus
systems in host organisms and of anti-anti-virus systems in their viral
parasites. The CRISPR-Cas system is an example of a prokaryotic immune system in
which such co-evolution occurs, as was recently demonstrated by the
characterization of a set of viral anti-CRISPR proteins.
PMID- 26553200
TI - Assessment of dorsal instability of the ulnar head in the distal radioulnar
joint: comparison between normal wrist joints and cases of ruptured extensor
tendons.
AB - In the present study, the adaptability of the distal radioulnar joint (DRUJ) was
evaluated using conventional computed tomography (CT) evaluation methods. In
addition, we investigated/compared a new method to evaluate dorsal displacement
of the ulnar head. Our subjects consisted of 32 healthy volunteers (64 wrists)
and 11 patients (13 wrists) with extensor tendon injuries related to dorsal
displacement of the ulnar head. To diagnose instability in the DRUJ based on CT
scans, the radioulnar line method and the modified radioulnar line method were
measured. Instability was evaluated by the new method that the ulnar head was
located on the dorsal side from a line involving the peak of Lister's tubercle in
parallel to this baseline was regarded as showing abnormal dorsal displacement of
the ulnar head. The diagnostic accuracy of each method was calculated. The
sensitivities, specificities, false-positive rates, positive predictive values
and the negative predictive value of new methods were better than other two
methods. The new method that we recommend is simple. Based on the results of this
study, an evaluation of normal/abnormal dorsal displacement of the ulnar head in
the DRUJ using the new method may be useful for determining the timing of
surgery.
PMID- 26553203
TI - An observation of a severely disabled infant chimpanzee in the wild and her
interactions with her mother.
AB - We report the physical and behavioral development of one severely disabled female
infant chimpanzee (Pan troglodytes schweinfurthii) of the well-habituated M group
in the Mahale Mountains National Park. We documented interactions between the
infant and its mother and with other group members. Congenital disabilities occur
in many primate species, including chimpanzees. However, there have been only a
few case studies of congenitally disabled chimpanzee infants and no reports
examining how a chimpanzee mother copes with such a disabled infant in the wild.
The observed infant exhibited symptoms resembling Down syndrome, similar to those
reported previously for a captive chimpanzee. The mother did not allow
nonrelatives to take care of the infant even though she had been previously
relatively tolerant of allomothering by nonrelatives. The mother's compensatory
care for her infant's disabilities and allomothering of the infant by its sister
might have helped it to survive for 23 months in the wild. Other group members
did not show any aversive or fearful reactions to the disabled infant.
PMID- 26553204
TI - Integrating sampling techniques and inverse virtual screening: toward the
discovery of artificial peptide-based receptors for ligands.
AB - A novel heuristic using an iterative select-and-purge strategy is proposed. It
combines statistical techniques for sampling and classification by rigid
molecular docking through an inverse virtual screening scheme. This approach aims
to the de novo discovery of short peptides that may act as docking receptors for
small target molecules when there are no data available about known association
complexes between them. The algorithm performs an unbiased stochastic exploration
of the sample space, acting as a binary classifier when analyzing the entire
peptides population. It uses a novel and effective criterion for weighting the
likelihood of a given peptide to form an association complex with a particular
ligand molecule based on amino acid sequences. The exploratory analysis relies on
chemical information of peptides composition, sequence patterns, and association
free energies (docking scores) in order to converge to those peptides forming the
association complexes with higher affinities. Statistical estimations support
these results providing an association probability by improving predictions
accuracy even in cases where only a fraction of all possible combinations are
sampled. False positives/false negatives ratio was also improved with this
method. A simple rigid-body docking approach together with the proper information
about amino acid sequences was used. The methodology was applied in a
retrospective docking study to all 8000 possible tripeptide combinations using
the 20 natural amino acids, screened against a training set of 77 different
ligands with diverse functional groups. Afterward, all tripeptides were screened
against a test set of 82 ligands, also containing different functional groups.
Results show that our integrated methodology is capable of finding a
representative group of the top-scoring tripeptides. The associated probability
of identifying the best receptor or a group of the top-ranked receptors is more
than double and about 10 times higher, respectively, when compared to classical
random sampling methods.
PMID- 26553205
TI - Ultrasound-Based Carotid Elastography for Detection of Vulnerable Atherosclerotic
Plaques Validated by Magnetic Resonance Imaging.
AB - Ultrasound-based carotid elastography has been developed to estimate the
mechanical properties of atherosclerotic plaques. The objective of this study was
to evaluate the in vivo capability of carotid elastography in vulnerable plaque
detection using high-resolution magnetic resonance imaging as reference.
Ultrasound radiofrequency data of 46 carotid plaques from 29 patients (74 +/- 5 y
old) were acquired and inter-frame axial strain was estimated with an optical
flow method. The maximum value of absolute strain rate for each plaque was
derived as an indicator for plaque classification. Magnetic resonance imaging of
carotid arteries was performed on the same patients to classify the plaques into
stable and vulnerable groups for carotid elastography validation. The maximum
value of absolute strain rate was found to be significantly higher in vulnerable
plaques (2.15 +/- 0.79 s(-1), n = 27) than in stable plaques (1.21 +/- 0.37 s(
1), n = 19) (p < 0.0001). Receiver operating characteristic curve analysis was
performed, and the area under the curve was 0.848. Therefore, the in vivo
capability of carotid elastography to detect vulnerable plaques, validated by
magnetic resonance imaging, was proven, revealing the potential of carotid
elastography as an important tool in atherosclerosis assessment and stroke
prevention.
PMID- 26553206
TI - Virtual Touch Tissue Imaging Quantification Shear Wave Elastography: Prospective
Assessment of Cervical Lymph Nodes.
AB - The goal of this study was to prospectively evaluate the diagnostic performance
of Virtual Touch tissue imaging quantification (VTIQ) shear wave elastography in
the discrimination of benign and malignant cervical lymph nodes in routine
clinical practice. Shear wave velocity was analyzed using VTIQ in 100 patients
with 100 histologically proven cervical lymph nodes. Diagnostic performance was
evaluated using receiver operating characteristic curve analysis and leave-one
out cross-validation. Agreement between measurements was assessed with intra
class correlation coefficients. The mean shear wave velocity was significantly
higher in metastatic lymphadenopathy (4.46 +/- 1.46 m/s) than in benign
lymphadenopathy (2.71 +/- 0.85 m/s) (p < 0.001) at a cutoff level of 3.34 m/s.
The cross-validated accuracy, sensitivity and specificity were 77%, 78.9% and
74.4%, respectively. Agreement of measurements with VTIQ was excellent (intra
class correlation coefficient = 0.961). VTIQ shear wave elastography may be a
feasible quantitative imaging method for differentiating benign and malignant
cervical lymph nodes.
PMID- 26553207
TI - Interval Colorectal Cancer After Colonoscopy: Exploring Explanations and
Solutions.
AB - There is good evidence that colorectal cancer (CRC) screening has been successful
at reducing both CRC incidence and death. Colonoscopy, utilized as either a
primary screening tool or a follow-up exam when other screening tests are
positive, has significantly contributed to these encouraging trends. However, it
is well recognized that colonoscopy is not perfectly sensitive for the detection
of neoplasia and that CRC can be diagnosed within a short interval following a
colonoscopy that did not detect one. The literature surrounding these cases has
rapidly expanded over the last decade. Specifically, studies aimed at
understanding the frequency of these events and the likely explanations for their
occurrence have been performed. This review will highlight current knowledge
around the epidemiology of interval post colonoscopy CRC (PCCRC). The common
explanations for these cancers including missed lesions, new lesions, and
incompletely resected lesions will be reviewed and their contribution to interval
PCCRC estimated. Finally, the relationship of these putative explanations to
potential opportunities to prevent interval PCCRC will be explored. Current
approaches to prevention largely center on consistent adherence to quality
colonoscopy standards. Future approaches include advances in technology to better
visualize the colon and adequately resect detected neoplasia. Finally,
improvement in training as well as development of a culture of continuous quality
improvement will be essential to maximize the benefits of colonoscopy in daily
clinical practice.
PMID- 26553208
TI - Admission Hematocrit and Rise in Blood Urea Nitrogen at 24 h Outperform other
Laboratory Markers in Predicting Persistent Organ Failure and Pancreatic Necrosis
in Acute Pancreatitis: A Post Hoc Analysis of Three Large Prospective Databases.
AB - OBJECTIVES: Predicting severe acute pancreatitis (AP) remains a challenge. The
present study compares admission blood urea nitrogen (BUN), hematocrit, and
creatinine, as well as changes in their levels over 24 h, aiming to determine the
most accurate laboratory test for predicting persistent organ failure and
pancreatic necrosis. METHODS: Clinical data of 1,612 AP patients, enrolled
prospectively in three independent cohorts (University of Pittsburgh, Brigham and
Women's Hospital, Dutch Pancreatitis Study Group), were abstracted. The
predictive accuracy of the studied laboratories was measured using area under the
receiver-operating characteristic curve (AUC) analysis. A pooled analysis was
conducted to determine their impact on the risk for persistent organ failure and
pancreatic necrosis. Finally, a classification tree was developed on the basis of
the most accurate laboratory parameters. RESULTS: Admission hematocrit >=44% and
rise in BUN at 24 h were the most accurate in predicting persistent organ failure
(AUC: 0.67 and 0.71, respectively) and pancreatic necrosis (0.66 and 0.67,
respectively), outperforming the other laboratory parameters and the acute
physiology and chronic health evaluation-II score. In a pooled analysis,
admission hematocrit >=44% and rise in BUN at 24 h were associated with an odds
ratio of 3.54 and 5.84 for persistent organ failure, and 3.11 and 4.07,
respectively, for pancreatic necrosis. In addition, the classification tree
illustrated that when both admission hematocrit was >=44% and BUN levels
increased at 24 h, the rates of persistent organ failure and pancreatic necrosis
reached 53.6% and 60.3%, respectively. CONCLUSIONS: Admission hematocrit >=44%
and rise in BUN at 24 h may be the optimal predictive tools in clinical practice
among existing laboratory parameters and scoring systems.
PMID- 26553209
TI - Molecular genetics of naringenin biosynthesis, a typical plant secondary
metabolite produced by Streptomyces clavuligerus.
AB - BACKGROUND: Some types of flavonoid intermediates seemed to be restricted to
plants. Naringenin is a typical plant metabolite, that has never been reported to
be produced in prokariotes. Naringenin is formed by the action of a chalcone
synthase using as starter 4-coumaroyl-CoA, which in dicotyledonous plants derives
from phenylalanine by the action of a phenylalanine ammonia lyase. RESULTS: A
compound produced by Streptomyces clavuligerus has been identified by LC-MS and
NMR as naringenin and coelutes in HPLC with a naringenin standard. Genome mining
of S. clavuligerus revealed the presence of a gene for a chalcone synthase (ncs),
side by side to a gene encoding a P450 cytochrome (ncyP) and separated from a
gene encoding a Pal/Tal ammonia lyase (tal). Deletion of any of these genes
results in naringenin non producer mutants. Complementation with the deleted gene
restores naringenin production in the transformants. Furthermore, naringenin
production increases in cultures supplemented with phenylalanine or tyrosine.
CONCLUSION: This is the first time that naringenin is reported to be produced
naturally in a prokariote. Interestingly three non-clustered genes are involved
in naringenin production, which is unusual for secondary metabolites. A tentative
pathway for naringenin biosynthesis has been proposed.
PMID- 26553210
TI - Transplantation of rat embryonic stem cell-derived retinal progenitor cells
preserves the retinal structure and function in rat retinal degeneration.
AB - INTRODUCTION: Degenerative retinal diseases like age-related macular degeneration
(AMD) are the leading cause of blindness. Cell transplantation showed promising
therapeutic effect for such diseases, and embryonic stem cell (ESC) is one of the
sources of such donor cells. Here, we aimed to generate retinal progenitor cells
(RPCs) from rat ESCs (rESCs) and to test their therapeutic effects in rat model.
METHODS: The rESCs (DA8-16) were cultured in N2B27 medium with 2i, and
differentiated to two types of RPCs following the SFEBq method with
modifications. For rESC-RPC1, the cells were switched to adherent culture at D10,
while for rESC-RPC2, the suspension culture was maintained to D14. Both RPCs were
harvested at D16. Primary RPCs were obtained from P1 SD rats, and some of them
were labeled with EGFP by infection with lentivirus. To generate Rax::EGFP knock
in rESC lines, TALENs were engineered to facilitate homologous recombination in
rESCs, which were cotransfected with the targeting vector and TALEN vectors. The
differentiated cells were analyzed with live image, immunofluorescence staining,
flow cytometric analysis, gene expression microarray, etc. RCS rats were used to
mimic the degeneration of retina and test the therapeutic effects of subretinally
transplanted donor cells. The structure and function of retina were examined.
RESULTS: We established two protocols through which two types of rESC-derived
RPCs were obtained and both contained committed retina lineage cells and some
neural progenitor cells (NPCs). These rESC-derived RPCs survived in the host
retinas of RCS rats and protected the retinal structure and function in early
stage following the transplantation. However, the glia enriched rESC-RPC1
obtained through early and longer adherent culture only increased the b-wave
amplitude at 4 weeks, while the longer suspension culture gave rise to evidently
neuronal differentiation in rESC-RPC2 which significantly improved the visual
function of RCS rats. CONCLUSIONS: We have successfully differentiated rESCs to
glia enriched RPCs and retinal neuron enriched RPCs in vitro. The retinal neuron
enriched rESC-RPC2 protected the structure and function of retina in rats with
genetic retinal degeneration and could be a candidate cell source for treating
some degenerative retinal diseases in human trials.
PMID- 26553211
TI - mActive: A Randomized Clinical Trial of an Automated mHealth Intervention for
Physical Activity Promotion.
AB - BACKGROUND: We hypothesized that a fully automated mobile health (mHealth)
intervention with tracking and texting components would increase physical
activity. METHODS AND RESULTS: mActive enrolled smartphone users aged 18 to 69
years at an ambulatory cardiology center in Baltimore, Maryland. We used
sequential randomization to evaluate the intervention's 2 core components. After
establishing baseline activity during a blinded run-in (week 1), in phase I
(weeks 2 to 3), we randomized 2:1 to unblinded versus blinded tracking.
Unblinding allowed continuous access to activity data through a smartphone
interface. In phase II (weeks 4 to 5), we randomized unblinded participants 1:1
to smart texts versus no texts. Smart texts provided smartphone-delivered
coaching 3 times/day aimed at individual encouragement and fostering feedback
loops by a fully automated, physician-written, theory-based algorithm using real
time activity data and 16 personal factors with a 10 000 steps/day goal. Forty
eight outpatients (46% women, 21% nonwhite) enrolled with a mean+/-SD age of 58+/
8 years, body mass index of 31+/-6 kg/m(2), and baseline activity of 9670+/-4350
steps/day. Daily activity data capture was 97.4%. The phase I change in activity
was nonsignificantly higher in unblinded participants versus blinded controls by
1024 daily steps (95% confidence interval [CI], -580 to 2628; P=0.21). In phase
II, participants receiving texts increased their daily steps over those not
receiving texts by 2534 (95% CI, 1318 to 3750; P<0.001) and over blinded controls
by 3376 (95% CI, 1951 to 4801; P<0.001). CONCLUSIONS: An automated tracking
texting intervention increased physical activity with, but not without, the
texting component. These results support new mHealth tracking technologies as
facilitators in need of behavior change drivers. CLINICAL TRIAL REGISTRATION:
URL: http://ClinicalTrials.gov/. Unique identifier: NCT01917812.
PMID- 26553212
TI - Association of Left Ventricular Hypertrophy With a Faster Rate of Renal Function
Decline in Elderly Patients With Non-End-Stage Renal Disease.
AB - BACKGROUND: Several studies have indicated that chronic kidney disease is
independently associated with the presence of left ventricular hypertrophy (LVH).
However, little clinical data are currently available regarding the detailed
correlation between LVH and renal function in elderly patients with non-end-stage
renal disease. METHODS AND RESULTS: A total of 300 in- and outpatients (more than
60 years of age, non-end-stage renal disease), 251 with LVH and 49 without LVH,
seen at Beijing Friendship Hospital from January 2000 to December 2010 were
included in this retrospective study. One observation period of 12 months was
used to detect rapid kidney function decline. The evaluations of cardiac
structure and function were performed via echocardiography. The multivariable
logistic analysis showed patients with LVH had a much higher risk of rapid kidney
function decline than those without LVH. Additionally, the baseline left
ventricular mass index was 140 (125-160) g/m(2) in the non-chronic kidney disease
group, 152 (130-175) g/m(2) in the mild chronic kidney disease group (estimated
glomerular filtration rate (eGFR)>=60 ml/min/1.73 m(2)), and 153 (133-183) g/m(2)
in the severe chronic kidney disease group (eGFR<60 ml/min/1.73 m(2)), with a
significant difference (P=0.009). CONCLUSIONS: Our data demonstrate that a high
rate of renal function decline contributes to pathological LVH in non-end-stage
renal disease elderly patients and that LVH is positively associated with renal
function decline followed by an increased risk of rapid kidney function decline.
PMID- 26553213
TI - Impact of Alcohol Consumption on Substrate Remodeling and Ablation Outcome of
Paroxysmal Atrial Fibrillation.
AB - BACKGROUND: The effect of alcohol consumption on substrate remodeling and
ablation outcome of paroxysmal atrial fibrillation (PAF) remains unknown. METHODS
AND RESULTS: We performed circumferential pulmonary vein isolation (CPVI) and
voltage mapping of left atrium (LA) during sinus rhythm in 122 consecutive
patients with symptomatic PAF (age, 55.4+/-9.4 years; 73.8% men). Low-voltage
zones (LVZs) were semiquantitatively estimated and presented as low-voltage index
(LVI). Each patient's daily alcohol consumption history was recorded at baseline
and classified into alcohol abstainers, moderate drinkers, and heavy drinkers
based on the National Institute on Alcohol Abuse and Alcoholism definition.
Follow-up was >=12 months for AF recurrence. Alcohol abstainers and moderate and
heavy drinkers were 70 (57.4%), 13 (10.6%), and 39 (32.0%), respectively. In
total, LVZs were observed in 44 patients (36.1%). Daily alcohol consumption
independently predicted presence of LVZs (odds ratio [OR], 1.097; 95% confidence
interval [CI], 1.001-1.203; P=0.047). During mean follow-up of 20.9+/-5.9 months,
40 patients (35.1%) experienced AF recurrence. Success rate was 81.3%, 69.2%, and
35.1% in alcohol abstainers, moderate drinkers, and heavy drinkers, respectively
(overall log rank, P<0.001). Multivariate analysis showed that both alcohol
consumption and LVI were independent predictors of AF recurrence (hazard ratio
[HR], 1.579; 95% CI, 1.085-2.298; P=0.017; HR, 2.188; 95% CI, 1.582-3.026;
P<0.001, respectively). Furthermore, mediation analysis revealed that LVZs acted
as a partial mediator in effect of alcohol consumption on AF ablation outcomes.
CONCLUSIONS: Daily alcohol consumption was associated with atrial remodelling,
and heavy drinkers have substantial risk for AF recurrence after CPVI.
PMID- 26553214
TI - Cancer and Its Association With the Development of Coronary Artery Calcification:
An Assessment From the Multi-Ethnic Study of Atherosclerosis.
AB - BACKGROUND: Although cancer and its corresponding therapies are associated with
increased ischemic heart disease, the temporal relationship between cancer and
the development of coronary artery calcium (CAC), a marker of subclinical
atherosclerosis, is unknown. METHODS AND RESULTS: Among 3122 men and women free
of cardiovascular disease and cancer in the Multi-Ethnic Study of Atherosclerosis
trial, CAC scoring was performed at baseline (2000-2002) and at follow-up (2010
2012). Over this 10-year period, 85 men (age 63.6+/-8.3 years) and 50 women (age
62.1+/-9.8 years) were diagnosed with cancer (predominantly breast, lung, or
uterine [52%] in women and prostate or colorectal [78%] in men). The other 2987
subjects (age 59.6+/-9.2 years for men, 59.7+/-9.4 years for women) remained
cancer free. The incidence of new CAC (baseline Agatston score of zero converting
to detectable CAC) was modeled with relative risk regression and compared for
cancer versus no cancer. Increase in pre-existing CAC was compared in these
groups using linear regression of log transformed CAC. The incidence of CAC was
independently associated with cancer history (relative risk 1.32 [P=0.04] and
1.29 [P=0.01] for women and men, respectively). In participants with CAC at
baseline, a clear difference of CAC progression was not observed between cancer
and noncancer participants (P=0.6 for women, P=0.2 for men). CONCLUSIONS: A
diagnosis of cancer is associated with the development of CAC even after
accounting for atherosclerotic risk factors. However, in individuals with pre
existing CAC, it is not clear whether the presence of cancer accelerates CAC over
time.
PMID- 26553215
TI - Coronary Artery Vessel Healing Pattern, Short and Long Term, After Implantation
of the Everolimus-Eluting Bioresorbable Vascular Scaffold.
AB - BACKGROUND: Although the Absorb bioresorbable vascular scaffold is increasingly
used in daily clinical practice for the treatment of coronary artery disease, the
exact vascular healing pattern and the resorption process in humans is unknown
because histological data are derived only from animal studies. METHODS AND
RESULTS: We have obtained 4 autopsies (5 scaffolds) since August 2013. Duration
of bioresorbable vascular scaffold implantation ranged from 3 to 501 days. All
autopsies and histological assessments were performed by dedicated cardiovascular
pathologists. At 1 week after bioresorbable vascular scaffold implantation,
struts were covered with a fine layer of fibrin and platelets. At 113 days, the
scaffold struts were fully covered with smooth muscle cells. Hyaline eosinophilic
and proteoglycan material infiltrating the scaffold struts was observed at 501
days after implantation. At all time points, we observed the presence of
multinuclear foreign body giant cells adjacent to the scaffold struts.
CONCLUSIONS: Resorption and healing processes after bioresorbable vascular
scaffold implantation in human patients mirror those observed in porcine models.
The presence of multinucleated foreign body giant cells at both short- and long
term follow-up needs further investigation and may be related to a low-grade
absorptive inflammatory response to the polymer.
PMID- 26553216
TI - Long-Term Risk of Stroke in Patients With Type 1 and Type 2 Diabetes Following
Coronary Artery Bypass Grafting.
AB - BACKGROUND: We performed a nationwide population-based cohort study to
investigate the long-term risk of stroke after coronary artery bypass grafting in
patients with type 1 and type 2 diabetes. METHODS AND RESULTS: All patients who
underwent primary coronary artery bypass grafting in Sweden from 2000 through
2011 were included from the SWEDEHEART register. We excluded patients with prior
stroke, and patients who had a stroke or died within 30 days of surgery. The
National Diabetes Register was used to identify patients with type 1 and type 2
diabetes. Incident stroke (ischemic and hemorrhagic), and all-cause mortality was
obtained by record linkage with the National Patient Register and the Cause of
Death register. We used multivariable Cox regression to estimate the risk of
stroke in relation to type of diabetes. A total of 53 820 patients (type 1
diabetes [n=714], type 2 diabetes [n=10 054], no diabetes [n=43 052]) were
included. During a mean follow-up of 7.4 years (398 337 person-years), in total,
8.0% (n=4296) of the patients had a stroke: 7.3% (n=52) in patients with type 1
diabetes, 9.1% (n=915) in patients with type 2 diabetes, and 7.7% (n=3329) in
patients with no diabetes. The multivariable adjusted hazard ratio (95% CI) for
all stroke was 1.59 (1.20-2.11) in type 1 diabetes, and 1.32 (1.23-1.43) in type
2 diabetes. CONCLUSIONS: The long-term risk for stroke after coronary artery
bypass grafting was increased in patients with type 1 and type 2 diabetes,
compared to patients with no diabetes.
PMID- 26553217
TI - Systematic review of the diagnostic category muscle dysmorphia.
AB - OBJECTIVES: (1) To collect, analyze and synthetize the evidence on muscle
dysmorphia diagnosis as defined by Pope et al. and (2) To discuss its appropriate
nosology and inclusion as a specific category in psychiatric classificatory
systems. METHOD: A systematic search in the MEDLINE, the PsycNET, the LILACS and
SciELO databases and in the International Journal of Eating Disorders was
conducted looking for articles published between January 1997 and October 2014
and in EMBASE database between January 1997 and August 2013. Only epidemiological
and analytical studies were considered for selection. The methodological quality
of included studies was assessed according to the Evidence-Based Mental Health
and the National Health and Medical Research Council's guidelines. The support
for inclusion of muscle dysmorphia in psychiatric classificatory systems was
examined against Blashfield et al.'s criteria. RESULTS: Thirty-four articles were
considered eligible out of 5136. Most of the studies were cross-sectional and
enrolled small, non-clinical samples. The methodological quality of all selected
papers was graded at the lowest hierarchical level due to studies' designs. Forty
one percent of the publications considered the available evidence insufficient to
support the inclusion of muscle dysmorphia in any existing category of
psychiatric disorders. The current literature does not fulfill Blashfield et
al.'s criteria for the inclusion of muscle dysmorphia as a specific entity in
psychiatric diagnostic manuals. CONCLUSION: The current evidence does not ensure
the validity, clinical utility, nosological classification and inclusion of
muscle dysmorphia as a new disorder in classificatory systems of mental
disorders.
PMID- 26553218
TI - Borderline personality disorder and polycystic ovary syndrome.
PMID- 26553219
TI - Climate change and psychiatry.
PMID- 26553220
TI - Muscling in on body image disorders: What is the nosological status of muscle
dysmorphia?
PMID- 26553221
TI - Cultural 'Inclusion' or Institutional Decolonisation: How should prisons address
the mental health needs of Indigenous prisoners?
PMID- 26553223
TI - Creative Cognition and Brain Network Dynamics.
AB - Creative thinking is central to the arts, sciences, and everyday life. How does
the brain produce creative thought? A series of recently published papers has
begun to provide insight into this question, reporting a strikingly similar
pattern of brain activity and connectivity across a range of creative tasks and
domains, from divergent thinking to poetry composition to musical improvisation.
This research suggests that creative thought involves dynamic interactions of
large-scale brain systems, with the most compelling finding being that the
default and executive control networks, which can show an antagonistic relation,
tend to cooperate during creative cognition and artistic performance. These
findings have implications for understanding how brain networks interact to
support complex cognitive processes, particularly those involving goal-directed,
self-generated thought.
PMID- 26553224
TI - Expression of activated signal transducer and activator of transcription-3 as a
predictive and prognostic marker in advanced esophageal squamous cell carcinoma.
AB - BACKGROUND: Signal transducer and activator of transcription-3 (STAT3) is an
oncogenic transcription factor constitutively active and aberrantly expressed in
various types of malignancies, and the expression of p-STAT3 has been recognized
as a predictor of poor survival. It remains unclear how variations in p-STAT3
expression influence clinical outcomes in esophageal squamous cell carcinoma
(ESCC). METHODS: Between 1 January 2008 and 1 November 2013, 153 advanced
esophageal squamous cell carcinoma patients (stage IV) from two cancer centers in
West China were treated with paclitaxel and cisplatin. We retrospectively
analyzed the clinical outcomes of patients with ESCC and examined the correlation
between p-STAT3 levels and clinical outcomes in esophageal cancer patients.
RESULTS: Among the 153 patients, positive p-STAT3 expression was observed in 73
of 153 (47.7 %) cases. The median PFS for patients with positive expression of p
STAT3 and negative expression of p-STAT3 was 5.0 months and 6.9 months,
respectively (P < 0.001). The median overall survival was significantly higher in
patients with p-STAT3 negative tumors than in those with p-STAT3 positive tumors
(9.9 vs 8.9 months, P = 0.026). Kaplan-Meier survival analysis showed that p
STAT3 expression was statistically indicative of a poor prognosis for progression
free survival. CONCLUSIONS: These data showed that p-STAT3 expression was
significantly associated with poor prognosis in patients with esophageal cancer
and could be used as a predictive and prognostic marker in esophageal cancer.
PMID- 26553222
TI - Adaptive Pulvinar Circuitry Supports Visual Cognition.
AB - The pulvinar is the largest thalamic nucleus in primates and one of the most
mysterious. Endeavors to understand its role in vision have focused on its
abundant connections with the visual cortex. While its connectivity mapping in
the cortex displays a broad topographic organization, its projections are also
marked by considerable convergence and divergence. As a result, the pulvinar is
often regarded as a central forebrain hub. Moreover, new evidence suggests that
its comparatively modest input from structures such as the retina and superior
colliculus may critically shape the functional organization of the visual cortex,
particularly during early development. Here we review recent studies that cast
fresh light on how the many convergent pathways through the pulvinar contribute
to visual cognition.
PMID- 26553225
TI - Clinical impact of potentially inappropriate medications during hospitalization
of acutely ill older patients with multimorbidity.
AB - OBJECTIVE: To identify potentially inappropriate medications (PIMs), to compare
drug changes between geriatric and other medical wards, and to investigate the
clinical impact of PIMs in acutely hospitalized older adults. SETTING AND
SUBJECTS: Retrospective study of 232 home-dwelling, multimorbid older adults
(aged >=75 years) acutely admitted to Vestfold Hospital Trust, Norway. MAIN
OUTCOME MEASURES: PIMs were identified by Norwegian general practice (NORGEP)
criteria and Beers' 2012 criteria. Clinical correlates were laboratory measures,
functional and mental status, physical frailty, and length of stay. RESULTS: Mean
(SD) age was 86 (5.7) years, and length of stay was 6.5 (4.8) days. During the
stay, the mean number of drugs used regularly changed from 7.8 (3.6) to 7.9 (3.6)
(p = 0.22), and drugs used pro re nata (prn) changed from 1.4 (1.6) to 2.0 (1.7)
(p < 0.001). The prevalence of any PIM changed from 39.2% to 37.9% (p = 0.076),
while anticholinergics and benzodiazepines were reduced significantly (p <=
0.02). The geriatric ward reduced drug dosages (p < 0.001) and discontinued PIMs
(p < 0.001) significantly more often than other medical wards. No relations
between number of PIMS and clinical outcomes were identified, but the concomitant
use of >=3 psychotropic/opioid drugs was associated with reduced hand-grip
strength (p <= 0.012). CONCLUSION: Hospitalization did not change polypharmacy or
PIMs. Drug treatment was more appropriate on the geriatric than other medical
wards. No clinical impact of PIMs was observed, but prescribers should be
vigilant about concomitant prescription of >=3 psychotropics/opioids. KEY POINTS:
Acute hospitalization of older patients with multimorbidity did not increase
polypharmacy or potentially inappropriate medications. Prescription of
anticholinergics and benzodiazepines was significantly reduced. The geriatric
ward reduced drug dosages and discontinued potentially inappropriate medications
more frequently than the other medical wards.
PMID- 26553226
TI - Network analysis of an in vitro model of androgen-resistance in prostate cancer.
AB - BACKGROUND: The development of androgen resistance is a major limitation to
androgen deprivation treatment in prostate cancer. We have developed an in vitro
model of androgen-resistance to characterise molecular changes occurring as
androgen resistance evolves over time. Our aim is to understand biological
network profiles of transcriptomic changes occurring during the transition to
androgen-resistance and to validate these changes between our in vitro model and
clinical datasets (paired samples before and after androgen-deprivation therapy
of patients with advanced prostate cancer). METHODS: We established an androgen
independent subline from LNCaP cells by prolonged exposure to androgen
deprivation. We examined phenotypic profiles and performed RNA-sequencing. The
reads generated were compared to human clinical samples and were analysed using
differential expression, pathway analysis and protein-protein interaction
networks. RESULTS: After 24 weeks of androgen-deprivation, LNCaP cells had
increased proliferative and invasive behaviour compared to parental LNCaP, and
its growth was no longer responsive to androgen. We identified key genes and
pathways that overlap between our cell line and clinical RNA sequencing datasets
and analysed the overlapping protein-protein interaction network that shared the
same pattern of behaviour in both datasets. Mechanisms bypassing androgen
receptor signalling pathways are significantly enriched. Several steroid hormone
receptors are differentially expressed in both datasets. In particular, the
progesterone receptor is significantly differentially expressed and is part of
the interaction network disrupted in both datasets. Other signalling pathways
commonly altered in prostate cancer, MAPK and PI3K-Akt pathways, are
significantly enriched in both datasets. CONCLUSIONS: The overlap between the
human and cell-line differential expression profiles and protein networks was
statistically significant showing that the cell-line model reproduces molecular
patterns observed in clinical castrate resistant prostate cancer samples, making
this cell line a useful tool in understanding castrate resistant prostate cancer.
Pathway analysis revealed similar patterns of enriched pathways from
differentially expressed genes of both human clinical and cell line datasets. Our
analysis revealed several potential mechanisms and network interactions,
including cooperative behaviours of other nuclear receptors, in particular the
subfamily of steroid hormone receptors such as PGR and alteration to gene
expression in both the MAPK and PI3K-Akt signalling pathways.
PMID- 26553228
TI - Late infantile metachromatic leukodystrophy: Clinical manifestations of five
Taiwanese patients and Genetic features in Asia.
AB - BACKGROUND: This study was conducted to describe the clinical and genetic
features of patients with late infantile metachromatic leukodystrophy. METHODS:
Clinical and genetic manifestations of five Taiwanese patients with late
infantile metachromatic leukodystrophy from January 2003 to April 2014 were
reviewed. The genetic features of such patients reported in Asian countries
during a period of 20 years were also analyzed. RESULTS: The median age at
disease onset was 1 year and 3 months with the first clinical symptom being gait
disturbance. All five patients became bed-ridden at a median age of 2 years and 5
months. Nerve conduction velocity revealed demyelinating polyneuropathy and brain
MRI disclosed tigroid and leopard skin pattern of dysmyelination in all 5
patients. All patients had decreased ARSA activities in leukocytes accounting for
15.88% to 30.75% of controls. Five novel mutations, p.A316D, p.G303R, p.Q176X,
p.R293X, and c.749 insGCGGGCCA, were identified in our case series. Eighteen
patients, including our 5 patients, were reported in Asian countries. A total of
22 different disease-causing alleles were found, in which p.W320X was identified
in Taiwan and China, and p.G101V was found in Taiwan and Korea. CONCLUSIONS:
Patients with late infantile metachromatic leukodystrophy exhibited a rapid and
devastating clinical course. The pattern of dysmyelination on brain MRI together
with peripheral demyelination polyneuropathy indicates that evaluation of ARSA
activity in leukocytes is warranted. A wide diversity of ARSA gene mutations was
noted in Asia.
PMID- 26553227
TI - Early astrocytosis in autosomal dominant Alzheimer's disease measured in vivo by
multi-tracer positron emission tomography.
AB - Studying autosomal dominant Alzheimer's disease (ADAD), caused by gene mutations
yielding nearly complete penetrance and a distinct age of symptom onset, allows
investigation of presymptomatic pathological processes that can identify a
therapeutic window for disease-modifying therapies. Astrocyte activation may
occur in presymptomatic Alzheimer's disease (AD) because reactive astrocytes
surround beta-amyloid (Abeta) plaques in autopsy brain tissue. Positron emission
tomography was performed to investigate fibrillar Abeta, astrocytosis and
cerebral glucose metabolism with the radiotracers (11)C-Pittsburgh compound-B
(PIB), (11)C-deuterium-L-deprenyl (DED) and (18)F-fluorodeoxyglucose (FDG)
respectively in presymptomatic and symptomatic ADAD participants (n = 21),
patients with mild cognitive impairment (n = 11) and sporadic AD (n = 7).
Multivariate analysis using the combined data from all radiotracers clearly
separated the different groups along the first and second principal components
according to increased PIB retention/decreased FDG uptake (component 1) and
increased DED binding (component 2). Presymptomatic ADAD mutation carriers showed
significantly higher PIB retention than non-carriers in all brain regions except
the hippocampus. DED binding was highest in presymptomatic ADAD mutation
carriers. This suggests that non-fibrillar Abeta or early stage plaque depostion
might interact with inflammatory responses indicating astrocytosis as an early
contributory driving force in AD pathology. The novelty of this finding will be
investigated in longitudinal follow-up studies.
PMID- 26553229
TI - Repeated Blood Pressure Measurements in Childhood in Prediction of Hypertension
in Adulthood.
AB - Hypertension may be predicted from childhood risk factors. Repeated observations
of abnormal blood pressure in childhood may enhance prediction of hypertension
and subclinical atherosclerosis in adulthood compared with a single observation.
Participants (1927, 54% women) from the Cardiovascular Risk in Young Finns Study
had systolic and diastolic blood pressure measurements performed when aged 3 to
24 years. Childhood/youth abnormal blood pressure was defined as above 90th or
95th percentile. After a 21- to 31-year follow-up, at the age of 30 to 45 years,
hypertension (>140/90 mm Hg or antihypertensive medication) prevalence was found
to be 19%. Carotid intima-media thickness was examined, and high-risk intima
media was defined as intima-media thickness >90th percentile or carotid plaques.
Prediction of adulthood hypertension and high-risk intima-media was compared
between one observation of abnormal blood pressure in childhood/youth and
multiple observations by improved Pearson correlation coefficients and area under
the receiver operating curve. When compared with a single measurement, 2
childhood/youth observations improved the correlation for adult systolic (r=0.44
versus 0.35, P<0.001) and diastolic (r=0.35 versus 0.17, P<0.001) blood pressure.
In addition, 2 abnormal childhood/youth blood pressure observations increased the
prediction of hypertension in adulthood (0.63 for 2 versus 0.60 for 1
observation, P=0.003). When compared with 2 measurements, third observation did
not provide any significant improvement for correlation or prediction (P always
>0.05). A higher number of childhood/youth observations of abnormal blood
pressure did not enhance prediction of adult high-risk intima-media thickness.
Compared with a single measurement, the prediction of adult hypertension was
enhanced by 2 observations of abnormal blood pressure in childhood/youth.
PMID- 26553230
TI - Nox and Inflammation in the Vascular Adventitia.
PMID- 26553231
TI - Deoxycorticosterone Acetate/Salt-Induced Cardiac But Not Renal Injury Is Mediated
By Endothelial Mineralocorticoid Receptors Independently From Blood Pressure.
AB - Chronic kidney disease has a tremendously increasing prevalence and requires
novel therapeutic approaches. Mineralocorticoid receptor (MR) antagonists have
proven highly beneficial in the therapy of cardiac disease. The cellular and
molecular events leading to cardiac inflammation and remodeling are proposed to
be similar to those mediating renal injury. Thus, this study was designed to
evaluate and directly compare the effect of MR deletion in endothelial cells on
cardiac and renal injury in a model of deoxycorticosterone acetate-induced
hypertension. Endothelial MR deletion ameliorated deoxycorticosterone
acetate/salt-induced cardiac remodeling. This was associated with a reduced
expression of the vascular cell adhesion molecule Vcam1 in MR-deficient cardiac
endothelial cells. Ambulatory blood pressure telemetry revealed that the
protective effect of MR deletion was independent from blood pressure. Similar to
the heart, deoxycorticosterone acetate/salt-induced severe renal injury,
including inflammation, fibrosis, glomerular injury, and proteinuria. However, no
differences in renal injury were observed between genotypes. In conclusion, MR
deletion from endothelial cells ameliorated deoxycorticosterone acetate/salt
induced cardiac inflammation and remodeling independently from alterations in
blood pressure but it did not affect renal injury. These findings suggest that
the anti-inflammatory mechanism mediating organ protection after endothelial cell
MR deletion is specific for the heart versus the kidney.
PMID- 26553232
TI - SPRINT, or False Start, Toward a Lower Universal Treated Blood Pressure Target in
Hypertension.
PMID- 26553233
TI - J Curve and Cuff Artefact, and Diagnostic Inertia in Resistant Hypertension.
PMID- 26553235
TI - SPRINT: What Remains Unanswered and Where Do We Go From Here?
PMID- 26553234
TI - SPRINT Trial Results: Latest News in Hypertension Management.
PMID- 26553237
TI - High level of GHR nuclear translocation in skeletal muscle of a hyperplasic
transgenic zebrafish.
AB - It has been reported that nuclear translocation of growth hormone receptor (GHR)
may directly activate cell proliferation in mammals and birds. However, this
phenomenon has not yet been described in fish. Recently, we have developed a
transgenic zebrafish that overexpresses GHR in a muscle-specific manner.
Considering that this transgenic model exhibits hyperplasic muscle growth, the
present work aims at verifying the relationship between GHR nuclear translocation
and muscle cell proliferation. This relationship was evaluated by the
phosphorylation state of the proliferative MEK/ERK pathway, expression of nuclear
import-related genes, immunostaining of phospho-histone H3 (PH3) as a
proliferation marker, and nuclear GHR localization. The results showed a
significant decrease in the phosphorylation state of ERK1/2 proteins in
transgenics. Moreover, there was an increase in expression of three out of four
importin genes analyzed parallel to a large flow of GHR displacement toward and
into the nucleus of transgenic muscle cells. Also, transgenics presented a marked
increase in PH3 staining, which indicates cell proliferation. These findings, as
far as we know, are the first report suggesting a proliferative action of GHR in
fish as a consequence of its increased nuclear translocation. Thus, it appears
that the nuclear migration of cytokine receptors is a common event among
different taxonomic groups. In addition, the results presented here highlight the
possibility that these membrane proteins may be involved more directly than
previously thought in the control of genes related to cell growth and
proliferation.
PMID- 26553239
TI - Responsibility deal for alcohol has failed, says report.
PMID- 26553238
TI - Acceptability of Novel Small-Quantity Lipid-Based Nutrient Supplements for
Complementary Feeding in a Peri-Urban South African Community.
AB - BACKGROUND: Small-quantity lipid-based nutritional supplements (SQ-LNS) may
potentially be used for home fortification in poor settings, where low nutrient
dense complementary foods are commonly used for infant feeding. However, they
need to be acceptable to succeed. OBJECTIVE: This study assessed the
acceptability of 2 novel, SQ-LNS (A and B) for supplementing complementary foods
among infants aged 6 to 12 months in a peri-urban South African community.
METHODS: Both supplements were soy-based pastes and contained micronutrients and
essential fatty acids. In addition, supplement B contained docosahexaenoic acid,
arachidonic acid, phytase and l-lysine. Mother-infant pairs were enrolled in a 2
part trial. Part 1 (n = 16) was a test-feeding trial with a crossover randomized
design, and a 5-point hedonic scale was used for sensory evaluation (disagree =
1, agree = 5). Part 2 (n = 38) was a 2-week, home-use trial followed by focus
group discussions. RESULTS: In part 1, more than 70% of mothers reported a score
>=4 on sensory attributes for both SQ-LNSs indicating that both supplements were
well perceived. In part 2, the mean reported consumption over the 2-week period
was 65.3% +/- 34.2% and 62.0% +/- 31.3% of the 20 g daily portion for supplements
A and B, respectively. Focus group discussions confirmed a positive attitude
toward the supplements in the study population. CONCLUSION: This study showed
acceptance of both SQ-LNSs in terms of sensory characteristics as well as in
terms of practicality for home use.
PMID- 26553236
TI - Achieved Blood Pressure and Outcomes in the Secondary Prevention of Small
Subcortical Strokes Trial.
AB - Studies suggest a J-shaped association between blood pressure and cardiovascular
events in the setting of intensive systolic blood pressure control; whether there
is a similar association with stroke remains less well established. The Secondary
Prevention of Small Subcortical Strokes was a randomized trial to evaluate higher
(130-149 mm Hg) versus lower (<130 mm Hg) systolic blood pressure targets in
participants with recent lacunar infarcts. We evaluated the association of mean
achieved blood pressure, 6 months after randomization, and recurrent stroke,
major vascular events, and all-cause mortality. After a mean follow up of 3.7
years, there was a J-shaped association between achieved blood pressure and
outcomes; the lowest risk was at ~124 and 67 mm Hg systolic and diastolic blood
pressure, respectively. For example, above a systolic blood pressure of 124 mm
Hg, 1 standard deviation higher (11.1 mm Hg) was associated with increased
mortality (adjusted hazard ratio: 1.9; 95% confidence interval: 1.4, 2.7),
whereas below this level, this relationship was inverted (0.29; 0.10, 0.79),
P<0.001 for interaction. Above a diastolic blood pressure of 67 mm Hg, a 1
standard deviation higher (8.2 mm Hg) was associated with an increased risk of
stroke (2.2; 1.4, 3.6), whereas below this level, the association was in the
opposite direction (0.34; 0.13, 0.89), P=0.02 for interaction. The lowest risk of
all events occurred at a nadir of ~120 to 128 mm Hg systolic blood pressure and
65 to 70 mm Hg diastolic blood pressure. Future studies should evaluate the
impact of excessive blood pressure reduction, especially in older populations
with preexisting vascular disease. CLINICAL TRIAL REGISTRATION: URL:
http://www.clinicaltrials.gov. Unique identifier: NCT00059306.
PMID- 26553240
TI - Long-range tactile masking occurs in the postural body schema.
AB - Long-range tactile masking has been reported between mirror symmetric body
locations. This suggests a general principle of contralateral inhibition between
corresponding points on each side of the body that may serve to enhance
distinguishing touches on the two halves of the body. Do such effects occur
before or after posture is added to the body schema? Here, we address this
question by exploring the effect of arm position on long-range tactile masking.
The influence of arm position was investigated using different positions of both
the test and masking arms. Tactile sensitivity was measured on one forearm, while
vibrotactile-masking stimulation was applied to the opposite arm or to a control
site on the shoulder. No difference was found in sensitivity when test arm
position was varied. Physical contact between the arms significantly increased
the effectiveness of a masking stimulus applied to the other arm. Long-range
masking between the arms was strongest when the arms were held parallel to each
other and was abolished if the position of either the test arm or the masking arm
was moved from this position. Modulation of the effectiveness of masking by the
position of both the test and masking arms suggests that these effects occur
after posture information is added to the body's representation in the brain.
PMID- 26553242
TI - Competency-based education is beneficial for professional development.
PMID- 26553241
TI - The Munich-Evaluation-of-Mentoring-Questionnaire (MEMeQ)--a novel instrument for
evaluating proteges' satisfaction with mentoring relationships in medical
education.
AB - BACKGROUND: Despite the widespread recognition of the importance of mentoring in
medical education, valid and reliable instruments for evaluating the relationship
of mentors and proteges are lacking. The aim of this study was to develop a
feasible instrument to measure the satisfaction with mentoring relationships.
METHODS: Based on two existing questionnaires, the authors developed an
instrument to evaluate the weighted satisfaction of mentoring relationships,
emphasizing the proteges' individual expectations and needs. Proteges first
define individual areas of interest in their mentoring relationship, then assign
relative levels of personal importance to them and finally rate their individual
level of satisfaction with their mentors' support in each area of interest. In
order to evaluate psychometric properties as well as acceptance and feasibility
the investigators conducted a multi-method-study. RESULTS: 134 proteges were
included in the study. The instrument was neither perceived as distressing nor
time-consuming. The two scores of the questionnaire correlated closely with the
overall satisfaction regarding mentoring relationships (OSM, Rho: 0.66, p <.001
and Rho: 0.53, p < .001). CONCLUSIONS: The authors propose MEMeQ as a reliable,
valid and flexible instrument for measuring the weighted satisfaction of proteges
with their individual mentoring relationship in medical education. Further
research is needed to evaluate the generalizability of MEMeQ across other
institutions and mentoring programs to add to its validity.
PMID- 26553243
TI - Competence-based education misses the essence of the medical profession.
PMID- 26553244
TI - A reappraisal of Cerebavis cenomanica (Aves, Ornithurae), from Melovatka, Russia.
AB - The evolution of the avian brain is of crucial importance to studies of the
transition from non-avian dinosaurs to modern birds, but very few avian fossils
provide information on brain morphological development during the Mesozoic. An
isolated specimen from the Cenomanian of Melovatka in Russia was described by
Kurochkin and others as a fossilized brain, designated the holotype of Cerebavis
cenomanica Kurochkin and Saveliev and tentatively referred to Enantiornithes. We
have previously highlighted that this specimen is an incomplete skull, rendering
the diagnostic characters invalid and Cerebavis cenomanica a nomen dubium. We
provide here a revised diagnosis of Cerebavis cenomanica based on osteological
characters, and a reconstruction of the endocranial morphology (= brain shape)
based on MUCT investigation of the braincase. Absence of temporal fenestrae
indicates an ornithurine affinity for Cerebavis. The brain of this taxon was
clearly closer to that of modern birds than to Archaeopteryx and does not
represent a divergent evolutionary pathway as originally concluded by Kurochkin
and others. No telencephalic wulst is present, suggesting that this advanced
avian neurological feature was not recognizably developed 93 million years ago.
PMID- 26553245
TI - Transport properties of track-etched membranes having variable effective pore
lengths.
AB - The transport rate of molecules through polymeric membranes is normally limited
because of their micrometer-scale thickness which restricts their suitability for
more practical application. To study the effect of effective pore length on the
transport behavior, polymer membranes containing cylindrical and asymmetric
shaped nanopores were prepared through a two-step ion track-etching technique.
Permeation experiments were performed separately to investigate the transport
properties (molecular flux and selectivity) of these track-etched membranes. The
permeation data shows that the molecular flux across membranes containing
asymmetric nanopores is higher compared to those having cylindrical pores. On the
other hand, the cylindrical pore membranes exhibit higher selectivity than
asymmetric pores for the permeation of charged molecules across the membrane.
Current-voltage (I-V) measurements of single-pore membranes further verify that
asymmetric pores exhibit lower resistance for the flow of ions and therefore show
higher currents than cylindrical pores. Moreover, unmodified and
polyethyleneimine (PEI) modified asymmetric-shaped pore membranes were
successfully used for the separation of cationic and anionic analyte molecules
from their mixture, respectively. In this study, two distinct effects (pore
geometry and pore density, i.e. number of pores cm(-2)), which mainly influence
membrane selectivity and molecular transport rates, were thoroughly investigated
in order to optimize the membrane performance. In this context, we believe that
membranes with high molecular transport rates could readily find their
application in molecular separation and controlled drug delivery processes.
PMID- 26553246
TI - Evolution of solidification texture during additive manufacturing.
AB - Striking differences in the solidification textures of a nickel based alloy owing
to changes in laser scanning pattern during additive manufacturing are examined
based on theory and experimental data. Understanding and controlling texture are
important because it affects mechanical and chemical properties. Solidification
texture depends on the local heat flow directions and competitive grain growth in
one of the six <100> preferred growth directions in face centered cubic alloys.
Therefore, the heat flow directions are examined for various laser beam scanning
patterns based on numerical modeling of heat transfer and fluid flow in three
dimensions. Here we show that numerical modeling can not only provide a deeper
understanding of the solidification growth patterns during the additive
manufacturing, it also serves as a basis for customizing solidification textures
which are important for properties and performance of components.
PMID- 26553247
TI - Hospital care and drug costs from five years before until two years after the
diagnosis of Alzheimer's disease in a Finnish nationwide cohort.
AB - AIMS: The aim of our study was to investigate costs related to hospital care and
drugs utilizing register-based data from five years before until two years after
the diagnosis of Alzheimer's disease (AD) in a nationwide cohort. METHODS:
Finnish nationwide MEDALZ cohort includes all incident cases with clinically
verified diagnosis of AD diagnosed during 2005-2011. The study population
included 70,718 persons with AD and age-, gender- and region-of-residence-matched
control persons. Data of medical care costs was derived from the prescription
register and hospital discharge register. Costs of hospital care were calculated
according to Finnish healthcare system unit costs. Costs in six month periods
before and after the diagnosis per person-years were analyzed. RESULTS: Persons
with AD had higher mean total medical care costs per person-years starting from
0.5-1 years before the diagnosis of AD and remained at a higher level until two
years after the diagnosis. The difference in mean total medical care costs was at
its highest at six months after the diagnosis (cost difference ?5088). After
that, persons with AD had costs that reached approximately double those without
AD. Hospital care costs constituted the major share (78-84%) of the total medical
care costs in both persons with and without AD, whereas drug costs had a minor
role. Increase in drug costs was caused by anti-dementia drugs. CONCLUSIONS:
Costs of hospital stays constituted the most significant portion of medical care
costs for persons with AD. Further research should be focused on the causes of
hospitalization periods.
PMID- 26553248
TI - Ethnic differences in asthma treatment among Swedish adolescents: A multilevel
analysis of individual heterogeneity.
AB - AIMS: Adolescents with immigrant or ethnic minority background suffering from
asthma receive on average less appropriate anti-asthmatic medication (AAM) than
the majority population. However, those findings are based on analyses of
differences between group averages which prevents our understanding of individual
heterogeneity around the averages. Taking into account individual socioeconomic
factors and medical needs, we performed multilevel analysis in order to evaluate
if maternal country of birth (MCOB) accurately identifies adolescents with
inappropriate AAM use. METHODS: Using the Swedish Medical Birth Register, we
identified all singleton children born between 1988 and 1991 who were residing in
the country until they turned 17 and had complete information on the study
variables. We applied a two-level multilevel logistic regression analysis with 62
MCOBs at the second and 755,894 children at the first level. Adjusting for
socioeconomic and medical factors using a risk score, and including the
socioeconomic characteristics of the MCOBs, we obtained both measures of
association (odds ratio (OR)) and measures of variance (Intra-class correlation
(ICC)). RESULTS: Comparing with adolescents born from Swedish mothers, all other
children had a lower AAM use, especially those whose mothers were from upper
middle- and low-income countries (OR = 0.47, 95% confidence interval: 0.35-0.61).
However, the ICC was low (i.e., ICC ~ 3%) for both preventive and relief AAM.
CONCLUSIONS: MCOB was associated to adolescent use of AAM. However, the small ICC
indicates that MCOB is an inaccurate categorization for identifying inappropriate
use of AAM among Swedish adolescents.
PMID- 26553249
TI - Adverse lifestyle and health-related quality of life: gender differences in
patients with and without chronic conditions.
AB - OBJECTIVES: The aim was to investigate the relationship between the main
lifestyle-related factors and health-related quality of life (HRQoL) in a sample
of patients with and without chronic conditions (CCs) with respect to the gender
differences in both groups. METHODS: A cross-sectional study was conducted on
1061 patients (of which 308 had no CCs and 753 of those had one or more CCs)
recruited at primary health care centres and the Internal Medicine Clinic at
Tartu University Hospital in Estonia. Data were collected during 2012-2014. The
patient's age, self-reported smoking status, alcohol consumption (assessed by
Alcohol Use Disorders Identification Test) and body mass index were used as
independent variables to predict the physical component scores (PCS) and mental
component scores (MCS) of HRQoL (assessed by SF-36). RESULTS: Smoking had a
negative association with both physical and mental components of HRQoL only in
women with CCs. Further, the PCS of chronically ill women was negatively
associated with the higher body mass index. Harmful drinking had a negative
association with the HRQoL in all patient groups, except with the PCS in women
with CC. Light alcohol consumption without symptoms of harmful use or dependency
had a positive association with the physical and mental HRQoL in all patient
groups, except with the MCS in women without CCs. CONCLUSION: Adverse lifestyle
had the most expressed association with HRQoL in women with CCs. Light alcohol
consumption had a positive association, but harmful use of alcohol had an inverse
association with HRQoL irrespective of patients' gender or health status.
PMID- 26553250
TI - Psychological complaints among children in joint physical custody and other
family types: Considering parental factors.
AB - AIMS: Increasing proportions of Scandinavian children and children in other
Western countries live in joint physical custody, moving between parents' homes
when parents live apart. Children and parents in non-intact families are at risk
of worse mental health. The potential influence of parental ill-health on child
well-being in the context of differing living arrangements has not been studied
thoroughly. This study investigates the psychological complaints of children in
joint physical custody in comparison to children in sole parental care and
nuclear families, while controlling for socioeconomic differences and parental
ill-health. METHODS: Data were obtained from Statistics Sweden's yearly Survey of
Living Conditions 2007-2011 and child supplements with children 10-18 years,
living in households of adult participants. Children in joint physical custody
(n=391) were compared with children in sole parental care (n=654) and children in
nuclear families (n=3,639), using a scale of psychological complaints as the
outcome measure. RESULTS: Multiple regression modelling showed that children in
joint physical custody did not report higher levels of psychological complaints
than those in nuclear families, while children in sole parental care reported
elevated levels of complaints compared with those in joint physical custody.
Adding socioeconomic variables and parental ill-health only marginally attenuated
the coefficients for the living arrangement groups. Low parental education and
parental worry/anxiety were however associated with higher levels of
psychological complaints. CONCLUSIONS: Psychological complaints were lower among
adolescents in joint physical custody than in adolescents in sole parental care.
The difference was not explained by parental ill-health or socioeconomic
variables.
PMID- 26553252
TI - Heterogeneous Diastereoselective Catalysis--A Powerful Strategy Toward C(15)
Stereoselectivity from PGF2alpha Analogues Structure.
AB - A major trend in fine chemicals and pharmaceuticals is the synthesis of molecules
with increased complexity. This trend translates the aim of organic syntheses to
conditions in which high degrees of chemo-, regio- and stereoselectivity can be
provided. In this context, the chemoselective hydrogenation of one functional
group in the presence of other reactive groups is a frequently encountered
problem in fine chemicals manufacture. This study provides a critical analysis
including elegant examples of reactions in which high chemo- and
diastereoselectivities were achieved in the hydrogenation of a C=O group in the
presence of C=C double bond. A particular emphasis is addressed to the
stereoselective C(15) synthesis from Cloprostenol--a PGF2alpha structural
analogue.
PMID- 26553251
TI - Expression and Clinical Role of Cdc5L as a Novel Cell Cycle Protein in
Hepatocellular Carcinoma.
AB - BACKGROUND: Cell division cycle 5-like (Cdc5L), as a pre-mRNA splicing factor, is
a regulator of mitotic progression. Previous study found that deletion of
endogenous Cdc5L decreases the cell viability via dramatic mitotic arrest, while
the role of Cdc5L in cancer biology remains under debate. AIMS: To investigate
the involvement of Cdc5L in the progression of hepatocellular carcinoma (HCC).
METHODS: In this study, the expression of Cdc5L was evaluated by Western blot in
8 paired fresh HCC tissues and immunohistochemistry on 116 paraffin-embedded
slices. We treated HCC cells by nocodazole to analyze the role of Cdc5L in
mitotic progress. To determine whether Cdc5L could regulate the proliferation of
HCC cells, we increased endogenous Cdc5L and analyzed the proliferation of HCC
cells using Western blot, CCK8, flow cytometry assays, and colony formation
analyses. Furthermore, Cdc5L-siRNA oligos were used to confirm that Cdc5L plays
an essential role in HCC development. RESULTS: Cdc5L was highly expressed in HCC
and significantly associated with multiple clinicopathological factors, including
AJCC stage, tumor size, and Ki-67. Besides, univariate and multivariate survival
analyses demonstrated that high Cdc5L expression was an independent prognostic
factor for HCC patients' poor survival. Overexpression of Cdc5L favors cell cycle
progress of HCC cells, while downregulation of Cdc5L results in cell cycle arrest
at G2/M phase and reduced cell proliferation of HCC cells. CONCLUSIONS: Our
findings suggested that Cdc5L could play an important role in the tumorigenesis
of HCC and thus be a potential therapeutical target to prevent HCC progression.
PMID- 26553253
TI - Stereoselective Modulation of P-Glycoprotein by Chiral Small Molecules.
AB - Inhibition of drug efflux pumps such as P-glycoprotein (P-gp) is an approach
toward combating multidrug resistance, which is a significant hurdle in current
cancer treatments. To address this, N-substituted aryloxymethyl pyrrolidines were
designed and synthesized in their homochiral forms in order to investigate the
stereochemical requirements for the binding site of P-gp. Our study provides
evidence that the chiral property of molecules could be a strategy for improving
the capacity for interacting with P-gp, as the most active compounds of the
series stereoselectively modulated this efflux pump. The naphthalene-1-yl
analogue (R)-2-[(2,3-dichlorophenoxy)methyl]-1-(naphthalen-1
ylmethyl)pyrrolidine) [(R)-7 a] emerged foremost for its potency and
stereoselectivity toward P-gp, with the S enantiomer being nearly inactive. The
modulation of P-gp by (R)-7 a involved consumption of ATP, thus demonstrating
that the compound behaves as a P-gp substrate.
PMID- 26553254
TI - Wear properties of dental ceramics and porcelains compared with human enamel.
AB - STATEMENT OF PROBLEM: Contemporary pressable and computer-aided
design/manufacturing (CAD/CAM) ceramics exhibit good mechanical and esthetic
properties. Their wear resistance compared with human enamel and traditional gold
based alloys needs to be better investigated. PURPOSE: The purpose of this in
vitro study was to compare the 2-body wear resistance of human enamel, gold
alloy, and 5 different dental ceramics, including a recently introduced zirconia
reinforced lithium silicate ceramic (Celtra Duo). MATERIAL AND METHODS:
Cylindrical specimens were fabricated from a Type III gold alloy (Aurocast8), 2
hot pressed ceramics (Imagine PressX, IPS e.max Press), 2 CAD/CAM ceramics (IPS
e.max CAD, Celtra Duo), and a CAD/CAM feldspathic porcelain (Vitablocs Mark II)
(n=10). Celtra Duo was tested both soon after grinding and after a subsequent
glaze firing cycle. Ten flat human enamel specimens were used as the control
group. All specimens were subjected to a 2-body wear test in a dual axis
mastication simulator for 120000 loading cycles against yttria stabilized
tetragonal zirconia polycrystal cusps. The wear resistance was analyzed by
measuring the vertical substance loss (mm) and the volume loss (mm(3)).
Antagonist wear (mm) was also recorded. Data were statistically analyzed with 1
way ANOVA tests (alpha=.05). RESULTS: The wear depth (0.223 mm) of gold alloy was
the closest to that of human enamel (0.217 mm), with no significant difference
(P>.05). The greatest wear was recorded on the milled Celtra Duo (wear
depth=0.320 mm), which appeared significantly less wear resistant than gold alloy
or human enamel (P<.05). CONCLUSIONS: The milled and not glazed Celtra Duo showed
a small but significantly increased wear depth compared with Aurocast8 and human
enamel. Wear depth and volumetric loss for the glaze-fired Celtra Duo and for the
other tested ceramics did not statistically differ in comparison with the human
enamel.
PMID- 26553255
TI - In-office technique for selectively etching titanium abutments to improve bonding
for interim implant prostheses.
AB - A technique is described for increasing the surface area of a titanium abutment
with hydrofluoric acid etching. This provides mechanical retention for acrylic
resin and composite resins and can be easily and rapidly accomplished in both the
laboratory and clinic.
PMID- 26553256
TI - Influence of denture adhesives on occlusion and disocclusion times.
AB - STATEMENT OF PROBLEM: The effectiveness of adhesives in enhancing several
functional aspects of complete denture performance has been well established. The
direct influence of adhesives on occlusal contact simultaneity has not yet been
investigated. PURPOSE: The purpose of this crossover clinical trial was to
evaluate quantitatively the influence of adhesives on occlusal balance by
recording timed occlusal contacts; namely occlusion time (OT) and disocclusion
time during right (DT-right) and left (DT-left) excursions by using computerized
occlusal analysis. MATERIAL AND METHODS: A crossover clinical trial was adopted.
Assessments were carried out while participants (n=49) wore their dentures first
without then with adhesives. Computerized occlusal analysis using the T-Scan III
system was conducted to perform baseline computer-guided occlusal adjustment for
conventionally fabricated dentures. Retention and stability assessment using the
modified Kapur index and recording of OT and DT-right and DT-left values using
the T-Scan III were subsequently carried out for all dentures, first without
adhesives and then after application of adhesive. All T-Scan procedures were
carried out by the same clinician. Wilcoxon signed-rank test was used to analyze
the Kapur index scores and occlusal parameters (alpha=.05). RESULTS: Stability
and retention of conventional dentures ranged initially from good to very good.
However, adhesive application resulted in significant improvement (P<.001) in
stability and retention and a significant decrease in duration of all occlusal
parameters (OT [P=.003], DT-right [P=.003], and DT-left [P=.008]). CONCLUSIONS:
Adhesives significantly decreased OT and DT durations in initially well-fitting
complete dentures with fairly well balanced occlusion, and further enhanced
denture stability and occlusal contact simultaneity.
PMID- 26553257
TI - The impact of allergic rhinitis on the management of asthma in a working
population.
AB - BACKGROUND: Currently, little data is available about the management of asthma in
the working population. The aim of this study was to describe asthma control and
severity among workers according to current or previous allergic rhinitis
comorbidity. METHODS: A network of occupational physicians participated in this
pilot study on a voluntary basis. They included a random sample of salaried
workers during their systematic occupational medical check-up. All subjects
completed a self-administered questionnaire based on the European Community
Respiratory Health Survey screening questionnaire, and if they reported any
respiratory symptoms including allergic rhinitis, the physician filled in a
medical questionnaire. Current asthma control and severity were evaluated
according to 2006 Global Initiative for Asthma guidelines. RESULTS: A total of
110 occupational physicians from two French regions participated. Out of the 6906
employees screened, 3102 identified respiratory symptoms and completed the
medical questionnaire and performed spirometry. Overall, 374 were identified as
current asthmatics, including 271 (72.5%) with allergic rhinitis. Among current
asthmatics with current allergic rhinitis (n = 95), 68.8% had partially
controlled asthma or uncontrolled asthma, including 51.6% who received
insufficient anti-asthmatic treatment. Partly or no control asthma was not
associated with current rhinitis (OR = 1.4; 95% CI: 0.8-2.7). Current asthmatics
with current or previous allergic rhinitis had a significantly lower risk of
emergency department visits than current asthmatics without allergic rhinitis
(respectively 11.6, 17.1 and 29.1%; P = 0.002). CONCLUSIONS: Most current
asthmatics both with and without allergic rhinitis had uncontrolled asthma, with
inappropriate treatment. Future intervention strategies need to be developed for
effective control and prevention of asthma in the workplace.
PMID- 26553259
TI - Erratum to: The relationship of recombination rate, genome structure, and
patterns of molecular evolution across angiosperms.
PMID- 26553258
TI - Febrile seizures after 2009 influenza A (H1N1) vaccination and infection: a
nationwide registry-based study.
AB - BACKGROUND: During the 2009 influenza A (H1N1) pandemic, a monovalent pandemic
strain vaccine containing the oil-in-water adjuvant AS03 (Pandemrix(r)) was
offered to the Norwegian population. The coverage among children reached 54%. Our
aim was to estimate the risk of febrile seizure in children after exposure to
pandemic influenza vaccination or infection. METHODS: The study population
comprised 226,889 children born 2006-2009 resident in Norway per October 1st,
2009. Febrile seizure episodes were defined by emergency hospital admissions /
emergency outpatient hospital care with International Classification of Diseases,
Version 10, codes R56.0 or R56.8. The self-controlled case series method was
applied to estimate incidence rate ratios (IRRs) in pre-defined risk periods
compared to the background period. The total observation window was +/- 180 days
from exposure day. Among 113,068 vaccinated children, 656 (0.6%) had at least one
febrile seizure episode. RESULTS: The IRR of febrile seizures 1-3 days after
vaccination was 2.00 (95% confidence interval [CI]: 1.15-3.51). In the period 4-7
days after vaccination, no increased risk was observed. Among the 8172 children
diagnosed with pandemic influenza, 84 (1.0%) had at least one febrile seizure
episode. The IRR of febrile seizures on the same day as a diagnosis of influenza
was 116.70 (95% CI: 62.81-216.90). In the period 1-3 days after a diagnosis of
influenza, a tenfold increased risk was observed (IRR 10.12, 95% CI: 3.82 -
26.82). CONCLUSIONS: In this large population-based study with precise timing of
exposures and outcomes, we found a twofold increased risk of febrile seizures 1-3
days after pandemic influenza vaccination. However, we found that pandemic
influenza infection was associated with a much stronger increase in risk of
febrile seizures.
PMID- 26553260
TI - Clinical and laboratory indicators of polycystic ovary syndrome in Chinese Han
nationality with different Rotterdam criteria-based phenotypes.
AB - The aim of this study was to investigate the clinical, endocrinic and metabolic
indicators in polycystic ovary syndrome (PCOS) with different Rotterdam criteria
(RC)-based subtypes, thus to guide the treatments. Six hundred and forty-seven
PCOS cases were divided into four groups, with 60 cases set as the control group,
the clinical and endocrinic indicators of different subtypes were evaluated.
Group A was the most common and the most serious (63.2%), while group B was the
least (9%). The clinical signs, as well as the endocrinic and metabolic
characteristics, of the two groups were similar, but group A exhibited higher
androgen level and hirsutism score. The phenotypes of group C (15.6%) and group D
(12.9%) were mild, but compared with the control group, luteinizing hormone (LH)
and LH/follicle stimulating hormone (FSH) were significantly increased. Insulin
resistance in these four subtypes were positively correlated with apolipoprotein
B (ApoB)/apolipoprotein A1 (ApoA1), while only positively correlated with serum
total testosterone, and negatively correlated with LH/FSH in group A. RC-PCOS
typing could reflect the basic characteristics of the disease. Hyperandrogenism
was the main basis for distinguishing PCOS, although the non-hyperandrogenism
group could represent a relatively mild phenotype of PCOS, there might exist
different pathogenic pathways.
PMID- 26553262
TI - Linalool Affects the Antimicrobial Efficacy of Essential Oils.
AB - The high concentrations of essential oils are generally required to receive
microbial purity of the products (cosmetics, medicine). On the other hand, their
application due to the high concentration of essential oils may be limited by
changes in organoleptic and textural quality of the products, as well as they
cause irritation and allergies in users. Addition of linalool to essential oil
may significantly enhance its antimicrobial effectiveness and reduce their
concentrations in products, taking advantage of their synergistic and additive
effects. The aim of the study was to compare antimicrobial activity of essential
oil alone and in combination with linalool. The antimicrobial activity of the
essential oil of Thymus vulgaris, Juniperus communis, Pelargonium graveolens,
Citrus bergamia, Citrus grandis, Lavandula angustifolia, Cinnamomum zeylanicum,
Melaleuca alternifolia, Syzygium aromaticum, linalool and their combination was
investigated against bacteria and fungi using the disc diffusion method. The
addition of linalool to S. aromaticum oil in a synergistic manner enhanced its
antimicrobial efficacy against P. aeruginosa and A. brasiliensis. Moreover, the
additive interaction between this oil and linalool was observed against S.
aureus, E. coli and C. albicans. It was also found that linalool in an additive
manner increased the antimicrobial effectiveness of T. vulgaris oil against P.
aeruginosa. The antimicrobial properties of mixture of essential oils with their
active constituents may be used for creating new strategies to maintain
microbiological purity of products.
PMID- 26553261
TI - Liver X Receptor Agonist Modifies the DNA Methylation Profile of Synapse and
Neurogenesis-Related Genes in the Triple Transgenic Mouse Model of Alzheimer's
Disease.
AB - The liver X receptor agonist, GW3965, improves cognition in Alzheimer's disease
(AD) mouse models. Here, we determined if short-term GW3965 treatment induces
changes in the DNA methylation state of the hippocampus, which are associated
with cognitive improvement. Twenty-four-month-old triple-transgenic AD (3xTg-AD)
mice were treated with GW3965 (50 mg/kg/day for 6 days). DNA methylation state
was examined by modified bisulfite conversion and hybridization on Illumina
Infinium Methylation BeadChip 450 k arrays. The Morris water maze was used for
behavioral analysis. Our results show in addition to improvement in cognition
methylation changes in 39 of 13,715 interrogated probes in treated 3xTg-AD mice
compared with untreated 3xTg-AD mice. These changes in methylation probes include
29 gene loci. Importantly, changes in methylation status were mainly from synapse
related genes (SYP, SYN1, and DLG3) and neurogenesis-associated genes (HMGB3 and
RBBP7). Thus, our results indicate that liver X receptors (LXR) agonist treatment
induces rapid changes in DNA methylation, particularly in loci associated with
genes involved in neurogenesis and synaptic function. Our results suggest a new
potential mechanism to explain the beneficial effect of GW3965.
PMID- 26553263
TI - Development of a Real-Time Cell Analysing (RTCA) method as a fast and accurate
screen for the selection of chikungunya virus replication inhibitors.
AB - BACKGROUND: The xCELLigence real-time cell analysis (RTCA) system is an
established electronic cell sensor array. This system uses microelectronic
biosensor technology that is verified for real-time, label-free, dynamic and non
offensive monitoring of cellular features, including detection of viral
cytopathic effect (CPE). Screening viral replication inhibitors based on presence
of CPE has been applied for different viruses, including chikungunya virus
(CHIKV). However, most CPE-based methods, including MTT and MTS assays, do not
provide information on the initiation of CPE nor the changes in reaction rate of
the virus propagation over time. Therefore, in this study we developed an RTCA
method as an accurate and time-based screen for antiviral compounds against
CHIKV. METHODS: CHIKV-infected Vero cells were used as an in vitro model to
establish the suitability of the RTCA system as a quantitative analysis method
based on the induction of CPE. We also performed an MTS assay as a CPE-based
conventional method. Experimental assays were carried out to evaluate the optimal
seeding density of the Vero cells, cytotoxicity of the tested compounds,
titration of CHIKV and the antiviral activity of ribavirin, which has been
reported as an effective compound against CHIKV in vitro replication. RESULTS:
The optimal time point for viral inoculation was 18 h after seeding the cells. We
determined that the maximum non-toxic dose (MNTD) of ribavirin was 200 MUg/ml for
Vero cells. Regarding the dynamic monitoring of Vero cell properties during
antiviral assay, approximately 34 h post-infection, the normalised Cell Index
(CI) values of CHIKV-infected Vero cells started to decrease, while the vehicle
controls did not show any significant changes. We also successfully showed the
dose dependent manner of ribavirin as an approved in vitro inhibitor for CHIKV
through our RTCA experiment. CONCLUSION: RTCA technology could become the
prevailing tool in antiviral research due to its accurate output and the
opportunity to carry out quality control and technical optimisation.
PMID- 26553264
TI - Pancreaticojejunostomy Stricture After Pancreatoduodenectomy: Outcomes After
Operative Revision.
AB - INTRODUCTION: The natural history of radiographic strictures of the
pancreaticojejunostomy (PJ) after pancreatoduodenectomy (PD) is difficult to
characterize. The purpose of this study was to identify the indications for
operative revision of PJ strictures after PD for benign and malignant disease and
to evaluate its safety and clinical efficacy. METHODS: A retrospective review of
all patients undergoing operative revision of PJ strictures following PD at a
single academic institution over an 8-year period (2006-2014) was performed.
RESULTS: Twenty-seven patients underwent revision of a symptomatic
radiographically detectable PJ stricture. The median time from PD to PJ stricture
diagnosis was 46 months. The median increase in the main pancreatic duct diameter
between the time of PD and PJ revision was 2 mm. The overall morbidity after PJ
revision was 26 %. No postoperative mortality occurred. Twenty-one (78 %)
patients experienced resolution of symptoms without recurrent acute pancreatitis
after PJ revision during a median follow-up of 30 months. Durable symptom
resolution was reported among 60 % of patients with chronic pancreatitis.
CONCLUSIONS: Surgical revision of pancreaticojejunostomy strictures is
technically safe and clinically effective for selected patients who experience
recurrent acute pancreatitis after pancreatoduodenectomy for either benign or
malignant disease.
PMID- 26553266
TI - Giant Esophageal Lipoma.
PMID- 26553265
TI - National Trends in Utilization of Endoscopic Ultrasound for Gastric Cancer: a
SEER-Medicare Study.
AB - INTRODUCTION: Accurate preoperative staging is important for patients with
gastric cancer. This study identifies the rate of utilization of endoscopic
ultrasound (EUS) and its associated factors in Medicare patients with gastric
adenocarcinoma. METHODS: The linked Surveillance, Epidemiology, and End Results
(SEER)-Medicare claims database was queried from 1996 to 2009 for patients with
gastric cancer who underwent gastric resection. Analysis with univariate,
multivariate, and Cochran-Armitage trend tests were performed. RESULTS: In 5826
patients with gastric cancer with an average age of 76.9 +/- 6.62 years, 59.1%
had regionalized spread of cancer. EUS utilization increased significantly during
the study period from 2.6% to 22% (p < 0.0001). EUS patients were more likely to
be male, white, married, have higher education and income quartiles, and live in
large metropolitan areas compared to non-EUS patients (p < 0.0001). Even after
controlling for confounding factors, patients who underwent EUS were more likely
to have >15 lymph nodes examined (odds ratio (OR) 1.26, 95% confidence interval
(CI) 1.04-1.53) and have the administration of both pre- and postoperative
chemotherapy (OR 1.27, 95% CI 1.03-1.57). CONCLUSION: EUS is currently under
utilized but increasing. Patients who underwent EUS (12.9%) were more likely to
receive other NCCN-recommended care, including perioperative chemotherapy and
adequate nodal retrieval.
PMID- 26553267
TI - Metabolic Syndrome is Associated with Increased Postoperative Morbidity and
Hospital Resource Utilization in Patients Undergoing Elective Pancreatectomy.
AB - PURPOSE: In patients undergoing elective partial pancreatectomy, our aim was to
evaluate the effect of metabolic syndrome (MS) on postoperative mortality,
morbidity, and utilization of hospital resources. Our hypothesis was that MS is
associated with worse surgical outcomes after pancreatectomy. METHODS: Fifteen
thousand eight hundred thirty-one patients undergoing elective pancreatectomy
from 2005 to 2012 were identified in the Participant User File of the American
College of Surgeons National Surgical Quality Improvement Program (ACS-NSQIP).
Univariable and multivariable analyses were performed examining the association
of MS (defined as body mass index >=30 kg/m(2), hypertension requiring
medications, and diabetes requiring medications and/or insulin) and risk of 30
day mortality, morbidity, and utilization of hospital resources (risk of blood
transfusion in the first 72 h after pancreatectomy and prolonged hospital stay,
defined as >=13 days, which was the 75th percentile of this cohort).
Multivariable logistic regression models controlled for age, sex, race,
pancreatectomy type (distal versus proximal), smoking status, alcohol
consumption, functional status, dyspnea, cardiovascular disease, hematocrit, INR,
serum albumin, bilirubin, and creatinine. Stratified analyses were conducted by
type of pancreatectomy and indication for pancreatectomy (benign versus
malignant). RESULTS: On univariate analysis, 1070 (6.8%) patients had MS. MS was
associated with increased postoperative morbidity, major morbidity, surgical site
infection, septic shock, cardiac event, respiratory failure, pulmonary embolism,
blood transfusion, and prolonged duration of hospital stay (P < 0.05 for all
analyses). After controlling for potentially confounding variables, there was a
26% increased odds of postoperative morbidity (P < 0.001), 17% increased odds of
major morbidity (P = 0.034), 32% increased odds of surgical site infection (P <
0.001), 34% increased odds of respiratory failure (P = 0.023), 68% increased odds
of pulmonary embolism (P = 0.045), 26% increased odds of blood transfusion (P =
0.018), and 21% increased odds of prolonged hospital stay (P = 0.011) in patients
with MS compared to patients without MS. MS was not associated with 30-day
mortality after elective pancreatectomy (P = 0.465). When stratified by distal
versus proximal pancreatectomy and benign versus malignant disease, the effect of
MS on outcomes appears to be modified by type of pancreatectomy and indication
with poorer outcomes observed for distal pancreatectomies and benign indications
for resection. CONCLUSION: MS is an under-emphasized predictor of increased
postoperative morbidity and utilization of hospital resources in patients
undergoing elective pancreatectomy. The effect of MS on these postoperative
outcomes appears to be more pronounced for patients with benign rather than
malignant indications for pancreatectomy and in patients undergoing distal rather
than proximal pancreatectomy. These results may inform patient selection,
optimization of comorbidities prior to elective pancreatectomy, and strategies
for postoperative management.
PMID- 26553268
TI - Interpretation of Abdominal CT Findings in Patients Who Develop Acute on Chronic
Mesenteric Ischemia.
AB - BACKGROUND: We studied whether ischemia-specific computed tomography (CT)
findings are consistently detectable in patients who develop acute on chronic
mesenteric ischemia (AOCMI), whereas absent in chronic mesenteric ischemia (CMI).
METHODS: Consecutive patients with symptomatic angiography-verified
atherosclerotic obstruction of the superior mesenteric artery (SMA) were
categorized as AOCMI (n = 27) or CMI (n = 20). Three experienced radiologists
blindly evaluated the contrast-enhanced CTs for vascular and intestinal findings.
Kappa statistics was used to test interobserver agreement. RESULTS: Two observers
had substantial agreement (k = 0.66) that two thirds of AOCMI patients showed
ischemia-specific CT findings (decreased bowel wall enhancement, pneumatosis, or
thrombotic SMA clot); the third observer agreed only fairly regarding pneumatosis
and thrombosis (k = 0.3-0.4). All observers had substantial agreement (k = 0.65
0.71) that most patients with AOCMI had unspecific intestinal findings such as
mesenteric fat stranding in up to 96%, bowel lumen dilatation in 93%, and bowel
wall thickening in 70%, while only few patients with CMI had such findings (due
to chronic ischemic colitis) (P < 0.001). CONCLUSION: One third of AOCMI patients
presented without any ischemia-specific CT signs. However, any intestinal
abnormality in CT together with SMA obstruction should raise suspicion of
intestinal ischemia. Furthermore, clinicians need to be aware of the
interobserver variability in the CT interpretation.
PMID- 26553269
TI - Spanish Pacemaker Registry. Twelfth Official Report of the Spanish Society of
Cardiology Working Group on Cardiac Pacing (2014).
AB - INTRODUCTION AND OBJECTIVES: This report describes the results of the analysis of
pacemaker implant and replacement data submitted to the Spanish Pacemaker
Registry in 2014, with special reference to pacing mode selection. METHODS: The
report is based on the processing of information provided by the European
Pacemaker Patient Identification Card. RESULTS: Information was received from 117
hospitals, with a total of 12 358 cards, representing 34% of estimated activity.
Use of conventional generators and resynchronization devices was 784 and 64.4
units per million population, respectively. The mean age of patients receiving an
implant was 77.3 years. Men received 59% of implants and 56.4% of replacements.
Most patients receiving generator implants and replacements were in the age range
80 to 89 years. Most endocardial leads used were bipolar, and 84.2% had an active
fixation system. Pacing was in VVI/R mode despite being in sinus rhythm in 24.7%
of patients with sick sinus syndrome and 24% of those with atrioventricular
block. CONCLUSIONS: The use of pacemaker generators and resynchronization devices
per million population continued to increase. Most implanted leads had active
fixation and approximately 20% had magnetic resonance imaging protection. Age and
sex directly influenced pacing mode selection, which could have been improved in
more than 20% of cases.
PMID- 26553270
TI - Surface coating of siRNA-peptidomimetic nano-self-assemblies with anionic lipid
bilayers: enhanced gene silencing and reduced adverse effects in vitro.
AB - Cationic vectors have demonstrated the potential to facilitate intracellular
delivery of therapeutic oligonucleotides. However, enhanced transfection
efficiency is usually associated with adverse effects, which also proves to be a
challenge for vectors based on cationic peptides. In this study a series of
proteolytically stable palmitoylated alpha-peptide/beta-peptoid peptidomimetics
with a systematically varied number of repeating lysine and homoarginine residues
was shown to self-assemble with small interfering RNA (siRNA). The resulting well
defined nanocomplexes were coated with anionic lipids giving rise to net anionic
liposomes. These complexes and the corresponding liposomes were optimized towards
efficient gene silencing and low adverse effects. The optimal anionic liposomes
mediated a high silencing effect, which was comparable to that of the control
(cationic Lipofectamine 2000), and did not display any noticeable cytotoxicity
and immunogenicity in vitro. In contrast, the corresponding nanocomplexes
mediated a reduced silencing effect with a more narrow safety window. The surface
coating with anionic lipid bilayers led to partial decomplexation of the siRNA
peptidomimetic nanocomplex core of the liposomes, which facilitated siRNA
release. Additionally, the optimal anionic liposomes showed efficient
intracellular uptake and endosomal escape. Therefore, these findings suggest that
a more efficacious and safe formulation can be achieved by surface coating of the
siRNA-peptidomimetic nano-self-assemblies with anionic lipid bilayers.
PMID- 26553271
TI - The effect of orthographic and emotional neighbourhood in a colour categorization
task.
AB - This study investigated whether and how the strength of reading interference in a
colour categorization task can be influenced by lexical competition and the
emotional characteristics of words not directly presented. Previous findings
showed inhibitory effects of high-frequency orthographic and emotional
neighbourhood in the lexical decision task. Here, we examined the effect of
orthographic neighbour frequency according to the emotional valence of the higher
frequency neighbour in an emotional orthographic Stroop paradigm. Stimuli were
coloured neutral words that had either (1) no orthographic neighbour (e.g. PISTIL
[pistil]), (2) one neutral higher-frequency neighbour (e.g. tirade
[tirade]/TIRAGE [draw]) or (3) one negative higher-frequency neighbour (e.g.
idiome [idiom]/IDIOTE [idiotic]). The results showed that colour categorization
times were longer for words with no orthographic neighbour than for words with
one neutral neighbour of higher frequency and even longer when the higher
frequency neighbour was neutral rather than negative. Thus, it appears not only
that the orthographic neighbourhood of the coloured stimulus words intervenes in
a colour categorization task, but also that the emotional content of the
neighbour contributes to response times. These findings are discussed in terms of
lexical competition between the stimulus word and non-presented orthographic
neighbours, which in turn would modify the strength of reading interference on
colour categorization times.
PMID- 26553272
TI - When looking back to nothing goes back to nothing.
AB - Previous research showed that the eyes revisit the location in which the stimulus
has been encoded when visual or verbal information is retrieved from memory. A
recent study showed that this behavior still occurs 1 week after encoding,
suggesting that visual, spatial and linguistic information is tightly associated
with the oculomotor trace and stored as an integrated memory representation.
However, it is yet unclear whether looking behavior simply remains stable between
encoding and recall or whether it changes over time in a more fine-tuned manner.
Here, we investigate the time course of looking behavior during recall in
multiple sessions across 1 week. Participants encoded visual objects presented in
one of the four locations on the computer screen. In five sessions during the
week after encoding, they performed on a visual memory recall task. During
retrieval, participants looked back to the encoding location, but only in the
recall sessions within 1 day of encoding. We discuss different explanations for
the temporal dynamics of looking behavior during recall, searching for the role
of eye movements in memory.
PMID- 26553273
TI - Metabolic Modeling of Dynamic (13)C NMR Isotopomer Data in the Brain In Vivo:
Fast Screening of Metabolic Models Using Automated Generation of Differential
Equations.
AB - Most current brain metabolic models are not capable of taking into account the
dynamic isotopomer information available from fine structure multiplets in (13)C
spectra, due to the difficulty of implementing such models. Here we present a new
approach that allows automatic implementation of multi-compartment metabolic
models capable of fitting any number of (13)C isotopomer curves in the brain. The
new automated approach also makes it possible to quickly modify and test new
models to best describe the experimental data. We demonstrate the power of the
new approach by testing the effect of adding separate pyruvate pools in
astrocytes and neurons, and adding a vesicular neuronal glutamate pool. Including
both changes reduced the global fit residual by half and pointed to dilution of
label prior to entry into the astrocytic TCA cycle as the main source of
glutamine dilution. The glutamate-glutamine cycle rate was particularly sensitive
to changes in the model.
PMID- 26553274
TI - Morphometric analysis of sex differences in contemporary Japanese pelves using
multidetector computed tomography.
AB - Sex estimation of decomposed or skeletal remains is clearly important in forensic
contexts. Recently, contemporary population-specific data has been obtained using
multidetector computed tomography (MDCT) scanning. The main purpose of this study
was to investigate skeletal pelvic dimorphism in a contemporary Japanese forensic
sample and to quantify the accuracy of sex estimation using various pelvic
measurements obtained from three-dimensional (3D) CT images. This study used a
total of 208 cadavers (104 males, 104 females) of which postmortem CT scanning
and subsequent forensic autopsy were conducted between December 2011 and August
2014. Eleven measurements of each pelvis were obtained from 3D CT reconstructed
images that extracted only bone data. The measurements were analyzed using
descriptive statistics and discriminant function analyses. All except one
measurement were dimorphic in terms of sex differences. Univariate discriminant
function analyses using these measurements provided sex classification accuracy
rates of 62.0-98.1%. The subpubic angle was found to contribute most
significantly to accurate sex estimation. Multivariate discriminant functions
yielded sex prediction accuracy rates of 63.9-98.1%. In conclusion, the pelvic
measurements obtained from 3D CT images of a contemporary Japanese population
successfully demonstrated sexual dimorphism and may be useful for the estimation
of skeletal sex in the field of forensic anthropology.
PMID- 26553275
TI - The experience of caring for patients with dementia within a general hospital
setting: a meta-synthesis of the qualitative literature.
AB - OBJECTIVES: The optimal care of people with dementia in general hospitals has
become a policy and practice imperative over recent years. However, despite this
emphasis, the everyday experience of staff caring for this patient group is
poorly understood. This review aimed to synthesise the findings from recent
qualitative studies in this topic published prior to January 2014 to develop
knowledge and provide a framework to help inform future training needs. METHOD: A
systematic search of the literature was conducted across five academic databases
and inclusion/exclusion criteria applied to the retrieved papers. A meta
ethnographic approach was utilised to synthesise the resulting 14 qualitative
papers. RESULTS: Five key themes were constructed from the findings: overcoming
uncertainty in care; constraints of the environmental and wider organisational
context; inequality of care; recognising the benefits of person-centred care; and
identifying the need for training. These themes explore the opportunities and
challenges associated with caring for this group of patients, as well as
suggestions to improve staff experiences and patient care. CONCLUSION: The
synthesis highlighted a lack of knowledge and understanding of dementia within
general hospital staff, particularly with regard to communication with patients
and managing behaviours that are considered challenging. This limited
understanding, coupled with organisational constraints on a busy hospital ward,
contributed to low staff confidence, negative attitudes towards patients with
dementia and an inability to provide person-centred care. The benefits of
dementia training for both ward staff and hospital management and peer
discussion/support for ward staff are discussed.
PMID- 26553276
TI - A Newly Identified Missense Mutation in FARS2 Causes Autosomal-Recessive Spastic
Paraplegia.
AB - Hereditary spastic paraplegia (HSP) is a clinically and genetically heterogeneous
group of neurodegenerative disorders characterized by spasticity of the lower
limbs due to pyramidal tract dysfunction. Here, we report that a missense
homozygous mutation c.424G>T (p.D142Y) in the FARS2 gene, which encodes a
mitochondrial phenylalanyl tRNA synthetase (mtPheRS), causes HSP in a Chinese
consanguineous family by using combination of homozygous mapping and whole-exome
sequencing. Immunohistochemical experiments were performed showing that the FARS2
protein was highly expressed in the Purkinje cells of rat cerebellum. The
aminoacylation activity of mtPheRS was severely disrupted by the p.D142Y
substitution in vitro not only in the first aminoacylation step but also in the
last transfer step. Taken together, our results indicate that a missense mutation
in FARS2 contributes to HSP, which has the clinical significance of the
regulation of tRNA synthetases in human neurodegenerative diseases.
PMID- 26553277
TI - In Vitro Studies Indicate Intravenous Lipid Emulsion Acts as Lipid Sink in
Verapamil Poisoning.
AB - Intravenous lipid emulsion (ILE), a component of parenteral nutrition, consists
of a fat emulsion of soy bean oil, egg phospholipids, and glycerin. Case reports
suggest that ILE may reverse hypotension caused by acute poisoning with
lipophilic drugs such as verapamil, but the mechanism remains unclear. The
methods used are the following: (1) measurement of ILE concentration in serum
samples from a patient with verapamil poisoning treated with ILE, (2) measurement
of free verapamil concentrations in human serum mixed in vitro with increasing
concentrations of ILE, and (3) measurement of murine ventricular cardiomyocyte L
type Ca(2+) currents, intracellular Ca(2+), and contractility in response to
verapamil and/or ILE. Maximum patient serum ILE concentration after infusion of 1
L ILE over 1 h was approximately 1.6 vol%. In vitro GC/MS verapamil assays showed
that addition of ILE (0.03-5.0 vol%) dose-dependently decreased the free
verapamil concentration in human serum. In voltage-clamped myocytes, adding ILE
to Tyrode's solution containing 5 MUM verapamil recovered L-type Ca(2+) currents
(ICa). Recovery was concentration dependent, with significant ICa recovery at ILE
concentrations as low as 0.03 vol%. ILE had no effect on ICa in the absence of
verapamil. In field-stimulated intact ventricular myocytes exposed to verapamil,
adding ILE (0.5 %) resulted in a rapid and nearly complete recovery of myocyte
contractility and intracellular Ca(2+). Our in vitro studies indicate that ILE
acts as a lipid sink that rapidly reverses impaired cardiomyocyte contractility
in the continued presence of verapamil.
PMID- 26553278
TI - Bench to Bedside: From the Science to the Practice of Addiction Medicine.
AB - The current understanding of addiction is based on a biopsychosocial model of
illness. From a neurobiological perspective, addiction can be seen as the
hijacking of the pleasure-reward pathways of the brain with a concomitant
weakening of its executive function. The fundamental model has been expanded to
include newer concepts such as multiple levels of severity of illness,
motivational circuitry, and anti-reward pathways. These neurobiological concepts
can explain some of the successes and failures of addiction treatment in the
second half of the 20th century and the beginning of the 21st century.
Psychosocial interventions (primarily cognitive behavior therapy, mutual help
groups, and motivational interviewing) and pharmacological treatments (such as
agonists, antagonists, and partial agonists) form the basis of addiction
treatment today.
PMID- 26553279
TI - Recognition and Knowledge of Medications with Black Box Warnings Among
Pediatricians and Emergency Physicians.
AB - "Boxed warnings" (BW), sometimes referred to as "black box warnings," are the
most serious level of warning provided by the Food and Drug Administration (FDA).
We aimed to assess physician awareness and knowledge of BW, and to gain a better
understanding of where physicians obtain information about serious adverse drug
reactions for commonly prescribed medications. A cross-sectional survey
instrument was administered to emergency medicine (EM) and pediatrician (Peds)
attending and resident physicians. The main outcome measures were physician
performance in identifying medications with and without black box warnings and
the content of the warnings. The survey response rate was 81/198 (41 %).
Respondents correctly identified medications with BW only 36.3 % of the time, but
were able to correctly identify medications without such warnings 83.8 % of the
time. Attending physicians were better able to identify medications with or
without BW when compared with residents (p < 0.05). Among residents, there was a
statistically significant increase in the ability to identify medications with or
without BW with increasing year of training (p < 0.01). Correct identification of
the content of BW was low in both groups (13.3 %). Only 19/50 (37 %) EM
physicians and 16/31 (52 %) Peds reported that they consider BW when prescribing
medications. 23/81 (29 %) respondents indicated that they did not stay current or
had no method of staying current with black box information. EM and Peds
attending and resident physicians at a single institution had limited ability to
identify medications containing BW or the content of such warnings. A significant
number reported that they did not stay current or had no consistent method for
staying current with BW.
PMID- 26553281
TI - American College of Medical Toxicology Code of Ethics for Medical Toxicologists.
PMID- 26553280
TI - Use of a Rapid Ethylene Glycol Assay: a 4-Year Retrospective Study at an Academic
Medical Center.
AB - Ethylene glycol (EG) is a common cause of toxic ingestions. Gas chromatography
(GC)-based laboratory assays are the gold standard for diagnosing EG
intoxication. However, GC requires specialized instrumentation and technical
expertise that limits feasibility for many clinical laboratories. The objective
of this retrospective study was to determine the utility of incorporating a rapid
EG assay for management of cases with suspected EG poisoning. The University of
Iowa Hospitals and Clinics core clinical laboratory adapted a veterinary EG assay
(Catachem, Inc.) for the Roche Diagnostics cobas 8000 c502 analyzer and
incorporated this assay in an osmolal gap-based algorithm for potential toxic
alcohol/glycol ingestions. The main limitation is that high concentrations of
propylene glycol (PG), while readily identifiable by reaction rate kinetics, can
interfere with EG measurement. The clinical laboratory had the ability to perform
GC for EG and PG, if needed. A total of 222 rapid EG and 24 EG/PG GC analyses
were documented in 106 patient encounters. Of ten confirmed EG ingestions, eight
cases were managed entirely with the rapid EG assay. PG interference was evident
in 25 samples, leading to 8 GC analyses to rule out the presence of EG. Chart
review of cases with negative rapid EG assay results showed no evidence of false
negatives. The results of this study highlight the use of incorporating a rapid
EG assay for the diagnosis and management of suspected EG toxicity by decreasing
the reliance on GC. Future improvements would involve rapid EG assays that
completely avoid interference by PG.
PMID- 26553282
TI - Clinically Inconsequential Alerts: The Characteristics of Opioid Drug Alerts and
Their Utility in Preventing Adverse Drug Events in the Emergency Department.
AB - STUDY OBJECTIVE: We examine the characteristics of clinical decision support
alerts triggered when opioids are prescribed, including alert type, override
rates, adverse drug events associated with opioids, and preventable adverse drug
events. METHODS: This was a retrospective chart review study assessing adverse
drug event occurrences for emergency department (ED) visits in a large urban
academic medical center using a commercial electronic health record system with
clinical decision support. Participants include those aged 18 to 89 years who
arrived to the ED every fifth day between September 2012 and January 2013. The
main outcome was characteristics of opioid drug alerts, including alert type,
override rates, opioid-related adverse drug events, and adverse drug event
preventability by clinical decision support. RESULTS: Opioid drug alerts were
more likely to be overridden than nonopioid alerts (relative risk 1.35; 95%
confidence interval [CI] 1.21 to 1.50). Opioid drug-allergy alerts were twice as
likely to be overridden (relative risk 2.24; 95% CI 1.74 to 2.89). Opioid
duplicate therapy alerts were 1.57 times as likely to be overridden (95% CI 1.30
to 1.89). Fourteen of 4,581 patients experienced an adverse drug event (0.31%;
95% CI 0.15% to 0.47%), and 8 were due to opioids (57.1%). None of the adverse
drug events were preventable by clinical decision support. However, 46 alerts
were accepted for 38 patients that averted a potential adverse drug event.
Overall, 98.9% of opioid alerts did not result in an actual or averted adverse
drug event, and 96.3% of opioid alerts were overridden. CONCLUSION: Overridden
opioid alerts did not result in adverse drug events. Clinical decision support
successfully prevented adverse drug events at the expense of generating a large
volume of inconsequential alerts. To prevent 1 adverse drug event, providers
dealt with more than 123 unnecessary alerts. It is essential to refine clinical
decision support alerting systems to eliminate inconsequential alerts to prevent
alert fatigue and maintain patient safety.
PMID- 26553283
TI - Mussel processing wastewater: a low-cost substrate for the production of
astaxanthin by Xanthophyllomyces dendrorhous.
AB - BACKGROUND: The use of astaxanthin in different industries such as the chemical,
pharmaceutical, food, animal feed and cosmetic has been receiving increasing
attention in recent years. Natural supplies of the pigment include crustacean by
products, algal, and microbial cultivation, being the yeast Xanthophyllomyces
dendrorhous together with the alga Haematococcus pluvialis the most promising
microorganisms for this bioproduction. Different vegetable by-products of the
food industry have been explored so far as low-cost substrates for the production
of astaxanthin by X. dendrorhous. This study focuses for the first time on the
use of a low-cost formulated medium from a marine by-product, mussel-processing
wastewater, for the production of astaxanthin by the yeast X. dendrorhous.
RESULTS: The yeast was able to grow in non-saccharified mussel broth, revealing
the ability of the microorganism to hydrolyze glycogen. However, partial glycogen
saccharification with alpha-amylase was needed for astaxanthin biosynthesis,
obtaining maximal productions of 22.5-26.0 mg/L towards the end of the culture
and coinciding with yeast highest amylolytic activity. Cultivations in totally
saccharified media revealed an increase in maximal cell concentrations and a
decrease in maximal growth rates and astaxanthin production with increasing
glucose initial concentration. CONCLUSIONS: Astaxanthin production was higher in
partially-saccharified mussel-processing waste than in synthetic medium (yeast
peptone dextrose) containing glucose as carbon source (13 mg/L), suggesting this
by-product is a promising nutritive medium for astaxanthin production. The use of
this effluent also contributes towards the recycling and depuration of this
highly pollutant effluent.
PMID- 26553284
TI - Feasibility of a computer-assisted alcohol screening, brief intervention and
referral to treatment program for DWI offenders.
AB - BACKGROUND: Alcohol use patterns that are hazardous for one's health is prevalent
among DWI (driving while intoxicated) offenders and is a key predictor of
recidivism. The aim of this program evaluation was to determine the feasibility
and usability of implementing a computer-assisted screening, brief intervention
and referral to treatment (SBIRT) program for DWI offenders to enable the
identification of those in need of treatment services soon after arrest. Our
treatment program consisted of a web-based, self-guided screening tool for
assessing alcohol use patterns and generating a personalized feedback report that
is then used to deliver a brief motivational intervention and if needed, a
referral to treatment. METHODS: Between August and November 2014, all DWI
offenders attending orientation for pre-trial supervision were assessed for
eligibility. Of the 129 eligible offenders, 53.5 percent enrolled and the first
50 were asked to complete a usability and satisfaction questionnaire. RESULTS:
The results demonstrated that the majority of those screened reported at-risk
alcohol use patterns requiring referral to treatment. Clients reported high
ratings of usability and satisfaction with the screening tool and personalized
feedback report, which did not significantly differ depending on alcohol use
patterns. There were relatively few technical difficulties, and the majority of
clients reported high levels of satisfaction with the overall SBIRT program.
CONCLUSION: Results of this program evaluation suggest that computer-assisted
SBIRT may be successfully implemented within the criminal justice system to DWI
offenders soon after arrest; however, further research is required to examine its
effects on treatment utilization and recidivism.
PMID- 26553285
TI - Corpus callosotomy.
AB - BACKGROUND: Corpus callosotomy is an effective, relatively low-risk, palliative
procedure for a selected population of patients with medically intractable
epilepsy. Here we describe this technique. METHOD: An interhemispheric
microsurgical approach is performed. Neuronavigation facilitates orientation. The
callosal body is transected through to the roof of the ipsilateral ventricle
using an ultrasonic aspirator; the genu and rostrum are then identified and also
split. If a total callosotomy is performed, transection of the splenium is
performed with care given to preserve the crus of the fornix. CONCLUSIONS:
Meticulous microsurgical technique and knowledge of the limbic system's anatomy
is essential to keeping this procedure safe and effective.
PMID- 26553286
TI - Merging carbohydrate chemistry with lectin histochemistry to study inhibition of
lectin binding by glycoclusters in the natural tissue context.
AB - Recognition of glycans by lectins leads to cell adhesion and growth regulation.
The specificity and selectivity of this process are determined by carbohydrate
structure (sequence and shape) and topology of its presentation. The synthesis of
(neo)glycoconjugates with bi- to oligo-valency (glycoclusters) affords tools to
delineate structure-activity relationships by blocking lectin binding to an
artificial matrix, often a glycoprotein, or cultured cell lines. The drawback of
these assays is that glycan presentation is different from that in tissues. In
order to approach the natural context, we here introduce lectin histochemistry on
fixed tissue sections to determine the susceptibility of binding of two plant
lectins, i.e., GSA-II and WGA, to a series of 10 glycoclusters. Besides valency,
this panel covers changes in the anomeric position (alpha/beta) and the atom at
the glycosidic linkage (O/S). Flanked by cell and solid-phase assays with human
tumor lines and two mucins, respectively, staining (intensity and profile) was
analyzed in sections of murine jejunum, stomach and epididymis as a function of
glycocluster presence. The marked and differential sensitivity of signal
generation to structural aspects of the glycoclusters proves the applicability of
this method. This enables comparisons between data sets obtained by using
(neo)glycoconjugates, cells and the tissue context as platforms. The special
advantage of processing tissue sections is the monitoring of interference with
lectin association at sites that are relevant for functionality. Testing
glycoclusters in lectin histochemistry will especially be attractive in cases of
multi-target recognition (glycans, proteins and lipids) by a tissue lectin.
PMID- 26553287
TI - Interval analysis of interictal EEG: pathology of the alpha rhythm in focal
epilepsy.
AB - The contemporary use of interictal scalp electroencephalography (EEG) in the
context of focal epilepsy workup relies on the visual identification of
interictal epileptiform discharges. The high-specificity performance of this
marker comes, however, at a cost of only moderate sensitivity. Zero-crossing
interval analysis is an alternative to Fourier analysis for the assessment of the
rhythmic component of EEG signals. We applied this method to standard EEG
recordings of 78 patients divided into 4 subgroups: temporal lobe epilepsy (TLE),
frontal lobe epilepsy (FLE), psychogenic nonepileptic seizures (PNES) and
nonepileptic patients with headache. Interval-analysis based markers were capable
of effectively discriminating patients with epilepsy from those in control
subgroups (AUC~0.8) with diagnostic sensitivity potentially exceeding that of
visual analysis. The identified putative epilepsy-specific markers were sensitive
to the properties of the alpha rhythm and displayed weak or non-significant
dependences on the number of antiepileptic drugs (AEDs) taken by the patients.
Significant AED-related effects were concentrated in the theta interval range and
an associated marker allowed for identification of patients on AED polytherapy
(AUC~0.9). Interval analysis may thus, in perspective, increase the diagnostic
yield of interictal scalp EEG. Our findings point to the possible existence of
alpha rhythm abnormalities in patients with epilepsy.
PMID- 26553288
TI - Outcomes of endopyelotomy for pelviureteric junction obstruction in the
paediatric population: A systematic review.
AB - INTRODUCTION: Dismembered pyeloplasty is the gold standard treatment for
pelviureteric obstruction (PUJO) although endourological techniques are also
employed. Outcomes and success rates for paediatric endopyelotomy are variably
reported. OBJECTIVE: The study aimed to systematically analyse published
literature to give an overall success rate for endopyelotomy in children. STUDY
DESIGN: Medline and Embase databases were searched using relevant key terms to
identify reports of paediatric endoplyelotomy. Literature reviews, case reports,
series of <3 children and adult studies (age >20 years) were excluded. Primary
and secondary procedures were considered separately. The procedure was considered
successful if [a] the author reported success AND [b] there was no immediate
conversion to open pyeloplasty OR a subsequent procedure to the PUJ 3 or more
weeks after endopyelotomy. RESULTS: One hundred and fourteen studies were
assessed, 15 were included in the final review. Overall, 220 endopyelotomies were
performed in 216 patients; 128 had primary PUJO, 92 underwent secondary
endopyelotomy. Median success rate was 71% (range 46-100) in the primary group
and 75% (25-100) in the secondary group. Previously undetected crossing vessels
were found at subsequent open pyeloplasty in 12 failures (11 primary = 31% of
failed primary endopyelotomies). Complications were reported in 14.8% of primary
and 14.1% of secondary procedures. DISCUSSION: This study is limited by the data
given in the individual series: varied criteria used for patient selection and
outcome as well as inconsistent pre and post operative imaging data precluded a
meta-analysis. Designating procedures as failures if there were subsequent
procedures to the PUJ lowered success rates from author-given figures for some
studies. Complications rates after endopyelotomy are higher than those for open
and minimally invasive pyeloplasty. Success rates for endoplyelotomy do not
compare favourably with pyeloplasty and crossing vessels should be excluded
before considering the procedure.
PMID- 26553289
TI - Quantitation of Albumin in Serum Using "Turn-on" Fluorescent Probe with
Aggregation-Enhanced Emission Characteristics.
AB - An aggregation-enhanced emission active luminogen named as sodium 4,4'4"-(3,4
diphenyl-1H-pyrrole-1,2,5-triyl)tribenzoate (DP-TPPNa) with propeller
construction was synthesized and developed as a "turn on" fluorescent probe for
in situ quantitation of albumin in blood serum. The DP-TPPNa fluorescence
intensity was linearly correlated with the concentration of two serum albumins,
bovine serum albumin (BSA) and human serum albumin (HSA), in pure PBS buffer in
the ranges of 2.18-70 and 1.68-100 MUg/mL, respectively. The detection limits
were as low as 2.18 MUg/mL for BSA and 1.68 MUg/mL for HSA. The response time of
fluorescence to serum albumin (SA) was very short (below 6 s), which achieved
real-time detection. It also showed high selectivity to SA because other
components in serum barely interfere with the detection of DP-TPPNa to SA,
enabling in situ quantitative detection of SA without isolation from serum. DP
TPPNa was successfully applied for the quantitative detection of BSA in fetal
bovine serum. The mechanism of fluorescent turn-on behavior was elucidated
utilizing an unfolding process induced by guanidine hydrochloride, which revealed
a capture process via selective hydrophobic interaction and hydrogen bonding
between luminogen and SA.
PMID- 26553290
TI - Adverse Childhood Experiences and Alcohol Consumption in Midlife and Early Old
Age.
AB - AIMS: To examine the individual and cumulative effects of adverse childhood
experiences (ACEs) on alcohol consumption in midlife and early old-age, and the
role of ACEs in 10-year drinking trajectories across midlife. METHODS: Data were
from the Whitehall II study, a longitudinal British civil service-based cohort
study (N = 7870, 69.5% male). Multinomial logistic regression was used to examine
the individual and cumulative effects of ACEs on weekly alcohol consumption.
Mixed-effect multilevel modelling was used to explore the relationship between
ACEs and change in alcohol consumption longitudinally. RESULTS: Participants who
were exposed to parental arguments/fights in childhood were 1.24 (95% CI 1.06,
1.45) times more likely to drink at hazardous levels in midlife (mean age 56
years) after controlling for covariates and other ACEs. For each additional
exposure to an ACE, the risk of hazardous drinking versus moderate drinking was
increased by 1.12 (95% CI 1.03, 1.21) after adjusting for sex, age, adult socio
economic status, ethnicity and marital status. No associations between ACEs and
increased risk of hazardous drinking in early old-age (mean age 66 years) were
found. In longitudinal analyses, ACEs did not significantly influence 10-year
drinking trajectories across midlife. CONCLUSION: The effect of exposure to
parental arguments on hazardous drinking persists into midlife.
PMID- 26553291
TI - Molecular and pathological characterization of the EZH2 rs3757441 single
nucleotide polymorphism in colorectal cancer.
AB - BACKGROUND: The enhancer of zeste-homolog 2 (EZH2) is involved in cancer
development through gene silencing by trimethylation of lysine 27 of histone 3
(H3K27me3). The C/C genotype for the EZH2 rs3757441 single-nucleotide
polymorphism (SNP) is linked with poor prognosis in metastatic colorectal cancer
(CRC), but molecular and pathological characterization of this SNP is lacking.
METHODS: 119 primary CRCs were analyzed. SNP was evaluated by real-time PCR from
colonic healthy tissue, while EZH2 and H3K27me3 expression were studied by
immunohistochemistry. We primarily looked for correlation between EZH2 rs3757441
genotypes and EZH2/H3K27me3 expression. Potential associations between
EZH2/H3K27me3 expression and clinico-pathological features or KRAS exon 2 and
BRAF exon 15 mutations were secondary endpoints. Statistical analysis was
performed by chi-square test, T-test or ANOVA. RESULTS: The C/C genotype was
significantly associated with higher EZH2 (100 vs. 44 %; P = 0.019) and H3K27me3
(100 vs. 38 %; P = 0.009) staining intensity compared with C/T and T/T. EZH2 3+
staining significantly correlated with stronger H3K27me3 expression (P = 0.039).
KRAS and BRAF mutations were not associated with EZH2 or H3K27me3 expression.
CONCLUSION: EZH2 rs3757441 C/C genotype is associated with stronger EZH2 and
H3K27me3 immunoreactivity in primary CRC: this SNP may serve as a promising
biomarker for EZH2-targeting agents and may add independent information to KRAS
and BRAF testing.
PMID- 26553292
TI - Ivermectin Pharmacokinetics, Metabolism, and Tissue/Egg Residue Profiles in
Laying Hens.
AB - The goals were to determine the ivermectin (IVM) plasma pharmacokinetics, tissue
and egg residue profiles, and in vitro metabolism in laying hens. Experiments
conducted were (1) 8 hens were intravenously treated with IVM and blood samples
taken; (2) 88 hens were treated with IVM administered daily in water (5 days) (40
were kept and their daily eggs collected; 48 were sacrificed in groups (n = 8) at
different times and tissue samples taken and analyzed); (3) IVM biotransformation
was studied in liver microsomes. Pharmacokinetic parameters were AUC = 85.1
ng.day/mL, Vdss = 4.43 L/kg, and T1/2el = 1.73 days. Low IVM tissue residues were
quantified with the highest measured in liver and skin+fat. IVM residues were not
found in egg white, but significant amounts were quantified in yolk. Residues
measured in eggs were greater than some MRL values, suggesting that a withdrawal
period would be necessary for eggs after IVM use in laying hens.
PMID- 26553293
TI - Electroencephalographic neurofeedback: Level of evidence in mental and brain
disorders and suggestions for good clinical practice.
AB - The technique of electroencephalographic neurofeedback (EEG NF) emerged in the
1970s and is a technique that measures a subject's EEG signal, processes it in
real time, extracts a parameter of interest and presents this information in
visual or auditory form. The goal is to effectuate a behavioural modification by
modulating brain activity. The EEG NF opens new therapeutic possibilities in the
fields of psychiatry and neurology. However, the development of EEG NF in
clinical practice requires (i) a good level of evidence of therapeutic efficacy
of this technique, (ii) a good practice guide for this technique. Firstly, this
article investigates selected trials with the following criteria: study design
with controlled, randomized, and open or blind protocol, primary endpoint related
to the mental and brain disorders treated and assessed with standardized
measurement tools, identifiable EEG neurophysiological targets, underpinned by
pathophysiological relevance. Trials were found for: epilepsies, migraine,
stroke, chronic insomnia, attentional-deficit/hyperactivity disorder (ADHD),
autism spectrum disorder, major depressive disorder, anxiety disorders, addictive
disorders, psychotic disorders. Secondly, this article investigates the
principles of neurofeedback therapy in line with learning theory. Different
underlying therapeutic models are presented didactically between two continua: a
continuum between implicit and explicit learning and a continuum between the
biomedical model (centred on "the disease") and integrative biopsychosocial model
of health (centred on "the illness"). The main relevant learning model is to link
neurofeedback therapy with the field of cognitive remediation techniques. The
methodological specificity of neurofeedback is to be guided by biologically
relevant neurophysiological parameters. Guidelines for good clinical practice of
EEG NF concerning technical issues of electrophysiology and of learning are
suggested. These require validation by institutional structures for the clinical
practice of EEG NF.
PMID- 26553295
TI - Synanthropic Cockroaches (Blattidae: Periplaneta spp.) Harbor Pathogenic
Leptospira in Colombia.
AB - Leptospirosis cases in Colombia are typically linked to peridomestic rodents;
however, empirical data suggest that Leptospira-infected patients with no
apparent exposure to these reservoirs are common. Cockroaches (Periplaneta spp.)
have equal or greater interaction with humans than rodents, yet their potential
role as carriers of Leptospira has not been assessed. We determined if pathogenic
Leptospira is harbored by Periplaneta spp. in Cali (Colombia) and the variables
influencing this relationship. Fifty-nine cockroaches were captured from seven
sites and DNA was extracted from the body surface and digestive tract for a
multiplex polymerase chain reaction, targeting genes secY and flaB. Logistic
regression models and proportion tests showed a higher likelihood for Leptospira
to be isolated from body surfaces (P > 0.001) and from individuals inside houses
(six times more likely). These findings are the first to demonstrate an
association between Periplaneta spp. and Leptospira, suggesting the need to
investigate the potential for cockroaches to serve as reservoirs or transport
hosts for Leptospira.
PMID- 26553296
TI - Indian endocrinologists set guidance to combat vitamin D deficiency.
PMID- 26553294
TI - Metabolites involved in cellular communication among human cumulus-oocyte-complex
and sperm during in vitro fertilization.
AB - BACKGROUND: Fertilization is a key physiological process for the preservation of
the species. Consequently, different mechanisms affecting the sperm and the
oocyte have been developed to ensure a successful fertilization. Thus, sperm
acrosome reaction is necessary for the egg coat penetration and sperm-oolema
fusion. Several molecules are able to induce the sperm acrosome reaction;
however, this process should be produced coordinately in time and in the space to
allow the success of fertilization between gametes. The goal of this study was to
analyze the metabolites secreted by cumulus-oocyte-complex (COC) to find out new
components that could contribute to the induction of the human sperm acrosome
reaction and other physiological processes at the time of gamete interaction and
fertilization. METHODS: For the metabolomic analysis, eighteen aliquots of medium
were used in each group, containing: a) only COC before insemination and after 3
h of incubation; b) COC and capacitated spermatozoa after insemination and
incubated for 16-20 hours; c) only capacitated sperm after 16-20 h in culture and
d) only fertilization medium as control. Six patients undergoing assisted
reproduction whose male partners provided normozoospermic samples were included
in the study. Seventy-two COC were inseminated. RESULTS: The metabolites
identified were monoacylglycerol (MAG), lysophosphatidylcholine (LPC) and
phytosphingosine (PHS). Analysis by PCR and in silico of the gene expression
strongly suggests that the cumulus cells contribute to the formation of the PHS
and LPC. CONCLUSIONS: LPC and PHS are secreted by cumulus cells during in vitro
fertilization and they could be involved in the induction of human acrosome
reaction (AR). The identification of new molecules with a paracrine effect on
oocytes, cumulus cells and spermatozoa will provide a better understanding of
gamete interaction.
PMID- 26553297
TI - C-H Photooxygenation of Alkyl Benzenes Catalyzed by Riboflavin Tetraacetate and a
Non-Heme Iron Catalyst.
AB - A mixture of the photocatalyst riboflavin tetraacetate (RFT) and the biomimetic
non-heme iron complex [Fe(TPA)(MeCN)2](ClO4)2 (TPA = tris(2-pyridylmethyl)amine)
efficiently catalyzes the visible-light-driven aerobic oxidation of alkyl
benzenes to ketones and carboxylic acids. An RFT-catalyzed photocycle and the
independent action of the iron complex as a catalyst for H2O2 disproportionation
and alkyl benzene oxygenation ensure high yields and selectivities.
PMID- 26553298
TI - A comprehensive analysis of the chorion locus in silkmoth.
AB - Despite more than 40 years of intense study, essential features of the silkmoth
chorion (eggshell) are still not fully understood. To determine the precise
structure of the chorion locus, we performed extensive EST analysis, constructed
a bacterial artificial chromosome (BAC) contig, and obtained a continuous genomic
sequence of 871,711 base pairs. We annotated 127 chorion genes in two segments
interrupted by a 164 kb region with 5 non-chorion genes, orthologs of which were
on chorion bearing scaffolds in 4 ditrysian families. Detailed transcriptome
analysis revealed expression throughout choriogenesis of most chorion genes
originally categorized as "middle", and evidence for diverse regulatory
mechanisms including cis-elements, alternative splicing and promoter utilization,
and antisense RNA. Phylogenetic analysis revealed multigene family associations
and faster evolution of early chorion genes and transcriptionally active
pseudogenes. Proteomics analysis identified 99 chorion proteins in the eggshell
and micropyle localization of 1 early and 6 Hc chorion proteins.
PMID- 26553299
TI - CD45+ and CD45- lymphocyte populations identified by flow cytometry from dogs
with lymphoma exhibit similar morphology and the same clonal (B cell or T cell)
lineage.
AB - Flow cytometric analysis of canine lymphoma sometimes demonstrates a mixed
population of CD45+ and CD45- lymphocytes. Recently, indolent forms of canine
lymphoma have been described which are associated with the loss of CD45
expression, warranting further investigation of the role of CD45 in canine
lymphoma. The purpose of this study was to compare morphology and assess clonal
origin between CD45+ and CD45- lymphocyte populations identified by flow
cytometry in confirmed cases of canine B- and T-cell lymphoma. Our hypothesis was
that the CD45- population of lymphocytes represented a phenotypic variant of the
CD45+ population. Fifteen client-owned dogs with lymphoma and distinct CD45+ and
CD45- lymphocyte populations identified by flow cytometry were identified for a
blinded, prospective assessment of morphology and clonal origin (B cell or T
cell) between populations of sorted CD45+ and CD45- cells. Lymphocytes were
isolated from 11 dogs for paired cytologic evaluation. In 10/11 dogs, the CD45+
and CD45- samples were similar (95% C.I., 0.301-1.00). DNA was harvested from
sorted populations of CD45+ and CD45- cells from 12/15 dogs and PARR analysis
produced amplicons of identical size from both populations, indicating that 100%
(12/12) were of the same lineage, B cell or T cell (95% C.I., 0.757-1.00).
Collectively, our data suggests that the CD45- population identified in dogs with
lymphoma represents a phenotypic variant of the CD45+ population.
PMID- 26553300
TI - Development of an in vitro model of the early-stage bovine tuberculous granuloma
using Mycobacterium bovis-BCG.
AB - Mycobacterium bovis causes 3.1% of human tuberculosis cases, as described by the
World Health Organisation. In cattle, this organism causes bovine tuberculosis
(BTB) which can have a prevalence of up to 39.5% in some developing countries. In
developed countries, although the prevalence of BTB has been reduced through
eradication programmes, complete eradication has in some cases proved elusive,
with prevalences in cattle of 0.5% in the Republic of Ireland and of 4.3% in the
UK. As the tuberculous granuloma is the fundamental lesion that reflects the
pathogenesis, immune control and progression of BTB, we aimed to develop an in
vitro model of the early-stage bovine tuberculous granuloma, in order to model
the early stages of BTB, while also reducing the use of experimentally infected
animals. In vitro models of human and ovine mycobacterial granulomas have
previously been developed; however, so far, there is no model for the BTB
granuloma. As the disease in cattle differs in a number of ways from that in
other species, we consider this to be a significant gap in the tools available to
study the pathogenesis of BTB. By combining bovine monocyte-derived macrophages
infected with M. bovis-BCG and autologous lymphocytes we have developed an early
stage tuberculous bovine granuloma model. In the model, 3D cell aggregations
formed a spherical-shape that grew for up to 11 days post-infection. This bovine
tuberculous granuloma model can aid in the study of such lesion development, and
in comparative studies of pathogenesis, such as, for example, the question of
mycobacterial latency in bovine tuberculosis.
PMID- 26553301
TI - Variations of the posterior cerebral artery diagnosed by MR angiography at 3
tesla.
AB - INTRODUCTION: Fenestration, early bifurcation, and duplication of the posterior
cerebral artery (PCA) and the so-called hyperplastic anterior choroidal artery
(AChA), considered a variation of the PCA, are rare. We evaluated the prevalence
and characteristic features of these PCA variations on magnetic resonance (MR)
angiography. METHODS: We reviewed intracranial MR angiographic images of 2402
patients examined using a 3-tesla scanner. Images from the skull base to the
intracranial region were obtained using the standard time-of-flight technique. We
excluded images of 52 patients with insufficient image quality or occlusion of
the PCA(s) and retrospectively evaluated the images of 2350 patients using a
picture archiving and communication system. RESULTS: We observed PCA fenestration
in eight (0.34%) patients, most at the P1 segment and P1-P2 junction and all
small in size, early bifurcation at the P1-P2 junction or proximal P2A segment in
eight (0.34%) patients, complete duplication in one patient, and hyperplastic
AChA in 13 (0.55%) patients. Eleven of the 13 hyperplastic AChAs supplied only
the territory of the temporal branch of the PCA, and the remaining two supplied
the entire territory of the PCA. CONCLUSION: We observed PCA variations in 30
(1.28%) patients. We believe the name "hyperplastic AChA" inaccurately describes
variations of the PCA in which the AChA supplies part of or all of the territory
of the PCA and propose "accessory PCA" to describe an AChA that supplies part of
the territory of the PCA or "replaced PCA" to describe that vessel that supplies
the territory all branches of the PCA.
PMID- 26553303
TI - Big data and ergonomics methods: A new paradigm for tackling strategic transport
safety risks.
AB - Big data collected from On-Train Data Recorders (OTDR) has the potential to
address the most important strategic risks currently faced by rail operators and
authorities worldwide. These risk issues are increasingly orientated around human
performance and have proven resistant to existing approaches. This paper presents
a number of proof of concept demonstrations to show that long standing ergonomics
methods can be driven from big data, and succeed in providing insight into human
performance in a novel way. Over 300 ergonomics methods were reviewed and a
smaller sub-set selected for proof-of-concept development using real on-train
recorder data. From this are derived nine candidate Human Factors Leading
Indicators which map on to all of the psychological precursors of the identified
risks. This approach has the potential to make use of a significantly underused
source of data, and enable rail industry stakeholders to intervene sooner to
address human performance issues that, via the methods presented in this paper,
are clearly manifest in on-train data recordings. The intersection of
psychological knowledge, ergonomics methods and big data creates an important new
framework for driving new insights.
PMID- 26553302
TI - Delayed hemorrhagic complications after flow diversion for intracranial
aneurysms: a literature overview.
AB - INTRODUCTION: Delayed aneurysm rupture and delayed intraparenchymal hemorrhages
(DIPH) are poorly understood and often fatal complications of flow diversion (FD)
for intracranial aneurysms. The purpose of this study was to identify risk
factors for these complications. METHODS: We performed a systematic review on
post-FD delayed aneurysm rupture and DIPH. For each reported case, we collected
the following information: aneurysm location, size and rupture status, type of
flow diverter used, timing of the hemorrhage, and neurological outcome. We
reported descriptive statistics of patients suffering DIPH and delayed aneurysm
rupture to determine if there were any characteristics consistently present among
patients with these complications. RESULTS: We identified 81 delayed aneurysm
ruptures and 101 DIPH. Of the delayed ruptures, 76.6% (45/58) occurred within 1
month. The prognosis of delayed ruptures was poor, with 81.3% (61/75)
experiencing death or poor neurological outcome. Giant aneurysms accounted for
46.3% of ruptures (31/67). Of these aneurysms, 80.9% (55/68) were initially
unruptured. Of the delayed ruptured aneurysms, 17.8% (13/73) had prior or
concomitant coiling. DIPHs were ipsilateral to the treated aneurysm in 82.2%
(60/73) of cases. Of the DIPH, 86.0% (43/50) occurred within 1 month after FDS.
Combined morbidity/mortality rate was 68.5% (50/73) following DIPH. Of DIPHs,
23.0% (14/61) occurred in patients with giant aneurysms. CONCLUSIONS: Our study
demonstrates that giant aneurysms represent almost 50% of delayed aneurysm
ruptures in the flow diverter literature. About 2% of delayed ruptures occurred
despite associated coiling. A substantial proportion of DIPHs occur early
following FDS treatment of giant aneurysms.
PMID- 26553304
TI - Informed consent instead of assent is appropriate in children from the age of
twelve: Policy implications of new findings on children's competence to consent
to clinical research.
AB - BACKGROUND: For many decades, the debate on children's competence to give
informed consent in medical settings concentrated on ethical and legal aspects,
with little empirical underpinnings. Recently, data from empirical research
became available to advance the discussion. It was shown that children's
competence to consent to clinical research could be accurately assessed by the
modified MacArthur Competence Assessment Tool for Clinical Research. Age limits
for children to be deemed competent to decide on research participation have been
studied: generally children of 11.2 years and above were decision-making
competent, while children of 9.6 years and younger were not. Age was pointed out
to be the key determining factor in children's competence. In this article we
reflect on policy implications of these findings, considering legal, ethical,
developmental and clinical perspectives. DISCUSSION: Although assessment of
children's competence has a normative character, ethics, law and clinical
practice can benefit from research data. The findings may help to do justice to
the capacities children possess and challenges they may face when deciding about
treatment and research options. We discuss advantages and drawbacks of
standardized competence assessment in children on a case-by-case basis compared
to application of a fixed age limit, and conclude that a selective implementation
of case-by-case competence assessment in specific populations is preferable. We
recommend the implementation of age limits based on empirical evidence.
Furthermore, we elaborate on a suitable model for informed consent involving
children and parents that would do justice to developmental aspects of children
and the specific characteristics of the parent-child dyad. Previous research
outcomes showed that children's medical decision-making capacities could be
operationalized into a standardized assessment instrument. Recommendations for
policies include a dual consent procedure, including both child as well as
parents, for children from the age of 12 until they reach majority. For children
between 10 and 12 years of age, and in case of children older than 12 years in
special research populations of mentally compromised patients, we suggest a case
by-case assessment of children's competence to consent. Since such a dual consent
procedure is fundamentally different from a procedure of parental permission and
child assent, and would imply a considerable shift regarding some current
legislations, practical implications are elaborated.
PMID- 26553305
TI - The Association Between Potentially Inappropriate Prescribing and Medication
Related Hospital Admissions in Older Patients: A Nested Case Control Study.
AB - INTRODUCTION: Medication-related problems can cause serious adverse drug events
(ADEs) that may lead to hospitalization of the patient. There are multiple
screening methods to detect and reduce potentially inappropriate medications
(PIMs) and potential prescribing omissions (PPOs). Whether this will result in
less medication-related hospitalizations is unknown. The study objective was to
assess the risk of preventable medication-related hospital admissions associated
with potentially inappropriate prescribing, using the Beers 2012 and the
Screening Tool of Older Person's Prescriptions and the Screening Tool to Alert
doctors to Right Treatment (STOPP & START) 2008 criteria. DESIGN, SETTING AND
PARTICIPANTS: A nested case-control study was conducted with a subset of Dutch
participants from the Hospital Admissions Related to Medication (HARM) study.
Cases were defined as patients aged >=65 years with a potentially preventable
medication-related hospital admission. For each case, one control was selected,
matched for age and sex. The primary determinant was the presence of one or more
PIMs according to the Beers 2012 and STOPP 2008 criteria. The secondary
determinant was the presence of one or more PIMs and PPOs according to the STOPP
& START 2008 criteria. The strength of the association between inappropriate
prescribing and medication-related hospital admission was evaluated with
multivariate logistic regression and expressed as odds ratios (ORs) with 95 %
confidence intervals (CIs). RESULTS: The prevalence of Beers 2012 criteria PIMs
in the total cohort was 44.4 %. The prevalence of STOPP & START 2008 criteria
PIMs and PPOs were, respectively, 34.1 and 57.7 %. STOPP 2008 criteria PIMs were
associated with preventable medication-related hospital admissions [OR adjusted
for number of drugs and comorbidities (ORadj) 2.30, 95 % CI 1.30-4.07], whereas
there was no association with Beers 2012 criteria PIMs (ORadj 1.49, 95 % CI 0.90
2.47). STOPP PIMs and START PPOs together were also associated with preventable
medication-related hospital admissions (ORadj 3.47, 95 % CI 1.70-7.09).
CONCLUSION: Our study shows that patients with potentially inappropriate
prescribing detected with the STOPP & START 2008 criteria are at risk of
preventable medication-related hospital admissions. The STOPP & START 2008
criteria can be used to identify older people at risk of medication-related
problems.
PMID- 26553307
TI - A comparison of recreational drug use amongst sexual health clinic users in
London with existing prevalence data.
AB - The objective was to give an overview of self-reported recreational drug use
amongst attendees of sexual health clinics in London and compare this to existing
datasets. Between December 2013 and March 2014, attendees of two sexual health
clinics in London were surveyed. Data collected were: sexual history, smoking and
alcohol and recreational drug use. Data were analysed using SPSS (version 21). A
total of 1472 respondents were included; 778 (52.9%) men, 676 (45.9%) women and 3
(0.2%) transgender (15 [1.0%] did not answer). Mean age was 30.6 +/- 9.0 years. A
total of 339 (43.6%) men were men who have sex with men (MSM), and 18 (2.4%)
women were women who have sex with women. Lifetime prevalence of use was: alcohol
94.1%; cannabis 48.5%; 'poppers' (volatile nitrites) 28.2%; cocaine 26.8% and 3,4
methylenedioxy-methamphetamine pills 23.2%. Our population had higher current
popper, methamphetamine and mephedrone use than the Crime Survey of England and
Wales but lower use of cannabis, poppers and Viagra than the European MSM
Internet Survey. Global Drug Survey and Part of the Picture respondents' use were
higher than our population for all drugs. Drug use in this population had a
different pattern to general population surveys and studies involving only MSM.
PMID- 26553306
TI - First-in-human, phase I/IIa clinical study of the peptidase potentiated alkylator
melflufen administered every three weeks to patients with advanced solid tumor
malignancies.
AB - PURPOSE: Melflufen (melphalan flufenamide, previously designated J1) is an
optimized and targeted derivative of melphalan, hydrolyzed by aminopeptidases
overexpressed in tumor cells resulting in selective release and trapping of
melphalan, and enhanced activity in preclinical models. METHODS: This was a
prospective, single-armed, open-label, first-in-human, dose-finding phase I/IIa
study in 45 adult patients with advanced and progressive solid tumors without
standard treatment options. Most common tumor types were ovarian carcinoma (n =
20) and non-small-cell lung cancer (NSCLC, n = 11). RESULTS: In the dose
escalating phase I part of the study, seven patients were treated with increasing
fixed doses of melflufen (25-130 mg) Q3W. In the subsequent phase IIa part, 38
patients received in total 115 cycles of therapy at doses of 30-75 mg. No dose
limiting toxicities (DLTs) were observed at 25 and 50 mg; at higher doses DLTs
were reversible neutropenias and thrombocytopenias, particularly evident in
heavily pretreated patients, and the recommended phase II dose (RPTD) was set to
50 mg. Response Evaluation Criteria In Solid Tumors (RECIST) evaluation after 3
cycles of therapy (27 patients) showed partial response in one (ovarian cancer),
and stable disease in 18 patients. One NSCLC patient received nine cycles of
melflufen and progressed after 7 months of therapy. CONCLUSIONS: In conclusion,
melflufen can safely be given to cancer patients, and the toxicity profile was as
expected for alkylating agents; RPTD is 50 mg Q3W. Reversible and manageable bone
marrow suppression was identified as a DLT. Clinical activity is suggested in
ovarian cancer, but modest activity in treatment of refractory NSCLC.
PMID- 26553308
TI - The breakdown of superlubricity by driving-induced commensurate dislocations.
AB - In the framework of a Frenkel-Kontorova-like model, we address the robustness of
the superlubricity phenomenon in an edge-driven system at large scales,
highlighting the dynamical mechanisms leading to its failure due to the slider
elasticity. The results of the numerical simulations perfectly match the length
critical size derived from a parameter-free analytical model. By considering
different driving and commensurability interface configurations, we explore the
distinctive nature of the transition from superlubric to high-friction sliding
states which occurs above the critical size, discovering the occurrence of
previously undetected multiple dissipative jumps in the friction force as a
function of the slider length. These driving-induced commensurate dislocations in
the slider are then characterized in relation to their spatial localization and
width, depending on the system parameters. Setting the ground to scale
superlubricity up, this investigation provides a novel perspective on friction
and nanomanipulation experiments and can serve as a theoretical basis for
designing high-tech devices with specific superlow frictional features.
PMID- 26553310
TI - Pediatric Auditory Brainstem Implant Surgery.
AB - Auditory brainstem implants (ABIs) provide auditory perception in patients with
profound hearing loss who are not candidates for the cochlear implant (CI)
because of anatomic constraints or failed CI surgery. Herein, the authors discuss
(1) preoperative evaluation of pediatric ABI candidates, (2) surgical approaches,
and (3) contemporary ABI devices and their use in the pediatric population. The
authors also review the surgical and audiologic outcomes following pediatric ABI
surgery. The authors' institutional experience and the nearly 200 cases performed
in Europe and the United States indicate that ABI surgery in children can be safe
and effective.
PMID- 26553309
TI - Construction of a high-density linkage map and fine mapping of QTL for growth in
Asian seabass.
AB - A high-density genetic map is essential for comparative genomic studies and fine
mapping of QTL, and can also facilitate genome sequence assembly. Here, a high
density genetic map of Asian seabass was constructed with 3321 SNPs generated by
sequencing 144 individuals in a F2 family. The length of the map was 1577.67 cM
with an average marker interval of 0.52 cM. A high level of genomic synteny among
Asian seabass, European seabass, Nile tilapia and stickleback was detected. Using
this map, one genome-wide significant and five suggestive QTL for growth traits
were detected in six linkage groups (i.e. LG4, LG5, LG11, LG13, LG14 and LG15).
These QTL explained 10.5-16.0% of phenotypic variance. A candidate gene, ACOX1
within the significant QTL on LG5 was identified. The gene was differentially
expressed between fast- and slow-growing Asian seabass. The high-density SNP
based map provides an important tool for fine mapping QTL in molecular breeding
and comparative genome analysis.
PMID- 26553311
TI - Blaschkolinear lichen nitidus.
PMID- 26553312
TI - A 'turn-on' fluorescent chemosensor for quantification of serum albumin in
aqueous solution at neutral pH.
AB - A fluorescent chemosensor 1 (4-diethylamino-2'-hydroxychalcone) for detecting
serum albumin with long-wavelength emission, good selectivity and facile
synthesis was reported. Upon the addition of bovine serum albumin (BSA) to an
aqueous solution of 1 at neutral pH, a 'turn-on' fluorescence response was
observed at 596 nm based on a hydrophobic binding mode between 1 and BSA. A
linear range of 0.10-1.00 mg/mL and a detection limit of 9.1 ug/mL for BSA were
obtained, respectively. Moreover, 1 was successfully applied to detect BSA in
real bovine serum samples with satisfied recovery and accuracy, which suggested
that 1 could serve as a valid and effective fluorescent chemosensor for
quantification of BSA.
PMID- 26553314
TI - Metal-free Bronsted acid mediated synthesis of fully substituted thiophenes via
chemo- and regioselective intramolecular cyclization of alpha,alpha'-bis(beta
oxodithioesters) at room temperature.
AB - Metal-free para-toluenesulfonic acid mediated straightforward synthesis of
hitherto unreported tetrasubstituted thiophenes has been achieved in quantitative
yields by chemo- and regioselective dehydrative cyclization of alpha,alpha'
bis(beta-oxodithioesters) at room temperature. Notably, the dithioester group at
the 4-position of the thiophene ring has been further transformed to a thiazoline
group.
PMID- 26553313
TI - Multicenter, randomized, placebo-controlled, double-blind clinical trial of
escitalopram on the progression-delaying effects in Alzheimer's disease.
AB - OBJECTIVES: A series of preclinical studies have suggested that selective
serotonin reuptake inhibitor antidepressants not only stimulate neurogenesis but
also have neuroprotective effects. The present study primarily aimed to
investigate whether escitalopram would decelerate the brain atrophy of patients
with mild-to-moderate Alzheimer's disease (AD). We also assessed the effects of
escitalopram on the cognitive function and neuropsychiatric symptoms of these
participants. METHODS: Seventy-four probable AD patients without major depression
were recruited from four dementia clinics of university hospitals and randomly
assigned in a 1:1 ratio. Each group received 20 mg/day of escitalopram or placebo
for 52 weeks. The primary outcome measures were the change rates of hippocampal
and whole brain volume on magnetic resonance imaging for 52 weeks. The
Alzheimer's Disease Assessment Scale-cognitive subscale, Mini-Mental State
Examination, Neuropsychiatric Inventory, and Cornell Scale for Depression in
Dementia (CSDD) were also applied. RESULTS: We did not find any significant
differences in the changes of hippocampal or whole brain volume between the
groups. Escitalopram showed significant beneficial effects on the CSDD score at
28 weeks compared with placebo (t = -2.17, df = 50.42, p = 0.035), but this
finding did not persist throughout the study. CONCLUSION: The findings of the
present study do not support the role of escitalopram as a progression-delaying
treatment for AD. However, the negative results of the present trial should be
interpreted cautiously because of the relatively small sample size. Further large
scale escitalopram trials targeting the earlier stages of AD, even prodromal AD,
are still needed. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26553315
TI - Morphology, mechanical and thermal oxidative aging properties of HDPE composites
reinforced by nonmetals recycled from waste printed circuit boards.
AB - In this study nonmetals recycled from waste printed circuit boards (NPCB) is used
as reinforce fillers in high-density polyethylene (HDPE) composites. The
morphology, mechanical and thermal oxidative aging properties of NPCB reinforced
HDPE composites are assessed and it compared with two other commercial functional
filler for the first time. Mechanical test results showed that NPCB could be used
as reinforcing fillers in the HDPE composites and mechanical properties
especially for stiffness is better than other two commercial fillers. The
improved mechanical property was confirmed by the higher aspect ratio and strong
interfacial adhesion in scanning electron microscopy (SEM) studies. The heat
deflection temperature (HDT) test showed the presence of fiberglass in NPCB can
improve the heat resistance of composite for their potential applications.
Meanwhile, the oxidation induction time (OIT) and the Fourier transform infrared
(FTIR) spectroscopy results showed that NPCB has a near resistance to oxidation
as two other commercial fillers used in this paper. The above results show the
reuse of NPCB in the HDPE composites represents a promising way for resolving
both the environmental pollution and the high-value reuse of resources.
PMID- 26553316
TI - An innovative approach to recover the metal values from spent lithium-ion
batteries.
AB - A new approach to recover metal values from spent lithium-ion batteries with a
simple and environmentally friendly method is investigated. Two stages of water
washing of the mixed black powder resulted in satisfactory separation of cobalt
and lithium. Lithium in the wash liquor is precipitated using saturated sodium
carbonate solution. Cobalt oxide in the residue is purified by removing organic
matrix through roasting followed by dilute acid washing. The purities of the
products obtained during the processes are analyzed by Microwave Plasma-Atomic
Emission Spectrophotometer and confirmed from X-ray diffraction analysis. The
overall process is safe, economic and can be scaled up for commercial production.
Based on the process steps involved, a flow sheet is proposed for industrial
application.
PMID- 26553317
TI - Characterization of the biological processes shaping the genetic structure of the
Italian population.
AB - BACKGROUND: The genetic structure of human populations is the outcome of the
combined action of different processes such as demographic dynamics and natural
selection. Several efforts toward the characterization of population genetic
architectures and the identification of adaptation signatures were recently made.
In this study, we provide a genome-wide depiction of the Italian population
structure and the analysis of the major determinants of the current existing
genetic variation. RESULTS: We defined and characterized 210 genomic loci
associated with the first Principal Component calculated on the Italian genotypic
data and correlated to the North-south genetic gradient. Using a gene-enrichment
approach we identified the immune function as primarily involved in the Italian
population differentiation and we described a locus on chromosome 13 showing
combined evidence of North-south diversification in allele frequencies and signs
of recent positive selection. In this region our bioinformatics analysis
pinpointed an uncharacterized long intergenic non-coding (lincRNA), whose
expression appeared specific for immune-related tissues suggesting its relevance
for the immune function. CONCLUSIONS: Our study, combining population genetic
analyses with biological insights provides a description of the Italian genetic
structure that in future could contribute to the evaluation of complex diseases
risk in the population context.
PMID- 26553318
TI - PFDN1, an indicator for colorectal cancer prognosis, enhances tumor cell
proliferation and motility through cytoskeletal reorganization.
AB - Prefoldin (PFDN) subunits have been reported upregulated in various tumor types,
while the expression and functions of PFDN1 (PFDN subunit 1) in colorectal cancer
(CRC) are not well elucidated. The aim of this study was to investigate the use
of PFDN1 as a poor prognosis indicator for CRC and explore the functions of PFDN1
in CRC. The relationship between PFDN1 expression and CRC clinical-pathological
statistics was detected on the tissue microarray containing 145 cases of CRC.
ShRNA was used to silence PFDN1 expression in SW480 and RKO CRC cells, and these
transfected cells were analyzed for changes in proliferation, colony formation,
cell cycle, migration, and invasion. Immunofluorescence and immunoblot were used
to determine the remodeling of the F-actin and alpha-tubulin. Finally, tumor
growth on nude mice was observed and measured. In this study, we found PFDN1 was
upregulated in CRC tissues compared with adjacent normal tissues. Also, PFDN1
expression positively correlated with tumor size and tumor invasion. Moreover,
after silencing PFDN1 in SW480 and RKO cells, the proliferation and motility of
CRC cells were significantly suppressed. The inhibitory effect of PFDN1 on tumor
cell growth and motility was partially due to G2/M cell cycle blockage and
cytoskeletal deficiency. Finally, in vivo assay showed that downregulation of
PFDN1 inhibited tumor growth on nude mice and PFDN1 expression correlated with
higher levels of Ki-67 staining. These findings indicate that PFDN1 was involved
in the progression of CRC, and provide new insights into PFDN1 as a potential
therapeutic target for CRC treatment.
PMID- 26553319
TI - Metallic copper spray--a new control technique to combat invasive container
inhabiting mosquitoes.
AB - BACKGROUND: The control of container-inhabiting mosquitoes is mainly based on
environmental management with special emphasis on community participation e.g.
source reduction by elimination or modification of water bodies. However,
citizens are often not aware of the problems related to urban mosquito control or
just ignore the advice provided during anti-mosquito control campaigns. In
particular, cemeteries contain favourite breeding sites for container-inhabiting
mosquitoes like Ochlerotatus j. japonicus, Culex pipiens s.l./Cx. torrentium,
Aedes aegypti or Aedes albopictus. In our study, we investigated whether metallic
copper e.g. in form of copper spray can be a suitable and cost-effective tool to
combat mosquito breeding in vases or other similar small containers where no
commonly used insecticides can be applied. METHODS: The effect of metallic copper
spray in comparison to 5 Euro cent coins or copper tubes at different dosages and
water qualities applied in small water collections such as widely used plastic
grave vases were evaluated by assessing the mortality rates of larvae of Oc.j.
japonicus, Cx. pipiens s.l./Cx. torrentium and Ae.aegypti. Different water
qualities were tested to assess the influence of pH on the solubility of the
copper ions. The copper concentrations were quantified using ICP/MS (Inductively
coupled plasma/Mass spectrometry) in relation to the exposure time and mortality
rates of mosquito larvae. All statistical analyses were computed using JMP 10.0.2
(SAS Institute Inc., 2012, Cary, NC, USA). RESULTS: Dosages of less than 500 ppb
of copper in the water of small containers led to a 100% mortality rate after 2
weeks for all tested mosquito species by using one or more 5 Euro cent
coins/vase. When the interior surface of plastic grave vases was covered by
metallic copper spray, all of the tested larvae died after 7-10 days in the
laboratory and under field conditions the reduction rate was 99.44% for Oc.j.
japonicus and 99.6% for Culex pipiens s.l./Cx. torrentium larvae for a period of
about 3 months. CONCLUSION: The use of metallic copper spray provides a
sustainable control of container-inhabiting mosquitoes at low costs. The amount
of dissolved copper in water (about 500 ppb) is far below the critical value for
drinking water according to the WHO recommendations and is therefore not
detrimental for the environment.
PMID- 26553320
TI - Impact of nicotine on the interplay between human periodontal ligament cells and
CD4+ T cells.
AB - Periodontitis is a common infectious disease associated with destruction of
periodontal ligaments and alveolar bones. CD4(+) T cell-mediated immune response
is involved in the progression of periodontitis. Tobacco consumption increases
the risk of periodontal disease. However, the impact of nicotine on the
interaction between human periodontal ligament (PDL) cells and CD4(+) T cells
remains unrevealed. Our study aims to investigate the effect of nicotine on PDL
cells and the cocultured CD4(+) T cells. The PDL cell cultures were established
by explants from healthy individuals, exposed to nicotine or alpha-bungarotoxin
(alpha-BTX), and incubated solely or in combination with CD4(+) T cells.
Afterwards, cell viability, secreted cytokines, and matrix metalloproteinases
(MMPs) were evaluated. In monoculture of PDL cells, nicotine dramatically
repressed cell viability and increased apoptosis. Meanwhile, alpha-BTX largely
reversed the nicotine-induced apoptosis and increased viability of PDL cells.
Compared with the monoculture, MMP-1, MMP-3, interleukin (IL)-1beta, IL-6, IL-17,
and IL-21 in supernatant of cocultures were markedly elevated after treatment
with nicotine. Moreover, alpha-BTX significantly attenuated nicotine-triggered
production of these components either in mono- or co-cultures. In addition, PDL
cell-derived CXCL12 following nicotine treatment recruited CD4(+) T cells. Above
all, nicotine deteriorated periodontitis partially by promoting PDL cell-CD4(+) T
cell-mediated inflammatory response and matrix degradation.
PMID- 26553321
TI - Human and experimental toxicology of orellanine.
AB - Orellanine is a nephrotoxic toxin produced by some mushroom species of the
Cortinarius genus, typically found in Europe and North America. The
nephrotoxicity of Cortinarius orellanus is well known and was first recognized in
the 1950s when this mushroom was identified as the cause of a mass poisoning in
Poland. Typically, onset of symptoms is delayed for 1-2 weeks after ingestion.
Some patients suffer mild gastrointestinal discomfort in the latency period
before developing signs of renal impairment due to severe interstitial nephritis,
acute focal tubular damage, and interstitial fibrosis. There is no specific
antidote to orellanine poisoning. The mainstay of treatment is the prevention of
secondary complications of kidney failure, adequate dialysis and, in the case of
incomplete recovery, management of chronic renal insufficiency. : In this work,
we aim to review about Cortinarius species, including epidemiological studies,
chemical structure, toxicokinetics, toxic doses, mechanisms of toxicity,
diagnosis, prognosis, and treatment options.
PMID- 26553322
TI - Detection of paternally inherited fetal point mutations for beta-thalassemia in
maternal plasma using simple fetal DNA enrichment protocol with or without whole
genome amplification: an accuracy assessment.
AB - OBJECTIVE: To design and evaluate a noninvasive protocol for prenatal diagnosis
(PND) of beta-thalassemia, using cell free fetal DNA (cff-DNA) in maternal
circulation. Traditional current PND which is mainly based on chorionic villous
sampling (CVS), amplification refractory mutation system and sequencing holds as
gold standard. METHODS: Ten thalassemia trait couples with distinct mutations for
the husband and wife were included in this study. The mutations in carrier
fathers were IVSI-1, IVSI-5, FR8/9 and CD44. After maternal plasma isolation and
free DNA extraction, all samples subjected to designed protocol including DNA
size separation on agarose gel, elution of DNA from the gel slices using a simple
and efficient manual purification method, with or without whole genome
amplification and the detection method was allele-specific real-time PCR.
RESULTS: Presence or absence of the paternal mutant allele was correctly
determined in all of cases and the accuracy of designed protocol was determined
100%. CONCLUSIONS: The protocol described here is very simple, inexpensive and
easy to perform, but with satisfactory accuracy in detection of paternal
mutations in cff-DNA. Due to the risk of fetal loss with current invasive
sampling for PND, a noninvasive alternative is highly demanded in clinical
setting.
PMID- 26553324
TI - That was the year that was.
PMID- 26553325
TI - The influence of ALA-mediated photodynamic therapy on secretion of selected
growth factors by colon cancer cells in hypoxia-like environment in vitro.
AB - BACKGROUND: Photodynamic therapy (PDT) affects the immune system and tumor cells'
secretory activity. Hypoxia may limit PDT effects. The aim of this study was to
determine the influence of PDT with aminolevulinic acid (ALA-PDT) in a hypoxic
like microenvironment on the secretion of growth factors: GM-CSF (granulocyte and
macrophage colony stimulating factor), G-CSF (granulocyte colony-stimulating
factor) and FGF (fibroblast growth factor) by experimental models of colon cancer
cells in vitro. METHODS: Sublethal doses of ALA-PDT (ALA of 1000 MUM, light
fluence 10J/cm(2), power density rate of 1.5mW/cm(2), wavelength 600-720nm) were
administered to two colorectal cancer cell lines varying in malignancy potential:
SW480 (local malice) and SW620 (high metastatic activity) under normoxia and
hypoxia-like environment. Hypoxia-mimic conditions were achieved by adding
environment cobalt (II) chloride. Concentrations of growth factors were analyzed
with Bio-Plex ProTM Assay. RESULTS: ALA-PDT amplified the secretion of GM-CSF by
both cell lines. The decrease in secretion of G-CSF and FGF was noticed in the
SW620. SW620 line cells secreted higher levels of FGF and G-CSF, while SW480
cells more actively released GM-CSF. Compared to normoxic condition, no
differences in the secretion of these factors in a hypoxic-like environment were
found. CONCLUSIONS: ALA-PDT increased GM-CSF secretion, which stimulates
antitumor defense and decreased secretion of FGF and G-CSF-factors responsible
for tumor progression. No differences in the effects of ALA-PDT in the hypoxic
like environment suggests that the beneficial results of PDT are also continued
in the later stages of the reaction.
PMID- 26553323
TI - Preclinical Evidence for a Role of the Nicotinic Cholinergic System in
Parkinson's Disease.
AB - One of the primary deficits in Parkinson's disease (PD) is the loss of
dopaminergic neurons in the substantia nigra pars compacta which leads to
striatal dopaminergic deficits that underlie the motor symptoms associated with
the disease. A plethora of animal models have been developed over the years to
uncover the molecular alterations that lead to PD development. These models have
provided valuable information on neurotransmitter pathways and mechanisms
involved. One such a system is the nicotinic cholinergic system. Numerous studies
show that nigrostriatal damage affects nicotinic receptor-mediated dopaminergic
signaling; therefore therapeutic modulation of the nicotinic cholinergic system
may offer a novel approach to manage PD. In fact, there is evidence showing that
nicotinic receptor drugs may be useful as neuroprotective agents to prevent
Parkinson's disease progression. Additional preclinical studies also show that
nicotinic receptor drugs may be beneficial for the treatment of L-dopa induced
dyskinesias. Here, we review preclinical findings supporting the idea that
nicotinic receptors are valuable therapeutic targets for PD.
PMID- 26553326
TI - Perceptions of Cancer Risk: Differences by Weight Status.
AB - Despite the strong link between obesity and cancer development, individuals are
less likely to identify obesity as a risk factor for cancer than family history.
Family history of cancer has been documented to influence perceived risk of
developing cancer, yet it is unclear if excess weight impacts cancer risk
perceptions. The purpose of this study was to examine absolute and relative risk
perceptions for cancer by weight status. Cross-sectional data were obtained from
the National Cancer Institute's 2011 Health Information National Trends Survey (n
= 2585). Demographics, anthropometric data, family history of cancer, health
behaviors, and absolute and relative cancer risk perceptions were evaluated. The
effect of weight and family history on absolute and relative cancer risk
perceptions was analyzed through weighted descriptive and logistic regression
analyses. 22.8 and 28.6 % of subjects reported that they were very
unlikely/unlikely to develop cancer in their lifetime (absolute risk) and when
compared to others their age (relative risk), respectively. Findings indicated
differences in risk perceptions between those with and without a family history
of cancer (p < 0.0001). No significant differences were found between BMI
categories for absolute cancer risk perceptions despite stratification by family
history. Obese subjects were more likely to have an increased relative risk
perception of cancer compared to healthy weight subjects (p = 0.0066); this
association remained significant when stratified by family history (p = 0.0161).
Educating individuals, especially those who are overweight/obese, about the
impact of excess weight on cancer risk may improve risk accuracy and promote
cancer risk reduction through weight management.
PMID- 26553327
TI - Cancer Patients and the Internet: a Survey Among German Cancer Patients.
AB - An increasing number of patients and relatives use the Internet to get additional
or initial information about their disease. The aim of the study was to
reevaluate the Internet usage among German cancer patients. Using a standardized
questionnaire, we did an anonymous survey on patients attending a series of
lectures on complementary medicine in 2014. We received 255 questionnaires.
Nearly 80 % of the participants stated that they used the Internet to read up
information about health or medicine issues. There was no significant difference
regarding gender, age, or status (patient, current treatment/former treatment;
relatives). Most users use the Internet in order to get additional information
after a consultation with a physician (82.2 %). Important qualities from the view
of the patient are a trustable source (65.3 %), information from experts (59.6
%), and actual information (52.8 %). There is an increasing number of patients in
Germany looking for information in the Internet mostly in the intention of
getting additional information. Yet, as the quality of information is
heterogeneous, false information may lead to distrust in the doctor or wrong
decision-making. Accordingly, organizations working on improving quality of
cancer care should engage in conveying comprehensive and actual information
adapted to the needs of patients. Physicians should know trustful websites for
referral of patients.
PMID- 26553328
TI - Cancer genetics: RNA-seq for blood-based pan-cancer diagnostics.
PMID- 26553329
TI - Methods and models for unravelling human evolutionary history.
AB - The genomes of contemporary humans contain considerable information about the
history of our species. Although the general contours of human evolutionary
history have been defined with increasing resolution throughout the past several
decades, the continuing deluge of massively large sequencing data sets presents
new opportunities and challenges for understanding human evolutionary history.
Here, we review the signatures that demographic history imparts on patterns of
DNA sequence variation, statistical methods that have been developed to leverage
information contained in genome-scale data sets and insights gleaned from these
studies. We also discuss the importance of using exploratory analyses to assess
data quality, the strengths and limitations of commonly used population genomics
methods, and factors that confound population genomics inferences.
PMID- 26553331
TI - Defining the Incremental Utility of Prostate Multiparametric Magnetic Resonance
Imaging at Standard and Specialized Read in Predicting Extracapsular Extension of
Prostate Cancer.
AB - Multiparametric magnetic resonance imaging (mpMRI) is increasingly used in
staging early prostate cancer (PCa) but remains heavily reader-dependent. We aim
to define the incremental utility of mpMRI over clinical parameters in
determining the pathologic extracapsular extension (pECE) of PCa interpreted in a
standard radiologic setting and when further over-read by a specialized reader.
We retrospectively reviewed 120 men with clinically localized PCa undergoing
mpMRI and radical prostatectomy. We obtained radiologic prediction of pECE from
standard radiologic reports (standard read) and by a specialized reader blinded
to clinical and pathologic findings (specialized read). We determined the
incremental benefit of standard read and specialized read by sequential addition
to a baseline clinical parameters-only logistic regression model predicting pECE.
The sensitivity and specificity of standard read were 77% and 44%, respectively,
whereas those of specialized read were 86% and 81%. The positive likelihood ratio
was 1.7 at baseline, 1.7 adding standard read, and 6.5 adding specialized read.
The negative likelihood ratio was 0.6 at baseline, 0.5 adding standard read, and
0.1 adding specialized read. Standard read modestly improved prediction of pECE,
whereas specialized read improved it moderately. PATIENT SUMMARY: The incremental
benefit of mpMRI over clinical information is small but increases to moderate
with a specialized second opinion. This second opinion may be useful when
considering active surveillance, nerve-sparing surgery, or focal therapy.
PMID- 26553330
TI - Human genotype-phenotype databases: aims, challenges and opportunities.
AB - Genotype-phenotype databases provide information about genetic variation, its
consequences and its mechanisms of action for research and health care purposes.
Existing databases vary greatly in type, areas of focus and modes of operation.
Despite ever larger and more intricate datasets--made possible by advances in DNA
sequencing, omics methods and phenotyping technologies--steady progress is being
made towards integrating these databases rather than using them as separate
entities. The consequential shift in focus from single-gene variants towards
large gene panels, exomes, whole genomes and myriad observable characteristics
creates new challenges and opportunities in database design, interpretation of
variant pathogenicity and modes of data representation and use.
PMID- 26553332
TI - Impacts of gender, weather, and workplace differences in farm worker's gear.
AB - BACKGROUND: The farmers cannot help working in outdoor conditions which have high
humidity and solar radiation during the harvest period. Wearable items including
clothing are the nearest environment of human body, and to understand the current
state of them can be a way to set up an active prevention strategy against the
health risk from heat stress in summertime agriculture. The aim of this study was
to investigate the work wear and accessories which the elderly farmers used
during agricultural working. METHODS: One hundred twenty farmers (49 males and 71
females) working in nine separate sites on different days took part in this
study. The average age of subjects was 61 years old. We examined the types of
working posture, clothing, and items that the farmers used and/or wore. We also
interviewed the farmers to know why they used such items while working. RESULTS:
The results of this study were as follows: (1) Farmers worked in the thermal
environment which was over wet bulb globe temperature (WBGT) reference value, and
the farmers could suffer heat stress due to workload induced from wearing
conventional long-sleeved shirts and long trousers which were 0.66 clo in average
under this summertime working thermal condition. (2) The farmers tended to change
the layer of upper clothing for adapting to weather condition. (3) The types of
footwear used seemed to be related with facilities as well as weather, and
farmers tended to wear lighter footwear when the weather is hotter or when they
work in PVC greenhouse. The majority of elderly farmers wore loafers and rubber
shoes which had indistinguishable thin soles. (4) The types of hats showed the
difference between facilities as well as gender and only 31.7% of all
participants used long brims. (5) Korean elderly farmers did not use any active
cooling item as agricultural auxiliary tools in summer harvesting time.
CONCLUSIONS: Korean elderly farmers worked in poor surroundings which could
threaten their health and safety and seemed not to adjust their workload and
clothing during summer harvest season. Thus, it would be necessary to monitor
individual responses in order to ensure that the risk of heat stress is
prevented.
PMID- 26553334
TI - Online food nutrition labelling in the UK: how consistent are supermarkets in
their presentation of nutrition labels online?
AB - OBJECTIVE: To evaluate consistency levels of nutrition labelling on supermarket
websites. DESIGN: This is a comparative, quantitative study examining page
position, content and design of nutrition labels on own-brand and branded
products. Online and in-store nutrition labels were examined, categorised and
analysed to discern variety of label designs used and consistency between online
and in-store labelling. SETTING: Five large online food retailers in the UK.
SUBJECTS: Nutrition labels displayed on 100 webpages were examined for twenty
branded and own-brand products. Equivalent labels on in-store packaged products
were also examined. RESULTS: Eight different combinations of nutrition label
designs were found. The online supermarket sites were found to use from three to
six of these label combinations across the sample. The consistency level between
online and in-store package labels ranged from 25 % to 90 %. In many cases the
nutrition label required scrolling to view and in all cases items could be
purchased without the label being visible from the search result listings.
CONCLUSIONS: The main recommendation of the paper is that online nutrition
labelling needs to be much more consistently presented than is currently
practised, both within each website and between online and in-store experiences.
Particular attention should be made to polychrome colour and the inclusion of
summary graphics. Designers should also ensure visibility of the label and raise
its vertical page position. The paper also proposes additional expansion of the
use of nutrition information online, using nutrition values as database fields in
search criteria and checkout aggregation reporting.
PMID- 26553333
TI - Serotypes of group B streptococci in western Sweden and comparison with serotypes
in two previous studies starting from 1988.
AB - BACKGROUND: Group B Streptococci (GBS) are the most common neonatal pathogens and
infect immunocompromised and elderly individuals. The species has 10 different
serotypes. Serotypes have been studied in the south-west area of Sweden in 1988
1997 and 1998-2001. The aim of this study was to study serotypes in the same area
from 2004 to 2009. METHODS: Invasive GBS isolates were collected prospectively
from 2004 to 2009 in two counties in western Sweden with a population of 1.8
million, and were serotyped by latex agglutination. Clinical data were obtained
from hospital records. During the study period 410 invasive GBS isolates from 398
patients were collected (multiple episodes >= 1 month apart). Clinical data were
not available for two patients who are excluded. Four isolates were from
stillborn neonates, 88 were from live born neonates and infants, and 318 from
adults. RESULTS: Serotype III was the most common serotype (48%) in neonates and
infants followed by serotypes Ia (18%) and V (16%). In adults serotype V (39%)
dominated followed by serotypes III (20%) and Ib (14%). There was a significant
increase of serotype V in comparison with the first study (1988-1997) but there
were no significant changes in the serotype distribution between the present
study and the second study (1998-2001). There were a few cases of serotype VI-IX,
both in children and adults, not seen in the previous studies. Serotype V was
more common among patients with arthritis than with any other manifestation.
CONCLUSIONS: Changes in GBS serotypes occur over time in the same region, which
must be considered when GBS vaccines are formulated.
PMID- 26553335
TI - More than just trash bins? Potential roles for extracellular vesicles in the
vertical and horizontal transmission of yeast prions.
AB - In the yeast Saccharomyces cerevisiae, an ensemble of structurally and
functionally diverse cytoplasmic proteins has the ability to form self
perpetuating protein aggregates (e.g. prions) which are the vectors of heritable
non-Mendelian phenotypic traits. Whether harboring these prions is deleterious
akin to mammalian degenerative disorders-or beneficial-as epigenetic modifiers of
gene expression-for yeasts has been intensely debated and strong arguments were
made in support of both views. We recently reported that the yeast prion protein
Sup35p is exported via extracellular vesicles (EV), both in its soluble and
aggregated infectious states. Herein, we discuss the possible implications of
this observation and propose several hypotheses regarding the roles of EV in both
vertical and horizontal propagation of 'good' and 'bad' yeast prions.
PMID- 26553336
TI - Opioid Prescribing at Hospital Discharge Contributes to Chronic Opioid Use.
AB - BACKGROUND: Chronic opioid therapy for chronic pain treatment has increased.
Hospital physicians, including hospitalists and medical/surgical resident
physicians, care for many hospitalized patients, yet little is known about opioid
prescribing at hospital discharge and future chronic opioid use. OBJECTIVE: We
aimed to characterize opioid prescribing at hospital discharge among 'opioid
naive' patients. Opioid naive patients had not filled an opioid prescription at
an affiliated pharmacy 1 year preceding their hospital discharge. We also set out
to quantify the risk of chronic opioid use and opioid refills 1 year post
discharge among opioid naive patients with and without opioid receipt at
discharge. DESIGN: This was a retrospective cohort study. PARTICIPANTS: From 1
January 2011 to 31 December 2011, 6,689 opioid naive patients were discharged
from a safety-net hospital. MAIN MEASURE: Chronic opioid use 1 year post
discharge. KEY RESULTS: Twenty-five percent of opioid naive patients (n = 1,688)
had opioid receipt within 72 hours of discharge. Patients with opioid receipt
were more likely to have diagnoses including neoplasm (6.3% versus 3.5%, p <
0.001), acute pain (2.7% versus 1.0 %, p < 0.001), chronic pain at admission
(12.1% versus 3.3%, p < 0.001) or surgery during their hospitalization (65.1%
versus 18.4%, p < 0.001) compared to patients without opioid receipt. Patients
with opioid receipt were less likely to have alcohol use disorders (15.7% versus
20.7%, p < 0.001) and mental health disorders (23.9% versus 31.4%, p < 0.001)
compared to patients without opioid receipt. Chronic opioid use 1 year post
discharge was more common among patients with opioid receipt (4.1% versus 1.3%, p
< 0.0001) compared to patients without opioid receipt. Opioid receipt was
associated with increased odds of chronic opioid use (AOR = 4.90, 95% CI 3.22
7.45) and greater subsequent opioid refills (AOR = 2.67, 95% CI 2.29-3.13) 1 year
post discharge compared to no opioid receipt. CONCLUSION: Opioid receipt at
hospital discharge among opioid naive patients increased future chronic opioid
use. Physicians should inform patients of this risk prior to prescribing opioids
at discharge.
PMID- 26553337
TI - Defining Appropriate Use of Proton-Pump Inhibitors Among Medical Inpatients.
AB - BACKGROUND: Proton-pump inhibitors (PPIs) are commonly used among medical
inpatients, both for prophylaxis against upper gastrointestinal bleeding (UGIB)
and continuation of outpatient use. While PPIs reduce the risk of UGIB, they also
appear to increase the risk of hospital-acquired pneumonia (HAP) and Clostridium
difficile infection (CDI). Depending upon the underlying risks of these
conditions and the changes in those risks with PPIs, use of proton-pump
inhibitors may lead to a net benefit or net harm among medical inpatients.
OBJECTIVE: We aimed to determine the net impact of PPIs on hospital mortality
among medical inpatients. DESIGN: A microsimulation model, using literature
derived estimates of the risks of UGIB, HAP, and CDI among medical inpatients,
along with the changes in risk associated with PPI use for each of these
outcomes. The primary outcome was change in inpatient mortality. PARTICIPANTS:
Simulated general medical inpatients outside the intensive care unit (ICU). MAIN
MEASURE: Change in overall mortality during hospitalization. KEY RESULTS: New
initiation of PPI therapy led to an increase in hospital mortality in about 90%
of simulated patients. Continuation of outpatient PPI therapy on admission led to
net increase in hospital mortality in 79% of simulated patients. Results were
robust to both one-way and multivariate sensitivity analyses, with net harm
occurring in at least two-thirds of patients in all scenarios. CONCLUSIONS: For
the majority of medical inpatients outside the ICU, use of PPIs likely leads to a
net increase in hospital mortality. Even in patients at particularly high risk of
UGIB, only those at the very lowest risk of HCAP and CDI should be considered for
prophylactic PPI use. Continuation of outpatient PPIs may also increase expected
hospital mortality. Apart from patients with active UGIB, use of PPIs in
hospitalized patients should be discouraged.
PMID- 26553338
TI - Very small embryonic-like stem cells (VSELs) detected in azoospermic testicular
biopsies of adult survivors of childhood cancer.
AB - BACKGROUND: Infertility is a known side-effect of oncotherapy in cancer
survivors, and often compromises the quality of life. The present study was
undertaken to detect very small embryonic-like stem cells (VSELs) in testicular
biopsies from young adult survivors of childhood cancer who had azoospermia.
VSELs have been earlier reported in human and mouse testes. They resist busulphan
treatment in mice and potentially restore spermatogenesis when the somatic niche
is restored by transplanting Sertoli or mesenchymal cells. VSELs also have the
potential to differentiate into sperm in vitro. METHODS: The study had clearance
from Institutional review board (IRB). Seven azoospermic survivors of childhood
cancer were included in the study after obtaining their informed consent. Semen
analysis was done to confirm azoospermia prior to inclusion in the study.
Testicular biopsies were performed at the Uro-oncology Unit of the hospital and
then used for various studies to detect VSELs. RESULTS: Hematoxylin and Eosin
stained tubular sections confirmed azoospermia and smears revealed the presence
of very small, spherical VSELs with high nucleo-cytoplasmic ratio, in addition to
the Sertoli cells. Immuno-localization studies on testicular smears showed that
the VSELs were CD133+/CD45-/LIN-, expressed nuclear OCT-4, STELLA and cell
surface SSEA-4. Pluripotent transcripts Oct-4A, Nanog and Sox-2 were detected in
azoospermic samples whereas marked reduction was observed in germ cell markers
Oct-4 and Boule. CONCLUSIONS: The present study demonstrates the presence of
pluripotent VSELs in the testicular biopsy of azoospermic adult survivors of
childhood cancer. It is likely that these persisting VSELs can restore
spermatogenesis as demonstrated in mice studies. Therefore, pilot studies need to
be undertaken using autologous mesenchymal cells with a hope to restore
testicular function and fertility in cancer survivors. The results of this study
assume a great significance in the current era, where cryopreservation of
testicular tissue in young pre-pubertal boys for restoring spermatogenesis in
adulthood is still in experimental stages.
PMID- 26553341
TI - Erratum to: Pharmacologic Targeting of Regulatory T Cells for Solid Organ
Transplantation: Current and Future Prospects.
PMID- 26553339
TI - Lysophosphatidic acid enhances survival of human CD34(+) cells in ischemic
conditions.
AB - Several clinical trials are exploring therapeutic effect of human CD34(+) cells
in ischemic diseases, including myocardial infarction. Unfortunately, most of the
cells die few days after delivery. Herein we show that lysophosphatidic acid
(LPA)-treated human umbilical cord blood-derived CD34(+) cells cultured under
hypoxic and serum-deprived conditions present 2.2-fold and 1.3-fold higher
survival relatively to non-treated cells and prostaglandin E2-treated cells,
respectively. The pro-survival effect of LPA is concentration- and time-dependent
and it is mediated by the activation of peroxisome proliferator-activator
receptor gamma (PPARgamma) and downstream, by the activation of pro-survival ERK
and Akt signaling pathways and the inhibition of mitochondrial apoptotic pathway.
In hypoxia and serum-deprived culture conditions, LPA induces CD34(+) cell
proliferation without maintaining the their undifferentiating state, and enhances
IL-8, IL-6 and G-CSF secretion during the first 12 h compared to non-treated
cells. LPA-treated CD34(+) cells delivered in fibrin gels have enhanced survival
and improved cardiac fractional shortening at 2 weeks on rat infarcted hearts as
compared to hearts treated with placebo. We have developed a new platform to
enhance the survival of CD34(+) cells using a natural and cost-effective ligand
and demonstrated its utility in the preservation of the functionality of the
heart after infarction.
PMID- 26553340
TI - Exploring providers' perspectives of a community based TB approach in Southern
Ethiopia: implication for community based approaches.
AB - BACKGROUND: There is increasing interest in the role of close-to-community
providers in supporting universal health coverage, but questions remain about the
best approaches to supporting and motivating these providers, and the optimal
package they can deliver indifferent contexts and support required. We report on
the experiences of different health providers involved in a community based
intervention to support access to tuberculosis diagnosis and treatment in
Southern Ethiopia. METHODS: The aim of the study is to explore the experiences of
health providers in delivering a community-based tuberculosis package in southern
Ethiopia and to draw lessons for community-based programmes. A qualitative
methodology was used. Methods included in-depth interviews (IDIs, n= 37) with all
health provider groups: Community health promoters (CHPs), health extension
workers (HEWs), district supervisors and laboratory technicians were undertaken
to obtain a detailed understanding of the experiences of providers in the
community based tuberculosis package. These were complemented with cadre specific
focus group discussions (n= 3). We used the framework approach for qualitative
analysis. RESULTS: The key theme that emerged was the positive impact the
community-based intervention had on vulnerable groups' access to diagnosis, care
and treatment for tuberculosis. Providers found the positive feedback from, and
visible impact on, communities very motivating. Other themes related to
motivation and performance included supervision and support; learning new skills;
team problem solving/ addressing challenges and incentives. Against the backdrop
of the Ethiopian Health Extension Programme (HEP), HEWs were successfully able to
take on new tasks (collecting sputum and preparing smears) with additional
training and appropriate support from supervisors, laboratory technicians and
CHPs. CONCLUSION: All categories of providers were motivated by the high visible
impact of the community-based intervention on poor and vulnerable communities and
households. HEWs role in the community-based intervention was supported and
facilitated through the structures and processes established within the community
based intervention and the broader nation-wide Health Extension Programme. Within
community based approaches there is need to develop context embedded strategies
to support, sustain and motivate this critical cadre who play a pivotal role in
linking health systems and rural communities.
PMID- 26553342
TI - Detection and survey of coffee ringspot virus in Brazil.
AB - Coffee ringspot virus (CoRSV) a member of the proposed genus "Dichorhavirus", was
surveyed on commercial and research farms spanning an area responsible for the
majority of Coffea arabica production in Brazil. Virus-infected plants were found
at one hundred percent of locations (n = 45) sampled. All cultivars, regardless
of cherry color, were found to serve as hosts, suggesting that there is limited
resistance in commercially employed germplasm. Reverse transcription PCR analysis
revealed that the virus is contained within symptomatic lesions, with little
systemic spread throughout leaves. Phylogenetic analysis based on the ORF1
(nucleocapsid) gene identified a strong geo-spatial relationship among isolates,
which clustered into three clades. Despite low genetic diversity among isolates,
variation in symptom expression was observed in the experimental host Chenopodium
quinoa. Our analyses support the hypothesis that the spread of CoRSV is
constrained by the clonal expansion of thelytokous populations of Brevipalpus
phoenicis. The widespread occurrence of this virus suggests that it is much more
prevalent than previously thought.
PMID- 26553344
TI - Travel as a Transformational Spiritual Event.
AB - There is a philosophical connection between elements of travel and elements of
spirituality. Nurses can develop spiritual intelligence, hone transcultural
skills, and develop cultural humility through travel. Concepts of spiritual
intelligence are incorporated to distinguish spirituality from religion. This
discussion is to describe the spiritual attributes of travel through exploration
of unique cultural sameness and differences, stepping out of one's routine,
experiences of solitude, and the application to nursing. Venues such as study
abroad, mission trips, cultural exchange opportunities, and service learning
projects all can provide meaningful times of transformation, spiritual growth,
learning new ways of doing things, and of being in the world. Nurses who
integrate these practices into the care they provide daily will be enriched
personally and rewarded with improved outcomes.
PMID- 26553343
TI - Tracking practice effects in computation estimation.
AB - The present study investigated college students' ability to estimate the results
of multi-digit multiplication problems and the extent to which this ability
improves with practice. Participants judged whether the results of multiplication
problems composed of two-digit numbers were larger or smaller than a given
reference number. The reference numbers were either close or far from the exact
answer. The effects of practice, size, and distance of the reference number from
the exact answer were examined using four measures of performance: speed,
accuracy, eye movements, and strategy use. The results show that together with
enhanced speed and accuracy with practice, participants also changed the pattern
of eye movements and the strategies they used. The eye movement analysis showed
longer dwell time and more frequent first fixations toward the reference number
with practice, suggesting that participants relied more on the reference number
to solve the task with practice. The strategy analysis revealed that with
practice participants reduced their use of the approximate calculation strategy,
which involves multiplying the rounded operands and comparing the product to the
reference number, and increased their reliance on the sense of magnitude strategy
which does not involve any calculation, but is grounded in the ANS. This was done
especially for trials in which the reference number was far from the exact
answer, thus exhibiting enhanced adaptivity in strategy choice with practice.
PMID- 26553345
TI - Benzoxazepines Achieve Potent Suppression of IL-17 Release in Human T-Helper 17
(TH 17) Cells through an Induced-Fit Binding Mode to the Nuclear Receptor
RORgamma.
AB - RORgammat, an isoform of the retinoic acid-related orphan receptor gamma (RORc,
RORgamma), has been identified as the master regulator of T-helper 17 (TH 17)
cell function and development, making it an attractive target for the treatment
of autoimmune diseases. Validation for this target comes from antibodies
targeting interleukin-17 (IL-17), the signature cytokine produced by TH 17 cells,
which have shown impressive results in clinical trials. Through focused screening
of our compound collection, we identified a series of N-sulfonylated
benzoxazepines, which displayed micromolar affinity for the RORgamma ligand
binding domain (LBD) in a radioligand binding assay. Optimization of these
initial hits resulted in potent binders, which dose-dependently decreased the
ability of the RORgamma-LBD to interact with a peptide derived from steroid
receptor coactivator 1, and inhibited the release of IL-17 secretion from
isolated and cultured human TH 17 cells with nanomolar potency. A cocrystal
structure of inverse agonist 15 (2-chloro-6-fluoro-N-(4-{[3
(trifluoromethyl)phenyl]sulfonyl}-2,3,4,5-tetrahydro-1,4-benzoxazepin-7
yl)benzamide) bound to the RORgamma-LBD illustrated that both hydrophobic
interactions, leading to an induced fit around the substituted benzamide moiety
of 15, as well as a hydrogen bond from the amide NH to His479 seemed to be
important for the mechanism of action. This structure is compared with the
structure of agonist 25 (N-(2-fluorophenyl)-4-[(4-fluorophenyl)sulfonyl]-2,3,4,5
tetrahydro-1,4-benzoxazepin-6-amine ) and structures of other known RORgamma
modulators.
PMID- 26553346
TI - The Dietary Components Carnosic Acid and Carnosol as Neuroprotective Agents: a
Mechanistic View.
AB - Carnosic acid (CA) and carnosol are the major diterpenes found in Rosmarinus
officinalis (rosemary), a culinary spice. CA and carnosol account for over 90 %
of its anti-oxidant activity in rosemary leaves. The diterpenes exert anti
oxidant, anti-inflammatory, and anti-carcinogenic activities, and present
neuroprotective effects in both in vitro and in vivo experimental models. In some
cases, CA exerted protective effects upon neuronal cells more intensely than
resveratrol or sulforaphane. Therefore, CA and carnosol demonstrate a potential
pharmacological role for rosemary diterpenes in ameliorating mammalian brain
redox status, among other parameters, as for instance the modulation of
neuroinflammation. The aim of this review is to discuss the biological effects of
CA and carnosol on neuronal and glial cells with focus on the mechanism of action
of such diterpenes.
PMID- 26553348
TI - Erratum to: Association Between the Single Nucleotide Polymorphism and the Level
of Aquaporin-4 Protein Expression in Han and Minority Chinese with Inflammatory
Demyelinating Diseases of the Central Nervous System.
PMID- 26553347
TI - Dietary Patterns and Risk of Dementia: a Systematic Review and Meta-Analysis of
Cohort Studies.
AB - Dietary patterns and some dietary components have been linked with dementia. We
therefore performed a meta-analysis of available studies to determine whether
there is an association between diet and risk of dementia. We included eligible
articles and estimated risk ratio (RR) with 95 % confidence intervals (95 % CIs).
Finally, there were 43 trials that met the inclusion standard. Some food intake
was related with decrease of dementia, such as unsaturated fatty acids (RR: 0.84,
95 % CI: [0.74-0.95], P = 0.006), antioxidants (RR: 0.87, 95 % CI: [0.77-0.98], P
= 0.026), vitamin B (RR: 0.72, 95 % CI: [0.54-0.96], P = 0.026), and the
Mediterranean diet (MeDi) (RR: 0.69, 95 % CI: [0.57-0.84], P < 0.001). Some
material intakes were related with increase of dementia, such as aluminum (RR:
2.24, 95 % CI: [1.49-3.37], P < 0.001), smoking (RR: 1.43, 95 % CI: [1.15-1.77],
P = 0.001), and low levels of vitamin D (RR: 1.52, 95 % CI: [1.17-1.98], P =
0.002). The effect of some materials needs further investigation, such as fish
(RR: 0.79, 95 % CI: [0.59-1.06], P = 0.113), vegetables and fruits (RR: 0.46, 95
% CI: [0.16-1.32], P = 0.149), and alcohol (RR: 0.74, 95 % CI: [0.55- 1.01], P =
0.056). Thus, the MeDi and higher consumption of unsaturated fatty acids,
antioxidants, and B vitamins decrease the risk of dementia while smoking and
higher consumption of aluminum increase the risk of dementia. Low levels of
vitamin D were associated with cognitive decline. The effect of fish, vegetables,
fruits, and alcohol needs further investigation. The findings will be of great
significance to guide people to prevent dementia.
PMID- 26553351
TI - Sodium Acetate Coated Tenofovir-Loaded Chitosan Nanoparticles for Improved
Physico-Chemical Properties.
AB - PURPOSE: It is hypothesized that sodium acetate (SA) can be used for in situ
coating of drug loaded chitosan NPs for improved physico-chemical properties.
METHODS: Tenofovir (TFV) is used as a model drug. Uncoated chitosan NPs are
prepared by ionic gelation. SA is generated in situ from half neutralization of
acetic acid with sodium hydroxide, and coats chitosan NPs during freeze-drying.
The NPs' physico-chemical properties [e.g., particle mean diameters (PMD) zeta
potential (zeta), EE%, drug release profile, morphology] are characterized by
dynamic light scattering, spectrophotometry, Korsmeyer-Peppas model, transmission
electron microscopy (TEM), respectively. Melting point (MP), non-aqueous
titration, Fourier transform infrared (FTIR) analysis, and powder X-ray
diffractometry (XRD) pattern evaluate the SA coated chitosan NPs. The NPs'
cytotoxicity on macrophages Raw 264.7 is assessed by neutral red, resazurin,
nitrite oxide (NO) and cytokines assays. RESULTS: Collectively, FTIR, zeta, XRD,
MP, and TEM data confirm that SA coats chitosan NPs. The PMD range is 136-348 nm
(uncoated) and 171-379 nm (coated NPs). The zeta values range is +24.3-28.5 mV
(uncoated) and 0.1-3.1 mV (coated NPs). The EE% ranges from 5.5 to 11.7%
(uncoated NPs) and increased up to 86.3-92.7%(8-17-fold) after coating. The SA
also prevents NPs aggregation during the freeze-drying and aqueous dispersion.
The core-shell NPs exhibited a sustain release of TFV following anomalous
transport mechanism (R(2) ~ 0.99). The coated NPs are non-cytotoxic (cell
viability ~100%) and without any proinflammatory response. CONCLUSIONS: This SA
coating chitosan NPs mechanism may be useful for (i) efficient encapsulation,
(ii) stabilizing colloidal dispersions, (iii) controlling the release and
solubility of bioactive agents.
PMID- 26553349
TI - mGluR3 Activation Recruits Cytoplasmic TWIK-1 Channels to Membrane that Enhances
Ammonium Uptake in Hippocampal Astrocytes.
AB - TWIK-1 two-pore domain K+ channels are highly expressed in mature hippocampal
astrocytes. While the TWIK-1 activity is readily detectable on astrocyte
membrane, the majority of channels are retained in the intracellular
compartments, which raises an intriguing question of whether the membrane TWIK-1
channels could be dynamically regulated for functions yet unknown. Here, the
regulation of TWIK-1 membrane expression by Gi/Go-coupled metabotropic glutamate
receptor 3 (mGluR3) and its functional impact on ammonium uptake has been
studied. Activation of mGluR3 induced a marked translocation of TWIK-1 channels
from the cytoplasm to the membrane surface. Consistent with our early observation
that membrane TWIK-1 behaves as nonselective monovalent cation channel, mGluR3
mediated TWIK-1 membrane expression was associated with a depolarizing membrane
potential (V M). As TWIK-1 exhibits a discernibly high permeability to ammonium
(NH4+), a critical substrate in glutamate-glutamine cycle for neurotransmitter
replenishment, regulation of NH4+ uptake capacity by TWIK-1 membrane expression
was determined by response of astrocyte V M to bath application of 5 mM NH4Cl.
Stimulation of mGluR3 potentiated NH4+-induced V M depolarization by ~30 % in
wild type, but not in TWIK-1 knockout astrocytes. Furthermore, activation of
mGluR3 mediated a coordinated translocation of TWIK-1 channels with recycling
endosomes toward astrocyte membrane and the mGluR3-mediated potentiation of NH4+
uptake required a functional Rab-mediated trafficking pathway. Altogether, we
demonstrate that the activation of mGluR3 up-regulates the membrane expression of
TWIK-1 that in turn enhances NH4+ uptake in astrocytes, a mechanism potentially
important for functional coupling of astrocyte glutamate-glutamine cycle with the
replenishment of neurotransmitters in neurons.
PMID- 26553352
TI - Assessment of Interspecies Differences in Drug-Induced QTc Interval Prolongation
in Cynomolgus Monkeys, Dogs and Humans.
AB - BACKGROUND AND PURPOSE: The selection of the most suitable animal species and
subsequent translation of the concentration-effect relationship to humans are
critical steps for accurate assessment of the pro-arrhythmic risk of candidate
molecules. The objective of this investigation was to assess quantitatively the
differences in the QTc prolonging effects of moxifloxacin between cynomolgus
monkeys, dogs and humans. The impact of interspecies differences is also
illustrated for a new candidate molecule. EXPERIMENTAL APPROACH: Pharmacokinetic
data and ECG recordings from pre-clinical protocols in monkeys and dogs and from
a phase I trial in healthy subjects were identified for the purpose of this
analysis. A previously established Bayesian model describing the combined effect
of heart rate, circadian variation and drug effect on the QT interval was used to
describe the pharmacokinetic-pharmacodynamic relationships. The probability of a
>= 10 ms increase in QT was derived as measure of the pro-arrhythmic effect. KEY
RESULTS: For moxifloxacin, the concentrations associated with a 50% probability
of QT prolongation >= 10 ms (Cp50) varied from 20.3 to 6.4 and 2.6 MUM in dogs,
monkeys and humans, respectively. For NCE05, these values were 0.4 MUM vs 2.0 MUM
for monkeys and humans, respectively. CONCLUSIONS AND IMPLICATIONS: Our findings
reveal significant interspecies differences in the QT-prolonging effect of
moxifloxacin. In addition to the dissimilarity in pharmacokinetics across
species, it is likely that differences in pharmacodynamics also play an important
role. It appears that, regardless of the animal model used, a translation
function is needed to predict concentration-effect relationships in humans.
PMID- 26553353
TI - Screening and In Vitro Evaluation of Mucoadhesive Thermoresponsive System
Containing Methylene Blue for Local Photodynamic Therapy of Colorectal Cancer.
AB - PURPOSE: Photodynamic therapy (PDT) with methylene blue (MB) constitutes a
potentially useful modality for colorectal cancer treatment. The limitations of
the formulations containing MB are problems of administration and the inability
to get the closeness contact at the site during the appropriate residence time.
Present study aimed to develop and characterize mucoadhesive thermoresponsive
system containing MB designed as platform for colorectal cancer therapy. METHODS:
Formulations composed of different amounts of poloxamer 407 (Polox), Carbopol
934P (Carb), and MB were developed and characterized as rheological,
compressional, mucoadhesive and syringeability properties, toxicity, photodynamic
action, in vitro MB release profile, and ex vivo MB intestinal permeation.
RESULTS: The different compositions resulted in formulations with distinctive
macroscopic characteristics and wide range of gelation temperatures. The
compressional flow, mucoadhesive, syringeability, and rheological properties were
significantly influenced by temperature and/or composition. The MB release from
formulation was governed by anomalous transport. In addition, it was observed
that MB permeated the intestinal membrane; the formulation possesses photodynamic
activity and low toxicity. CONCLUSIONS: The data obtained from the system
composed of 20% Polox, 0.15% Carb, and 0.25% MB indicated a potentially
functional role in PDT of the colorectal cancer and suggest it is worthy of
clinical evaluation.
PMID- 26553354
TI - Meta-analysis of Magnetic Marker Monitoring Data to Characterize the Movement of
Single Unit Dosage Forms Though the Gastrointestinal Tract Under Fed and Fasting
Conditions.
AB - PURPOSE: To develop a model predicting movement of non-disintegrating single unit
dosage forms (or "tablet") through the gastrointestinal tract and characterizing
the effect of food intake, based on Magnetic Marker Monitoring data, allowing
real-time location of a magnetically labeled formulation. METHODS: Five studies
including 30 individuals in 94 occasions under 3 food status were considered. The
mean residence time (MRT) of the tablet and the effect of food intake in proximal
(PS) and distal stomach (DS), small intestine (SI), ascending (AC), transverse
(TC) and descending colon (DC) were estimated using a Markov model for
probabilities of movement. RESULTS: Under fasting conditions, tablet MRTs were
9.4 min in PS, 10.4 in DS, 246 in SI, 545 in AC, 135 in TC, and 286 in DC. A meal
taken simultaneous to tablet intake prolonged tablet MRT to 99 min in PS and to
232 in DS; probability of gastric emptying increased of 89% each hour from 2.25 h
after meal. The effect of a gastroileac reflex, caused by a secondary meal,
accelerated the transit from terminal SI to AC. CONCLUSION: This model-based
knowledge can be used as a part of mechanism-based models for drug absorption,
applied for bottom-up predictions and/or top-down estimation.
PMID- 26553355
TI - Glucose-Based Mesoporous Carbon Nanospheres as Functional Carriers for Oral
Delivery of Amphiphobic Raloxifene: Insights into the Bioavailability Enhancement
and Lymphatic Transport.
AB - PURPOSE: Oral therapy with raloxifene (RXF), an amphiphobic drug for remedy of
the postmenopausal osteoporosis and estrogen-dependent breast cancer, is less
effective due to its poor bioavailability (2% or so). This work aimed to devise
mesoporous carbon nanospheres (MCNs) for oral delivery of RXF and evaluate their
performance in bioavailability enhancement and lymphatic transport. METHODS:
Glucose-based MCNs were fabricated by hydrothermal reaction followed by high
temperature activation. RXF-loaded MCNs (RXF-MCNs) were prepared by solvent
diffusion/high-pressure homogenization and stabilized by phospholipid. RXF-MCNs
were fully characterized by particle size, morphology, in vitro drug release and
metabolism, in vivo pharmacokinetics and lymphatic transport, and ex vivo
fluorescent imaging. RESULTS: The prepared RXF-MCNs were 230 nm around in
particle size, showing high entrapment efficiency (95.35%) and satisfactory
physical stability. The oral bioavailability of RXF was enhanced by 2.07 folds
through MCNs compared with RXF suspensions in rats. It was shown that reduced
intestinal metabolism due to entrapment into MCNs, active transcellular uptake
and increased lymphatic transport were responsible for enhanced bioavailability
as a result of transport improvement. CONCLUSIONS: The results suggest that MCNs
are suitable nanocarriers for oral delivery of poorly bioavailable RXF.
PMID- 26553356
TI - [Clinical assessment of pain in Spanish Neonatal Intensive Care Units].
AB - INTRODUCTION: Clinical scales are currently the best method to assess pain in the
neonate, given the impossibility of self-report in this age group. A study is
designed with the aim of determining the current practices as regards the
clinical assessment of pain in Spanish Neonatal Units and the factors associated
with the use of clinical scales. METHODS: A prospective longitudinal
observational study was conducted. A total of 30 Units participated and 468
neonates were included. RESULTS: Only 13 Units (43.3%) had pain assessment
protocols. Pain was evaluated with a scale in 78 neonates (16.7%, 95% CI; 13.1
20.1) and the mean number of pain assessments per patient and per day was 2.3
(Standard Deviation; 4.8), with a median of 0.75. Of the total number of 7,189
patient-days studied, there was at least one pain assessment in 654 (9.1%). No
pain assessment was performed with a clinical scale on any patient in 20 (66.7%)
Units. Among those that did, a wide variation was observed in the percentage of
patients in whom pain was assessed, as well as in the scales used. The CRIES (C
Crying; R-Requires increased oxygen administration; I-Increased vital signs; E
Expression; S-Sleeplessness) scale was that used in most Units. In the
multivariate analysis, only invasive mechanical ventilation was associated with
receiving a pain assessment with a scale (OR 1.46, P=.042). DISCUSSION: The
majority of neonates admitted into Intensive Care in Spain do not receive a pain
assessment. Many units still do not routinely use clinical scales, and there is a
wide variation between those that do use them. These results could serve as a
basis for preparing national guidelines as regards pain in the neonate.
PMID- 26553357
TI - [Colour density spectral array of bilateral bispectral index in status
epilepticus].
PMID- 26553358
TI - Correlation of soil organic carbon and nutrients (NPK) to soil mineralogy,
texture, aggregation, and land use pattern.
AB - This work investigates the correlations existing among soil organic carbon (C),
nitrogen (N), phosphorous (P), potassium (K), and physicochemical properties like
clay mineralogy, textural components, soil aggregation, and land use pattern.
Seven different locations were chosen in the tropical rainforest climate region
of Assam, India, for the work. The soil texture classifications were clay, sandy
clay loam, and sandy loam with mixed clay mineralogy consisting of tectosilicates
and phylosilicates. Two distinct compositions of total Fe/Al oxides>=11.5 and
<10.8% were observed along with two distinct groups of water stable soil
aggregates of mean weight diameter~6.42 and <=3.26 mm. The soil clay and sand had
positive and negative contributions respectively to the soil organic carbon (SOC)
protection, which was observed to be dependent on lesser sand content, higher
silt+clay content, and the presence of higher percentages of total Fe/Al oxides.
Soil clay mineralogy suggested that the mineral, chlorite, favored retention of
higher SOC content in a particular site. Under similar climatic and mineralogical
conditions, both natural and anthropogenic soil disturbances destabilized SOC
protection through SOM mineralization and soil aggregate destabilization as
indicated by SOC protective capacity studies. Urbanization resulting in soil
compaction contributed to enhanced SOC level through increased contact between
the occluded organic carbon and the soil mineralogical constituents.
PMID- 26553359
TI - miR-198 targets SHMT1 to inhibit cell proliferation and enhance cell apoptosis in
lung adenocarcinoma.
AB - MiR-198 is involved in tumorigenesis, migration, invasion, and metastasis of
various malignant cancers. However, the exact expression levels of miR-198 and
the molecular mechanism underlying its role in lung adenocarcinoma require
further exploration. In this study, quantitative real-time PCR was applied to
study miR-198 and serine hydroxymethyltransferase 1 (SHMT1) expression in 47
paired lung adenocarcinoma tissues and adjacent nontumor lung tissues.
Clinicopathological characters were analyzed. Pearson's correlation analysis was
used to detect the relationship between miR-198 and SHMT1 expression. The
function of miR-198 was explored by measuring cell proliferation, cell apoptosis,
and the cell-cycle in vitro and in vivo. The target gene of miR-198 was certified
using dual luciferase report assay. We found that in lung adenocarcinoma, miR-198
was significantly downregulated and SHMT1 was inversely upregulated. A strong
negative correlation was noticed between miR-198 and SHMT1 expression. Further
analysis revealed that miR-198 expression was associated with TNM stage and lymph
node metastasis. Upregulated miR-198 could inhibit cell proliferation, enhance
cell apoptosis, and lead to cell-cycle arrest in lung adenocarcinoma, which
showed a more effective alteration than SHMT1 siRNA. Moreover, we identified
SHMT1 as a target gene of miR-198. In conclusion, miR-198 suppressed
proliferation of lung adenocarcinoma cells both in vitro and in vivo by directly
targeting SHMT1. miR-198 may be a potential therapeutic target for lung
adenocarcinoma in the near future.
PMID- 26553360
TI - miR-339-5p inhibits migration and invasion in ovarian cancer cell lines by
targeting NACC1 and BCL6.
AB - This study aimed to explore the role of miR-339-5p in ovarian cancer. The
expression of miR-339-5p in seven ovarian cancer cell lines (Hey, SKOV3, OVCAR5,
SKOV3-IP, A2780, CAOV3, and OVCA433) was detected by quantitative real-time
polymerase chain reaction (qRT-PCR). The miR-339-5p mimic and inhibitor were used
to regulate its expression. Migration, invasion, and proliferation were examined.
A bioinformatics analysis was used to predict targets, and a dual-luciferase
reporter system was applied for validation, along with Western blot verification.
Additionally, the association of miR-339-5p and its target genes with ovarian
cancer was analyzed based on The Cancer Genome Atlas (TCGA) database. OVCAR5 and
SKOV3 had the highest and lowest miR-339-5p expression, respectively. Inhibition
of miR-339-5p expression increased the migration and invasion of OVCAR5 cells,
while in SKOV3 cells, upregulated miR-339-5p attenuated the migration and
invasion ability. Modulation of miR-339-5p had no effect on proliferation. The
genes nucleus accumbens associated 1(BEN and BTB (POZ) domain containing) (NACC1)
and B cell lymphoma-6 (bcl6) were validated to be targets of miR-339-5p.
Clinically, patients with a high expression of NACC1 had a high risk in the
survival analysis. miR-339-5p inhibits migration and invasion in ovarian cancer
by targeting NACC1 and BCL6. miR-339-5p may be a biomarker of metastasis in
ovarian cancer; NACC1 had a predictive value for ovarian cancer progression.
PMID- 26553361
TI - FOXM1 expression in rhabdomyosarcoma: a novel prognostic factor and therapeutic
target.
AB - The transcription factor Forkhead box M1 (FOXM1) is known to play critical roles
in the development and progression of various types of cancer, but the clinical
significance of FOXM1 expression in rhabdomyosarcoma (RMS) is unknown. This study
aimed to determine the role of FOXM1 in RMS. We investigated the expression
levels of FOXM1 and vascular endothelial growth factor (VEGF) and angiogenesis in
a large series of RMS clinical cases using immunohistochemistry (n = 92), and we
performed clinicopathologic and prognostic analyses. In vitro studies were
conducted to examine the effect of FOXM1 knock-down on VEGF expression, cell
proliferation, migration, and invasion in embryonal RMS (ERMS) and alveolar RMS
(ARMS) cell lines, using small interference RNA (siRNA). High FOXM1 expression
was significantly increased in the cases of ARMS, which has an adverse prognosis
compared to ERMS (p = 0.0310). The ERMS patients with high FOXM1 expression (n =
25) had a significantly shorter survival than those with low FOXM1 expression (n
= 24; p = 0.0310). FOXM1 expression was statistically correlated with VEGF
expression in ERMS at the protein level as shown by immunohistochemistry and at
the mRNA level by RT-PCR. The in vitro study demonstrated that VEGF mRNA levels
were decreased in the FOXM1 siRNA-transfected ERMS and ARMS cells. FOXM1 knock
down resulted in a significant decrease of cell proliferation and migration in
all four RMS cell lines and invasion in three of the four cell lines. Our results
indicate that FOXM1 overexpression may be a prognostic factor of RMS and that
FOXM1 may be a promising therapeutic target for the inhibition of RMS
progression.
PMID- 26553362
TI - Isocitrate dehydrogenase 2 inhibits gastric cancer cell invasion via matrix
metalloproteinase 7.
AB - Isocitrate dehydrogenase 2 (IDH2) is a mitochondrial NADP-dependent isocitrate
dehydrogenase and has been found to be a tumor suppressor in several types of
tumors. However, the roles of IDH2 in hepatocellular carcinoma (GC) as well as
underlying mechanisms remain unknown. Here, the IDH2 and matrix metalloproteinase
7 (MMP7) levels in the specimens from 30 GC patients were investigated by Western
blot and ELISA, respectively. Their relationship was examined by correlation
analyses. Patient survival with high IDH2 levels and low IDH2 levels was
compared. IDH2 levels, and MMP7 levels were modified in a human GC cell line. The
effects of IDH2 or MMP7 modulation on the expression of each other were analyzed.
The dependence of nuclear factor kappaB (NF-kappaB) signaling was examined using
a specific inhibitor. We found that the IDH2 levels significantly decreased in
GC, and were even lower in GC with metastases, compared to those without
metastases. IDH2 levels inversely correlated with MMP7 levels in GC. GC patients
with low IDH2 had lower 5-year survival. MMP7 levels did not regulate IDH2
levels, while IDH2 inhibited MMP7 levels in GC cells, in a NF-kappaB signaling
dependent manner. Together, these data suggest that IDH2 may be a tumor
suppressor in that its loss may promote malignant progression of GC via NF-kappaB
dependent increases in MMP7 activity.
PMID- 26553364
TI - Doctor is suspended for aborting fetus because it was female.
PMID- 26553363
TI - Evaluation of prognostic factors in localized high-grade undifferentiated
pleomorphic sarcoma: report of a multi-institutional experience of Anatolian
Society of Medical Oncology.
AB - Most data on prognostic factors for patients with high-grade undifferentiated
pleomorphic sarcoma (HGUPS) is obtained from analyses of soft tissue sarcomas.
The purpose of this study was to evaluate the clinicopathologic features and
their impact on outcomes specifically in patients diagnosed with HGUPS. In this
multicenter trial, we retrospectively analyzed 112 patients who were diagnosed
and treated at 12 different institutions in Turkey. We collected data concerning
the patients, tumor characteristics, and treatment modalities. There were 69
males (61.6 %) and 43 females (38.4 %). Median age was 56 years (19-90). The most
common anatomic site of tumor origin was the upper extremity. Pleomorphic variant
was the predominant histological subtype. Median tumor size was 8.2 cm (0.6-30
cm). Tumors were mainly deeply seated (57.1 %). Fifty-seven patients (50.9 %)
were stage II and the remainder were stage III at the time of diagnosis. Median
follow-up was 30 months (2-160). The primary site of distant metastasis was the
lung (73.5 %) and the second most common site was the liver (11.7 %). The 5-year
overall survival, distant metastasis-free survival, and local recurrence-free
survival rates were 56.3, 53.4, and 67.2 %, respectively. Multivariate analysis
showed that Eastern Cooperative Oncology Group (ECOG) performance score of II (p
= 0.033), deep tumor location (p = 0.000), and development of distant metastasis
(p = 0.004) were negatively correlated with overall survival, and perioperative
radiotherapy and negative microscopic margins were significant factors for local
control rates (p = 0.000 for each). Deep tumor location (p = 0.003) was the only
adverse factor related to distant metastasis-free survival. Deep tumor location,
ECOG performance score of II, and development of distant metastasis carry a poor
prognostic implication on overall survival. These will aid clinicians in
predicting survival and treatment decision.
PMID- 26553365
TI - Carbonic Anhydrase 9 mRNA/microRNA34a Interplay in Hypoxic Human Mammospheres.
AB - The hypoxic environment is a crucial component of the cancer stem cell niche and
it is capable of eliciting stem cell features in cancer cells. We previously
reported that SNAI2 up-regulates the expression of Carbonic Anhydrase iso-enzyme
9 (CA9) in hypoxic MCF7 cells. Here we show that SNAI2 down-regulates miR34a
expression in hypoxic MCF7 cell-derived mammospheres. Next, we report on the
capability of miR34a to decrease CA9 mRNA stability and CA9 protein expression.
We also convey that the over-expression of cloned CA9-mRNA-3'UTR increases the
mRNA half-life and protein levels of two miR34a targets JAGGED1 and NOTCH3. The
data here reported shows that the SNAI2-dependent down-regulation of miR34a
substantially contributes to the post-transcriptional up-regulation of CA9, and
that CA9-mRNA-3'UTR acts as an endogenous microRNA sponge. We conclude that
CA9/miR34 interplay shares in the hypoxic regulation of mammospheres and
therefore, may play a relevant role in the hypoxic breast cancer stem cell niche.
PMID- 26553367
TI - Segregated Pt on Pd nanotubes for enhanced oxygen reduction activity in alkaline
electrolyte.
AB - Nanoscaled Pt domains were integrated with Pd nanotubes via vapor deposition to
yield a highly active electrocatalyst for the oxygen reduction reaction (ORR) in
alkaline media. The surface-area-normalized ORR activity of these bi-metallic Pt
on-Pd nanotubes (PtPdNTs) was nearly 6* the corresponding carbon-supported Pt
nanoparticle (Pt/C) activity at 0.9 V vs. RHE (1.5 vs. 0.24 mA cmmetal(-2),
respectively). Furthermore, the high specific activity of the PtPdNTs was
achieved without sacrificing mass-normalized activity, which is more than twice
that of Pt/C (0.333 A mgPtPdNT(-1)vs. 0.141 A mgPt/C(-1)) and also greater than
that of Pd/C (0.221 A mgPd/C(-1)). We attribute the enhancements in specific and
mass activity to modifications of the segregated Pt electronic structure and to
nanoscale porosity, respectively.
PMID- 26553366
TI - Sex differences in DNA methylation assessed by 450 K BeadChip in newborns.
AB - BACKGROUND: DNA methylation is an important epigenetic mark that can potentially
link early life exposures to adverse health outcomes later in life. Host factors
like sex and age strongly influence biological variation of DNA methylation, but
characterization of these relationships is still limited, particularly in young
children. METHODS: In a sample of 111 Mexican-American subjects (58 girls , 53
boys), we interrogated DNA methylation differences by sex at birth using the 450
K BeadChip in umbilical cord blood specimens, adjusting for cell composition.
RESULTS: We observed that ~3% of CpG sites were differentially methylated between
girls and boys at birth (FDR P < 0.05). Of those CpGs, 3031 were located on
autosomes, and 82.8% of those were hypermethylated in girls compared to boys.
Beyond individual CpGs, we found 3604 sex-associated differentially methylated
regions (DMRs) where the majority (75.8%) had higher methylation in girls. Using
pathway analysis, we found that sex-associated autosomal CpGs were significantly
enriched for gene ontology terms related to nervous system development and
behavior. Among hits in our study, 35.9% had been previously reported as sex
associated CpG sites in other published human studies. Further, for replicated
hits, the direction of the association with methylation was highly concordant
(98.5-100%) with previous studies. CONCLUSIONS: To our knowledge, this is the
first reported epigenome-wide analysis by sex at birth that examined DMRs and
adjusted for confounding by cell composition. We confirmed previously reported
trends that methylation profiles are sex-specific even in autosomal genes, and
also identified novel sex-associated CpGs in our methylome-wide analysis
immediately after birth, a critical yet relatively unstudied developmental
window.
PMID- 26553368
TI - The "different face" of esophageal cancer: cutaneous manifestation of visceral
malignancies.
AB - Squamous cell carcinoma is the most common type of neoplasm of the esophagus with
global incidence. Its early symptoms are often nonspecific as the disease could
be detected only when metastases in various organs are already presented.
Esophageal metastases present an extremely small part from all cutaneous
metastases as the real incidence of cutaneous metastases due to cancer of the
esophagus account for 0.5-9 % and only a small part of them are reported and
rarely involve the facial region. Despite this, cutaneous metastases may be the
first sign of malignancy of the esophagus, which immediately determined the worst
prognosis and fatal outcome in these patients. Average survival prognosis at the
time of diagnosis of esophageal carcinoma in stage IV is 4-6 months, while the
survival-associated expectations in cases of associated skin lesions
manifestation is 4 months. We present a rare case of esophagus carcinoma in
advanced stage, presented with severe cutaneous metastasis in the face region,
accompanied by heavy blood coughing and hematemesis, which led to fatal outcome
in the reported patient. The incidence of cutaneous metastases due to this
visceral malignancy is discussed, as we highlight the frequency of metastases as
a first clinical sign in esophageal cancer. The mortality rate is high due to the
advanced stage of progression of the disease or presented metastases spread at
the time of diagnosis, while treatment-related mortality accounts 10.3 %.
PMID- 26553369
TI - A tutorial on Bayesian bivariate meta-analysis of mixed binary-continuous
outcomes with missing treatment effects.
AB - Bivariate random-effects meta-analysis (BVMA) is a method of data synthesis that
accounts for treatment effects measured on two outcomes. BVMA gives more precise
estimates of the population mean and predicted values than two univariate random
effects meta-analyses (UVMAs). BVMA also addresses bias from incomplete reporting
of outcomes. A few tutorials have covered technical details of BVMA of
categorical or continuous outcomes. Limited guidance is available on how to
analyze datasets that include trials with mixed continuous-binary outcomes where
treatment effects on one outcome or the other are not reported. Given the
advantages of Bayesian BVMA for handling missing outcomes, we present a tutorial
for Bayesian BVMA of incompletely reported treatment effects on mixed bivariate
outcomes. This step-by-step approach can serve as a model for our intended
audience, the methodologist familiar with Bayesian meta-analysis, looking for
practical advice on fitting bivariate models. To facilitate application of the
proposed methods, we include our WinBUGS code. As an example, we use aggregate
level data from published trials to demonstrate the estimation of the effects of
vitamin K and bisphosphonates on two correlated bone outcomes, fracture, and bone
mineral density. We present datasets where reporting of the pairs of treatment
effects on both outcomes was 'partially' complete (i.e., pairs completely
reported in some trials), and we outline steps for modeling the incompletely
reported data. To assess what is gained from the additional work required by
BVMA, we compare the resulting estimates to those from separate UVMAs. We discuss
methodological findings and make four recommendations. Copyright (c) 2015 John
Wiley & Sons, Ltd.
PMID- 26553370
TI - Strain induced piezoelectric effect in black phosphorus and MoS2 van der Waals
heterostructure.
AB - The structural, electronic, transport and optical properties of black
phosphorus/MoS2 (BP/MoS2) van der Waals (vdw) heterostructure are investigated by
using first principles calculations. The band gap of BP/MoS2 bilayer decreases
with the applied normal compressive strain and a semiconductor-to-metal
transition is observed when the applied strain is more than 0.85 A. BP/MoS2
bilayer also exhibits modulation of its carrier effective mass and carrier
concentration by the applied compressive strain, suggesting that mobility
engineering and good piezoelectric effect can be realized in BP/MoS2
heterostructure. Because the type-II band alignment can facilitate the separation
of photo-excited electrons and holes, and it can benefit from the great
absorption coefficient in ultra-violet region, the BP/MoS2 shows great potential
to be a very efficient ultra-violet photodetector.
PMID- 26553371
TI - A Fluorescent Imaging Probe Based on a Macrocyclic Scaffold That Binds to
Cellular EpCAM.
AB - Here, we report a fluorescent probe based on a macrocyclic peptide scaffold that
specifically stains EpCAM-expressing MCF7 cells. The 14-mer macrocyclic peptide
binding to the extracellular domain of EpCAM with a dissociation constant in the
low nM range (1.7 nM) was discovered using the random non-standard peptide
integrated discovery system. Notably, this probe containing a fluorescence tag is
less than 3000 Da in total and able to visualize nearly every live cell under
high cell-density conditions, which was not achieved by the conventional mAb
staining method. This suggests that the molecular probe based on the compact
macrocyclic scaffold has great potentials as an imaging tool for the EpCAM
biomarker as well as a delivery vehicle for drug conjugates.
PMID- 26553372
TI - Hyperhomocysteinemia predicts renal function decline: a prospective study in
hypertensive adults.
AB - Hyper-homocysteinemia (HHcy) is associated with microalbuminuria and glomerular
injury in general and diabetic populations. However, HHcy's role in hypertensive
patients was not studied. We investigated whether HHcy is an independent risk
factor for renal function decline and development of chronic kidney disease (CKD)
in hypertensive men and women. This was a community-based prospective cohort
study of 2,387 hypertensive adults without CKD at baseline, with a mean follow-up
of 4.4 years. Baseline and follow-up levels of plasma Hcy, folate, vitamin B12,
blood pressure and other pertinent covariables were obtained. CKD was defined as
an estimated glomerular filtration rate (eGFR) <60 ml/min/per 1.73 m(2) and an
eGFR decline rate >1 ml/min/per 1.73 m(2)/year. There was a graded association
between Hcy tertiles and eGFR decline. Subjects in the 3(rd) tertile of Hcy
levels had an accelerated rate of eGFR decline and an increased risk of incident
CKD, as compared with those in the 1st tertile, after adjusting for age, gender,
baseline diabetes, SBP, BMI, smoking, dyslipidemia, eGFR, folate and vitamin B12
levels. In conclusion, in this prospective cohort of Chinese hypertensive adults,
elevated baseline plasma Hcy can serve as an independent biomarker to predict
renal function decline and incident CKD.
PMID- 26553373
TI - Training of Working Memory Impacts Neural Processing of Vocal Pitch Regulation.
AB - Working memory training can improve the performance of tasks that were not
trained. Whether auditory-motor integration for voice control can benefit from
working memory training, however, remains unclear. The present event-related
potential (ERP) study examined the impact of working memory training on the
auditory-motor processing of vocal pitch. Trained participants underwent adaptive
working memory training using a digit span backwards paradigm, while control
participants did not receive any training. Before and after training, both
trained and control participants were exposed to frequency-altered auditory
feedback while producing vocalizations. After training, trained participants
exhibited significantly decreased N1 amplitudes and increased P2 amplitudes in
response to pitch errors in voice auditory feedback. In addition, there was a
significant positive correlation between the degree of improvement in working
memory capacity and the post-pre difference in P2 amplitudes. Training-related
changes in the vocal compensation, however, were not observed. There was no
systematic change in either vocal or cortical responses for control participants.
These findings provide evidence that working memory training impacts the cortical
processing of feedback errors in vocal pitch regulation. This enhanced cortical
processing may be the result of increased neural efficiency in the detection of
pitch errors between the intended and actual feedback.
PMID- 26553374
TI - Clinical Relevance of Cardiac Troponin Assessment in Patients Undergoing Carotid
Endarterectomy.
AB - OBJECTIVE: Myocardial infarction (MI) is a frequent complication of carotid
endarterectomy (CEA), yet most events are silent. Routine post-operative
monitoring of cardiac troponin was implemented to facilitate timely recognition
of MI and stratify high risk patients. The aim was to evaluate the incidence of
troponin elevation after CEA and its association with adverse cardiovascular
events. METHODS: This analysis included patients >=60 years old who underwent
CEA, whose troponin-I levels were routinely monitored post-operatively and were
included in a cohort study that assessed clinical outcomes. A clinical troponin
cutoff of 60 ng/L was used. The primary endpoint was the composite of MI, stroke,
and cardiovascular death. Secondary endpoints were MI, stroke, coronary
intervention, cardiovascular death, and all cause death. RESULTS: 225 consecutive
patients were included in the analysis. Troponin elevation occurred in 34
patients (15%) and a post-operative MI was diagnosed in eight patients. After a
median follow up of 1.8 years (IQR 1.0-2.6), the primary endpoint occurred in 29%
of patients with troponin elevation versus 6.3% without (HR 5.6, 95% CI 2.4-13),
MI in 24% versus 1.6% (HR 18.0, 95% CI 4.7-68), stroke in 5.9% versus 4.2% (HR
1.4, 95% CI 0.3-6.7), coronary intervention in 5.9% versus 2.6% (HR 2.7, 95% CI
0.5-14), cardiovascular death in 5.9% versus 0.5% (HR 11.8, 95% CI 1.1-131), and
all cause death in 15% versus 5.8% (HR 3.0, 95% CI 1.0-8.7), respectively.
Incidences of the primary endpoint and all cause mortality in patients with a
post-operative MI versus "troponin only" were 25% versus 7.7% and 25% versus 12%,
respectively. CONCLUSION: Troponin elevation after CEA occurred in 15% of
patients. The incidence of adverse cardiovascular events was significantly higher
in patients with troponin elevation, which was mainly attributable to silent non
ST segment elevation MIs that occurred in the early post-operative phase.
PMID- 26553375
TI - Swelling in the thigh.
PMID- 26553376
TI - Nasal continuous positive airway pressure versus nasal intermittent positive
pressure ventilation within the minimally invasive surfactant therapy approach in
preterm infants: a randomised controlled trial.
AB - OBJECTIVE: To compare the effectiveness of nasal continuous positive airway
pressure (NCPAP) and nasal intermittent positive-pressure ventilation (NIPPV) as
the initial respiratory support within the minimally invasive surfactant therapy
(MIST) approach in preterm infants with respiratory distress syndrome. DESIGN:
Prospective, randomised controlled study. SETTING: Tertiary neonatal intensive
care unit. PATIENTS AND INTERVENTIONS: This study enrolled 200 preterm infants
with a gestational age of 26-32 weeks who showed signs of respiratory distress
but did not require intubation in the delivery room. Surfactant therapy was
performed using the MIST approach in the patients who met the criteria for
surfactant administration. MAIN OUTCOME MEASURES: The primary outcomes were a
need for intubation within the first 72 h of life and a surfactant requirement.
RESULTS: The infants in the study displayed similar characteristics at birth.
Fewer infants in the NIPPV group required surfactant therapy (38% vs 60%;
p=0.002) or invasive ventilation during the first 72 h of life (13% vs 29%;
p=0.005), and NIPPV reduced the rate of moderate-to-severe bronchopulmonary
dysplasia (BPD) (7% vs 16%; p=0.046). Multivariate logistic regression analysis
showed that NIPPV support (OR: 0.36, 95% CI 0.17 to 0.76; p=0.008) and higher
gestational age (OR: 0.76, 95% CI 0.59 to 0.98; p=0.041) reduced the need for
invasive ventilation within the first 72 h of life. Surfactant requirement was
also decreased with NIPPV support (OR: 0.39, 95% CI 0.22 to 0.71; p=0.002).
However, there was no impact on BPD, based on the multivariate analysis.
CONCLUSIONS: In infants born at 26-32 weeks' gestation, NIPPV reduced the need
for invasive ventilation and the surfactant requirement within the MIST approach.
TRIAL REGISTRATION NUMBER: ClinicalTrials.gov under identifier NCT01741129.
PMID- 26553378
TI - A rise of ploidy level influences the rate of cytomixis in tobacco male meiosis.
AB - The effect of plant ploidy level on the rate of cytomixis in microsporogenesis
has been analyzed with the help of a unique model, the collection of tobacco
plants of different ploidies (2n = 2x = 24, 4x = 48, 6x = 72, and 8x = 96). As
has been shown, the rate of cytomixis proportionally increases in 6x and 8x
cytotypes, being rather similar in 2x and 4x plants. The rate of cytomixis is
highly variable, differing even in the genetically identical plants grown under
the same conditions. The cytological pattern of cytomixis in the
microsporogenesis of control 4x plants has been compared with the corresponding
patterns of 2x, 6x, and 8x plants. Involvement of cytomixis in production of
unreduced gametes and stabilization of the newly formed hybrid and polyploidy
genomes is discussed.
PMID- 26553379
TI - Pioneer neurons of the antennal nervous system project to protocerebral pioneers
in the grasshopper Schistocerca gregaria.
AB - The twin nerve tracts of the antenna of the grasshopper Schistocerca gregaria are
established early in embryogenesis by sibling pairs of pioneers which delaminate
from the epithelium into the lumen at the antennal tip. These cells can be
uniquely identified via their co-expression of the neuronal labels horseradish
peroxidase and the lipocalin Lazarillo. The apical pioneers direct axons toward
the antennal base where they encounter guidepost-like cells called base pioneers
which transiently express the same molecular labels as the apical pioneers. To
what extent the pioneer growth cones then progress into the brain neuropil
proper, and what their targets there might be, has remained unclear. In this
study, we show that the apical antennal pioneers project centrally beyond the
antennal base first into the deutocerebral, and then into the protocerebral brain
neuropils. In the protocerebrum, we identify their target circuitry as being
identified Lazarillo-positive cells which themselves pioneer the primary axon
scaffold of the brain. The apical and base antennal pioneers therefore form part
of a molecularly contiguous pathway from the periphery to an identified central
circuit of the embryonic grasshopper brain.
PMID- 26553380
TI - MicroRNA expression during demosponge dissociation, reaggregation, and
differentiation and a evolutionarily conserved demosponge miRNA expression
profile.
AB - Demosponges share eight orthologous microRNAs (miRNAs), with none in common with
Bilateria. Biological functions of these demosponge miRNAs are unknown.
Bilaterian miRNAs are key regulators of cellular processes including cell cycle,
differentiation, and metabolism. Resolving if demosponge miRNAs participate in
such biological functions will provide clues whether these functions are
convergent, evidence on the mode of evolution of cellular developmental
processes. Here, a quantitative PCR (qPCR) assay was developed and used to test
for differential miRNA expression during dissociation and reaggregation in
Spongosorites, compare expression profiles between choanosome and cortex in
Spongosorites, and compare undifferentiated gemmules to differentiated juveniles
in Ephydatia. During Spongosorites dissociation and reaggregation, miRNA
expression showed a global decrease in expression across a range of reaggregating
cell densities. miRNA differential response could be related to various general
cellular responses, potentially related to nutrient-poor conditions of the
minimal artificial seawater media, stress response from tissue dissociation, or
loss of cell-cell or cell-matrix contact. In Ephydatia, overall increase in miRNA
expression in gemmule-hatched stage 4/5 juveniles relative to gemmules is
observed, indicating that increased miRNA expression may be related to increased
cellular activity such as migration, cell cycle, and/or differentiation. Observed
differential miRNA expression of miRNA during dissociation in Spongosorites
(lowered global expression), and during activation, and differentiation of
Ephydatia gemmules (increased global expression) could indicate that miRNA
expression is associated with cell cycle, differentiation, or metabolism
pathways. Interspecies comparison was performed, results indicating that
orthologous miRNAs share similar relative expression pattern between the four
species tested (Spongosorites, Cinachyrella, Haliclona, and Ephydatia),
demonstrating and evolutionarily conserved miRNA expression profile across
Demospongia. While these results do not elucidate specific molecular and cellular
pathways, together they provide a broad survey of miRNA expression in demosponge
systems.
PMID- 26553381
TI - Cellular and viral determinants of retroviral nuclear entry.
AB - Retroviruses must integrate their cDNA into the host genome to generate
proviruses. Viral DNA-protein complexes interact with cellular proteins and
produce pre-integration complexes, which carry the viral genome and cross the
nuclear pore channel to enter the nucleus and integrate viral DNA into host
chromosomal DNA. If the reverse transcripts fail to integrate, linear or circular
DNA species such as 1- and 2-long terminal repeats are generated. Such complexes
encounter numerous cellular proteins in the cytoplasm, which restrict viral
infection and protect the nucleus. To overcome host cell defenses, the pathogens
have evolved several evasion strategies. Viral proteins often contain nuclear
localization signals, allowing entry into the nucleus. Among more than 1000
proteins identified as required for HIV infection by RNA interference screening,
karyopherins, cleavage and polyadenylation specific factor 6, and nucleoporins
have been predominantly studied. This review discusses current opinions about the
synergistic relationship between the viral and cellular factors involved in
nuclear import, with focus on the unveiled mysteries of the host-pathogen
interaction, and highlights novel approaches to pinpoint therapeutic targets.
PMID- 26553383
TI - Ranking prescribed pharmaceuticals in terms of environmental risk: Inclusion of
hospital data and the importance of regular review.
AB - A newly available dataset on pharmaceuticals used in Scottish hospitals enabled
an environmental risk assessment that includes hospital consumption of
pharmaceuticals, as previous United Kingdom rankings have been based on community
prescriptions only. Although health and the environment are devolved issues for
the Scottish government, it is merited to consider a Scottish ranking separately;
regional differentiation is particularly relevant in the spatial context of the
European Commission's Water Framework Directive. Nine pharmaceuticals are
identified as having a risk quotient greater than 1. Four of these, the
antibacterials piperacillin, tazobactam, flucloxacillin, and ciprofloxacin, had
high hospital contributions and had not been highlighted previously in rankings
based on community prescriptions. Some drugs with a risk quotient < 0.1 are used
almost exclusively in hospitals and could be more concentrated near effluents
carrying hospital wastewater, where they may be of local concern. Although
treating hospital effluents separately is a policy option, specifically including
hospital consumption is important. Continually increasing the availability of
ecotoxicological data and trends in consumption further contributes to a
substantially different prioritization than in previous rankings. This leads the
authors to conclude that regular review of risk is necessary.
PMID- 26553384
TI - Atomic structure and composition distribution in wetting layers and islands of
germanium grown on silicon (001) substrates.
AB - We present a comprehensive structural investigation of the Ge wetting layer (WL)
and island growth on Si(001) substrates by a combination of AFM, high resolution
transmission electron microscopy and the energy-differential coherent Bragg rod
analysis (COBRA) x-ray method. By considering the influence of the initial Si
surface morphology on the deposited Ge, these techniques provide quantitative
information on the Ge content and its distribution, in particular within the WL
which plays a crucial role in the formation of epitaxial nanostructures. In the
WL, the Ge content was found to be above 80% for our growth conditions.
Furthermore, from the digital analysis of high-resolution transmission electron
microscope images, quantitative information on the strain relaxation is obtained,
which complements the COBRA analysis of the Ge distribution and content in these
nanostructures.
PMID- 26553382
TI - Occurrence of viable, red-pigmented haloarchaea in the plumage of captive
flamingoes.
AB - Flamingoes (Phoenicopterus spp.) whose plumage displays elegant colors, inhabit
warm regions close to the ocean throughout the world. The pink or reddish color
of their plumage originates from carotenoids ingested from carotenoid-abundant
food sources, since flamingoes are unable to synthesize these compounds de novo.
In this study, viable red-colored archaeal strains classified as extremely
halophilic archaea (i.e., haloarchaea) and belonging to the genera Halococcus and
Halogeometricum were isolated from the plumage of flamingoes in captivity.
Detailed analysis for haloarchaeal community structure in flamingo feathers based
on metagenomic data identified several haloarchaeal genera and unclassified
sequences of the class Halobacteria at the genus level. Carotenoid pigment
analyses showed that a bacterioruberin precursor carotenoid in haloarchaea was
identical to one of the pigments found in flamingo plumage. To the best of our
knowledge, this is the first report of viable extremophilic archaea in avian
plumage, thus contributing to our understanding of the ecology of haloarchaea.
The potential influence of haloarchaea as an environmental factor determining
avian plumage coloration should be investigated in further studies.
PMID- 26553385
TI - Is somatosensory amplification a risk factor for an increased report of side
effects? Reference data from the German general population.
AB - OBJECTIVE: The study investigates the association between somatosensory
amplification and the reporting of side effects. It establishes a German version
of the Somatosensory Amplification Scale and examines its psychometric properties
in a representative sample of the German population. METHODS: Sample size was
2.469, with 51% taking any medication. Participants answered the Somatosensory
Amplification Scale, Generic Assessment of Side Effects Scale, and indicated
whether they were taking any medication and the type of medication. Correlational
analysis and binary logistic regression were performed. RESULTS: When examining a
subsample reporting both medication intake and general bodily symptoms,
participants higher in somatosensory amplification rated more of their general
bodily symptoms as medication-attributed side effects. However, somatosensory
amplification scores were not associated with the intake of any type of
medication. In the overall sample, higher somatosensory amplification scores were
associated with an increased report of bodily symptoms. Additionally,
participants with higher somatosensory amplification reported intake of a greater
number of different medications. The psychometric properties of the translated
scale were good, and previously established associations of somatosensory
amplification with demographic variables (age, sex) were replicated. CONCLUSION:
Results suggest a possible attributional bias concomitant to somatosensory
amplification which in turn may increase the reporting of side effects after
medication intake.
PMID- 26553386
TI - Two separate mechanisms of enforced viral replication balance innate and adaptive
immune activation.
AB - The induction of innate and adaptive immunity is essential for controlling viral
infections. Limited or overwhelming innate immunity can negatively impair the
adaptive immune response. Therefore, balancing innate immunity separately from
activating the adaptive immune response would result in a better antiviral immune
response. Recently, we demonstrated that Usp18-dependent replication of virus in
secondary lymphatic organs contributes to activation of the innate and adaptive
immune responses. Whether specific mechanisms can balance innate and adaptive
immunity separately remains unknown. In this study, using lymphocytic
choriomeningitis virus (LCMV) and replication-deficient single-cycle LCMV
vectors, we found that viral replication of the initial inoculum is essential for
activating virus-specific CD8(+) T cells. In contrast, extracellular distribution
of virus along the splenic conduits is necessary for inducing systemic levels of
type I interferon (IFN-I). Although enforced virus replication is driven
primarily by Usp18, B cell-derived lymphotoxin beta contributes to the
extracellular distribution of virus along the splenic conduits. Therefore,
lymphotoxin beta regulates IFN-I induction independently of CD8(+) T-cell
activity. We found that two separate mechanisms act together in the spleen to
guarantee amplification of virus during infection, thereby balancing the
activation of the innate and adaptive immune system.
PMID- 26553388
TI - Plantar approach for Morton's neuroma: An effective technique for primary
excision.
AB - BACKGROUND: Morton's neuroma is a common cause of inter-metatarsal foot pain.
Surgical excision is generally indicated when non-operative measures have been
unsuccessful; various surgical techniques have been described in the literature
for excision, with no consensus on the overall ideal surgical approach. AIM: To
assess patient outcomes and complications following plantar surgical approach to
neurectomy in a consecutive series of patients. METHOD: An analysis of
consecutive patients undergoing excision of Morton's neuroma using a plantar
approach by a single surgeon over a 12 month period. Pre- and post-operative
AOFAS and VAS scores were completed during outpatient visits. RESULTS: 20
patients were included in the study, with pre-operative confirmation of a soft
tissue mass on ultrasound scan. All patients demonstrated improvement in their
post-operative functional scores; 2 patients (10%) did not have full resolution
of their symptoms post-operatively. Mean AOFAS scores improved from 39 to 80 post
operatively and VAS from 40 to 92. No patients had wound complications or scar
pain. CONCLUSION: Neurectomy performed via a plantar approach provides good
exposure, adequate soft tissue healing, with rapid resolution of pain and return
to normal activities post-operatively.
PMID- 26553387
TI - Clinical significance of TP53 (R72P) and MDM2 (T309G) polymorphisms in breast
cancer patients.
AB - INTRODUCTION: TP53 gene is the most frequently altered tumor suppressor gene in
breast cancer. It has been observed that MDM2 plays a central role in regulating
the TP53 pathway. This study aimed to investigate the role of TP53 Arg72Pro and
MDM2 T309G polymorphisms in breast cancer patients. MATERIAL AND METHOD: The TP53
(Arg72Pro) and MDM2 (T309G) polymorphisms were studied in a hospital-based case
control study by AS-PCR in 100 breast cancer patients and 100 healthy control
subjects. RESULTS: It was observed that TP53 Arg72Pro polymorphism was
significantly associated with breast cancer (chi (2) = 9.92, p = 0.007). A
significantly increased breast cancer risk was associated with the Proline allele
[odds ratio 1.84 (95 % CI: 1.22-2.77), risk ratio 1.34 (95 % CI: 1.11-1.63), p
value 0.003], HER2/neu status (p = 0.01) and distant metastasis (p = 0.05). On
the other hand, we have found a significant correlation between MDM2 (T309G)
polymorphism with HER2/neu status (chi (2) = 11.14, p = 0.003) and distant
metastasis (p value = 0.04). CONCLUSION: Our finding suggests that TP53
(Arg72Pro) polymorphism may play a significant role as risk factor for breast
cancer in north Indian breast cancer patients. While MDM2 (T309G) polymorphism
may not be directly associated with the risk of breast cancer occurrence in the
same population, but it may play role in disease progression by triggering TP53.
PMID- 26553389
TI - Improved prognosis for patients with oral cavity squamous cell carcinoma:
Analysis of the National Cancer Database 1998-2006.
AB - BACKGROUND: Improvements in prognosis have been reported for oral cavity squamous
cell carcinoma (OCSCC) in international cohorts. We sought to quantify
improvement in survival of OCSCC and to determine factors associated with
survival in the United States using a large administrative database. METHODS:
Retrospective cohort study of 13,655 patients with OCSCC in the National Cancer
Database diagnosed during time periods 1998-2003 and 2004-2006. Statistical
methods included chi-square and Cox regression. RESULTS: Patients with early
(Stages I and II) and late stage (Stages III and IV) disease had improvements of
36.2% and 16.0% in three-year overall survival, respectively. Receipt of adjuvant
chemoradiation increased from 8.3% to 36.4% for late stage disease, while receipt
of adjuvant therapy in early stage disease remained stable. Patients with early
stage disease increased from 64.1% for years 1998-2003 to 67.4% during 2004-2006
(p<.001). Being diagnosed between 2004 and 2006 was associated with decreased
mortality in early and late stage disease (HR 0.67 and 0.87, p<.001,
respectively). Other treatment factors associated with improved survival for
patients of all stages included treatment in a high-volume center (HR 0.91,
p=.002) and undergoing neck dissection (HR 0.90, p=.001). CONCLUSIONS: Three-year
overall survival has increased dramatically for OCSCC patients. Advanced stage
patients have been increasingly treated with chemoradiotherapy, while treatment
of early stage patients has remained relatively unchanged. While other factors
such as negative surgical margins and undergoing neck dissection may be partly
responsible for improvements in early stage patients, further study is needed to
understand the observed survival improvements.
PMID- 26553390
TI - Cause-specific excess mortality in patients treated for cancer of the oral cavity
and oropharynx: A population-based study.
AB - PURPOSE: To assess cause-specific mortality in a large population-based cohort of
14,393 patients treated for squamous cell carcinoma of the oral cavity (OC) or
oropharynx (OP) in The Netherlands between 1989 and 2006. PATIENTS AND METHODS:
Causes of death were obtained for 94.7% of 9620 patients who had died up to
January 1, 2009. We assessed standardized mortality ratios (SMR) and absolute
excess mortality (AEM), comparing observed cause-specific mortality with expected
mortality for our cohort based on general population mortality rates. RESULTS:
Median survival was 3.9 years. Overall, the study population experienced a 6-fold
higher (95% Confidence Interval (95% CI) 5.9-6.1) mortality risk compared with
the general population. After three years, 41% of OP and 29% of OC patients had
died due to cancer of the oral cavity and pharynx. Additionally, OC and OP
patients experienced high excess mortality from esophageal (SMR 10.6 and 17.9)
and lung cancer (SMR 4.6 and 6.3). With regard to non-cancer deaths, the highest
AEMs were due to diseases of the circulatory system, with OC patients
experiencing an AEM of 11.3 per 10,000 person-years for ischemic heart disease.
OP patients experienced excess mortality due to pneumonia (AEM 22.1 per 10,000
person-years). The risk of death due to diseases of the digestive system was for
OP and OC patients where about equal (AEM 28.7 and 23.80, respectively). The SMR
for death due to pneumonia was more than two times higher (4.4 vs. 1.7) for OP
patients than for OC patients (P<0.001). From 15 years after diagnosis, second
tumors located outside the head and neck region accounted for most of the excess
mortality. CONCLUSIONS: Excess mortality in OC and OP patients appears to be
dominated by effects of heavy tobacco and alcohol use with high AEM due to second
tumors, respiratory, cardiovascular and gastrointestinal diseases. Patients with
OP experienced more than two times higher risk of death due to pneumonia than OC
patients. Therefore, awareness of this potential complication should be raised
along with development of prevention strategies.
PMID- 26553392
TI - The origin of Korotkoff sounds and the accuracy of auscultatory blood pressure
measurements.
AB - This study explores the hypothesis that the sharper, high frequency Korotkoff
sounds come from resonant motion of the arterial wall, which begins after the
artery transitions from a buckled state to an expanding state. The motions of one
mass, two nonlinear springs, and one damper, driven by transmural pressure under
the cuff, are used to model and compute the Korotkoff sounds according to
principles of classical Newtonian physics. The natural resonance of this spring
mass-damper system provides a concise, yet rigorous, explanation for the origin
of Korotkoff sounds. Fundamentally, wall stretching in expansion requires more
force than wall bending in buckling. At cuff pressures between systolic and
diastolic arterial pressure, audible vibrations (> 40 Hz) occur during early
expansion of the artery wall beyond its zero pressure radius after the outward
moving mass of tissue experiences sudden deceleration, caused by the
discontinuity in stiffness between bucked and expanded states. The idealized
spring-mass-damper model faithfully reproduces the time-domain waveforms of
actual Korotkoff sounds in humans. Appearance of arterial sounds occurs at or
just above the level of systolic pressure. Disappearance of arterial sounds
occurs at or just above the level of diastolic pressure. Muffling of the sounds
is explained by increased resistance of the artery to collapse, caused by
downstream venous engorgement. A simple analytical model can define the physical
origin of Korotkoff sounds, suggesting improved mechanical or electronic filters
for their selective detection and confirming the disappearance of the Korotkoff
sounds as the optimal diastolic end point.
PMID- 26553393
TI - Precrystalline Aggregates Enable Control over Organic Crystallization in
Solution.
AB - Understanding and controlling organic crystallization in solution is a long
standing challenge. Herein, we show that crystallization of an aromatic
amphiphile based on perylene diimide in aqueous media involves initially formed
amorphous spherical aggregates that evolve into the crystalline phase. The
initial appearance of the crystalline order is always confined to the spherical
aggregates that are precursors for crystalline evolution. The change in the
solvation of the prenucleation phase drives the crystallization process towards
crystals that exhibit very different structure and photofunction. The initial
molecular structure and subsequent crystal evolution can be regulated by tuning
the hydrophobicity at various stages of crystallization, affording dissimilar
crystalline products or hindering crystallization. Thus, the key role of the
precrystalline states in organic crystal evolution enables a new strategy to
control crystallization by precrystalline state manipulation.
PMID- 26553391
TI - Influence of resveratrol release on the tissue response to mechanically adaptive
cortical implants.
AB - The stability and longevity of recordings obtained from intracortical
microelectrodes continues to remain an area of concern for neural interfacing
applications. The limited longevity of microelectrode performance has been
associated with the integrity of the blood brain barrier (BBB) and the
neuroinflammatory response to the microelectrode. Here, we report the
investigation of an additive approach that targets both mechanical and chemical
factors believed to contribute to chronic BBB instability and the
neuroinflammatory response associated with implanted intracortical
microelectrodes. The implants investigated were based on a mechanically adaptive,
compliant nanocomposite (NC), which reduces the tissue response and tissue
strain. This material was doped with various concentrations of the antioxidant
resveratrol with the objective of local and rapid delivery. In vitro analysis of
resveratrol release, antioxidant activity, and cytotoxicity suggested that a
resveratrol content of 0.01% was optimal for in vivo assessment. Thus, probes
made from the neat NC reference and probes containing resveratrol (NC Res) were
implanted into the cortical tissue of rats for up to sixteen weeks. Histochemical
analysis suggested that at three days post-implantation, neither materials nor
therapeutic approaches (independently or in combination) could alter the initial
wound healing response. However, at two weeks post-implantation, the NC Res
implant showed a reduction in activated microglia/macrophages and improvement in
neuron density at the tissue-implant interface when compared to the neat NC
reference. However, sixteen weeks post-implantation, when the antioxidant was
exhausted, NC Res and the neat NC reference exhibited similar tissue responses.
The data show that NC Res provides short-term, short-lived benefits due to the
antioxidant release, and a long-term reduction in neuroinflammation on account of
is mechanical adaptive, compliant nature. Together, these results demonstrate
that local delivery of resveratrol can provide an additive advantage by providing
a consistent reduction in the tissue response.
PMID- 26553394
TI - CoPt/CeO2 catalysts for the growth of narrow diameter semiconducting single
walled carbon nanotubes.
AB - For the application of single-walled carbon nanotubes (SWNTs) in nanoelectronic
devices, effective techniques for the growth of semiconducting SWNTs (s-SWNTs)
with a specific diameter are still a great challenge. Herein, we report a facile
strategy for the selective growth of narrow diameter distributed s-SWNTs using
CoPt/CeO2 catalysts. The addition of Pt into a Co catalyst dramatically reduces
the diameter distributions and even the chirality distributions of the as-grown
SWNTs. Oxygen vacancies that are provided by mesoporous CeO2 are responsible for
creating an oxidative environment to in situ etch metallic SWNTs (m-SWNTs).
Atomic force microscope (AFM) and Raman spectroscopy characterizations indicate a
narrow diameter distribution of 1.32 +/- 0.03 nm and the selective growth of s
SWNTs to 93%, respectively. In addition, electronic transport measurements also
confirm that the Ion/Ioff ratio is mainly in the order of ~10(3). This work
provides an effective strategy for the facile fabrication of narrow diameter
distributed s-SWNTs, which will be beneficial to fundamental research and the
broad application of SWNTs for future nanoelectronics.
PMID- 26553395
TI - Chemotherapy in pregnancy.
AB - Cancer diagnosed during pregnancy is uncommon, complicating between 0.02% and
0.1% of all pregnancies. Nonetheless, due to increasing age of childbearing, the
incidence of cancer during pregnancy is likely to increase due to higher
incidence of several age-dependent malignancies. The most common malignancies
include breast cancer, cervical cancer, malignant melanoma and lymphoma. One of
the key challenges in the management of cancer in pregnancy is treating the women
with standard chemotherapy regimen, without compromising the safety of the
developing foetus. Exposure of chemotherapy in the first trimester is associated
with an increased risk of major birth defects, whereas use in the second and
third trimesters is associated with intrauterine growth restriction, low
birthweight and stillbirth. In this article, we review available data regarding
the use of chemotherapeutic agents in pregnancy, and we summarise the neonatal
outcomes, including malformations, perinatal complications and long-term follow
up. In addition, the management plan during pregnancy is also discussed.
PMID- 26553396
TI - Acquired arteriovenous fistula without penetrating trauma.
PMID- 26553397
TI - Impact of beta thalassemia on maxillary sinuses and sino-nasal passages: A case
control study.
AB - OBJECTIVES: Skeletal changes among beta (beta) thalassemia children are well
documented, but without available data regarding sino-nasal passages alterations.
The authors investigated the maxillary sinuses and sino-nasal passages changes in
beta-thalassemia children and correlated such changes with the amount of
transfused red cells and the erythroid marrow activity. METHODS: Clinical
analyses including otorhinolaryngical examination (ORL) were obtained in twenty
beta-thalassemia children and 20 matched healthy controls. Hemoglobin (Hb), serum
ferritin, soluble transferrin receptor (sTfR) levels and bone mineral density of
the lumbar spine (BMD ls) were assayed. The two groups were analyzed for the CT
image parameters: bone thickness, anterior and posterior choanae diameters,
extramedullary hematopoiesis and chronic rhinosinusitis (CRS) RESULTS: Nasal
congestion/obstruction was identified in 14 (70%) children. Eight patients (40%)
had criteria of chronic rhinosinusitis. In comparison with the normal controls,
the increase in the roof, floor, medial, anterior, lateral and posterior
maxillary bony walls thickness was significantly higher (1.26, 2.46, 2.6, 2.9,
3.23 and 5.34-folds, respectively). The mean posterior choanae horizontal,
vertical diameters and their surface area were significantly reduced in the
patients compared to the controls. The mean anterior maxillary wall bone
thickness directly correlated with sTfR (P=0.047) while that of the posterior
wall correlated inversely with Hb level (P=0.013). The mean vertical posterior
choanae diameter had positive correlation with the amount of transfused red cells
(P=0.001) and negative correlation with sTfR (P=0.001). The Hounsfield unit of
maxillary sinus wall had direct relation with BMDls (P=0.003) CONCLUSIONS:
Thalassemia children are at risk of different folds increase of maxillary sinuses
walls thicknesses utmost at posterior and lateral walls. Other sino-nasal
morbidities include diminished posterior choanal diameter, nasal obstruction and
CRS. Certain morbidities had relations to the erythroid marrow activity and the
transfusion adequacy.
PMID- 26553398
TI - A high-fat diet is associated with otitis media with effusion.
AB - OBJECTIVE: An association between obesity and otitis media with effusion (OME)
has been previously reported. The aim of this study was to evaluate the
association between dietary intake and OME when adjusting obesity. METHODS: We
analyzed the differences in dietary intake between children with/without OME who
were 4 through 13 years of age using data from a large population-based survey -
the Korea National Health and Nutrition Examination Survey - from 2008 through
2012. Data from 4359 participants were analyzed using simple and multiple
logistic regression analyses with complex sampling. RESULTS: The BMI category,
the proportion of total calorie intake, protein intake, water intake, and Na
intake (intake/recommendation), and the distribution of carbohydrate intake were
not associated with OME. The distribution of fat intake was associated with OME
(each 10% increase of fat calories/total calories: unadjusted odds ratio
[OR]=1.331, 95% confidence interval [CI]=1.016-1.744, P=0.038; age- and sex
adjusted OR=1.359, 95% CI=1.028-1.797, P=0.031; adjusted for age, sex and other
factors OR=1.392, 95% CI=1.054-1.839, P=0.020). Based on BMI subgroup analysis,
the distribution of fat intake was associated with OME in the healthy weight
group (each 10% increase of fat calories/total calories: unadjusted OR=1.393, 95%
CI=1.017-1.909, P=0.039; adjusted OR=1.470, 95% CI=1.051-2.055, P=0.024) but not
in the obese group. CONCLUSIONS: A high-fat diet was associated with OME and may
represent a confounding factor between obesity and OME.
PMID- 26553399
TI - Two novel compound heterozygous families with a trimutation in the GJB2 gene
causing sensorineural hearing loss.
AB - BACKGROUND: Sensorineural hearing loss (SNHL) is a genetically heterogeneous
disease. GJB2 gene mutations seem to be the most frequent cause of hereditary
hearing impairment in several populations. There is variability in the mutations
in the GJB2 gene worldwide; this remarks the influence of ethnic background in
SNHL. OBJECTIVE: To describe the presence of two trimutations in the GJB2 gene in
two Mexican families with hereditary SNHL. MATERIALS AND METHODS: Two unrelated
Mexican families with prelingual SNHL were included in the study. Analysis of the
GJB2 gene through PCR and DNA direct sequencing analysis was performed in all
members of the families and in 100 normal controls. RESULTS: Affected member of
the family 1 showed the trimutation p.S19R/p.R32S/p.E47*, whereas affected
members of the family 2 showed the trimutation p.F31I/p.W44*/p.V84M. Parents of
both families were heterozygous with normal audition. CONCLUSION: We found a
novel mutation in the GJB2 gene and two trimutations with SNHL not previously
reported. This remarks the complexity in the pattern of mutations in the GJB2
gene in SNHL and enriches the spectrum of the type of molecular defects in the
GJB2 gene.
PMID- 26553401
TI - Response to the Letter to the Editor regarding "Tympanic membrane perforation in
children: Endoscopic type I tympanoplasty, a newly technique, is it worthwhile?"
by Nassif et al. [Int. J. Pediatr. Otorhinolaryngol. 2015 (79) (11) 1860-1864].
PMID- 26553400
TI - Health-related quality of life among children with adenoid hypertrophy in Xi'an,
China.
AB - OBJECTIVE: The aim of this study was to investigate the health-related quality of
life (HRQOL) in 5-7-year-old children diagnosed with adenoid hypertrophy and the
impact of adenoid hypertrophy on affected families. METHODS: This is a cross
sectional case-control study evaluating 5-7-year-old children with adenoid
hypertrophy (n=195), 5-7-year-old healthy children (n=156), and associated
caregivers (parents and/or grandparents). A Chinese version of the PedsQLTM 4.0
Generic Core Scale was used to assess childhood HRQOL, and a Chinese version of
the Family Impact Module (FIM) was used to assess the impact of adenoid
hypertrophy on family members. HRQOL scores were compared between the children
with adenoid hypertrophy and healthy children. In addition, a multiple step-wise
regression with demographic variables of children and their caregivers, family
economic status, and caregiver's HRQOL as independent variables were referenced
to determine the factors that may influence HRQOL in children with adenoid
hypertrophy. RESULTS: Children with adenoid hypertrophy showed significantly
lower physical, emotional, social, and school functioning scores than healthy
children (p<0.001 in all these dimensions). Caregivers for children with adenoid
hypertrophy also scored significantly lower than caregivers for healthy children
on physical, emotional, social, cognitive, and communication functioning (p<0.001
in all these dimensions). Caregivers for adenoid hypertrophy-affected children
also exhibited significantly higher levels of worry than healthy children
(p<0.001). Multivariate analyses demonstrated that children's age, children's
relation with caregivers, caregiver's educational level, caregiver's own HRQOL,
and the size of adenoid may all influence the HRQOL in children with adenoid
hypertrophy (p<0.05). CONCLUSIONS: The current data suggested that adenoid
hypertrophy were associated with lower HRQOL in both children and their
caregivers, and may negatively influence family functioning. In addition,
caregivers' social characteristics may also significantly affect the HRQOL in
children with adenoid hypertrophy.
PMID- 26553402
TI - A Multi-Objective Genetic Algorithm for Outlier Removal.
AB - Quantitative structure activity relationship (QSAR) or quantitative structure
property relationship (QSPR) models are developed to correlate activities for
sets of compounds with their structure-derived descriptors by means of
mathematical models. The presence of outliers, namely, compounds that differ in
some respect from the rest of the data set, compromise the ability of statistical
methods to derive QSAR models with good prediction statistics. Hence, outliers
should be removed from data sets prior to model derivation. Here we present a new
multi-objective genetic algorithm for the identification and removal of outliers
based on the k nearest neighbors (kNN) method. The algorithm was used to remove
outliers from three different data sets of pharmaceutical interest (logBBB,
factor 7 inhibitors, and dihydrofolate reductase inhibitors), and its
performances were compared with those of five other methods for outlier removal.
The results suggest that the new algorithm provides filtered data sets that (1)
better maintain the internal diversity of the parent data sets and (2) give rise
to QSAR models with much better prediction statistics. Equally good filtered data
sets in terms of these metrics were obtained when another objective function was
added to the algorithm (termed "preservation"), forcing it to remove certain
compounds with low probability only. This option is highly useful when specific
compounds should be preferably kept in the final data set either because they
have favorable activities or because they represent interesting molecular
scaffolds. We expect this new algorithm to be useful in future QSAR applications.
PMID- 26553403
TI - Copper surfaces are associated with significantly lower concentrations of
bacteria on selected surfaces within a pediatric intensive care unit.
AB - BACKGROUND: Health care-associated infections result in significant patient
morbidity and mortality. Although cleaning can remove pathogens present on
hospital surfaces, those surfaces may be inadequately cleaned or recontaminated
within minutes. Because of copper's inherent and continuous antimicrobial
properties, copper surfaces offer a solution to complement cleaning. The
objective of this study was to quantitatively assess the bacterial microbial
burden coincident with an assessment of the ability of antimicrobial copper to
limit the microbial burden associated with 3 surfaces in a pediatric intensive
care unit. METHODS: A pragmatic trial was conducted enrolling 1,012 patients from
2 high acuity care units within a 249-bed tertiary care pediatric hospital over
12 months. The microbial burden was determined from 3 frequently encountered
surfaces, regardless of room occupancy, twice monthly, from 16 rooms, 8 outfitted
normally and 8 outfitted with antimicrobial copper. RESULTS: Copper surfaces were
found to be equivalently antimicrobial in pediatric settings to activities
reported for adult medical intensive care units. The log10 reduction to the
microbial burden from antimicrobial copper surfaced bed rails was 1.996 (99%).
Surprisingly, introduction of copper objects to 8 study rooms was found to
suppress the microbial burden recovered from objects assessed in control rooms by
log10 of 1.863 (73%). CONCLUSION: Copper surfaces warrant serious consideration
when contemplating the introduction of no-touch disinfection technologies for
reducing burden to limit acquisition of HAIs.
PMID- 26553404
TI - Results of a Veterans Affairs employee education program on antimicrobial
stewardship for older adults.
AB - We describe a course in the Veterans Affairs (VA) Employee Education System
designed to engage nursing staff working in VA long-term care facilities as
partners in antimicrobial stewardship. We found that the course addressed an
important knowledge gap. Our outcomes suggest opportunities to engage nursing
staff in advancing antimicrobial stewardship, particularly in the long-term care
setting.
PMID- 26553405
TI - Multifunctional Mesoporous Silica Nanoparticles Based on Charge-Reversal Plug
Gate Nanovalves and Acid-Decomposable ZnO Quantum Dots for Intracellular Drug
Delivery.
AB - A novel type of pH-responsive multifunctional mesoporous silica nanoparticle
(MSN) was developed for cancerous cells drug delivery and synergistic therapy of
tumor. MSNs were covered with a kind of cell-penetrating peptide, deca-lysine
sequence (K10), to enhance their escape from the endosomes. After K10's primary
amines were reacted with citraconic anhydride to form acid-labile beta-carboxylic
amides, zinc oxide (ZnO) quantum dots (QDs) were introduced to cap MSNs via
electrostatic interaction. The obtained ZnO@MSN drug-delivery system (DDS)
achieves "zero-premature" drug release under a physiological environment.
However, once the DDS is transferred to the cancerous cells' acidic endosome, ZnO
QDs would rapidly dissolve and the acid-labile amides on the side chain of K10
would hydrolyze to regenerate primary amines, resulting in the uncapping of MSNs
and exposure of the cell-penetrating peptide K10. The regenerated K10 could help
the DDS escape from the endosome and efficiently release the loaded drugs inside
the cells. At the meantime, because of the cytotoxicity of ZnO QDs at their
destination, the ZnO@MSN DDS may achieve a synergistic antitumor effect to
improve the therapeutic index.
PMID- 26553407
TI - Paraplegia Due to Spinal Cord Infarction After Coronary Artery Bypass Graft
Surgery.
AB - Paraplegia is an extremely rare complication after coronary artery bypass
grafting (CABG) and the underlying mechanisms remain poorly understood. We report
a patient who developed paraplegia after CABG and review the literature on spinal
cord ischemia following CABG surgery.
PMID- 26553406
TI - 3D genome organization in health and disease: emerging opportunities in cancer
translational medicine.
AB - Organizing the DNA to fit inside a spatially constrained nucleus is a challenging
problem that has attracted the attention of scientists across all disciplines of
science. Increasing evidence has demonstrated the importance of genome geometry
in several cellular contexts that affect human health. Among several approaches,
the application of sequencing technologies has substantially increased our
understanding of this intricate organization, also known as chromatin
interactions. These structures are involved in transcriptional control of gene
expression by connecting distal regulatory elements with their target genes and
regulating co-transcriptional splicing. In addition, chromatin interactions play
pivotal roles in the organization of the genome, the formation of structural
variants, recombination, DNA replication and cell division. Mutations in factors
that regulate chromatin interactions lead to the development of pathological
conditions, for example, cancer. In this review, we discuss key findings that
have shed light on the importance of these structures in the context of cancers,
and highlight the applicability of chromatin interactions as potential biomarkers
in molecular medicine as well as therapeutic implications of chromatin
interactions.
PMID- 26553408
TI - Acute Kidney Injury After Surgical AVR and Long-Term Risk of Death and End
Stage Renal Disease.
PMID- 26553409
TI - Reply.
PMID- 26553410
TI - Subgroups in cephalosporin allergy, making a patient-tailored approach redundant?
PMID- 26553411
TI - Layers: A molecular surface peeling algorithm and its applications to analyze
protein structures.
AB - We present an algorithm 'Layers' to peel the atoms of proteins as layers. Using
Layers we show an efficient way to transform protein structures into 2D pattern,
named residue transition pattern (RTP), which is independent of molecular
orientations. RTP explains the folding patterns of proteins and hence
identification of similarity between proteins is simple and reliable using RTP
than with the standard sequence or structure based methods. Moreover, Layers
generates a fine-tunable coarse model for the molecular surface by using non
random sampling. The coarse model can be used for shape comparison, protein
recognition and ligand design. Additionally, Layers can be used to develop biased
initial configuration of molecules for protein folding simulations. We have
developed a random forest classifier to predict the RTP of a given polypeptide
sequence. Layers is a standalone application; however, it can be merged with
other applications to reduce the computational load when working with large
datasets of protein structures. Layers is available freely at
http://www.csb.iitkgp.ernet.in/applications/mol_layers/main.
PMID- 26553412
TI - Prognostic value of skin lesions in sarcoidosis: clinical and histopathological
clues.
AB - BACKGROUND: Although tremendous advances have been made, a significant gap exists
between the vast knowledge accumulated concerning sarcoidosis in recent years and
our understanding of this disease. OBJECTIVE: Describe the main clinical and
histopathological findings associated with cutaneous sarcoidosis and to
investigate the relationship of these skin lesions with systemic involvement.
METHODS: A retrospective review of 41 patients who were diagnosed with cutaneous
sarcoidosis was done. RESULTS: The study included 34 females and 7 males.
Systemic disease occurred frequently in patients with lupus pernio and nodulo
plaque type lesions. Systemic symptoms were observed more commonly in patients
with raised serum ACE levels (84.6% vs. 40%; p<0.05). Our study also indicated
that patients with skin lesions that were associated with systemic symptoms had a
more chronic form of the disease than patients with only cutaneous lesions (91.6%
vs. 29.4%; p<0.001). Additionally, complete resolution of cutaneous lesions was
observed more frequently in patients with no associated systemic symptoms (66.6%
vs. 23.5%; p<0.05). Interestingly, we found that patients with a moderate/severe
granulomatous infiltrate in their biopsies had a more severe clinical
presentation during the course of the disease, with a more generalized skin
involvement (65.6% vs. 30%) as well as a more chronic course of the disease
(56.3% vs. 30%). Another interesting histopathological finding observed was the
presence of a grenz zone in 20 cases (47.6%). CONCLUSION: A correct and
methodical clinicopathological correlation is important for our clinical practice
because it can give us useful clues to the diagnosis and prognosis of this
disease.
PMID- 26553413
TI - Correction of Retrograde Ejaculation in Patients with Diabetes Mellitus Using
Endourethral Collagen Injection: Preliminary Results.
AB - INTRODUCTION: Diabetic neuropathy secondary to diabetes mellitus type 1 (DM1) is
responsible for retrograde ejaculation (RE) in 5-18% of cases. Medical treatment
of RE is based either on increasing the sympathetic tone of the bladder or on
decreasing the parasympathetic activity. However, the onset of side effects and
the lack of response should be considered. AIMS: The aim of this study was to
analyze long-term outcome of endourethral injection of volume-forming material
(VFM) of collagen type 2 into bladder neck submucosa in patients with RE
secondary to DM1. METHODS: Twenty-four patients with complete RE refractory to
imipramine and DM1 were included in the study. Patients were single-blinded
randomized according to a computer-generated random sequence with a 1:1 ratio in
two treatment groups, namely group A (endourethral collage type 2 injection) and
group B (endourethral saline water injection). New technique includes an
endoscopic injection of VFM such as collagen (Correcting MIT(r), Ltd. minimally
invasive technologies, Moscow, Russia) into bladder neck submucosa. Primary
endpoint of the study was the reduction of semen antegrade volume (mL). Secondary
endpoints were considered as the changes of antegrade count (millions/mL),
antegrade total motility (%), antegrade progressive motility (%), State-Trait
Anxiety Inventory, Beck Depression Questionnaire and International Index of
Erectile Function (IIEF-5). Pregnancy rate was calculated in each group. RESULTS:
Twenty-three patients completed the study. In group A, significant differences
from baseline to 12 months were observed relative to antegrade volume (mL) (mean
difference: 0.71, P < 0.05), antegrade count (millions/mL) (mean difference:
45.6, P < 0.05), antegrade total motility (%) (mean difference: 15.4, P < 0.05)
and antegrade progressive motility (%) (mean difference: 8.4, P < 0.05). In group
A, we observed significant differences in terms State-Trait Anxiety Inventory
(mean difference: -20.5, P < 0.05) and Beck Depression Inventory (mean
difference: -8.4, P < 0.05) with significant differences compared with group B.
We observed significant improvements in group A vs. group B when considering
primary and secondary endpoints of the study, but not for the IIEF-5. CONCLUSION:
Correction of RE in DM1 patients could be achieved with endourethral injection of
collagen type 2.
PMID- 26553414
TI - Phase diagram of the layered oxide SnO: GW and electron-phonon studies.
AB - First-principles calculations are performed to study the electronic properties
and the electron-phonon interactions of the layered oxide semiconductor SnO. In
addition to the high hole mobility that makes SnO a promising material in
electronics, it has recently been reported that the semimetallic phase under
pressure is superconducting. The superconducting Tc curve exhibits a dome-like
feature under pressure and reaches the maximum of 1.4 K at p = 9.2 GPa. Both its
crystal structure and the dome-like Tc curve are reminiscent of the Fe-based
superconductor FeSe. Motivated by this observation, we investigate the
electronic, phonon, and their interactions in SnO using first-principles schemes.
GW approximation is adopted to correct the underestimated band gaps, including
real and continuous band gaps in the semiconducting and semimetallic phases. The
phase diagram showing the semiconductor-to-semimetal transition and the Tc curve
has been successfully reproduced. Detailed analysis of the electron-phonon
interactions demonstrate the importance of the out-of-plane motions of O atoms
and the Sn-s lone pairs for the superconductivity to occur. Our method combining
GW and e-ph calculations can be further extended to the study of other materials
that undergo insulator-to-superconductor phase transition.
PMID- 26553415
TI - Construction of photodynamic-effect immunofluorescence probes by a complex of
quantum dots, immunoglobulin G and chlorin e6 and their application in HepG2 cell
killing.
AB - In this study, tri-functional immunofluorescent probes (Ce6-IgG-QDs) based on
covalent combinations of quantum dots (QDs), immunoglobulin G (IgG) and chlorin
e6 (Ce6) were developed and their photodynamic ability to induce the death of
cancer cells was demonstrated. Strategically, one type of second-generation
photosensitizer, Ce6, was first coupled with anti-IgG antibody using the EDC/NHS
cross-linking method to construct the photosensitive immunoconjugate Ce6-IgG.
Then, a complex of Ce6-IgG-QDs immunofluorescent probes was obtained in
succession by covalently coupling Ce6-IgG to water soluble CdTe QDs. The as
manufactured Ce6-IgG-QDs maintained the bio-activities of both the antigen
antibody-based tumour targeting effects of IgG and the photodynamic-related
anticancer activities of Ce6. By way of polyclonal antibody interaction with
rabbit anti-human epidermal growth factor receptor (anti-EGFR antibody, N
terminus), Ce6-IgG-QDs were labelled indirectly onto the surface of human
hepatocarcinoma (HepG2) cells in cell recognition and killing experiments. The
results indicated that the Ce6-IgG-QDs probes have excellent tumour cell
selectivity and higher photosensitivity in photodynamic therapy (PDT) compared
with Ce6 alone, due to their antibody-based specific recognition and location of
HepG2 cells and the photodynamic effects of Ce6 killed cells based on efficient
fluorescence resonance energy transfer between QDs and Ce6. Copyright (c) 2015
John Wiley & Sons, Ltd.
PMID- 26553416
TI - Dental stem cells: a future asset of ocular cell therapy.
AB - Regenerative medicine using patient's own stem cells (SCs) to repair
dysfunctional tissues is an attractive approach to complement surgical and
pharmacological treatments for aging and degenerative disorders. Recently, dental
SCs have drawn much attention owing to their accessibility, plasticity and
applicability for regenerative use not only for dental, but also other body
tissues. In ophthalmology, there has been increasing interest to differentiate
dental pulp SC and periodontal ligament SC (PDLSC) towards ocular lineage. Both
can commit to retinal fate expressing eye field transcription factors and
generate rhodopsin-positive photoreceptor-like cells. This proposes a novel
therapeutic alternative for retinal degeneration diseases. Moreover, as PDLSC
shares similar cranial neural crest origin and proteoglycan secretion with
corneal stromal keratoctyes and corneal endothelial cells, this offers the
possibility of differentiating PDLSC to these corneal cell types. The advance
could lead to a shift in the medical management of corneal opacities and
endothelial disorders from highly invasive corneal transplantation using limited
donor tissue to cell therapy utilizing autologous cells. This article provides an
overview of dental SC research and the perspective of utilizing dental SCs for
ocular regenerative medicine.
PMID- 26553417
TI - Primary biliary cirrhosis: Renaming primary biliary cirrhosis-clarity or
confusion?
PMID- 26553418
TI - Hepatocellular carcinoma: Ectopic lymphoid structures promote carcinogenesis in
the liver.
PMID- 26553419
TI - Stress lowers the detection threshold for foul-smelling 2-mercaptoethanol.
AB - Previous studies have reported enhanced vigilance for threat-related information
in response to acute stress. While it is known that acute stress modulates
sensory systems in humans, its impact on olfaction and the olfactory detection of
potential threats is less clear. Two psychophysical experiments examined, if
acute stress lowers the detection threshold for foul-smelling 2-mercaptoethanol.
Participants in Experiment 1 (N = 30) and Experiment 2 (N = 32) were randomly
allocated to a control group or a stress group. Participants in the stress group
underwent a purely psychosocial stressor (public mental arithmetic) in Experiment
1 and a stressor that combined a physically demanding task with social-evaluative
threat in Experiment 2 (socially evaluated cold-pressor test). In both
experiments, olfactory detection thresholds were repeatedly assessed by means of
dynamic dilution olfactometry. Each threshold measurement consisted of three
trials conducted using an ascending method of limits. Participants in the stress
groups showed the expected changes in heart rate, salivary cortisol, and mood
measures in response to stress. About 20 min after the stressor, participants in
the stress groups could detect 2-mercaptoethanol at a lower concentration than
participants in the corresponding control groups. Our results show that acute
stress lowers the detection threshold for a malodor.
PMID- 26553420
TI - Exploring simvastatin, an antihyperlipidemic drug, as a potential topical
antibacterial agent.
AB - The rapid rise of bacterial resistance to traditional antibiotics combined with
the decline in discovery of novel antibacterial agents has created a global
public health crisis. Repurposing existing drugs presents an alternative strategy
to potentially expedite the discovery of new antimicrobial drugs. The present
study demonstrates that simvastatin, an antihyperlipidemic drug exhibited broad
spectrum antibacterial activity against important Gram-positive (including
methicillin-resistant Staphylococcus aureus (MRSA)) and Gram-negative pathogens
(once the barrier imposed by the outer membrane was permeabilized). Proteomics
and macromolecular synthesis analyses revealed that simvastatin inhibits multiple
biosynthetic pathways and cellular processes in bacteria, including selective
interference of bacterial protein synthesis. This property appears to assist in
simvastatin's ability to suppress production of key MRSA toxins (alpha-hemolysin
and Panton-Valentine leucocidin) that impair healing of infected skin wounds. A
murine MRSA skin infection experiment confirmed that simvastatin significantly
reduces the bacterial burden and inflammatory cytokines in the infected wounds.
Additionally, simvastatin exhibits excellent anti-biofilm activity against
established staphylococcal biofilms and demonstrates the ability to be combined
with topical antimicrobials currently used to treat MRSA skin infections.
Collectively the present study lays the foundation for further investigation of
repurposing simvastatin as a topical antibacterial agent to treat skin
infections.
PMID- 26553422
TI - Implementing Indigenous community control in health care: lessons from Canada.
AB - Objective Over past decades, Australian and Canadian Indigenous primary
healthcare policies have focused on supporting community controlled Indigenous
health organisations. After more than 20 years of sustained effort, over 89% of
eligible communities in Canada are currently engaged in the planning, management
and provision of community controlled health services. In Australia, policy
commitment to community control has also been in place for more than 25 years,
but implementation has been complicated by unrealistic timelines, underdeveloped
change management processes, inflexible funding agreements and distrust. This
paper discusses the lessons from the Canadian experience to inform the continuing
efforts to achieve the implementation of community control in Australia. Methods
We reviewed Canadian policy and evaluation grey literature documents, and
assessed lessons and recommendations for relevance to the Australian context.
Results Our analysis yielded three broad lessons. First, implementing community
control takes time. It took Canada 20 years to achieve 89% implementation. To
succeed, Australia will need to make a firm long term commitment to this
objective. Second, implementing community control is complex. Communities require
adequate resources to support change management. And third, accountability
frameworks must be tailored to the Indigenous primary health care context to be
meaningful. Conclusions We conclude that although the Canadian experience is
based on a different context, the processes and tools created to implement
community control in Canada can help inform the Australian context. What is known
about the topic? Although Australia has promoted Indigenous control over primary
healthcare (PHC) services, implementation remains incomplete. Enduring barriers
to the transfer of PHC services to community control have not been addressed in
the largely sporadic attention to this challenge to date, despite significant
recent efforts in some jurisdictions. What does this paper add? The Canadian
experience indicates that transferring PHC from government to community ownership
requires sustained commitment, adequate resourcing of the change process and the
development of a meaningful accountability framework tailored to the sector. What
are the implications for practitioners? Policy makers in Australia will need to
attend to reform in contractual arrangements (towards pooled or bundled funding),
adopt a long-term vision for transfer and find ways to harmonise the roles of
federal and state governments. The arrangements achieved in some communities in
the Australian Coordinated Care Trials (and still in place) provide a model.
PMID- 26553421
TI - Safety of long-term treatment with Pegvisomant: analysis of Spanish patients
included in global ACROSTUDY.
AB - PURPOSE: To evaluate the long-term safety of Pegvisomant (PEG) in the Spanish
cohort of ACROSTUDY. METHODS: As of July 2013, 199 Spanish patients were included
in ACROSTUDY, a global non interventional safety PEG surveillance study. Patients
were observed for safety, biochemical outcome and magnetic resonance imaging
evaluations. RESULTS: PEG was administered during an average period of 6.7 +/-
2.1 years and a mean daily dose of 15.5 +/- 7.5 mg. 48.2% of patients received
PEG monotherapy. 90.9% of patients had received other medical treatment before
PEG start. 195 adverse events (AEs) were reported in 88 patients (44.2%), and
serious AEs were described in 31 patients (15.6%). There were no cases of liver
tests >10 ULN, or permanent liver damage. Tumor size changes were locally
reported in 61 cases (33.5%), with increases observed in 11 patients (6%). In
acromegalic patients with diabetes mellitus a decrease in fasting serum glucose
value was reported, reaching statistical significance after 1 and 4 years of
treatment (-24.6 and -25.9 mg/dl, p = 0.04). After 60 months, normal or lower
limit of normal (LLN) IGF-I levels were found in 67.9% of patients. 85.5% of
patients showed an IGF-I normal or =3 positive LNs who require axillary lymph node dissection
(ALND). We sought to identify the total number of positive LNs in women
presenting with cT1-2N0 breast carcinoma with a positive preoperative LN biopsy
to evaluate the potential for overtreatment when ALND is performed on the basis
of a positive needle biopsy in patients who otherwise meet ACOSOG Z0011
eligibility criteria. METHODS: Patients with cT1-2N0 breast cancer by physical
examination with a positive preoperative LN biopsy were identified from a
prospective institutional database. Clinicopathologic characteristics and
axillary imaging results were compared between women with 1 to 2 total positive
LNs and >=3 total positive LNs. RESULTS: Between May 2006 and December 2013, a
total of 141 women with cT1-2N0 breast cancer had abnormal axillary imaging and a
preoperative positive LN biopsy (median patient age 51 years, median tumor size
2.4 cm, 86 % ductal histology, 79 % estrogen receptor positive). Sixty-six women
(47 %) had 1 to 2 total positive LNs, and 75 (53 %) had >=3 total positive LNs.
Women with >=3 total positive LNs had larger tumors (2.4 vs. 2.2 cm, p = 0.03),
fewer tumors with ductal histology (79 vs. 94 %, p = 0.01), more lymphovascular
invasion (80 vs. 61 %, p = 0.01), and higher median body mass index (29.2 vs.
27.1 kg/m(2), p = 0.04). Having >1 abnormal LN on axillary imaging was
significantly associated with having >=3 total positive LNs at final pathology
(68 vs. 43 %, p = 0.003). CONCLUSIONS: Axillary imaging with preoperative LN
biopsy does not accurately discriminate low- versus high-volume nodal disease in
clinically node-negative patients.
PMID- 26553440
TI - Exploring the Spatial Drug Distribution Pattern of Pressurized Intraperitoneal
Aerosol Chemotherapy (PIPAC).
AB - BACKGROUND: Pressurized intraperitoneal aerosol chemotherapy (PIPAC) is a novel
approach to delivering intraperitoneal chemotherapy (IPC) as a pressurized
aerosol. One of the assumed advantages is the homogeneous drug distribution in
the intraperitoneal cavity compared with conventional liquid in situ
chemotherapy. However, to date, the spatial drug distribution pattern of PIPAC
has not been investigated in detail. METHODS: Doxorubicin was aerosolized in an
ex vivo PIPAC model containing native fresh tissue samples of swine peritoneum at
a pressure of 12 mmHg CO2 at 36 degrees C. In the center of the top cover of the
PIPAC chamber, a PIPAC micropump was installed. Tissue specimens were placed as
follows: (A) bottom of the plastic box, (B) margin of the aerosol jet covered
with a bilaterally open tunnel, (C) side wall, and (D) top cover, respectively.
In-tissue doxorubicin penetration was measured using fluorescence microscopy on
frozen thin sections. RESULTS: The depth of doxorubicin penetration was found to
be significantly higher in tissues directly exposed to the aerosol jet (A: 215 +/
79 um) compared with the side wall (C: 77 +/- 18 um; p < 0.01) and the top of
the box (D: 65 +/- 17 um; p < 0.01). The poorest penetration was observed for
peritoneal tissue covered under a bilaterally open plastic tunnel (B: 34 +/- 19
um; p < 0.001). CONCLUSIONS: The study data suggest that the spatial drug
distribution pattern of ex vivo PIPAC is heterogeneous.
PMID- 26553438
TI - Recurrent Coding Sequence Variation Explains Only A Small Fraction of the Genetic
Architecture of Colorectal Cancer.
AB - Whilst common genetic variation in many non-coding genomic regulatory regions are
known to impart risk of colorectal cancer (CRC), much of the heritability of CRC
remains unexplained. To examine the role of recurrent coding sequence variation
in CRC aetiology, we genotyped 12,638 CRCs cases and 29,045 controls from six
European populations. Single-variant analysis identified a coding variant
(rs3184504) in SH2B3 (12q24) associated with CRC risk (OR = 1.08, P = 3.9 * 10(
7)), and novel damaging coding variants in 3 genes previously tagged by GWAS
efforts; rs16888728 (8q24) in UTP23 (OR = 1.15, P = 1.4 * 10(-7)); rs6580742 and
rs12303082 (12q13) in FAM186A (OR = 1.11, P = 1.2 * 10(-7) and OR = 1.09, P = 7.4
* 10(-8)); rs1129406 (12q13) in ATF1 (OR = 1.11, P = 8.3 * 10(-9)), all reaching
exome-wide significance levels. Gene based tests identified associations between
CRC and PCDHGA genes (P < 2.90 * 10(-6)). We found an excess of rare, damaging
variants in base-excision (P = 2.4 * 10(-4)) and DNA mismatch repair genes (P =
6.1 * 10(-4)) consistent with a recessive mode of inheritance. This study
comprehensively explores the contribution of coding sequence variation to CRC
risk, identifying associations with coding variation in 4 genes and PCDHG gene
cluster and several candidate recessive alleles. However, these findings suggest
that recurrent, low-frequency coding variants account for a minority of the
unexplained heritability of CRC.
PMID- 26553442
TI - Preoperative Helicobacter pylori Infection is Associated with Increased Survival
After Resection of Gastric Adenocarcinoma.
AB - BACKGROUND: Limited data exist on the prognosis of preoperative Helicobacter
pylori (H. pylori) infection in gastric adenocarcinoma (GAC). METHODS: Patients
who underwent curative-intent resection for GAC from 2000 to 2012 at seven
academic institutions comprising the United States Gastric Cancer Collaborative
were included in the study. The primary end points of the study were overall
survival (OS), recurrence-free survival (RFS), and disease-specific survival
(DSS). RESULTS: Of 559 patients, 104 (18.6 %) who tested positive for H. pylori
were younger (62.1 vs 65.1 years; p = 0.041), had a higher frequency of distal
tumors (82.7 vs 71.9 %; p = 0.033), and had higher rates of adjuvant radiation
therapy (47.0 vs 34.9 %; p = 0.032). There were no differences in American
Society of Anesthesiology (ASA) class, margin status, grade, perineural invasion,
lymphovascular invasion, nodal metastases, or tumor-node-metastasis (TNM) stage.
H. pylori positivity was associated with longer OS (84.3 vs 44.2 months; p =
0.008) for all patients. This relationship with OS persisted in the multivariable
analysis (HR 0.54; 95 % CI 0.30-0.99; p = 0.046). H. pylori was not associated
with RFS or DSS in all patients. In the stage 3 patients, H. pylori was
associated with longer OS (44.5 vs 24.7 months; p = 0.018), a trend of longer RFS
(31.4 vs 21.6 months; p = 0.232), and longer DSS (44.8 vs 27.2 months; p =
0.034). CONCLUSIONS: Patients with and without preoperative H. pylori infection
had few differences in adverse pathologic features at the time of gastric
adenocarcinoma resection. Despite similar disease presentations, preoperative H.
pylori infection was independently associated with improved OS. Further studies
examining the interaction between H. pylori and tumor immunology and genetics are
merited.
PMID- 26553443
TI - "Deeply disappointing" public health cuts will increase demand on NHS, says
expert.
PMID- 26553441
TI - Factors Associated with Short-Term Mortality After Surgical Oncologic
Emergencies.
AB - BACKGROUND: The clinical outcome of patients with oncologic emergencies is often
poor and mortality is high. It is important to determine which patients may
benefit from invasive treatment, and for whom conservative treatment and/or
palliative care would be appropriate. In this study, prognostic factors for
clinical outcome are identified in order to facilitate the decision-making
process for patients with surgical oncologic emergencies. METHODS: This was a
prospective registration study for patients over 18 years of age, who were
consulted for surgical oncologic emergencies between November 2013 and April
2014. Multiple variables were registered upon emergency consultation, and the
follow-up period was 90 days. Multivariate logistic regression analysis was
performed to identify factors associated with 30- and 90-day mortality. RESULTS:
During the study period, 207 patients experienced surgical oncologic emergencies
101 (48.8 %) men and 106 (51.2 %) women, with a median age of 64 years (range 19
92). The 30-day mortality was 12.6 % and 90-day mortality was 21.7 %. Factors
significantly associated with 30-day mortality were palliative intent of cancer
treatment prior to emergency consultation (p = 0.006), Eastern Cooperative
Oncology Group performance score (ECOG-PS) >0 (p for trend: p = 0.03), and raised
lactate dehydrogenase (LDH) (p < 0.001). Additional factors associated with 90
day mortality were low handgrip strength (HGS) (p = 0.01) and low albumin (p =
0.002). CONCLUSIONS: Defining the intent of prior cancer treatment and the ECOG
PS are of prognostic value when deciding on treatment for patients with surgical
oncologic emergencies. Additional measurements of HGS, LDH, and albumin levels
can serve as objective parameters to support the clinical assessment of
individual prognosis.
PMID- 26553444
TI - Testing for Basins of Wada.
AB - Nonlinear systems often give rise to fractal boundaries in phase space, hindering
predictability. When a single boundary separates three or more different basins
of attraction, we say that the set of basins has the Wada property and initial
conditions near that boundary are even more unpredictable. Many physical systems
of interest with this topological property appear in the literature. However, so
far the only approach to study Wada basins has been restricted to two-dimensional
phase spaces. Here we report a simple algorithm whose purpose is to look for the
Wada property in a given dynamical system. Another benefit of this procedure is
the possibility to classify and study intermediate situations known as partially
Wada boundaries.
PMID- 26553445
TI - John Edward Salvaggio, MD, MACP, 1933-1999.
PMID- 26553446
TI - Developing a risk stratification model for predicting future health care use in
asthmatic children.
AB - BACKGROUND: Previous studies have stratified pediatric asthma patients for risk
of future exacerbation and/or health care use, but most incorporate multiple
clinical parameters. OBJECTIVE: To determine whether historical acute care visits
(ACVs) alone could predict risk of future health care use. METHODS: Children seen
for asthma in an outpatient visit during a 3-year period were identified. The
number of ACVs in the 12 months before and after the outpatient visit was
determined. Logistic regression models were used to determine the odds of a
future ACV. Models were adjusted for age, sex, race, and insurance status.
RESULTS: Of 28,047 outpatient visits, 21,099 (75.2%) had no historical ACVs. The
probability of a future ACV increased from 30% with one historical ACV to 87%
with 5 or more historical ACVs. Outpatient visits with one historical ACV had
significantly higher odds of a future ACV compared with those with no historical
ACVs (adjusted odds ratio [OR], 3.60; 95% confidence interval [CI], 3.14-4.12; P
< .001). The OR increased with each additional historical ACV to an adjusted OR
of 58.71 (95% CI, 24.34-141.61; P < .001) with 5 or more historical ACVs.
Outpatient visits with 5 or more historical ACVs represented only 1.1% of the
study sample but accounted for a higher mean number of future ACVs. CONCLUSION:
The historical count of ACVs was predictive of future ACVs. A significant
increase in the probability of future ACVs was observed with each additional
historical visit, effectively stratifying risk by the historical visit count.
Notably, a small group of patients accounted for a disproportionate number of
future ACVs.
PMID- 26553447
TI - ADAM33 and ADAM12 genetic polymorphisms and their expression in Egyptian children
with asthma.
AB - BACKGROUND: The ADAM family is involved in some pathologic processes, such as
inflammation and asthma. OBJECTIVES: To assess the association between ADAM33 and
ADAM12 single-nucleotide polymorphisms (SNPs) with asthma risk and severity and
to investigate the effect of ADAM33 and ADAM12 polymorphisms on expression of
these proteases in sputum. METHODS: Two SNPs of the ADAM33 gene, F+1 (rs511898)
G/A and ST+4 (rs44707) A/C, and 2 SNPs of the ADAM12 gene, rs3740199 and
rs1871054, were analyzed in 400 asthma cases and 200 controls aged 3 to 14 years
using the polymerase chain reaction-restriction fragment length polymorphism
method. Messenger RNA expression profile of ADAM33 and ADAM12 proteases in sputum
from studied groups was determined by reverse transcription polymerase chain
reaction. RESULTS: ADAM33 F+1 homozygous mutant genotype (AA) and ST+4
heterozygous and homozygous mutant genotype (AC and CC) and mutant alleles of
both polymorphisms were significantly associated with asthma risk and severity in
moderate and severe subgroups. Patients with the ADAM12 (rs3740199) CC genotype
were at increased risk for moderate and severe asthma. Messenger RNA levels of
ADAM12 were significantly increased in asthmatic children compared with controls,
whereas we were not able to detect the expression of ADAM33 in the sputum of the
groups studied. The ADAM12 expression was significantly higher in homozygous CC
(variant type) compared with homozygous GG (wild type) of both ADAM12 rs3740199
and rs1871054 in the asthmatic group. CONCLUSION: Our analysis suggests a likely
role for ADAM33 and ADAM12 in the development of asthma in Egyptian children.
Furthermore, ADAM12 polymorphisms may affect ADAM12 expression in asthma.
PMID- 26553448
TI - Safety of house dust mite sublingual immunotherapy standardized quality tablet in
children allergic to house dust mites.
AB - BACKGROUND: Sublingual immunotherapy (SLIT) tablets could be an important
alternative to subcutaneous immunotherapy for house dust mite (HDM) allergy in
children. OBJECTIVE: To characterize the safety, tolerability, and duration of
local adverse events (AEs) of an HDM SLIT tablet (MK-8237; Merck, ALK Abello, and
Torii) in North American children 12 to 17 years old with HDM allergic rhinitis
with and without conjunctivitis and with or without asthma. METHODS: In this
phase 1, multicenter, double-blinded, randomized trial (NCT01678807), children
received placebo, HDM SLIT tablet 6 standardized quality (SQ) HDM, or 12 SQ-HDM
once daily for 28 days. The primary end point was the proportion of subjects with
treatment-emergent AEs receiving active treatment vs placebo. The secondary end
point was the proportion of subjects who discontinued owing to AEs. RESULTS: In
total 195 subjects were randomized. The 2 HDM SLIT tablet doses were well
tolerated. No anaphylactic reactions, systemic allergic reactions, AEs requiring
epinephrine, serious AEs, or local swellings in the mouth or throat assessed as
severe were reported. The proportion of subjects with treatment-emergent AEs was
54% with 6 SQ-HDM and 57% with 12 SQ-HDM (nonsignificant vs 43% with placebo).
Local AEs were the most commonly reported treatment-emergent AEs. On day 1, the
median duration of individual local AEs ranged from 1 to 43 minutes. The
proportion of subjects who discontinued owing to AEs was 0%, 6.2%, and 6.2%, and
who experienced treatment-related AEs was 25%, 45%, and 52% for the placebo, 6 SQ
HDM, and 12 SQ-HDM groups, respectively. CONCLUSION: The 6 and 12 SQ-HDM doses of
the HDM SLIT tablet MK-8237 were well tolerated, and local AEs were of short
duration. TRIAL REGISTRATION: ClinicalTrials.gov, identifier NCT01678807.
PMID- 26553449
TI - Duodenal intussusception secondary to web presenting as recurrent pancreatitis in
a 7-year-old girl.
AB - Duodenal intussusception is a rare entity in children, with 32 cases reported in
the English literature to our knowledge. Most reported cases are associated with
endoluminal tubes or polyps, and the presenting symptoms are chronic and
nonspecific. We report a case of duodenal intussusception in a 7-year-old girl
secondary to a duodenal web and review the imaging findings.
PMID- 26553450
TI - Policies for the vaccination of cats and dogs in New Zealand veterinary
practices.
AB - AIMS: To determine current practices and attitudes towards vaccination of dogs
and cats of veterinarians in New Zealand; the methods used for informing clients
on which vaccines to use, and the preferred site for vaccination of cats.
METHODS: A postal questionnaire was sent to all 483 listed veterinary practices
in New Zealand during February 2012. Some questions were specific to pet dogs,
cats, or working farm dogs. Responses were categorised according to practice type
and geographical region of the respondent. Factors associated with respondent
recommendation of annual vaccination with modified live viral (MLV) vaccines were
examined using logistic regression analysis. Vaccines that were considered to be
essential for every animal were defined as core; those that may be recommended
for animals whose location or lifestyle placed them at risk, were defined as non
core. RESULTS: There were 204 useable returns, equivalent to a response rate of
42.2%, distributed across the country. Annual vaccination with MLV vaccines of
dogs was recommended by 54/198 (27.3%) respondents, and of cats by 107/181
(59.1%) respondents. Factors associated with the recommendation of annual
administration of MLV vaccines to dogs included being a companion animal
practice, a desire for policies on vaccination to be left to individual clinics,
and having one veterinarian in the practice. Administration of the final
vaccination for puppies was recommended at >=14 weeks old by 55/185 (29.7%)
respondents, and for kittens at >=13 weeks old by 42/183 (23%) respondents. Of
respondents that administered MLV vaccines annually, 62/103 (60.2%) believed
reducing the frequency of vaccination would reduce income, and 52/103 (50.5%)
considered it would have a negative effect on animal health. Advice to enable
clients to decide which non-core vaccines were administered was given by 181/199
(91%) respondents. Factors considered when recommending a vaccine included
consideration of risk to individual patients (190/203; 93.6%), requirements of
boarding kennels/catteries (165/203; 81.3%) and clinic vaccination policy
(142/203; 70%). The preferred site for administering MLV vaccines to cats was the
dorsal neck or inter-scapular region (137/198; 69.2%). Amongst respondents, 18
wanted disease surveillance information to allow for truly informed decisions to
be made about vaccination. CONCLUSIONS AND CLINICAL RELEVANCE: Veterinarians can
now compare their own vaccination practices and attitudes with those of
veterinarians nationally, and internationally. There is a need for national
surveillance information and for continued education of the public and commercial
kennel and cattery owners for optimal vaccination strategies to be developed.
PMID- 26553451
TI - Contemporary approaches to basal cell carcinoma diagnosis and treatment.
PMID- 26553452
TI - ADAM9 enhances CDCP1 protein expression by suppressing miR-218 for lung tumor
metastasis.
AB - Metastasis is the leading cause of death in cancer patients due to the difficulty
of controlling this complex process. MicroRNAs (miRNA), endogenous noncoding
short RNAs with important biological and pathological functions, may play a
regulatory role during cancer metastasis, but this role has yet to be fully
defined. We previously demonstrated that ADAM9 enhanced the expression of the pro
migratory protein CDCP1 to promote lung metastasis; however, the regulatory
process remains unknown. Here we demonstrate that endogenous miR-218, which is
abundant in normal lung tissue but suppressed in lung tumors, is regulated during
the process of ADAM9-mediated CDCP1 expression. Suppression of miR-218 was
associated with high migration ability in lung cancer cells. Direct interaction
between miR-218 and the 3'-UTR of CDCP1 mRNAs was detected in luciferase-based
transcription reporter assays. CDCP1 protein levels decreased as expression
levels of miR-218 increased, and increased in cells treated with miR-218
antagomirs. Induction of miR-218 inhibited tumor cell mobility, anchorage-free
survival, and tumor-initiating cell formation in vitro and delayed tumor
metastases in mice. Our findings revealed an integrative tumor suppressor
function of miR-218 in lung carcinogenesis and metastasis.
PMID- 26553453
TI - Ten weeks of branched-chain amino acid supplementation improves select
performance and immunological variables in trained cyclists.
AB - We examined if supplementing trained cyclists (32 +/- 2 year, 77.8 +/- 2.6 kg,
and 7.4 +/- 1.2 year training) with 12 g/day (6 g/day L-Leucine, 2 g/day L
Isoleucine and 4 g/day L-Valine) of either branched-chain amino acids (BCAAs, n =
9) or a maltodextrin placebo (PLA, n = 9) over a 10-week training season affected
select body composition, performance, and/or immune variables. Before and after
the 10-week study, the following was assessed: (1) 4-h fasting blood draws; (2)
dual X-ray absorptiometry body composition; (3) Wingate peak power tests; and (4)
4 km time-trials. No group * time interactions existed for total lean mass (P =
0.27) or dual-leg lean mass (P = 0.96). A significant interaction existed for
body mass-normalized relative peak power (19 % increase in the BCAA group pre- to
post-study, P = 0.01), and relative mean power (4 % increase in the BCAA group
pre- to post-study, P = 0.01). 4 km time-trial time to completion approached a
significant interaction (P = 0.08), as the BCAA group improved in this measure by
11 % pre- to post-study, though this was not significant (P = 0.15). There was a
tendency for the BCAA group to present a greater post-study serum BCAA: L
Tryptophan ratio compared to the PLA group (P = 0.08). A significant interaction
for neutrophil number existed (P = 0.04), as there was a significant 18 %
increase within the PLA group from the pre- to post-study time point (P = 0.01).
Chronic BCAA supplementation improves sprint performance variables in endurance
cyclists. Additionally, given that BCAA supplementation blunted the neutrophil
response to intense cycling training, BCAAs may benefit immune function during a
prolonged cycling season.
PMID- 26553454
TI - Effects of sodium ions on rat thyrocyte (FRTL-5 cells) swelling- and thyrotropin
activated taurine efflux dependent on cAMP and Epac.
AB - Cellular osmolyte release is important in preventing water accumulation and
swelling. However, the signaling pathways that detect volume increase and
activate solute efflux are still not fully understood. We investigated efflux
activation of the osmolyte taurine which is actively accumulated in rat
thyrocytes (FRTL-5). Efflux of accumulated [(3)H]taurine was stimulated by
cellular swelling and thyrotropin (TSH). These effects were significantly
diminished in cells having reduced TSH receptor concentrations. Phosphodiesterase
inhibitors (IBMX, Rolipram) enhanced both responses. An analog of forskolin (FSK;
7-deacetyl-7-[O-(N-methylpiperazino)-gamma-butyryl] dihydrochloride) and an
analog of cAMP, specific for activating exchange protein activated directly by
cAMP (Epac; 8-(4-chlorophenylthio)-2'-O-methyladenosine-3',5'-cyclic
monophosphate, acetoxymethyl ester), significantly stimulated [(3)H]taurine
efflux. A cAMP analog specific for activating protein kinase A (PKA; N6
benzoyladenosine-3',5'-cyclic monophosphate, acetoxymethyl ester) had no
significant stimulatory effect on [(3)H]taurine efflux rate. The amiloride
analog, 5-(N-ethyl-N-isopropyl)-amiloride, which inhibits a TSH-stimulated
Na(+)/H(+) exchanger, enhanced (100 %) and ouabain inhibited (50 %) the TSH
stimulated [(3)H]taurine efflux rate. The effect of FSK on efflux was strongly
potentiated by Na(+)-free iso-osmotic conditions and by osmolality/cell volume
that affected also the db-cAMP-stimulated efflux. The TSH receptors and
downstream elements of the signaling pathway comprising adenylyl cyclase, cAMP
and Epac appeared to mediate the hormone-induced signal for [(3)H]taurine efflux
from FRTL-5 cells. With less evidence, the cell volume/osmolality-induced
[(3)H]taurine efflux cascade appeared to share some of the hormone signaling
elements and to modulate the hormone signaling pathway at two levels through
cellular Na(+).
PMID- 26553455
TI - Persistent organic pollutant levels and the importance of source proximity in
Baltic and Svalbard breeding common eiders.
AB - The distance to sources and the long-range transport potential of persistent
organic pollutants (POPs) are important in understanding the impact of
anthropogenic pollution on natural seabird populations. The present study
documented blood concentrations of POPs in the Baltic Sea (Tvarminne, Finland)
population of common eiders (Somateria mollissima) in 2009 and in 2011 and
compared the concentrations with the presumably less exposed Arctic population in
Svalbard (Kongsfjorden, Norway). The Baltic population had 26, 10, and 5 times
greater concentrations of hexachlorocyclohexane, polychlorinated biphenyls, and
p,p'-dichlorodiphenyldichloroethylene than the Svalbard population. Unexpectedly,
concentrations of chlordanes were higher in Svalbard eiders, whereas
concentrations of hexachlorobenzenes (HCBs) did not differ between the 2
populations. Although the similar HCB levels may partly be explained by the high
transport potential of HCBs, unknown factors may have been more important than
distance to sources and long-range transport potential for the chlordanes. One
plausible explanation may be that the fasting-related redistribution of POPs from
fat to blood was greater throughout the incubation in Arctic eiders, causing them
to have higher blood levels of these POPs at the end of incubation. The blood
concentrations of POPs in Baltic eiders were higher than documented in any other
eider population and were comparable to levels in seabirds feeding at higher
trophic positions in the food chain. Environ Toxicol Chem 2016;35:1526-1533. (c)
2015 SETAC.
PMID- 26553456
TI - RNA sequencing of Sleeping Beauty transposon-induced tumors detects transposon
RNA fusions in forward genetic cancer screens.
AB - Forward genetic screens using Sleeping Beauty (SB)-mobilized T2/Onc transposons
have been used to identify common insertion sites (CISs) associated with tumor
formation. Recurrent sites of transposon insertion are commonly identified using
ligation-mediated PCR (LM-PCR). Here, we use RNA sequencing (RNA-seq) data to
directly identify transcriptional events mediated by T2/Onc. Surprisingly, the
majority (~80%) of LM-PCR identified junction fragments do not lead to observable
changes in RNA transcripts. However, in CIS regions, direct transcriptional
effects of transposon insertions are observed. We developed an automated method
to systematically identify T2/Onc-genome RNA fusion sequences in RNA-seq data.
RNA fusion-based CISs were identified corresponding to both DNA-based CISs
(Cdkn2a, Mycl1, Nf2, Pten, Sema6d, and Rere) and additional regions strongly
associated with cancer that were not observed by LM-PCR (Myc, Akt1, Pth, Csf1r,
Fgfr2, Wisp1, Map3k5, and Map4k3). In addition to calculating recurrent CISs, we
also present complementary methods to identify potential driver events via
determination of strongly supported fusions and fusions with large transcript
level changes in the absence of multitumor recurrence. These methods
independently identify CIS regions and also point to cancer-associated genes like
Braf. We anticipate RNA-seq analyses of tumors from forward genetic screens will
become an efficient tool to identify causal events.
PMID- 26553457
TI - Tenosynovial giant cell tumour (pigmented villonodular synovitis-)-like changes
in periprosthetic interface membranes.
AB - Tenosynovial giant cell tumour (TSGCT; synonym, pigmented villonodular synovitis
(PVNS)) is a rare low-grade mesenchymal neoplasm of either intra-articular or
extra-articular origin. The etiopathogenesis of TSGCT is still uncertain, but
recent studies showed a translocation involving colony-stimulating factor 1 (CSF
1) gene in a subset of cases. Histological features mimicking TSGCT can sometimes
be encountered in periprosthetic interface membranes. To investigate the
frequency and morphologic spectrum of this phenomenon, we conducted a systematic
analysis of 477 periprosthetic interface membranes and performed
immunohistochemical analysis on a subset of lesions compared to genuine TSGCT. In
26 of 477 periprosthetic membrane samples (5 %), at least some TSGCT-like
features were found and 18 cases (4 %) strongly resembled it. Wear particles were
detected in 100 % of the TSGCT-like lesions but only in 63.3 % of the whole
cohort of periprosthetic membranes (p value <0.001). Immunohistochemistry
comparing true TSGCT and TSGCT-like membranes showed similar inflammatory
infiltrates with slightly elevated CD3+/CD8+ T lymphocytes and a slightly higher
proliferation index in TSGCT samples. In conclusion, TSGCT-like changes in
periprosthetic membranes likely represent exuberant fibrohistiocytic inflammatory
response induced by wear particles and should be distinguished from genuine
(neoplastic) TSGCT. Although TSGCT and TSGCT-like periprosthetic membranes
represent different entities, their comparable morphology might reflect analogous
morphogenesis.
PMID- 26553458
TI - Challenges with heparin-based anticoagulation during cardiopulmonary bypass in
children: Impact of low antithrombin activity.
AB - BACKGROUND: Antithrombin is one of the main natural coagulation system
inhibitors. It is potentiated by heparin, and may be a key component of heparin
response, particularly in infants aged <1 year. We sought to determine the impact
of baseline antithrombin activity on response to heparin and thrombin generation
during cardiopulmonary bypass (CPB). METHODS: Secondary analysis was performed
using linear regression analyses, which combined patients from a trial of
individualized versus weight-based heparin management for 90 infants aged <1 year
undergoing cardiac surgery. RESULTS: Mean baseline antithrombin activity was 0.69
+/- 0.16 U/mL, and it was lower in neonates than in older infants (0.57 +/- 0.15
vs 0.77 +/- 0.12 U/mL; P < .001). Lower baseline antithrombin activity was
associated with lower postheparin anti-Xa activity (EST [SE]: +0.47 (0.19) U/mL
per 100 U/kg heparin; P = .01) and higher heparin doses during surgery (EST [SE]:
+51 (17) U/kg per hour; P = .003). The administration of fresh frozen plasma
attenuated the effect of low baseline antithrombin activity (interaction P value
= .009). Patients with lower anti-Xa activity recorded during CPB had higher
levels of thrombin-antithrombin complex (EST [SE]: +12.8 (4.7) ng/mL per -1 U/mL
anti-Xa; P = .006); prothrombin activation fragment 1.2 (EST [SE]: +0.13 (0.07)
log pg/mL per -1 U/mL anti-Xa; P = .06); and D-dimer (EST [SE]: -0.25 (0.09) log
ng/mL per -1 U/mL anti-Xa; P = .009) in the postoperative period after adjustment
for baseline antithrombin activity, duration of CPB, amount of fresh frozen
plasma and heparin used throughout surgery in multivariable models. CONCLUSIONS:
Low circulating antithrombin activity is associated with lower heparin efficacy,
which ultimately leads to a lower ability to suppress thrombin generation during
CPB. Determination of risk factors for heparin resistance, and potentially,
antithrombin replacement therapy, may individualize and improve anticoagulation
treatment.
PMID- 26553459
TI - Reimplantation of an anomalous left innominate artery with Kommerell diverticulum
arising from a right aortic arch.
PMID- 26553460
TI - Systematic review of the influence of enhanced recovery pathways in elective lung
resection.
AB - OBJECTIVE: Enhanced-recovery pathways aim to accelerate postoperative recovery
and facilitate early hospital discharge. The aim of this systematic review was to
summarize the evidence regarding the influence of this intervention in patients
undergoing lung resection. METHODS: The review was performed according to the
Preferred Reporting Items for Systematic Reviews and Meta-Analyses Statement.
Eight bibliographic databases (Medline, Embase, BIOSIS, CINAHL, Web of Science,
Scopus, Cochrane Database of Systematic Reviews, and Cochrane Central Register of
Controlled Trials) were searched for studies comparing postoperative outcomes in
adult patients treated within an enhanced-recovery pathway or traditional care.
Risk of bias was assessed using the Cochrane Collaboration risk of bias tool.
RESULTS: Six studies fulfilled our selection criteria (1 randomized and 5
nonrandomized studies). All the nonrandomized studies reported shorter length of
stay in the intervention group (difference, 1.2-9.1 days), but the randomized
study reported no differences. There were no differences between groups in
readmissions, overall complications, and mortality rates. Two nonrandomized
studies reported reduction in hospital costs in the intervention group. Risk of
bias favoring enhanced recovery pathways was high. CONCLUSIONS: A small number of
low-quality comparative studies have evaluated the influence of enhanced-recovery
pathways in patients undergoing lung resection. Some studies suggest that this
intervention may reduce length of stay and hospital costs, but they should be
interpreted in light of several methodologic limitations. This review highlights
the need for well-designed trials to provide conclusive evidence about the role
of enhanced-recovery pathways in this patient population.
PMID- 26553461
TI - Multidisciplinary nutritional support for undernutrition in nursing home and home
care: A cluster randomized controlled trial.
AB - OBJECTIVE: To assess the effect of multidisciplinary nutritional support for
undernutrition in older adults in nursing home and home-care identified with the
validated Eating Validation Scheme (EVS). METHODS: An 11 wk cluster randomized
trial with a home-care (3 clusters) or nursing home (3 clusters) setting as the
unit of randomization. Before starting the study, a train-the-trainer course was
performed to educate the nutrition coordinators. In addition to the nutrition
coordinator, the participants assigned to the intervention group strategy
received multidisciplinary nutrition support. Focus was on treatment of the
potentially modifiable nutritional risk factors identified with the EVS, by
involving the physiotherapist, registered dietitian, and occupational therapist,
as relevant and independent of the municipality's ordinary assessment and
referral system. Outcome parameters were quality of life (by means of EuroQol-5D
3L), physical performance (30-seconds chair stand), nutritional status (weight
and hand-grip strength), oral care, fall incidents, hospital admissions,
rehabilitation stay, moving to nursing homes (participants from home-care), and
mortality. RESULTS: Respectively, 55 (46 from 2 home-care clusters) and 40 (18
from 1 home-care cluster) were identified with the EVS and comprised the
intervention and control group. A difference after 11 wk in quality of life
(0.758 [0.222] versus 0.534 [0.355], P = 0.001), 30-seconds chair stand (47%
versus 17% improved, P = 0.005) and oral care (1.1 [0.3] versus 1.3 [0.5], P =
0.021) was observed. There was a almost significant difference in mortality (2%
versus 13%, P = 0.079). CONCLUSIONS: Multidisciplinary nutritional support in
older adults in nursing home and home-care could have a positive effect on
quality of life, muscle strength, and oral care.
PMID- 26553462
TI - Escherichia coli Pyruvate Dehydrogenase Complex Is an Important Component of
CXCL10-Mediated Antimicrobial Activity.
AB - Chemokines are best recognized for their role within the innate immune system as
chemotactic cytokines, signaling and recruiting host immune cells to sites of
infection. Certain chemokines, such as CXCL10, have been found to play an
additional role in innate immunity, mediating CXCR3-independent killing of a
diverse array of pathogenic microorganisms. While this is still not clearly
understood, elucidating the mechanisms underlying chemokine-mediated
antimicrobial activity may facilitate the development of novel therapeutic
strategies effective against antibiotic-resistant Gram-negative pathogens. Here,
we show that CXCL10 exerts antibacterial effects on clinical and laboratory
strains of Escherichia coli and report that disruption of pyruvate dehydrogenase
complex (PDHc), which converts pyruvate to acetyl coenzyme A, enables E. coli to
resist these antimicrobial effects. Through generation and screening of a
transposon mutant library, we identified two mutants with increased resistance to
CXCL10, both with unique disruptions of the gene encoding the E1 subunit of PDHc,
aceE. Resistance to CXCL10 also occurred following deletion of either aceF or
lpdA, genes that encode the remaining two subunits of PDHc. Although PDHc resides
within the bacterial cytosol, electron microscopy revealed localization of
immunogold-labeled CXCL10 to the bacterial cell surface in both the E. coli
parent and aceE deletion mutant strains. Taken together, our findings suggest
that while CXCL10 interacts with an as-yet-unidentified component on the cell
surface, PDHc is an important mediator of killing by CXCL10. To our knowledge,
this is the first description of PDHc as a key bacterial component involved in
the antibacterial effect of a chemokine.
PMID- 26553463
TI - Inactivation of Peroxiredoxin 6 by the Pla Protease of Yersinia pestis.
AB - Pneumonic plague represents the most severe form of disease caused by Yersinia
pestis due to its ease of transmission, rapid progression, and high mortality
rate. The Y. pestis outer membrane Pla protease is essential for the development
of pneumonic plague; however, the complete repertoire of substrates cleaved by
Pla in the lungs is not known. In this study, we describe a proteomic screen to
identify host proteins contained within the bronchoalveolar lavage fluid of mice
that are cleaved and/or processed by Y. pestis in a Pla-dependent manner. We
identified peroxiredoxin 6 (Prdx6), a host factor that contributes to pulmonary
surfactant metabolism and lung defense against oxidative stress, as a previously
unknown substrate of Pla. Pla cleaves Prdx6 at three distinct sites, and these
cleavages disrupt both the peroxidase and phospholipase A2 activities of Prdx6.
In addition, we found that infection with wild-type Y. pestis reduces the
abundance of extracellular Prdx6 in the lungs compared to that after infection
with Deltapla Y. pestis, suggesting that Pla cleaves Prdx6 in the pulmonary
compartment. However, following infection with either wild-type or Deltapla Y.
pestis, Prdx6-deficient mice exhibit no differences in bacterial burden, host
immune response, or lung damage from wild-type mice. Thus, while Pla is able to
disrupt Prdx6 function in vitro and reduce Prdx6 levels in vivo, the cleavage of
Prdx6 has little detectable impact on the progression or outcome of pneumonic
plague.
PMID- 26553464
TI - The Stringent Response Regulator DksA Is Required for Salmonella enterica Serovar
Typhimurium Growth in Minimal Medium, Motility, Biofilm Formation, and Intestinal
Colonization.
AB - Salmonella enterica serovar Typhimurium is a facultative intracellular human and
animal bacterial pathogen posing a major threat to public health worldwide.
Salmonella pathogenicity requires complex coordination of multiple physiological
and virulence pathways. DksA is a conserved Gram-negative regulator that belongs
to a distinct group of transcription factors that bind directly to the RNA
polymerase secondary channel, potentiating the effect of the signaling molecule
ppGpp during a stringent response. Here, we established that in S. Typhimurium,
dksA is induced during the logarithmic phase and DksA is essential for growth in
minimal defined medium and plays an important role in motility and biofilm
formation. Furthermore, we determined that DksA positively regulates the
Salmonella pathogenicity island 1 and motility-chemotaxis genes and is necessary
for S. Typhimurium invasion of human epithelial cells and uptake by macrophages.
In contrast, DksA was found to be dispensable for S. Typhimurium host cell
adhesion. Finally, using the colitis mouse model, we found that dksA is spatially
induced at the midcecum during the early stage of the infection and required for
gastrointestinal colonization and systemic infection in vivo. Taken together,
these data indicate that the ancestral stringent response regulator DksA
coordinates various physiological and virulence S. Typhimurium programs and
therefore is a key virulence regulator of Salmonella.
PMID- 26553465
TI - Global Changes in Mycoplasma gallisepticum Phase-Variable Lipoprotein Gene vlhA
Expression during In Vivo Infection of the Natural Chicken Host.
AB - Mycoplasma gallisepticum is the primary etiologic agent of chronic respiratory
disease in poultry, a disease largely affecting the respiratory tract and causing
significant economic losses worldwide. Immunodominant proteins encoded by members
of the variable lipoprotein and hemagglutinin (vlhA) gene family are thought to
be important for mechanisms of M. gallisepticum-host interaction, pathogenesis,
and immune evasion, but their exact role and the overall nature of their phase
variation are unknown. To better understand these mechanisms, we assessed global
transcriptomic vlhA gene expression directly from M. gallisepticum populations
present on tracheal mucosae during a 7-day experimental infection in the natural
chicken host. Here we report differences in both dominant and minor vlhA gene
expression levels throughout the first week of infection and starting as early as
day 1 postinfection, consistent with a functional role not dependent on adaptive
immunity for driving phase variation. Notably, data indicated that, at given time
points, specific vlhA genes were similarly dominant in multiple independent
hosts, suggesting a nonstochastic temporal progression of dominant vlhA gene
expression in the colonizing bacterial population. The dominant expression of a
given vlhA gene was not dependent on the presence of 12-copy GAA trinucleotide
repeats in the promoter region and did not revert to the predominate vlhA gene
when no longer faced with host pressures. Overall, these data indicate that vlhA
phase variation is dynamic throughout the earliest stages of infection and that
the pattern of dominant vlhA expression may be nonrandom and regulated by
previously unrecognized mechanisms.
PMID- 26553466
TI - Accumulation of Regulatory T Cells and Chronic Inflammation in the Middle Ear in
a Mouse Model of Chronic Otitis Media with Effusion Induced by Combined
Eustachian Tube Blockage and Nontypeable Haemophilus influenzae Infection.
AB - Nontypeable Haemophilus influenzae (NTHi) is associated with chronic otitis media
(COM). In this study, we generated a murine model of COM by using eustachian tube
(ET) obstruction and NTHi (10(7) CFU) inoculation into the tympanic bulla, and we
investigated the relationship between regulatory T cells (Treg) and chronic
inflammation in the middle ear. Middle ear effusions (MEEs) and middle ear
mucosae (MEM) were collected at days 3 and 14 and at 1 and 2 months after
inoculation. Untreated mice served as controls. MEEs were used for bacterial
counts and to measure the concentrations of cytokines. MEM were collected for
histological evaluation and flow cytometric analysis. Inflammation of the MEM was
prolonged throughout this study, and the incidence of NTHi culture-positive MEE
was 38% at 2 months after inoculation. The levels of interleukin-1beta (IL-beta),
tumor necrosis factor alpha, IL-10, and transforming growth factor beta were
increased in the middle ear for up to 2 months after inoculation. CD4(+) CD25(+)
FoxP3(+) Treg accumulated in the middle ear, and the percentage of Treg in the
MEM increased for up to 2 months after inoculation. Treg depletion induced a
99.9% reduction of bacterial counts in MEEs and also significantly reduced the
ratio of NTHi culture-positive MEE. The levels of these cytokines were also
reduced in MEEs. In summary, we developed a murine model of COM, and our findings
indicate that Treg confer infectious tolerance to NTHi in the middle ear.
PMID- 26553467
TI - Discovery and Characterization of Human-Urine Utilization by Asymptomatic
Bacteriuria-Causing Streptococcus agalactiae.
AB - Streptococcus agalactiae causes both symptomatic cystitis and asymptomatic
bacteriuria (ABU); however, growth characteristics of S. agalactiae in human
urine have not previously been reported. Here, we describe a phenotype of robust
growth in human urine observed in ABU-causing S. agalactiae (ABSA) that was not
seen among uropathogenic S. agalactiae (UPSA) strains isolated from patients with
acute cystitis. In direct competition assays using pooled human urine inoculated
with equal numbers of a prototype ABSA strain, designated ABSA 1014, and any one
of several UPSA strains, measurement of the percentage of each strain recovered
over time showed a markedly superior fitness of ABSA 1014 for urine growth.
Comparative phenotype profiling of ABSA 1014 and UPSA strain 807, isolated from a
patient with acute cystitis, using metabolic arrays of >2,500 substrates and
conditions revealed unique and specific l-malic acid catabolism in ABSA 1014 that
was absent in UPSA 807. Whole-genome sequencing also revealed divergence in malic
enzyme-encoding genes between the strains predicted to impact the activity of the
malate metabolic pathway. Comparative growth assays in urine comparing wild-type
ABSA and gene-deficient mutants that were functionally inactivated for the malic
enzyme metabolic pathway by targeted disruption of the maeE or maeK gene in ABSA
demonstrated attenuated growth of the mutants in normal human urine as well as
synthetic human urine containing malic acid. We conclude that some S. agalactiae
strains can grow in human urine, and this relates in part to malic acid
metabolism, which may affect the persistence or progression of S. agalactiae ABU.
PMID- 26553468
TI - Suppression of CD4+ Effector Responses by Naturally Occurring CD4+ CD25+ Foxp3+
Regulatory T Cells Contributes to Experimental Cerebral Malaria.
AB - The role of naturally occurring CD4(+) CD25(+) Foxp3(+) regulatory T cells
(nTreg) in the pathogenesis of cerebral malaria (CM), which involves both
pathogenic T cell responses and parasite sequestration in the brain, is still
unclear. To assess the contribution and dynamics of nTreg during the
neuropathogenesis, we unbalanced the ratio between nTreg and naive CD4(+) T cells
in an attenuated model of Plasmodium berghei ANKA-induced experimental CM (ECM)
by using a selective cell enrichment strategy. We found that nTreg adoptive
transfer accelerated the onset and increased the severity of CM in syngeneic
C57BL/6 (B6) P. berghei ANKA-infected mice without affecting the level of
parasitemia. In contrast, naive CD4(+) T cell enrichment prevented CM and
promoted parasite clearance. Furthermore, early during the infection nTreg
expanded in the spleen but did not efficiently migrate to the site of
neuroinflammation, suggesting that nTreg exert their pathogenic action early in
the spleen by suppressing the protective naive CD4(+) T cell response to P.
berghei ANKA infection in vivo in both CM-susceptible (B6) and CM-resistant (B6
CD4(-/-)) mice. However, their sole transfer was not sufficient to restore CM
susceptibility in two CM-resistant congenic strains tested. Altogether, these
results demonstrate that nTreg are activated and functional during P. berghei
ANKA infection and that they contribute to the pathogenesis of CM. They further
suggest that nTreg may represent an early target for the modulation of the immune
response to malaria.
PMID- 26553470
TI - Correction of a Cystic Fibrosis Splicing Mutation by Antisense Oligonucleotides.
AB - Cystic fibrosis (CF), the most common life-threatening genetic disease in
Caucasians, is caused by ~2,000 different mutations in the CF transmembrane
conductance regulator (CFTR) gene. A significant fraction of these (~13%) affect
pre-mRNA splicing for which novel therapies have been somewhat neglected. We have
previously described the effect of the CFTR splicing mutation c.2657+5G>A in
IVS16, showing that it originates transcripts lacking exon 16 as well as wild
type transcripts. Here, we tested an RNA-based antisense oligonucleotide (AON)
strategy to correct the aberrant splicing caused by this mutation. Two AONs
(AON1/2) complementary to the pre-mRNA IVS16 mutant region were designed and
their effect on splicing was assessed at the RNA and protein levels, on
intracellular protein localization and function. To this end, we used the
2657+5G>A mutant CFTR minigene stably expressed in HEK293 Flp-In cells that
express a single copy of the transgene. RNA data from AON1-treated mutant cells
show that exon 16 inclusion was almost completely restored (to 95%), also
resulting in increased levels of correctly localized CFTR protein at the plasma
membrane (PM) and with increased function. A novel two-color CFTR splicing
reporter minigene developed here allowed the quantitative monitoring of splicing
by automated microscopy localization of CFTR at the PM. The AON strategy is thus
a promising therapeutic approach for the specific correction of alternative
splicing.
PMID- 26553469
TI - Toxoplasma gondii GRA7-Induced TRAF6 Activation Contributes to Host Protective
Immunity.
AB - The intracellular parasite Toxoplasma gondii has unique dense granule antigens
(GRAs) that are crucial for host infection. Emerging evidence suggests that GRA7
of T. gondii is a promising serodiagnostic marker and an effective toxoplasmosis
vaccine candidate; however, little is known about the intracellular regulatory
mechanisms involved in the GRA7-induced host responses. Here we show that GRA7
induced MyD88 signaling through the activation of TRAF6 and production of
reactive oxygen species (ROS) is required for the induction of NF-kappaB-mediated
proinflammatory responses by macrophages. GRA7 stimulation resulted in the rapid
activation of mitogen-activated protein kinases and an early burst of ROS in
macrophages in a MyD88-dependent manner. GRA7 induced a physical association
between GRA7 and TRAF6 via MyD88. Remarkably, the C terminus of GRA7 (GRA7-V) was
sufficient for interaction with and ubiquitination of the RING domain of TRAF6,
which is capable of inflammatory cytokine production. Interestingly, the
generation of ROS and TRAF6 activation are mutually dependent on GRA7/MyD88
mediated signaling in macrophages. Furthermore, mice immunized with GRA7-V showed
markedly increased Th1 immune responses and protective efficacy against T. gondii
infection. Collectively, these results provide novel insight into the crucial
role of GRA7-TRAF6 signaling in innate immune responses.
PMID- 26553471
TI - Couch height-based patient setup for abdominal radiation therapy.
AB - There are 2 methods commonly used for patient positioning in the anterior
posterior (A-P) direction: one is the skin mark patient setup method (SMPS) and
the other is the couch height-based patient setup method (CHPS). This study
compared the setup accuracy of these 2 methods for abdominal radiation therapy.
The enrollment for this study comprised 23 patients with pancreatic cancer. For
treatments (539 sessions), patients were set up by using isocenter skin marks and
thereafter treatment couch was shifted so that the distance between the isocenter
and the upper side of the treatment couch was equal to that indicated on the
computed tomographic (CT) image. Setup deviation in the A-P direction for CHPS
was measured by matching the spine of the digitally reconstructed radiograph
(DRR) of a lateral beam at simulation with that of the corresponding time
integrated electronic portal image. For SMPS with no correction (SMPS/NC), setup
deviation was calculated based on the couch-level difference between SMPS and
CHPS. SMPS/NC was corrected using 2 off-line correction protocols: no action
level (SMPS/NAL) and extended NAL (SMPS/eNAL) protocols. Margins to compensate
for deviations were calculated using the Stroom formula. A-P deviation > 5mm was
observed in 17% of SMPS/NC, 4% of SMPS/NAL, and 4% of SMPS/eNAL sessions but only
in one CHPS session. For SMPS/NC, 7 patients (30%) showed deviations at an
increasing rate of > 0.1mm/fraction, but for CHPS, no such trend was observed.
The standard deviations (SDs) of systematic error (Sigma) were 2.6, 1.4, 0.6, and
0.8mm and the root mean squares of random error (sigma) were 2.1, 2.6, 2.7, and
0.9mm for SMPS/NC, SMPS/NAL, SMPS/eNAL, and CHPS, respectively. Margins to
compensate for the deviations were wide for SMPS/NC (6.7mm), smaller for SMPS/NAL
(4.6mm) and SMPS/eNAL (3.1mm), and smallest for CHPS (2.2mm). Achieving better
setup with smaller margins, CHPS appears to be a reproducible method for
abdominal patient setup.
PMID- 26553472
TI - Clinical and dosimetric implications of intensity-modulated radiotherapy for
early-stage glottic carcinoma.
AB - Conventional parallel-opposed radiotherapy (PORT) is the established standard
technique for early-stage glottic carcinoma. However, case reports have reported
the utility of intensity-modulated radiotherapy (IMRT) and volumetric-modulated
arc therapy (VMAT) with or without image guidance (image-guided radiotherapy,
IGRT) in select patients. The proposed advantages of IMRT/VMAT include sparing of
the carotid artery, thyroid gland, and the remaining functional larynx, although
these benefits remain unclear. The following case study presents a patient with
multiple vascular comorbidities treated with VMAT for early-stage glottic
carcinoma. A detailed explanation of the corresponding treatment details, dose
volume histogram (DVH) analysis, and a review of the relevant literature are
provided. Conventional PORT remains the standard of care for early-stage glottic
carcinoma. IMRT or VMAT may be beneficial for select patients, although great
care is necessary to avoid a geographical miss. Clinical data supporting the
benefit of CRT are lacking. Therefore, these techniques should be used with
caution and only in selected patients.
PMID- 26553473
TI - A comparison between radiation therapists and medical specialists in the use of
kilovoltage cone-beam computed tomography scans for potential lung cancer
radiotherapy target verification and adaptation.
AB - Target volume matching using cone-beam computed tomography (CBCT) is the
preferred treatment verification method for lung cancer in many centers. However,
radiation therapists (RTs) are trained in bony matching and not soft tissue
matching. The purpose of this study was to determine whether RTs were equivalent
to radiation oncologists (ROs) and radiologists (RDs) in alignment of the
treatment CBCT with the gross tumor volume (GTV) defined at planning and in
delineating the GTV on the treatment CBCT, as may be necessary for adaptive
radiotherapy. In this study, 10 RTs, 1 RO, and 1 RD performed a manual tumor
alignment and correction of the planning GTV to a treatment CBCT to generate an
isocenter correction distance for 15 patient data sets. Participants also
contoured the GTV on the same data sets. The isocenter correction distance and
the contoured GTVs from the RTs were compared with the RD and RO. The mean
difference in isocenter correction distances was 0.40cm between the RO and RD,
0.51cm between the RTs, and RO and 0.42cm between the RTs and RD. The 95% CIs
were smaller than the equivalence limit of 0.5cm, indicating that the RTs were
equivalent to the RO and RD. For GTV delineation comparisons, the RTs were not
found to be equivalent to the RD or RO. The alignment of the planning defined GTV
and treatment CBCT using soft tissue matching by the RTs has been shown to be
equivalent to those by the RO and RD. However, tumor delineation by the RTs on
the treatment CBCT was not equivalent to that of the RO and RD. Thus, it may be
appropriate for RTs to undertake soft tissue alignment based on CBCT; however,
further investigation may be necessary before RTs undertake delineation for
adaptive radiotherapy purposes.
PMID- 26553474
TI - Relationship between student selection criteria and learner success for medical
dosimetry students.
AB - Medical dosimetry education occupies a specialized branch of allied health higher
education. Noted international shortages of health care workers, reduced
university funding, limitations on faculty staffing, trends in learner attrition,
and increased enrollment of nontraditional students force medical dosimetry
educational leadership to reevaluate current admission practices. Program
officials wish to select medical dosimetry students with the best chances of
successful graduation. The purpose of the quantitative ex post facto correlation
study was to investigate the relationship between applicant characteristics
(cumulative undergraduate grade point average (GPA), science grade point average
(SGPA), prior experience as a radiation therapist, and previous academic degrees)
and the successful completion of a medical dosimetry program, as measured by
graduation. A key finding from the quantitative study was the statistically
significant positive correlation between a student's previous degree and his or
her successful graduation from the medical dosimetry program. Future research
investigations could include a larger research sample, representative of more
medical dosimetry student populations, and additional studies concerning the
relationship of previous work as a radiation therapist and the effect on success
as a medical dosimetry student. Based on the quantitative correlation analysis,
medical dosimetry leadership on admissions committees could revise student
selection rubrics to place less emphasis on an applicant's undergraduate
cumulative GPA and increase the weight assigned to previous degrees.
PMID- 26553475
TI - Transcranial Direct Current Stimulation of the Leg Motor Cortex Enhances
Coordinated Motor Output During Walking With a Large Inter-Individual
Variability.
AB - BACKGROUND: Transcranial direct current stimulation (tDCS) can augment force
generation and control in single leg joints in healthy subjects and stroke
survivors. However, it is unknown whether these effects also result in improved
force production and coordination during walking and whether electrode
configuration influences these effects. OBJECTIVE: We investigated the effect of
tDCS using different electrode configurations on coordinated force production
during walking in a group of healthy subjects and chronic stroke survivors.
METHODS: Ten healthy subjects and ten chronic stroke survivors participated in a
randomized double-blinded crossover study. Subjects walked on an instrumented
treadmill before and after 10 minutes of uni-hemispheric (UNI), dual-hemispheric
(DUAL) or sham tDCS applied to the primary motor cortex. RESULTS: tDCS responses
showed large inter-individual variability in both subject populations. In healthy
subjects tDCS enhanced the coordinated output during walking as reflected in an
increased positive work generation during propulsion. The effects of DUAL tDCS
were clearer but still small (4.4% increase) compared to UNI tDCS (2.8%
increase). In the chronic stroke survivors no significant effects of tDCS in the
targeted paretic leg were observed. CONCLUSIONS: tDCS has potential to augment
multi-joint coordinated force production during walking. The relative small
contribution of the motor cortex in controlling walking might explain why the
observed effects are rather small. Furthermore, a better understanding of the
inter-individual variability is needed to optimize the effects of tDCS in healthy
but especially stroke survivors. The latter is a prerequisite for clinical
applicability.
PMID- 26553476
TI - Blepharoptosis correction transconjunctivally using buried suture method: A
prospective cohort study.
AB - BACKGROUND: Blepharoptosis correction with minimal eyelid scarring is a desirable
outcome. The aim of this study was to describe in detail our method of
blepharoptosis correction transconjunctivally using buried suture method and to
demonstrate its effectiveness. METHODS: From June 2012 to May 2013, we performed
a blepharoptosis correction transconjunctivally using buried suture method on 458
eyelids in 245 patients. During this procedure, we utilized six separate threads
to form multiple knots. This thread was transconjunctivally inserted into the
point located above the superior tarsal margin involving the superior levator
palpebral and Muller muscles. RESULTS: A total of 409 eyelids (89%) were
successfully corrected. Undercorrection was encountered in 49 eyelids (25
patients). Of the 25 patients, only 16 patients were dissatisfied with the
undercorrection and thus underwent reoperation. Three patients with asymmetric
double eyelid ptosis also underwent reoperation. Five patients required
reoperation to correct eyelid fold loosening, which occurred during the follow-up
period. No major complications such as overcorrection, persistent irritation, and
keratitis were observed. CONCLUSIONS: Using our technique, we could achieve the
desired correction by accurately checking the rate of correction. We have
demonstrated here excellent predictability and success rate in mild and moderate
ptosis. We believe that a blepharoptosis correction transconjunctivally using
buried suture method is an effective treatment method.
PMID- 26553477
TI - Glycine induced culture-harvesting strategy for Botryococcus braunii.
AB - The objective of this study was to investigate the effects of culture conditions,
including carbon sources and concentration, culture period, and precondition
time, on the production of extracellular polymeric substances (EPS) and its
influence on microalgal flocculation. EPS are natural high molecule polymer,
excreted by microalgae themselves. EPS can accelerate the formation of microbial
aggregates through binding cells closely. Organic carbon sources, such as
glucose, glycerol, acetate and glycine were compared to select the optimal source
to stimulate EPS accumulation. Subsequently, the effect of culture period,
glycine dose and precondition time on EPS production and its influence on biomass
growth and flocculation efficiency were investigated. As the main parts of EPS,
tightly bound EPS were found positively related to suspended solids
concentration. However, the loosely bound EPS may weaken the floc structure,
leading to poor water-cells separation. Under the optimal condition with culture
period of 16 days, glycine dose of 0.5 g l(-1) and precondition time of 5 days,
the biomass concentration increased from 1.49 to 2 g l(-1), and the maximum
suspended solids concentration of 7.06% with biomass recovery rate of 70.6% was
achieved.
PMID- 26553478
TI - Structure of the Dioxygenase AsqJ: Mechanistic Insights into a One-Pot Multistep
Quinolone Antibiotic Biosynthesis.
AB - Multienzymatic cascades are responsible for the biosynthesis of natural products
and represent a source of inspiration for synthetic chemists. The Fe(II)/alpha
ketoglutarate-dependent dioxygenase AsqJ from Aspergillus nidulans is outstanding
because it stereoselectively catalyzes both a ferryl-induced desaturation
reaction and epoxidation on a benzodiazepinedione. Interestingly, the
enzymatically formed spiro epoxide spring-loads the 6,7-bicyclic skeleton for non
enzymatic rearrangement into the 6,6-bicyclic scaffold of the quinolone alkaloid
4'-methoxyviridicatin. Herein, we report different crystal structures of the
protein in the absence and presence of synthesized substrates, surrogates, and
intermediates that mimic the various stages of the reaction cycle of this
exceptional dioxygenase.
PMID- 26553480
TI - In this issue: advances in molecular pathology of inflammatory disorders.
PMID- 26553481
TI - Real-time label-free quantitative fluorescence microscopy-based detection of ATP
using a tunable fluorescent nano-aptasensor platform.
AB - Although real-time label-free fluorescent aptasensors based on nanomaterials are
increasingly recognized as a useful strategy for the detection of target
biomolecules with high fidelity, the lack of an imaging-based quantitative
measurement platform limits their implementation with biological samples. Here we
introduce an ensemble strategy for a real-time label-free fluorescent graphene
(Gr) aptasensor platform. This platform employs aptamer length-dependent
tunability, thus enabling the reagentless quantitative detection of biomolecules
through computational processing coupled with real-time fluorescence imaging
data. We demonstrate that this strategy effectively delivers dose-dependent
quantitative readouts of adenosine triphosphate (ATP) concentration on chemical
vapor deposited (CVD) Gr and reduced graphene oxide (rGO) surfaces, thereby
providing cytotoxicity assessment. Compared with conventional fluorescence
spectrometry methods, our highly efficient, universally applicable, and rational
approach will facilitate broader implementation of imaging-based biosensing
platforms for the quantitative evaluation of a range of target molecules.
PMID- 26553482
TI - Modeling Testosterone Circadian Rhythm in Hypogonadal Males: Effect of Age and
Circannual Variations.
AB - The objective of this study was to characterize the baseline circadian rhythm of
testosterone levels in hypogonadal men. A total of 859 baseline profiles of
testosterone from hypogonadal men were included in this analysis. The circadian
rhythm of the testosterone was described by a stretched cosine function. Model
parameters were estimated using NONMEM((r)) 7.3. The effect of different
covariates on the testosterone levels was investigated. Model evaluation was
performed using non-parametric bootstrap and predictive checks. A stretched
cosine function deeply improved the data goodness of fit compared to the standard
trigonometric function (p < 0.001; DeltaOFV = -204). The effect of the age and
the semester, defined as winter and spring versus summer and fall, were
significantly associated with the baseline levels of testosterone (p < 0.001,
DeltaOFV = -15.6, and p < 0.001, DeltaOFV = -47.0). Model evaluation procedures
such as diagnostic plots, visual predictive check, and non-parametric bootstrap
evidenced that the proposed stretched cosine function was able to model the time
course of the diurnal testosterone levels in hypogonadal males with accuracy and
precision. The circadian rhythm of the testosterone levels was better predicted
by the proposed stretched cosine function than a standard cosine function.
Testosterone levels decreased by 5.74 ng/dL (2.4%) every 10 years and were 19.3
ng/dL (8.1%) higher during winter and spring compared to summer and fall.
PMID- 26553483
TI - Compositional Analysis of Ternary and Binary Chemical Mixtures by Surface
Enhanced Raman Scattering at Trace Levels.
AB - Surface-enhanced Raman scattering has been proven a powerful means in the fast
detection and recognition of chemicals at trace levels, while quantitative
analysis especially the compositional analysis of trace chemical mixtures remains
a challenge. We report here a "triangle-rule" based on the principal component
analysis (PCA) of surface-enhanced Raman scattering spectra, to calculate the
composition of individual component of ternary chemical mixtures at trace levels,
which can be simplified into the "balance-rule" for binary mixtures. We
demonstrated the validity of the triangle-rule and balance-rule in estimating the
composition of ternary and binary mixtures of methyl orange, methylene blue, and
crystal violet with different molecular structures, and the validity for ternary
and binary mixtures of three isomers of monochlorobiphenyl with very similar
molecular structures. This idea might be also applicable to mixtures of more
components at the trace levels.
PMID- 26553484
TI - Visual impairment, but not hearing impairment, is independently associated with
lower subjective well-being among individuals over 95 years of age: A population
based study.
AB - BACKGROUND: Sensory impairment affects an increasing number of elderly adults,
with a negative psychological impact. Our objective was to examine the
associations of visual and hearing impairment with subjective well-being (SWB),
an important psychological concept defined by life satisfaction [LS], positive
affect [PA], negative affect [NA], and affect balance [AB] among long-lived
individuals (LLIs) over 95 years of age. METHODS: Data on 442 LLIs from the Rugao
longevity cohort, a population-based study in Rugao, China, were analyzed. Graded
classifications of visual and hearing impairment (none, mild, moderate, and
severe) were constructed from self-reported items. Bivariate correlation and
multiple regression analysis were performed to test the associations. RESULTS:
Approximately 66.1% and 87.3% of the subjects reported varying degrees of visual
and hearing impairment. Following the degree of vision impairment, LS, PA, and AB
decreased linearly, whereas NA increased linearly (all p for trend<0.05). Vision
was significantly related to LS (r=0.238, p<0.001), PA (r=0.142, p<0.01), NA (r=
0.157, p<0.001), and AB (r=0.206, p<0.001). After adjustment for multiple
variables including functional ability, an important factor of SWB, the
associations of vision impairment with LS, NA, and AB, while diminished, still
existed. CONCLUSIONS: Visual impairment, but not hearing impairment, was
independently associated with low SWB among LLIs, and functional ability may play
a mediating role in the observed relationship. The findings indicate that
rehabilitation targeted for those with reduced vision and functioning in long
lived populations may be important for promoting well-being and quality of life.
PMID- 26553485
TI - Risk factors for severe injury following indoor and outdoor falls in geriatric
patients.
AB - INTRODUCTION: This study was performed to examine the characteristics of indoor
and outdoor falls in older patients and the factors related to severe injury in
the emergency department (ED). METHODS: In total, 26,515 patients fell indoors
and 19,581 outdoors. The general and clinical characteristics were compared
between the two groups and factors associated with severe injury following the
falls were evaluated. RESULTS: Younger males fell more frequently outdoors than
indoors. The common activities during outdoor falls were sports and leisure
activities. Environmental hazards lead to more outdoor falls than indoor falls.
Factors associated with severe injury after indoor falls were transport to the ED
by public ambulance or from another medical facility rather than individual
transportation, fall from stairs rather than fell over, and a head and neck
injury rather than a lower extremity injury. Factors related to severe injury
after outdoor falls were male sex, transport to the ED by public ambulance or
from another medical facility or by another method rather than individual
transportation, state employed, fall from stairs rather than fell over, head and
neck or thorax or abdomen injury rather than a lower extremity injury.
CONCLUSION: Transport to the ED by public ambulance or from another medical
facility, and head and neck injury were risks for severe injury following indoor
and outdoor falls in elderly subjects. Efforts to identify the risk factors for
severe injury and for falling itself are important to prevent and reduce fall
injuries in elderly subjects.
PMID- 26553486
TI - Durable efficacy of liraglutide in patients with type 2 diabetes and pronounced
insulin-associated weight gain: 52-week results from the Effect of Liraglutide on
insulin-associated wEight GAiN in patients with Type 2 diabetes' (ELEGANT)
randomized controlled trial.
AB - BACKGROUND: Pronounced weight gain frequently complicates insulin therapy in
patients with type 2 diabetes (T2DM). We have previously reported that addition
of liraglutide for 26 weeks can reverse insulin-associated weight gain, decrease
insulin dose and improve glycaemic control, as compared with continuation of
standard insulin treatment. OBJECTIVES: To investigate whether the beneficial
effects of liraglutide are sustained up to 52 weeks and whether similar effects
could be obtained when liraglutide is added 6 months later. METHODS: Adult T2DM
patients with >= 4% weight gain within 16 months of insulin therapy completing
the first 26-week trial period of open-label addition of liraglutide 1.8 mg day(
1) (n = 26) versus continuation of standard insulin therapy (n = 24) were all
treated with liraglutide for another 26 weeks. Results were analysed according to
the intention-to-treat principle. RESULTS: Overall, 24 (92%) and 18 (75%)
patients originally assigned to liraglutide and standard therapy, respectively,
completed the study. Addition of liraglutide decreased body weight to a similar
extend when given in the first 26 weeks (liraglutide group) or second 26 weeks
(original standard therapy group): -4.4 vs. -4.3 kg (difference -0.32 kg, 95%
confidence interval -2.2 to 1.6 kg; P = 0.74). Similar results were also seen in
the two groups with regard to decrease in haemoglobin A1c (HbA1c ) (-0.77 vs.
0.66%; P = 0.23) and insulin dose (-28 vs. -26 U day(-1) ; P = 0.32). In both
groups, 22% of patients could discontinue insulin. Continuation of liraglutide
until 52 weeks led to sustained effects on body weight, HbA1c and insulin-dose
requirements. CONCLUSION: In T2DM patients with pronounced insulin-associated
weight gain, addition of liraglutide within 2 years leads to sustained reversal
of body weight, improved glycaemic control and decrease in insulin dose. Thus,
liraglutide offers a valuable therapeutic option.
PMID- 26553487
TI - Nutritional Support for Athletic Performance.
PMID- 26553488
TI - Re-Examining High-Fat Diets for Sports Performance: Did We Call the 'Nail in the
Coffin' Too Soon?
AB - During the period 1985-2005, studies examined the proposal that adaptation to a
low-carbohydrate (<25 % energy), high-fat (>60 % energy) diet (LCHF) to increase
muscle fat utilization during exercise could enhance performance in trained
individuals by reducing reliance on muscle glycogen. As little as 5 days of
training with LCHF retools the muscle to enhance fat-burning capacity with robust
changes that persist despite acute strategies to restore carbohydrate
availability (e.g., glycogen supercompensation, carbohydrate intake during
exercise). Furthermore, a 2- to 3-week exposure to minimal carbohydrate (<20
g/day) intake achieves adaptation to high blood ketone concentrations. However,
the failure to detect clear performance benefits during endurance/ultra-endurance
protocols, combined with evidence of impaired performance of high-intensity
exercise via a down-regulation of carbohydrate metabolism led this author to
dismiss the use of such fat-adaptation strategies by competitive athletes in
conventional sports. Recent re-emergence of interest in LCHF diets, coupled with
anecdotes of improved performance by sportspeople who follow them, has created a
need to re-examine the potential benefits of this eating style. Unfortunately,
the absence of new data prevents a different conclusion from being made.
Notwithstanding the outcomes of future research, there is a need for better
recognition of current sports nutrition guidelines that promote an individualized
and periodized approach to fuel availability during training, allowing the
athlete to prepare for competition performance with metabolic flexibility and
optimal utilization of all muscle substrates. Nevertheless, there may be a few
scenarios where LCHF diets are of benefit, or at least are not detrimental, for
sports performance.
PMID- 26553489
TI - Hypohydration and Human Performance: Impact of Environment and Physiological
Mechanisms.
AB - Body water losses of >2 % of body mass are defined as hypohydration and can occur
from sweat loss and/or diuresis from both cold and altitude exposure.
Hypohydration elicits intracellular and extracellular water loss proportionate to
water and solute deficits. Iso-osmotic hypovolemia (from cold and high-altitude
exposure) results in greater plasma loss for a given water deficit than
hypertonic hypovolemia from sweat loss. Hypohydration does not impair submaximal
intensity aerobic performance in cold-cool environments, sometimes impairs
aerobic performance in temperate environments, and usually impairs aerobic
performance in warm-hot environments. Hypohydration begins to impair aerobic
performance when skin temperatures exceed 27 degrees C, and with each additional
1 degrees C elevation in skin temperature there is a further 1.5 % impairment.
Hypohydration has an additive effect on impairing aerobic performance in warm-hot
high-altitude environments. A commonality of absolute hypovolemia (from plasma
volume loss) combined with relative hypovolemia (from tissue vasodilation) is
present when aerobic performance is impaired. The decrement in aerobic exercise
performance due to hypohydration is likely due to multiple physiological
mechanisms, including cardiovascular strain acting as the 'lynchpin', elevated
tissue temperatures, and metabolic changes which are all integrated through the
CNS to reduce motor drive to skeletal muscles.
PMID- 26553490
TI - The Physiological Regulation of Skeletal Muscle Fatty Acid Supply and Oxidation
During Moderate-Intensity Exercise.
AB - Energy substrates that are important to the working muscle at moderate
intensities are the non-esterified fatty acids (NEFAs) taken up from the
circulation and NEFAs originating from lipolysis of the intramuscular
triacylglycerol (IMTAG). Moreover, NEFA from lipolysis via lipoprotein lipase
(LPL) in the muscle of the very-low-density lipoproteins and in the (semi) post
prandial state chylomicrons may also contribute. In this review, the NEFA fluxes
and oxidation by skeletal muscle during prolonged moderate-intensity exercise are
described in terms of the integration of physiological systems. Steps involved in
the regulation of the active muscle NEFA uptake include (1) increased energy
demand; (2) delivery of NEFA to the muscle; (3) transport of NEFA into the muscle
by NEFA transporters; and (4) activation of the NEFAs and either oxidation or re
esterification into IMTAG. The increased metabolic demand of the exercising
muscle is the main driving force for all physiological regulatory processes. It
elicits functional hyperemia, increasing the recruitment of capillaries and
muscle blood flow resulting in increased NEFA delivery and accessibility to NEFA
transporters and LPL. It also releases epinephrine that augments adipose tissue
NEFA release and thereby NEFA delivery to the active muscle. Moreover, NEFA
transporters translocate to the plasma membrane, further increasing the NEFA
uptake. The majority of the NEFAs taken up by the active muscle is oxidized and a
minor portion is re-esterified to IMTAG. Net IMTAG lipolysis occurs; however, the
IMTAG contribution to total fat oxidation is rather limited compared to plasma
derived NEFA oxidation, suggesting a complex role and regulation of IMTAG
utilization.
PMID- 26553491
TI - Innovative Operations Measures and Nutritional Support for Mass Endurance Events.
AB - Endurance and sporting events have increased in popularity and participation in
recent years worldwide, and with this comes the need for medical directors to
apply innovative operational strategies and nutritional support to meet such
demands. Mass endurance events include sports such as cycling and running half,
full and ultra-marathons with over 1000 participants. Athletes, trainers and
health care providers can all agree that both participant outcomes and safety are
of the utmost importance for any race or sporting event. While demand has
increased, there is relatively less published guidance in this area of sports
medicine. This review addresses public safety, operational systems, nutritional
support and provision of medical care at endurance events. Significant medical
conditions in endurance sports include heat illness, hyponatraemia and cardiac
incidents. These conditions can differ from those typically encountered by
clinicians or in the setting of low-endurance sports, and best practices in their
management are discussed. Hydration and nutrition are critical in preventing
these and other race-related morbidities, as they can impact both performance and
medical outcomes on race day. Finally, the command and communication structures
of an organized endurance event are vital to its safety and success, and such
strategies and concepts are reviewed for implementation. The nature of endurance
events increasingly relies on medical leaders to balance safety and prevention of
morbidity while trying to help optimize athlete performance.
PMID- 26553492
TI - Nutritional Support for Exercise-Induced Injuries.
AB - Nutrition is one method to counter the negative impact of an exercise-induced
injury. Deficiencies of energy, protein and other nutrients should be avoided.
Claims for the effectiveness of many other nutrients following injuries are
rampant, but the evidence is equivocal. The results of an exercise-induced injury
may vary widely depending on the nature of the injury and severity. Injuries
typically result in cessation, or at least a reduction, in participation in sport
and decreased physical activity. Limb immobility may be necessary with some
injuries, contributing to reduced activity and training. Following an injury, an
inflammatory response is initiated and while excess inflammation may be harmful,
given the importance of the inflammatory process for wound healing, attempting to
drastically reduce inflammation may not be ideal for optimal recovery. Injuries
severe enough for immobilization of a limb result in loss of muscle mass and
reduced muscle strength and function. Loss of muscle results from reductions in
basal muscle protein synthesis and the resistance of muscle to anabolic
stimulation. Energy balance is critical. Higher protein intakes (2-2.5 g/kg/day)
seem to be warranted during immobilization. At the very least, care should be
taken not to reduce the absolute amount of protein intake when energy intake is
reduced. There is promising, albeit preliminary, evidence for the use of omega-3
fatty acids and creatine to counter muscle loss and enhance hypertrophy,
respectively. The overriding nutritional recommendation for injured exercisers
should be to consume a well-balanced diet based on whole, minimally processed
foods or ingredients made from whole foods. The diet composition should be
carefully assessed and changes considered as the injury heals and activity
patterns change.
PMID- 26553493
TI - Nutritional Strategies to Modulate Intracellular and Extracellular Buffering
Capacity During High-Intensity Exercise.
AB - Intramuscular acidosis is a contributing factor to fatigue during high-intensity
exercise. Many nutritional strategies aiming to increase intra- and extracellular
buffering capacity have been investigated. Among these, supplementation of beta
alanine (~3-6.4 g/day for 4 weeks or longer), the rate-limiting factor to the
intramuscular synthesis of carnosine (i.e. an intracellular buffer), has been
shown to result in positive effects on exercise performance in which acidosis is
a contributing factor to fatigue. Furthermore, sodium bicarbonate, sodium citrate
and sodium/calcium lactate supplementation have been employed in an attempt to
increase the extracellular buffering capacity. Although all attempts have
increased blood bicarbonate concentrations, evidence indicates that sodium
bicarbonate (0.3 g/kg body mass) is the most effective in improving high
intensity exercise performance. The evidence supporting the ergogenic effects of
sodium citrate and lactate remain weak. These nutritional strategies are not
without side effects, as gastrointestinal distress is often associated with the
effective doses of sodium bicarbonate, sodium citrate and calcium lactate.
Similarly, paresthesia (i.e. tingling sensation of the skin) is currently the
only known side effect associated with beta-alanine supplementation, and it is
caused by the acute elevation in plasma beta-alanine concentration after a single
dose of beta-alanine. Finally, the co-supplementation of beta-alanine and sodium
bicarbonate may result in additive ergogenic gains during high-intensity
exercise, although studies are required to investigate this combination in a wide
range of sports.
PMID- 26553495
TI - Carbohydrate Dependence During Prolonged, Intense Endurance Exercise.
AB - A major goal of training to improve the performance of prolonged, continuous,
endurance events lasting up to 3 h is to promote a range of physiological and
metabolic adaptations that permit an athlete to work at both higher absolute and
relative power outputs/speeds and delay the onset of fatigue (i.e., a decline in
exercise intensity). To meet these goals, competitive endurance athletes
undertake a prodigious volume of training, with a large proportion performed at
intensities that are close to or faster than race pace and highly dependent on
carbohydrate (CHO)-based fuels to sustain rates of muscle energy production
[i.e., match rates of adenosine triphosphate (ATP) hydrolysis with rates of
resynthesis]. Consequently, to sustain muscle energy reserves and meet the daily
demands of training sessions, competitive athletes freely select CHO-rich diets.
Despite renewed interest in high-fat, low-CHO diets for endurance sport, fat-rich
diets do not improve training capacity or performance, but directly impair rates
of muscle glycogenolysis and energy flux, limiting high-intensity ATP production.
When highly trained athletes compete in endurance events lasting up to 3 h, CHO-,
not fat-based fuels are the predominant fuel for the working muscles and CHO, not
fat, availability becomes rate limiting for performance.
PMID- 26553494
TI - Carbohydrate Nutrition and Team Sport Performance.
AB - The common pattern of play in 'team sports' is 'stop and go', i.e. where players
perform repeated bouts of brief high-intensity exercise punctuated by lower
intensity activity. Sprints are generally 2-4 s long and recovery between sprints
is of variable length. Energy production during brief sprints is derived from the
degradation of intra-muscular phosphocreatine and glycogen (anaerobic
metabolism). Prolonged periods of multiple sprints drain muscle glycogen stores,
leading to a decrease in power output and a reduction in general work rate during
training and competition. The impact of dietary carbohydrate interventions on
team sport performance have been typically assessed using intermittent variable
speed shuttle running over a distance of 20 m. This method has evolved to include
specific work to rest ratios and skills specific to team sports such as soccer,
rugby and basketball. Increasing liver and muscle carbohydrate stores before
sports helps delay the onset of fatigue during prolonged intermittent variable
speed running. Carbohydrate intake during exercise, typically ingested as
carbohydrate-electrolyte solutions, is also associated with improved performance.
The mechanisms responsible are likely to be the availability of carbohydrate as a
substrate for central and peripheral functions. Variable-speed running in hot
environments is limited by the degree of hyperthermia before muscle glycogen
availability becomes a significant contributor to the onset of fatigue. Finally,
ingesting carbohydrate immediately after training and competition will rapidly
recover liver and muscle glycogen stores.
PMID- 26553496
TI - Weight Management for Athletes and Active Individuals: A Brief Review.
AB - Weight management for athletes and active individuals is unique because of their
high daily energy expenditure; thus, the emphasis is usually placed on changing
the diet side of the energy balance equation. When dieting for weight loss,
active individuals also want to preserve lean tissue, which means that energy
restriction cannot be too severe or lean tissue is lost. First, this brief review
addresses the issues of weight management in athletes and active individuals and
factors to consider when determining a weight-loss goal. Second, the concept of
dynamic energy balance is reviewed, including two mathematical models developed
to improve weight-loss predictions based on changes in diet and exercise. These
models are now available on the Internet. Finally, dietary strategies for weight
loss/maintenance that can be successfully used with active individuals are given.
Emphasis is placed on teaching the benefits of consuming a low-ED diet (e.g.,
high-fiber, high-water, low-fat foods), which allows for the consumption of a
greater volume of food to increase satiety while reducing energy intake. Health
professionals and sport dietitians need to understand dynamic energy balance and
be prepared with effective and evidence-based dietary approaches to help athletes
and active individuals achieve their body-weight goals.
PMID- 26553498
TI - A new type of oxidative addition of an iodoarene to a Pd(II) complex.
AB - Oxidative addition of N-(2-iodophenyl)formamide to Pd(dba)2 (dba =
dibenzylideneacetone) in the presence of 4,4'-ditertbutyl-2,2'-bipyridine
((t)Bubpy) produces [Pd(C6H4NHCHO-2)I((t)Bubpy)] (1) which inserts 2-iodophenyl
isocyanide to give [Pd{C(=NC6H4I-2)C6H4NHCHO-2}I((t)Bubpy)] (2).
Dehydroiodination of 2 with Tl(acac) (acacH = acetylacetone) gives the stable
Pd(IV) complex OC-6-35-[Pd{C,N,N-C(=NC6H4-2)C6H4NCHO-2}I((t)Bubpy)] (4) likely
resulting from the spontaneous oxidative addition of the I-Ar moiety present in
the unstable intermediate Pd(II) complex [Pd{C,N-C(=NC6H4I-2)C6H4NCHO
2}((t)Bubpy)] (3). The crystal structure of 4 shows various C-H...O hydrogen
bonds resulting in chains of dimers stacked along the a axis.
PMID- 26553497
TI - Resisted Sled Sprint Training to Improve Sprint Performance: A Systematic Review.
AB - BACKGROUND: Based on recent findings regarding the mechanical determinants of
sprint performance, resisted sled sprint (RSS) training may provide an effective
tool for the improvement of sprint acceleration and maximal velocity. However,
the volume and intensity for effective RSS training in different populations is
unclear. OBJECTIVES: The primary objective was to evaluate the effectiveness of
RSS training compared with unresisted sprint (URS) training, and the differential
effects of sled load on RSS training outcomes. DATA SOURCES: STUDY ELIGIBILITY
AND APPRAISAL: A systematic review was performed primarily using PubMed and
SPORTDiscus databases. Peer-reviewed studies were accepted only if the
participants used a sled towing device for a longitudinal intervention of
resisted sprint training, and if RSS training was the primary difference in
training intervention between groups. Effect size (ES) reported using Cohen's d
was presented to compare the magnitude of effect between both dependent and
independent groups. RESULTS: A total of 11 studies fulfilled the eligibility
criteria. Sled loads were prescribed either as a percentage of body mass (%BM), a
targeted reduction in velocity compared with unresisted sprint velocity (%V(dec))
or as an absolute load (kg). RSS training with 'light' (<10 %BM or <10 %V(dec))
loads provide 'small' decrements in acceleration (-1.5%, ES = 0.50) to 'moderate'
improvements in maximal sprint velocity (2.4%, ES = 0.80) in sprint-trained
individuals. 'Moderate' (10-19.9%BM or 10-14.9%V(dec)) to 'very heavy' (>30%BM or
>30%V(dec)) sled loads provide 'trivial' to 'extremely large' improvements in
acceleration performance (0.5-9.1%, ES = 0.14-4.00) in strength-trained or team
sport individuals. Whether RSS training is more effective than URS training in
the improvement of acceleration or maximal sprint velocity remains equivocal.
CONCLUSIONS: RSS training is a novel training method with potential for the
improvement of sprint performance, but its performance benefits over URS training
remain to be conclusively demonstrated. Between-study comparisons are limited
primarily by discrepancies in the training status and phase of the participants,
and sled load prescription. Future work is required to define the optimal load
and volume for RSS depending on the specific components of sprint performance to
be enhanced.
PMID- 26553499
TI - Cell mediated immune responses in the placenta following challenge of vaccinated
pregnant heifers with Neospora caninum.
AB - The aim of the present study was to investigate and correlate the cell-mediated
immune response and pathological changes at the maternal-fetal interface of
Neospora-challenged pregnant cattle previously immunized with live and
inactivated experimental vaccines. Pregnant heifers naive to Neospora caninum
were divided in 5 groups of 4 animals, each one immunized before mating: Group A
heifers were intravenously (iv) immunized with 6.25 * 10(7) live tachyzoites of
the NC-6 strain; group B heifers were immunized twice subcutaneously (sc) 3 weeks
apart with native antigen extract of the NC-6 strain formulated with ISCOMs;
group C heifers were sc immunized twice 3 weeks apart with three recombinant
proteins (rNcSAG1, rNcHSP20, rNcGRA7) of the NC-1 strain formulated with ISCOMs;
group D heifers were sc injected with sterile phosphate-buffered saline (PBS) and
group E heifers received sc ISCOM-matrix (ISCOMs without antigen). All groups
were iv-challenged with 4.7 * 10(7) NC-1 tachyzoites at 70 days of gestation.
Heifers were culled at day 104 of gestation and placentomes were examined to
evaluate lesions and local cellular immune responses using histopathology,
immunohistochemistry and real time-PCR. Immunohistochemistry was performed using
bovine leucocyte specific antibodies. Cytokine expression and levels (IFN-gamma,
IL-4, IL-10, IL-12 and TNF-alpha) were measured using real-time reverse
transcription-PCR and ELISA, respectively. Minimal inflammation was observed in
group A placentomes; while placentomes from group B, C, D and E had moderate to
severe infiltration with CD3(+), CD4(+), gammadelta-T cells, CD8(+) cells and
macrophages being more numerous in groups B and E placentomes, when compared with
groups C and D (P<0.001). Cytokine levels were significantly increased in the
caruncles of animals of groups B and C in comparison with the other animal groups
(P < 0.001). The results from this study showed that the strongest cellular
immune responses were observed in the placentomes of animals that were immunized
with inactivated vaccines (groups B and C) and in the placentomes of animals that
were sc-sham-inoculated (groups D and E). On the other hand, animals that were
immunized with live tachyzoites showed a milder immune cell infiltration to the
placenta possibly due to the existence of a protective systemic maternal immune
response that helped to minimize N. caninum infection at the maternal-fetal
interface.
PMID- 26553500
TI - Substrate-Independent Robust and Heparin-Mimetic Hydrogel Thin Film Coating via
Combined LbL Self-Assembly and Mussel-Inspired Post-Cross-linking.
AB - In this work, we designed a robust and heparin-mimetic hydrogel thin film coating
via combined layer-by-layer (LbL) self-assembly and mussel-inspired post-cross
linking. Dopamine-grafted heparin-like/-mimetic polymers (DA-g-HepLP) with
abundant carboxylic and sulfonic groups were synthesized by the conjugation of
adhesive molecule, DA, which exhibited substrate-independent adhesive affinity to
various solid surfaces because of the formation of irreversible covalent bonds.
The hydrogel thin film coated substrates were prepared by a three-step reaction:
First, the substrates were coated with DA-g-HepLP to generate negatively charged
surfaces. Then, multilayers were obtained via LbL coating of chitosan and the DA
g-HepLP. Finally, the noncovalent multilayers were oxidatively cross-linked by
NaIO4. Surface ATR-FTIR and XPS spectra confirmed the successful fabrication of
the hydrogel thin film coatings onto membrane substrates; SEM images revealed
that the substrate-independent coatings owned 3D porous morphology. The soaking
tests in highly alkaline, acid, and concentrated salt solutions indicated that
the cross-linked hydrogel thin film coatings owned high chemical resistance. In
comparison, the soaking tests in physiological solution indicated that the cross
linked hydrogel coatings owned excellent long-term stability. The live/dead cell
staining and morphology observations of the adhered cells revealed that the
heparin-mimetic hydrogel thin film coated substrates had low cell toxicity and
high promotion ability for cell proliferation. Furthermore, systematic in vitro
investigations of protein adsorption, platelet adhesion, blood clotting, and
blood-related complement activation confirmed that the hydrogel film coated
substrates showed excellent hemocompatibility. Both the results of inhibition
zone and bactericidal activity indicated that the gentamycin sulfate loaded
hydrogel thin films had significant inhibition capability toward both Escherichia
coli and Staphylococcus aureus bacteria. Combined the above advantages, it is
believed that the designed heparin-mimetic hydrogel thin films may show high
potential for applications in various biological and clinical fields, such as
long-term hemocompatible and drug-loading materials for implants.
PMID- 26553502
TI - Outbreak of Mysterious Illness Among Hospital Staff: Poisoning or Iatrogenic
Reinforced Mass Psychogenic Illness?
AB - BACKGROUND: Hospitals are rarely reported as settings for mass psychogenic
illness (MPI). The present report scrutinizes an outbreak of probable MPI among
hospital staff, with medical intervention reinforcing the course of the illness.
CASE REPORT: Four of seven staff members in an emergency department became
acutely ill with nonspecific symptoms. After uneventful observation they were
discharged, but symptoms worsened at reassembly for debriefing. Poisoning with
hydrogen sulfide was suspected, and the victims were transferred by helicopter
for hyperbaric oxygen (HBO) treatment. During the following 9 days, 14 possible
poisoning victims were identified, 6 of whom were transferred for HBO. After
hospital stays with repeated HBO treatment and examinations without
identification of significant physical disease, the majority of the 10 HBO
treated victims remained symptomatic, some on prolonged sick leave. The ward was
closed for several weeks during comprehensive but negative investigations for
toxic chemicals. Clinical data and lack of indication of chemical exposure,
together with an attack pattern with only some individuals becoming ill in a
shared environment, suggest MPI. Iatrogenic influence from dramatic intervention
was probably a strong driving force in the outbreak. WHY SHOULD AN EMERGENCY
PHYSICIAN BE AWARE OF THIS?: Awareness of MPI may prevent unnecessary and
potentially harmful treatment as well as improve health care resilience,
particularly with respect to preparedness. Outbreaks of illness in a group of
symptomatic victims without indication of significant physical disease should be
managed by observation and limited intervention.
PMID- 26553504
TI - Conformational ensemble of human alpha-synuclein physiological form predicted by
molecular simulations.
AB - We perform here enhanced sampling simulations of N-terminally acetylated human
alpha-synuclein, an intrinsically disordered protein involved in Parkinson's
disease. The calculations, consistent with experiments, suggest that the post
translational modification leads to the formation of a transient amphipathic
alpha-helix. The latter, absent in the non-physiological form, alters protein
dynamics at the N-terminal and intramolecular interactions.
PMID- 26553503
TI - Broadly Neutralizing Alphavirus Antibodies Bind an Epitope on E2 and Inhibit
Entry and Egress.
AB - We screened a panel of mouse and human monoclonal antibodies (MAbs) against
chikungunya virus and identified several with inhibitory activity against
multiple alphaviruses. Passive transfer of broadly neutralizing MAbs protected
mice against infection by chikungunya, Mayaro, and O'nyong'nyong alphaviruses.
Using alanine-scanning mutagenesis, loss-of-function recombinant proteins and
viruses, and multiple functional assays, we determined that broadly neutralizing
MAbs block multiple steps in the viral lifecycle, including entry and egress, and
bind to a conserved epitope on the B domain of the E2 glycoprotein. A 16 A
resolution cryo-electron microscopy structure of a Fab fragment bound to CHIKV E2
B domain provided an explanation for its neutralizing activity. Binding to the B
domain was associated with repositioning of the A domain of E2 that enabled cross
linking of neighboring spikes. Our results suggest that B domain antigenic
determinants could be targeted for vaccine or antibody therapeutic development
against multiple alphaviruses of global concern.
PMID- 26553505
TI - Virulence of recurrent infestations with Borrelia-infected ticks in a Borrelia
amplifying bird.
AB - Lyme disease cases caused by Borrelia burgdorferi s.l. bacteria is increasing
steadily in Europe, in part due to the expansion of the vector, Ixodes ricinus.
Wild reservoir hosts are typically recurrently infested. Understanding the impact
of these cumulative parasite exposures on the host's health is, therefore,
central to predict the distribution of tick populations and their pathogens.
Here, we have experimentally investigated the symptoms of disease caused by
recurrent infestations in a common songbird (Parus major). Birds were exposed
three times in succession to ticks collected in a Borrelia endemic area. Health
and immune measures were analyzed in order to investigate changes in response to
tick infestation and Borrelia infection rate. Nitric oxide levels increased with
the Borrelia infection rate, but this effect was increasingly counteracted by
mounting tick infestation rates. Tick infestations equally reduced haematocrit
during each cycle. But birds overcompensated in their response to tick feeding,
having higher haematocrit values during tick-free periods depending on the number
of ticks they had been previously exposed to. Body condition showed a similar
overshooting response in function of the severity of the Borrelia infection. The
observed overcompensation increases the bird's energetic needs, which may result
in an increase in transmission events.
PMID- 26553506
TI - Infantile linear IgA/IgG bullous dermatosis.
PMID- 26553507
TI - Partial Treatment Requests and Underlying Motives of Applicants for Gender
Affirming Interventions.
AB - INTRODUCTION: Historically, only individuals with a cross-gender identity who
wanted to receive a full treatment, were eligible for "complete sex reassignment"
consisting of feminizing/masculinizing hormone treatment and several surgical
interventions including genital surgery (full treatment). Currently, it is
unclear what motives underlie a request for hormones only or surgery only or a
combination of hormones and surgery (e.g., a mastectomy), but no genital surgery
(partial treatment). AIMS: The aims of this study were (i) to describe treatment
requests of applicants at a specialized gender identity clinic in the
Netherlands; and (ii) to explore the motives underlying a partial treatment
request, including the role of (non-binary) gender identity. METHODS: Information
was collected on all 386 adults who applied for treatment at the Center of
Expertise on Gender Dysphoria of the VU University Medical Center in Amsterdam,
the Netherlands, in the year 2013. Treatment requests were available for 360
individuals: 233 natal men (64.7%) and 127 natal women (35.3%). Treatment
requests were systematically collected during assessment. Individuals were
classified as either desiring a full or partial treatment. The motives behind a
partial treatment request were collected and categorized as well. RESULTS: The
majority of applicants at our gender identity clinic requested full treatment.
Among those who requested partial treatment, the most reported underlying motive
was surgical risks/outcomes. Only a small number of applicants requested partial
treatment to bring their body into alignment with their non-binary gender
identity. CONCLUSION: It becomes clear that partial treatment is requested by a
substantial number of applicants. This emphasizes the need for gender identity
clinics to provide information about the medical possibilities and limitations,
and careful introduction and evaluation of non-standard treatment options.
PMID- 26553508
TI - Inversion of Supramolecular Chirality by Sonication-Induced Organogelation.
AB - Natural helical structures have inspired the formation of well-ordered peptide
based chiral nanostructures in vitro. These structures have drawn much attention
owing to their diverse applications in the area of asymmetric catalysts, chiral
photonic materials, and nanoplasmonics. The self-assembly of two enantiomeric
fluorinated aromatic dipeptides into ordered chiral fibrillar nanostructures upon
sonication is described. These fibrils form organogels. Our results clearly
indicate that fluorine-fluorine interactions play an important role in self
assembly. Circular dichroism analysis revealed that both peptides (peptides 1 and
2), containing two fluorines, depicted opposite cotton effects in their monomeric
form compared with their aggregated form. This shows that supramolecular
chirality inversion took place during the stimuli-responsive self-aggregation
process. Conversely, peptide 3, containing one fluorine, did not exhibit
chirality inversion in sonication-induced organogelation. Therefore, our results
clearly indicate that fluorination plays an important role in the organogelation
process of these aromatic dipeptides. Our findings may have broad implications
regarding the design of chiral nanostructures for possible applications such as
chiroptical switches, asymmetric catalysis, and chiral recognitions.
PMID- 26553509
TI - Theoretical and experimental investigation of doping M in ZnSe (M = Cd, Mn, Ag,
Cu) clusters: optical and bonding characteristics.
AB - The optical and bonding characteristics of doping ZnSe quantum dots (QDs) were
investigated. Cd-, Mn-, Ag- and Cu-doped ZnSe were synthesized in aqueous
solution. Theoretically, the intensity of the Cd-Se bond was similar to that of
the Zn-Se bond, which illustrates that Cd can be doped into ZnSe materials at any
ratio. We found that Mn-Se bonding was stronger than Zn-Se bonding. Ag-doped ZnSe
nanoclusters show the same bonding and configuration as Cu-doped ZnSe. Moreover,
Cd can be doped into ZnSe using both the substitution- and vacancy-doping method.
For Mn-doped ZnSe clusters, small amounts of Mn impurity lead to stronger bonding
with Se, but larger amounts of Mn impurity led to the formation of a Mn-Mn metal
bond. The theoretical results show that it is difficult to form a vacancy-doping
cluster for Mn-doped ZnSe materials. In experiments, the absorption and
photoluminescence (PL) spectral wavelengths of Mn-doped ZnSe nanocrystals were
the same as those of pure ZnSe nanocrystals, showing that the Mn impurity is not
doped into ZnSe nanocrystals. Ag- and Cu-doped ZnSe nanocrystals have the same PL
characteristics. The doping of an impurity is related to the solubility product,
and not the bonding intensity.
PMID- 26553510
TI - Comparison of the Sacco Triage Method Versus START Triage Using a Virtual Reality
Scenario in Advance Care Paramedic Students.
PMID- 26553512
TI - Therapy: PHD inhibitors correct anaemia in CKD.
PMID- 26553515
TI - Inflammation: Differential effects of salt on immune cell activity.
PMID- 26553514
TI - Hypertension-attributed nephropathy: what's in a name?
AB - Unrelated disease processes commonly occur in non-diabetic individuals with mild
to-moderate hypertension and low level or absent proteinuria who present with
chronic kidney disease: primary glomerulosclerosis in those with recent African
ancestry, and arteriolar nephrosclerosis with resultant glomerular ischaemia
potentially related to hypertension and vascular disease risk factors in other
cases. Unfortunately, nephrologists often indiscriminately apply a diagnosis of
'hypertensive nephrosclerosis' to patients in either scenario, which implies that
the hypertension is causative of their renal disease. Although nephropathies that
are associated with variants in the apolipoprotein L1 gene (APOL1) often cause
secondarily elevated blood pressure, they belong to the spectrum of focal
segmental glomerulosclerosis and are not initiated by systemic hypertension.
Because genetic testing for APOL1 variants and other glomerulosclerosis
associated gene variants is available and can provide a precise definition of
disease pathogenesis, we believe that the term 'hypertensive nephrosclerosis'
should now be abandoned and replaced with either gene-based (for example, APOL1
associated) glomerulosclerosis or arteriolar nephrosclerosis. Precision medicine
will be key to improving diagnostic accuracy in this field. Discrimination of
these disparate disorders has the potential to eradicate primary forms of
glomerulosclerosis that are associated with APOL1 renal-risk variants.
PMID- 26553516
TI - Development: Modelling disease in kidney organoids.
PMID- 26553519
TI - Hypertension: Haematopoietic COX-2 in salt-sensitive hypertension.
PMID- 26553521
TI - Herpesviral Hematopoietic Necrosis in Goldfish in Switzerland: Early Lesions in
Clinically Normal Goldfish (Carassius auratus).
AB - Cyprinid herpesvirus 2 is a pathogen of goldfish, inducing a disease referred to
as herpesviral hematopoietic necrosis. The disease is described so far in Japan,
North America, Taiwan, Australia, the United Kingdom, and recently also Italy.
Here the authors describe histologic lesions in clinically affected fish in
comparison with clinically normal but virus DNA-positive goldfish in Switzerland.
While necrosis or enhanced single-cell necrosis in the hematopoietic tissue in
the pronephros or mesonephros was evident in dead and sick animals, in clinically
normal goldfish, only single-cell necrosis was observed. Virus DNA was
demonstrated in dead as well as clinically affected and subclinically infected
goldfish by polymerase chain reaction and in situ hybridization. This study
identifies the presence of goldfish herpesvirus in Switzerland and highlights the
fact that the virus might be more widespread than assumed, as clinically normal
goldfish can also carry cyprinid herpesvirus 2, showing histologically similar
lesions but of lesser extent and severity.
PMID- 26553517
TI - Changing epidemiology of type 2 diabetes mellitus and associated chronic kidney
disease.
AB - Chronic kidney disease (CKD) is a common comorbidity in patients with type 2
diabetes mellitus (T2DM) and both conditions are increasing in prevalence. CKD is
estimated to affect ~50% patients with T2DM globally, and its presence and
severity markedly influences disease prognosis. CKD is more common in certain
patient populations, including the elderly, those with youth-onset diabetes
mellitus, those who are obese, certain ethnic groups, and disadvantaged
populations. These same settings have also seen the greatest increase in the
prevalence of T2DM, as exemplified by the increasing prevalence of T2DM in low-to
middle income countries. Patients from low-to-middle income countries are often
the least able to deal with the burden of T2DM and CKD and the health-care
facilities of these countries least able to deal with the demand for equitable
access to renal replacement therapies. The increasing prevalence of younger
individuals with T2DM, in whom an accelerated course of complications can be
observed, further adds to the global burden of CKD. Paradoxically, improvements
in cardiovascular survival in patients with T2DM have contributed to patients
surviving longer, allowing sufficient time to develop renal impairment. This
Review explores how the changing epidemiology of T2DM has influenced the
prevalence and incidence of associated CKD across different populations and
clinical settings.
PMID- 26553522
TI - Spontaneous Pathology and Routine Clinical Pathology Parameters in Aging Beagle
Dogs: A Comparison With Adolescent and Young Adults.
AB - AstraZeneca ran a bespoke study to generate age-matched clinical pathology and
histopathology data from a cohort of Beagle dogs aged between 25 and 37 months to
support the use of these older animals in routine preclinical toxicology studies.
As the upper age range of Beagle dogs routinely used in toxicology studies does
not normally exceed 24 months, there is an absence of appropriate age-matched
historical control data. The generation of such data was crucial to understand
whether age-related differences in spontaneous findings might confound the
interpretation of toxicology study data. While the majority of the histopathology
findings in all the older dogs occurred at a similar prevalence as those expected
in young adult dogs (<24 months), a number of differences were observed in the
thymus (involution), bone marrow (increased adiposity), testes (degenerative
changes), and lung (fibrosis, pigment and alveolar hyperplasia) that could be
misinterpreted as a test article effect. Minor differences in some clinical
pathology values (hemoglobin, alkaline phosphatase, absolute reticulocytes) were
of a small magnitude and considered unlikely to affect the interpretation of
study data.
PMID- 26553523
TI - Improved quality and efficiency after the introduction of physician-led team
triage in an emergency department.
AB - BACKGROUND: Overcrowding in the emergency department (ED) may negatively affect
patient outcomes, so different triage models have been introduced to improve
performance. Physician-led team triage obtains better results than other triage
models. We compared efficiency and quality measures before and after
reorganization of the triage model in the ED at our county hospital. MATERIALS
AND METHODS: We retrospectively compared two study periods with different triage
models: nurse triage in 2008 (baseline) and physician-led team triage in 2012
(follow-up). Physician-led team triage was in use during day-time and early
evenings on weekdays. Data were collected from electronic medical charts and the
National Mortality Register. RESULTS: We included 20,073 attendances in 2008 and
23,765 in 2012. The time from registration to physician presentation decreased
from 80 to 33 min (P < 0.001), and the length of stay decreased from 219 to 185
min (P < 0.001) from 2008 to 2012, respectively. All of the quality variables
differed significantly between the two periods, with better results in 2012. The
odds ratio for patients who left before being seen or before treatment was
completed was 0.62 (95% confidence interval 0.54-0.72). The corresponding result
for unscheduled returns was 0.36 (0.32-0.40), and for the mortality rates within
7 and 30 days 0.72 (0.59-0.88) and 0.84 (0.73-0.97), respectively. The admission
rate was 37% at baseline and 32% at follow-up (P < 0.001). CONCLUSION: Physician
led team triage improved the efficiency and quality in EDs.
PMID- 26553524
TI - Floating Oscillator-Embedded Triboelectric Generator for Versatile Mechanical
Energy Harvesting.
AB - A versatile vibration energy harvesting platform based on a triboelectricity is
proposed and analyzed. External mechanical vibration repeats an oscillating
motion of a polymer-coated metal oscillator floating inside a surrounding tube.
Continuous sidewall friction at the contact interface of the oscillator induces
current between the inner oscillator electrode and the outer tube electrode to
convert mechanical vibrations into electrical energy. The floating oscillator
embedded triboelectric generator (FO-TEG) is applicable for both impulse
excitation and sinusoidal vibration which universally exist in usual environment.
For the impulse excitation, the generated current sustains and slowly decays by
the residual oscillation of the floating oscillator. For the sinusoidal
vibration, the output energy can be maximized by resonance oscillation. The
operating frequency range can be simply optimized with high degree of freedom to
satisfy various application requirements. In addition, the excellent immunity
against ambient humidity is experimentally demonstrated, which stems from the
inherently packaged structure of FO-TEG. The prototype device provides a peak-to
peak open-circuit voltage of 157 V and instantaneous short-circuit current of 4.6
MUA, within sub-10 Hz of operating frequency. To visually demonstrate the energy
harvesting behavior of FO-TEG, lighting of an array of LEDs is demonstrated using
artificial vibration and human running.
PMID- 26553525
TI - Thromboembolism and anticoagulation management in the preterm infant.
AB - The incidence of preterm thromboembolism has been increasing due to advances in
diagnostic imaging which allow better detection of thrombi in sick preterm
infants. At the same time, improvement in neonatal intensive care unit supportive
care has increased the number of surviving and living preterm infants with
thromboembolic risk factors. Disruption in the fine balance of hemostasis with
potential risk factors, specifically septicemia and indwelling catheters,
increase the occurrence of thromboembolic events. Treatment strategies in preterm
infants are challenging due to limited data.
PMID- 26553527
TI - Iron-catalyzed transfer hydrogenation of imines assisted by an iron-based Lewis
acid.
AB - An iron-catalyzed transfer hydrogenation of N-aryl and N-alkyl imines using
isopropanol as the hydrogen donor is reported for the first time. A combination
of two iron complexes serving different roles is the key for the success of this
catalytic system. As a result, an environmentally friendly and precious metal
free transfer hydrogenation of imines has been developed. The use of a suitable
co-catalyst as an activator not only led to efficient transfer hydrogenation, but
also showed potential in enantioselective transformation.
PMID- 26553526
TI - Combined Use of Oligopeptides, Fragment Libraries, and Natural Compounds: A
Comprehensive Approach To Sample the Druggability of Vascular Endothelial Growth
Factor.
AB - The modulation of protein-protein interactions (PPIs) is emerging as a highly
promising tool to fight diseases. However, whereas an increasing number of
compounds are able to disrupt peptide-mediated PPIs efficiently, the inhibition
of domain-domain PPIs appears to be much more challenging. Herein, we report our
results related to the interaction between vascular endothelial growth factor
(VEGF) and its receptor (VEGFR). The VEGF-VEGFR interaction is a typical domain
domain PPI that is highly relevant for the treatment of cancer and some
retinopathies. Our final goal was to identify ligands able to bind VEGF at the
region used by the growth factor to interact with its receptor. We undertook an
extensive study, combining a variety of experimental approaches, including NMR
spectroscopy-based screening of small organic fragments, peptide libraries, and
medicinal plant extracts. The key feature of the successful ligands that emerged
from this study was their capacity to expose hydrophobic functional groups able
to interact with the hydrophobic hot spots at the interacting VEGF surface patch.
PMID- 26553528
TI - Predicting outcomes of emergency cerclage in women with cervical insufficiency
using inflammatory markers in maternal blood and amniotic fluid.
AB - OBJECTIVE: To identify inflammatory markers in maternal blood and amniotic fluid
that can predict outcomes of emergency cerclage in women with cervical
insufficiency. METHODS: This retrospective cohort study included patients at 18
24 weeks of pregnancy who underwent amniocentesis before receiving emergency
cerclage for cervical insufficiency between August 2004 and August 2013 at a
university teaching hospital in South Korea. Total and differential white blood
cell counts were measured during amniocentesis. Amniotic fluid was cultured and
analyzed for the presence of interleukin (IL)-6 and IL-8. The primary outcome
measure was spontaneous preterm delivery (SPTD) at less than 32weeks of pregnancy
following cerclage placement. RESULTS: Of 37 patients, 18 (49%) experienced SPTD
at less than 32weeks of pregnancy. These patients were found to have
significantly more advanced cervical dilatation at presentation, as well as
higher mean neutrophil-lymphocyte ratios (NLRs) and higher IL-6 and IL-8 levels
in amniotic fluid in comparison with those who did not experience SPTD at less
than 32weeks of pregnancy. In a multivariable analysis, a high NLR and high
amniotic fluid IL-8 levels showed a significant correlation with the occurrence
of SPTD at less than 32weeks of pregnancy (P=0.032). CONCLUSION: Pre-operative
NLR and amniotic fluid IL-8 levels may be important markers for predicting
emergency cerclage outcomes in women with cervical insufficiency.
PMID- 26553529
TI - Prognosis of papillary thyroid cancers with positive serum thyroglobulin antibody
after total thyroidectomy.
AB - BACKGROUND/OBJECTIVE: To investigate the influence of serum anti-thyroglobulin
antibody (TgAb) on the prognosis in papillary thyroid cancer (PTC) patients.
METHODS: In this retrospective study, the participants were enrolled from 1206
PTC patients (927 women, 279 men; mean age, 42.2 years) with T2 and higher, or N1
or M1 classifications in tumor-node-metastasis staging after total thyroidectomy.
We recorded the final serum TgAb data (on thyroxin therapy) at the end of follow
up in 2012. Patients were classified as negative TgAb or positive TgAb groups on
the basis of their serum TgAb levels (< 70 IU/mL or >= 70 IU/mL). RESULTS: Among
the 1206 patients, after mean follow-up for 11.6 +/- 6.1 years (range, 2.0-29.2
years), there were 75 with positive TgAb and 1131 with negative TgAb. Patient
categorization depending on the follow-up time (2-5 years after surgery, 5-10
years after surgery, and 10-30 years after surgery) was performed. In comparison
to traditional risk factors, such as age, tumor size, and sex, which were
important prognostic factors for cancer recurrence and mortality in PTC patients,
there was no significant difference in the prognosis between positive TgAb
patients and negative TgAb patients by the multivariate analyses (cancer
recurrence, p = 0.164, p = 0.112, p = 0.202, respectively; cancer mortality, p =
0.181, p = 0.646, p = 0.656, respectively) based on the different follow-up
times. CONCLUSION: Positive serum TgAb was not a risk factor, and was not
associated with the prognosis of PTC patients.
PMID- 26553530
TI - Differential signalling and glutamate receptor compositions in the OFF bipolar
cell types in the mouse retina.
AB - KEY POINTS: Using whole-cell clamp methods, we characterized the temporal coding
in each type of OFF bipolar cell. We found that type 2 and 3a cells are
transient, type 1 and 4 cells are sustained, and type 3b cells are intermediate.
The light-evoked excitatory postsynaptic potentials in some types were rectified,
suggesting that they provide inputs to the non-linear ganglion cells. Visual
signalling from the photoreceptors was mediated exclusively through the kainate
receptors in the transient OFF bipolar cells, whereas both kainate and AMPA
receptors contributed in the other cells. This study demonstrates, for the first
time, that parallel visual encoding starts at the OFF bipolar cells in a type
specific manner. ABSTRACT: The retina is the entrance to the visual system, which
receives various kinds of image signals and forms multiple encoding pathways. The
second-order retinal neurons, the bipolar cells, are thought to initiate multiple
neural streams by encoding various visual signals in different types of cells.
However, the functions of each bipolar cell type have not been fully understood.
We investigated whether OFF bipolar cells encode visual signals in a type
dependent manner. We recorded the changes in the bipolar cell voltage in response
to two input functions: step and sinusoidal light stimuli. Type 1 and 4 OFF
bipolar cells were sustained cells and responded to sinusoidal stimuli over a
broad range of frequencies. Type 2 and 3a cells were transient and exhibited band
pass filtering. Type 3b cells were in the middle of these two groups. The
distinct temporal responses might be attributed to different types of glutamate
receptors. We examined the AMPA and kainate glutamate receptor composition in
each bipolar cell type. The light responses in the transient OFF bipolar cells
were exclusively mediated by kainate receptors. Although the kainate receptors
mediated the light responses in the sustained cells, the AMPA receptors also
mediated a portion of the responses in sustained cells. Furthermore, we found
that some types of cells were rectified more than other types. Taken together, we
found that the OFF bipolar cells encode diverse temporal image signals in a type
dependent manner, confirming that each type of OFF bipolar cell initiates diverse
temporal visual processing in parallel.
PMID- 26553532
TI - Estimation of interaction effects using pooled biospecimens in a case-control
study.
AB - Pooling, or physically mixing biospecimens, prior to evaluating biomarkers
dramatically reduces biomarker evaluation cost, reduces the quantity of
biospecimens required of each individual, and may reduce the percentage of
laboratory measurements below the lower limit of detection. Motivated by a case
control study on miscarriage (binary outcome) and cytokines (continuous
exposures), we are interested in estimating parameters in a logistic regression,
where individuals with the same disease status (with or without a miscarriage)
are paired and their pooled cytokine concentrations are assessed. Previous
research has proposed a set-based logistic model to evaluate the relationship
between a disease and pooled exposures. While the set-based logistic model is
very useful for estimating main effects, it cannot estimate interactions of
continuous exposures when both are measured in pools. Therefore, we propose using
the expectation maximization (EM) algorithm to obtain estimators of all
parameters in logistic regression model, including interactions effects. Using a
simulation study, we present comparisons of efficiency under different scenarios
where exposures have been measured in pools and individually. Our simulations
show that randomly sampling half of the available biospecimens has less
efficiency than pooling pairs of biospecimens stratified by disease status. The
EM algorithm provides a method for estimating interaction effects when
biospecimens have already been pooled for other reasons such as the gain in
efficiency for estimating main effects demonstrated by previous research. This
manuscript demonstrates that the EM algorithm offers a promising approach to
estimate interaction effects of pooled biospecimens.
PMID- 26553533
TI - Subsequent pregnancy outcome after preterm breech delivery, a population based
cohort study.
AB - OBJECTIVE: The objective of this study is to investigate the effect of the mode
of delivery in women with preterm breech presentation on neonatal and maternal
outcome in the subsequent pregnancy. METHODS: Nationwide population-based cohort
study in the Netherlands of women with a preterm breech delivery and a subsequent
delivery in the years 1999-2007. We compared planned caesarean section versus
planned vaginal delivery for perinatal outcomes in both pregnancies. RESULTS: We
identified 1543 women in the study period, of whom 259 (17%) women had a planned
caesarean section and 1284 (83%) women had a planned vaginal delivery in the
first pregnancy. In the subsequent pregnancy, perinatal mortality was 1.1%
(3/259) for women with a planned caesarean section in the first pregnancy and
0.5% (6/1284) for women with a planned vaginal delivery in the first pregnancy
(aOR 1.8; 95% CI 0.31-10.1). Composite adverse neonatal outcome was 2.3% (6/259)
versus 1.5% (19/1284), (aOR 1.5; 95% CI 0.55-4.2). The average risk of perinatal
mortality over two pregnancies was 1.9% (10/518) for planned caesarean section
and 2.0% (51/2568) for planned vaginal delivery, (OR 0.98; 95% CI 0.49-1.9).
CONCLUSION: In women with a preterm breech delivery, planned caesarean section
does not reduce perinatal mortality, perinatal morbidity, or maternal morbidity
rate over the course of two pregnancies.
PMID- 26553534
TI - Two cases of cardiac sarcoidosis diagnosed based on biopsy results of the gluteus
muscle with focal uptake of 18F-fluorodeoxyglucose.
PMID- 26553531
TI - Suppressed glycolytic metabolism in the prostate of transgenic rats
overexpressing calcium-binding protein regucalcin underpins reduced cell
proliferation.
AB - Regucalcin (RGN) is a calcium-binding protein underexpressed in human prostate
cancer cases, and it has been associated with the suppression of cell
proliferation and the regulation of several metabolic pathways. On the other
hand, it is known that the metabolic reprogramming with augmented glycolytic
metabolism and enhanced proliferative capability is a characteristic of prostate
cancer cells. The present study investigated the influence of RGN on the
glycolytic metabolism of rat prostate by comparing transgenic adult animals
overexpressing RGN (Tg-RGN) with their wild-type counterparts. Glucose
consumption was significantly decreased in the prostate of Tg-RGN animals
relatively to wild-type, and accompanied by the diminished expression of glucose
transporter 3 and glycolytic enzyme phosphofructokinase. Also, prostates of Tg
RGN animals displayed lower lactate levels, which resulted from the diminished
expression/activity of lactate dehydrogenase. The expression of the
monocarboxylate transporter 4 responsible for the export of lactate to the
extracellular space was also diminished with RGN overexpression. These results
showed the effect of RGN in inhibiting the glycolytic metabolism in rat prostate,
which was underpinned by a reduced cell proliferation index. The present findings
also suggest that the loss of RGN may predispose to a hyper glycolytic profile
and fostered proliferation of prostate cells.
PMID- 26553535
TI - Left atrial appendage to great cardiac vein fistula complicating watchman left
atrial appendage closure.
PMID- 26553536
TI - A migrating vascular haemostatic clip induced myocardial infarction 8 years after
pulmonary lobectomy: successful percutaneous treatment with a distal protection
basket.
PMID- 26553537
TI - Fully integrated whole-body [18F]-fludeoxyglucose positron emission
tomography/magnetic resonance imaging in therapy monitoring of giant cell
arteritis.
PMID- 26553538
TI - A rare cause of tough coronary occlusion.
PMID- 26553539
TI - Corevalve Evolut R implantation to treat severe left ventricle outflow tract
obstruction following mitral valve-in-ring: first-in-man report.
PMID- 26553540
TI - MicroRNA profiling unveils hyperglycaemic memory in the diabetic heart.
AB - AIMS: Recent randomized trials suggest that intensive glycaemic control fails to
reduce heart failure-related events in patients with diabetes. The molecular cues
underlying persistent myocardial damage despite normoglycaemia restoration remain
elusive. MicroRNAs (miRNAs), a class of small non-coding RNAs, orchestrate
transcriptional programs implicated in adverse cardiac remodelling. The present
study investigates whether miRNAs participate to hyperglycaemic memory in the
diabetic heart. METHODS AND RESULTS: miRNA landscape was assessed by Mouse
miRNome miRNA PCR Arrays in left ventricular specimens collected from 4-month-old
streptozotocin-induced diabetic mice, with or without intensive glycaemic control
by slow-release insulin implants. A dysregulation of 316 out of 1008 total miRNAs
was observed in the diabetic hearts when compared with controls. Of these, 209
were up-regulated and 107 were down-regulated by >2.0-fold. Interestingly enough,
the expression of 268 of those miRNAs remained significantly altered in diabetic
mice even after subsequent normoglycaemia. Ingenuity pathway analysis revealed
that dysregulated miRNAs were implicated in myocardial signalling networks
triggering apoptosis (miR-320b, miR-378, miR-34a), fibrosis (miR-125b, miR-150,
miR-199a, miR-29b, miR30a), hypertrophic growth (miR-1, miR-150, miR-199a, miR
133a, miR-214, miR-29a, miR-125b, miR-221, miR-212), autophagy (miR-133a, miR
221, miR-212, miR30a), oxidative stress (miR-221, miR-146a, miR-34a, miR-210, miR
19b, miR-125b, miR27a, miR-155), and heart failure (miR-423, miR-499, miR-199a),
respectively. CONCLUSIONS: Glycaemic control is not able to rescue hyperglycaemia
induced alterations of miRNA landscape in the diabetic heart. These findings may
provide novel insights to understand why diabetic cardiomyopathy progresses
despite normalization of blood glucose levels.
PMID- 26553541
TI - Valve weight in aortic stenosis: back to the basics.
PMID- 26553544
TI - New-onset diabetes in hypertensive patients and mortality: timing is everything.
PMID- 26553543
TI - Extensive myocardial calcinosis due to Mycobacterium tuberculosis.
PMID- 26553545
TI - Living up to its name? The effect of salinity on development, growth, and
phenotype of the "marine" toad (Rhinella marina).
AB - The highly permeable integument of amphibians renders them vulnerable to chemical
characteristics of their environment, especially during the aquatic larval stage.
As the cane toad (Rhinella marina, Bufonidae) invades southwards along the east
coast of Australia, it is encountering waterbodies with highly variable
conditions of temperature, pH, and salinity. Understanding the tolerance of toads
to these conditions can clarify the likely further spread of the invader, as well
as the adaptability of the species to novel environmental challenges. We measured
salinity in waterbodies in the field and conducted laboratory trials to
investigate the impacts of salinity on toad viability. Eggs and tadpoles from the
southern invasion front tolerated the most saline conditions we found in
potential spawning ponds during surveys [equivalent to 1200 ppm (3.5 % the
salinity of seawater)]. Indeed, high-salinity treatments increased tadpole body
sizes, accelerated metamorphosis, and improved locomotor ability of metamorphs
(but did not affect metamorph morphology). At very low salinity [40 ppm (0.1 %
seawater)], eggs hatched but larvae did not develop past Gosner stage 37. Our
study shows that the egg and larval life stages of cane toads can tolerate wide
variation in the salinity of natal ponds and that this aspect of waterbody
chemistry is likely to facilitate rather than constrain continued southward
expansion of the toad invasion front in eastern Australia.
PMID- 26553551
TI - An incidental pulmonary part-solid ground-glass nodule in a patient under
anticoagulant therapy.
PMID- 26553552
TI - Age-related methylation profiles of equine blood leukocytes in the RNASEL locus.
AB - Methylation profiles across three CpG islands of the RNASEL gene were determined
in blood leukocyte samples of Anglo-Arabian and Hucul horses. Bisulfite
sequencing revealed hypomethylated state of the RNASEL promoter coinciding with
methylated CpG island placed inside the gene. Several CpG sites were identified
for which the methylation state was influenced by DNA polymorphism. Two of them
showed monoallelic methylation. One of the CpG sites revealed functional
polymorphism. A number of partially methylated CpG sites have been observed in
the promoter area of RNASEL, which were used for the comparison of breed- and age
related effects. Clone bisulfite sequencing of blood leukocyte samples collected
at different ages from particular individuals of AA and HC breeds and, also,
BSPCR sequencing of 50 samples of juvenile and old AA and HC horses revealed
increased methylation in particular CpG sites during aging. The age-related
heterogeneity of white blood cells was hypothesized as being one of the potential
causes of observed variability of methylation profiles in the RNASEL promoter.
PMID- 26553553
TI - Cardiac and somatic parameters in zebrafish: tools for the evaluation of
cardiovascular function.
AB - Cardiovascular diseases are a worldwide public health problem. To date, extensive
research has been conducted to elucidate the pathophysiological mechanisms that
trigger cardiovascular diseases and to evaluate therapeutic options. Animal
models are widely used to achieve these goals, and zebrafish have emerged as a
low-cost model that produces rapid results. Currently, a large body of research
is devoted to the cardiovascular development and diverse cardiovascular disorders
of zebrafish embryos and larvae. However, less research has been conducted on
adult zebrafish specimens. In this study, we evaluated a method to obtain and to
evaluate morphometric parameters (of both the entire animal and the heart) of
adult zebrafish. We used these data to calculate additional parameters, such as
body mass index, condition factor and cardiac somatic index. This method and its
results can be used as reference for future studies that aim to evaluate the
pathophysiological aspects of the zebrafish cardiovascular system.
PMID- 26553554
TI - Attenuation of the adverse effects caused by the foot-and-mouth disease
vaccination in pigs.
PMID- 26553555
TI - A biomimetic approach to hormone resistant prostate cancer cell isolation using
inactivated Sendai virus (HVJ-E).
AB - Our study reports a versatile immobilization method of Hemagglutinating Virus of
Japan Envelope (HVJ-E) for the generation of viral-mimetic surfaces for hormone
resistant prostate cancer cell isolation. HVJ-E has recently attracted much
attention as a new type of therapeutic material because hormone resistant
prostate cancer cells such as PC-3 cells possess the HVJ-E receptors, GD1a. The
HVJ-E was successfully immobilized on precursor films composed of poly-l-lysine
and alginic acid via layer-by-layer assembly without changing the biological
activity. The monolayer adsorption of HVJ-E particles was confirmed by quartz
crystal microbalance, fluorescent and atomic force microscopy analyses. By
developing the HVJ-E coating with an affinity based cell trap within a glass
capillary tube, we are able to gently isolate PC-3 from LN-Cap cells that
represent adenocarcinoma without compromising cell viability. We achieved
approximately 100% cell separation efficiency only by 60 seconds of flowing. We
believe that the proposed technique offers significant promise for the creation
of a hormone resistant cancer cell trap on a broad range of materials.
PMID- 26553559
TI - Ice nucleation active particles are efficiently removed by precipitating clouds.
AB - Ice nucleation in cold clouds is a decisive step in the formation of rain and
snow. Observations and modelling suggest that variations in the concentrations of
ice nucleating particles (INPs) affect timing, location and amount of
precipitation. A quantitative description of the abundance and variability of
INPs is crucial to assess and predict their influence on precipitation. Here we
used the hydrological indicator delta(18)O to derive the fraction of water vapour
lost from precipitating clouds and correlated it with the abundance of INPs in
freshly fallen snow. Results show that the number of INPs active at temperatures
>= -10 degrees C (INPs-10) halves for every 10% of vapour lost through
precipitation. Particles of similar size (>0.5 MUm) halve in number for only
every 20% of vapour lost, suggesting effective microphysical processing of INPs
during precipitation. We show that INPs active at moderate supercooling are
rapidly depleted by precipitating clouds, limiting their impact on subsequent
rainfall development in time and space.
PMID- 26553557
TI - Uric acid enhances the antitumor immunity of dendritic cell-based vaccine.
AB - Uric acid (UA) released from dying cells has been recognized by the immune system
as a danger signal. In response to UA, dendritic cells (DC) in the immune system
mature and enhance the T cell response to foreign antigens. It is conceivable
that the antitumor immunity of a tumor vaccine could be promoted by the
administration of UA. To test this concept, we applied UA as an adjuvant to a DC
based vaccine, and discovered that the administration of UA as an adjuvant
significantly enhanced the ability of the tumor lysate-pulsed DC vaccine in
delaying the tumor growth. The antitumor activity was achieved with adoptively
transferred lymphocytes, and both CD8(+) T cells and NK cells were required to
achieve effective immunity. This resulted in an increased accumulation of
activated CD8(+) T cells and an elevated production of IFN-gamma. Collectively,
our study shows that the administration of UA enhances the antitumor activity of
tumor lysate-pulsed DC vaccine, thus providing the preclinical rationale for the
application of UA in DC-based vaccine strategies.
PMID- 26553560
TI - Generation of a soluble recombinant trimeric form of bovine CD40L and its
potential use as a vaccine adjuvant in cows.
AB - Vaccination is the most cost-effective way to control infectious diseases in
cattle. However, many infectious diseases leading to severe economical losses
worldwide still remain for which a really effective and safe vaccine is not
available. These diseases are most often due to intracellular pathogens such as
bacteria or viruses, which are, by their localization, protected from antibiotics
and/or CD4(+) T cell-dependent humoral responses. We therefore postulated that
strategies leading to induction of not only CD4(+) T cell responses but also
CD8(+) cytotoxic T lymphocyte (CTL) responses against infected cells should be
privileged in the development of new vaccines against problematic intracellular
pathogens in bovines. CD40 signaling in antigen-presenting cells may lead to the
induction of robust CD4-independent CTL responses and several studies, especially
in mice, have used CD40 stimulation to promote CD8(+) T cell-mediated immunity.
For example, we have recently shown that immunization of mice with heat-killed
Staphylococcus aureus (HKSA) and agonistic anti-CD40 monoclonal antibodies
elicits strong CTL responses capable of protecting mice from subsequent
staphylococcal mastitis. Unfortunately, there is at present no tool available to
efficiently stimulate CD40 in cattle. In this study, we therefore first produced
a soluble recombinant trimeric form of the natural bovine CD40 ligand
(sboCD40LT). We then observed that sboCD40LT was able to potently stimulate
bovine cells in vitro. Finally, we provide evidence that immunization of cows
with sboCD40LT combined with HKSA was able to significantly increase the number
of both HKSA-specific CD4(+) and CD8(+) T cells in the draining lymph nodes. In
conclusion, we suggest that this new molecular tool could help in the development
of vaccine strategies against bovine diseases caused by intracellular pathogens.
PMID- 26553561
TI - Avian CD25(+) gamma/delta (gammadelta) T cells after Salmonella exposure.
AB - Although an expansion of distinct gammadelta T-lymphocyte subpopulations has been
shown after Salmonella infection of chicks, functions and activation states of
these cells are still largely unknown. To characterize the activation status of
avian gammadelta T lymphocytes after Salmonella exposure, an avian whole-blood
Salmonella Enteritidis live-vaccine-strain (SE-LV) stimulation assay and flow
cytometry were used, and the bacteria-provoked CD25-antigen expression of CD8
characterized gammadelta T-cell subsets determined in comparison to alphabeta T
cells. In non-stimulated controls, low numbers of CD25-positive cells were
observed in all T-cell populations. The SE-LV stimulation resulted in a
significantly enhanced percentage of CD25-expressing CD8alpha(-), CD8alpha(lo+)
and CD8alphaalpha(hi+) gammadelta T cells accompanied by an increased intensity
of the CD25 expression of these cells. Highest values were always seen within the
CD8alphaalpha(hi+) gammadelta T-lymphocyte subset. The alphabeta T cells showed
no changed CD25 expression. In conclusion, the increased CD25 expression on
discrete peripheral T-lymphocyte populations after ex vivo stimulation
demonstrates a Salmonella-induced activation of gammadelta T-cell subsets and
underlines the unique, probably activated character, especially of the avian
CD8alphaalpha(hi+) gammadelta T cells.
PMID- 26553562
TI - Mutational analysis identifies leucine-rich repeat insertions crucial for pigeon
toll-like receptor 7 recognition and signaling.
AB - Toll-like receptor 7 (TLR7) is responsible for recognizing viral single-stranded
RNA and antiviral imidazoquinoline compounds, leading to the activation of the
innate immune response. In this study, mutated pigeon TLR7 fragments, in which
the insertion at position 10 of leucine-rich repeat 10 (LRR10) or at position 15
of LRR2/11/13/14 was deleted, were amplified with an overlap-PCR method, and
inserted into the expression vector pCMV. The immune functions of the TLR7
mutants were determined with an NF-kappaB luciferase assay of transfected cells.
The deletion of the insertions absolutely abolished TLR7-NF-kappaB signaling.
With quantitative real-time PCR and sandwich enzyme-linked immunosorbent assay,
we observed that stimulation with R848 failed to induce the expression of
interleukin 8 (IL-8) in any of the mutant-TLR7-transfected cells, consistent with
their lack of NF-kappaB activity. However, the expression of interferon alpha
(IFN-alpha) and tumor necrosis factor alpha (TNF-alpha) was significantly
upregulated in the Del10IN10 and Del14IN15 groups. Remarkably, the levels of
pigeon TLR7 expression were significantly increased in all the TLR7-mutated
groups. Therefore, we speculate that another part of the deficient TLR7 mediates
the induction of IFN-alpha and TNF-alpha by increasing the expression of TLR7 as
compensation. However, the increased expression of TLR7 in the Del11IN15 group
failed to induce the production of IFN-alpha, IL-8, or TNF-alpha, indicating that
a false compensation occurred when the crucial LRR insertion was deleted.
PMID- 26553563
TI - PD-L1 expression is increased in monocyte derived dendritic cells in response to
porcine circovirus type 2 and porcine reproductive and respiratory syndrome virus
infections.
AB - Host immune system suppression is thought to be crucial in the development of
porcine circovirus associated diseases (PCVAD). Many immune suppressive
mechanisms have been studied in cases of PCVAD, however, the role of programmed
death ligand-1 (PD-L1) during porcine circovirus type 2 (PCV2) infection and
PCVAD development has yet to be determined. PD-L1 has become an important
research target because of its ability to interfere with effective T-cell
activity and proliferation during the course of an immune response. In this
study, porcine monocyte derived dendritic cells (MoDC) were infected with
different combinations of PCV2 and porcine reproductive and respiratory syndrome
virus (PRRSV) and evaluated for expression levels of PD-L1, as well as the
expression levels of swine major histocompatibility complexes 1 and 2 (SLA-1 and
SLA-2) as a measure of MoDC stimulatory capacity. PD-L1 expression levels were
also tested in MoDCs after treatment with interferon alpha (IFN-alpha) and beta
(IFN-beta). The results showed that the expression levels of PD-L1 were increased
in PCV2-infected MoDCs, as well as in PCV2 and PRRSV co-infected MoDCs. The MoDCs
infected with PRRSV only also showed a strain-dependent increase in PD-L1
expression. Both IFN-alpha and IFN-beta treatment also increased the expression
levels of PD-L1 in MoDCs. SLA-1 and 2 expression levels were increased by PCV2
infection, and altered in the PRRSV, and PCV2+PRRSV co-infected MoDCs in a strain
dependent manner. These results indicate a potential immuno-suppressive role for
dendritic cells during PCV2 infection and the development of PCVAD and will be
helpful in more fully elucidating the underlying mechanisms leading to clinical
PCVAD.
PMID- 26553564
TI - Natural killer cells in host defense against veterinary pathogens.
AB - Natural Killer (NK) cells constitute a major subset of innate lymphoid cells that
do not express the T- and B-cell receptors and play an important role in
antimicrobial defense. NK cells not only induce early and rapid innate immune
responses, but also communicate with dendritic cells to shape the adaptive
immunity, thus bridging innate and adaptive immunity. Although the functional
biology of NK cells is well-documented in a variety of infections in humans and
mice, their role in protecting domestic animals from infectious agents is only
beginning to be understood. In this article, we summarize the current state of
knowledge about the contribution of NK cells in pathogen defense in domestic
animals, especially cattle and pigs. Understanding the immunobiology of NK cells
will translate into strategies to manipulate these cells for preventive and
therapeutic purposes.
PMID- 26553566
TI - Reduced grazing pressure delivers production and environmental benefits for the
typical steppe of north China.
AB - Degradation by overgrazing is common in many areas of the world and optimising
grassland functions depends upon finding suitable grazing tactics. This four-year
study on the northern China steppe investigated combinations of rest, moderate or
heavy grazing pressure early in the summer growing season, then moderate or heavy
grazing in the mid and late season. Results showed that moderate grazing pressure
(~550 sheep equivalent (SE) grazing days ha(-1) year(-1)) gave the optimal
balance between maintaining a productive and diverse grassland, a profitable
livestock system, and greenhouse gas mitigation. Further analyses identified that
more conservative stocking (~400 SE grazing days ha(-1) year(-1)) maintained a
desirable Leymus chinensis composition and achieved a higher live weight gain of
sheep. Early summer rest best maintained a desirable grassland composition, but
had few other benefits and reduced incomes. These findings demonstrate that
reducing grazing pressure to half the current district stocking rates can deliver
improved ecosystem services (lower greenhouse gases and improved grassland
composition) while sustaining herder incomes.
PMID- 26553567
TI - Purification of binder of sperm protein 1 (BSP1) and its effects on bovine in
vitro embryo development after fertilization with ejaculated and epididymal
sperm.
AB - The present study evaluated functional aspects of binder of sperm 1 (BSP1) in the
bovine species. In a first experiment, cumulus-oocyte complexes (n = 1274) were
incubated with frozen-thawed ejaculated sperm (18 hours) in Fert-TALP medium
containing: heparin, 10, 20, or 40 MUg/mL BSP1. Heparin followed by gelatin
affinity chromatography was used for purification of BSP1 from bovine seminal
vesicle fluid. With ejaculated sperm, cleavage rates were similar when Fert-TALP
medium was incubated with heparin (74.1 +/- 2.7%), 10 MUg/mL BSP1 (77.8 +/-
3.1%), or 20 MUg/mL BSP1 (74 +/- 2.0%). Day-7 blastocyst rates were equivalent
after incubations with heparin (40.8 +/- 5.0%) and 10 MUg/mL BSP1 (34.1 +/-
4.4%), but reduced after 20 MUg/mL BSP1 (22.4 +/- 2.9%) and 40 MUg/mL BSP1 (19.3
+/- 4.1%; P < 0.05). In the second experiment, cumulus-oocyte complexes (n =
1213) were incubated with frozen-thawed cauda epididymal sperm (18 hours) in Fert
TALP medium containing: no heparin, heparin, 10, 20, or 40 MUg/mL. Cleavage and
blastocyst rates were similar after treatments with heparin (68.5 +/- 1.3% and
24.7 +/- 3.2%, respectively) or without heparin (65.5 +/- 1.8% and 27.3 +/- 1.6%,
respectively). Cleavage was higher after treatment with any BSP1 concentrations
(74.2 +/- 2.7%-79.0 +/- 1.1%) than without heparin (P < 0.05). Also, cleavage was
better after Fert-TALP medium incubation with 40 MUg/mL BSP1 (79.0 +/- 1.1%) than
with heparin (68.5 +/- 1.3%; P < 0.05). Embryo development was higher (P < 0.05)
after treatment with 20 MUg/mL BSP1 (35.6 +/- 2.5%) and 40 MUg/mL (41.1 +/- 2%)
than after incubations with heparin (24.7 +/- 3.2%) or without heparin (27.3 +/-
1.6%). Interestingly, BSP1 did not cause reductions in blastocyst rates after
fertilization with epididymal sperm, as observed with ejaculated sperm. On the
basis of immunocytochemistry, there was BSP1 binding to frozen-thawed ejaculated
but not to epididymal sperm. Also, anti-BSP1 reaction remained on ejaculated
sperm (as expected) and appeared on epididymal sperm after incubation with
purified BSP1. Acrosome reaction of ejaculated and epididymal sperm was induced
after incubation with purified BSP1 as well, indicating an effect of BSP1 on
capacitation. In conclusion, purified BSP1 from bull seminal vesicles was able to
bind to and induce capacitation of ejaculated and epididymal sperm. Also, BSP1
added to fertilization media and allowed proper cleavage and embryo development,
with the effects being modulated by previous exposure or not of spermatozoa to
seminal plasma.
PMID- 26553568
TI - Production of prostaglandins in placentae and corpus luteum in pregnant hinds of
red deer (Cervus elaphus).
AB - Prostaglandins (PGs) are synthesized from arachidonic acid by prostaglandin
synthase 2 (PTGS2) and specific terminal PG synthases such as PGES and PGFS. The
role of PGs in the reproductive processes of domestic ruminants is well
recognized, whereas in cervidae, it is almost unknown, although it is noteworthy
because some species of this family are valued in meat production and trophies.
The aim of this study was to determine an effective marker of pregnancy and
investigate the production and secretion of PGs in placenta and CL tissue in
pregnancy. In the preliminary experiment, the levels of progesterone and 17-beta
estradiol (RIA; N = 14 divided into seven pregnant and seven nonpregnant hinds)
were measured in the peripheral blood. In the main experiment, a comparison of
messenger RNA (real-time polymerase chain reaction) and protein expression
(Western blotting) of PTGS2, PGES, and PGFS, the level of prostaglandin E2 (PGE2)
and PGF2alpha in the placentae and CL in pregnant hinds (aged 3-4 years, ca. 100
days of pregnancy, N = 6). In pregnant hinds, the level of progesterone in the
blood was higher than that in nonpregnant hinds (P < 0.05), whereas the level of
E2 was similar in all animals (P > 0.05). The highest messenger RNA expression of
PTGS2, PGES, and PGFS was observed in the placentae than in the CL (P < 0.05).
The protein expression of PTGS2 and PGES was elevated in the placentae compared
with the CL (P < 0.05). The PGE2 output was the highest in cotyledonary tissue (P
< 0.05). Pregnancy development in hinds around 100 days is regulated by
arachidonic acid metabolites, especially PGE2 produced by the placentae, which
production increases in pregnancy. Further studies are required to unravel the
mechanisms involved in the regulation of PG and biosynthetic enzymes in
uteroplacental and ovarian tissues during pregnancy in red deer females.
PMID- 26553569
TI - Effect of vitrification using the Cryotop method on the gene expression profile
of in vitro-produced bovine embryos.
AB - The present study analyzed the changes in gene expression induced by the Cryotop
vitrification technique in bovine blastocyst-stage embryos, using Agilent
EmbryoGENE microarray slides. Bovine in vitro-produced embryos were vitrified and
compared with nonvitrified (control) embryos. After vitrification, embryos were
warmed and cultured for an additional 4 hours. Survived embryos were used for
microarray analysis and quantitative polymerase chain reaction (qPCR)
quantification. Survival rates were higher (P < 0.05) in the control embryos
(100%) than in the vitrified embryos (87%). Global gene expression analysis
revealed that only 43 out of 21,139 genes exhibited significantly altered
expression in the vitrified embryos compared to the control embryos, with a very
limited fold change (P < 0.05). A total of 10 genes were assessed by qPCR. Only
the FOS-like antigen 1 (FOSL1) gene presented differential expression (P < 0.05)
according to both the array and qPCR methods, and it was overexpressed in
vitrified embryos. Although, the major consequence of vitrification seems to be
the activation of the apoptosis pathway in some cells. Indeed, FOSL1 is part of
the activating protein 1 transcription factor complex and is implicated in a
variety of cellular processes, including proliferation, differentiation, and
apoptosis. Therefore, our results suggest that a limited increase in the rate of
apoptosis was the only detectable response of the embryos to vitrification
stress.
PMID- 26553571
TI - Rolling Circle Translation of Circular RNA in Living Human Cells.
AB - We recently reported that circular RNA is efficiently translated by a rolling
circle amplification (RCA) mechanism in a cell-free Escherichia coli translation
system. Recent studies have shown that circular RNAs composed of exonic sequences
are abundant in human cells. However, whether these circular RNAs can be
translated into proteins within cells remains unclear. In this study, we prepared
circular RNAs with an infinite open reading frame and tested their translation in
eukaryotic systems. Circular RNAs were translated into long proteins in rabbit
reticulocyte lysate in the absence of any particular element for internal
ribosome entry, a poly-A tail, or a cap structure. The translation systems in
eukaryote can accept much simpler RNA as a template for protein synthesis by
cyclisation. Here, we demonstrated that the circular RNA is efficiently
translated in living human cells to produce abundant protein product by RCA
mechanism. These findings suggest that translation of exonic circular RNAs
present in human cells is more probable than previously thought.
PMID- 26553572
TI - A rigid lanthanide binding tag to aid NMR studies of a 70 kDa homodimeric coat
protein of human norovirus.
AB - Attachment of human noroviruses to histo blood group antigens is thought to be
essential for infection of host cells. Molecular details of the attachment
process can be studied in vitro using a variety of NMR experiments. The use of
protein NMR based experiments requires assignments of backbone NMR signals. Using
uniformly (2)H,(15)N-labeled protruding domains (P-dimers) of a prevalent
epidemic human norovirus strain (GII.4 Saga) we have studied the potential of
alpha-l-fucose covalently linked to a rigid lanthanide binding tag to aid
backbone assignments using the paramagnetic properties of lanthanide ions. The
synthesis of tagged alpha-l-fucose is reported. Notably, the metal chelating unit
connects to the carbohydrate via a triazole linker constructed using click
chemistry.
PMID- 26553573
TI - [Functional performance of school children diagnosed with developmental delay up
to two years of age].
AB - OBJECTIVE: To compare the functional performance of students diagnosed with
developmental delay (DD) up to two years of age with peers exhibiting typical
development. METHODS: Cross-sectional study with functional performance
assessment of children diagnosed with DD up to two years of age compared to those
with typical development at seven to eight years of age. Each group consisted of
45 children, selected by non-random sampling, evaluated for motor skills, quality
of home environment, school participation and performance. ANOVA and the Binomial
test for two proportions were used to assess differences between groups. RESULTS:
The group with DD had lower motor skills when compared to the typical group.
While 66.7% of children in the typical group showed adequate school
participation, receiving aid in cognitive and behavioral tasks similar to that
offered to other children at the same level, only 22.2% of children with DD
showed the same performance. Although 53.3% of the children with DD achieved an
academic performance expected for the school level, there were limitations in
some activities. Only two indicators of family environment, diversity and
activities with parents at home, showed statistically significant difference
between the groups, with advantage being shown for the typical group.
CONCLUSIONS: Children with DD have persistent difficulties at school age, with
motor deficit, restrictions in school activity performance and low participation
in the school context, as well as significantly lower functional performance when
compared to children without DD. A systematic monitoring of this population is
recommended to identify needs and minimize future problems.
PMID- 26553574
TI - [Characterization of anthropometric assessment studies of Brazilian children
attending daycare centers].
AB - OBJECTIVE: To obatin an overview of available information on the anthropometric
assessment of Brazilian children attending daycare centers. DATA SOURCE: A
literature search was carried out in the PubMed, LILACS and SciELO databases of
studies published from 1990 to 2013 in Portuguese and English languages. The
following search strategy was used: (nutritional status OR anthropometrics OR
malnutrition OR overweight) AND daycare centers, as well as the equivalent terms
in Portuguese. In the case of MEDLINE search, the descriptor Brazil was also
used. DATA SYNTHESIS: It was verified that the 33 studies included in the review
were comparable from a methodological point of view. The studies, in general,
were characterized by their restrictive nature, geographical concentration and
dispersion of results in relation to time. Considering the studies published from
2010 onwards, low prevalence of acute malnutrition and significant rates of
stunting and overweight were observed. CONCLUSIONS: Despite the limitations,
considering the most recent studies that used the WHO growth curves (2006), it is
suggested that the anthropometric profile of Brazilian children attending daycare
centers is characterized by a nutritional transition process, with significant
prevalence of overweight and short stature. We emphasize the need to develop a
multicenter survey that will more accurately define the current anthropometric
nutritional status of Brazilian children attending daycare centers.
PMID- 26553576
TI - Optical absorption characteristics in the assessment of powder phosphor-based x
ray detectors: from nano- to micro-scale.
AB - X-ray phosphor-based detectors have enormously improved the quality of medical
imaging examinations through the optimization of optical diffusion. In recent
years, with the development of science and technology in the field of materials,
improved powder phosphors require structural and optical properties that
contribute to better optical signal propagation. The purpose of this paper was to
provide a quantitative and qualitative understanding of the optical absorption
characteristics in the assessment of powder phosphor-based detectors (from nano-
scale up to micro-scale). Variations on the optical absorption parameters (i.e.
the light extinction coefficient [Formula: see text] and the percentage
probability of light absorption p%) were evaluated based on Mie calculations
examining a wide range of light wavelengths, particle refractive indices and
sizes. To model and assess the effects of the aforementioned parameters on
optical diffusion, Monte Carlo simulation techniques were employed considering:
(i) phosphors of different layer thickness, 100 MUm (thin layer) and 300 MUm
(thick layer), respectively, (ii) light extinction coefficient values, 1, 3 and 6
MUm(-1), and (iii) percentage probability of light absorption p% in the range 10(
4)-10(-2). Results showed that the [Formula: see text] coefficient is high for
phosphor grains in the submicron scale and for low light wavelengths. At higher
wavelengths (above 650 nm), optical quanta follow approximately similar depths
until interaction for grain diameter 500 nm and 1 MUm. Regarding the variability
of the refractive index, high variations of the [Formula: see text] coefficient
occurred above 1.6. Furthermore, results derived from Monte Carlo modeling showed
that high spatial resolution phosphors can be accomplished by increasing the
[Formula: see text] parameter. More specifically, the FWHM was found to decrease
(i.e. higher resolution): (i) 4.8% at 100 MUm and (ii) 9.5%, at 300 MUm layer
thickness. This study attempted to examine the role of the optical absorption
parameters on optical diffusion studies. A significant outcome of the present
investigation was that the improvement of phosphor spatial resolution without
decreasing the light collection efficiency too much can be better achieved by
increasing the parameter [Formula: see text] rather than the parameter p%.
PMID- 26553575
TI - Novel Method to Track Soft Tissue Deformation by Micro-Computed Tomography:
Application to the Mitral Valve.
AB - Increasing availability of micro-computed tomography (uCT) as a structural
imaging gold-standard is bringing unprecedented geometric detail to soft tissue
modeling. However, the utility of these advances is severely hindered without
analogous enhancement to the associated kinematic detail. To this end, labeling
and following discrete points on a tissue across various deformation states is a
well-established approach. Still, existing techniques suffer limitations when
applied to complex geometries and large deformations and strains. Therefore, we
herein developed a non-destructive system for applying fiducial markers (minimum
diameter: 500 um) to soft tissue and tracking them through multiple loading
conditions by uCT. Using a novel applicator to minimize adhesive usage, four
distinct marker materials were resolvable from both tissue and one another,
without image artifacts. No impact on tissue stiffness was observed. uCT
addressed accuracy limitations of stereophotogrammetry (inter-method positional
error 1.2 +/- 0.3 mm, given marker diameter 1.9 +/- 0.1 mm). Marker application
to ovine mitral valves revealed leaflet Almansi areal strains (45 +/- 4%) closely
matching literature values, and provided radiographic access to previously
inaccessible regions, such as the leaflet coaptation zone. This system may
meaningfully support mechanical characterization of numerous tissues or
biomaterials, as well as tissue-device interaction studies for regulatory
standards purposes.
PMID- 26553577
TI - New variational image decomposition model for simultaneously denoising and
segmenting optical coherence tomography images.
AB - Optical coherence tomography (OCT) imaging plays an important role in clinical
diagnosis and monitoring of diseases of the human retina. Automated analysis of
optical coherence tomography images is a challenging task as the images are
inherently noisy. In this paper, a novel variational image decomposition model is
proposed to decompose an OCT image into three components: the first component is
the original image but with the noise completely removed; the second contains the
set of edges representing the retinal layer boundaries present in the image; and
the third is an image of noise, or in image decomposition terms, the texture, or
oscillatory patterns of the original image. In addition, a fast Fourier transform
based split Bregman algorithm is developed to improve computational efficiency of
solving the proposed model. Extensive experiments are conducted on both
synthesised and real OCT images to demonstrate that the proposed model
outperforms the state-of-the-art speckle noise reduction methods and leads to
accurate retinal layer segmentation.
PMID- 26553578
TI - Clinical Practice Patterns in Chemotherapeutic Treatment Regimens for Metastatic
Colorectal Cancer.
AB - BACKGROUND: The treatment of patients with metastatic colorectal cancer (mCRC)
has evolved during the past 2 decades, and patient survival has increased.
Consequently, patients are exposed to more chemotherapeutic agents and regimens.
Little is known about therapeutic drug sequencing and the factors influencing
these choices. MATERIALS AND METHODS: An observational, retrospective medical
record review was conducted of patients with newly diagnosed adult mCRC from
January 2002 to September 2013 identified in the McGill University-Jewish General
Hospital's local tumor registry. All patients presented with mCRC (stage IV) and
received >= 2 cycles and/or >= 28 days of first-line chemotherapy. The patient
demographics, CRC characteristics, treatment patterns, and outcomes were
recorded. The reason for changing or halting therapy was also reported. RESULTS:
Of the 215 patients who underwent treatment, 74.4% received second-line, 36%
third-line, and 16.3% fourth-line treatment. In total, 88% received >= 3 classes
of cytotoxic chemotherapy and 80% received >= 1 biologic agent. The most common
first-line treatment was FOLFOX (5-fluorouracil, leucovorin, oxaliplatin) (47.4%)
or CAPOX (capecitabine, oxaliplatin) (28.8%), and more than one half received
bevacizumab (56%). Among the second- and third-line treatments, FOLFIRI
(irinotecan, 5-fluorouracil, leucovorin) was the most common (40.3% and 30.3%,
respectively), and bevacizumab was the most frequently used biologic agent (48.1%
and 39.2%, respectively). For fourth-line treatment and beyond, most patients
participated in clinical trials (45.7%) or received panitumumab monotherapy
(31.4%). Across the first 4 therapy lines, disease progression was the primary
motive for discontinuation (39.5%, 53.8%, 58.2%, and 37.1%). CONCLUSION: FOLFOX
was the most common first-line and FOLFIRI the most common second- and third-line
mCRC therapy. Bevacizumab was the most frequently used targeted therapy across
all 3 treatment lines. Therapy discontinuation was primarily due to disease
progression.
PMID- 26553579
TI - Changes in brain functional connectivity patterns are driven by an individual
lesion in MS: a resting-state fMRI study.
AB - Diffuse inflammation in multiple sclerosis (MS) extends beyond focal lesion
sites, affecting interconnected regions; however, little is known about the
impact of an individual lesion affecting major white matter (WM) pathways on
brain functional connectivity (FC). Here, we longitudinally assessed the effects
of acute and chronic lesions on FC in relapsing-remitting MS (RRMS) patients
using resting-state fMRI. 45 MRI data sets from 9 RRMS patients were recorded
using 3T MR scanner over 5 time points at 8 week intervals. Patients were divided
into two groups based on the presence (n = 5; MS+) and absence (n = 4; MS-) of a
lesion at a predilection site for MS. While FC levels were found not to fluctuate
significantly in the overall patient group, the MS+ patient group showed
increased FC in the contralateral cuneus and precuneus and in the ipsilateral
precuneus (p < 0.01, corrected). This can be interpreted as the recruitment of
intact cortical regions to compensate for tissue damage. During the study, one
patient developed an acute WM lesion in the left posterior periventricular space.
A marked increase in FC in the right pre-, post-central gyrus, right superior
frontal gyrus, the left cuneus, the vermis and the posterior and anterior lobes
of the cerebellum was noted following the clinical relapse, which gradually
decreased in subsequent follow-ups, suggesting short-term functional
reorganization during the acute phase. This strongly suggests that the lesion
related network changes observed in patients with chronic lesions occur as a
result of reorganization processes following the initial appearance of an acute
lesion.
PMID- 26553580
TI - The reliability of individual differences in face-selective responses in the
fusiform gyrus and their relation to face recognition ability.
AB - Face recognition ability varies widely in the normal population and there is
increasing interest in linking individual differences in perception to their
neural correlates. Such brain-behavior correlations require that both the
behavioral measures and the selective BOLD responses be reliable. The reliability
of the location of the fusiform face area (FFA) has been demonstrated in several
studies. Here, we address reliability of a different kind: reliability of the
magnitude of responses to faces within this localized region. We calculated split
half reliability of face-selective responses within functionally defined
posterior and anterior face-selective patches in the fusiform gyrus (FFA1/FFA2).
We used data from two published studies that included both a functional localizer
for face-selective regions and independent data suitable for quantifying face
selectivity. We found highly reliable face selectivity in both hemispheres that
was highest in the centermost voxel(s) compared to larger regions of interest.
Differences in face-selectivity between the two face patches within one
hemisphere and across hemispheres were also reliable. Our results reveal
considerable reliability of face-selective signals in and across FFA in adults.
Given the good reliability of behavioral measures of face recognition, prior
failures to find a relationship between the mean response to faces in FFA and
behavioral face recognition in normal adult subjects are unlikely to be due to
limitations of the measurements.
PMID- 26553581
TI - Color Tuning of Core-Shell Fluorescent Microspheres by Controlling the
Conjugation of Poly(p-phenylenevinylene) Backbone.
AB - A series of poly(p-phenylenevinylene) (PPV)-coated microspheres with varied
fluorescent emission colors have been prepared by controlling the average length
of the conjugated segments on the polymer backbone. A modified Wessling method
was used for preparing PPV with different conjugation segments. The labile
sulfonium groups of the initial polymer precursor of PPV (pre-PPV) were partly
substituted by relatively stable methoxyl groups. A series of precursors with
different degrees of substitution were prepared by controlling the time of
reaction; these precursors were adsorbed onto the negatively charged substrate
spheres. Subsequently, heterogeneous thermal treatment eliminated the sulfonium
groups selectively to form the conjugated segments on the PPV backbone with
varied average conjugation lengths. Under UV exposure, the as-prepared PPV-coated
microspheres displayed emission colors ranging from blue to green; a 65 nm shift
in the emission maximum was observed in the fluorescence spectra. The gradual
color change in emission of spheres was also confirmed in a confocal microscopy
study. Further characterizations indicated that these microspheres possessed
clear core-shell structure, good monodispersity in size, smooth surfaces, uniform
emission, and superior thermal and photo stability. Flow cytometry measurements
indicated that these spheres have very different patterns of intensity
combination from four-signal receiving channels. The simple method reported
herein, which can effectively and efficiently tune the emission color of the
fluorescent microspheres, is a promising approach for preparation of microspheres
used as encoded signal carrier in flow cytometry and other high-throughput
techniques.
PMID- 26553582
TI - Job Crafting in the Time of Imaging 3.0.
PMID- 26553583
TI - Modifying Thermal Transport in Colloidal Nanocrystal Solids with Surface
Chemistry.
AB - We present a systematic study on the effect of surface chemistry on thermal
transport in colloidal nanocrystal (NC) solids. Using PbS NCs as a model system,
we vary ligand binding group (thiol, amine, and atomic halides), ligand length
(ethanedithiol, butanedithiol, hexanedithiol, and octanedithiol), and NC diameter
(3.3-8.2 nm). Our experiments reveal several findings: (i) The ligand choice can
vary the NC solid thermal conductivity by up to a factor of 2.5. (ii) The ligand
binding strength to the NC core does not significantly impact thermal
conductivity. (iii) Reducing the ligand length can decrease the interparticle
distance, which increases thermal conductivity. (iv) Increasing the NC diameter
increases thermal conductivity. (v) The effect of surface chemistry can exceed
the effect of NC diameter and becomes more pronounced as NC diameter decreases.
By combining these trends, we demonstrate that the thermal conductivity of NC
solids can be varied by an overall factor of 4, from ~0.1-0.4 W/m-K. We
complement these findings with effective medium approximation modeling and
identify thermal transport in the ligand matrix as the rate-limiter for thermal
transport. By combining these modeling results with our experimental
observations, we conclude that future efforts to increase thermal conductivity in
NC solids should focus on the ligand-ligand interface between neighboring NCs.
PMID- 26553584
TI - A simple synthesis of urchin-like Pt-Ni bimetallic nanostructures as enhanced
electrocatalysts for the oxygen reduction reaction.
AB - The synthesis of urchin-like Pt-Ni bimetallic nanostructures is achieved by a
controlled one-pot synthesis. Pt-Ni nanostructures have superior oxygen reduction
reaction activities in both with and without specific anion adsorption
electrolytes due to the geometric and alloying effects.
PMID- 26553585
TI - Patient characteristics affecting stroke identification by emergency medical
service providers in Brooklyn, New York.
AB - Early identification of stroke should begin in the prehospital phase because the
benefits of thrombolysis and clot extraction are time dependent. This study aims
to identify patient characteristics that affect prehospital identification of
stroke by Long Island college hospital (LICH) emergency medical services (EMS).
All suspected strokes brought to LICH by LICH ambulances from January 1, 2010 to
December 31, 2011 were included in the study. We compared prehospital care report
based diagnosis against the get with the guidelines (GWTG) database. Age-adjusted
logistic regression models were used to study that the effect of individual
patient characteristics have on EMS providers' diagnosis. Included in the study
were 10,384 patients with mean age 43.9 years. Of whom, 75 had a GWTG
cerebrovascular diagnosis: 53 were ischemic strokes, 7 transient ischemic
attacks, 3 subarachnoid hemorrhage, and 12 intercerebral bleeds. LICH EMS
correctly identified 44 of 75 GWTG strokes. Fifty-one patients were overcalled as
stroke by the EMS. Overall EMS sensitivity was 58.7 % and specificity was 99.5 %.
Dispatcher call type of altered mental status, stroke, unconsciousness, and
increasing prehospital blood pressure quartile were found to be significantly
predictive of a true stroke diagnosis. Patients with a past medical history and
EMS providers' impression of seizures were more likely to be overcalled as a
stroke in the field. More than a third of actual stroke patients were missed in
the field in our study. Our results show that the patients' past medical history,
dispatcher collected information and prehospital vital sign measurements are
associated with a true diagnosis of stroke.
PMID- 26553586
TI - Beating Diabetes Together: A Mixed-Methods Analysis of a Feasibility Study of
Intensive Lifestyle Intervention for Youth with Type 2 Diabetes.
AB - OBJECTIVES: The purpose of this study was to assess the feasibility and lived
experiences of an intensive group-based lifestyle intervention for youth with
type 2 diabetes (Beating Diabetes Together) (BDT). METHODS: The study included 12
Indigenous youth with type 2 diabetes (mean age, 14 years; n=9 girls); they
participated in a 16-week pilot study of an intensive, group-based lifestyle
intervention. We conducted a mixed-methods investigation of the cardiometabolic
responses and lived experiences in the intervention. Of the 12 youth with
cardiometabolic risk data, 5 youth and 2 mothers participated in semistructured
interviews. Interview participants were purposely selected based on the frequency
of attendance and availability. RESULTS: The intervention was well attended (>75%
retention), and youth perceived significant benefits from participation. Thematic
analysis of the interviews revealed 3 major themes. First, youth and parents
described living with type 2 diabetes as being emotionally challenging. They
described this experience as being isolating and connected to feelings of guilt
and defeat. Second, youth and parents discussed benefits of participating in BDT.
They shared the significance of positive relationships and experiences and how
those have helped to manage their illness. Third, youth described the aspects
that they most enjoyed at BDT. Peer support was an important determinant of
physical activity, but they considered dietary changes to be individual
behaviours. Glycemic control, blood pressure and anthropometric measures were not
different following the intervention. CONCLUSIONS: Our findings support the
importance of maintaining an inclusive environment and relationship building when
designing strategies to promote behaviour modification for Indigenous youth
living with type 2 diabetes.
PMID- 26553587
TI - Arjunolic acid protects against DNCB-induced atopic dermatitis-like symptoms in
mice by restoring a normal cytokine balance.
AB - PURPOSE: Atopic dermatitis (AD) is a chronically relapsing, pruritic, eczematous
skin disorder accompanying allergic inflammation. AD is triggered by oxidative
stress and immune imbalance. The effect of oral arjunolic acid (AA) on 2,4
dinitrochlorobenzene (DNCB)-induced atopic dermatitis in mice was investigated.
METHODS: Repeated epicutaneous application of DNCB to the ear and shaved dorsal
skin of mice was performed to induce AD-like symptoms and skin lesions: 250mg/kg
AA was given orally for three weeks to assess its anti-pruritic effects. Serum
levels of tumor necrosis factor (TNF)-alpha, interleukin (IL)-4, IL-6, IL-10,
immunoglobulin (Ig)E and caspase-3 were assessed by ELISA. RESULTS: We found that
AA alleviated DNCB-induced AD-like symptoms as quantified by skin lesions,
dermatitis score, ear thickness and scratching behavior. Levels of reactive
oxygen species in the AA group were significantly inhibited compared with those
in the DNCB group. In parallel, AA blocked a DNCB-induced reduction in serum
levels of IL-4 and IL-10 associated with an attenuation of DNCB-induced increases
in serum TNF-alpha, IL-6, IgE and caspase-3. CONCLUSIONS: The results indicate
that AA suppresses DNCB-induced AD in mice via redox balance and immune
modulation, and could be a safe clinical treatment for AD.
PMID- 26553588
TI - Evaluation of two different adjuvants with immunogenic uroplakin 3A-derived
peptide for their ability to evoke an immune response in mice.
AB - RATIONALE: Organ- or tissue-specific antigens produced by normal tissue or by
cancer cells could be used in cancer immunotherapy, to target the tumor. In our
previous study, we induced T-cell-mediated, bladder-specific autoimmunity by
targeting the bladder-specific protein Uroplakin 3A (UPK3A). UPK3A is a well
chosen target for developing an autoimmune response against bladder cancer since
the antigen is also expressed in bladder tumors. To use this peptide, which was
derived from the UPK3A protein in a bladder cancer vaccine study, it is necessary
to induce a strong immune response. In this study, we aimed to develop a robust
immune response in BALB/c mice using the well-characterized keyhole limpet
hemocyanin (KLH)-conjugated peptide antigen (UPK3A 65-84) conjugated with an
immunogenic carrier protein. In combination with the peptide, we used either
Freund's complete adjuvant (CFA) or CpG (cytosine-phosphate-guanine
oligonucleotides) as effective adjuvants in order to overcome tumor tolerance.
OBJECTIVES: The immune response evoked by UPK3A 65-84 peptide, using two
different adjuvants, was compared by detection of changes in the proliferative
response of immune cells, in the cytokine profile, and in the immune cell
populations. FINDINGS: We demonstrated that CpG, combined with KLH-UPK3A 65-84,
promoted a more robust immune response, via induction of higher IL-2, IFN-gamma,
TNF-alpha, IL-17 production and activation of more immune cells (CD4(+) T cells,
CD8(+) T cells, NK cells CD11b, CD45), than CFA and the KLH- UPK3A 65-84.
CONCLUSION: CpG as an adjuvant combined with KLH-UPK3A 65-84 could be used in
preclinical models of bladder cancer for the development of cancer immunotherapy
strategies.
PMID- 26553589
TI - Photoluminescence Spectroscopy of Mass-Selected Electrosprayed Ions Embedded in
Cryogenic Rare-Gas Matrixes.
AB - An apparatus is presented which combines nanoelectrospray ionization for
isolation of large molecular ions from solution, mass-to-charge ratio selection
in gas-phase, low-energy-ion-beam deposition into a (co-condensed) inert gas
matrix and UV laser-induced visible-region photoluminescence (PL) of the matrix
isolated ions. Performance is tested by depositing three different types of
lanthanoid diketonate cations including also a dissociation product species not
directly accessible by chemical synthesis. For these strongly photoluminescent
ions, accumulation of some femto- to picomoles in a neon matrix (over a time
scale of tens of minutes to several hours) is sufficient to obtain well-resolved
dispersed emission spectra. We have ruled out contributions to these spectra due
to charge neutralization or fragmentation during deposition by also acquiring
photoluminescence spectra of the same ionic species in the gas phase.
PMID- 26553590
TI - Templation of a square grid copper(II) 4,4'-bipyridine network by a 3D PtS
related Cu(I)-Cu(II) 4,4'-bipyridine crystal.
AB - Two cationic networks, [Cu(I)Cu(II)(4,4'bipy)4(H2O)2](3+) and
[Cu(II)(4,4'bipy)2(H2O)2](2+) have been formed from a solution in which Cu(I)
undergoes aerial oxidation. Whilst the topologies of the two networks are
different the arrangement of Cu centres is almost identical, a structural feature
which presumably allows for [Cu(I)Cu(II)(4,4'bipy)4(H2O)2](3+) to serve as a
crystalline template for [Cu(II)(bipy)2(H2O)2](2+).
PMID- 26553591
TI - Human mesenchymal stem cell-engineered hepatic cell sheets accelerate liver
regeneration in mice.
AB - Mesenchymal stem cells (MSCs) are an attractive cell source for cell therapy.
Based on our hypothesis that suppression of Wnt/beta-catenin signal enhances
hepatic differentiation of human MSCs, we developed human mesenchymal stem cell
engineered hepatic cell sheets by a small molecule compound. Screening of 10
small molecule compounds was performed by WST assay, TCF reporter assay, and
albumin mRNA expression. Consequently, hexachlorophene suppressed TCF reporter
activity in time- and concentration-dependent manner. Hexachlorophene rapidly
induced hepatic differentiation of human MSCs judging from expression of liver
specific genes and proteins, PAS staining, and urea production. The effect of
orthotopic transplantation of human mesenchymal stem cell-engineered hepatic cell
sheets against acute liver injury was examined in one-layered to three-layered
cell sheets system. Transplantation of human mesenchymal stem cell-engineered
hepatic cell sheets enhanced liver regeneration and suppressed liver injury. The
survival rates of the mice were significantly improved. High expression of
complement C3 and its downstream signals including C5a, NF-kappaB, and IL-6/STAT
3 pathway was observed in hepatic cell sheets-grafted tissues. Expression of
phosphorylated EGFR and thioredoxin is enhanced, resulting in reduction of
oxidative stress. These findings suggest that orthotopic transplantation of
hepatic cell sheets manufactured from MSCs accelerates liver regeneration through
complement C3, EGFR and thioredoxin.
PMID- 26553593
TI - Alzheimer disease: Alzheimer dementia with sparse amyloid-AD mimic or variant?
PMID- 26553592
TI - MIR517C inhibits autophagy and the epithelial-to-mesenchymal (-like) transition
phenotype in human glioblastoma through KPNA2-dependent disruption of TP53
nuclear translocation.
AB - The epithelial-to-mesenchymal (-like) transition (EMT), a crucial embryonic
development program, has been linked to the regulation of glioblastoma (GBM)
progression and invasion. Here, we investigated the role of MIR517C/miR-517c,
which belongs to the C19MC microRNA cluster identified in our preliminary
studies, in the pathogenesis of GBM. We found that MIR517C was associated with
improved prognosis in patients with GBM. Furthermore, following treatment with
the autophagy inducer temozolomide (TMZ) and low glucose (LG), MIR517C degraded
KPNA2 (karyopherin alpha 2 [RAG cohort 1, importin alpha 1]) and subsequently
disturbed the nuclear translocation of TP53 in the GBM cell line U87 in vitro.
Interestingly, this microRNA could inhibit autophagy and reduce cell migration
and infiltration in U87 cells harboring wild-type (WT) TP53, but not in U251
cells harboring mutant (MU) TP53. Moreover, the expression of epithelial markers
(i.e., CDH13/T-cadherin and CLDN1 [claudin 1]) increased, while the expression of
mesenchymal markers (i.e., CDH2/N-cadherin, SNAI1/Snail, and VIM [vimentin])
decreased, indicating that the EMT status was blocked by MIR517C in U87 cells.
Compared with MIR517C overexpression, MIR517C knockdown promoted infiltration of
U87 cells to the surrounding structures in nude mice in vivo. The above
phenotypic changes were also observed in TP53(+/+) and TP53(-/-) HCT116 colon
cancer cells. In summary, our study provided support for a link between autophagy
and EMT status in WT TP53 GBM cells and provided evidence for the signaling
pathway (MIR517C-KPNA2-cytoplasmic TP53) involved in attenuating autophagy and
eliminating the increased migration and invasion during the EMT.
PMID- 26553595
TI - A Patient Portal With Electronic Messaging: Controlled Before-and-After Study.
AB - BACKGROUND: Patients' access to their medical records, along with electronic
messaging, offers an efficient means of information transition between patients
and their caregivers. Easier access to information and interaction with health
care professionals may reduce use of other services while increasing patients'
activation in the management of their own health. Patient portals may therefore
have a favorable impact on the cost-effectiveness of care. OBJECTIVE: The aim was
to assess the benefits and risks of providing electronic messaging services to
patients with chronic conditions. Using cost-effectiveness analysis, the outcomes
and costs of providing access to an electronic patient portal were evaluated in a
real-life treatment process in primary care. METHODS: A total of 876 chronically
ill patients from public primary care were allocated to either an intervention
group receiving immediate access to a patient portal that included their medical
records, care plan, and secure messaging with a care team, or to a control group
receiving standard care. Incremental direct heath care costs, health status based
on the Short-Form Health Survey, version 2 (SF-36v2), and patient activation
based on the short form of the Patient Activation Measure (PAM13) were compared
to standard care in a 6-month follow-up. Incremental cost-effectiveness ratios
were calculated using a sample of 80 patients in the intervention group and 57
patients in the control group; thus, a total of 137 patients were included in the
final analysis. Propensity-score matching was used to assess the sensitivity of
the results to the possible attrition bias. RESULTS: Patient activation improved
more in the intervention group but the effect was not statistically significant.
The effect on cost of care was ambiguous; costs decreased by an average of ?91 in
the unadjusted model, but increased by ?48 in the adjusted model. Due to the
controversial results on cost, the unadjusted analysis showed an 89% probability
of cost-effectiveness with no willingness to pay for increased patient
activation, whereas in the adjusted sample, the probability of the portal being
more cost-effective than care as usual exceeded 50% probability at a willingness
to pay ?700 per clinically significant increase in patient activation score.
There was no marked short-term impact on health status based on the SF-36v2
measure. CONCLUSIONS: Offering the possibility to substitute health care visits
with less costly contacts using self-management tools did not seem to compromise
the health status or treatment of chronic care patients. Patient activation
increased, and this could be achieved with moderate costs in a short-term
experiment. In the long term, increased activation is proposed to lead to better
health outcomes and eventually cut down resource use. Future studies should
assess the long-term effects of patient portals on patients' health status and
cost of care.
PMID- 26553594
TI - A novel diagnostic approach to patients with myoclonus.
AB - Myoclonus is a hyperkinetic movement disorder characterized by brief, involuntary
muscular jerks. Recognition of myoclonus and determination of the underlying
aetiology remains challenging given that both acquired and genetically determined
disorders have varied manifestations. The diagnostic work-up in myoclonus is
often time-consuming and costly, and a definitive diagnosis is reached in only a
minority of patients. On the basis of a systematic literature review up to June
2015, we propose a novel diagnostic eight-step algorithm to help clinicians
accurately, efficiently and cost-effectively diagnose myoclonus. The large number
of genes implicated in myoclonus and the wide clinical variation of these genetic
disorders emphasize the need for novel diagnostic techniques. Therefore, and for
the first time, we incorporate next-generation sequencing (NGS) in a diagnostic
algorithm for myoclonus. The initial step of the algorithm is to confirm whether
the movement disorder phenotype is consistent with, myoclonus, and to define its
anatomical subtype. The next steps are aimed at identification of both treatable
acquired causes and those genetic causes of myoclonus that require a diagnostic
approach other than NGS. Finally, other genetic diseases that could cause
myoclonus can be investigated simultaneously by NGS techniques. To facilitate NGS
diagnostics, we provide a comprehensive list of genes associated with myoclonus.
PMID- 26553596
TI - Amyloid-beta adopts a conserved, partially folded structure upon binding to
zwitterionic lipid bilayers prior to amyloid formation.
AB - Aggregation at the neuronal cell membrane's lipid bilayer surface is implicated
in amyloid-beta (Abeta) toxicity associated with Alzheimer's disease; however,
structural and mechanistic insights into the process remain scarce. We have
identified a conserved binding mode of Abeta40 on lipid bilayer surfaces with a
conserved helix containing the self-recognition site (K16-E22).
PMID- 26553598
TI - From the Editor.
PMID- 26553599
TI - Outstanding translational science at American Society of Hypertension 2015.
PMID- 26553597
TI - Ventral tegmental area dopamine and GABA neurons: Physiological properties and
expression of mRNA for endocannabinoid biosynthetic elements.
AB - The ventral tegmental area (VTA) is involved in adaptive reward and motivation
processing and is composed of dopamine (DA) and GABA neurons. Defining the
elements regulating activity and synaptic plasticity of these cells is critical
to understanding mechanisms of reward and addiction. While endocannabinoids
(eCBs) that potentially contribute to addiction are known to be involved in
synaptic plasticity mechanisms in the VTA, where they are produced is poorly
understood. In this study, DA and GABAergic cells were identified using
electrophysiology, cellular markers, and a transgenic mouse model that
specifically labels GABA cells. Using single-cell RT-qPCR and
immunohistochemistry, we investigated mRNA and proteins involved in eCB signaling
such as diacylglycerol lipase alpha, N-acyl-phosphatidylethanolamine-specific
phospholipase D, and 12-lipoxygenase, as well as type I metabotropic glutamate
receptors (mGluRs). Our results demonstrate the first molecular evidence of
colocalization of eCB biosynthetic enzyme and type I mGluR mRNA in VTA neurons.
Further, these data reveal higher expression of mGluR1 in DA neurons, suggesting
potential differences in eCB synthesis between DA and GABA neurons. These data
collectively suggest that VTA GABAergic and DAergic cells have the potential to
produce various eCBs implicated in altering neuronal activity or plasticity in
adaptive motivational reward or addiction.
PMID- 26553600
TI - ASH 2015 Annual Primary Care Program: What you missed by not attending.
PMID- 26553602
TI - Autoimmunity: Ro60-associated RNA takes its toll on disease pathogenesis.
PMID- 26553605
TI - Rheumatoid arthritis: Novel NFkappaB inhibitor associated with RA severity.
PMID- 26553601
TI - Lipopolysaccharides may aggravate apoptosis through accumulation of
autophagosomes in alveolar macrophages of human silicosis.
AB - Silica dust mainly attacks alveolar macrophages (AMs) and increases the apoptosis
of AMs in silicosis patients. However, it is still unclear whether autophagy is
affected. Autophagy mainly has defensive functions in response to stress,
contributing to cell survival in adverse conditions, and conversely it has also
been implicated in cell death. Lipopolysaccharide (LPS) induces autophagy and
apoptosis in macrophages. The role of LPS in autophagy and apoptosis in AMs of
silicosis patients is unknown. In this study, we collected AMs from 53 male
workers exposed to silica and divided them into an observer (control) group, and
stage I, II and III patient groups. We found increased levels of LC3B, SQSTM1/p62
and BECN1,whereas the phosphorylation of MTOR,and levels of LAMP2, TLR4, MYD88,
TICAM1, as well as the number of lysosomes decreased with the development of
silicosis. LPS stimulation triggered autophagy and increased levels of SQSTM1 in
AMs. The autophagy inhibitor, 3-methyladenine (3MA), inhibited LPS-induced
apoptosis in the AMs of silicosis patients. Moreover, 3MA reversed the LPS
induced decrease in BCL2 and the increase in BAX and CASP3 levels in AMs. These
results suggest that autophagosomes accumulate in AMs during silicosis
progression. LPS can induce the formation of autophagosomes through a TLR4
dependent pathway, and LPS may exacerbate the apoptosis in AMs. Blockade of the
formation of autophagosomes may inhibit LPS-induced apoptosis via the intrinsic
apoptotic pathway in AMs. These findings describe novel mechanisms that may lead
to new preventive and therapeutic strategies for pulmonary fibrosis.
PMID- 26553608
TI - Mitochondrial phenotype of marsupial torpor: Fuel metabolic switch in the Chilean
mouse-opossum Thylamys elegans.
AB - Torpor is a phenotype characterized by a controlled decline of metabolic rate and
body temperature. During arousal from torpor, organs undergo rapid metabolic
reactivation and rewarming to near normal levels. As torpor progress, animals
show a preference for fatty acids over glucose as primary source of energy. Here,
we analyzed for first time the changes in the maximal activity of key enzymes
related to fatty acid (Carnitine palmitoyltransferase and beta-Hydroxyacyl CoA
dehydrogenase) and carbohydrate (Pyruvate kinase, Phosphofructokinase and Lactate
dehydrogenase) catabolism, as well as mitochondrial oxidative capacity (Citrate
synthase), in six organs of torpid, arousing and euthermic Chilean mouse-opossums
(Thylamys elegans). Our results showed that activity of enzymes related to fatty
acid and carbohydrate catabolism were different among torpor phases and the
pattern of variation differs among tissues. In terms of lipid utilization,
maximal enzymatic activities differ in tissues with high oxidative capacity such
as heart, kidney, and liver. In terms of carbohydrate use, lower enzymatic
activities were observed during torpor in brain and liver. Interestingly, citrate
synthase activity did not differ thought torpor-arousal cycle in any tissues
analyzed, suggesting no modulation of mitochondrial content in T. elegans.
Overall results provide an indication that modulation of enzymes associated with
carbohydrate and fatty-acid pathways is mainly oriented to limit energy expensive
processes and sustain energy metabolism during transition from torpor to
euthermy. Future studies are required to elucidate if physiological events
observed for T. elegans are unique from other marsupials, or represents a general
response in marsupials. J. Exp. Zool. 325A:41-51, 2016. (c) 2015 Wiley
Periodicals, Inc.
PMID- 26553609
TI - Surface ligand-directed pair-wise hydrogenation for heterogeneous phase
hyperpolarization.
AB - para-Hydrogen induced polarization is a technique of magnetic resonance
hyperpolarization utilizing hydrogen's para-spin state for generating signal
intensities at magnitudes far greater than state-of-the-art magnets. Platinum
nanoparticle-catalysts with cysteine-capping are presented. The measured
polarization is the highest reported to date in water, paving pathways for
generating medical imaging contrast agents.
PMID- 26553610
TI - Methane excess in Arctic surface water-triggered by sea ice formation and
melting.
AB - Arctic amplification of global warming has led to increased summer sea ice
retreat, which influences gas exchange between the Arctic Ocean and the
atmosphere where sea ice previously acted as a physical barrier. Indeed, recently
observed enhanced atmospheric methane concentrations in Arctic regions with
fractional sea-ice cover point to unexpected feedbacks in cycling of methane. We
report on methane excess in sea ice-influenced water masses in the interior
Arctic Ocean and provide evidence that sea ice is a potential source. We show
that methane release from sea ice into the ocean occurs via brine drainage during
freezing and melting i.e. in winter and spring. In summer under a fractional sea
ice cover, reduced turbulence restricts gas transfer, then seawater acts as
buffer in which methane remains entrained. However, in autumn and winter surface
convection initiates pronounced efflux of methane from the ice covered ocean to
the atmosphere. Our results demonstrate that sea ice-sourced methane cycles
seasonally between sea ice, sea-ice-influenced seawater and the atmosphere, while
the deeper ocean remains decoupled. Freshening due to summer sea ice retreat will
enhance this decoupling, which restricts the capacity of the deeper Arctic Ocean
to act as a sink for this greenhouse gas.
PMID- 26553612
TI - Serological IgE Analyses in the Diagnostic Algorithm for Allergic Disease.
AB - IgE antibody analyses using serological methods are an integral part of the
diagnostic evaluation of a patient for allergic disease. They serve to clarify
whether a state of sensitization exists in the patient as one of the many risk
factors for elicitation of allergic symptoms. This overview examines the role
that IgE antibody measurements play in the diagnostic algorithm when considering
the pretest likelihood of disease on the basis of the patient's clinical history.
Each of the 4 allergen groups (inhalants, venoms, drugs, and foods) are discussed
in the context of the various in vitro and in vivo modalities for evaluating
sensitization to allergens. Both the past and present analytical methods for IgE
antibody detection and quantification in serum are critiqued. Causes for
discordant IgE antibody levels with allergy symptoms are discussed with a special
focus on analytically valid but clinically irrelevant positive IgE responses.
Finally, applications are discussed where allergenic molecules provide enhanced
analytical and diagnostic sensitivity and specificity when compared with results
generated with allergen extract-based IgE assays.
PMID- 26553611
TI - Molecular profiling of 6,892 colorectal cancer samples suggests different
possible treatment options specific to metastatic sites.
AB - Metastatic colorectal cancer (mCRC) carries a poor prognosis with an overall 5
year survival of 13.1%. Therapies guided by tumor profiling have suggested
benefit in advanced cancer. We used a multiplatform molecular profiling (MP)
approach to identify key molecular changes that may provide therapeutic options
not typically considered in mCRC. We evaluated 6892 mCRC referred to Caris Life
Sciences by MP including sequencing (Sanger/NGS), immunohistochemistry (IHC) and
in-situ hybridization (ISH). mCRC metastases to liver, brain, ovary or lung (n =
1507) showed differential expression of markers including high protein expression
of TOPO1 (52%) and/or low RRM1 (57%), TS (71%) and MGMT (39%), suggesting
possible benefit from irinotecan, gemcitabine, 5FU/capecitabine and temozolomide,
respectively. Lung metastases harbored a higher Her2 protein expression than the
primary colon tumors (4% vs. 1.8%, p = 0.028). Brain and lung metastases had
higher KRAS mutations than other sites (65% vs 59% vs 47%, respectively, p =
0.07, <0.01), suggesting poor response to anti-EGFR therapies. BRAF-mutated CRC
(n = 455) showed coincident high protein expression of RRM1 (56%), TS (53%) and
low PDGFR (22%) as compared with BRAF wild-type tumors. KRAS-mutated mCRC had
higher protein expression of c-MET (47% vs. 36%) and lower MGMT (56% vs. 63%),
suggesting consideration of c-MET inhibitors and temozolomide. KRAS-mutated CRC
had high TUBB3 (42% vs. 33%) and low Her2 by IHC (0.5%) and HER2 by FISH (3%, p
<0.05). CRC primaries had a lower incidence of PIK3CA and BRAF mutations in
rectal cancer versus colon cancer (10% and 3.3%, respectively). MP of 6892 CRCs
identified significant differences between primary and metastatic sites and among
BRAF/KRAS sub-types. Our findings are hypothesis generating and need to be
examined in prospective studies. Specific therapies may be considered for
different actionable targets in mCRC as revealed by MP.
PMID- 26553613
TI - Molecular Allergen-Specific IgE Assays as a Complement to Allergen Extract-Based
Sensitization Assessment.
AB - Molecular allergen-based component-resolved diagnostic IgE antibody tests have
emerged in the form of singleplex assays and multiplex arrays. They use both
native and recombinant allergen molecules, sometimes in combination with each
other, to supplement allergen extract-based IgE antibody analyses. The total
number of available allergenic molecules has reached a diagnostically useful
level; however, more molecules are needed to cover all the clinically important
allergen specificities. Thus, for the foreseeable future, molecular allergen
specific IgE analyses will remain a supplement for initial allergen extract-based
IgE antibody analyses in the diagnostic workup of the allergic patient. As a spin
off, it will enable manufacturers to improve the quality of extracts for in vitro
testing. The 2 most exciting diagnostic developments linked to component-resolved
diagnostic tests are the possibility to increase diagnostic sensitivity by the
inclusion of allergens that are underrepresented in the current extracts and in
vitro assays and to increase the diagnostic specificity by taking the information
on allergen cross-reactivity into account. Particularly the latter application is
still under development. This requires additional studies on the clinical
relevance of serological cross-reactivity.
PMID- 26553614
TI - Clinical History-Driven Diagnosis of Allergic Diseases: Utilizing in vitro IgE
Testing.
AB - This case illustrates the importance of a thorough clinical history in providing
an interpretation of previously collected IgE antibody serology as part of a
workup for allergic disease. Although a yellow-jacket sting was the allergenic
insult that led the patient to the emergency department, nonindicated IgE
antibody serology tests were ordered that subsequently required interpretation.
This report systematically evaluates the relative significance of previously
measured IgE antibody serology responses to 4 major allergen groups (inhalants
[aeroallergens], foods, venoms, and drugs) within the context of the patient's
history. An algorithm that takes into account the pretest likelihood of disease
and diagnostic sensitivity and specificity of the available IgE antibody tests is
proposed for decisions about further IgE testing. This case study concludes that
selection of testing methods, extract and molecular allergen specificities, and
the final interpretation of the results from tests of sensitization such as
serological (in vitro) IgE antibody assays requires knowledge of test parameters
and clinical judgments based largely on a carefully collected clinical history
and physical examination.
PMID- 26553615
TI - Performance and Pain Tolerability of Current Diagnostic Allergy Skin Prick Test
Devices.
AB - BACKGROUND: Allergen skin prick testing remains an essential tool for diagnosing
atopic disease and guiding treatment. Sensitivity needs to be defined for newly
introduced devices. OBJECTIVE: Our aim was to compare the performance of 10
current allergy skin prick test devices. METHODS: Single- and multiheaded skin
test devices (n = 10) were applied by a single operator in a prospective
randomized manner. Histamine (1 and 6 mg/mL) and control diluent were introduced
at 6 randomized locations onto the upper and lower arms of healthy subjects.
Wheal and flare reactions were measured independently by 2 masked technicians.
RESULTS: Twenty-four subjects provided consent, and 768 skin tests were placed.
Mean wheal diameter among devices differed from 3.0 mm (ComforTen; Hollister
Stier, Spokane, Wash) to 6.8 mm (UniTest PC; Lincoln Diagnostics, Decatur, Ill)
using 1 mg/mL histamine (P < .001) and 4.8 mm (GREER Pick; Greer, Lenoir, NC) to
8.4 mm (Duotip-Test II; Lincoln Diagnostics, Decatur, Ill; and Sharp-Test;
Panatrex, Placentia, Calif) using 6 mg/mL histamine (P < .001). The false
negative rates ranged from 0% to 45% with 1 mg/mL histamine. The analytical
specificity was 100% for all devices tested. All devices were well tolerated,
with average pain score of less than 4 on a 10-point visual analog scale. Pain
scores were higher among women, but this did not reach statistical significance.
The Multi-Test PC and the UniTest PC had the lowest pain scores compared with the
other devices. CONCLUSIONS: All 10 skin prick test devices displayed good
analytical sensitivity and specificity; however, 3 mm cannot arbitrarily be used
as a positive threshold. The use of histamine at 1 mg/mL is unacceptable for
certain devices but may be preferable for the most sensitive devices. On average,
there was no pain score difference between multiheaded and single-head devices.
PMID- 26553616
TI - Are All Skin Testing Devices Created Equal?
PMID- 26553617
TI - No Risk of Asthma in Late-Preterm Infants: Confounding or Misclassification?
PMID- 26553618
TI - Aspirin Desensitization in Aspirin-Exacerbated Respiratory Disease: Consideration
of a New Oral Challenge Protocol.
PMID- 26553619
TI - Reliever Inhaler Overuse, Asthma Symptoms, and Depression.
PMID- 26553620
TI - Preparing for the Next Big Thing in Asthma Management.
PMID- 26553621
TI - Statin Allergy: An Evidence-Based Approach.
PMID- 26553622
TI - Efficient Utilization of IgG for Antibody Replacement Therapy.
PMID- 26553623
TI - Chronic Idiopathic Urticaria.
PMID- 26553624
TI - Cephalosporin Side Chain Cross-reactivity.
PMID- 26553625
TI - Bladder cancer: In the driving seat - AGL loss drives tumour growth.
PMID- 26553626
TI - Dysregulated stress-related signalling pathways associated with lethality of
prostate cancer.
PMID- 26553627
TI - Prostate cancer: Online support reduces distress in men with prostate cancer.
PMID- 26553628
TI - Prostate cancer: Effectiveness of abiraterone is unaffected by prior ADT.
PMID- 26553630
TI - Ranking nodes in growing networks: When PageRank fails.
AB - PageRank is arguably the most popular ranking algorithm which is being applied in
real systems ranging from information to biological and infrastructure networks.
Despite its outstanding popularity and broad use in different areas of science,
the relation between the algorithm's efficacy and properties of the network on
which it acts has not yet been fully understood. We study here PageRank's
performance on a network model supported by real data, and show that realistic
temporal effects make PageRank fail in individuating the most valuable nodes for
a broad range of model parameters. Results on real data are in qualitative
agreement with our model-based findings. This failure of PageRank reveals that
the static approach to information filtering is inappropriate for a broad class
of growing systems, and suggest that time-dependent algorithms that are based on
the temporal linking patterns of these systems are needed to better rank the
nodes.
PMID- 26553629
TI - The selective expression of carbonic anhydrase genes of Aspergillus nidulans in
response to changes in mineral nutrition and CO2 concentration.
AB - Carbonic anhydrase (CA) plays an important role in the formation and evolution of
life. However, to our knowledge, there has been no report on CA isoenzyme
function differentiation in fungi. Two different CA gene sequences in Aspergillus
nidulans with clear genetic background provide us a favorable basis for studying
function differentiation of CA isoenzymes. Heterologously expressed CA1 was used
to test its weathering ability on silicate minerals and real-time quantitative
PCR was used to detect expression of the CA1 and CA2 genes at different CO2
concentrations and in the presence of different potassium sources. The northern
blot method was applied to confirm the result of CA1 gene expression.
Heterologously expressed CA1 significantly promoted dissolution of biotite and
wollastonite, and CA1 gene expression increased significantly in response to
soluble K-deficiency. The northern blot test further showed that CA1 participated
in K-feldspar weathering. In addition, the results showed that CA2 was primary
involved in adapting to CO2 concentration change. Taken together, A. nidulans can
choose different CA to meet their survival needs, which imply that some
environmental microbes have evolved different CAs to adapt to changes in CO2
concentration and acquire mineral nutrition so that they can better adapt to
environmental changes. Inversely, their adaption may impact mineral weathering
and/or CO2 concentration, and even global change.
PMID- 26553632
TI - Rearing Tenebrio molitor L. (Coleptera: Tenebrionidae) in the "Lunar Palace 1"
during a 105-day multi-crew closed integrative BLSS experiment.
AB - Yellow mealworm (Tenebrio molitor L.) is one of the animal candidates for space
bioregenerative life support systems. In this study, T. molitor was involved in a
105-day multi-crew closed integrative BLSS experiment for a tentative rearing
study. The results showed that the overall bioconversion rate (ratio of T.
molitor gained to the total feed consumed) of T. molitor reared in the closed
system was 8.13%, while 78.43% of the feed was excreted as frass. T. molitor
reared in the closed system had a good nutritional composition. The eight
essential amino acids (EAAs) in T. molitor larvae accounted for 41.30% of its
total amino acids, and most EAA contents were higher than the suggested amino
acid pattern recommended by the FAO/WHO. T. molitor sample obtained in this work
was high in polyunsaturated fatty acids, and low in saturated fatty acids,
indicating that the composition of fatty acids was beneficial to human health. In
the open environment outside the experimental system, we simultaneously reared
three parallel groups of larval T. molitor using the same feeding regime and
temperature condition. Compared with T. molitor reared in the open environment,
larvae reared in the closed system grew slower. With the course of time t, the
growth rate of T. molitor in the open environment was 0.839e(0.017t) times that
of larvae in the closed system. This paper can provide data for future design and
improvement of BLSS containing a T. molitor rearing unit.
PMID- 26553631
TI - Combined exposure to protons and (56)Fe leads to overexpression of Il13 and
reactivation of repetitive elements in the mouse lung.
AB - Interest in deep space exploration underlines the needs to investigate the
effects of exposure to combined sources of space radiation. The lung is a target
organ for radiation, and exposure to protons and heavy ions as radiation sources
may lead to the development of degenerative disease and cancer. In this study, we
evaluated the pro-fibrotic and epigenetic effects of exposure to protons (150
MeV/nucleon, 0.1 Gy) and heavy iron ions ((56)Fe, 600 MeV/nucleon, 0.5 Gy) alone
or in combination (protons on Day 1 and (56)Fe on Day 2) in C57BL/6 male mice 4
weeks after irradiation. Exposure to (56)Fe, proton or in combination, did not
result in histopathological changes in the murine lung. At the same time,
combined exposure to protons and (56)Fe resulted in pronounced molecular
alterations in comparison with either source of radiation alone. Specifically, we
observed a substantial increase in the expression of cytokine Il13, loss of
expression of DNA methyltransferase Dnmt1, and reactivation of LINE-1, SINE B1
retrotransposons, and major and minor satellites. Given the deleterious potential
of the observed effects that may lead to development of chronic lung injury,
pulmonary fibrosis, and cancer, future studies devoted to the investigation of
the long-term effects of combined exposures to proton and heavy ions are clearly
needed.
PMID- 26553633
TI - Prospects for using a full-scale installation for wet combustion of organic
wastes in closed life support systems.
AB - The issue of recycling organic wastes in closed life support systems (CLSS)
includes both fundamental aspects of environmental safety of the recycled
products and their effective involvement in material cycles and technical aspects
related to the structure of the system and the crew's demands. This study
estimates the effectiveness of wet combustion of different amounts of organic
wastes in hydrogen peroxide under application of an alternating current electric
field. The study also addresses the possibility of controlling the process
automatically. The results show that processing of greater amounts of wastes
reduces specific power consumption and shortens the duration of the process,
without significantly affecting the level of oxidation of the products. An
automatic control system for a semi-commercial installation has been constructed
and tested experimentally. The solution of mineralized human wastes prepared in
the automatically controlled process in this installation was successfully used
to grow radish plants, with the main production parameters being similar to those
of the control.
PMID- 26553634
TI - Pancreas of C57 black mice after long-term space flight (Bion-M1 Space Mission).
AB - In this study, we analysed the pancreases of C57BL/6N mice in order to estimate
the effects of long-term space flights. Mice were flown aboard the Bion-M1
biosatellite, or remained on ground in the control experiment that replicated
environmental and housing conditions in the spacecraft. Vivarium control group
was used to account for housing effects. Each of the groups included mice
designated for recovery studies. Mice pancreases were dissected for histological
and immunohistochemical examinations. Using a morphometry and statistical
analysis, a strong correlation between the mean islet size and the mean body
weight was revealed in all groups. Therefore, we propose that hypokinesia and an
increase in nutrition play an important role in alterations of the endocrine
pancreas, both in space flight and terrestrial conditions.
PMID- 26553635
TI - 3DHZETRN: Neutron leakage in finite objects.
AB - The 3DHZETRN formalism was recently developed as an extension to HZETRN with an
emphasis on 3D corrections for neutrons and light ions. Comparisons to Monte
Carlo (MC) simulations were used to verify the 3DHZETRN methodology in slab and
spherical geometry, and it was shown that 3DHZETRN agrees with MC codes to the
degree that various MC codes agree among themselves. One limitation of such
comparisons is that all of the codes (3DHZETRN and three MC codes) utilize
different nuclear models/databases; additionally, using a common nuclear model is
impractical due to the complexity of the software. It is therefore difficult to
ascertain if observed discrepancies are caused by transport code approximations
or nuclear model differences. In particular, an important simplification in the
3DHZETRN formalism assumes that neutron production cross sections can be
represented as the sum of forward and isotropic components, where the forward
component is subsequently solved within the straight-ahead approximation. In the
present report, previous transport model results in specific geometries are
combined with additional results in related geometries to study neutron leakage
using the Webber 1956 solar particle event as a source boundary condition. A
ratio is defined to quantify the fractional neutron leakage at a point in a
finite object relative to a semi-infinite slab geometry. Using the leakage ratio
removes some of the dependence on the magnitude of the neutron production and
clarifies the effects of angular scattering and absorption with regard to
differences between the models. Discussion is given regarding observed
differences between the MC codes and conclusions drawn about the need for further
code development. Although the current version of 3DHZETRN is reasonably accurate
compared to MC simulations, this study shows that improved leakage estimates can
be obtained by replacing the isotropic/straight-ahead approximation with more
detailed descriptions.
PMID- 26553636
TI - Natural microbial populations in a water-based biowaste management system for
space life support.
AB - The reutilization of wastewater is a key issue with regard to long-term space
missions and planetary habitation. This study reports the design, test runs and
microbiological analyses of a fixed bed biofiltration system which applies pumice
grain (16-25 mm grain size, 90 m(2)/m(3) active surface) as matrix and calcium
carbonate as buffer. For activation, the pumice was inoculated with garden soil
known to contain a diverse community of microorganisms, thus enabling the
filtration system to potentially degrade all kinds of organic matter. Current
experiments over 194 days with diluted synthetic urine (7% and 20%) showed that
the 7% filter units produced nitrate slowly but steadily (max. 2191 mg NO3
N/day). In the 20% units nitrate production was slower and less stable (max. 1411
mg NO3-N/day). 84% and 76% of the contained nitrogen was converted into nitrate.
The low conversion rate is assumed to be due to the high flow rate, which keeps
the biofilm on the pumice thin. At the same time the thin biofilm seems to
prevent the activity of denitrifiers implicating the existence of a trade off
between rate and the amount of nitrogen loss. Microbiological analyses identified
a comparatively low number of species (26 in the filter material, 12 in the
filtrate) indicating that urine serves as a strongly selective medium and filter
units for the degradation of mixed feedstock have to be pre-conditioned on the
intended substrates from the beginning.
PMID- 26553638
TI - Water extraction on Mars for an expanding human colony.
AB - In-situ water extraction is necessary for an extended human presence on Mars.
This study looks at the water requirements of an expanding human colony on Mars
and the general systems needed to supply that water from the martian atmosphere
and regolith. The proposed combination of systems in order to supply the
necessary water includes a system similar to Honeybee Robotics' Mobile In-Situ
Water Extractor (MISWE) that uses convection, a system similar to MISWE but that
directs microwave energy down a borehole, a greenhouse or hothouse type system,
and a system similar to the Mars Atmospheric Resource Recovery System (MARRS). It
is demonstrated that a large water extraction system that can take advantage of
large deposits of water ice at site specific locations is necessary to keep up
with the demands of a growing colony.
PMID- 26553637
TI - Radiation activated CHK1/MEPE pathway may contribute to microgravity-induced bone
density loss.
AB - Bone density loss in astronauts on long-term space missions is a chief medical
concern. Microgravity in space is the major cause of bone density loss
(osteopenia), and it is believed that high linear energy transfer (LET) radiation
in space exacerbates microgravity-induced bone density loss; however, the
mechanism remains unclear. It is known that acidic serine- and aspartate-rich
motif (ASARM) as a small peptide released by matrix extracellular
phosphoglycoprotein (MEPE) promotes osteopenia. We previously discovered that
MEPE interacted with checkpoint kinase 1 (CHK1) to protect CHK1 from ionizing
radiation promoted degradation. In this study, we addressed whether the CHK1-MEPE
pathway activated by radiation contributes to the effects of microgravity on bone
density loss. We examined the CHK1, MEPE and secreted MEPE/ASARM levels in
irradiated (1 Gy of X-ray) and rotated cultured human osteoblast cells. The
results showed that radiation activated CHK1, decreased the levels of CHK1 and
MEPE in human osteoblast cells and increased the release of MEPE/ASARM. These
results suggest that the radiation-activated CHK1/MEPE pathway exacerbates the
effects of microgravity on bone density loss, which may provide a novel targeting
factor/pathway for a future countermeasure design that could contribute to
reducing osteopenia in astronauts.
PMID- 26553639
TI - (16)Oxygen irradiation enhances cued fear memory in B6D2F1 mice.
AB - The space radiation environment includes energetic charged particles that may
impact cognitive performance. We assessed the effects of (16)O ion irradiation on
cognitive performance of C57BL/6J * DBA/2J F1 (B6D2F1) mice at OHSU (Portland,
OR) one month following irradiation at Brookhaven National Laboratory (BNL,
Upton, NY). Hippocampus-dependent contextual fear memory and hippocampus
independent cued fear memory of B6D2F1 mice were tested. (16)O ion exposure
enhanced cued fear memory. This effect showed a bell-shaped dose response curve.
Cued fear memory was significantly stronger in mice irradiated with (16)O ions at
a dose of 0.4 or 0.8 Gy than in sham-irradiated mice or following irradiation at
1.6 Gy. In contrast to cued fear memory, contextual fear memory was not affected
following (16)O ion irradiation at the doses used in this study. These data
indicate that the amygdala might be particularly susceptible to effects of (16)O
ion exposure.
PMID- 26553640
TI - Influence of extreme ambient temperatures and anaerobic conditions on Peltigera
aphthosa (L.) Willd. viability.
AB - Lichen are symbiotic systems constituted by heterotrophic fungi (mycobionts) and
photosynthetic microorganism (photobionts). These organisms can survive under
extreme stress conditions. The aim of this work was to study the influence of low
(-70 degrees C) or high (+70 degrees C) temperatures, temperature fluctuations
from +70 degrees C to -70 degrees C, and anaerobic conditions on P. aphthosa
(L.) Willd. viability. None of the studied stress factors affected significantly
photosynthetic and respiratory activity of the thalli. No changes in morphology
or ultrastructure of the cells were revealed for both photobiont and mycobiont
components after extreme temperature treatment of P. aphthosa thalli. The data
show the extreme tolerance of P. aphthosa to some stress factors inherent to the
space flight conditions.
PMID- 26553641
TI - Space experiment "Cellular Responses to Radiation in Space (CellRad)": Hardware
and biological system tests.
AB - One factor contributing to the high uncertainty in radiation risk assessment for
long-term space missions is the insufficient knowledge about possible
interactions of radiation with other spaceflight environmental factors. Such
factors, e.g. microgravity, have to be considered as possibly additive or even
synergistic factors in cancerogenesis. Regarding the effects of microgravity on
signal transduction, it cannot be excluded that microgravity alters the cellular
response to cosmic radiation, which comprises a complex network of signaling
pathways. The purpose of the experiment "Cellular Responses to Radiation in
Space" (CellRad, formerly CERASP) is to study the effects of combined exposure to
microgravity, radiation and general space flight conditions on mammalian cells,
in particular Human Embryonic Kidney (HEK) cells that are stably transfected with
different plasmids allowing monitoring of proliferation and the Nuclear Factor
kappaB (NF-kappaB) pathway by means of fluorescent proteins. The cells will be
seeded on ground in multiwell plate units (MPUs), transported to the ISS, and
irradiated by an artificial radiation source after an adaptation period at 0 * g
and 1 * g. After different incubation periods, the cells will be fixed by pumping
a formaldehyde solution into the MPUs. Ground control samples will be treated in
the same way. For implementation of CellRad in the Biolab on the International
Space Station (ISS), tests of the hardware and the biological systems were
performed. The sequence of different steps in MPU fabrication (cutting, drilling,
cleaning, growth surface coating, and sterilization) was optimized in order to
reach full biocompatibility. Different coatings of the foil used as growth
surface revealed that coating with 0.1 mg/ml poly-D-lysine supports cell
attachment better than collagen type I. The tests of prototype hardware (Science
Model) proved its full functionality for automated medium change, irradiation and
fixation of cells. Exposure of HEK cells to the beta-rays emitted by the
radiation source dose-dependently decreased cell growth and increased NF-kappaB
activation. The signal of the fluorescent proteins after formaldehyde fixation
was stable for at least six months after fixation, allowing storage of the MPUs
after fixation for several months before the transport back to Earth and
evaluation of the fluorescence intensity. In conclusion, these tests show the
feasibility of CellRad on the ISS with the currently available transport
mechanisms.
PMID- 26553642
TI - Neutron yields and effective doses produced by Galactic Cosmic Ray interactions
in shielded environments in space.
AB - In order to define the ranges of relevant neutron energies for the purposes of
measurement and dosimetry in space, we have performed a series of Monte Carlo
transport model calculations that predict the neutron field created by Galactic
Cosmic Ray interactions inside a variety of simple shielding configurations.
These predictions indicate that a significant fraction of the neutron fluence and
neutron effective dose lies in the region above 20 MeV up to several hundred MeV.
These results are consistent over thicknesses of shielding that range from very
thin (2.7 g/cm(2)) to thick (54 g/cm(2)), and over both shielding materials
considered (aluminum and water). In addition to these results, we have also
investigated whether simplified Galactic Cosmic Ray source terms can yield
predictions that are equivalent to simulations run with a full GCR source term.
We found that a source using a GCR proton and helium spectrum together with a
scaled oxygen spectrum yielded nearly identical results to a full GCR spectrum,
and that the scaling factor used for the oxygen spectrum was independent of
shielding material and thickness. Good results were also obtained using a GCR
proton spectrum together with a scaled helium spectrum, with the helium scaling
factor also independent of shielding material and thickness. Using a proton
spectrum alone was unable to reproduce the full GCR results.
PMID- 26553643
TI - Mars water discoveries--implications for finding ancient and current life.
PMID- 26553644
TI - Determinants of long-term survival of patients with locally advanced prostate
cancer: the role of extensive pelvic lymph node dissection.
AB - BACKGROUND: The therapeutic effect of pelvic lymph node dissection (PLND) during
radical prostatectomy (RP) due to prostate cancer (PCa) is still under debate. We
aimed at assessing the impact of more extensive PLND on cancer-specific mortality
(CSM) in patients treated with surgery for locally advanced PCa. METHODS: We
examined data of 1586 pT3-T4 PCa patients treated with RP and extended PLND
between 1987 and 2012 at a tertiary referral care center. Univariable and
multivariable Cox regression analyses tested the relationship between the number
of nodes removed and CSM rate, after adjusting for potential confounders.
Survival estimates were based on the multivariable models. RESULTS: The average
number of nodes removed was 19 (median: 17; interquartile range: 11-23). Mean and
median follow-up were 80 and 72 months, respectively. At multivariable analyses,
Gleason score 8-10 (hazard ratio (HR): 2.5) and a higher number of positive nodes
(HR: 1.06) were independently associated with higher CSM rate (all P<0.05).
Conversely, higher number of removed LNs (HR: 0.94) and adjuvant radiotherapy
(HR: 0.54) were independent predictors of lower CSM rates (all P?0.03).
CONCLUSIONS: In pT3-T4 PCa patients, removal of a higher number of LNs during RP
was associated with higher cancer-specific survival rates. This supports the role
of more extensive PLNDs in this patient group. Further prospective studies are
needed to validate our findings.
PMID- 26553645
TI - Mice lacking the PSD-95-interacting E3 ligase, Dorfin/Rnf19a, display reduced
adult neurogenesis, enhanced long-term potentiation, and impaired contextual fear
conditioning.
AB - Protein ubiquitination has a significant influence on diverse aspects of neuronal
development and function. Dorfin, also known as Rnf19a, is a RING finger E3
ubiquitin ligase implicated in amyotrophic lateral sclerosis and Parkinson's
disease, but its in vivo functions have not been explored. We report here that
Dorfin is a novel binding partner of the excitatory postsynaptic scaffolding
protein PSD-95. Dorfin-mutant (Dorfin(-/-)) mice show reduced adult neurogenesis
and enhanced long-term potentiation in the hippocampal dentate gyrus, but normal
long-term potentiation in the CA1 region. Behaviorally, Dorfin(-/-) mice show
impaired contextual fear conditioning, but normal levels of cued fear
conditioning, fear extinction, spatial learning and memory, object recognition
memory, spatial working memory, and pattern separation. Using a proteomic
approach, we also identify a number of proteins whose ubiquitination levels are
decreased in the Dorfin(-/-) brain. These results suggest that Dorfin may
regulate adult neurogenesis, synaptic plasticity, and contextual fear memory.
PMID- 26553646
TI - Initial characterization of the large genome of the salamander Ambystoma
mexicanum using shotgun and laser capture chromosome sequencing.
AB - Vertebrates exhibit substantial diversity in genome size, and some of the largest
genomes exist in species that uniquely inform diverse areas of basic and
biomedical research. For example, the salamander Ambystoma mexicanum (the Mexican
axolotl) is a model organism for studies of regeneration, development and genome
evolution, yet its genome is ~10* larger than the human genome. As part of a
hierarchical approach toward improving genome resources for the species, we
generated 600 Gb of shotgun sequence data and developed methods for sequencing
individual laser-captured chromosomes. Based on these data, we estimate that the
A. mexicanum genome is ~32 Gb. Notably, as much as 19 Gb of the A. mexicanum
genome can potentially be considered single copy, which presumably reflects the
evolutionary diversification of mobile elements that accumulated during an
ancient episode of genome expansion. Chromosome-targeted sequencing permitted the
development of assemblies within the constraints of modern computational
platforms, allowed us to place 2062 genes on the two smallest A. mexicanum
chromosomes and resolves key events in the history of vertebrate genome
evolution. Our analyses show that the capture and sequencing of individual
chromosomes is likely to provide valuable information for the systematic
sequencing, assembly and scaffolding of large genomes.
PMID- 26553647
TI - A simple and predictive phenotypic High Content Imaging assay for Plasmodium
falciparum mature gametocytes to identify malaria transmission blocking
compounds.
AB - Plasmodium falciparum gametocytes, specifically the mature stages, are the only
malaria parasite stage in humans transmissible to the mosquito vector. Anti
malarial drugs capable of killing these forms are considered essential for the
eradication of malaria and tools allowing the screening of large compound
libraries with high predictive power are needed to identify new candidates. As
gametocytes are not a replicative stage it is difficult to apply the same drug
screening methods used for asexual stages. Here we propose an assay, based on
high content imaging, combining "classic" gametocyte viability readout based on
gametocyte counts with a functional viability readout, based on gametocyte
activation and the discrimination of the typical gamete spherical morphology.
This simple and rapid assay has been miniaturized to a 384-well format using
acridine orange staining of wild type P. falciparum 3D7A sexual forms, and was
validated by screening reference antimalarial drugs and the MMV Malaria Box. The
assay demonstrated excellent robustness and ability to identify quality hits with
high likelihood of confirmation of transmission reducing activity in subsequent
mosquito membrane feeding assays.
PMID- 26553648
TI - Anti-proliferative activity and cell cycle arrest induced by evodiamine on
paclitaxel-sensitive and -resistant human ovarian cancer cells.
AB - Chemo-resistance is the main factor for poor prognosis in human ovarian
epithelial cancer. Active constituents derived from Chinese medicine with anti
cancer potential might circumvent this obstacle. In our present study, evodiamine
(EVO) derived from Evodia rutaecarpa (Juss.) Benth suppressed the proliferation
of human epithelial ovarian cancer, A2780 and the related paclitaxel-resistant
cell lines and did not cause cytotoxicity, as confirmed by the significant
decline of clone formation and the representative alterations of CFDA-SE
fluorescence. Meanwhile, EVO induced cell cycle arrest in a dose- and time
dependent manner. This disturbance might be mediated by the cooperation of Cyclin
B1 and Cdc2, including the up-regulation of Cyclin B1, p27, and p21, and
activation failure of Cdc2 and pRb. MAPK signaling pathway regulation also
assisted in this process. Furthermore, chemo-sensitivity potential was enhanced
as indicated in A2780/PTX(R) cells by the down-regulation of MDR-1 expression,
accompanied by MDR-1 function suppression. Taken together, we confirmed initially
that EVO exerted an anti-proliferative effect on human epithelial ovarian cancer
cells, A2780/WT and A2780/PTX(R), induced G2/M phase cell cycle arrest, and
improved chemo-resistance. Overall, we found that EVO significantly suppressed
malignant proliferation in human epithelial ovarian cancer, thus proving to be a
potential anti-cancer agent in the future.
PMID- 26553649
TI - Regulation of Primary Metabolism in Response to Low Oxygen Availability as
Revealed by Carbon and Nitrogen Isotope Redistribution.
AB - Based on enzyme activity assays and metabolic responses to waterlogging of the
legume Lotus japonicus, it was previously suggested that, during hypoxia, the
tricarboxylic acid cycle switches to a noncyclic operation mode. Hypotheses were
postulated to explain the alternative metabolic pathways involved, but as yet, a
direct analysis of the relative redistribution of label through the corresponding
pathways was not made. Here, we describe the use of stable isotope-labeling
experiments for studying metabolism under hypoxia using wild-type roots of the
crop legume soybean (Glycine max). [(13)C]Pyruvate labeling was performed to
compare metabolism through the tricarboxylic acid cycle, fermentation, alanine
metabolism, and the gamma-aminobutyric acid shunt, while [(13)C]glutamate and
[(15)N]ammonium labeling were performed to address the metabolism via glutamate
to succinate. Following these labelings, the time course for the redistribution
of the (13)C/(15)N label throughout the metabolic network was evaluated with gas
chromatography-time of flight-mass spectrometry. Our combined labeling data
suggest the inhibition of the tricarboxylic acid cycle enzyme succinate
dehydrogenase, also known as complex II of the mitochondrial electron transport
chain, providing support for the bifurcation of the cycle and the down-regulation
of the rate of respiration measured during hypoxic stress. Moreover, up
regulation of the gamma-aminobutyric acid shunt and alanine metabolism explained
the accumulation of succinate and alanine during hypoxia.
PMID- 26553651
TI - Prices of 18 new drug formulations are capped in India.
PMID- 26553650
TI - Targets of the StBEL5 Transcription Factor Include the FT Ortholog StSP6A.
AB - The BEL1-like family of transcription factors is ubiquitous in plants and plays
important roles in regulating development. They function in tandem with KNOTTED1
types to bind to a double TTGAC motif in the upstream sequence of target genes.
StBEL5 of potato (Solanum tuberosum) functions as a mobile RNA signal that is
transcribed in leaves, moves down into stolons in response to short days, and
induces tuber formation. Despite their importance, however, very little is known
about the targets of BEL1-like transcription factors. To better understand this
network, we made use of a phloem-mobile BEL5 induction model, an ethanol
inducible system coupled with RNA sequencing analysis, and a screen for tandem
TTGAC cis-elements in the upstream sequence to catalog StBEL5 target genes.
Induction of StBEL5 activated several genes that are also induced by StSP6A (S.
tuberosum SELF-PRUNING 6A), a FLOWERING LOCUS T coregulator that functions as a
signal for tuberization. Both enhancement and suppression of StBEL5 expression
were also closely linked to StSP6A transcriptional activity. Site mutagenesis in
tandem TTGAC motifs located in the upstream sequence of StSP6A suppressed the
short day-induced activity of its promoter in both young tubers and leaves. The
expression profile of StBEL5 induced in stolons from plants grown under long-day
conditions revealed almost 10,000 differentially expressed genes, including
important tuber marker genes and genes involved in cell growth, transcription,
floral development, and hormone metabolism. In a random screen of 200
differentially expressed targets of StBEL5, 92% contained tandem TTGAC motifs in
the upstream sequence within 3 kb of the transcription start site.
PMID- 26553652
TI - Upregulation of TGF-beta1 and basic FGF in elastofibroma: an immunohistochemical
analysis.
AB - Elastofibroma is a rare tumour that occurs in the subscapular space, and it
typically presents in middle-aged and older individuals. The aetiology of
elastofibroma remains unknown. Recent, sporadic reports have shown,
immunohistologically, that fibroblasts in elastofibroma may produce abnormal
elastic and collagen fibres through the action of transforming growth factor-beta
(TGF-beta), a factor that promotes fibroblast proliferation. However, that
finding lacked quantitative measurements and controls. Therefore, in this study,
we performed quantitative, immunohistochemical analyses of TGF-beta1 and basic
fibroblast growth factor (bFGF) in three elastofibromas, and we compared them to
ten dermatofibromas and keloids, and five normal skin. In elastofibroma
specimens, 16-59 % fibroblasts were positive for TGF-beta1 in the cytoplasm,
compared to 96 % in dermatofibroma, 93 % in keloid and 2 % in normal dermis
specimens. Also, in elastofibroma specimens, 26-67 % of fibroblasts were positive
for bFGF in the cytoplasm, compared to 97 % in dermatofibroma, 97 % in keloid,
and 22 % in normal dermis specimens. Intriguingly, the tumour size and growth
rate were proportional to the percentage of cells positive for bFGF. Finally,
greater levels of bFGF expressions in fibroblasts were associated with larger
sized elastofibromas. These results suggested that elastofibroma development
depended on high expression of TGF-beta1 and bFGF.
PMID- 26553654
TI - Augmented Indian hedgehog signaling in cranial neural crest cells leads to
craniofacial abnormalities and dysplastic temporomandibular joint in mice.
AB - Extensive studies have pinpointed the crucial role of Indian hedgehog (Ihh)
signaling in the development of the appendicular skeleton and the essential
function of Ihh in the formation of the temporomandibular joint (TMJ). In this
study, we have investigated the effect of augmented Ihh signaling in TMJ
development. We took a transgenic gain-of-function approach by overexpressing Ihh
in the cranial neural crest (CNC) cells using a conditional Ihh transgenic allele
and the Wnt1-Cre allele. We found that Wnt1-Cre-mediated tissue-specific
overexpression of Ihh in the CNC lineage caused severe craniofacial
abnormalities, including cleft lip/palate, encephalocele, anophthalmos,
micrognathia, and defective TMJ development. In the mutant TMJ, the glenoid fossa
was completely absent, whereas the condyle and the articular disc appeared
relatively normal with slightly delayed chondrocyte differentiation. Our findings
thus demonstrate that augmented Ihh signaling is detrimental to craniofacial
development, and that finely tuned Ihh signaling is critical for TMJ formation.
Our results also provide additional evidence that the development of the condyle
and articular disc is independent of the glenoid fossa.
PMID- 26553655
TI - RANKL regulates differentiation of microfold cells in mouse nasopharynx
associated lymphoid tissue (NALT).
AB - Murine nasopharynx-associated lymphoid tissue (NALT), located at the base of the
nasal cavity, serves as a major site for the induction of mucosal immune
responses against airway antigens. The follicle-associated epithelium (FAE)
covering the luminal surface of NALT is characterized by the presence of
microfold cells (M cells), which take up and transport luminal antigens to
lymphocytes. Glycoprotein 2 (GP2) has recently been identified as a reliable
marker for M cells in Peyer's patches of the intestine. However, the expression
of GP2 and other functional molecules in the M cells of NALT has not yet been
examined. We have immunohistochemically detected GP2-expressing cells in the FAE
of NALT and the simultaneous expression of other intestinal M-cell markers,
namely Tnfaip2, CCL9, and Spi-B. These cells have been further identified as M
cells because of their higher uptake capacity of luminal microbeads. Electron
microscopic observations have shown that GP2-expressing cells on the FAE display
morphological features typical of M cells: they possess short microvilli and
microfolds on the luminal surface and are closely associated with intraepithelial
lymphocytes. We have also found that the receptor activator of nuclear factor
kappa-B ligand (RANKL) is expressed by stromal cells underneath the FAE, which
provides its receptor RANK. The administration of RANKL markedly increases the
number of GP2(+)Tnfaip2(+) cells on the NALT FAE and that of intestinal M cells.
These results suggest that GP2(+)Tnfaip2(+) cells in NALT are equivalent to
intestinal M cells, and that RANKL-RANK signaling induces their differentiation.
PMID- 26553653
TI - VEGFA splicing: divergent isoforms regulate spermatogonial stem cell maintenance.
AB - Despite being well-known for regulating angiogenesis in both normal and
tumorigenic environments, vascular endothelial growth factor A (VEGFA) has been
recently implicated in male fertility, namely in the maintenance of
spermatogonial stem cells (SSC). The VEGFA gene can be spliced into multiple
distinct isoforms that are either angiogenic or antiangiogenic in nature.
Although studies have demonstrated the alternative splicing of VEGFA, including
the divergent roles of the two isoform family types, many investigations do not
differentiate between them. Data concerning VEGFA in the mammalian testis are
limited, but the various angiogenic isoforms appear to promote seminiferous cord
formation and to form a gradient across which cells may migrate. Treatment with
either antiangiogenic isoforms of VEGFA or with inhibitors to angiogenic
signaling impair these processes. Serendipitously, expression of KDR, the primary
receptor for both types of VEGFA isoforms, was observed on male germ cells. These
findings led to further investigation of the way that VEGFA elicits avascular
functions within testes. Following treatment of donor perinatal male mice with
either antiangiogenic VEGFA165b or angiogenic VEGFA164 isoforms, seminiferous
tubules were less colonized following transplantation with cells from VEGFA165b
treated donors. Thus, VEGFA165b and possibly other antiangiogenic isoforms of
VEGFA reduce SSC number either by promoting premature differentiation, inducing
cell death, or by preventing SSC formation. Thus, angiogenic isoforms of VEGFA
are hypothesized to promote SSC self-renewal, and the divergent isoforms are
thought to balance one another to maintain SSC homeostasis in vivo.
PMID- 26553656
TI - Hoxc13 is a crucial regulator of murine hair cycle.
AB - Hair follicles undergo cyclical growth and regression during postnatal life. Hair
regression is an apoptosis-driven process strictly controlled by micro- and macro
environmental signals. However, how these signals are controlled remains largely
unknown. Hoxc13, a member of the Hox gene family, is reported to play an
important role in hair follicle differentiation. In the present study, we
observed that Hoxc13 was highly expressed in the outer root sheath, matrix,
medulla and inner root sheath of hair follicles in a hair cycle-dependent manner.
We therefore investigated the role of Hoxc13 in hair follicle cycling. Injection
of ShRNA (ShHoxc13) to suppress Hoxc13 in early anagen promoted premature catagen
entry, shown by significantly decreased hair length and hair bulb size, increased
percentage of catagen hair follicles, hair cycle score and TUNEL+ cells and
inhibited proliferation. In contrast, local injection of recombinant Hoxc13
polypeptide (rhHoxc13) during the late anagen phase prolonged the anagen phase.
Additionally, rhHoxc13 injections during the telogen phase significantly promoted
hair growth and induced the anagen progression. At the molecular level, the
expression of phosphorylated smad2 (p-smad2), a key factor of active TGF-beta1
signaling, was up-regulated in the ShHoxc13-treated hair follicles and down
regulated in rhHoxc13-treated hair follicles, suggesting that Hoxc13 might block
anagen-catagen transition by inhibiting the TGF-beta1 signaling. Taken together,
our data strongly suggest that Hoxc13 is a novel and crucial regulator of the
hair cycle. This might also provide an understanding of the mechanism of the
'hair cycle clock' and the development of alopecia treatments.
PMID- 26553657
TI - Involvement of phosphoinositide 3-kinase class IA (PI3K 110alpha) and NADPH
oxidase 1 (NOX1) in regulation of vascular differentiation induced by vascular
endothelial growth factor (VEGF) in mouse embryonic stem cells.
AB - The impact of reactive oxygen species and phosphoinositide 3-kinase (PI3K) in
differentiating embryonic stem (ES) cells is largely unknown. Here, we show that
the silencing of the PI3K catalytic subunit p110alpha and nicotinamide adenine
dinucleotide phosphate (NADPH) oxidase 1 (NOX1) by short hairpin RNA or
pharmacological inhibition of NOX and ras-related C3 botulinum toxin substrate 1
(Rac1) abolishes superoxide production by vascular endothelial growth factor
(VEGF) in mouse ES cells and in ES-cell-derived fetal liver kinase-1(+) (Flk
1(+)) vascular progenitor cells, whereas the mitochondrial complex I inhibitor
rotenone does not have an effect. Silencing p110alpha or inhibiting Rac1 arrests
vasculogenesis at initial stages in embryoid bodies, even under VEGF treatment,
as indicated by platelet endothelial cell adhesion molecule-1 (PECAM-1)-positive
areas and branching points. In the absence of p110alpha, tube-like structure
formation on matrigel and cell migration of Flk-1(+) cells in scratch migration
assays are totally impaired. Silencing NOX1 causes a reduction in PECAM-1
positive areas, branching points, cell migration and tube length upon VEGF
treatment, despite the expression of vascular differentiation markers.
Interestingly, silencing p110alpha but not NOX1 inhibits the activation of Rac1,
Ras homologue gene family member A (RhoA) and Akt leading to the abrogation of
VEGF-induced lamellipodia structure formation. Thus, our data demonstrate that
the PI3K p110alpha-Akt/Rac1 and NOX1 signalling pathways play a pivotal role in
VEGF-induced vascular differentiation and cell migration. Rac1, RhoA and Akt
phosphorylation occur downstream of PI3K and upstream of NOX1 underscoring a role
of PI3K p110alpha in the regulation of cell polarity and migration.
PMID- 26553658
TI - Which Frail Older People Are Dehydrated? The UK DRIE Study.
AB - BACKGROUND: Water-loss dehydration in older people is associated with increased
mortality and disability. We aimed to assess the prevalence of dehydration in
older people living in UK long-term care and associated cognitive, functional,
and health characteristics. METHODS: The Dehydration Recognition In our Elders
(DRIE) cohort study included people aged 65 or older living in long-term care
without heart or renal failure. In a cross-sectional baseline analysis, we
assessed serum osmolality, previously suggested dehydration risk factors, general
health, markers of continence, cognitive and functional health, nutrition status,
and medications. Univariate linear regression was used to assess relationships
between participant characteristics and serum osmolality, then associated
characteristics entered into stepwise backwards multivariate linear regression.
RESULTS: DRIE included 188 residents (mean age 86 years, 66% women) of whom 20%
were dehydrated (serum osmolality >300 mOsm/kg). Linear and logistic regression
suggested that renal, cognitive, and diabetic status were consistently associated
with serum osmolality and odds of dehydration, while potassium-sparing diuretics,
sex, number of recent health contacts, and bladder incontinence were sometimes
associated. Thirst was not associated with hydration status. CONCLUSIONS: DRIE
found high prevalence of dehydration in older people living in UK long-term care,
reinforcing the proposed association between cognitive and renal function and
hydration. Dehydration is associated with increased mortality and disability in
older people, but trials to assess effects of interventions to support healthy
fluid intakes in older people living in residential care are needed to enable us
to formally assess causal direction and any health benefits of increasing fluid
intakes.
PMID- 26553659
TI - The Mediating Roles of Primary and Secondary Control in the Relationship between
Body Satisfaction and Subjective Well-Being Among Middle-Aged and Older Women.
AB - Objectives: This study examined primary and secondary control as mediators in the
relationship between body satisfaction and subjective well-being (SWB) and
explored age differences in the mediation model. Method: Data from 362 women,
aged 40-91 years, assessed (i) the relationships between body satisfaction, age,
primary and secondary control strategies (body-specific social comparison,
acceptance, and positive reappraisal), and three indices of SWB (positive affect,
negative affect, and life satisfaction), (ii) the mediation effects of primary
and secondary control on the relationship between body satisfaction and SWB, and
(iii) whether mediational relationships were moderated by age. Results: Body
satisfaction was unrelated to age but positively related to positive affect and
life satisfaction and negatively related to negative affect. Body satisfaction
was also related to primary and secondary control strategies. There were
significant indirect (mediated) effects of body satisfaction on all outcome
variables through acceptance and positive reappraisal. These mediators were
significant at all age levels, but exerted their strongest influence among
younger women. Discussion: This study provides new information about the
mechanisms that influence the relationship between body satisfaction and SWB
among a broad age range of women who are experiencing physical changes that are
inconsistent with Western beauty standards.
PMID- 26553660
TI - Outcomes of Laryngeal Reinnervation for Unilateral Vocal Fold Paralysis in
Children: Associations With Age and Time Since Injury.
AB - OBJECTIVE: Outcomes of laryngeal reinnervation with ansa-cervicalis for
unilateral vocal fold paralysis (UVFP) may be influenced by age of the patient
and time interval between laryngeal nerve injury and reinnervation, suggesting
less favorable outcomes in older patients and greater than 2-year time interval
after injury. This study examines these issues in the pediatric population.
METHOD: Review of prospectively collected data set of 35 children and adolescents
(1-21 years) that underwent ansa-recurrent laryngeal nerve (RLN) laryngeal
reinnervation for UVFP. RESULTS: The time from RLN injury to reinnervation
averaged 5.0 years (range, 0.8-15.2 years). No correlation was found between age
at reinnervation (r = 0.15) and patient- or parent-reported global percentage
voice outcome or perceptual ratings. There was slight negative correlation in
duration between RLN injury and reinnervation and voice outcomes (r = -0.31).
Postoperative voice self/surrogate global percentage rating average was 80.5%
(range, 50%-100%), and perceptual rating GRBAS sum score average was 2.9 (range,
0-7). CONCLUSION: In pediatric ansa-RLN reinnervation for UVFP, no correlation
between age at surgery and postoperative outcome was found. Denervation duration
showed slight negative correlation, similar to what has been reported in adults,
though voice improvement was seen in all patients.
PMID- 26553661
TI - Early Cellular Response to Radiation in Human Vocal Fold Fibroblasts.
AB - OBJECTIVES: Radiation therapy is a common treatment strategy for laryngeal
carcinoma. However, radiation is not without adverse side effects, especially
toward healthy vocal fold tissue, which can lead to long-term impairments in
vocal function. The objective of this preliminary study was to investigate early
responses of healthy human vocal fold fibroblasts (VFF) to radiation. METHODS:
VFF were exposed to a single or fractionated dose radiation scheme. Nonradiated
VFF served as controls. Morphology of radiated and control VFF was subjectively
examined. Quantitative polymerase chain reaction was used to evaluate the effect
of radiation on extracellular matrix and inflammatory-related genes. VFF
viability was investigated using a LIVE/DEAD and clonogenic assay. RESULTS:
Single or fractioned dose radiated VFF were morphologically indistinguishable
from control VFF. No significant differences in gene expression were observed
following either radiation scheme and as compared to controls. Clonogenic assay
revealed reduced VFF viability following the fractionated but not single dose
scheme. No changes in viability were detected using the LIVE/DEAD assay.
CONCLUSIONS: We present one of the first investigations to evaluate early
responses of healthy VFF to radiation. Findings will contribute to a growing body
of literature seeking to elucidate the biological mechanisms underlying voice
changes following radiation therapy for laryngeal carcinoma.
PMID- 26553662
TI - Transumbilical thoracic sympathectomy: a single-centre experience of 148 cases
with up to 4 years of follow-up?.
AB - OBJECTIVES: Thoracic sympathectomy is considered as the most effective method to
treat palmar hyperhidrosis (PH). Here, we report our experience of transumbilical
thoracic sympathectomy with an ultrathin flexible endoscope for PH in a series of
148 patients with up to 4 years of follow-up. METHODS: A prospective database was
used in this retrospective analysis of 148 patients (61 males, 87 females, with a
mean age of 21.3 years) with PH who were operated on by the same surgeon in a
single institution from April 2010 to March 2014. All procedures were performed
under general anaesthesia involving intubation with a double-lumen endotracheal
tube. Demographic, postoperative and long-term data of patients were recorded and
statistical analyses were performed. All patients were followed up at least 6
months post procedure through clinic visits or telephone/e-mail interviews.
RESULTS: The procedure was performed successfully in 148 of the 150 patients. Two
patients had to be converted to conventional thoracoscopic procedure because of
severe pleural adhesions. The mean operating time was 43 min (ranging from 39 to
107 min) and the mean postoperative length of stay was 1 day (range 1-4 days).
All patients were interviewed 6-48 months after surgery and no diaphragmatic
hernia or syndrome was observed. The rate of resolution of PH and axillary
hyperhidrosis was 98 and 74.6%, respectively. Compensatory sweating was reported
in 22.3% of patients. Almost all of the patients were satisfied with the surgical
results and the cosmetic outcome of the incision. CONCLUSIONS: This preliminary
human experience suggested that transumbilical thoracic sympathectomy was a safe
and efficacious alternative to the conventional approach. This technique avoided
the chronic pain and chest wall paraesthesia that are associated with the chest
incision. In addition, this novel procedure afforded maximum cosmetic benefits.
PMID- 26553663
TI - A Vaccine Meets Its Promise: Success in Controlling Epidemic Meningitis in Sub
Saharan Africa.
PMID- 26553664
TI - Documenting the Results of a Successful Partnership: A New Meningococcal Vaccine
for Africa.
PMID- 26553665
TI - From Epidemic Meningitis Vaccines for Africa to the Meningitis Vaccine Project.
AB - BACKGROUND: Polysaccharide vaccines had been used to control African meningitis
epidemics for >30 years but with little or modest success, largely because of
logistical problems in the implementation of reactive vaccination campaigns that
are begun after epidemics are under way. After the major group A meningococcal
meningitis epidemics in 1996-1997 (250,000 cases and 25,000 deaths), African
ministers of health declared the prevention of meningitis a high priority and
asked the World Health Organization (WHO) for help in developing better
immunization strategies to eliminate meningitis epidemics in Africa. METHODS: WHO
accepted the challenge and created a project called Epidemic Meningitis Vaccines
for Africa (EVA) that served as an organizational framework for external
consultants, PATH, the US Centers for Disease Control and Prevention (CDC), and
the Bill & Melinda Gates Foundation (BMGF). Consultations were initiated with
major vaccine manufacturers. EVA commissioned a costing study/business plan for
the development of new group A or A/C conjugate vaccines and explored the
feasibility of developing these products as a public-private partnership.
Representatives from African countries were consulted. They confirmed that the
development of conjugate vaccines was a priority and provided information on
preferred product characteristics. In parallel, a strategy for successful
introduction was also anticipated and discussed. RESULTS: The expert
consultations recommended that a group A meningococcal conjugate vaccine be
developed and introduced into the African meningitis belt. The results of the
costing study indicated that the "cost of goods" to develop a group A -
containing conjugate vaccine in the United States would be in the range of
US$0.35-$1.35 per dose, depending on composition (A vs A/C), number of
doses/vials, and presentation. Following an invitation from BMGF, a proposal was
submitted in the spring of 2001. CONCLUSIONS: In June 2001, BMGF awarded a grant
of US$70 million to create the Meningitis Vaccine Project (MVP) as a partnership
between PATH and WHO, with the specific goal of developing an affordable MenA
conjugate vaccine to eliminate MenA meningitis epidemics in Africa. EVA is an
example of the use of WHO as an important convening instrument to facilitate new
approaches to address major public health problems.
PMID- 26553666
TI - The Evolution of the Meningitis Vaccine Project.
AB - BACKGROUND: In 2001, the Meningitis Vaccine Project (MVP) was tasked to develop,
test, license, and introduce a group A meningococcal (MenA) conjugate vaccine for
sub-Saharan Africa. African public health officials emphasized that a vaccine
price of less than US$0.50 per dose was necessary to ensure introduction and
sustained use of this new vaccine. METHODS: Initially, MVP envisioned partnering
with a multinational vaccine manufacturer, but the target price and opportunity
costs were problematic and formal negotiations ended in 2002. MVP chose to become
a "virtual vaccine company," and over the next decade managed a network of public
private and public-public partnerships for pharmaceutical development, clinical
development, and regulatory submission. MVP supported the transfer of key know
how for the production of group A polysaccharide and a new conjugation method to
the Serum Institute of India, Ltd, based in Pune, India. A robust staff structure
supported by technical consultants and overseen by advisory groups in Europe and
Africa ensured that the MenA conjugate vaccine would meet all international
standards. RESULTS: A robust project structure including a team of technical
consultants and 3 advisory groups in Europe and Africa ensured that the MenA
conjugate vaccine (PsA-TT, MenAfriVac) was licensed by the Drug Controller
General of India and prequalified by the World Health Organization in June 2010.
The vaccine was introduced in Burkina Faso, Mali, and Niger in December 2010.
CONCLUSIONS: The development, through a public-private partnership, of a safe,
effective, and affordable vaccine for sub-Saharan Africa, PsA-TT, offers a new
paradigm for the development of vaccines specifically targeting populations in
resource-poor countries.
PMID- 26553667
TI - Technical Development of a New Meningococcal Conjugate Vaccine.
AB - BACKGROUND: Group A Neisseria meningitidis has been a major cause of bacterial
meningitis in the sub-Saharan region of Africa in the meningitis belt. Neisseria
meningitidis is an encapsulated pathogen, and antibodies against the capsular
polysaccharide are protective. Polysaccharide-protein conjugate vaccines have
proven to be highly effective against several different encapsulated bacterial
pathogens. Purified polysaccharide vaccines have been used to control group A
meningococcal (MenA) epidemics with minimal success. METHODS: A monovalent MenA
polysaccharide-tetanus toxoid conjugate was therefore developed. This vaccine was
developed by scientists working with the Meningitis Vaccine Project, a
partnership between PATH and the World Health Organization. RESULTS: A high
efficiency conjugation method was developed in the Laboratory of Bacterial
Polysaccharides in the Center for Biologics Evaluation and Research and
transferred to the Serum Institute of India, Ltd, which then developed methods
for purification of the group A polysaccharide and used its tetanus toxoid as the
carrier protein to produce the now-licensed, highly effective MenAfriVac
conjugate vaccine. CONCLUSIONS: Although many years of application of
meningococcal polysaccharide vaccines have had minimal success in preventing
meningococcal epidemics in the meningitis belt of Africa, our collaborative
efforts to develop a MenA conjugate vaccine yielded a safe and highly effective
vaccine.
PMID- 26553668
TI - Meningococcal Meningitis Surveillance in the African Meningitis Belt, 2004-2013.
AB - BACKGROUND: An enhanced meningitis surveillance network was established across
the meningitis belt of sub-Saharan Africa in 2003 to rapidly collect,
disseminate, and use district weekly data on meningitis incidence. Following 10
years' experience with enhanced surveillance that included the introduction of a
group A meningococcal conjugate vaccine, PsA-TT (MenAfriVac), in 2010, we
analyzed the data on meningitis incidence and case fatality from countries
reporting to the network. METHODS: After de-duplication and reconciliation, data
were extracted from the surveillance bulletins and the central database held by
the World Health Organization Inter-country Support Team in Burkina Faso for
countries reporting consistently from 2004 through 2013 (Benin, Burkina Faso,
Chad, Democratic Republic of Congo, Ghana, Cote d'Ivoire, Mali, Niger, Nigeria,
Togo). RESULTS: The 10 study countries reported 341 562 suspected and confirmed
cases over the 10-year study period, with a marked peak in 2009 due to a large
epidemic of group A Neisseria meningitidis (NmA) meningitis. Case fatality was
lowest (5.9%) during this year. A mean of 71 and 67 districts annually crossed
the alert and epidemic thresholds, respectively. The incidence rate of NmA
meningitis fell >10-fold, from 0.27 per 100,000 in 2004-2010 to 0.02 per 100,000
in 2011-2013 (P < .0001). CONCLUSIONS: In addition to supporting timely outbreak
response, the enhanced meningitis surveillance system provides a global overview
of the epidemiology of meningitis in the region, despite limitations in data
quality and completeness. This study confirms a dramatic fall in NmA incidence
after the introduction of PsA-TT.
PMID- 26553669
TI - Community Perspectives Associated With the African PsA-TT (MenAfriVac) Vaccine
Trials.
AB - BACKGROUND: The Meningitis Vaccine Project (MVP) was established to address
epidemic meningitis as a public health problem in sub-Saharan Africa and, to that
end, worked to develop a group A meningococcal conjugate vaccine, PsA-TT.
METHODS: Experiences in 4 clinical trial sites are described. Culturally
sensitive collaborative strategies were adopted to manage acceptable
communication methods, peculiarities with the consent process, participant
medical issues, community care, and death. RESULTS: The clinical trials were
completed successfully through community acceptance and active community
collaboration. The trials also strengthened the capacities in the participating
communities, and actively worked to resolve community problems. CONCLUSIONS: The
understanding and integration of sociocultural realities of communities were
major assets in the conduct and acceptance of these trials. MVP succeeded in
these sites and provided a sound example for future clinical studies in Africa.
CLINICAL TRIALS REGISTRATION: ISRTCN78147026 (PsA-TT 002); ISRCTN87739946 (PsA-TT
003); ISRCTN82484612 (PsA-TT 004); PACTR ATMR2010030001913177 (PsA-TT 006); and
PACTR201110000328305 (PsA-TT 007).
PMID- 26553671
TI - Regulatory Pathways That Facilitated Timely Registration of a New Group A
Meningococcal Conjugate Vaccine for Africa's Meningitis Belt Countries.
AB - BACKGROUND: Through its normative and public health leadership roles, the World
Health Organization (WHO) plays a key role in the availability of vaccine
products in low-and middle-income countries. The recent introduction of a new
group A meningococcal conjugate vaccine, PsA-TT (MenAfriVac), in Africa
exemplifies this process. WHO requires that any new vaccine to be introduced in
countries for public health reasons and supplied through United Nations
centralized mechanisms be licensed by the national regulatory agency (NRA) in the
producing country, then prequalified and given a marketing authorization in the
user countries. METHODS: PsA-TT was manufactured by the Serum Institute of India,
Ltd (SIIL), which submitted a license application in April 2009 to the Drug
Controller General of India (DCGI), the Indian NRA responsible for licensing
vaccines. WHO encouraged the DCGI to establish a collaboration with Health
Canada's Centre for Vaccine Evaluation for the review. Through this collaborative
effort, registration was facilitated and in December 2009 an export license was
granted to SIIL, which subsequently submitted an application for WHO
prequalification. RESULTS: Given the importance of the vaccine, WHO "fast
tracked" the prequalification review, and after a detailed review and site visit,
WHO prequalification was granted to PsA-TT in June 2010. Country use of the new
vaccine could not occur until the vaccine was a registered product in each
country seeking its use. WHO facilitated country reviews by conducting regulatory
training exercises (in French and English) for country NRA staff, which used the
PsA-TT registration as a case study. CONCLUSIONS: PsA-TT was gradually registered
in African countries as vaccine introduction proceeded. The regulatory pathway
for this new group A meningococcal conjugate vaccine proved to be a useful
training opportunity both in India and Africa, because the availability of the
vaccine was a high African public health priority, as well as for WHO as a case
study to facilitate registration of vaccines based on reliance on other
regulatory bodies.
PMID- 26553670
TI - Ethical Challenges and Lessons Learned During the Clinical Development of a Group
A Meningococcal Conjugate Vaccine.
AB - BACKGROUND: The group A meningococcal vaccine (PsA-TT) clinical development plan
included clinical trials in India and in the West African region between 2005 and
2013. During this period, the Meningitis Vaccine Project (MVP) accumulated
substantial experience in the ethical conduct of research to the highest
standards. METHODS: Because of the public-private nature of the sponsorship of
these trials and the extensive international collaboration with partners from a
diverse setting of countries, the ethical review process was complex and required
strategic, timely, and attentive communication to ensure the smooth review and
approval for the clinical studies. Investigators and their site teams fostered
strong community relationships prior to, during, and after the studies to ensure
the involvement and the ownership of the research by the participating
populations. As the clinical work proceeded, investigators and sponsors responded
to specific questions of informed consent, pregnancy testing, healthcare, disease
prevention, and posttrial access. RESULTS: Key factors that led to success
included (1) constant dialogue between partners to explore and answer all ethical
questions; (2) alertness and preparedness for emerging ethical questions during
the research and in the context of evolving international ethics standards; and
(3) care to assure that approaches were acceptable in the diverse community
contexts. CONCLUSIONS: Many of the ethical issues encountered during the PsA-TT
clinical development are familiar to groups conducting field trials in different
cultural settings. The successful approaches used by the MVP clinical team offer
useful examples of how these problems were resolved. CLINICAL TRIALS
REGISTRATION: ISRCTN17662153 (PsA-TT-001); ISRTCN78147026 (PsA-TT-002);
ISRCTN87739946 (PsA-TT-003); ISRCTN46335400 (PsA-TT-003a); ISRCTN82484612 (PsA-TT
004); CTRI/2009/091/000368 (PsA-TT-005); PACTR ATMR2010030001913177 (PsA-TT-006);
PACTR201110000328305 (PsA-TT-007).
PMID- 26553672
TI - Introduction and Rollout of a New Group A Meningococcal Conjugate Vaccine (PsA
TT) in African Meningitis Belt Countries, 2010-2014.
AB - BACKGROUND: A group A meningococcal conjugate vaccine (PsA-TT) was developed
specifically for the African "meningitis belt" and was prequalified by the World
Health Organization (WHO) in June 2010. The vaccine was first used widely in
Burkina Faso, Mali, and Niger in December 2010 with great success. The remaining
23 meningitis belt countries wished to use this new vaccine. METHODS: With the
help of African countries, WHO developed a prioritization scheme and used or
adapted existing immunization guidelines to mount PsA-TT vaccination campaigns.
Vaccine requirements were harmonized with the Serum Institute of India, Ltd.
RESULTS: Burkina Faso was the first country to fully immunize its 1- to 29-year
old population in December 2010. Over the next 4 years, vaccine coverage was
extended to 217 million Africans living in 15 meningitis belt countries.
CONCLUSIONS: The new group A meningococcal conjugate vaccine was well received,
with country coverage rates ranging from 85% to 95%. The rollout proceeded
smoothly because countries at highest risk were immunized first while attention
was paid to geographic contiguity to maximize herd protection. Community
participation was exemplary.
PMID- 26553673
TI - Risk Assessment and Meningococcal A Conjugate Vaccine Introduction in Africa: The
District Prioritization Tool.
AB - BACKGROUND: A group A meningococcal (MenA) conjugate vaccine has progressively
been introduced in the African meningitis belt since 2010. A country-wide risk
assessment tool, the District Prioritization Tool (DPT), was developed to help
national stakeholders combine existing data and local expertise to define
priority geographical areas where mass vaccination campaigns should be conducted.
METHODS: DPT uses an Excel-supported offline tool that was made available to the
countries proposed for immunization campaigns. It used quantitative-qualitative
methods, relying predominantly on evidence-based risk scores complemented by
expert opinion. RESULTS: DPT was used by most of the countries that introduced
the group A conjugate vaccine. Surveillance data enabled the computation of
severity scores for meningitis at the district level (magnitude, intensity, and
frequency). District data were scaled regionally to facilitate phasing decisions.
DPT also assessed the country's potential to conduct efficient preventive
immunization campaigns while paying close attention to the scope of the
geographic extension of the campaigns. The tool generated meningitis district
profiles that estimated the number of vaccine doses needed. In each assessment,
local meningitis experts contributed their knowledge of local risk factors for
meningitis epidemics to refine the final prioritization decisions. CONCLUSIONS:
DPT proved to be a useful and flexible tool that codified information and
streamlined discussion among stakeholders while facilitating vaccine distribution
decisions after 2011. DPT methodology may be tailored to prioritize vaccine
interventions for other diseases.
PMID- 26553674
TI - Communication Challenges During the Development and Introduction of a New
Meningococcal Vaccine in Africa.
AB - BACKGROUND: A new group A meningococcal conjugate vaccine was developed to
eliminate deadly meningitis epidemics in sub-Saharan Africa. METHODS: From the
outset of the project, advocacy and communication strategies were developed and
adjusted as the project evolved in Europe, Africa, India, and the United States.
Communications efforts were evidence-based, and involved partnerships with the
media and various stakeholders including African ministries of health, the World
Health Organization, UNICEF, Gavi, the Centers for Disease Control and
Prevention, and Medecins Sans Frontieres. RESULTS: The implementation of an
integrated communication strategy ensured the active cooperation of stakeholders
while providing an organized and defined format for the dissemination of project
related developmental activities and the successful introduction of the vaccine.
CONCLUSIONS: Early in the project, a communications strategy that engaged
stakeholders and potential supporters was developed. The strategy was implemented
and adapted as the project matured. Linked communication proved to be key to the
successful wide-scale introduction of the PsA-TT (MenAfriVac) vaccine in Africa.
PMID- 26553675
TI - Lessons Learned From Enhancing Vaccine Pharmacovigilance Activities During PsA-TT
Introduction in African Countries, 2010-2013.
AB - BACKGROUND: The rollout of the group A meningococcal vaccine, PsA-TT, in Africa's
meningitis belt countries represented the first introduction of a vaccine
specifically designed for this part of the world. During the first year alone,
the number of people who received the vaccine through mass vaccination campaigns
was several hundredfold higher than that of subjects who participated in the
closely monitored clinical trials. Implementation of a system to identify rare
but potentially serious vaccine reactions was therefore a high priority in the
design and implementation of those campaigns. METHODS: National authorities and
their technical partners set up effective vaccine pharmacovigilance systems,
including conducting active surveillance projects. RESULTS: Implementation of
national expert advisory groups to review serious adverse events following
immunization in all countries and active monitoring of conditions of interest in
3 early-adopter countries did not identify particular concerns with the safety
profile of PsA-TT, which had already provided tremendous public health benefits.
CONCLUSIONS: Lessons learned from this experience will help to improve
preparations for future vaccine introductions in resource-poor settings and
capitalize on such efforts to advance vaccine safety systems in the future.
PMID- 26553677
TI - Costs of Neisseria meningitidis Group A Disease and Economic Impact of
Vaccination in Burkina Faso.
AB - BACKGROUND: Five years since the successful introduction of MenAfriVac in a mass
vaccination campaign targeting 1- to 29-year-olds in Burkina Faso, consideration
must be given to the optimal strategies for sustaining population protection.
This study aims to estimate the economic impact of a range of vaccination
strategies in Burkina Faso. METHODS: We performed a cost-of-illness study,
comparing different vaccination scenarios in terms of costs to both households
and health systems over a 26-year time horizon. These scenarios are (1) reactive
vaccination campaign (baseline comparator); (2) preventive vaccination campaign;
(3) routine immunization at 9 months; and (4) a combination of routine and an
initial catchup campaign of children under 5. Costs were estimated from a
literature review, which included unpublished programmatic documents and peer
reviewed publications. The future disease burden for each vaccination strategy
was predicted using a dynamic transmission model of group A Neisseria
meningitidis. RESULTS: From 2010 to 2014, the total costs associated with the
preventive campaign targeting 1- to 29-year-olds with MenAfriVac were similar to
the estimated costs of the reactive vaccination strategy (approximately 10
million US dollars [USD]). Between 2015 and 2035, routine immunization with or
without a catch-up campaign of 1- to 4-year-olds is cost saving compared with the
reactive strategy, both with and without discounting costs and cases. Most of the
savings are accrued from lower costs of case management and household costs
resulting from a lower burden of disease. After the initial investment in the
preventive strategy, 1 USD invested in the routine strategy saves an additional
1.3 USD compared to the reactive strategy. CONCLUSIONS: Prevention strategies
using MenAfriVac will be significantly cost saving in Burkina Faso, both for the
health system and for households, compared with the reactive strategy. This will
protect households from catastrophic expenditures and increase the development
capacity of the population.
PMID- 26553676
TI - Public Health Impact After the Introduction of PsA-TT: The First 4 Years.
AB - BACKGROUND: During the first introduction of a group A meningococcal vaccine (PsA
TT) in 2010-2011 and its rollout from 2011 to 2013, >150 million eligible people,
representing 12 hyperendemic meningitis countries, have been vaccinated. METHODS:
The new vaccine effectiveness evaluation framework was established by the World
Health Organization and partners. Meningitis case-based surveillance was
strengthened in PsA-TT first-introducer countries, and several evaluation studies
were conducted to estimate the vaccination coverage and to measure the impact of
vaccine introduction on meningococcal carriage and disease incidence. RESULTS:
PsA-TT implementation achieved high vaccination coverage, and results from
studies conducted showed significant decrease of disease incidence as well as
significant reduction of oropharyngeal carriage of group A meningococci in
vaccinated and unvaccinated individuals, demonstrating the vaccine's ability to
generate herd protection and prevent group A epidemics. CONCLUSIONS: Lessons
learned from this experience provide useful insights in how to guide and better
prepare for future new vaccine introductions in resource-limited settings.
PMID- 26553679
TI - The Safety of PsA-TT in Pregnancy: An Assessment Performed Within the Navrongo
Health and Demographic Surveillance Site in Ghana.
AB - BACKGROUND: Group A meningococcal disease occurs in large epidemics within the
meningitis belt of Africa that includes northern Ghana. Major epidemics in the
meningitis belt have infection rates ranging from 100 to 800 per 100 000
population. In 2012, a group A meningococcal conjugate vaccine, PsA-TT
(MenAfriVac), was introduced into the region in large campaigns. METHODS: We
report here on the safety of this vaccine when used in pregnant women in the
Navrongo region of Ghana. RESULTS: Rates of events in 1730 immunized pregnant
women and their infants were compared to the rates of the same events in pregnant
women who did not receive the vaccine during the campaign and also to women who
were pregnant in the prior year. CONCLUSIONS: We found no evidence of any safety
concerns when this vaccine was administered during pregnancy.
PMID- 26553678
TI - Challenges and Opportunities While Developing a Group A Meningococcal Conjugate
Vaccine Within a Product Development Partnership: A Manufacturer's Perspective
From the Serum Institute of India.
AB - BACKGROUND: In 2002, the Meningitis Vaccine Project (MVP) chose the Serum
Institute of India, Ltd (SIIL), as its manufacturing partner to establish a
product development partnership (PDP) with the Meningitis Vaccine Project (MVP).
MVP was a collaboration between PATH and the World Health Organization (WHO) to
develop meningococcal conjugate vaccines for sub-Saharan Africa. METHOD: From the
outset, SIIL recognized that a partnership with MVP carried some risk but also
offered important opportunities for accessing new conjugate vaccine technology
and know-how. Over 3 years, SIIL successfully accepted technology transfer for
the group A meningococcal polysaccharide from SynCo Bio Partners and a
conjugation method from the US Food and Drug Administration. RESULTS: SIIL
successfully scaled up production of a group A meningococcal conjugate vaccine
that used SIIL tetanus toxoid as the carrier protein. Phase 1 studies began in
India in 2005, followed by phase 2/3 studies in Africa and India. A regulatory
dossier was submitted to the Indian authorities in April 2009 and WHO in
September 2009. Export license was granted in December 2009, and WHO
prequalification was obtained in June 2010. Vaccine was introduced at public
scale in Burkina Faso that December. The group A meningococcal conjugate vaccine
was named MenAfriVac, and is the first internationally qualified vaccine
developed outside of big pharma. CONCLUSIONS: The project proved to be a sound
investment for SIIL and is a concrete example of the potential for PDPs to
provide needed products for resource-poor countries.
PMID- 26553680
TI - Active Surveillance for Adverse Events After a Mass Vaccination Campaign With a
Group A Meningococcal Conjugate Vaccine (PsA-TT) in Mali.
AB - BACKGROUND: The monovalent meningococcal A conjugate vaccine (PsA-TT, MenAfriVac)
was developed for use in the "meningitis belt" of sub-Saharan Africa. Mali was 1
of 3 countries selected for early introduction. As this is a new vaccine,
postlicensure surveillance is particularly important to identify and characterize
possible safety issues. METHODS: The national vaccination campaign was phased
from September 2010 to November 2011. We conducted postlicensure safety
surveillance for PsA-TT in 40 government clinics from southern Mali serving
approximately 400 000 people 1-29 years of age. We conducted analyses with
individual-level data and population-level data, and we calculated rates of
adverse events using the conditional exact test, a modified vaccine cohort risk
interval method, and a modified self-controlled case series method for each
outcome of interest, including 18 prespecified adverse events and 18 syndromic
categories. RESULTS: An increased rate of clinic visits for fever within 3 days
after vaccination was found using multiple methods for all age groups. Although
other signals were found with some methods, complete assessment of all other
prespecified outcomes and syndromic categories did not reveal that PsA-TT was
consistently associated with any other health problem. CONCLUSIONS: No new safety
concerns were identified in this study. These results are consistent with
prelicensure data and other studies indicating that PsA-TT is safe. The approach
presented could serve as a model for future active postlicensure vaccine safety
monitoring associated with large-scale immunization campaigns in low-income
countries.
PMID- 26553681
TI - Safety Monitoring in Group A Meningococcal Conjugate Vaccine Trials: Description,
Challenges, and Lessons.
AB - BACKGROUND: The determination of the safety profile of any vaccine is critical to
its widespread use in any population. In addition, the application of
international guidelines to fit local context could be a challenging but
important step toward obtaining quality safety data. METHODS: In clinical studies
of PsA-TT (MenAfriVac), safety was monitored immediately after vaccination, at 4
7 days for postimmunization local and systemic reactions, within 28 days for
adverse events, and throughout the duration of study for serious adverse events.
Initial and ongoing training of sites' staff were undertaken during the studies,
and a data and safety monitoring board reviewed all the data during and after the
studies. RESULTS: The safety of PsA-TT was evaluated according to international
standards despite obvious challenges in remote areas where these studies were
conducted. These challenges included the need for uniformity of methods, timely
reporting in the context of frequent communication problems, occurrence of
seasonal diseases such as malaria and rotavirus diarrhea, and healthcare systems
that required improvement. CONCLUSIONS: The trials of PsA-TT highlighted the
value of a robust vaccine development plan and design so that lessons learned in
initial studies were incorporated into the subsequent ones, initial training and
periodic retraining, strict monitoring of all procedures, and continuous channel
of communication with all stakeholders that enabled the application of
international requirements to local settings, with high quality of data.
PMID- 26553682
TI - A Phase 3, Double-Blind, Randomized, Active Controlled Study to Evaluate the
Safety of MenAfriVac in Healthy Malians.
AB - BACKGROUND: A safe, affordable, and highly immunogenic meningococcal A conjugate
vaccine (PsA-TT, MenAfriVac) was developed to control epidemic group A meningitis
in Africa. Documentation of the safety specifications of the PsA-TT vaccine was
warranted, with sufficient exposure to detect potential rare vaccine-related
adverse reactions. METHODS: This phase 3, double-blind, randomized, active
controlled clinical study was designed to evaluate the safety--primarily vaccine
related serious adverse events (SAEs)--up to 3 months after administration of a
single dose of the PsA-TT vaccine to subjects aged 1-29 years in Mali. Safety
outcomes were also compared to those following a single dose of a licensed
meningococcal ACWY polysaccharide vaccine (PsACWY). RESULTS: No vaccine-related
SAEs occurred during the 3 months of follow-up of 4004 subjects vaccinated with a
single dose of PsA-TT. When compared to PsACWY (1996 subjects), tenderness at the
injection site appeared to be more frequent in the PsA-TT group. However, rates
of local induration, systemic reactions, adverse events (AEs), and SAEs were
similar in both groups, and unsolicited AEs and SAEs were all unrelated to the
study vaccines. CONCLUSIONS: The study confirmed on a large scale the excellent
safety profile of a single dose of PsA-TT when administered to its entire target
population of 1-29 years of age. CLINICAL TRIALS REGISTRATION: PACTR
ATMR201003000191317.
PMID- 26553683
TI - Antibody Persistence 1-5 Years Following Vaccination With MenAfriVac in African
Children Vaccinated at 12-23 Months of Age.
AB - BACKGROUND: Following mass vaccination campaigns in the African meningitis belt
with group A meningococcal conjugate vaccine, MenAfriVac (PsA-TT), disease due to
group A meningococci has nearly disappeared. Antibody persistence in healthy
African toddlers was investigated. METHODS: African children vaccinated at 12-23
months of age with PsA-TT were followed for evaluation of antibody persistence up
to 5 years after primary vaccination. Antibody persistence was evaluated by
measuring group A serum bactericidal antibody (SBA) with rabbit complement and by
a group A-specific IgG enzyme-linked immunosorbent assay (ELISA). RESULTS: Group
A antibodies measured by SBA and ELISA were shown to decline in the year
following vaccination and plateaued at levels significantly above baseline for up
to 5 years following primary vaccination. CONCLUSIONS: A single dose of PsA-TT
induces long-term sustained levels of group A meningococcal antibodies for up to
5 years after vaccination. CLINICAL TRIALS REGISTRATION: ISRTCN78147026.
PMID- 26553685
TI - Influence of Age on Antibody Response and Persistence Following Immunization With
MenAfriVac.
AB - BACKGROUND: A meningococcal group A conjugate vaccine, PsA-TT (MenAfriVac),
developed through the Meningitis Vaccine Project and manufactured by the Serum
Institute of India, Ltd, was tested in multiple clinical trials conducted mainly
in Africa. The impact of age at which subjects were vaccinated on immune response
and persistence postimmunization with PsA-TT was the main focus of the current
analysis. METHODS: Subjects who were vaccinated with a single dose of 10 ug of
PsA-TT at 12-23 months or 22-33 months of age in study A conducted in Mali and
The Gambia; at 2-10 years, 11-17 years, or 18-29 years of age in study B
conducted in Mali, The Gambia, and Senegal; and at 14-18 weeks, 9-12 months, or
12-18 months of age in study C conducted in Ghana are included in the current
analysis. Immunogenicity was measured by group A serum bactericidal antibody
(SBA) titer with baby rabbit complement. RESULTS: Significant differences in SBA
titers were found among the age groups in studies B and C both 28 days and 1 year
postimmunization. A significant difference in SBA titers between age groups 12-23
months and 22-33 months was only observed 1 year postimmunization in study A.
Antibody titers remained at similar levels from 1 to 2 years postimmunization for
subjects vaccinated at 12-23 months in study A and at 9-12 months or 12-18 months
of age in study C. CONCLUSIONS: Subjects immunized at different ages had
different postimmunization immune responses as measured by SBA titers. Toddlers
tended to have higher immune responses than infants. This pattern persisted at
least 1 year postimmunization. CLINICAL TRIALS REGISTRATION: ISRCTN78147026
(study A), ISRCTN87739946 (study B), and ISRCTN82484612 (study C).
PMID- 26553684
TI - Antibody Persistence at 1 and 4 Years Following a Single Dose of MenAfriVac or
Quadrivalent Polysaccharide Vaccine in Healthy Subjects Aged 2-29 Years.
AB - BACKGROUND: Mass vaccination campaigns of the population aged 1-29 years with 1
dose of group A meningococcal (MenA) conjugate vaccine (PsA-TT, MenAfriVac) in
African meningitis belt countries has resulted in the near-disappearance of MenA.
The vaccine was tested in clinical trials in Africa and in India and found to be
safe and highly immunogenic compared with the group A component of the licensed
quadrivalent polysaccharide vaccine (PsACWY). Antibody persistence in Africa and
in India was investigated. METHODS: A total of 900 subjects aged 2-29 years were
followed up for 4 years in Senegal, Mali, and The Gambia (study A). A total of
340 subjects aged 2-10 years were followed up for 1 year in India (study B). In
study A, subjects were randomized in a 2:1 ratio, and in study B a 1:1 ratio to
receive either PsA-TT or PsACWY. Immunogenicity was evaluated by measuring MenA
serum bactericidal antibody (SBA) with rabbit complement and by a group A
specific immunoglobulin G (IgG) enzyme-linked immunosorbent assay. RESULTS: In
both studies, substantial SBA decay was observed at 6 months postvaccination in
both vaccine groups, although more marked in the PsACWY group. At 1 year and 4
years (only for study A) postvaccination, SBA titers were relatively sustained in
the PsA-TT group, whereas a slight increasing trend, more pronounced among the
youngest, was observed in the participants aged <18 years in the PsACWY groups.
The SBA titers were significantly higher in the PsA-TT group than in the PsACWY
group at any time point, and the majority of subjects in the PsA-TT group had SBA
titers >=128 and group A-specific IgG concentrations >=2 ug/mL at any point in
time in both the African and Indian study populations. CONCLUSIONS: Four years
after vaccination with a single dose of PsA-TT vaccine in Africa, most subjects
are considered protected from MenA disease. CLINICAL TRIALS REGISTRATION: PsA-TT
003 (ISRCTN87739946); PsA-TT-003a (ISRCTN46335400).
PMID- 26553686
TI - Meningococcal Seroepidemiology 1 Year After the PsA-TT Mass Immunization Campaign
in Burkina Faso.
AB - BACKGROUND: A group A meningococcal (MenA) conjugate vaccine, PsA-TT
(MenAfriVac), was introduced in Burkina Faso via mass campaigns between September
and December 2010, targeting the 1- to 29-year-old population. This study
describes specific antibody titers in the general population 11 months later and
compares them to preintroduction data obtained during 2008 using the same
protocol. METHODS: During October-November 2011, we recruited a representative
sample of the population of urban Bobo-Dioulasso aged 6 months to 29 years, who
underwent standardized interviews and blood draws. We assessed anti-MenA
immunoglobulin G (IgG) concentrations (n = 200) and, using rabbit complement,
serum bactericidal antibody (SBA) titers against 2 group A strains: reference
strain F8238 (SBAref) (n = 562) and strain 3125 (SBA3125) (n = 200). RESULTS:
Among the 562 participants, 481 (86%) were aged >=23 months and had been eligible
for the PsA-TT campaign. Among them, vaccine coverage was 86.3% (95% confidence
interval [CI], 82.7%-89.9%). Prevalence of putatively protective antibodies among
vaccine-eligible age groups was 97.3% (95% CI, 95.9%-98.7%) for SBAref titers
>=128, 83.6% (95% CI, 77.6%-89.7%) for SBA3125 >=128, and 84.2% (95% CI, 78.7%
89.7%) for anti-MenA IgG >=2 ug/mL. Compared to the population aged 23 months to
29 years during 2008, geometric mean titers of SBAref were 7.59-fold higher
during 2011, 51.88-fold for SBA3125, and 10.56-fold for IgG. CONCLUSIONS: This
study shows high seroprevalence against group A meningococci in Burkina Faso
following MenAfriVac introduction. Follow-up surveys will provide evidence on the
persistence of population-level immunity and the optimal vaccination strategy for
long-term control of MenA meningitis in the African meningitis belt.
PMID- 26553687
TI - Population-Level Persistence of Immunity 2 Years After the PsA-TT Mass
Vaccination Campaign in Mali.
AB - BACKGROUND: In 2010, Africa's first preventive meningococcal mass vaccination
campaign was launched using a newly developed Neisseria meningitidis group A
(NmA) polysaccharide-tetanus toxoid conjugate vaccine, PsA-TT (MenAfriVac),
designed specifically for the meningitis belt. Given PsA-TT's recent
introduction, the duration of protection against meningococcal group A is
unknown. METHODS: We conducted a household-based, age-stratified seroprevalence
survey in Bamako, Mali, in 2012, 2 years after the vaccination campaign targeted
all 1- to 29-year-olds. Randomly selected participants who had been eligible for
PsA-TT provided a blood sample and responded to a questionnaire. Sera were
analyzed to assess NmA-specific serum bactericidal antibody titers using rabbit
complement (rSBA) and NmA-specific immunoglobulin G (IgG) by enzyme-linked
immunosorbent assay. The proportion of participants putatively protected and the
age group- and sex-specific rSBA geometric mean titers (GMTs) and IgG geometric
mean concentrations (GMCs) were determined. RESULTS: Two years postvaccination,
nearly all of the 800 participants (99.0%; 95% confidence interval [CI], 98.3%
99.7%) maintained NmA-specific rSBA titers >=8, the accepted threshold for
protection; 98.6% (95% CI, 97.8%-99.4%) had titers >=128, and 89.5% (95% CI,
87.4%-91.6%) had titers >=1024. The rSBA GMTs were significantly higher in
females than in males aged <18 years at vaccination (P < .0001). NmA-specific IgG
levels >=2 ug/mL were found in 88.5% (95% CI, 86.3%-90.7%) of participants.
CONCLUSIONS: Two years after PsA-TT introduction, a very high proportion of the
population targeted for vaccination maintains high antibody titers against NmA.
Assessing the duration of protection provided by PsA-TT is a priority for
implementing evidence-based vaccination strategies. Representative, population
based seroprevalence studies complement clinical trials and provide this key
evidence.
PMID- 26553688
TI - Human Complement Bactericidal Responses to a Group A Meningococcal Conjugate
Vaccine in Africans and Comparison to Responses Measured by 2 Other Group A
Immunoassays.
AB - BACKGROUND: PsA-TT (MenAfriVac) is a conjugated polysaccharide vaccine developed
to eliminate group A meningococcal disease in Africa. Vaccination of African
study participants with 1 dose of PsA-TT led to the production of anti-A
polysaccharide antibodies and increased serum bactericidal activity measured
using rabbit complement (rSBA). Bactericidal responses measured with human
complement (hSBA) are presented here. METHODS: Sera collected before and at 28
days and 1 year after vaccination with either PsA-TT or quadrivalent
polysaccharide vaccine (PsACWY) from a random, age-distributed 360-subject subset
of the Meningitis Vaccine Project study of PsA-TT in Africans aged 2-29 years
were tested for hSBA. Geometric mean titer, fold-rise, and threshold analyses
were compared between vaccine groups and age groups. hSBA, rSBA, and
immunoglobulin G (IgG) enzyme-linked immunosorbent assay (ELISA) results were
compared and assay correlation and agreement determined. RESULTS: hSBA responses
to PsA-TT were substantially higher than those to PsACWY at 28 days and 1 year
following immunization, similar to previously reported rSBA and IgG results. The
hSBA and IgG ELISA results identified differences between age groups that were
not evident by rSBA. The rSBA data indicated sustained high titers 1 year after
immunization, whereas hSBA GMTs at 1 year approached 4 in young children.
CONCLUSIONS: The high level of protection following PsA-TT immunization campaigns
is consistent with the strong hSBA immune responses observed here. Future
implementation decisions will likely depend on immunologic data and their long
term correlation with disease and carriage prevention. Expanded immunologic and
epidemiologic surveillance may improve the interpretation of differences between
these immunoassays.
PMID- 26553689
TI - Neisseria meningitidis Group A IgG1 and IgG2 Subclass Immune Response in African
Children Aged 12-23 Months Following Meningococcal Vaccination.
AB - BACKGROUND: A group A meningococcal conjugate vaccine, PsA-TT, was licensed in
2010 and was previously studied in a phase 2 clinical trial to evaluate its
safety and immunogenicity in African children 12-23 months of age. METHODS:
Subjects received either PsA-TT; meningococcal group A, C, W, Y polysaccharide
vaccine (PsACWY); or Haemophilus influenzae type b conjugate vaccine (Hib-TT).
Forty weeks following primary vaccination, the 3 groups were further randomized
to receive either PsA-TT, one-fifth dose of PsACWY, or Hib-TT. Group A-specific
immunoglobulin G (IgG) subclass response was characterized using an enzyme-linked
immunosorbent assay. RESULTS: The predominant IgG subclass response, regardless
of vaccine, was IgG1. One month following primary vaccination, the geometric mean
concentrations (GMCs) of IgG1 and IgG2 in the PsA-TT group were 21.73 ug/mL and
6.27 ug/mL, whereas in the PsACWY group the mean GMCs were 2.01 ug/mL and 0.97
ug/mL, respectively (P < .0001). Group A-specific IgG1 and IgG2 GMCs remained
greater in the PsA-TT group than in the PsACWY group 40 weeks following primary
vaccination (P < .0001). One week following revaccination, those given 2 doses of
PsA-TT had the greatest IgG1 and IgG2 GMCs of 125.23 ug/mL and 36.12 ug/mL,
respectively (P = .0008), and demonstrated a significant increase in IgG1:IgG2
mean ratio, indicative of the T-cell-dependent response associated with conjugate
vaccines. CONCLUSIONS: Vaccination of African children aged 12-24 months with
either PsA-TT or PsACWY elicited a predominantly IgG1 response. The IgG1:IgG2
mean ratio decreased following successive vaccination with PsACWY, indicating a
shift toward IgG2, suggestive of the T-cell-independent immune response commonly
associated with polysaccharide antigens. CLINICAL TRIALS REGISTRATION:
SRCTN78147026.
PMID- 26553690
TI - MenAfriVac as an Antitetanus Vaccine.
AB - BACKGROUND: The group A meningococcal conjugate vaccine, PsA-TT, uses tetanus
toxoid (TT) as a carrier protein (PsA-TT). TT as a carrier protein in other
conjugate vaccines is known to be immunogenic and generates a robust anti-TT
response. METHODS: Clinical studies in Africa assessed whether PsA-TT generated
tetanus serologic responses when tested in African populations (toddlers to
adults). Second, the high acceptance of PsA-TT mass immunization campaigns in the
1- to 29-year age group meant that a sizeable fraction of women of reproductive
age received PsA-TT. Incidence data for neonatal tetanus were reviewed for
countries with and without PsA-TT campaigns to check whether this had any impact
on the incidence. RESULTS: PsA-TT generated robust tetanus serologic responses in
1- to 29-year-olds, similar to those expected after a booster dose of TT.
Neonatal cases of tetanus fell by 25% in countries that completed PsA-TT
campaigns in 1- to 29-year-olds. CONCLUSIONS: Although these data are not yet
definitive, they are consistent with the hypothesis that improved community
immunity to tetanus as a result of the PsA-TT campaigns may be having an impact
on the incidence of neonatal tetanus in sub-Saharan Africa. CLINICAL TRIALS
REGISTRATION: ISRCTN17662153 (PsA-TT 001); ISRTCN78147026 (PsA-TT 002);
ISRCTN87739946 (PsA-TT 003); ISRCTN46335400 (PsA-TT 003a); ISRCTN82484612 (PsA-TT
004); CTRI/2009/091/000368 (PsA-TT 005); PACTR ATMR2010030001913177 (PsA-TT 006);
and PACTR201110000328305 (PsA-TT 007).
PMID- 26553691
TI - Higher Tetanus Toxoid Immunity 2 Years After PsA-TT Introduction in Mali.
AB - BACKGROUND: In 2010, mass vaccination with a then-new meningococcal A
polysaccharide-tetanus toxoid protein conjugate vaccine (PsA-TT, or MenAfriVac)
was undertaken in 1- to 29-year-olds in Bamako, Mali. Whether vaccination with
PsA-TT effectively boosts tetanus immunity in a population with heterogeneous
baseline tetanus immunity is not known. We assessed the impact of PsA-TT on
tetanus toxoid (TT) immunity by quantifying age- and sex-specific immunity prior
to and 2 years after introduction. METHODS: Using a household-based, age
stratified design, we randomly selected participants for a prevaccination
serological survey in 2010 and a postvaccination survey in 2012. TT
immunoglobulin G (IgG) antibodies were quantified and geometric mean
concentrations (GMCs) pre- and postvaccination among all age groups targeted for
vaccination were compared. The probability of TT IgG levels >=0.1 IU/mL
(indicating short-term protection) and >=1.0 IU/mL (indicating long-term
protection) by age and sex was determined using logistic regression models.
RESULTS: Analysis of 793 prevaccination and 800 postvaccination sera indicated
that while GMCs were low pre-PsA-TT, significantly higher GMCs in all age-sex
strata were observed 2 years after PsA-TT introduction. The percentage with short
term immunity increased from 57.1% to 88.4% (31.3-point increase; 95% confidence
interval [CI], 26.6-36.0;, P < .0001) and with long-term immunity increased from
20.0% to 58.5% (38.5-point increase; 95% CI, 33.7-43.3; P < .0001) pre- and
postvaccination. CONCLUSIONS: Significantly higher TT immunity was observed among
vaccine-targeted age groups up to 2 years after Mali's PsA-TT mass vaccination
campaign. Our results, combined with evidence from clinical trials, strongly
suggest that conjugate vaccines containing TT such as PsA-TT should be considered
bivalent vaccines because of their ability to boost tetanus immunity.
PMID- 26553692
TI - Immunogenicity of Yellow Fever Vaccine Coadministered With MenAfriVac in Healthy
Infants in Ghana and Mali.
AB - BACKGROUND: Yellow fever (YF) is still a major public health problem in endemic
regions of Africa and South America. In Africa, one of the main control
strategies is routine vaccination within the Expanded Programme on Immunization
(EPI). A new meningococcal A conjugate vaccine (PsA-TT) is about to be introduced
in the EPI of countries in the African meningitis belt, and this study reports on
the immunogenicity of the YF-17D vaccines in infants when administered
concomitantly with measles vaccine and PsA-TT. METHODS: Two clinical studies were
conducted in Ghana and in Mali among infants who received PsA-TT concomitantly
with measles and YF vaccines at 9 months of age. YF neutralizing antibody titers
were measured using a microneutralization assay. RESULTS: In both studies, the
PsA-TT did not adversely affect the immune response to the concomitantly
administered YF vaccine at the age of 9 months. The magnitude of the immune
response was different between the 2 studies, with higher seroconversion and
seroprotection rates found in Mali vs Ghana. CONCLUSIONS: Immunogenicity to YF
vaccine is unaffected when coadministered with PsA-TT at 9 months of age. Further
studies are warranted to better understand the determinants of the immune
response to YF vaccine in infancy. CLINICAL TRIALS REGISTRATION: ISRCTN82484612
(PsA-TT-004); PACTR201110000328305 (PsA-TT-007).
PMID- 26553693
TI - Modeling Long-term Vaccination Strategies With MenAfriVac in the African
Meningitis Belt.
AB - BACKGROUND: The introduction of MenAfriVac in campaigns targeting people aged 1
29 years across the African meningitis belt has successfully reduced meningitis
incidence and carriage due to Neisseria meningitidis group A (MenA). It is
important to consider how best to sustain population protection in the long term.
METHODS: We created a mathematical model of MenA transmission and disease to
investigate the potential impact of a range of immunization strategies. The model
is age structured; includes classes of susceptible, carrier, ill, and immune
people (who may be vaccinated or unvaccinated); and incorporates seasonal
transmission and a stochastic forcing term that models between year variation in
rates of transmission. Model parameters were primarily derived from African
sources. The model can describe the typical annual incidence of meningitis in the
prevaccine era, with irregular epidemics of varying size. Parameter and
structural uncertainty were explored in sensitivity analyses. RESULTS: Following
MenAfriVac introduction at high uptake, the model predicts excellent short-term
disease control. With no subsequent immunization, strong resurgences in disease
incidence were predicted after approximately 15 years (assuming 10 years' average
vaccine protection). Routine immunization at 9 months of age resulted in lower
average annual incidence than regular mass campaigns of 1- to 4-year-olds,
provided coverage was above approximately 60%. The strategy with the lowest
overall average annual incidence and longest time to resurgence was achieved
using a combination strategy of introduction into the Expanded Programme on
Immunization at 9 months, 5 years after the initial mass campaigns, with a catch
up targeting unvaccinated 1- to 4-year-olds. CONCLUSIONS: These results can be
used to inform policy recommendations for long-term vaccination strategies with
MenAfriVac.
PMID- 26553694
TI - Cardiac Disease Status Dictates Functional mRNA Targeting Profiles of Individual
MicroRNAs.
AB - BACKGROUND: MicroRNAs are key players in cardiac stress responses, but the mRNAs,
whose abundance and translational potential are primarily affected by changes in
cardiac microRNAs, are not well defined. Stimulus-induced, large-scale
alterations in the cardiac transcriptome, together with consideration of the law
of mass action, further suggest that the mRNAs most substantively targeted by
individual microRNAs will vary between unstressed and stressed conditions. To
test the hypothesis that microRNA target profiles differ in health and disease,
we traced the fate of empirically determined miR-133a and miR-378 targets in
mouse hearts undergoing pressure overload hypertrophy. METHODS AND RESULTS: Ago2
immunoprecipitation with RNA sequencing (RNA-induced silencing complex
sequencing) was used for unbiased definition of microRNA-dependent and microRNA
independent alterations occurring among ~13 000 mRNAs in response to transverse
aortic constriction (TAC). Of 37 direct targets of miR-133a defined in unstressed
hearts (fold change >=25%, false discovery rate <0.02), only 4 (11%) continued to
be targeted by miR-133a during TAC, whereas for miR-378 direct targets, 3 of 32
targets (9%) were maintained during TAC. Similarly, only 16% (for miR-133a) and
53% (for miR-378) of hundreds of indirectly affected mRNAs underwent comparable
regulation, demonstrating that the effect of TAC on microRNA direct target
selection resulted in widespread alterations of signaling function. Numerous
microRNA-mediated regulatory events occurring exclusively during pressure
overload revealed signaling networks that may be responsive to the endogenous
decreases in miR-133a during TAC. CONCLUSIONS: Pressure overload-mediated changes
in overall cardiac RNA content alter microRNA targeting profiles, reinforcing the
need to define microRNA targets in tissue-, cell-, and status-specific contexts.
PMID- 26553695
TI - Calcium Signaling Pathway Genes RUNX2 and CACNA1C Are Associated With Calcific
Aortic Valve Disease.
AB - BACKGROUND: Calcific aortic valve stenosis (AS) is a life-threatening disease
with no medical therapy. The genetic architecture of AS remains elusive. This
study combines genome-wide association studies, gene expression, and expression
quantitative trait loci mapping in human valve tissues to identify susceptibility
genes of AS. METHODS AND RESULTS: A meta-analysis was performed combining the
results of 2 genome-wide association studies in 474 and 486 cases from Quebec
City (Canada) and Paris (France), respectively. Corresponding controls consisted
of 2988 and 1864 individuals with European ancestry from the database of
genotypes and phenotypes. mRNA expression levels were evaluated in 9 calcified
and 8 normal aortic valves by RNA sequencing. The results were integrated with
valve expression quantitative trait loci data obtained from 22 AS patients.
Twenty-five single-nucleotide polymorphisms had P<5*10(-6) in the genome-wide
association studies meta-analysis. The calcium signaling pathway was the top gene
set enriched for genes mapped to moderately AS-associated single-nucleotide
polymorphisms. Genes in this pathway were found differentially expressed in
valves with and without AS. Two single-nucleotide polymorphisms located in RUNX2
(runt-related transcription factor 2), encoding an osteogenic transcription
factor, demonstrated some association with AS (genome-wide association studies
P=5.33*10(-5)). The mRNA expression levels of RUNX2 were upregulated in calcified
valves and associated with eQTL-SNPs. CACNA1C encoding a subunit of a voltage
dependent calcium channel was upregulated in calcified valves. The eQTL-SNP with
the most significant association with AS located in CACNA1C was associated with
higher expression of the gene. CONCLUSIONS: This integrative genomic study
confirmed the role of RUNX2 as a potential driver of AS and identified a new AS
susceptibility gene, CACNA1C, belonging to the calcium signaling pathway.
PMID- 26553696
TI - Green Tea Catechin Normalizes the Enhanced Ca2+ Sensitivity of Myofilaments
Regulated by a Hypertrophic Cardiomyopathy-Associated Mutation in Human Cardiac
Troponin I (K206I).
AB - BACKGROUND: Hypertrophic cardiomyopathy (HCM) is the most common inherited
cardiovascular disease characterized by thickening of ventricular walls and
decreased left ventricular chamber volume. The majority of HCM-associated
mutations are found in genes encoding sarcomere proteins. Herein, we set out to
functionally characterize a novel HCM-associated mutation (K206I-TNNI3) and
elucidate the mechanism of dysfunction at the level of myofilament proteins.
METHODS AND RESULTS: The male index case was diagnosed with HCM after an out-of
hospital cardiac arrest, which was followed by comprehensive clinical evaluation,
transthoracic echocardiography, and clinical genetic testing. To determine
molecular mechanism(s) of the mutant human cardiac troponin I (K206I), we tested
the Ca(2+) dependence of thin filament-activated myosin-S1-ATPase activity in a
reconstituted, regulated, actomyosin system comparing wild-type human troponin
complex, 50% mix of K206I/wildtype, or 100% K206I. We also exchanged native
troponin detergent extracted fibers with reconstituted troponin containing either
wildtype or a 65% mix of K206I/wildtype and measured force generation. The Ca(2+)
sensitivity of the myofilaments containing the K206I variant was significantly
increased, and when treated with 20 umol/L (-)-epigallocatechin gallate (green
tea) was restored back to wild-type levels in ATPase and force measurements. The
K206I mutation impairs the ability of the troponin I to inhibit ATPase activity
in the absence of calcium-bound human cardiac troponin C. The ability of calcium
bound human cardiac troponin C to neutralize the inhibition of K206I was greater
than with wild-type TnI. CONCLUSIONS: Compromised interactions of K206I with
actin and hcTnC may lead to impaired relaxation and HCM.
PMID- 26553697
TI - Pulmonary artery denervation reduces pulmonary artery pressure and induces
histological changes in an acute porcine model of pulmonary hypertension.
AB - BACKGROUND: Pulmonary arterial hypertension is a devastating disease with high
morbidity and mortality and limited treatment options. Recent studies have shown
that pulmonary artery denervation improves pulmonary hemodynamics in an
experimental model and in an early clinical trial. We aimed to evaluate the nerve
distribution around the pulmonary artery, to determine the effect of
radiofrequency pulmonary artery denervation on acute pulmonary hypertension
induced by vasoconstriction, and to demonstrate denervation of the pulmonary
artery at a histological level. METHODS AND RESULTS: Histological evaluation
identified a circumferential distribution of nerves around the proximal pulmonary
arteries. Nerves were smaller in diameter, greater in number, and located in
closer proximity to the luminal aspect of the pulmonary arterial wall beyond the
pulmonary artery bifurcation. To determine the effect of pulmonary arterial
denervation acute pulmonary hypertension was induced in 8 pigs by intravenous
infusion of thromboxane A2 analogue. Animals were assigned to either pulmonary
artery denervation, using a prototype radiofrequency catheter and generator, or a
sham procedure. Pulmonary artery denervation resulted in reduced mean pulmonary
artery pressure and pulmonary vascular resistance and increased cardiac output.
Ablation lesions on the luminal surface of the pulmonary artery were accompanied
by histological and biochemical alteration in adventitial nerves and correlated
with improved hemodynamic parameters. CONCLUSIONS: Pulmonary artery denervation
offers the possibility of a new treatment option for patients with pulmonary
arterial hypertension. Further work is required to determine the long-term
efficacy and safety.
PMID- 26553698
TI - Efficacy of ex vivo autologous and in vivo platelet transfusion in the reversal
of P2Y12 inhibition by clopidogrel, prasugrel, and ticagrelor: the APTITUDE
study.
AB - BACKGROUND: Allogenic platelet transfusions (PT) are administered to treat
excessive bleeding in patients on P2Y12 receptor inhibitors (RI). We assessed the
effect of ex vivo and in vivo PT on platelet activation and aggregation in
patients on dual antiplatelet therapy. METHODS AND RESULTS: In the Antagonize
P2Y12 Treatment Inhibitors by Transfusion of Platelets in an Urgent or Delayed
Timing After Acute Coronary Syndrome or Percutaneous Coronary Intervention
Presentation-Acute Coronary Syndrome (APTITUDE-ACS) study, patients presenting
with acute coronary syndrome or for elective percutaneous coronary intervention,
receiving loading doses of clopidogrel (600 mg, n=13 or 900 mg, n=12), prasugrel
60 mg (n=10), or ticagrelor 180 mg (n=10) were included. PT was performed ex vivo
by mixing platelet-rich plasma from blood sampling performed at baseline in
increasing proportions with platelet-rich plasma sampled 4 hours after loading
dose. The percentage restoration of residual platelet aggregation achieved with
80% proportion PT (residual platelet aggregation 80% PT mix/residual platelet
aggregation baseline*100) significantly decreased with increasing potency of
P2Y12 RI (83.9+/-11%, 73+/-14%, 66.3+/-15%, 40.9+/-19% for clopidogrel 600 mg,
clopidogrel 900 mg, prasugrel, and ticagrelor, respectively; P for trend
<0.0001). In the APTITUDE-Coronary Artery Bypass Graft (APTITUDE-CABG) study,
vasodilator-stimulated phosphoprotein-platelet reactivity index, a specific
marker of the P2Y12 RI drug-effect, was assessed before and after in vivo PT
administered for excessive bleeding in patients undergoing cardiac surgery while
on a maintenance dose of aspirin and clopidogrel (n=45), prasugrel (n=6), or
ticagrelor (n=3). When compared with baseline, there was a significant relative
increase of 23.1% in platelet activation after PT transfusion (42.2+/-23.6%
versus 56.6+/-18.2%; P=0.0008). CONCLUSIONS: PT restores platelet reactivity in
patients with acute coronary syndrome/percutaneous coronary intervention and in
patients undergoing cardiac surgery on P2Y12 RI while bleeding with a less effect
with increasing potency of P2Y12 inhibition. CLINICAL TRIAL REGISTRATION: URL:
http://www.recherche-biomedicale.sante.gouv.fr/pro/comites/coordonnees.htm and
http://www.cnil.fr/. Unique identifiers: No. 301111 and No. 1547216v0.
PMID- 26553700
TI - First reported case of transcatheter mitral valve implantation in mitral annular
calcification with a fully repositionable and self-expanding valve.
PMID- 26553699
TI - Hemodynamic, functional, and clinical responses to pulmonary artery denervation
in patients with pulmonary arterial hypertension of different causes: phase II
results from the Pulmonary Artery Denervation-1 study.
AB - BACKGROUND: The mechanisms underlying pulmonary arterial hypertension (PAH) are
multifactorial. The efficacy of pulmonary artery denervation (PADN) for
idiopathic PAH treatment has been evaluated. This study aimed to analyze the
hemodynamic, functional, and clinical responses to PADN in patients with PAH of
different causes. METHODS AND RESULTS: Between April 2012 and April 2014, 66
consecutive patients with a resting mean pulmonary arterial pressure >=25 mm Hg
treated with PADN were prospectively followed up. Target drugs were discontinued
after the PADN procedure. Hemodynamic response and 6-minute walk distance were
repeatedly measured within the 1 year post PADN follow-up. The clinical end point
was the occurrence of PAH-related events at the 1-year follow-up. There were no
PADN-related complications. Hemodynamic success (defined as the reduction in mean
pulmonary arterial pressure by a minimal 10% post PADN) was achieved in 94% of
all patients, with a mean absolute reduction in systolic pulmonary arterial
pressure and mean pulmonary arterial pressure within 24 hours of -10 mm Hg and -7
mm Hg, respectively. The average increment in 6-minute walk distance after PADN
was 94 m. Worse PAH-related events occurred in 10 patients (15%), mostly driven
by the worsening of PAH (12%). There were 8 (12%) all-cause deaths, with 6 (9%)
PAH-related deaths. CONCLUSIONS: PADN was safe and feasible for the treatment of
PAH. The PADN procedure was associated with significant improvements in
hemodynamic function, exercise capacity, and cardiac function and with less
frequent PAH-related events and death at 1 year after PADN treatment. Further
randomized studies are required to confirm the efficacy of PADN for PAH. CLINICAL
TRIAL REGISTRATION: URL: http://www.chictr.trc.com.cn. Unique identifier: chiCTR
ONC-12002085.
PMID- 26553701
TI - Restoring platelet function in patients on P2Y12 receptor inhibitor treatment:
still some issues to be solved!
PMID- 26553702
TI - Catheter-based therapies for patients with medication-refractory pulmonary
arterial hypertension.
PMID- 26553703
TI - [Blastic plasmacytoid dendritic cell neoplasm: two case reports].
AB - Blastic plasmacytoid dendritic cell neoplasm (LPDC) is a rare and aggressive
leukemia entity with cutaneous and extracutaneous involvement, reaching most
often lymph, blood and bone marrow. Two cases of LPDC diagnosed in Hospital
Center of Le Mans are reported, a 78 year old woman (case 1) and a 82 year old
man (case 2), and have been clinically, biologically and histologically
documented. The clinical presentation, diagnostic difficulties are reminded, as
well as the pathogenesis and therapeutic aspect.
PMID- 26553704
TI - Pemphigus and depression comorbidity: a case control study.
AB - BACKGROUND: While quality of life can be significantly affected in pemphigus
patients, few studies have systematically investigated the co-morbidity of
psychiatric disorders in these patients. OBJECTIVE: To assess the association
between pemphigus and depression comorbidity, using the computerized medical
database of Israel's largest health maintenance organization. METHODS: In a case
control study, co-morbidities of adult pemphigus patients retrieved from the
database of a large healthcare organization from 1998 to 2010 were compared with
age- and gender-matched controls from the same database. The main outcome measure
was the prevalence of co-morbid psychiatric disorders (anxiety, psychosis,
schizophrenia and depression) in pemphigus patients and controls. The study
included 255 pemphigus patients (157 women (62%) and 98 (38%) men) and 509
controls (313 women (62%) and 196 (38%) men) aged 20 years and older (a ratio of
3:2 in both groups). Mean age was 63.5 +/- 15.7 years in the pemphigus group and
63.2 +/- 15.7 years in the control group. RESULTS: Depression was the only
psychiatric disorder significantly increased among pemphigus patients compared
with controls. Alcohol abuse and smoking did not differ between groups.
Depression was over-represented in a large population of pemphigus patients,
indicating the disorder as a possible significant co-morbidity. After controlling
for confounders including age, gender, and duration of corticosteroid therapy,
the association with depression persisted (OR = 1.19, 95% CI: 1.12-1.27),
p<0.001). CONCLUSION: The increased prevalence of depressive morbidity,
especially in the presence of commonly prescribed corticosteroid treatment,
emphasizes the need for psychiatric assessment and intervention in these
patients.
PMID- 26553705
TI - Non-invasive prenatal screening for trisomy 21: Consumers' perspectives.
AB - Non-invasive prenatal screening (NIPS) has the potential to dramatically increase
the prenatal detection rate of Down syndrome because of improvements in safety
and accuracy over existing tests. There is concern that NIPS could lead to more
negative attitudes towards Down syndrome and less support for individuals with
Down syndrome. To assess the impact of NIPS on support for prenatal testing,
decision-making about testing, and beliefs or attitudes about Down syndrome, we
performed an Internet-based experiment using adults (N = 1,789) recruited through
Amazon Mechanical Turk. Participants were randomly assigned to read a mock news
article about NIPS, a mock news article about amniocentesis, or no article. The
content in the two articles varied only in their descriptions of the test
characteristics. Participants then answered questions about their support for
testing, hypothetical testing decision, and beliefs and attitudes about Down
syndrome. Reading the mock NIPS news article predicted increased hypothetical
test uptake. In addition, the NIPS article group also agreed more strongly that
pregnant women, in general, should utilize prenatal testing. We also found that
the more strongly participants supported prenatal testing for pregnant women, the
less favorable their attitudes towards individuals with Down syndrome; providing
some evidence that NIPS may indirectly result in more negative perceptions of
individuals with this diagnosis.
PMID- 26553706
TI - Body proportions in children with Kabuki syndrome.
AB - Facial characteristics, short stature, and skeletal anomalies have been described
for the clinical diagnosis of Kabuki Syndrome (KS) in children. However, no
studies have investigated body proportions in KS. Knowledge of body proportions
in KS may contribute to better insight into the growth pattern and
characterization of this genetic disorder. Therefore we compared body proportions
of children with KS to normally proportioned controls to investigate if atypical
body proportions are part of this genetic disorder. This study was designed and
conducted within the setting of the Maastricht University Medical Centre (MUMC+),
the official Dutch expert center for Kabuki syndrome. We conducted a cross
sectional study in 32 children (11 children with KS and 21 controls). Body
proportions were determined by means of photogrammetric anthropometry,
measurements based on digital photography. Body proportions, quantified as body
ratios, differ significantly in children with KS from normally proportioned
children. Children with KS have larger heads and longer arms proportional to
their trunks and have been found to have longer upper arms proportional to their
tibia length and feet. Based on deviations in body proportions it was shown
possible to discern children with KS from normally proportioned controls.
PMID- 26553707
TI - Ultrapreconcentration and determination of organophosphorus pesticides in water
by solid-phase extraction combined with dispersive liquid-liquid microextraction
and high-performance liquid chromatography.
AB - Solid-phase extraction coupled with dispersive liquid-liquid microextraction was
developed as an ultra-preconcentration method for the determination of four
organophosphorus pesticides (isocarbophos, parathion-methyl, triazophos and
fenitrothion) in water samples. The analytes considered in this study were
rapidly extracted and concentrated from large volumes of aqueous solutions (100
mL) by solid-phase extraction coupled with dispersive liquid-liquid
microextraction and then analyzed using high performance liquid chromatography.
Experimental variables including type and volume of elution solvent, volume and
flow rate of sample solution, salt concentration, type and volume of extraction
solvent and sample solution pH were investigated for the solid-phase extraction
coupled with dispersive liquid-liquid microextraction with these analytes, and
the best results were obtained using methanol as eluent and ethylene chloride as
extraction solvent. Under the optimal conditions, an exhaustive extraction for
four analytes (recoveries >86.9%) and high enrichment factors were attained. The
limits of detection were between 0.021 and 0.15 MUg/L. The relative standard
deviations for 0.5 MUg/L of the pesticides in water were in the range of 1.9-6.8%
(n = 5). The proposed strategy offered the advantages of simple operation, high
enrichment factor and sensitivity and was successfully applied to the
determination of four organophosphorus pesticides in water samples.
PMID- 26553708
TI - Cell-free plasma hemoglobin removal by dialyzers with various permeability
profiles.
AB - The release of hemoglobin from mechanically stressed erythrocytes into plasma is
a general side effect of extracorporeal therapies, such as extracorporeal
membrane oxygenation or hemodialysis. In many reported cases dialysis patients
showed elevated cell-free plasma hemoglobin (CPH) levels which are associated
with pathophysiological effects. In this in vitro study, the CPH clearance
capacity of various filters with different permeability profiles was measured.
Simulated dialysis treatments were conducted and clearance was calculated from
variations in CPH concentrations over time by measuring plasma absorbance at 405
nm. Conventional high-flux filters exhibited no detectable clearance of CPH. High
flux filters with extended permeability exhibited clearances between 5.8 +/- 1.2
and 12.7 +/- 1.7 ml/min when tested with plasma and between 5.8 +/- 1.2 and 11.3
+/- 1.6 ml/min when tested with whole blood. septeX high-cutoff filters had
clearances between 13.8 +/- 1.8 and 15.5 +/- 1.7 ml/min when tested with plasma
and of 22.6 +/- 2.9 ml/min when tested with whole blood. This study demonstrated
that filters with extended permeability and the septeX filter enable CPH removal
when used as in chronic and acute settings.
PMID- 26553709
TI - Facile room-temperature synthesis of carboxylated graphene oxide-copper sulfide
nanocomposite with high photodegradation and disinfection activities under solar
light irradiation.
AB - Carboxylic acid functionalized graphene oxide-copper (II) sulfide nanoparticle
composite (GO-COOH-CuS) was prepared from carboxylated graphene oxide and copper
precursor in dimethyl sulfoxide (DMSO) by a facile synthesis process at room
temperature. The high-effective combination, the interaction between GO-COOH
sheets and CuS nanoparticles, and the enhanced visible light absorption were
confirmed by transmission electron microscopy (TEM), field emission scanning
electron microscopy (FESEM), X-ray powder diffraction (XRD), Fourier transform
infrared spectroscopy (FTIR), thermo gravimetric analysis (TGA), X-ray
photoelectron spectroscopy (XPS), UV-vis diffuse reflectance spectra (DRS) and
Photoluminescence (PL) spectra. The as-synthesized GO-COOH-CuS nanocomposite
exhibited excellent photocatalytic degradation performance of phenol and
rhodamine B, high antibacterial activity toward E. coli and B. subtilis, and good
recovery and reusability. The influence of CuS content, the synergistic reaction
between CuS and GO-COOH, and the charge-transfer mechanism were systematically
investigated. The facile and low-energy synthesis process combined with the
excellent degradation and antibacterial performance signify that the GO-COOH-CuS
has a great potential for water treatment application.
PMID- 26553711
TI - A novel method to quantify the emission and conversion of VOCs in the smoking of
electronic cigarettes.
AB - An analytical technique was developed for the quantitation of volatile organic
compounds (VOC) in three different forms of electronic cigarette (EC): solution,
vapor, and aerosol. Through the application of the mass change tracking (MCT)
approach, the consumed amount of the solution was measured to track the
conversion of targets between the different phases. The concentration of aerosol
plus vapor (A&V) decreased exponentially (559 to 129 g m(-3)) with increasing
puff velocity (0.05 to 1 L min(-1)). A strong correlation existed between
sampling volume and consumed solution mass (R(2) = 0.9972 +/- 0.0021 (n = 4)). In
the EC solution, acetic acid was considerably high (25.8 MUg mL(-1)), along with
trace quantities of some VOCs (methyl ethyl ketone, toluene, propionic acid, and
i-butyric acid: 0.24 +/- 0.15 MUg mL(-1) (n = 4)). In the aerosol samples, many
VOCs (n-butyraldehyde, n-butyl acetate, benzene, xylene, styrene, n-valeric acid,
and n-hexanoic acid) were newly produced (138 +/- 250 MUg m(-3)). In general, the
solution-to-aerosol (S/A) conversion was significant: e.g., 1,540% for i-butyric
acid. The emission rates of all targets computed based on their mass in aerosol/
consumed solution (ng mL(-1)) were from 30.1 (p-xylene) to 398 (methyl ethyl
ketone), while those of carboxyls were much higher from 166 (acetic acid) to
5,850 (i-butyric acid).
PMID- 26553710
TI - New genetic regulators question relevance of abundant yolk protein production in
C. elegans.
AB - Vitellogenesis or maternal yolk formation is considered critical to the
reproduction of egg-laying animals. In invertebrates, however, most of its
regulatory genes are still unknown. Via a combined mapping and whole-genome
sequencing strategy, we performed a forward genetic screen to isolate novel
regulators of yolk production in the nematode model system Caenorhabditis
elegans. In addition to isolating new alleles of rab-35, rab-10 and M04F3.2, we
identified five mutant alleles corresponding to three novel regulatory genes
potently suppressing the expression of a GFP-based yolk reporter. We confirmed
that mutations in vrp-1, ceh-60 and lrp-2 disrupt endogenous yolk protein
synthesis at the transcriptional and translational level. In contrast to current
beliefs, our discovered set of mutants with strongly reduced yolk proteins did
not show serious reproduction defects. This raises questions as to whether yolk
proteins per se are needed for ultimate reproductive success.
PMID- 26553712
TI - Introduction to the Cardio-Oncology Miniseries.
PMID- 26553713
TI - Myocardial Protection During Cardiotoxic Chemotherapy.
PMID- 26553714
TI - ECG Response: November 10, 2015.
PMID- 26553715
TI - Extensive Intramural Esophageal Hematoma After Transesophageal Echocardiography
During Atrial Fibrillation Ablation.
PMID- 26553716
TI - Neonatal Management of a Giant Right Atrial Appendage Aneurysm.
PMID- 26553717
TI - Letter by Salzberg and Emmert Regarding Article, "Left Atrial Appendage Occlusion
Debate Revisited".
PMID- 26553718
TI - Response to Letter Regarding Article, "Left Atrial Appendage Occlusion Debate
Revisited".
PMID- 26553719
TI - Letter by Mahajan et al Regarding Article, "Systematic Review of Patients
Presenting With Suspected Myocardial Infarction and Nonobstructive Coronary
Arteries".
PMID- 26553720
TI - Response to Letter Regarding Article, "Systematic Review of Patients Presenting
With Suspected Myocardial Infarction and Nonobstructive Coronary Arteries".
PMID- 26553721
TI - Correction.
AB - In the article by Burke et al, "Current Science on Consumer Use of Mobile Health
for Cardiovascular Disease Prevention: A Scientific Statement From the American
Heart Association," which published ahead of print August 13, 2015, and appeared
in the September 22, 2015, issue of the journal (Circulation. 2015;132:1157-1213.
DOI: 10.1161/CIR.0000000000000232), several corrections were needed.1. On page
1203, in the first column, last paragraph, the second sentence read, "Because
medication may be a component of the treatment, we also searched for meditation
adherence." It has been changed to read, "Because medication may be a component
of the treatment, we also searched for medication adherence." 2. On page 1204, in
the second column, third complete paragraph, the second sentence read, "As with
any other product that claims to improve health, groups will want to answers to
certain questions...." It has been changed to read, "As with any other product
that claims to improve health, groups will want answers to certain
questions...."3. On page 1204, in the second column, last paragraph, the fourth
sentence read, "However, the responsibility for generating evidence should not
fall solely only on the product developers." It has been changed to read,
"However, the responsibility for generating evidence should not fall solely on
the product developers." These corrections have been made to the print version
and to the current online version of the article, which is available at
http://circ.ahajournals.org/content/132/12/1157.full
PMID- 26553722
TI - Correction.
AB - In the article by Howard et al, "Population-Based Study of Incidence, Risk
Factors, Outcome, and Prognosis of Ischemic Peripheral Arterial Events," which
published online ahead of print September 8, 2015, and appears in the November
10, 2015 issue of the journal (Circulation. 2015;132:1805-1815. DOI:
110.1161/CIRCULATIONAHA.115.016424), a correction was needed. The copyright line
should have read, "(c) 2015 The Authors. Circulation is published on behalf of
the American Heart Association, Inc., by Wolters Kluwer. This is an open access
article under the terms of the Creative Commons Attribution License, which
permits use, distribution, and reproduction in any medium, provided that the
original work is properly cited." We regret the error. This correction has been
made to the print version and to the current online version of the article, which
is available at http://circ.ahajournals.org/content/132/19/1805.full
PMID- 26553723
TI - A Comparison of Self-Report and Audiometric Measures of Hearing and Their
Associations With Functional Outcomes in Older Adults.
AB - OBJECTIVE: The aim was to investigate whether associations of hearing impairment
(HI) with functional outcomes in older adults differ when using self-report
versus pure-tone audiometry. METHOD: We examined 1,669 participants >=70 years in
National Health and Examination Survey from 2005-2006 and 2009-2010 whose hearing
was assessed by self-report and pure-tone audiometry. We explored functional
outcomes associated with audiometric HI (low physical activity, poor physical
functioning, and hospitalization). RESULTS: In adjusted models, we found
significant associations of audiometric HI with both subjective and objective
outcomes (e.g., dichotomous HI with self-reported difficulty in activities of
daily living [ADLs], odds ratio [OR] = 1.47, 95% confidence interval [CI] [1.05,
2.06], and low accelerometer-measured physical activity, OR = 2.19, 95% CI [1.11,
4.34]). In contrast, self-reported HI was only associated with subjective
outcomes and not with objective outcomes (e.g., dichotomous HI with difficulty in
ADLs, OR = 1.63, 95% CI [1.12, 2.38], and low accelerometer-measured physical
activity, OR = 0.95, 95% CI [0.66, 1.35]). DISCUSSION: Results using self
reported hearing should not be considered representative of results using
audiometry and may provide distinct aspects of HI in older adults.
PMID- 26553724
TI - Growing Old Behind Bars: Health Profiles of the Older Male Inmate Population in
the United States.
AB - OBJECTIVE: This study examines patterns of multimorbidity among elderly male
inmates across four domains of health (chronic medical conditions, drug- and
alcohol-related diseases, impairments, and mental and behavioral health) to
understand the complex health care needs of this growing population. METHOD: We
use the 2004 Survey of Inmates in State Correctional Facilities and Latent Class
Regression Analysis to examine 22 health problems among 1,026 men aged 50 and
older. RESULTS: There are four groups of elderly male inmates: (a) relatively
healthy (45.1%), (b) substance users with behavioral health issues (23.4%), (c)
chronic unhealthy with impairments and violence/injury (23.6%), and (d) very
unhealthy across all domains (7.9%). These groups have unique sociodemographic
background and incarceration history characteristics. CONCLUSION: This study
demonstrates the complexity of health for elderly inmates. Prison health should
continue to be monitored to aid correctional and community health programs in
understanding clinical risks, exposures, and health care needs for this
population.
PMID- 26553725
TI - Steroid sulfatase activity in subcutaneous and visceral adipose tissue: a
comparison between pre- and postmenopausal women.
AB - OBJECTIVE: Adipose tissue is an important extragonadal site for steroid hormone
biosynthesis. After menopause, estrogens are synthesized exclusively in
peripheral tissues from circulating steroid precursors, of which the most
abundant is dehydroepiandrosterone sulfate (DHEAS). Our aim was to study activity
of steroid sulfatase, an enzyme hydrolyzing DHEAS, and expression of steroid
converting enzyme genes in subcutaneous and visceral adipose tissue derived from
pre- and postmenopausal women. DESIGN: Serum and paired abdominal subcutaneous
and visceral adipose tissue samples were obtained from 18 premenopausal and seven
postmenopausal women undergoing elective surgery for non-malignant reasons in
Helsinki University Central Hospital. METHODS: To assess steroid sulfatase
activity, radiolabeled DHEAS was incubated in the presence of adipose tissue
homogenate and the liberated dehydroepiandrosterone (DHEA) was measured. Gene
mRNA expressions were analyzed by quantitative RT-PCR. Serum DHEAS, DHEA, and
estrogen concentrations were determined by liquid chromatography-tandem mass
spectrometry. RESULTS: Steroid sulfatase activity was higher in postmenopausal
compared to premenopausal women in subcutaneous (median 379 vs 257 pmol/kg tissue
per hour; P=0.006) and visceral (545 vs 360 pmol/kg per hour; P=0.004) adipose
tissue. Visceral fat showed higher sulfatase activity than subcutaneous fat in
premenopausal (P=0.035) and all (P=0.010) women. The mRNA expression levels of
two estradiol-producing enzymes, aromatase and 17beta-hydroxysteroid
dehydrogenase type 12, were higher in postmenopausal than in premenopausal
subcutaneous adipose tissue. CONCLUSIONS: Steroid sulfatase activity in adipose
tissue was higher in postmenopausal than in premenopausal women suggesting that
DHEAS, derived from the circulation, could be more efficiently utilized in
postmenopausal adipose tissue for the formation of biologically active sex
hormones.
PMID- 26553726
TI - The Circadian Clock Gene Period1 Connects the Molecular Clock to Neural Activity
in the Suprachiasmatic Nucleus.
AB - The neural activity patterns of suprachiasmatic nucleus (SCN) neurons are
dynamically regulated throughout the circadian cycle with highest levels of
spontaneous action potentials during the day. These rhythms in electrical
activity are critical for the function of the circadian timing system and yet the
mechanisms by which the molecular clockwork drives changes in the membrane are
not well understood. In this study, we sought to examine how the clock gene
Period1 (Per1) regulates the electrical activity in the mouse SCN by transiently
and selectively decreasing levels of PER1 through use of an antisense
oligodeoxynucleotide. We found that this treatment effectively reduced SCN neural
activity. Direct current injection to restore the normal membrane potential
partially, but not completely, returned firing rate to normal levels. The
antisense treatment also reduced baseline [Ca(2+)]i levels as measured by Fura2
imaging technique. Whole cell patch clamp recording techniques were used to
examine which specific potassium currents were altered by the treatment. These
recordings revealed that the large conductance [Ca(2+)]i-activated potassium
currents were reduced in antisense-treated neurons and that blocking this current
mimicked the effects of the anti-sense on SCN firing rate. These results indicate
that the circadian clock gene Per1 alters firing rate in SCN neurons and raise
the possibility that the large conductance [Ca(2+)]i-activated channel is one of
the targets.
PMID- 26553728
TI - Plaque disruption by coronary computed tomographic angiography in stable patients
vs. acute coronary syndrome: a feasibility study.
AB - AIMS: This study was designed to determine whether coronary CT angiography (CTA)
can detect features of plaque disruption in clinically stable patients and to
compare lesion prevalence and features between stable patients and those with
acute coronary syndrome (ACS). METHODS: We retrospectively identified patients
undergoing CTA, followed by invasive coronary angiography (ICA) within 60 days.
Quantitative 3-vessel CTA lesion analysis was performed on all plaques >=25%
stenosis to assess total plaque volume, low attenuation plaque (LAP, <50 HU)
volume, and remodelling index. Plaques were qualitatively assessed for CTA
features of disruption, including ulceration and intra-plaque dye penetration
(IDP). ICA was employed as a reference standard for disruption. A total of 145
(94 ACS and 51 stable) patients were identified. By CTA, plaque disruption was
evident in 77.7% of ACS cases. Although more common among those with ACS, CTA
also detected plaque disruption in 37.3% of clinically stable patients (P <
0.0001). CONCLUSIONS: Clinically stable patients commonly manifest plaques with
features of disruption as determined by CTA. Though the prevalence of plaque
disruption is less than patients with ACS, these findings support the concept
that some clinically stable patients may harbour 'silent' disrupted plaques.
These findings may have implications for detection of 'at risk' plaques and
patients.
PMID- 26553729
TI - No full admission for tau to the exclusive prion club yet.
PMID- 26553727
TI - A Cytotoxic, Co-operative Interaction Between Energy Deprivation and Glutamate
Release From System xc- Mediates Aglycemic Neuronal Cell Death.
AB - The astrocyte cystine/glutamate antiporter (system xc(-)) contributes
substantially to the excitotoxic neuronal cell death facilitated by glucose
deprivation. The purpose of this study was to determine the mechanism by which
this occurred. Using pure astrocyte cultures, as well as, mixed cortical cell
cultures containing both neurons and astrocytes, we found that neither an
enhancement in system xc(-) expression nor activity underlies the excitotoxic
effects of aglycemia. In addition, using three separate bioassays, we demonstrate
no change in the ability of glucose-deprived astrocytes--either cultured alone or
with neurons--to remove glutamate from the extracellular space. Instead, we
demonstrate that glucose-deprived cultures are 2 to 3 times more sensitive to the
killing effects of glutamate or N-methyl-D-aspartate when compared with their
glucose-containing controls. Hence, our results are consistent with the weak
excitotoxic hypothesis such that a bioenergetic deficiency, which is measureable
in our mixed but not astrocyte cultures, allows normally innocuous concentrations
of glutamate to become excitotoxic. Adding to the burgeoning literature detailing
the contribution of astrocytes to neuronal injury, we conclude that under our
experimental paradigm, a cytotoxic, co-operative interaction between energy
deprivation and glutamate release from astrocyte system xc(-) mediates aglycemic
neuronal cell death.
PMID- 26553730
TI - Familial SUNCT in mother and son.
AB - BACKGROUND: Trigeminal autonomic cephalalgias comprise a heterogeneous group of
lateralized headaches associated with ipsilateral autonomic symptoms. They are
usually localized within the territory of one or more rami of the trigeminal
nerve, but may be localized outside its cutaneous territory. Although these
headaches are considered primary disorders, the evidence supporting their genetic
nature is lacking, particularly concerning their neuralgic forms, with the
exception of a familial case described partly based on a historical account. CASE
REPORTS: We report on a mother and son with episodic, short-lasting, intense,
paroxysmal headaches, with the same localization in the left retroauricular
region, associated with prominent conjunctival injection and tearing, which are
consistent with the diagnosis of SUNCT (short-lasting unilateral neuralgiform
headache attacks with conjunctival injection and tearing). DISCUSSION: These
cases corroborate the existence of hereditary forms of this disorder, thus
supporting its primary nature.
PMID- 26553731
TI - General lack of use of placebo in prophylactic, randomised, controlled trials in
adult migraine. A systematic review.
AB - BACKGROUND: The Clinical Trials Subcommittee of the International Headache
Society (IHS) recommends that a placebo arm is included in comparative randomised
clinical trials (RCTs) of multiple prophylactic drugs due to the highly variable
placebo response in migraine prophylaxis studies. The use of placebo control in
such trials has not been systematically assessed. METHODS: We performed a
systematic review of all comparative RCTs of prophylactic drug treatment of
migraine published in English from 2002 to 2014. PubMed was searched using the
Cochrane Highly Sensitive Search Strategy for identifying reports of RCTs.
RESULTS: A placebo arm was used in <10% (three of 31) of prophylactic RCTs in
migraine. In only 7.1% (two of 28) of the comparative RCTs without placebo was
one drug superior to another drug. Thus in 26 RCTs, including one study requiring
more than 75,000 patient days, no difference was identified across treatment arms
and conclusions regarding drug superiority could not be drawn. CONCLUSIONS: The
majority of comparative, prophylactic migraine RCTs do not include a placebo arm.
Failure to include a placebo arm may result in failure to demonstrate efficacy of
potentially effective migraine-prophylactic agents. In order to benefit current
and future patients, the current strong tendency to omit placebo-controls in
these RCTs should be replaced by adherence to the guidelines of the IHS.
PMID- 26553732
TI - Psoriasis and Smoking: A Systematic Literature Review and Meta-Analysis With
Qualitative Analysis of Effect of Smoking on Psoriasis Severity.
AB - BACKGROUND: Smoking has been associated with psoriasis prevalence and severity.
OBJECTIVE: To evaluate prevalence of smoking in patients with psoriasis and to
examine the relationship between smoking and psoriasis severity. METHODS:
MEDLINE, EMBASE, and Cochrane databases (1960-2012) and conference proceedings
(2010-2012) were systematically searched using keywords relevant to psoriasis and
smoking. Controlled studies addressing psoriasis and smoking status were
included. A meta-analysis for the relative risk of smoking in psoriasis patients
was performed. RESULTS: Meta-analysis identified a significant association
between smoking and psoriasis with a relative risk of 1.88 (95% CI, 1.66-2.13)
for smoking in patients with psoriasis versus patients without psoriasis. Eight
articles of 11 with data on smoking and psoriasis severity suggested that
severity increases with smoking status. CONCLUSIONS: This literature review is in
favor of a positive association between the prevalence of smoking and psoriasis
as well as an association between smoking and severity of psoriasis.
PMID- 26553733
TI - Intralesional Mycobacterium w Vaccine Versus Cryotherapy in Treatment of
Refractory Extragenital Warts: A Randomized, Open-Label, Comparative Study.
AB - BACKGROUND: Initial reports of immunotherapy using intralesional Mycobacterium w
(Mw) vaccine have documented its useful role in treatment of genital and
extragenital warts. OBJECTIVES: To compare the efficacy and safety of
intralesional Mw vaccine versus cryotherapy in the treatment of refractory
extragenital warts. METHODS: This was a prospective, randomized, comparative
study of 66 patients. The outcome was assessed in terms of complete clearance of
warts and change in Dermatology Life Quality Index (DLQI) score. RESULTS:
Complete clearance of treated warts was seen in 66.7% (20/30) and 65.5% (19/29)
of patients in the Mw and cryotherapy groups, respectively (P = .769). Clearance
of distant warts was significantly (P = .004) high in the Mw group. Improvement
in DLQI was greater in the Mw group. Both treatment modalities were well
tolerated, and no major side effects occurred. CONCLUSIONS: Mw vaccine and
cryotherapy are equally efficacious in treatment of refractory extragenital
warts. Mw vaccine has an added advantage of clearance of distant warts.
PMID- 26553734
TI - Pilot Study to Evaluate the Efficacy and Safety of Oral Tacrolimus in Adult
Patients With Refractory Severe Plaque Psoriasis.
AB - BACKGROUND: Tacrolimus, a congener of cyclosporine, has replaced cyclosporine as
a first-line treatment for most transplant patients due to its superior efficacy
and safety. Tacrolimus has not been extensively studied for the treatment of
psoriasis. OBJECTIVES: To study the efficacy and safety of oral tacrolimus in
adult patients with severe refractory plaque psoriasis. METHODS: This was an open
label pilot study. Patients with severe plaque type psoriasis who were
unresponsive to at least 1 systemic treatment were treated with oral tacrolimus.
RESULTS: Thirty patients were treated. After 12 weeks, improvement in mean
Psoriasis Area Severity Index (PASI) score was 80.37% (P < .001), PASI 75 was
observed in 19 of 26 (73.1%) patients, and PASI 90 was observed in 11 of 26
(42.3%) patients. No severe side effects were noted. CONCLUSION: Oral tacrolimus
is an effective and safe option for the short-term treatment of severe plaque
psoriasis.
PMID- 26553735
TI - From Late-Onset Stress Symptomatology to Later-Adulthood Trauma Reengagement in
Aging Combat Veterans: Taking a Broader View.
AB - About a decade ago we proposed the notion of late-onset stress symptomatology, to
characterize the later-life emergence of symptoms related to early-life warzone
trauma among aging combat Veterans. We hypothesized that aging-related challenges
(role transition and loss, death of family members and friends, physical and
cognitive decline) might lead to increased reminiscence, and possibly distress,
among Veterans who had previously dealt successfully with earlier traumatic
events. Recently, we have reexamined our earlier ideas, to better reflect our
developing understanding of this phenomenon, and to incorporate more contemporary
perspectives on posttraumatic growth and resilience. As a result, we have
broadened our conceptualization to later-adulthood trauma reengagement (LATR). We
suggest that in later life many combat Veterans confront and rework their wartime
memories in an effort to find meaning and build coherence. Through reminiscence,
life review, and wrestling with issues such as integrity versus despair, they
intentionally reengage with experiences they avoided or managed successfully
earlier in life, perhaps without resolution or integration. This article links
LATR to classic gerontologic notions, and elaborates how the LATR process can
lead positively to personal growth or negatively to increased symptomatology. We
also address the role of preventive intervention in enhancing positive outcomes
for Veterans who reengage with their wartime memories in later life.
PMID- 26553736
TI - African Americans and Clinical Research: Evidence Concerning Barriers and
Facilitators to Participation and Recruitment Recommendations.
AB - Purpose of the Study: The goal of the study was to examine barriers and
facilitators to clinical research participation among African Americans, as well
as recommendations for overcoming these. Design and Methods: Eight focus groups
were conducted consisting of 64 individuals. These focus groups targeted 2 groups
of individuals: (a) community members, including both individuals involved in
research and individuals not involved in research, and (b) community leaders,
including clergy, community health care providers and service providers who may
influence decisions to participate in research. Results: Among participants in
both groups, the most common barriers to participation included fear and mistrust
of research due to multiple factors, such as a lack of information about research
and prevailing knowledge of historical occurrences. Facilitators to research
participation included intrinsic factors, such as a desire to help others, and
extrinsic factors, such as familiarity with the research recruiter. The focus
groups also directly engaged participants in discussions of strategies that might
improve recruitment, such as the importance of providing personal stories that
enable community members to understand the potential benefits of research.
Implications: Findings from these focus groups address the mandate from funding
agencies that emphasize the importance of including racially diverse populations
in clinical research studies, and offer potential solutions for increasing the
recruitment and retention of minority participants.
PMID- 26553737
TI - Aging in Italy: The Need for New Welfare Strategies in an Old Country.
AB - Italy, a Southern European country with 60.8 million inhabitants, has the largest
proportion of elderly citizens (aged >=65) in Europe of 21.4%. The aging of the
population is due to a number of reasons, such as baby boomers growing old, an
increase in longevity, and low birth rate. Although international migration has
increased in recent years, the addition of a foreign segment of the population
has neither compensated for nor significantly curtailed the aging phenomenon. The
impact of aging on the economic sustainability concerns the progressive reduction
of the workforce, high incidence of pension spending in the overall resources
allocated to welfare, recent reform of the pension system, and the growing issue
of "non-self-sufficiency" in the elderly. Despite limited financial measures
dedicated to research, Italy is conducting important studies on aging, both at
the national and international level. Physicians and researchers in the field of
geriatrics and gerontology are not only promoting quality of life in the elderly,
and healthy-active aging, but also contributing to economic stability and social
organization. Finally, nutritional and lifestyle habits-and their role in
preventing chronic diseases-are the focus of the current international event EXPO
2015, with many sections dedicated to the elderly.
PMID- 26553738
TI - Aging in Multi-ethnic Malaysia.
AB - Multiethnic Malaysia provides a unique case study of divergence in population
aging of different sociocultural subgroups within a country. Malaysia represents
3 major ethnicities in Asia-the Malay, Chinese, and Indian. The 3 ethnic groups
are at different stages of population aging, as they have undergone demographic
transition at different pace amidst rapid social and economic changes. Between
1991 and 2010, the Malaysian population aged 60 and over has more than doubled
from about 1 million to 2.2 million, and this is projected to rise to about 7
million or 17.6% of the projected population of 40 million by 2040. In 2010, the
aging index ranged from 22.8% among the Bumiputera (Malays and other indigenous
groups), to 31.4% among the Indians and 55.0% among the Chinese. Population aging
provides great challenges for Malaysia's social and economic development. The
increasing prevalence of noncommunicable diseases in older adults, coupled with
the erosion of the traditional family support system has increased demands on
health care services with an overwhelming need for multidisciplinary and
specialized geriatric care. Following the adoption of the National Policy for the
Elderly in 1995, issues of population aging have gained increasing attention,
especially among researchers. There is an urgent need to increase public
awareness, develop infrastructure, as well as support action oriented research
that will directly translate to comprehensive and cohesive social strategies,
policies, and legislation to protect not just the current older Malaysians but
the future of all Malaysians.
PMID- 26553739
TI - A bleeding umbilical mass.
PMID- 26553740
TI - A sinemydid turtle from the Jehol Biota provides insights into the basal
divergence of crown turtles.
AB - Morphological phylogenies stand in a major conflict with molecular hypotheses
regarding the phylogeny of Cryptodira, the most diverse and widely distributed
clade of extant turtles. However, molecular hypotheses are often considered a
better estimate of phylogeny given that it is more consistent with the
stratigraphic and geographic distribution of extinct taxa. That morphology fails
to reproduce the molecular topology partly originates from problematic character
polarization due to yet another contradiction around the composition of the
cryptodiran stem lineage. Extinct sinemydids are one of these problematic clades:
they have been either placed among stem-cryptodires, stem-chelonioid sea turtles,
or even stem-turtles. A new sinemydid from the Early Cretaceous Jehol Biota
(Yixian Formation, Barremian-Early Aptian) of China, Xiaochelys ningchengensis
gen. et sp. nov., allows for a reassessment of the phylogenetic position of
Sinemydidae. Our analysis indicates that sinemydids mostly share
symplesiomorphies with sea turtles and their purported placement outside the
crown-group of turtles is an artefact of previous datasets. The best current
phylogenetic estimate is therefore that sinemydids are part of the stem lineage
of Cryptodira together with an array of other Jurassic to Cretaceous taxa. Our
study further emphasises the importance of using molecular scaffolds in global
turtle analyses.
PMID- 26553742
TI - Dissipative solitons and backfiring in the electrooxidation of CO on Pt.
AB - Collisions of excitation pulses in dissipative systems lead usually to their
annihilation. In this paper, we report electrochemical experiments exhibiting
more complex pulse interaction with collision survival and pulse splitting,
phenomena that have rarely been observed experimentally and are only poorly
understood theoretically. Using spatially resolved in-situ Fourier transform
infrared spectroscopy (FTIR) in the attenuated total reflection configuration, we
monitored reaction pulses during the electrochemical oxidation of CO on Pt thin
film electrodes in a flow cell. The system forms quasi-1d pulses that align
parallel to the flow and propagate perpendicular to it. The pulses split once in
a while, generating a second solitary wave in the backward moving direction. Upon
collision, the waves penetrate each other in a soliton-like manner. These unusual
pulse dynamics could be reproduced with a 3-component reaction-diffusion
migration model with two inhibitor species, one of them exhibiting a long-range
spatial coupling. The simulations shed light on existence criteria of such
dissipative solitons.
PMID- 26553741
TI - Risperidone and NAP protect cognition and normalize gene expression in a
schizophrenia mouse model.
AB - Mutated disrupted in schizophrenia 1 (DISC1), a microtubule regulating protein,
leads to schizophrenia and other psychiatric illnesses. It is hypothesized that
microtubule stabilization may provide neuroprotection in schizophrenia. The NAP
(NAPVSIPQ) sequence of activity-dependent neuroprotective protein (ADNP) contains
the SxIP motif, microtubule end binding (EB) protein target, which is critical
for microtubule dynamics leading to synaptic plasticity and neuroprotection.
Bioinformatics prediction for FDA approved drugs mimicking SxIP-like motif which
displace NAP-EB binding identified Risperidone. Risperidone or NAP effectively
ameliorated object recognition deficits in the mutated DISC1 mouse model. NAP but
not Risperidone, reduced anxiety in the mutated mice. Doxycycline, which blocked
the expression of the mutated DISC1, did not reverse the phenotype. Transcripts
of Forkhead-BOX P2 (Foxp2), a gene regulating DISC1 and associated with human
ability to acquire a spoken language, were increased in the hippocampus of the
DISC1 mutated mice and were significantly lowered after treatment with NAP,
Risperidone, or the combination of both. Thus, the combination of NAP and
standard of care Risperidone in humans may protect against language disturbances
associated with negative and cognitive impairments in schizophrenia.
PMID- 26553743
TI - Immuno-analysis of microparticles: probing at the limits of detection.
AB - Microparticle (MP) research is clouded by debate regarding the accuracy and
validity of flow cytometry (FCM) as an analytical methodology, as it is
influenced by many variables including the pre-analytical conditions, instruments
physical capabilities and detection parameters. This study utilises a simplistic
in vitro system for generating MP, and through comparative analysis with immuno
electron microscopy (Immuno-EM) assesses the strengths and limitations of probe
selection and high-sensitivity FCM. Of the markers examined, MP were most
specifically labelled with phosphatidylserine ligands, annexin V and lactadherin,
although only ~60% MP are PS positive. Whilst these two ligands detect comparable
absolute MP numbers, they interact with the same population in distinct manners;
annexin V binding is enhanced on TNF induced MP. CD105 and CD54 expression were,
as expected, consistent and enhanced following TNF activation respectively. Their
labelling however accounted for as few as 30-40% of MP. The greatest
discrepancies between FCM and I-EM were observed in the population solely
labelled for the surface antigen. These findings demonstrate that despite
significant improvements in resolution, high-sensitivity FCM remains limited in
detecting small-size MP expressing low antigen levels. This study highlights
factors to consider when selecting endothelial MP probes, as well as interpreting
and representing data.
PMID- 26553745
TI - Long-Term Treatment of Chronic Neuropathic Pain Using External Noninvasive
External Peripheral Nerve Stimulation in Five Patients.
AB - BACKGROUND: External noninvasive peripheral nerve stimulation (EN-PNS) is a
neuromodulation technique in which a low-frequency electrical stimulation is
applied via a ball-shaped electrode that is placed directly onto the skin.
OBJECTIVES: To examine how this modality is being used in the long term, by
patients with chronic neuropathic pain who had responded to this treatment in a
short-term trial. MATERIALS AND METHODS: All patients with a diagnosis of
neuropathic pain who had obtained a machine for continued long-term use (via
special funding requests), following a successful trial between 2009 and 2012
were contacted. A successful trial was considered as >50% pain reduction,
duration of any beneficial treatment effect >=12 hours, and improvements to
function/reduced medication use. Data were collected from case notes and a
telephone interview. RESULTS: Thirteen trials were recorded successful, out of 21
conducted. Eleven individual funding applications were made, of which seven were
successful. Five patients were contactable. All reported continued stimulator use
at follow-up (average = 3.5 years) and ongoing pain relief associated with
treatment. Pain intensities (numerical rating scale 0-10) had reduced in all five
cases when baseline scores were compared with follow-up scores; average pain 5.6
3.5 and worst pain 8.6-4.8. All patients reported a reduction in frequency of
pain flare-ups during device use. CONCLUSION: Patients reported EN-PNS to provide
ongoing benefit at long-term follow-up. Further prospective investigations are
justified.
PMID- 26553744
TI - Varenicline for Smoking Cessation in Hospitalized Patients With Acute Coronary
Syndrome.
AB - BACKGROUND: Less than one-third of smokers hospitalized with an acute coronary
syndrome (ACS) remain abstinent following discharge. We assessed whether
varenicline, begun in-hospital, is efficacious for smoking cessation following
ACS. METHODS AND RESULTS: We conducted a multi-center, double-blind, randomized,
placebo-controlled trial in which smokers hospitalized with an ACS were
randomized to varenicline or placebo for 12 weeks. All patients received low
intensity counseling. The primary end point was point-prevalence smoking
abstinence assessed at 24 weeks by 7-day recall and biochemical validation using
expired carbon monoxide. A total of 302 patients were randomized (mean age 55+/-9
years; 75% male; 56% ST-segment elevation myocardial infarction; 38% non-ST
segment elevation myocardial infarction; 6% unstable angina). Patients smoked a
mean of 21+/-11 cigarettes/d at the time of hospitalization and had been smoking
for a mean of 36+/-12 years. At 24 weeks, patients randomized to varenicline had
significantly higher rates of smoking abstinence and reduction than patients
randomized to placebo. Point-prevalence abstinence rates were 47.3% in the
varenicline group and 32.5% in the placebo group (P=0.012; number needed to
treat=6.8). Continuous abstinence rates were 35.8% and 25.8%, respectively
(P=0.081; number needed to treat=10.0), and rates of reduction >=50% in daily
cigarette consumption were 67.4% and 55.6%, respectively (P=0.05; number needed
to treat=8.5). Adverse event rates within 30 days of study drug discontinuation
were similar between groups (serious adverse events: varenicline 11.9%, placebo
11.3%; major adverse cardiovascular events: varenicline 4.0%, placebo 4.6%).
CONCLUSIONS: Varenicline, initiated in-hospital following ACS, is efficacious for
smoking cessation. Future studies are needed to establish safety in these
patients. CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique
identifier: NCT00794573.
PMID- 26553746
TI - Inorganic-organic solar cells based on quaternary sulfide as absorber materials.
AB - We report a novel promising quaternary sulfide (CuAgInS) to serve as a
semiconductor sensitizer material in the photoelectrochemical field. In this
study, CuAgInS (CAIS) sulfide sensitized ZnO nanorods were fabricated on ITO
substrates through a facile and low-cost hydrothermal chemical method and applied
on photoanodes for solar cells for the first time. The component and
stoichiometry were key factors in determining the photoelectric performance of
CAIS sulfide, which were controlled by modulating their reaction time.
ZnO/Cu0.7Ag0.3InS2 nanoarrays exhibit an enhanced optical and photoelectric
performance and the power conversion efficiency of ITO/ZnO/Cu0.7Ag0.3InS2/P3HT/Pt
solid-state solar cell was up to 1.80%. The remarkable performance stems from
improved electron transfer, a higher efficiency of light-harvesting and
appropriate band gap alignment at the interface of the ZnO/Cu0.7Ag0.3InS2 NTs.
The research indicates that CAIS as an absorbing material has enormous potential
in solar cell systems.
PMID- 26553747
TI - Sequential brief neuropsychological evaluation of migraineurs is identical to
controls.
AB - BACKGROUND: Evidence of attack-related cognitive dysfunction in migraine is
growing. Controversy exists on whether cognitive dysfunction, mainly executive,
may persist between attacks. Measuring the impact of cognitive function is
gaining importance in clinical and research settings in migraine. OBJECTIVE: To
compare the performance of interictal migraine patients to controls in an
assembled neuropsychological battery focused on executive functions and to study
the practice effect of its repeated applications. METHOD: Assembly of the battery
that was then applied twice within 6 weeks to interictal migraineurs and matched
healthy controls. RESULTS: Migraine patients (n = 24) and controls (n = 24) had
similar performance in both applications of the battery. There was a slight
practice effect between the first and second evaluation, significant in Stroop
Interference test (P = 0.002, multiplicity corrected); a meaningful score change
was determined for each raw test scores. CONCLUSIONS: Interictal migraineurs and
controls performance is identical in a brief cognitive battery focused on
executive functions. Repeated applications produced a practice effect that was
quantified.
PMID- 26553748
TI - Use of single-representative reverse-engineered surface-models for RSA does not
affect measurement accuracy and precision.
AB - Implant migration can be accurately quantified by model-based Roentgen
stereophotogrammetric analysis (RSA), using an implant surface model to locate
the implant relative to the bone. In a clinical situation, a single reverse
engineering (RE) model for each implant type and size is used. It is unclear to
what extent the accuracy and precision of migration measurement is affected by
implant manufacturing variability unaccounted for by a single representative
model. Individual RE models were generated for five short-stem hip implants of
the same type and size. Two phantom analyses and one clinical analysis were
performed: "Accuracy-matched models": one stem was assessed, and the results from
the original RE model were compared with randomly selected models. "Accuracy
random model": each of the five stems was assessed and analyzed using one
randomly selected RE model. "Precision-clinical setting": implant migration was
calculated for eight patients, and all five available RE models were applied to
each case. For the two phantom experiments, the 95%CI of the bias ranged from
0.28 mm to 0.30 mm for translation and -2.3 degrees to 2.5 degrees for
rotation. In the clinical setting, precision is less than 0.5 mm and 1.2 degrees
for translation and rotation, respectively, except for rotations about the
proximodistal axis (<4.1 degrees ). High accuracy and precision of model-based
RSA can be achieved and are not biased by using a single representative RE model.
At least for implants similar in shape to the investigated short-stem, individual
models are not necessary. (c) 2015 Orthopaedic Research Society. Published by
Wiley Periodicals, Inc. J Orthop Res 34:903-910, 2016.
PMID- 26553749
TI - Dysregulation of miR-212 Promotes Castration Resistance through hnRNPH1-Mediated
Regulation of AR and AR-V7: Implications for Racial Disparity of Prostate Cancer.
AB - PURPOSE: The causes of disproportionate incidence and mortality of prostate
cancer among African Americans (AA) remain elusive. The purpose of this study was
to investigate the mechanistic role and assess clinical utility of the splicing
factor heterogeneous nuclear ribonucleoprotein H1 (hnRNP H1) in prostate cancer
progression among AA men. EXPERIMENTAL DESIGN: We employed an unbiased functional
genomics approach coupled with suppressive subtractive hybridization (SSH) and
custom cDNA microarrays to identify differentially expressed genes in
microdissected tumors procured from age- and tumor grade-matched AA and Caucasian
American (CA) men. Validation analysis was performed in independent cohorts and
tissue microarrays. The underlying mechanisms of hnRNPH1 regulation and its
impact on androgen receptor (AR) expression and tumor progression were explored.
RESULTS: Aberrant coexpression of AR and hnRNPH1 and downregulation of miR-212
were detected in prostate tumors and correlate with disease progression in AA men
compared with CA men. Ectopic expression of miR-212 mimics downregulated hnRNPH1
transcripts, which in turn reduced expression of AR and its splice variant AR-V7
(or AR3) in prostate cancer cells. hnRNPH1 physically interacts with AR and
steroid receptor coactivator-3 (SRC-3) and primes activation of androgen
regulated genes in a ligand-dependent and independent manner. siRNA silencing of
hnRNPH1 sensitized prostate cancer cells to bicalutamide and inhibited prostate
tumorigenesis in vivo CONCLUSIONS: Our findings define novel roles for hnRNPH1 as
a putative oncogene, splicing factor, and an auxiliary AR coregulator. Targeted
disruption of the hnRNPH1-AR axis may have therapeutic implications to improve
clinical outcomes in patients with advanced prostate cancer, especially among AA
men.
PMID- 26553750
TI - Molecular Pathways: IDH2 Mutations-Co-opting Cellular Metabolism for Malignant
Transformation.
AB - Mutations in mitochondrial IDH2, one of the three isoforms of IDH, were
discovered in patients with gliomas in 2009 and subsequently described in acute
myelogenous leukemia (AML), angioimmunoblastic T-cell lymphoma, chondrosarcoma,
and intrahepatic chloangiocarcinoma. The effects of mutations in IDH2 on cellular
metabolism, the epigenetic state of mutated cells, and cellular differentiation
have been elucidated in vitro and in vivo. Mutations in IDH2 lead to an enzymatic
gain of function that catalyzes the conversion of alpha-ketoglutarate to beta
hydroxyglutarate (2-HG). Supranormal levels of 2-HG lead to hypermethylation of
epigenetic targets and a subsequent block in cellular differentiation. AG-221, a
small-molecule inhibitor of mutant IDH2, is being explored in a phase I clinical
trial for the treatment of AML, other myeloid malignancies, solid tumors, and
gliomas.
PMID- 26553751
TI - Distal esophageal spasm and the Chicago classification: is timing everything?
AB - BACKGROUND: According to the Chicago classification of esophageal motility
disorders, distal esophageal spasm (DES) is defined as premature esophageal
contractions (distal latency [DL] <4.5 s) for >=20% of swallows, in the presence
of a normal mean integral relaxation pressure (IRP). However, some patients with
symptoms of DES have rapid contractions with a normal DL. The aim of this study
was to characterize these patients and compare their clinical characteristics to
those of patients classified as DES. METHODS: We retrospectively compared
clinical characteristics and high-resolution manometry findings of patients with
rapid contractions with normal latency to those meeting the Chicago
classification criteria for DES. KEY RESULTS: Over a 3-year period, nine patients
were diagnosed with DES and 14 showed rapid contractions in the distal esophagus
with normal latency. The latter were younger than DES patients (60 +/- 4 vs 72 +/
3 years, p < 0.05). Dysphagia and retrosternal pain occurred to a similar degree
in both groups. Weight loss and abnormal barium esophagogram tended to be more
frequent in DES patients. There was no difference in contractile front velocity
(CFV) and in distal contractile integral (DCI) between patients with DES and
rapid contractions with normal latency. Lower esophageal sphincter pressures were
not different between groups. However, IRP was significantly higher in DES
compared to rapid contractions with normal latency (11.7 +/- 0.6 mmHg vs 7.6 +/-
1.2 mmHg, p < 0.05), albeit still within the normal range. CONCLUSIONS &
INFERENCES: These data suggest that patients with simultaneous contractions with
normal latency represent a group of patients with many features similar to DES.
PMID- 26553752
TI - Switchable Fe/Co Prussian blue networks and molecular analogues.
AB - With the long term objective to build the next generation of devices from the
molecular scale, scientists have explored extensively in the past two decades the
Prussian blue derivatives and their remarkable physico-chemical properties. In
particular, the exquisite Fe/Co system displays tuneable optical and magnetic
behaviours associated with thermally and photo-induced metal-to-metal electron
transfer processes. Recently, numerous research groups have been involved in the
transfer of these electronic properties to new Fe/Co coordination networks of
lower dimensionality as well as soluble molecular analogues in order to
facilitate their manipulation and integration into devices. In this review, the
most representative examples of tridimensional Fe/Co Prussian blue compounds are
described, focusing on the techniques used to understand their photomagnetic
properties. Subsequently, the different strategies employed toward the design of
new low dimensional Prussian blue analogues based on a rational molecular
building block approach are discussed emphasizing the advantages of these
functional molecular systems.
PMID- 26553753
TI - Radical [1,3] Rearrangements of Breslow Intermediates.
AB - Breslow intermediates that bear radical-stabilizing N substituents, such as
benzyl, cinnamyl, and diarylmethyl, undergo facile homolytic C-N bond scission
under mild conditions to give products of formal [1,3] rearrangement rather than
benzoin condensation. EPR experiments and computational analysis support a
radical-based mechanism. Implications for thiamine-based enzymes are discussed.
PMID- 26553754
TI - Pharmacotherapy and generic health-related quality of life in Parkinson's
disease.
AB - OBJECTIVES: Improvement of health-related quality of life (HRQoL) is one of the
primary objectives of symptomatic therapies in Parkinson's disease (PD). The aim
of this observational study was to investigate possible changes in generic HRQoL
in relation to changed PD pharmacotherapy in the clinical setting. MATERIALS &
METHODS: A total of 219 outpatients with mild to moderate PD (median H&Y score =
2.0), treated with oral antiparkinsonian medications, were investigated twice
with a 6-month interval. At baseline, PD medication dose was increased for 82
patients for clinical reasons (median increase of 100 mg levodopa equivalent
daily dose or 31.9%), whereas medication remained unchanged for 137 patients. Two
generic HRQoL questionnaires, EQ-5D and 15D, were used at baseline and at 6
months, and the baseline and delta HRQoL values were compared between the
treatment groups. RESULTS: In the entire sample, the EQ-VAS score decreased
during the study period, indicating a general decline in HRQoL (P = 0.04). There
were no differences in the baseline HRQoL values or delta values between the
treatment groups as measured with EQ-5D or 15D (levodopa dose elevated vs
dopamine agonist/MAO-B inhibitor dose elevated vs no change in medication).
CONCLUSIONS: An approximately 1/3 increase in antiparkinsonian medication dose
did not have an impact on generic HRQoL. Disease-specific QoL may be more
sensitive to pharmacotherapy-related changes in PD.
PMID- 26553755
TI - A Peptidyl-Transesterifying Type I Thioesterase in Salinamide Biosynthesis.
AB - Salinamide A belongs to a rare class of bicyclic depsipeptide antibiotics in
which the installation of a (4-methylhexa-2,4-dienoyl)glycine handle across a
hexadepsipeptide core contributes to its chemical complexity and biological
properties. Herein, we report the genetic and biochemical basis for salinamide
construction in the marine bacterium Streptomyces sp. CNB-091, which involves a
novel intermolecular transesterification reaction catalyzed by a type I
thioesterase. Heterologous expression studies revealed the central role of the
nonribosomal peptide synthetase Sln9 in constructing and installing the
distinctive acylglycine "basket handle" of salinamide. Biochemical
characterization of the Sln9 thioesterase domain established that
transesterification of the serine residue of desmethylsalinamide E with acylated
glycyl thioesters yields desmethylsalinamide C.
PMID- 26553757
TI - Subthreshold vagal stimulation suppresses ventricular arrhythmia and inflammatory
response in a canine model of acute cardiac ischaemia and reperfusion.
AB - Subthreshold vagal stimulation (SVS) suppresses atrial arrhythmias in canine
models and humans. This study was designed to examine whether SVS could decrease
ventricular arrhythmia during ischaemia and reperfusion. Twenty-four
anaesthetized dogs subjected to 1 h of coronary artery occlusion and 3 h of
reperfusion were equally assigned into sham and SVS groups. Subthreshold vagal
stimulation was initiated 15 min before coronary occlusion and maintained until
the end of the reperfusion period using electrical stimulation on bilateral vagal
trunks at 50% below the voltage which slowed the sinus rate. Ventricular
arrhythmias were recorded during ischaemia and reperfusion periods. Serum
concentrations of C-reactive protein (CRP), interleukin-6 (IL-6), tumour necrosis
factor-alpha (TNF-alpha), high-mobility group box 1 (HMGB1) and noradrenaline
were detected at baseline, at the end of ischaemia and at the end of reperfusion.
Area at risk and infarct size were evaluated after 3 h of reperfusion. Compared
with the sham group, SVS significantly suppressed ischaemia- and reperfusion
induced ventricular arrhythmias and decreased serum concentrations of CRP, IL-6,
TNF-alpha, HMGB1 and noradrenaline during both the ischaemia period and the
reperfusion period. However, SVS did not affect the area at risk, infarct size or
the ratio of infarct size to area at risk. This study demonstrated that SVS
exerted antiarrhythmic and anti-inflammatory effects in a canine model of
ischaemia and reperfusion.
PMID- 26553756
TI - Pannexin-1 and P2X7-Receptor Are Required for Apoptotic Osteocytes in Fatigued
Bone to Trigger RANKL Production in Neighboring Bystander Osteocytes.
AB - Osteocyte apoptosis is required to induce intracortical bone remodeling after
microdamage in animal models, but how apoptotic osteocytes signal neighboring
"bystander" cells to initiate the remodeling process is unknown. Apoptosis has
been shown to open pannexin-1 (Panx1) channels to release adenosine diphosphate
(ATP) as a "find-me" signal for phagocytic cells. To address whether apoptotic
osteocytes use this signaling mechanism, we adapted the rat ulnar fatigue-loading
model to reproducibly introduce microdamage into mouse cortical bone and measured
subsequent changes in osteocyte apoptosis, receptor activator of NF-kappaB ligand
(RANKL) expression and osteoclastic bone resorption in wild-type (WT; C57Bl/6)
mice and in mice genetically deficient in Panx1 (Panx1KO). Mouse ulnar loading
produced linear microcracks comparable in number and location to the rat model.
WT mice showed increased osteocyte apoptosis and RANKL expression at microdamage
sites at 3 days after loading and increased intracortical remodeling and
endocortical tunneling at day 14. With fatigue, Panx1KO mice exhibited levels of
microdamage and osteocyte apoptosis identical to WT mice. However, they did not
upregulate RANKL in bystander osteocytes or initiate resorption. Panx1 interacts
with P2X7 R in ATP release; thus, we examined P2X7 R-deficient mice and WT mice
treated with P2X7 R antagonist Brilliant Blue G (BBG) to test the possible role
of ATP as a find-me signal. P2X7 RKO mice failed to upregulate RANKL in
osteocytes or induce resorption despite normally elevated osteocyte apoptosis
after fatigue loading. Similarly, treatment of fatigued C57Bl/6 mice with BBG
mimicked behavior of both Panx1KO and P2X7 RKO mice; BBG had no effect on
osteocyte apoptosis in fatigued bone but completely prevented increases in
bystander osteocyte RANKL expression and attenuated activation of resorption by
more than 50%. These results indicate that activation of Panx1 and P2X7 R are
required for apoptotic osteocytes in fatigued bone to trigger RANKL production in
neighboring bystander osteocytes and implicate ATP as an essential signal
mediating this process.
PMID- 26553759
TI - At Last: Getting Paid to Think!
PMID- 26553758
TI - How to measure snoring? A comparison of the microphone, cannula and piezoelectric
sensor.
AB - The objective of this study was to compare to each other the methods currently
recommended by the American Academy of Sleep Medicine (AASM) to measure snoring:
an acoustic sensor, a piezoelectric sensor and a nasal pressure transducer
(cannula). Ten subjects reporting habitual snoring were included in the study,
performed at Landspitali-University Hospital, Iceland. Snoring was assessed by
listening to the air medium microphone located on a patient's chest, compared to
listening to two overhead air medium microphones (stereo) and manual scoring of a
piezoelectric sensor and nasal cannula vibrations. The chest audio picked up the
highest number of snore events of the different snore sensors. The sensitivity
and positive predictive value of scoring snore events from the different sensors
was compared to the chest audio: overhead audio (0.78, 0.98), cannula (0.55,
0.67) and piezoelectric sensor (0.78, 0.92), respectively. The chest audio was
capable of detecting snore events with lower volume and higher fundamental
frequency than the other sensors. The 200 Hz sampling rate of the cannula and
piezoelectric sensor was one of their limitations for detecting snore events. The
different snore sensors do not measure snore events in the same manner. This lack
of consistency will affect future research on the clinical significance of
snoring. Standardization of objective snore measurements is therefore needed.
Based on this paper, snore measurements should be audio-based and the use of the
cannula as a snore sensor be discontinued, but the piezoelectric sensor could
possibly be modified for improvement.
PMID- 26553760
TI - Multidisciplinary Care: For the Sake of Our Patients.
PMID- 26553761
TI - Buying In.
PMID- 26553762
TI - Ewing Sarcoma in a Patient With Cowden Syndrome.
AB - A 47-year-old woman, initially diagnosed in 1996 with Cowden syndrome (CS), PTEN
mutant bilateral breast cancer, a thyroid nodule, and uterine fibroids, presented
to UCLA in 2013 with Ewing sarcoma of the pelvic bone. Her treatment course
included mastectomies, hysterectomy/oophorectomy, and total thyroid resection,
and chemotherapy, radiation, and hemipelvectomy for Ewing sarcoma. This case
report illustrates the unusual presentation of Ewing sarcoma in a patient with
PTEN-mutant CS, the probable underlying molecular pathogenesis, long-term
management, and therapeutic considerations.
PMID- 26553763
TI - Uterine Sarcoma, Version 1.2016: Featured Updates to the NCCN Guidelines.
AB - The NCCN Guidelines for Uterine Neoplasms provide interdisciplinary
recommendations for treating endometrial carcinoma and uterine sarcomas. These
NCCN Guidelines Insights summarize the NCCN Uterine Neoplasms Panel's 2016
discussions and major guideline updates for treating uterine sarcomas. During
this most recent update, the panel updated the mesenchymal tumor classification
to correspond with recent updates to the WHO tumor classification system.
Additionally, the panel revised its systemic therapy recommendations to reflect
new data and collective clinical experience. These NCCN Guidelines Insights
elaborate on the rationale behind these recent changes.
PMID- 26553764
TI - NCCN Oncology Research Program's Investigator Steering Committee and NCCN Best
Practices Committee Molecular Profiling Surveys.
AB - BACKGROUND: With advances such as next-generation sequencing (NGS) increasing
understanding of the basis of cancer and its response to treatment, NCCN believes
it is important to understand how molecular profiling/diagnostic testing is being
performed and used at NCCN Member Institutions and their community affiliates.
METHODS: The NCCN Oncology Research Program's Investigator Steering Committee and
the NCCN Best Practices Committee gathered baseline information on the use of
cancer-related molecular testing at NCCN Member Institutions and community
members of the NCCN Affiliate Research Consortium through 2 separate surveys
distributed in December 2013 and September 2014, respectively. RESULTS: A total
of 24 NCCN Member Institutions and 8 affiliate sites provided quantitative and
qualitative data. In the context of these surveys, "molecular
profiling/diagnostics" was defined as a panel of at least 10 genes examined as a
diagnostic DNA test in a Clinical Laboratory Improvement Amendments (CLIA)
certified laboratory. CONCLUSIONS: Results indicated that molecular
profiling/diagnostics are used at 100% of survey respondents' institutions to
make patient care decisions. However, challenges relating to reimbursement, lack
of data regarding actionable targets and targeted therapies, and access to drugs
on or off clinical trials were cited as barriers to integration of molecular
profiling into patient care. Frameworks for using molecular diagnostic results
based on levels of evidence, alongside continued research into the predictive
value of biomarkers and targeted therapies, are recommended to advance
understanding of the role of genomic biomarkers. Greater evidence and consensus
regarding the clinical and cost-effectiveness of molecular profiling may lead to
broader insurance coverage and increased integration into patient care.
PMID- 26553765
TI - The Impact of Insurance Status on Tumor Characteristics and Treatment Selection
in Contemporary Patients With Prostate Cancer.
AB - BACKGROUND: Approximately 15% of the US population does not have health
insurance. The objective of this study was to evaluate the impact of insurance
status on tumor characteristics and treatment selection in patients with prostate
cancer. MATERIALS AND METHODS: We identified 20,393 patients younger than 65
years with prostate cancer in the 2010-2011 SEER database. Multivariable logistic
regression analysis tested the relationship between insurance status and 2 end
points: (1) presenting with low-risk prostate cancer at diagnosis and (2)
receiving local treatment of the prostate. Locally weighted scatterplot smoothing
methods were used to graphically explore the interaction among insurance status,
use of local treatment, and baseline risk of cancer recurrence. The latter was
defined using the Stephenson nomogram and CAPRA score. RESULTS: Overall, 18,993
patients (93%) were insured, 849 (4.2%) had Medicaid coverage, and 551 (2.7%)
were uninsured. At multivariable analysis, Medicaid coverage (odds ratio [OR],
0.67; 95% CI, 0.57, 0.80; P<.0001) and uninsured status (OR, 0.57; 95% CI, 0.46,
0.71; P<.0001) were independent predictors of a lower probability of presenting
with low-risk disease. Likewise, Medicaid coverage (OR, 0.72; 95% CI, 0.60, 0.86;
P=.0003) and uninsured status (OR, 0.45; 95% CI, 0.37, 0.55; P<.0001) were
independent predictors of a lower probability of receiving local treatment. In
uninsured patients, treatment disparities became more pronounced as the baseline
cancer recurrence risk increased (10% in low-risk patients vs 20% in high-risk
patients). CONCLUSIONS: Medicaid beneficiaries and uninsured patients are
diagnosed with higher-risk disease and are undertreated. The latter is more
accentuated for patients with high-risk prostate cancer. This may seriously
compromise the survival of these individuals.
PMID- 26553766
TI - Patterns of Chemotherapy Administration in High-Risk Soft Tissue Sarcoma and
Impact on Overall Survival.
AB - BACKGROUND: Conflicting data exist on the benefit of chemotherapy in the
management of high-risk soft tissue sarcoma (STS). Use of chemotherapy may be
dependent on patient, tumor, and facility characteristics. This study sought to
identify these factors and compare survival between treatment groups. PATIENTS
AND METHODS: Patients with stage III STS were identified from the National Cancer
Data Base (NCDB) from 1998 to 2012. Multiple logistic regression analysis was
used to determine factors that influenced the probability of receiving
chemotherapy. In a subset of patients, we determined the relationship between
chemotherapy use and overall survival, using Kaplan-Meier curves and Cox
regression analysis with propensity score adjustment. We also examined the effect
of chemotherapy by histologic subgroup using interaction models. RESULTS: A total
of 16,370 patients were included (N=5,377 for survival analysis). Patients who
were younger than 40 years; male; privately insured; earned a higher income; had
no comorbidities; had synovial sarcoma, angiosarcoma or "other" histology; and
whose tumors were high-grade, greater than 10 cm, or from the lower extremity
were significantly more likely to receive chemotherapy. Median unadjusted overall
survival (OS) in the nonchemotherapy and chemotherapy groups was 51.3 and 82.7
months, respectively (P<.001). On adjusted analysis, the survival benefit
remained significant (hazard ratio [HR], 0.85; P=.004). The benefit was
particularly strong in the undifferentiated pleomorphic sarcoma (UPS) group on
adjustment, with a median OS of 49.1 and 77.8 months for nonchemotherapy versus
chemotherapy, respectively (HR, 0.77; P=.02). CONCLUSIONS: In addition to
expected tumor and patient factors, histology, location of primary tumor, and
socioeconomic status are associated with receipt/nonreceipt of chemotherapy in
stage III STS. Chemotherapy use was associated with improved OS in the overall
population, and specifically in the UPS subgroup.
PMID- 26553767
TI - Dose Delays, Dose Reductions, and Relative Dose Intensity in Patients With Cancer
Who Received Adjuvant or Neoadjuvant Chemotherapy in Community Oncology
Practices.
AB - BACKGROUND: A wide variety of myelosuppressive chemotherapy regimens are used for
the treatment of cancer in clinical practice. Neutropenic complications, such as
febrile neutropenia, are among the most common side effects of chemotherapy, and
they often necessitate delays or reductions in doses of myelosuppressive agents.
Reduced relative dose intensity (RDI) may lead to poorer disease-free and overall
survival. METHODS: Using the McKesson Specialty Health/US Oncology iKnowMed
electronic health record database, we retrospectively identified the first course
of adjuvant or neoadjuvant chemotherapy received by patients without metastases
who initiated treatment between January 1, 2007, and March 31, 2011. For each
regimen, we estimated the incidences of dose delays (>=7 days in any cycle of the
course), dose reductions (>= 15% in any cycle of the course), and reduced RDI
(<85% over the course) relative to the corresponding standard tumor regimens
described in the NCCN Clinical Practice Guidelines in Oncology (NCCN Guidelines).
RESULTS: This study included 16,233 patients with 6 different tumor types who
received 1 of 20 chemotherapy regimens. Chemotherapy dose delays, dose
reductions, and reduced RDI were common among patients treated in community
oncology practices in the United States, but RDI was highly variable across
patients, regimens, and tumor types (0.486-0.935 for standard tumor regimen
cohorts). Reduced RDI was more common in older patients, obese patients, and
patients whose daily activities were restricted. CONCLUSIONS: In this large
evaluation of RDI in US clinical practice, physicians frequently administered
myelosuppressive agents at dose intensities lower than those of standard
regimens.
PMID- 26553769
TI - Role of Immune Therapies for Myeloma.
AB - Immune therapy has emerged as a promising area of cancer therapeutics based on
its potential for tumor selectivity and targeting of chemotherapy-resistant
clones. Allogeneic transplantation produces durable remissions in a subset of
patients, albeit at the cost of graft- versus-host disease. Recent years have
witnessed efforts to induce more selective immune responses via dendritic cell
vaccines, autologous and engineered T-cell therapy, and immune checkpoint
blockade. Optimizing these immunotherapeutic approaches, understanding how to
best use them in combination, and determining how to integrate them with standard
anti-myeloma therapy could provide the potential to alter the natural history of
this disease.
PMID- 26553770
TI - Is the Preoperative Setting an Appropriate Platform for Drug Approval in Breast
Cancer?
PMID- 26553772
TI - Validity and reliability of the Diagnostic Adaptive Behaviour Scale.
AB - BACKGROUND: The Diagnostic Adaptive Behaviour Scale (DABS) is a new standardised
adaptive behaviour measure that provides information for evaluating limitations
in adaptive behaviour for the purpose of determining a diagnosis of intellectual
disability. This article presents validity evidence and reliability data for the
DABS. METHOD: Validity evidence was based on comparing DABS scores with scores
obtained on the Vineland Adaptive Behaviour Scale, second edition. The stability
of the test scores was measured using a test and retest, and inter-rater
reliability was assessed by computing the inter-respondent concordance. RESULTS:
The DABS convergent validity coefficients ranged from 0.70 to 0.84, while the
test-retest reliability coefficients ranged from 0.78 to 0.95, and the inter
rater concordance as measured by intraclass correlation coefficients ranged from
0.61 to 0.87. CONCLUSIONS: All obtained validity and reliability indicators were
strong and comparable with the validity and reliability coefficients of the most
commonly used adaptive behaviour instruments. These results and the advantages of
the DABS for clinician and researcher use are discussed.
PMID- 26553768
TI - Multiple Myeloma, Version 2.2016: Clinical Practice Guidelines in Oncology.
AB - Multiple myeloma (MM) is a malignant neoplasm of plasma cells that accumulate in
bone marrow, leading to bone destruction and marrow failure. Recent statistics
from the American Cancer Society indicate that the incidence of MM is increasing.
The NCCN Clinical Practice Guidelines in Oncology (NCCN Guidelines) included in
this issue address management of patients with solitary plasmacytoma and newly
diagnosed MM.
PMID- 26553773
TI - Clinical Practice Patterns in Constrictive Pericarditis Patients With Heart
Failure: A Retrospective Cohort Study Using a National Inpatient Database in
Japan.
AB - BACKGROUND: Previous studies on constrictive pericarditis (CP) mainly concerned
patients undergoing pericardiectomy. The reported perioperative mortality of CP
patients remained high. Data on medically treated CP patients without
pericardiectomy have been scarce. HYPOTHESIS: Constrictive pericarditis patients
with more comorbidities are less likely to undergo pericardiectomy. METHODS:
Using the Diagnosis Procedure Combination database from 2007 to 2013, we
retrospectively identified CP patients admitted with heart failure of New York
Heart Association (NYHA) class II to IV. We compared clinical characteristics
between patients treated with and without pericardiectomy. A multivariable
logistic regression analysis was performed to assess the factors associated with
likelihood of undergoing pericardiectomy. RESULTS: Of 855 eligible patients, 164
(19.2%) underwent pericardiectomy (surgery group) and 691 (80.8%) did not (no
surgery group). The surgery group was younger (mean age, 65.0 years vs 70.3
years; P < 0.001) and more often male (81.7% vs 72.2%; P = 0.013) than the no
surgery group. No significant difference was seen in NYHA class and Barthel Index
between the groups, whereas the surgery group had a lower Charlson Comorbidity
Index (CCI). Older age, female sex, and higher CCI were significantly associated
with a lower likelihood of undergoing pericardiectomy. In the surgery group, 30
day postoperative mortality was significantly higher in patients who underwent
cardiopulmonary bypass than in those who did not (11.3% vs 2.9%; P = 0.030).
CONCLUSIONS: Patients' backgrounds were associated with the likelihood of
undergoing pericardiectomy. Conservative medical therapy may be acceptable in CP
patients with severe background and high preoperative need for cardiopulmonary
bypass.
PMID- 26553774
TI - Fungal identification using a Bayesian classifier and the Warcup training set of
internal transcribed spacer sequences.
AB - Fungi are key organisms in many ecological processes and communities. Rapid and
low cost surveys of the fungal members of a community can be undertaken by
isolating and sequencing a taxonomically informative genomic region, such as the
ITS (internal transcribed spacer), from DNA extracted from a metagenomic sample,
and then classifying these sequences to determine which organisms are present.
This paper announces the availability of the Warcup ITS training set and shows
how it can be used with the Ribosomal Database Project (RDP) Bayesian Classifier
to rapidly and accurately identify fungi using ITS sequences. The classifications
can be down to species level and use conventional literature-based mycological
nomenclature and taxonomic assignments.
PMID- 26553775
TI - Phytophthora species recovered from the Connecticut River Valley in
Massachusetts, USA.
AB - Little is currently known about the assemblage of Phytophthora species in
northeastern North America, representing a gap in our understanding of species
incidence. Therefore, Phytophthora species were surveyed at 20 sites in
Massachusetts, with 16 occurring in the Connecticut River Valley. Many of the
sampled waterways were adjacent to active agricultural lands, yet were buffered
by mature floodplain forests composed of Acer, Platanus, Populus and Ulmus.
Isolates were recovered with three types of baits (rhododendron leaves, pear,
green pepper) in 2013 and water filtration in 2014. Overall, 457 isolates of
Phytophthora were recovered and based on morphological characters and rDNA
internal transcribed spacer (ITS), beta-tubulin (beta-tub) and cytochrome oxidase
c subunit I (cox1) sequences, 18 taxa were identified, including three new
species: P. taxon intercalaris, P. taxon caryae and P. taxon pocumtuck. In
addition, 49 isolates representing five species of Phytopythium also were
identified. Water filtration captured a greater number of taxa (18) compared to
leaf and fruit baits (12). Of the three bait types rhododendron leaves yielded
the greatest number of isolates and taxa, followed by pear and green pepper,
respectively. Despite the proximity to agricultural lands, none of the
Phytophthora species baited are considered serious pathogens of vegetable crops
in the region. However, many of the recovered species are known woody plant
pathogens, including four species in the P. citricola s.l. complex that were
identified: P. plurivora, P. citricola III, P. pini and a putative novel species,
referred to here as P. taxon caryae. An additional novel species, P. taxon
pocumtuck, is a close relative of P. borealis based on cox1 sequences. The
results illustrate a high level of Phytophthora species richness in the
Connecticut River Valley and that major rivers can serve as a source of inoculum
for pathogenic Phytophthora species in the northeast.
PMID- 26553776
TI - Global diversity and phylogeny of the Phellinus igniarius complex
(Hymenochaetales, Basidiomycota) with the description of five new species.
AB - The Phellinus igniarius complex corresponds to Phellinus s.s., a well supported
clade in the polyphyletic Phellinus s.l. studied worldwide. Recently a molecular
phylogeny of this complex was performed for the European and North American
species. In this study we expand the taxon samplings of the P. igniarius complex
to include 59, seven and 12 additional collections originating from China, Czech
Republic and USA, respectively. We generated 78 nuc rDNA ITS1-5.8S-ITS2 (ITS) and
42 translation elongation factor 1-alpha gene (tef1alpha) sequences. Based on the
morphological and phylogenetic (combined ITS and tef1alpha dataset) analyses,
Phellinus monticola, P. orientoasiaticus, P. padicola, P. parmastoi and P.
pomaceoides are newly described and illustrated from China and USA. Phellinus
pseudoigniarius is treated as a later synonym of P. igniarius, whereas Phellinus
betulinus subsp. betulinus is accepted as P. betulinus. A total of 15 species are
accepted in the P. igniarius complex worldwide. Of them, 10 species are
distributed in eastern Asia, eight in Europe and six in North America. The
taxonomy, phylogeny, host associations and geographic distributions of these 15
species are briefly discussed.
PMID- 26553777
TI - Two new species of true morels from Newfoundland and Labrador: cosmopolitan
Morchella eohespera and parochial M. laurentiana.
AB - Morphological and molecular phylogenetic studies of true morels (Morchella) in
the Canadian province of Newfoundland and Labrador resulted in the discovery of
two undescribed species in the M. elata clade that we initially distinguished by
the informal designations Mel-19 and Mel-36. The latter species, also collected
in New Brunswick, Canada, is hitherto known only from the St Lawrence River
Basin. Mel-36 is described here as a novel, phylogenetically distinct species, M.
laurentiana. Before the discovery of Mel-19 in Newfoundland and Labrador, New
Brunswick and Washington state it was only known from central China and central
and northern Europe. Mel-19 is described here as a novel species, M. eohespera.
PMID- 26553778
TI - Three new species of Physalacria from China, with a key to the Asian taxa.
AB - Three new and one previously described species of Physalacria (Physalacriaceae,
Agaricales) are reported from China. Specimens of two additional species
described from Malaysia and North America were studied for comparison. Placements
of these species were corroborated based on morphological observations and
molecular evidence from partial sequences of the nuc rDNA internal transcribed
spacer regions (ITS) and the 28S D1-D3 region, and genes for translation
elongation factor 1-alpha (tef1alpha) and the second largest subunit of RNA
polymerase II (rpb2). These new species of Physalacria distributed in subtropical
China were found on rotten wood of broadleaf trees or bamboo and possess
stipitate-capitate basidiomata with four-spored basidia, clamp connections and
smooth, inamyloid basidiospores. To facilitate studies of the genus in Asia, a
key is provided for all Physalacria species reported from this region.
PMID- 26553779
TI - Unraveling the Inocybe praetervisa group through type studies and ITS data:
Inocybe praetervisoides sp. nov. from the Mediterranean region.
AB - Species in the Inocybe praetervisa group are characterized by producing nodulose
to angular basidiospores and a bulbous, marginate, white stipe devoid of any
pinkish to reddish tinge. Species delimitation problems and common
misinterpretations in the I. praetervisa group have not yet been resolved through
type studies and analysis of molecular data. This study seeks to clarify the
taxonomy and nomenclature of species around I. praetervisa. Analyses of the nuc
rDNA internal transcribed regions (ITS) recovered two major groups within the I.
praetervisa group that can be separated on the basis of cystidial morphology. The
study of three authentic and topotypic specimens in the Bresadola herbarium
revealed that the name I. praetervisa has been misapplied often. The ITS region
of one of the specimens was obtained, and this specimen is designated as epitype
in support of a lectotype. Inocybe rivularis is demonstrated to be a later
synonym of I. praetervisa, while Inocybe phaeocystidiosa is the correct name for
the species most often misdetermined as I. praetervisa. Inocybe salicis-herbaceae
and I. praetervisa var. flavofulvida are shown to be synonyms of I.
phaeocystidiosa based on ITS sequence data from type collections. A new species
sister to I. phaeocystidiosa with a Mediterranean distribution is described as I.
praetervisoides. Cystidial morphology, distribution of caulocystidia,
basidiospore morphology and ecology are shown to be the main diagnostic
characters for separating the species. Inocybe praetervisa and I. phaeocystidiosa
have a transoceanic distribution in Europe and North America, whereas I.
praetervisoides so far is known only from the Mediterranean region.
PMID- 26553780
TI - Prospective Clinical Study of Precision Oncology in Solid Tumors.
AB - Systematic studies evaluating clinical benefit of tumor genomic profiling are
lacking. We conducted a prospective study in 250 patients with select solid
tumors at the Cleveland Clinic. Eligibility required histopathologic diagnosis,
age of 18 years or older, Eastern Cooperative Oncology Group performance status 0
2, and written informed consent. Tumors were sequenced using FoundationOne
(Cambridge, MA). Results were reviewed at the Cleveland Clinic Genomics Tumor
Board. Outcomes included feasibility and clinical impact. Colorectal (25%),
breast (18%), lung (13%), and pancreatobiliary (13%) cancers were the most common
diagnoses. Median time from consent to result was 25 days (range = 3-140). Of 223
evaluable samples, 49% (n = 109) of patients were recommended a specific therapy,
but only 11% (n = 24) received such therapy: 12 on clinical trials, nine off
label, three on-label. Lack of clinical trial access (n = 49) and clinical
deterioration (n = 29) were the most common reasons for
nonrecommendation/nonreceipt of genomics-driven therapy.
PMID- 26553782
TI - Are Most Cancers Caused by Specific Risk Factors Acting on Tissues With High
Underlying Stem Cell Divisions?
AB - A recent paper by Tomasetti and Vogelstein demonstrated a high correlation
coefficient of 0.81 between estimated lifetime normal renewing cell (stem cell)
divisions among tissues in the body and the lifetime cancer risk in that organ.
This finding has been interpreted frequently to suggest that if two-thirds of
cancers arise primarily through normal proliferation then environmental and
hereditary factors combined could explain only one-third of cancers. Yet, the
pool of dividing stem cells is what risk factors act upon; it is unlikely that
risk factors and proliferation act completely independently and are simply
additive; thus, there is no constraint that stem cell proliferation and
environmental/genetic attributable risk sum to 100%. The cancers illustrated to
represent lifetime risk in the paper by Tomasetti and Vogelstein all implicitly
incorporate risk factors common in the United States (example, obesity, physical
inactivity, tobacco, alcohol, diet, infectious agents). In fact, there is little
evidence that a cancer would exceed a substantial rate, such as greater than 1%
lifetime risk, in the absence of an important risk factor. Relatively high rates
of cancer (eg, > 1% lifetime risk) only seem to occur in organs when strong risk
factors (example, 10- to 20-fold) are superimposed on relatively high stem cell
division. In organs with low stem cell divisions, the lifetime cancer risk will
typically be very low. The major types and most abundant cancers in a given
population will arise from tissues that have relatively high stem cell division
rates and that have a high prevalence of strong relevant risk factors.
PMID- 26553781
TI - Phase I Clinical Trials in Acute Myeloid Leukemia: 23-Year Experience From Cancer
Therapy Evaluation Program of the National Cancer Institute.
AB - BACKGROUND: Therapy for acute myeloid leukemia (AML) has largely remained
unchanged, and outcomes are unsatisfactory. We sought to analyze outcomes of AML
patients enrolled in phase I studies to determine whether overall response rates
(ORR) and mortality rates have changed over time. METHODS: A retrospective
analysis was performed on 711 adult AML patients enrolling in 45 phase I clinical
trials supported by the Cancer Therapy Evaluation Program of the National Cancer
Institute from 1986 to 2009. Changes in ORR and mortality rates for patients
enrolled in 1986 to 1990, 1991 to 1995, 1996 to 2000, 2001 to 2005, and 2006 to
2009 were estimated with multivariable logistic regression models. All
statistical tests were two-sided. RESULTS: There was a statistically significant
increase in AML patients enrolling in phase I clinical trials over time (1986 to
1990: n = 61; 2006 to 2009: n = 256; P = .03). The ORR for the entire cohort was
15.4% (1986 to 1990: 8.9%, 1991 to 1995: 21.1%; 1996 to 2000: 7.0%; 2001 to 2005:
10.0%; 2006 to 2009: 22.6%), and it statistically significantly improved over
time (P < .001). There was a statistically significant improvement in ORRs with
novel agents in combination vs single agents (ORR = 22.8% vs 4.7%, respectively,
odds ratio = 5.95, 95% confidence interval = 3.22 to 11.9, P < .001). The 60-day
mortality rate for the entire cohort was 22.6%, but it statistically
significantly improved over time (P = .009). CONCLUSIONS: There has been an
encouraging increase in AML patients enrolling in phase I clinical studies over
time. The improvement in ORRs appears to be partly because of the increase in
combination trials and the inclusion of previously untreated poor-risk AML.
Continued enrollment of AML patients in early phase clinical trials is vital for
drug development and improvement in therapeutic outcomes.
PMID- 26553783
TI - Evaluation of the bond strength of different adhesive agents to a resin-modified
calcium silicate material (TheraCal LC).
AB - This study evaluated the bond strength of different adhesive agents to TheraCal
LC and mineral trioxide aggregate (MTA) and examined the morphologic changes of
these materials with different surface treatments. A total of 120 specimens, 60
of MTA Angelus (AMTA), and 60 of TheraCal LC, were prepared and divided into six
subgroups according to the adhesive agent used; these agents included Scotchbond
Multipurpose, Clearfil SE Bond, Clearfil Protect Bond, Clearfil S3 Bond, OptiBond
All-in-One, and G-aenial Bond. After application of adhesive agents, Filtek Z250
composite resin was placed onto the specimens. Shear bond strengths were measured
using a universal testing machine, followed by examination of the fractured
surfaces. The surface changes of the specimens were observed using scanning
electron microscopy. Data were compared by two-way analysis of variance. Although
no significant differences were found among the bond strengths of different
adhesives to AMTA (p = 0.69), a significant difference was found in terms of bond
strengths of different adhesives to the TheraCal LC surface (p < 0.001). The
total-etch adhesive system more strongly bonded to TheraCal LC compared to the
bond with other adhesives. TheraCal LC bonded significantly more strongly than
AMTA regardless of the adhesive agents tested. Resin-modified calcium silicate
showed higher bond strength than AMTA in terms of the composite bond to these
materials with different bonding systems. On the other hand, the highest shear
bond-strength values were found for composite bonds with the combination of
TheraCal LC and the total-etch adhesive system. SCANNING 38:403-411, 2016. (c)
2015 Wiley Periodicals, Inc.
PMID- 26553784
TI - Foodomics: A new tool to differentiate between organic and conventional foods.
AB - The demand for organic food is increasing annually due to the growing consumer
trend for more natural products that have simpler ingredient lists, involve less
processing and are grown free of pesticides. However, there is still not enough
nutritional evidence in favor of organic food consumption. Classical chemical
analysis of macro- and micronutrients has demonstrated that organic crops are
poorer in nitrogen, but clear evidence for other nutrients is lacking. Omics
technologies forming part of the new discipline of foodomics have allowed the
detection of possible nutritional differences between organic and conventional
production, although many results remain controversial and contradictory. The
main focus of this review is to provide an overview of the studies that use
foodomics techniques as a tool to differentiate between organic and conventional
production.
PMID- 26553785
TI - Managing Hypertension in Patients with CKD: A Marathon, Not a SPRINT.
AB - In this manuscript, nephrologist-investigators from one of five Clinical Center
Networks of the Systolic Blood Pressure Intervention Trial (SPRINT) provide
background information and context on the intensity of anti-hypertensive therapy
in conjunction with the release of detailed results from SPRINT's primary
analysis. The authors highlight published evidence on the safety and efficacy of
differing intensities of anti-hypertensive therapy in mild to moderate CKD, where
SPRINT will help to inform practice, as well as where gaps in evidence will
remain. The authors also challenge the nephrology community to renew its
attention and efforts on hypertension clinical care and research.
PMID- 26553787
TI - In Reply.
PMID- 26553786
TI - Long-Term Outcomes and Risk Factors Associated With Acute Encephalitis in
Children.
AB - Background: Factors associated with poor outcomes of children with encephalitis
are not well known. We sought to determine whether electroencephalography (EEG)
findings, magnetic resonance imaging (MRI) abnormalities, or the presence of
seizures at presentation were associated with poor outcomes. Methods: A
retrospective review of patients aged 0 to 21 years who met criteria for a
diagnosis of encephalitis admitted between 2000 and 2010 was conducted. Parents
of eligible children were contacted and completed 2 questionnaires that assessed
current physical and emotional quality of life and neurological deficits at least
1 year after discharge. Results: During the study period, we identified 142
patients with an International Classification of Diseases 9th Revision diagnosis
of meningitis, meningoencephalitis, or encephalitis. Of these patients, 114 met
criteria for a diagnosis of encephalitis, and 76 of these patients (representing
77 hospitalizations) had complete data available. Forty-nine (64%) patients were
available for follow-up. Patients admitted to the intensive care unit were more
likely to have abnormal EEG results (P = .001). The presence of seizures on
admission was associated with ongoing seizure disorder at follow-up. One or more
years after hospitalization, 78% of the patients had persistent symptoms,
including 35% with seizures. Four (5%) of the patients died. Abnormal MRI
findings and the number of abnormal findings on initial presentation were
associated with lower quality-of-life scores. Conclusions: Encephalitis leads to
significant morbidity and death, and incomplete recovery is achieved in the
majority of hospitalized patients. Abnormal EEG results were found more
frequently in critically ill children, patients with abnormal MRI results had
lower quality-of-life scores on follow-up, and the presence of seizures on
admission was associated with ongoing seizure disorder and lower physical quality
of-life scores.
PMID- 26553788
TI - Detrimental Effects of Not Using International Reference Materials to Calibrate
Cystatin C Assays.
PMID- 26553789
TI - Is Rheumatoid Factor Really Associated with Deep Venous Thrombosis?
PMID- 26553790
TI - Identification and Annotation of Lipid Species in Metabolomics Studies Need
Improvement.
PMID- 26553791
TI - US Food and Drug Administration Perspectives on Clinical Mass Spectrometry.
AB - Mass spectrometry-based in vitro diagnostic devices that measure proteins and
peptides are underutilized in clinical practice, and none has been cleared or
approved by the Food and Drug Administration (FDA) for marketing or for use in
clinical trials. One way to increase their utilization is through enhanced
interactions between the FDA and the clinical mass spectrometry community to
improve the validation and regulatory review of these devices. As a reference
point from which to develop these interactions, this article surveys the FDA's
regulation of mass spectrometry-based devices, explains how the FDA uses guidance
documents and standards in the review process, and describes the FDA's previous
outreach to stakeholders. Here we also discuss how further communication and
collaboration with the clinical mass spectrometry communities can identify
opportunities for the FDA to provide help in the development of mass spectrometry
based devices and enhance their entry into the clinic.
PMID- 26553792
TI - In Reply.
PMID- 26553793
TI - A Spectrum of Views on Clinical Mass Spectrometry.
PMID- 26553794
TI - In Reply.
PMID- 26553795
TI - Effective Use of Mass Spectrometry in the Clinical Laboratory.
AB - BACKGROUND: Historically the success of mass spectrometry in the clinical
laboratory has focused on drugs of abuse confirmations, newborn screening, and
steroid analysis. Clinical applications of mass spectrometry continue to expand,
and mass spectrometry is now being used in almost all areas of laboratory
medicine. CONTENT: A brief background of the evolution of mass spectrometry in
the clinical laboratory is provided with a discussion of future applications.
Prominent examples of mass spectrometry are covered to illustrate how it has
improved the practice of medicine and enabled physicians to provide better
patient care. With increasing economic pressures and decreasing laboratory test
reimbursement, mass spectrometry testing has been shown to provide cost-effective
solutions. In addition to pointing out the numerous benefits, the challenges of
implementing mass spectrometry in the clinical laboratory are also covered.
SUMMARY: Mass spectrometry continues to play a prominent role in the field of
laboratory medicine. The advancement of this technology along with the
development of new applications will only accelerate the incorporation of mass
spectrometry into more areas of medicine.
PMID- 26553796
TI - A Matter of Choice: Opportunities and Obstacles Facing People with ESRD.
AB - Kidney failure is an overwhelming, life-shattering event, but patients with ESRD
do not see themselves as being at the end stage of their lives. On the contrary,
patients opting for kidney dialysis are choosing to live. Ideally, then, public
policy would support patients' choices about how to live-specifically, the choice
to continue working. Many patients with ESRD faced with the limitations of their
health status and the demands of their treatment understandably choose to leave
their jobs, a choice that is facilitated by the availability of public disability
and health insurance. However, other patients who have the desire and opportunity
to continue working may not get the guidance and support that can actually make
their employment possible. Specifically, current disability and health insurance
may fail to provide timely treatment and employment counseling to help patients
with ESRD remain in their jobs. We, therefore, propose that the Center for
Medicare and Medicaid Services support ESRD Networks to initiate more timely
employment and treatment counseling in both the ESRD and the late-stage pre-ESRD
setting. Although it is too late to require such counseling in the new network
scope of work for 2016-2020, active experimentation in the next few years can lay
the groundwork for a subsequent contract.
PMID- 26553798
TI - InterRNA: a database of base interactions in RNA structures.
AB - A major component of RNA structure stabilization are the hydrogen bonded
interactions between the base residues. The importance and biological relevance
for large clusters of base interactions can be much more easily investigated when
their occurrences have been systematically detected, catalogued and compared. In
this paper, we describe the database InterRNA (INTERactions in RNA structures
database-http://mfrlab.org/interrna/) that contains records of known RNA 3D
motifs as well as records for clusters of bases that are interconnected by
hydrogen bonds. The contents of the database were compiled from RNA structural
annotations carried out by the NASSAM (http://mfrlab.org/grafss/nassam) and
COGNAC (http://mfrlab.org/grafss/cognac) computer programs. An analysis of the
database content and comparisons with the existing corpus of knowledge regarding
RNA 3D motifs clearly show that InterRNA is able to provide an extension of the
annotations for known motifs as well as able to provide novel interactions for
further investigations.
PMID- 26553797
TI - An endogenous protein inhibitor, YjhX (TopAI), for topoisomerase I from
Escherichia coli.
AB - Almost all free-living bacteria contain toxin-antitoxin (TA) systems on their
genomes and the targets of toxins are highly diverse. Here, we found a novel,
previously unidentified TA system in Escherichia coli named yjhX-yjhQ. Induction
of YjhX (85 amino acid residues) causes cell-growth arrest resulting in cell
death, while YjhQ (181 residues) co-induction resumes cell growth. The primary
cellular target of YjhX was found to be topoisomerase I (TopA), inhibiting both
DNA replication and RNA synthesis. Notably, YjhX has no homology to any other
toxins of the TA systems. YjhX was expressed well with an N-terminal protein S
(PrS) tag in soluble forms. PrS-YjhX specifically interacts with the N-terminal
region of TopA (TopA67) but not full-TopA in the absence of plasmid DNA, while
PrS-YjhX binds to full-TopA in the presence of DNA. Notably, YjhX does not
directly interact with DNA and RNA. YjhX inhibits only topoisomerase I but not
topoisomerase III and IV in vitro. Hence, yjhX is renamed as the gene for the
TopA inhibitor (the topAI gene). TopAI is the first endogenous protein inhibitor
specific for topoisomerase I.
PMID- 26553799
TI - DASHR: database of small human noncoding RNAs.
AB - Small non-coding RNAs (sncRNAs) are highly abundant RNAs, typically <100
nucleotides long, that act as key regulators of diverse cellular processes.
Although thousands of sncRNA genes are known to exist in the human genome, no
single database provides searchable, unified annotation, and expression
information for full sncRNA transcripts and mature RNA products derived from
these larger RNAs. Here, we present the Database of small human noncoding RNAs
(DASHR). DASHR contains the most comprehensive information to date on human
sncRNA genes and mature sncRNA products. DASHR provides a simple user interface
for researchers to view sequence and secondary structure, compare expression
levels, and evidence of specific processing across all sncRNA genes and mature
sncRNA products in various human tissues. DASHR annotation and expression data
covers all major classes of sncRNAs including microRNAs (miRNAs), Piwi
interacting (piRNAs), small nuclear, nucleolar, cytoplasmic (sn-, sno-, scRNAs,
respectively), transfer (tRNAs), and ribosomal RNAs (rRNAs). Currently, DASHR
(v1.0) integrates 187 smRNA high-throughput sequencing (smRNA-seq) datasets with
over 2.5 billion reads and annotation data from multiple public sources. DASHR
contains annotations for ~ 48,000 human sncRNA genes and mature sncRNA products,
82% of which are expressed in one or more of the curated tissues. DASHR is
available at http://lisanwanglab.org/DASHR.
PMID- 26553801
TI - WITHDRAWN--a resource for withdrawn and discontinued drugs.
AB - Post-marketing drug withdrawals can be associated with various events, ranging
from safety issues such as reported deaths or severe side-effects, to a multitude
of non-safety problems including lack of efficacy, manufacturing, regulatory or
business issues. During the last century, the majority of drugs voluntarily
withdrawn from the market or prohibited by regulatory agencies was reported to be
related to adverse drug reactions. Understanding the underlying mechanisms of
toxicity is of utmost importance for current and future drug discovery. Here, we
present WITHDRAWN, a resource for withdrawn and discontinued drugs publicly
accessible at http://cheminfo.charite.de/withdrawn. Today, the database comprises
578 withdrawn or discontinued drugs, their structures, important physico-chemical
properties, protein targets and relevant signaling pathways. A special focus of
the database lies on the drugs withdrawn due to adverse reactions and toxic
effects. For approximately one half of the drugs in the database, safety issues
were identified as the main reason for withdrawal. Withdrawal reasons were
extracted from the literature and manually classified into toxicity types
representing adverse effects on different organs. A special feature of the
database is the presence of multiple search options which will allow systematic
analyses of withdrawn drugs and their mechanisms of toxicity.
PMID- 26553800
TI - Binding of 14-3-3 reader proteins to phosphorylated DNMT1 facilitates aberrant
DNA methylation and gene expression.
AB - Mammalian DNA (cytosine-5) methyltransferase 1 (DNMT1) is essential for
maintenance methylation. Phosphorylation of Ser143 (pSer143) stabilizes DNMT1
during DNA replication. Here, we show 14-3-3 is a reader protein of DNMT1pSer143.
In mammalian cells 14-3-3 colocalizes and binds DNMT1pSer143 post-DNA
replication. The level of DNMT1pSer143 increased with overexpression of 14-3-3
and decreased by its depletion. Binding of 14-3-3 proteins with DNMT1pSer143
resulted in inhibition of DNA methylation activity in vitro. In addition,
overexpression of 14-3-3 in NIH3T3 cells led to decrease in DNMT1 specific
activity resulting in hypomethylation of the genome that was rescued by
transfection of DNMT1. Genes representing cell migration, mobility, proliferation
and focal adhesion pathway were hypomethylated and overexpressed. Furthermore,
overexpression of 14-3-3 also resulted in enhanced cell invasion. Analysis of
TCGA breast cancer patient data showed significant correlation for DNA
hypomethylation and reduced patient survival with increased 14-3-3 expressions.
Therefore, we suggest that 14-3-3 is a crucial reader of DNMT1pSer143 that
regulates DNA methylation and altered gene expression that contributes to cell
invasion.
PMID- 26553802
TI - A dynamic checkpoint in oxidative lesion discrimination by formamidopyrimidine
DNA glycosylase.
AB - In contrast to proteins recognizing small-molecule ligands, DNA-dependent enzymes
cannot rely solely on interactions in the substrate-binding centre to achieve
their exquisite specificity. It is widely believed that substrate recognition by
such enzymes involves a series of conformational changes in the enzyme-DNA
complex with sequential gates favoring cognate DNA and rejecting nonsubstrates.
However, direct evidence for such mechanism is limited to a few systems. We
report that discrimination between the oxidative DNA lesion, 8-oxoguanine (oxoG)
and its normal counterpart, guanine, by the repair enzyme, formamidopyrimidine
DNA glycosylase (Fpg), likely involves multiple gates. Fpg uses an aromatic wedge
to open the Watson-Crick base pair and everts the lesion into its active site. We
used molecular dynamics simulations to explore the eversion free energy
landscapes of oxoG and G by Fpg, focusing on structural and energetic details of
oxoG recognition. The resulting energy profiles, supported by biochemical
analysis of site-directed mutants disturbing the interactions along the proposed
path, show that Fpg selectively facilitates eversion of oxoG by stabilizing
several intermediate states, helping the rapidly sliding enzyme avoid full
extrusion of every encountered base for interrogation. Lesion recognition through
multiple gating intermediates may be a common theme in DNA repair enzymes.
PMID- 26553803
TI - Gramene 2016: comparative plant genomics and pathway resources.
AB - Gramene (http://www.gramene.org) is an online resource for comparative functional
genomics in crops and model plant species. Its two main frameworks are genomes
(collaboration with Ensembl Plants) and pathways (The Plant Reactome and archival
BioCyc databases). Since our last NAR update, the database website adopted a new
Drupal management platform. The genomes section features 39 fully assembled
reference genomes that are integrated using ontology-based annotation and
comparative analyses, and accessed through both visual and programmatic
interfaces. Additional community data, such as genetic variation, expression and
methylation, are also mapped for a subset of genomes. The Plant Reactome pathway
portal (http://plantreactome.gramene.org) provides a reference resource for
analyzing plant metabolic and regulatory pathways. In addition to ~ 200 curated
rice reference pathways, the portal hosts gene homology-based pathway projections
for 33 plant species. Both the genome and pathway browsers interface with the
EMBL-EBI's Expression Atlas to enable the projection of baseline and differential
expression data from curated expression studies in plants. Gramene's archive
website (http://archive.gramene.org) continues to provide previously reported
resources on comparative maps, markers and QTL. To further aid our users, we have
also introduced a live monthly educational webinar series and a Gramene YouTube
channel carrying video tutorials.
PMID- 26553805
TI - Multiplex pairwise assembly of array-derived DNA oligonucleotides.
AB - While the cost of DNA sequencing has dropped by five orders of magnitude in the
past decade, DNA synthesis remains expensive for many applications. Although DNA
microarrays have decreased the cost of oligonucleotide synthesis, the use of
array-synthesized oligos in practice is limited by short synthesis lengths, high
synthesis error rates, low yield and the challenges of assembling long constructs
from complex pools. Toward addressing these issues, we developed a protocol for
multiplex pairwise assembly of oligos from array-synthesized oligonucleotide
pools. To evaluate the method, we attempted to assemble up to 2271 targets
ranging in length from 192-252 bases using pairs of array-synthesized oligos.
Within sets of complexity ranging from 131-250 targets, we observed error-free
assemblies for 90.5% of all targets. When all 2271 targets were assembled in one
reaction, we observed error-free constructs for 70.6%. While the assembly method
intrinsically increased accuracy to a small degree, we further increased accuracy
by using a high throughput 'Dial-Out PCR' protocol, which combines Illumina
sequencing with an in-house set of unique PCR tags to selectively amplify perfect
assemblies from complex synthetic pools. This approach has broad applicability to
DNA assembly and high-throughput functional screens.
PMID- 26553804
TI - Reference sequence (RefSeq) database at NCBI: current status, taxonomic
expansion, and functional annotation.
AB - The RefSeq project at the National Center for Biotechnology Information (NCBI)
maintains and curates a publicly available database of annotated genomic,
transcript, and protein sequence records (http://www.ncbi.nlm.nih.gov/refseq/).
The RefSeq project leverages the data submitted to the International Nucleotide
Sequence Database Collaboration (INSDC) against a combination of computation,
manual curation, and collaboration to produce a standard set of stable, non
redundant reference sequences. The RefSeq project augments these reference
sequences with current knowledge including publications, functional features and
informative nomenclature. The database currently represents sequences from more
than 55,000 organisms (>4800 viruses, >40,000 prokaryotes and >10,000 eukaryotes;
RefSeq release 71), ranging from a single record to complete genomes. This paper
summarizes the current status of the viral, prokaryotic, and eukaryotic branches
of the RefSeq project, reports on improvements to data access and details efforts
to further expand the taxonomic representation of the collection. We also
highlight diverse functional curation initiatives that support multiple uses of
RefSeq data including taxonomic validation, genome annotation, comparative
genomics, and clinical testing. We summarize our approach to utilizing available
RNA-Seq and other data types in our manual curation process for vertebrate,
plant, and other species, and describe a new direction for prokaryotic genomes
and protein name management.
PMID- 26553806
TI - A novel conceptual approach to read-filtering in high-throughput amplicon
sequencing studies.
AB - Adequate read filtering is critical when processing high-throughput data in
marker-gene-based studies. Sequencing errors can cause the mis-clustering of
otherwise similar reads, artificially increasing the number of retrieved
Operational Taxonomic Units (OTUs) and therefore leading to the overestimation of
microbial diversity. Sequencing errors will also result in OTUs that are not
accurate reconstructions of the original biological sequences. Herein we present
the Poisson binomial filtering algorithm (PBF), which minimizes both problems by
calculating the error-probability distribution of a sequence from its quality
scores. In order to validate our method, we quality-filtered 37 publicly
available datasets obtained by sequencing mock and environmental microbial
communities with the Roche 454, Illumina MiSeq and IonTorrent PGM platforms, and
compared our results to those obtained with previous approaches such as the ones
included in mothur, QIIME and USEARCH. Our algorithm retained substantially more
reads than its predecessors, while resulting in fewer and more accurate OTUs.
This improved sensitiveness produced more faithful representations, both
quantitatively and qualitatively, of the true microbial diversity present in the
studied samples. Furthermore, the method introduced in this work is
computationally inexpensive and can be readily applied in conjunction with any
existent analysis pipeline.
PMID- 26553807
TI - Theoretical estimates of exposure timescales of protein binding sites on DNA
regulated by nucleosome kinetics.
AB - It is being increasingly realized that nucleosome organization on DNA crucially
regulates DNA-protein interactions and the resulting gene expression. While the
spatial character of the nucleosome positioning on DNA has been experimentally
and theoretically studied extensively, the temporal character is poorly
understood. Accounting for ATPase activity and DNA-sequence effects on nucleosome
kinetics, we develop a theoretical method to estimate the time of continuous
exposure of binding sites of non-histone proteins (e.g. transcription factors and
TATA binding proteins) along any genome. Applying the method to Saccharomyces
cerevisiae, we show that the exposure timescales are determined by cooperative
dynamics of multiple nucleosomes, and their behavior is often different from
expectations based on static nucleosome occupancy. Examining exposure times in
the promoters of GAL1 and PHO5, we show that our theoretical predictions are
consistent with known experiments. We apply our method genome-wide and discover
huge gene-to-gene variability of mean exposure times of TATA boxes and patches
adjacent to TSS (+1 nucleosome region); the resulting timescale distributions
have non-exponential tails.
PMID- 26553808
TI - Knockout of Drosophila RNase ZL impairs mitochondrial transcript processing,
respiration and cell cycle progression.
AB - RNase Z(L) is a highly conserved tRNA 3'-end processing endoribonuclease. Similar
to its mammalian counterpart, Drosophila RNase Z(L) (dRNaseZ) has a mitochondria
targeting signal (MTS) flanked by two methionines at the N-terminus. Alternative
translation initiation yields two protein forms: the long one is mitochondrial,
and the short one may localize in the nucleus or cytosol. Here, we have generated
a mitochondria specific knockout of the dRNaseZ gene. In this in vivo model,
cells deprived of dRNaseZ activity display impaired mitochondrial polycistronic
transcript processing, increased reactive oxygen species (ROS) and a switch to
aerobic glycolysis compensating for cellular ATP. Damaged mitochondria impose a
cell cycle delay at the G2 phase disrupting cell proliferation without affecting
cell viability. Antioxidants attenuate genotoxic stress and rescue cell
proliferation, implying a critical role for ROS. We suggest that under a low
stress condition, ROS activate tumor suppressor p53, which modulates cell cycle
progression and promotes cell survival. Transcriptional profiling of p53 targets
confirms upregulation of antioxidant and cycB-Cdk1 inhibitor genes without
induction of apoptotic genes. This study implicates Drosophila RNase Z(L) in a
novel retrograde signaling pathway initiated by the damage in mitochondria and
manifested in a cell cycle delay before the mitotic entry.
PMID- 26553809
TI - The Degradome database: expanding roles of mammalian proteases in life and
disease.
AB - Since the definition of the degradome as the complete repertoire of proteases in
a given organism, the combined effort of numerous laboratories has greatly
expanded our knowledge of its roles in biology and pathology. Once the genomic
sequences of several important model organisms were made available, we presented
the Degradome database containing the curated sets of known protease genes in
human, chimpanzee, mouse and rat. Here, we describe the updated Degradome
database, featuring 81 new protease genes and 7 new protease families. Notably,
in this short time span, the number of known hereditary diseases caused by
mutations in protease genes has increased from 77 to 119. This increase reflects
the growing interest on the roles of the degradome in multiple diseases,
including cancer and ageing. Finally, we have leveraged the widespread adoption
of new webtools to provide interactive graphic views that show information about
proteases in the global context of the degradome. The Degradome database can be
accessed through its web interface at http://degradome.uniovi.es.
PMID- 26553811
TI - PASS2 database for the structure-based sequence alignment of distantly related
SCOP domain superfamilies: update to version 5 and added features.
AB - Structure-based sequence alignment is an essential step in assessing and
analysing the relationship of distantly related proteins. PASS2 is a database
that records such alignments for protein domain superfamilies and has been
constantly updated periodically. This update of the PASS2 version, named as
PASS2.5, directly corresponds to the SCOPe 2.04 release. All SCOPe structural
domains that share less than 40% sequence identity, as defined by the ASTRAL
compendium of protein structures, are included. The current version includes 1977
superfamilies and has been assembled utilizing the structure-based sequence
alignment protocol. Such an alignment is obtained initially through MATT,
followed by a refinement through the COMPARER program. The JOY program has been
used for structural annotations of such alignments. In this update, we have
automated the protocol and focused on inclusion of new features such as mapping
of GO terms, absolutely conserved residues among the domains in a superfamily and
inclusion of PDBs, that are absent in SCOPe 2.04, using the HMM profiles from the
alignments of the superfamily members and are provided as a separate list. We
have also implemented a more user-friendly manner of data presentation and
options for downloading more features. PASS2.5 version is available at
http://caps.ncbs.res.in/pass2/.
PMID- 26553810
TI - Hepatotoxicity of high affinity gapmer antisense oligonucleotides is mediated by
RNase H1 dependent promiscuous reduction of very long pre-mRNA transcripts.
AB - High affinity antisense oligonucleotides (ASOs) containing bicylic modifications
(BNA) such as locked nucleic acid (LNA) designed to induce target RNA cleavage
have been shown to have enhanced potency along with a higher propensity to cause
hepatotoxicity. In order to understand the mechanism of this hepatotoxicity,
transcriptional profiles were collected from the livers of mice treated with a
panel of highly efficacious hepatotoxic or non-hepatotoxic LNA ASOs. We observed
highly selective transcript knockdown in mice treated with non-hepatotoxic LNA
ASOs, while the levels of many unintended transcripts were reduced in mice
treated with hepatotoxic LNA ASOs. This transcriptional signature was concurrent
with on-target RNA reduction and preceded transaminitis. Remarkably, the mRNA
transcripts commonly reduced by toxic LNA ASOs were generally not strongly
associated with any particular biological process, cellular component or
functional group. However, they tended to have much longer pre-mRNA transcripts.
We also demonstrate that the off-target RNA knockdown and hepatotoxicity is
attenuated by RNase H1 knockdown, and that this effect can be generalized to high
affinity modifications beyond LNA. This suggests that for a certain set of ASOs
containing high affinity modifications such as LNA, hepatotoxicity can occur as a
result of unintended off-target RNase H1 dependent RNA degradation.
PMID- 26553812
TI - Identification of beta-hydroxy fatty acid esters and primary, secondary
alkanediol esters in cuticular waxes of the moss Funaria hygrometrica.
AB - The plant cuticle, a multi-layered membrane that covers plant aerial surfaces to
prevent desiccation, consists of the structural polymer cutin and surface-sealing
waxes. Cuticular waxes are complex mixtures of ubiquitous, typically
monofunctional fatty acid derivatives and taxon-specific, frequently bifunctional
specialty compounds. To further our understanding of the chemical diversity of
specialty compounds, the waxes on the aerial structures of the leafy gametophyte,
sporophyte capsule, and calyptra of the moss Funaria hygrometrica were surveyed.
Respective moss surfaces were extracted, and resulting lipid mixtures were
analyzed by gas chromatography-mass spectrometry (GC-MS). The extracts contained
ubiquitous wax compound classes along with two prominent, unidentified classes of
compounds that exhibited some characteristics of bifunctional structures.
Microscale transformations led to derivatives with characteristic MS
fragmentation patterns suggesting possible structures for these compounds. To
confirm the tentative structure assignments, one compound in each of the
suspected homologous series was synthesized. Based on GC-MS comparison with the
authentic standards, the first series of compounds was identified as containing
esters formed by beta-hydroxy fatty acids and wax alcohols, with ester chain
lengths varying from C42 to C50 and the most prominent homolog being C46. The
second series consisted of fatty acid esters of 1,7-alkanediols, linked via the
primary hydroxyl group, with ester chain lengths C40-C52 also dominated by the
C46 homolog. The beta-hydroxy acid esters were restricted to the sporophyte
capsule, and the diol esters to the leafy gametophyte and calyptra. Based on
their homolog and isomer distributions, and the presence of free 1,7
triacontanediol, possible biosynthetic reactions leading to these compounds are
discussed.
PMID- 26553813
TI - [Brazilian consensus on anesthetic depth monitoring].
PMID- 26553814
TI - First record of Mesopithecus (Cercopithecidae, Colobinae) from the Miocene of the
Iberian Peninsula.
AB - We report dental remains of the extinct colobine monkey Mesopithecus from the
Turolian (MN13, Late Miocene, ca. 6.23 Ma) locality of Venta del Moro (Valencia,
Spain). They include most of the deciduous dentition and the unerupted germs of
the first molars of a single infantile individual, as well as two lower left
lateral incisors from two additional individuals. On the basis of morphometric
comparisons, mainly based on the M1s, these remains are attributed to the Late
Miocene species Mesopithecus pentelicus. They represent a significant addition to
the knowledge of the deciduous dentition of this taxon, much less well-known than
the permanent dentition. Although this genus was widely distributed from the Late
Miocene through the Pliocene across Europe, southwestern Asia, Pakistan, and
China, until now its occurence in the Late Miocene of the Iberian Peninsula had
not been documented conclusively. Hence, the reported remains considerably
enlarge southwestwards the known geographic distribution of Mesopithecus. The
presence of this genus at Venta del Moro must be understood within the framework
of the significant faunal turnover that took place in European faunas during the
latest Turolian (the second Messinian mammalian dispersal), which is further
documented at this locality by the occurrence of other eastern immigrants. At the
same time, the presence of M. pentelicus at this site agrees well with previous
paleoenvironmental and sedimentological evidence, indicating a lacustrine
depositional environment with strong hydrologic seasonality.
PMID- 26553815
TI - A new small pliopithecoid primate from the Middle Miocene of Thailand.
AB - Pliopithecoids represent a monophyletic group of putative stem catarrhines whose
evolutionary history is incompletely known. They have been recorded from Europe
and Asia, between the late Early Miocene and the Late Miocene. Asian
pliopithecoids are less well documented than their European counterparts, often
being represented by a fragmentary fossil record. New discoveries are therefore
critical to reconstruct the evolutionary history of the whole group. Here, we
describe two isolated molars from Ban San Klang, a late Middle Miocene locality
in northern Thailand, which confirms the presence of pliopithecoids in Southeast
Asia. The lower molar had originally been described as being that of a
dendropithecoid, but it was later recognized as pertaining to a pliopithecoid.
The discovery, in the same locality, of an additional upper molar attributed to
the same species confirms the pliopithecoid status of this taxon and highlights
its distinctiveness with respect to other known Asian pliopithecoids. However,
the mosaic of primitive and autapomorphic features characterizing this Thai
fossil, as well as its limited anatomical representation, preclude us from
assigning it to either of the known pliopithecid subfamilies. Nevertheless, it
represents the only pliopithecoid in Southeast Asia and displays a mosaic of
unique characters which emphasizes the peculiarity of that province, as suggested
previously with respect to its hominoid primate.
PMID- 26553816
TI - Morphology of the thoracolumbar spine of the middle Miocene hominoid
Nacholapithecus kerioi from northern Kenya.
AB - A new caudal thoracic and a new lumbar vertebra of Nacholapithecus kerioi, a
middle Miocene hominoid from northern Kenya, are reported. The caudal thoracic
vertebral body of N. kerioi has a rounded median ventral keel and its lateral
sides are moderately concave. The lumbar vertebral body has an obvious median
ventral keel. Based on a comparison of vertebral body cranial articular surface
size between the caudal thoracic vertebrae in the present study and one discussed
in a previous study (KNM-BG 35250BO, a diaphragmatic vertebra), N. kerioi has at
least two post-diaphragmatic vertebrae (rib-bearing lumbar-type thoracic
vertebrae), unlike extant hominoids. It also has thick, rounded, and moderately
long metapophyses on the lumbar vertebra that project dorsolaterally. The spinous
process bases of its caudal thoracic and lumbar vertebrae originate caudally
between the postzygapophyses, as described previously in the KNM-BG 35250
holotype specimen. In other words, the postzygapophyses of N. kerioi do not
project below the caudal border of the spinous processes, similar to those of
extant great apes, and unlike small apes and monkeys, which have more caudally
projecting postzygapophyses. Nacholapithecus kerioi has a craniocaudally expanded
spinous process in relation to vertebral body length, also similar to extant
great apes. Both these spinous process features of N. kerioi differ from those of
Proconsul nyanzae. The caudal thoracic vertebra of N. kerioi has a caudally
directed spinous process, whose tip is tear-drop shaped. These features resemble
those of extant apes. The morphology of the spinous process tips presumably helps
vertebral stability by closely stacking adjacent spinous process tips as seen in
extant hominoids. The morphology of the spinous process and postzygapophyses
limits the intervertebral space and contributes to the stability of the
functional lumbar region as seen in extant great apes, suggesting that
antipronograde activity was included in the positional behavior of N. kerioi.
PMID- 26553817
TI - Spinal cord evolution in early Homo.
AB - The discovery at Nariokotome of the Homo erectus skeleton KNM-WT 15000, with a
narrow spinal canal, seemed to show that this relatively large-brained hominin
retained the primitive spinal cord size of African apes and that brain size
expansion preceded postcranial neurological evolution. Here we compare the size
and shape of the KNM-WT 15000 spinal canal with modern and fossil taxa including
H. erectus from Dmanisi, Homo antecessor, the European middle Pleistocene
hominins from Sima de los Huesos, and Pan troglodytes. In terms of shape and
absolute and relative size of the spinal canal, we find all of the Dmanisi and
most of the vertebrae of KNM-WT 15000 are within the human range of variation
except for the C7, T2, and T3 of KNM-WT 15000, which are constricted, suggesting
spinal stenosis. While additional fossils might definitively indicate whether H.
erectus had evolved a human-like enlarged spinal canal, the evidence from the
Dmanisi spinal canal and the unaffected levels of KNM-WT 15000 show that unlike
Australopithecus, H. erectus had a spinal canal size and shape equivalent to that
of modern humans. Subadult status is unlikely to affect our results, as spinal
canal growth is complete in both individuals. We contest the notion that
vertebrae yield information about respiratory control or language evolution, but
suggest that, like H. antecessor and European middle Pleistocene hominins from
Sima de los Huesos, early Homo possessed a postcranial neurological endowment
roughly commensurate to modern humans, with implications for neurological,
structural, and vascular improvements over Pan and Australopithecus.
PMID- 26553818
TI - A geometric morphometric study of a Middle Pleistocene cranium from Hexian,
China.
AB - The Hexian calvarium is one of the most complete and well-preserved Homo erectus
fossils ever found in east Asia, apart from the Zhoukoudian specimens. Various
methods bracket the age of the Hexian fossil to between 150 and 412 ka (thousands
of years ago). The Hexian calvarium has been considered to be H. erectus given
its morphological similarities to Zhoukoudian and Javan H. erectus. However,
discussion continues regarding the affinities of the Hexian specimen with other
H. erectus fossils. The arguments mainly focus on its relationships to other
Asian H. erectus fossils, including those from both China and Java. To better
determine the affinities of the Hexian cranium, our study used 3D landmark and
semilandmark geometric morphometric techniques and multivariate statistical
analyses to quantify the shape of the neurocranium and to compare the Hexian
cranium to other H. erectus specimens. The results of this study confirmed the
morphological similarities between Hexian and Chinese H. erectus in overall
morphology, and particularly in the structure of the frontal bone and the
posterior part of the neurocranium. Although the Hexian specimen shows the
strongest connection to Chinese H. erectus, the morphology of the lateral
neurocranium resembles early Indonesian H. erectus specimens, possibly suggesting
shared common ancestry or gene flow from early Indonesian populations. Overall
cranial and frontal bone morphology are strongly influenced by geography.
Although geographically intermediate between Zhoukoudian and Indonesian H.
erectus, the Hexian specimen does not form part of an obvious morphological
gradient with regard to overall cranial shape.
PMID- 26553819
TI - The effectiveness of using carbonate isotope measurements of body tissues to
infer diet in human evolution: Evidence from wild western chimpanzees (Pan
troglodytes verus).
AB - Changes in diet throughout hominin evolution have been linked with important
evolutionary changes. Stable carbon isotope analysis of inorganic apatite
carbonate is the main isotopic method used to reconstruct fossil hominin diets;
to test its effectiveness as a paleodietary indicator we present bone and enamel
carbonate carbon isotope data from a well-studied population of modern wild
western chimpanzees (Pan troglodytes verus) of known sex and age from Tai, Cote
d'Ivoire. We found a significant effect of age class on bone carbonate values,
with adult chimpanzees being more (13)C- and (18)O-depleted compared to
juveniles. Further, to investigate habitat effects, we compared our data to
existing apatite data on eastern chimpanzees (P. troglodytes schweinfurthii) and
found that the Tai chimpanzees are significantly more depleted in enamel
delta(13)Cap and delta(18)Oap compared to their eastern counterparts. Our data
are the first to present a range of tissue-specific isotope data from the same
group of wild western chimpanzees and, as such, add new data to the growing
number of modern non-human primate comparative isotope datasets providing
valuable information for the interpretation of diet throughout hominin evolution.
By comparing our data to published isotope data on fossil hominins we found that
our modern chimpanzee bone and enamel data support hypotheses that the trend
towards increased consumption of C4 foods after 4 Ma (millions of years ago) is
unique to hominins.
PMID- 26553820
TI - Distinct functional roles of primate grasping hands and feet during arboreal
quadrupedal locomotion.
AB - It has long been thought that quadrupedal primates successfully occupy arboreal
environments, in part, by relying on their grasping feet to control balance and
propulsion, which frees their hands to test unstable branches and forage. If this
interlimb decoupling of function is real, there should be discernible differences
in forelimb versus hind limb musculoskeletal control, specifically in how manual
and pedal digital flexor muscles are recruited to grasp during arboreal
locomotion. New electromyography data from extrinsic flexor muscles in red ruffed
lemurs (Varecia rubra) walking on a simulated arboreal substrate reveal that toe
flexors are activated at relatively higher levels and for longer durations than
finger flexors during stance phase. This demonstrates that the extremities of
primates indeed have different functional roles during arboreal locomotion, with
the feet emphasizing maintenance of secure grips. When this dichotomous muscle
activity pattern between the forelimbs and hind limbs is coupled with other
features of primate quadrupedal locomotion, including greater hind limb weight
support and the use of diagonal-sequence footfall patterns, a complex suite of
biomechanical characters emerges in primates that allow for the co-option of
hands toward non-locomotor roles. Early selection for limb functional
differentiation in primates probably aided the evolution of fine manipulation
capabilities in the hands of bipedal humans.
PMID- 26553821
TI - [Gaze-evoked nystagmus due to ischemic infarction involving the nucleus
prepositus hypoglossi, a case report].
PMID- 26553822
TI - [Atypical onset corticobasal degeneration].
PMID- 26553824
TI - Improving surgical systems in low- and middle-income countries: an inclusive
framework for monitoring and evaluation.
AB - High disease burden and inadequate resources have formed the basis for advocacy
to improve surgical care in low- and middle-income countries (LMICs). Current
measures are heavily focused on availability of resources rather than impact and
fail to fully describe how surgery can be more integrated into health systems. We
propose a new monitoring and evaluation framework of surgical care in LMICs to
integrate surgical diseases into broader health system considerations and track
efforts toward improved population health. Although more discussion is required,
we seek to broaden the dialogue of how to improve surgical care in LMICs through
this comprehensive framework.
PMID- 26553823
TI - Aberrant intracellular localization of H3k4me3 demonstrates an early epigenetic
phenomenon in Alzheimer's disease.
AB - We have previously reported in Alzheimer's disease (AD) the mislocalization of
epigenetic molecules between the cell nucleus and the cytoplasm. We have extended
our finding to include the aberrant localization of histone 3 trimethylation on
lysine 4 (H3k4me3), an epigenetic mark associated with actively transcribing
genes as well as those poised for transcription. These findings raise the
question of where the ectopic localization of H3k4me3 fits within the cascade of
cell biological events in the progression of AD. We, therefore, examined the
expression and intracellular location of H3k4me3 as a function of Braak stage and
also in relation to a series of tau markers that are indicative of disease state.
Both lines of evidence showed that ectopic localization of H3k4me3 is early in
the course of disease. Because of the known role of H3k4me3 in the expression of
synaptic genes, our data suggest an epigenetic role in synaptic deficits early in
the course of AD.
PMID- 26553825
TI - Diagnostics in a digital age: an opportunity to strengthen health systems and
improve health outcomes.
AB - Diagnostics play a critical role in clinical decision making, and in disease
control and prevention. Rapid point-of-care (POC) tests for infectious diseases
can improve access to diagnosis and patient management, but the quality of these
tests vary, quality of testing is often not assured and there are few mechanisms
to capture test results for surveillance when the testing is so decentralised. A
new generation of POC molecular tests that are highly sensitive and specific,
robust and easy to use are now available for deployment in low resource settings.
Decentralisation of testing outside of the laboratory can put tremendous stress
on the healthcare system and presents challenges for training and quality
assurance. A feature of many of these POC molecular devices is that they are
equipped with data transmission capacities. In a digital age, it is possible to
link data from diagnostic laboratories and POC test readers and devices to
provide data on testing coverage, disease trends and timely information for early
warning of infectious disease outbreaks to inform design or optimisation of
disease control and elimination programmes. Data connectivity also allows control
programmes to monitor the quality of tests and testing, and optimise supply chain
management; thus, increasing the efficiency of healthcare systems and improving
patient outcomes.
PMID- 26553826
TI - Is cost-related non-collection of prescriptions associated with a reduction in
health? Findings from a large-scale longitudinal study of New Zealand adults.
AB - OBJECTIVE: To investigate whether cost-related non-collection of prescription
medication is associated with a decline in health. SETTINGS: New Zealand Survey
of Family, Income and Employment (SoFIE)-Health. PARTICIPANTS: Data from 17 363
participants with at least two observations in three waves (2004-2005, 2006-2007,
2008-2009) of a panel study were analysed using fixed effects regression
modelling. PRIMARY OUTCOME MEASURES: Self-rated health (SRH), physical health
(PCS) and mental health scores (MCS) were the health measures used in this study.
RESULTS: After adjusting for time-varying confounders, non-collection of
prescription items was associated with a 0.11 (95% CI 0.07 to 0.15) unit
worsening in SRH, a 1.00 (95% CI 0.61 to 1.40) unit decline in PCS and a 1.69
(95% CI 1.19 to 2.18) unit decline in MCS. The interaction of the main exposure
with gender was significant for SRH and MCS. Non-collection of prescription items
was associated with a decline in SRH of 0.18 (95% CI 0.11 to 0.25) units for
males and 0.08 (95% CI 0.03 to 0.13) units for females, and a decrease in MCS of
2.55 (95% CI 1.67 to 3.42) and 1.29 (95% CI 0.70 to 1.89) units for males and
females, respectively. The interaction of the main exposure with age was
significant for SRH. For respondents aged 15-24 and 25-64 years, non-collection
of prescription items was associated with a decline in SRH of 0.12 (95% CI 0.03
to 0.21) and 0.12 (95% CI 0.07 to 0.17) units, respectively, but for respondents
aged 65 years and over, non-collection of prescription items had no significant
effect on SRH. CONCLUSION: Our results show that those who do not collect
prescription medications because of cost have an increased risk of a subsequent
decline in health.
PMID- 26553827
TI - How socioeconomic inequalities impact pathways of care for coronary artery
disease among elderly patients: study protocol for a qualitative longitudinal
study.
AB - INTRODUCTION: Several studies have identified that socioeconomic inequalities in
coronary artery disease (CAD) morbidity and mortality lead to a disadvantage in
patients with low socioeconomic status (SES). International studies have shown
that socioeconomic inequalities also exist in terms of access, utilisation and
quality of cardiac care. The aim of this qualitative study is to provide
information on the impact of socioeconomic inequalities on the pathway of care
for CAD, and to establish which factors lead to socioeconomic inequality of care
to form and expand existing scientific theories. METHODS AND ANALYSIS: A
longitudinal qualitative study with 48 patients with CAD, aged 60-80 years, is
being conducted. Patients have been recruited consecutively at the University
Hospital in Halle/Saale, Germany, and will be followed for a period of 6 months.
Patients are interviewed two times face-to-face using semistructured interviews.
Data are transcribed and analysed based on grounded theory. ETHICS AND
DISSEMINATION: Only participants who have been informed and who have signed a
declaration of consent have been included in the study. The study complies
rigorously with data protection legislation. Approval of the Ethical Review
Committee at the Martin-Luther University Halle-Wittenberg, Germany was obtained.
The results of the study will be presented at several congresses, and will be
published in high-quality peer-reviewed international journals. TRIAL
REGISTRATION NUMBER: This study has been registered with the German Clinical
Trials Register and assigned DRKS00007839.
PMID- 26553828
TI - Clustering of pain and its associations with health in people aged 50 years and
older: cross-sectional results from the North Staffordshire Osteoarthritis
Project.
AB - OBJECTIVE: Most pain in patients aged >=50 years affects multiple sites and yet
the predominant mode of presentation is single-site syndromes. The aim of this
study was to investigate if pain sites form clusters in this population and if
any such clusters are associated with health factors other than pain. SETTING:
Six general practices in North Staffordshire, UK. DESIGN: Cross-sectional, postal
questionnaire, study. PARTICIPANTS: Community-dwelling adults aged >=50 years
registered at the general practices. MAIN OUTCOMES MEASURES: Number of pain sites
was measured by asking participants to shade sites of pain lasting >=1 day in the
past 4 weeks on a blank body manikin. Health factors measured included anxiety
and depression (Hospital and Anxiety Depression Scale), cognitive complaint
(Sickness Impact Profile) and sleep. Pain site clustering was investigated using
latent class analysis. Association of clusters with health factors, adjusted for
age, sex, body mass index and morbidities, was analysed using multinomial
regression models. RESULTS: 13 986 participants (adjusted response 70.6%)
completed a questionnaire, of whom 12 408 provided complete pain data. Four
clusters of participants were identified: (1) low number of pain sites (36.6%),
(2) medium number of sites with no back pain (31.5%), (3) medium number of sites
with back pain (17.9%) and (4) high number of sites (14.1%). Compared to Cluster
1, other clusters were associated with poor health. The strongest associations
(relative risk ratios, 95% CI) were with Cluster 4: depression (per unit change
in score) 1.11 (1.08 to 1.14); cognitive complaint 2.60 (2.09 to 3.24); non
restorative sleep 4.60 (3.50 to 6.05). CONCLUSIONS: These results indicate that
in a general population aged >=50 years, pain forms four clusters shaped by two
dimensions-number of pain sites (low, medium, high) and, within the medium
cluster, the absence or presence of back pain. The usefulness of primary care
treatment approaches based on this simple classification should be investigated.
PMID- 26553829
TI - Community preparedness for emergency: a cross-sectional survey of residents in
Heilongjiang of China.
AB - OBJECTIVE: This article aims to identify factors that shape the knowledge,
attitudes and behaviours of community residents in China's Heilongjiang province
towards emergency preparedness. Findings of such a study may provide evidence to
support the development of effective public risk communication strategies and
education campaigns. DESIGN: A cross-sectional household questionnaire survey was
conducted in Heilongjiang province in 2014. A stratified cluster sampling
strategy was employed to select study participants. The questionnaires were
administered using face-to-face interviews. 2800 questionnaires were completed,
among which 2686 (95.9%) were considered valid for data analyses. A multivariate
logistic regression model was adopted to identify the extent to which the
independent variables were associated with emergency preparedness. RESULTS: Fewer
than 5% respondents were well prepared for emergency. Over half (52%) of poorly
prepared respondents did not know what to do in emergency; women (OR=1.691),
higher household income (OR ranging from 1.666 to 2.117), previous experience
with emergency (OR=1.552), higher levels of knowledge about emergency (OR=2.192),
risk awareness (OR=1.531), self-efficacy (OR=1.796), as well as positive
attitudes towards emergency preparedness (OR=2.265) were significant predictors
for emergency preparedness. Neither educational attainment nor exposure to
awareness-raising entered into the logic regression model as a significant
predictor for emergency preparedness. CONCLUSIONS: The level of emergency
preparedness in Heilongjiang residents is very low, which is linked with poor
knowledge and attitudes of the residents towards emergency preparedness. Future
emergency awareness campaigns should be more focused and tailored to the needs of
intended audience, taking into consideration of their usual source of information
and knowledge in relation to emergency.
PMID- 26553831
TI - Funding allocation to surgery in low and middle-income countries: a retrospective
analysis of contributions from the USA.
AB - OBJECTIVE: The funds available for global surgical delivery, capacity building
and research are unknown and presumed to be low. Meanwhile, conditions amenable
to surgery are estimated to account for nearly 30% of the global burden of
disease. We describe funds given to these efforts from the USA, the world's
largest donor nation. DESIGN: Retrospective database review. US Agency for
International Development (USAID), National Institute of Health (NIH), Foundation
Center and registered US charitable organisations were searched for financial
data on any organisation giving exclusively to surgical care in low and middle
income countries (LMICs). For USAID, NIH and Foundation Center all available data
for all years were included. The five recent years of financial data per
charitable organisation were included. All nominal dollars were adjusted for
inflation by converting to 2014 US dollars. SETTING: USA. PARTICIPANTS: USAID,
NIH, Foundation Center, Charitable Organisations. PRIMARY AND SECONDARY OUTCOME
MEASURES: Cumulative funds appropriated to global surgery. RESULTS: 22 NIH funded
projects (totalling $31.3 million) were identified, primarily related to injury
and trauma. Six relevant USAID projects were identified-all obstetric fistula
care totalling $438 million. A total of $105 million was given to universities
and charitable organisations by US foundations for 12 different surgical
specialties. 95 US charitable organisations representing 14 specialties totalled
revenue of $2.67 billion and expenditure of $2.5 billion. CONCLUSIONS AND
RELEVANCE: Current funding flows to surgical care in LMICs are poorly understood.
US funding predominantly comes from private charitable organisations, is often
narrowly focused and does not always reflect local needs or support capacity
building. Improving surgical care, and embedding it within national health
systems in LMICs, will likely require greater financial investment. Tracking
funds targeting surgery helps to quantify and clarify current investments and
funding gaps, ensures resources materialise from promises and promotes
transparency within global health financing.
PMID- 26553830
TI - Feedback on SMS reminders to encourage adherence among patients taking
antipsychotic medication: a cross-sectional survey nested within a randomised
trial.
AB - OBJECTIVES: To explore feedback on tailored SMS reminders to encourage medication
adherence and outpatient treatment among patients taking antipsychotic
medication, and associations related to the feedback. DESIGN: A cross-sectional
survey nested within a nationwide randomised clinical trial ("Mobile.Net"
ISRCTN27704027). SETTING: Psychiatric outpatient care in Finland. PARTICIPANTS:
Between September 2012 and December 2013, 403 of 558 adults with antipsychotic
medication responded after 12 months of SMS intervention. MAIN OUTCOME MEASURE:
Feedback was gathered with a structured questionnaire based on Technology
Acceptance Model theory. Data were analysed by Pearson's chi(2) test, binary
logistic regression and stepwise multiple regression analyses. RESULTS: Almost
all participants (98%) found the SMS reminders easy to use and 87% felt that the
SMS did not cause harm. About three-quarters (72%) were satisfied with the SMS
received, and 61% found it useful. Divorced people were particularly prone to
find SMS reminders useful (chi(2)=13.17, df=6, p=0.04), and people seeking
employment were more often 'fully satisfied' with the SMS compared with other
groups (chi(2)=10.82, df=4, p=0.029). People who were older at first contact with
psychiatric services were more often 'fully satisfied' than younger groups
(OR=1.02, 95% CI 1.01 to 1.04, p=0.007). CONCLUSIONS: The feedback of patients
taking antipsychotic medication on SMS services was generally positive. Overall,
people were quite satisfied despite considerable variation in their
sociodemographic background and illness history. Our results endorse that the use
of simple easy-to-use existing technology, such as mobile phones and SMS, is
acceptable in psychiatric outpatient services. Moreover, people using psychiatric
outpatient services are able to use this technology. This acceptable and
accessible technology can be easily tailored to each patient's needs and could be
customised to the needs of the isolated or jobless. This is an area in which much
careful evaluation is needed.
PMID- 26553832
TI - A nationwide study of patients hospitalised for poisoning in Korea based on Korea
National Hospital Discharge In-Depth Injury Survey data from 2005 to 2009.
AB - OBJECTIVES: In light of the need to develop an integrated database on poisoning
incidents in Korea, this study seeks to determine the characteristics of
poisoning incidents in Korea by age, gender, location of incident, causative
substance and patient prognosis. DATA SOURCES: The Korea National Hospital
Discharge In-Depth Injury Survey results (2005-2009) from the Korea Centers for
Disease Control and Prevention were used. PARTICIPANTS: 3826 participants in the
survey who had been hospitalised for poisoning incidents. RESULTS: The poisoning
hospitalisation rate per 100,000 population was higher in women (1.735) than in
men (1.372) and increased with age: the rate was 0.458 among individuals aged <=9
years, 0.481 among those aged 10-19 years, 1.584 among those aged 20-64 years and
4.053 among those aged >=65 years. The intentional poisoning hospitalisation rate
differed by gender and age group. Women aged <=19 years and 20-64 years showed a
higher hospitalisation rate than men, while men aged >=65 years showed a higher
hospitalisation rate than women in the same age group. The most common poisoning
substance was pesticides (33.6%), while antiepileptic, sedative-hypnotic and
antiparkinsonism drugs and psychotropic drugs, not elsewhere classified were also
very common. Poisoning in those aged <=9 years usually involved other drugs,
while pesticides were the most common substances in those aged 20-64 years and
>=65 years. CONCLUSIONS: This study analysed poisoning incidents in Korea from
2005 to 2009, by age and gender, causative substance, and characteristics. The
results of this study may serve as evidence for new strategies in Korea to
prevent poisoning.
PMID- 26553834
TI - Protocol for the design of an instrument to measure preadolescent children's self
report of covert aggression and bullying.
AB - INTRODUCTION: Covert bullying in schools is associated with a range of academic,
social, emotional and physical health problems. Much research has focused on
bullying, but there remains a gap in understanding about covert aggression and
how to most accurately and reliably measure children's own reports of this
behaviour. This paper reviews relevant literature and outlines a research project
that aims to develop a self-report instrument that effectively measures covert
aggression and bullying. It is anticipated that this research will result in a
standardised instrument that is suitable for exploring preadolescent children's
experiences of covert aggressive behaviour. The data collected by the instrument
will enhance health and education professionals understanding of covert bullying
behaviours and will inform the design and evaluation of interventions. METHODS
AND ANALYSIS: Relational developmental systems theory will guide the design of an
online self-report instrument. The first phase of the project will include a
critical review of the research literature, focus groups with children aged 8-12
years (grades 4-6) in Perth, Western Australia, and expert review. The instrument
will be explored for content and face validity prior to the assessment of
convergent and discriminant validity, internal consistency and test-retest
reliability. ETHICS AND DISSEMINATION: The study has been approved by the Curtin
University of Human Research Ethics Committee (RDHS-38-15) and by the Executive
Principal of the participating school.
PMID- 26553833
TI - A cross-sectional study of bacterial vaginosis, intravaginal practices and HIV
genital shedding; implications for HIV transmission and women's health.
AB - OBJECTIVES: Bacterial vaginosis (BV) is associated with an increased risk of HIV
transmission, and intravaginal practices (IVP) are an important risk factor for
developing BV. The relationship between IVP, BV and HIV lower genital shedding,
responsible for HIV transmission, has not been examined in women receiving
antiretrovirals in Zambia. DESIGN: Cross-sectional study. SETTING: Community
Health Center in Lusaka, Zambia. PARTICIPANTS AND METHODS: Participants were HIV
infected women receiving antiretroviral therapy and engaging in IVP (n=128).
Participants completed audio computer-administered self-interviews to assess IVP
and underwent a vaginal examination. BV was diagnosed using Nugent criteria. HIV
1 lower genital shedding was assessed by measuring HIV-1 RNA in cervicovaginal
lavages. RESULTS: Most women engaged in IVP daily (114, 89.0%) and 81 (63.3%) of
the participants had BV. HIV-1 genital shedding was detected in 18 (14.2%)
participants. BV was associated with daily use of IVP (prevalence ratio, PR=4.58,
CI 1.26 to 16.64, p=0.02) and weekly use of traditional medicines for IVP
(PR=1.33, CI 1.05 to 1.68, p=0.02). The only factor associated with HIV-1 lower
genital shedding was plasma viraemia (PR=4.61, CI 2.02 to 10.54, p<0.001).
Neither IVP nor BV were associated with HIV shedding. CONCLUSIONS: Despite the
frequency of IVP and high prevalence of BV, plasma viraemia was the primary
factor associated with HIV lower genital shedding. These findings support early
initiation of antiretrovirals as an HIV prevention tool. Given adverse health
outcomes associated with BV, the association between frequent IVP and BV, and the
powerful local norms and traditions encouraging IVP, there is a need for studies
assessing culturally tailored interventions to decrease BV in high-prevalence
settings.
PMID- 26553835
TI - Comparison of transient associations of air pollution and AMI hospitalisation in
two cities of Alberta, Canada, using a case-crossover design.
AB - OBJECTIVE: To investigate reproducibility of outcomes for short-term associations
between ambient air pollutants and acute myocardial infarction (AMI)
hospitalisation in 2 urban populations. DESIGN: Using a time-stratified design,
we conducted independent case-crossover studies of AMI hospitalisation events
over the period 1999-2010 in the geographically close and demographically similar
cities of Calgary and Edmonton, Alberta, Canada. Patients with his/her first AMI
hospitalisation event were linked with air pollution data from the National
Ambient Pollution Surveillance database and meteorological data from the National
Climatic Data Center database. Patients were further divided into subgroups to
examine adjusted pollution effects. Effects of pollution levels with 0-3-day lag
were modelled using conditional logistic regression and adjusted for daily
average ambient temperature, dew point temperature and wind speed. SETTING:
Population-based studies in Calgary/Edmonton. PARTICIPANTS: 12,066/10,562 first
time AMI hospitalisations in Calgary/Edmonton. MAIN OUTCOME MEASURES: Association
(adjusted OR) between daily ambient air pollution levels and hospitalisation for
AMI. RESULTS: Among 600 potential air pollution effect variables investigated for
the Calgary (Edmonton) population, only 1.17% (0.67%) was statistically
significant by using the traditional 5% criterion. None of the effect variables
were reproduced in the 2 cities, despite their geographic closeness (within 300
km of each other), and demographic and air pollution similarities. CONCLUSIONS:
Comparison of independent investigations of the effect of air pollution on risk
of AMI hospitalisation in Calgary and Edmonton, Alberta, indicated that none of
the air pollutants investigated-CO, NO, NO2, O3 and particulate matter (PM2.5)
showed consistent positive associations with increased risk of AMI
hospitalisation.
PMID- 26553836
TI - Amiloride Clinical Trial In Optic Neuritis (ACTION) protocol: a randomised,
double blind, placebo controlled trial.
AB - INTRODUCTION: Neurodegeneration is a widely accepted contributor to the
development of long-term disability in multiple sclerosis (MS). While current
therapies in MS predominantly target inflammation and reduce relapse rate they
have been less effective at preventing long-term disability. The identification
and evaluation of effective neuroprotective therapies within a trial paradigm are
key unmet needs. Emerging evidence supports amiloride, a licenced diuretic, as a
neuroprotective agent in MS through acid sensing ion channel blockade. Optic
neuritis (ON) is a common manifestation of MS with correlates of inflammation and
neurodegeneration measurable within the visual pathways. Amiloride Clinical Trial
In Optic Neuritis (ACTION) will utilise a multimodal approach to assess the
neuroprotective efficacy of amiloride in acute ON. METHODS AND ANALYSIS: 46
patients will be recruited within 28 days from onset of ON visual symptoms and
randomised on a 1:1 basis to placebo or amiloride 10 mg daily. Double-blinded
treatment groups will be balanced for age, sex and visual loss severity by a
random-deterministic minimisation algorithm. The primary objective is to
demonstrate that amiloride is neuroprotective in ON as assessed by scanning laser
polarimetry of the peripapillary retinal nerve fibre layer (RNFL) thickness at 6
months in the affected eye compared to the unaffected eye at baseline. RNFL in
combination with further retinal measures will also be assessed by optical
coherence tomography. Secondary outcome measures on brain MRI will include
cortical volume, diffusion-weighted imaging, resting state functional MRI, MR
spectroscopy and magnetisation transfer ratio. In addition, high and low contrast
visual acuity, visual fields, colour vision and electrophysiology will be
assessed alongside quality of life measures. ETHICS AND DISSEMINATION: Ethical
approval was given by the south central Oxford B research ethics committee (REC
reference: 13/SC/0022). The findings from ACTION will be disseminated through
peer-reviewed publications and at scientific conferences. TRIAL REGISTRATION
NUMBER: EudraCT2012-004980-39, ClinicalTrials.gov Identifier: NCT01802489.
PMID- 26553837
TI - Oxfordshire Women and Their Children's Health (OxWATCH): protocol for a
prospective cohort feasibility study.
AB - INTRODUCTION: Some specific pregnancy disorders are known to be associated with
increased incidence of long-term maternal ill health (eg, gestational diabetes
with late onset type 2 diabetes; pre-eclampsia with arterial disease). To what
degree these later health conditions are a consequence of the woman's
constitution prior to pregnancy rather than pregnancy itself triggering changes
in a woman's health is unknown. Additionally, there is little prospective
evidence for the impact of pre-pregnancy risk factors on the outcome of
pregnancy. To understand the importance of pre-pregnancy health requires the
recruitment of women into a long-term cohort study before their first successful
pregnancy. The aim of this feasibility study is to test recruitment procedures
and acceptability of participation to inform the planning of a future large-scale
cohort study. METHODS: The prospective cohort feasibility study will recruit
nulliparous women aged 18-40 years. Women will be asked to complete a
questionnaire to assess the acceptability of our recruitment and data collection
procedures. Baseline biophysical, genetic, socioeconomic, behavioural and
psychological assessments will be conducted and samples of blood, urine, saliva
and DNA will be collected. Recruitment feasibility and retention rates will be
assessed. Women who become pregnant will be recalled for pregnancy and
postpregnancy assessments. ETHICS AND DISSEMINATION: The study protocol was
approved by South Central Portsmouth REC (Ref: 12/SC/0492). The findings from the
study will be disseminated through peer reviewed journals, national and
international conference presentations and public events. TRIAL REGISTRATION
NUMBER: http://www.clinicaltrials.gov; NCT02419898.
PMID- 26553838
TI - 'Big needles, small bodies'-the absence of acupuncture treatment for infants in
contemporary Shanghai: a qualitative study.
AB - OBJECTIVE: To explore contemporary practices and clinical recommendations
regarding the use of acupuncture for infants by Traditional Chinese Medicine
(TCM) practitioners in Shanghai. DESIGN: A qualitative study consisting of four
field visits between February 2014 and March 2015. Data was collected using
participant observation, focus group interview, in-depth interview, textbook page
analysis and informant validation. PARTICIPANTS: 14 Shanghainese professionals,
including interpreters and TCM practitioners, of which seven were acupuncturists.
SETTING: The Longhua Hospital (paediatric, acupuncture and Tui na departments) in
southern Shanghai and the campus of the Shanghai University of Traditional
Chinese Medicine. RESULTS: The Longhua Hospital outpatient acupuncture clinic
receives 400 consultations on average per day. Children, including patients from
the paediatric department, are referred to this clinic. During 3 days of
participant observations at this department, we saw two children. No infants.
During 3 days at the paediatric department and 1 day at the Tui na department we
saw no referrals. Formal interviews and informal conversations with
acupuncturists and other TCM professionals revealed that acupuncture was neither
routinely practiced nor recommended for infants and small children. Acupuncture
was considered potentially painful for this young patient population. Alternative
treatment options such as herbal treatments or medical massage were widely
available and preferred. Western medical diagnostics and treatment were also
used, recommended, and trusted. CONCLUSIONS: Acupuncture for infants is not a
preferred therapeutic method among TCM practitioners working in contemporary
Shanghai. Acupuncture on broad indications in infants appears to be a Western
practice with little basis in TCM modern-day practice.
PMID- 26553839
TI - Validity assessment of self-reported medication use by comparing to pharmacy
insurance claims.
AB - OBJECTIVES: In Japan, an annual health check-up and health promotion guidance
programme was established in 2008 in accordance with the Act on Assurance of
Medical Care for the Elderly. A self-reported questionnaire on medication use is
a required item in this programme and has been used widely, but its validity has
not been assessed. The aim of this study was to evaluate the validity of this
questionnaire by comparing self-reported usage to pharmacy insurance claims.
SETTING: This is a population-based validation study. Self-reported medication
use for hypertension, diabetes and dyslipidaemia is the evaluated measurement.
Data on pharmacy insurance claims are used as a reference standard. PARTICIPANTS:
Participants were 54,712 beneficiaries of the National Health Insurance of Chiba
City. PRIMARY AND SECONDARY OUTCOME MEASURES: Sensitivity, specificity and kappa
statistics of the self-reported medication-use questionnaire for predicting
actual prescriptions during 1 month (that of the check-up) and 3 months (that of
the check-up and the previous 2 months) were calculated. RESULTS: Sensitivity and
specificity scores of questionnaire data for predicting insurance claims covering
3 months were, respectively, 92.4% (95% CI 91.9 to 92.8) and 86.4% (95% CI 86.0
to 86.7) for hypertension, 82.6% (95% CI 81.1 to 84.0) and 98.5% (95% CI 98.4 to
98.6) for diabetes, and 86.2% (95% CI 85.5 to 86.8) and 91.0% (95% CI 90.8 to
91.3) for dyslipidaemia. Corresponding kappa statistics were 70.9% (95% CI 70.1
to 71.7), 77.1% (95% CI 76.2 to 77.9) and 69.8% (95% CI 68.9 to 70.6). The
specificity was significantly higher for questionnaire data covering 3 months
compared with data covering 1 month for all 3 conditions. CONCLUSIONS: Self
reported questionnaire data on medication use had sufficiently high validity for
further analyses. Item responses showed close agreement with actual
prescriptions, particularly those covering 3 months.
PMID- 26553840
TI - A qualitative analysis of New Zealand retailers' responses to standardised
packaging legislation and tobacco industry opposition.
AB - OBJECTIVES: Many of the approximately 8000 New Zealand retailers selling tobacco
are small stores that tobacco companies have represented as victims of policy
measures designed to reduce smoking. Despite this depiction, many retailers
experience considerable ambivalence in selling tobacco, a product they know harms
their customers. We explored how retailers perceived the proposed introduction of
standardised (or 'plain') packaging and their assessment of arguments made by
tobacco companies in submissions on proposed standardised packaging legislation.
PARTICIPANTS: Using qualitative in-depth interviews, we recruited and interviewed
23 retailers of dairies (small convenience stores), small supermarkets, and
service stations. ANALYSES: Data were analysed using a protocol-driven approach;
this stance enabled direct analysis of tobacco companies' arguments, particularly
those purporting to represent retailers' concerns. RESULTS: Retailers were
concerned about the financial implications of standardised packaging and the
effects it may have on their ability to provide rapid and efficient customer
service. However, few thought standardised packaging would foster illicit trade
or spawn further regulation; most placed public health goals ahead of tobacco
companies' 'rights', and many supported government intervention to protect
population health. CONCLUSIONS: Retailers held ambivalent views on standardised
packaging; while they were concerned about short-term effects on their business,
they recognised the harm smoking causes. Policymakers and health researchers
could collaborate more effectively with retailers by assisting them to create
financially viable roles more compatible with public health objectives.
PMID- 26553842
TI - Commentary: Please sir, I want some more (and something else).
PMID- 26553841
TI - Association between secure patient-clinician email and clinical services
utilisation in a US integrated health system: a retrospective cohort study.
AB - OBJECTIVE: To assess associations between secure patient-clinician email use and
clinical services utilisation over time. DESIGN: Retrospective cohort study
between July 2010 and December 2013. Controlling for a utilisation surge around
first secure email use, we analysed difference of differences between propensity
score-matched groups of secure patient-clinician email users and non-users for
utilisation 1-12 months before and 7-18 months after first email (users) or a
randomly assigned index date (non-users). SETTING: US integrated healthcare
delivery system. PARTICIPANTS: 9345 adults with first secure email use between
July 2011 and July 2012 and continuous enrolment for >=30 months and 9345 adults
without secure email use between July 2010 and July 2012 matched to users on
demographics, health status, and baseline utilisation. PRIMARY OUTCOME MEASURES:
Rates of office visits, patient-initiated phone calls, scheduled telephone
visits, after-hours clinic visits, emergency department visits, and
hospitalisations. RESULTS: After controlling for multiple factors, no
statistically significant differences in utilisation between secure email users
and non-users occurred. Utilisation transiently increased by 88-237% around first
email use. Annual rates of patient-initiated phone calls decreased among secure
email users, 0.2 fewer calls per person (95% CI -0.3 to -0.1), from a mean of 4.1
calls per person 1-12 months before first use to a mean of 3.8 calls per person 7
18 months after first use. Rates of patient-initiated phone calls also decreased
among non-users, 0.1 fewer calls per person (95% CI -0.2 to 0.0), from a mean of
4.2 calls per person 1-12 months before the index date to mean of 4.1 calls per
person 7-18 months after the index date. CONCLUSIONS: Compared with non-users,
patient use of secure email with clinicians was not associated with statistically
significant differences in clinical services utilisation 7-18 months after first
use.
PMID- 26553843
TI - Twin vaginal delivery is associated with lower umbilical arterial blood pH of the
second twin and less intrapartum blood loss.
AB - OBJECTIVE: To clarify actual differences in the neonatal as well as maternal
outcome between completed twin vaginal delivery and cesarean delivery. METHODS:
We collected the data from women with a twin pregnancy who delivered two live
fetuses between 1 January and 31 December 2014 at 20 teaching hospitals (1) (1)
PARTICIPANTS: Adachi Hospital, Hyogo Prefectural Amagasaki General Medical
Center, Japan Baptist Hospital, Kitano Hospital, Kobe City Medical Center General
Hospital, Kosaka Women's Hospital, Kurashiki Central Hospital, Kyoto University
Hospital, Mitsubishi Kyoto Hospital, Nagahama Red Cross Hospital, National
Hospital Organization Kyoto Medical Center, National Hospital Organization Osaka
National Hospital, Osaka Red Cross Hospital, Otsu Municipal Hospital, Otsu Red
Cross Hospital, Red Cross Wakayama Medical Center, Saiseikai Noe Hospital,
Shizuoka General Hospital, Takamatsu Red Cross Hospital and Tenri Hospital. in
Japan. Only the cases that were retrospectively regarded as eligible for planned
vaginal delivery were analyzed according to the actual mode of delivery. RESULTS:
Umbilical arterial blood pH (UmA-pH) of the second twin was slightly but
significantly lower in the vaginal delivery group (7.26 +/- 0.009) than in a
cesarean delivery group (7.30 +/- 0.006). Vaginal delivery was the only
independent risk factor for second twin's UmA-pH <7.20. Intrapartum blood loss
was significantly larger in the cesarean delivery group (1444 +/- 63 g) than in
the vaginal delivery group (820 +/- 109 g). Cesarean delivery was an independent
risk factor for intrapartum blood loss >=1500 g. CONCLUSION: Twin vaginal
deliveries were associated with slightly but significantly lower UmA-pH of the
second twin, whereas twin cesarean delivery was associated with significantly
larger intrapartum blood loss. Not only the neonatal risk but also the maternal
risk should be taken into account when an optimal delivery mode for the twin
pregnancy is considered.
PMID- 26553844
TI - The predictive value of lactate peak detected by the magnetic resonance
spectroscopy in the brain of growth-restricted fetuses for adverse perinatal
outcomes.
AB - OBJECTIVE: To compare perinatal outcomes between patients with and without
abnormal Doppler findings and lactate peak in the fetal brain detected by
magnetic resonance spectroscopy ((1)HMRS) and to assess the feasibility of fetal
brain lactate in the prediction of adverse obstetric outcomes in growth
restricted fetuses. METHODS: Pregnancies with FGR fetuses underwent Doppler
ultrasonography and 3 Tesla (1)HMRS for the presence of lactate peak prior to the
delivery. Patients were assigned into the following groups; normal Doppler, no
lactate peak (Group 1), normal Doppler, lactate peak (+) (Group II), abnormal
Doppler, no lactate peak (Group III), abnormal Doppler, lactate peak (+) (Group
IV). RESULTS: Five perinatal deaths, all in Group IV, were encountered (p <
0.001). Perinatal death rate was higher in patients with Doppler flow abnormality
((5/12 (41.7%)) than in patients without Doppler abnormality (0/23) (p < 0.001)
and was significantly higher in the presence (5/18 (27.8%)) than in the absence
of lactate peak (0/17) (p = 0.019). CONCLUSIONS: Fetuses with brain lactate peak
detected by (1)HMRS in addition to altered Doppler findings are more likely to
develop short-term morbidities and perinatal death. Fetal brain lactate detected
by (1)HMRS may represent a clinical marker of altered brain metabolism and
further perinatal complications.
PMID- 26553845
TI - In vitro and in vivo activity of a novel sorafenib derivative SC5005 against
MRSA.
AB - OBJECTIVES: The emergence of MRSA strains resistant to most antibiotics is a
serious threat to public health. Based on our discovery that the tyrosine kinase
inhibitor sorafenib exhibits inhibitory activity against Staphylococcus species,
the objective of this study is to exploit this unique antibacterial activity of
sorafenib to develop novel antibacterial agents against MRSA. METHODS: A
sorafenib-based focused compound library was synthesized by substituting the
pyridinyl and phenyl groups with different functional groups. The resulting
sorafenib derivatives were screened for growth-suppressive activities against
Staphylococcus aureus and Staphylococcus epidermidis following CLSI guidelines
and for cytotoxicity towards human cells using MTT cell viability assays.
Compounds with high selectivity for bacterial inhibition over cytotoxicity were
further evaluated by time-kill assay and Caenorhabditis elegans and mice survival
assays to evaluate their efficacy in vitro and in vivo. RESULTS: The screening of
sorafenib derivatives led to the identification of compound SC5005 as a lead
compound with high potency in killing different clinical strains of MRSA with an
MIC90 of 0.5 mg/L and with low cytotoxicity, as demonstrated by IC50-to-MIC
ratios of up to 40. In addition, SC5005 showed a significant protective effect in
MSSA- or MRSA-infected C. elegans. Intraperitoneal administration of SC5005 at 10
mg/kg significantly improved the survival of MRSA-infected C57BL/6 mice.
CONCLUSIONS: In light of its high potency in suppressing MRSA in both in vitro
and in vivo models, SC5005 represents a potential lead agent for continued
preclinical development as a therapeutic intervention against MRSA.
PMID- 26553846
TI - Antifungal therapy: drug-drug interactions at your fingertips.
AB - The Information Age has revolutionized the ability of healthcare professionals
(HCPs) to oversee a substantial body of clinically relevant information literally
at one's fingertips. In the field of clinical pharmacology, this may be
particularly useful for managing drug-drug interactions (DDIs). A thorough
understanding of the underlying mechanisms of DDIs allows the HCP to predict such
interactions and avoid those of greatest clinical significance. Specifically,
successful treatment with antifungal agents is complicated by the high potential
to interact with other concomitant medications. We describe here the development
of a real-time knowledge base of DDIs with antifungal agents, providing expert
recommendations to HCPs on how to handle DDIs with these drugs. This new resource
will facilitate rapid identification, quantification and classification of these
DDIs by clinicians with varying levels of experience and resources worldwide,
ultimately improving patient safety and strengthening health systems.
PMID- 26553847
TI - Breakdown of low-level total petroleum hydrocarbons (TPH) in contaminated soil
using grasses and willows.
AB - A phytoremediation study targeting low-level total petroleum hydrocarbons (TPH)
was conducted using cool- and warm-season grasses and willows (Salix species)
grown in pots filled with contaminated sandy soil from the New Haven Rail Yard,
CT. Efficiencies of the TPH degradation were assessed in a 90-day experiment
using 20-8.7-16.6 N-P-K water-soluble fertilizer and fertilizer with molasses
amendments to enhance phytoremediation. Plant biomass, TPH concentrations, and
indigenous microbes quantified with colony-forming units (CFU), were assessed at
the end of the study. Switchgrass grown with soil amendments produced the highest
aboveground biomass. Bacterial CFU's were in orders of magnitude significantly
higher in willows with soil amendments compared to vegetated treatments with no
amendments. The greatest reduction in TPH occurred in all vegetated treatments
with fertilizer (66-75%) and fertilizer/molasses (65-74%), followed sequentially
by vegetated treatments without amendments, unvegetated treatments with
amendments, and unvegetated treatments with no amendment. Phytoremediation of low
level TPH contamination was most efficient where fertilization was in combination
with plant species. The same level of remediation was achievable through the
addition of grasses and/or willow combinations without amendment, or by
fertilization of sandy soil.
PMID- 26553848
TI - SepM, a Streptococcal Protease Involved in Quorum Sensing, Displays Strict
Substrate Specificity.
AB - Streptococcus mutans, a causative agent of dental caries, relies on multiple
quorum-sensing (QS) pathways that coordinate the expression of factors needed for
colonization in the oral cavity. S. mutans uses small peptides as QS signaling
molecules that typically are secreted into the outside milieu. Competence
stimulating peptide (CSP) is one such QS signaling molecule that functions
through the ComDE two-component signal transduction pathway. CSP is secreted
through NlmTE, a dedicated ABC transporter that cleaves off the N-terminal leader
peptide to generate a mature peptide that is 21 residues long (CSP-21). We
recently identified a surface-localized protease, SepM, which further cleaves the
CSP-21 peptide at the C-terminal end and removes the last 3 residues to generate
CSP-18. CSP-18 is the active QS molecule that interacts with the ComD sensor
kinase to activate the QS pathway. In this study, we show that SepM specifically
cleaves CSP-21 between the Ala18 and Leu19 residues. We also show that SepM
recognizes only Ala at position 18 and Leu at position 19, although some CSP-18
variants with a substitution at position 18 can function equally as well as the
QS peptide. Furthermore, we demonstrate that SepM homologs from other
streptococci are capable of processing CSP-21 to generate functional CSP-18.
IMPORTANCE: SepM is a membrane-associated streptococcal protease that processes
competence-stimulating peptide (CSP) to generate an active quorum-sensing
molecule in S. mutans. SepM belongs to the S16 family of serine proteases, and in
this study, we found that SepM behaves as an endopeptidase. SepM displays strict
substrate specificity and cleaves the peptide bond between the Ala and Leu
residues. This is the first report of an endopeptidase that specifically cleaves
these two residues.
PMID- 26553849
TI - Transcriptome-Wide Identification of Hfq-Associated RNAs in Brucella suis by Deep
Sequencing.
AB - Recent breakthroughs in next-generation sequencing technologies have led to the
identification of small noncoding RNAs (sRNAs) as a new important class of
regulatory molecules. In prokaryotes, sRNAs are often bound to the chaperone
protein Hfq, which allows them to interact with their partner mRNA(s). We
screened the genome of the zoonotic and human pathogen Brucella suis 1330 for the
presence of this class of RNAs. We designed a coimmunoprecipitation strategy that
relies on the use of Hfq as a bait to enrich the sample with sRNAs and eventually
their target mRNAs. By deep sequencing analysis of the Hfq-bound transcripts, we
identified a number of mRNAs and 33 sRNA candidates associated with Hfq. The
expression of 10 sRNAs in the early stationary growth phase was experimentally
confirmed by Northern blotting and/or reverse transcriptase PCR. IMPORTANCE:
Brucella organisms are facultative intracellular pathogens that use stealth
strategies to avoid host defenses. Adaptation to the host environment requires
tight control of gene expression. Recently, small noncoding RNAs (sRNAs) and the
sRNA chaperone Hfq have been shown to play a role in the fine-tuning of gene
expression. Here we have used RNA sequencing to identify RNAs associated with the
B. suis Hfq protein. We have identified a novel list of 33 sRNAs and 62 Hfq
associated mRNAs for future studies aiming to understand the intracellular
lifestyle of this pathogen.
PMID- 26553850
TI - Relative Rates of Amino Acid Import via the ABC Transporter GlnPQ Determine the
Growth Performance of Lactococcus lactis.
AB - The GlnPQ transporter from Lactococcus lactis has the remarkable feature of
having two substrate-binding domains (SBDs) fused to the N terminus of the
transmembrane domain (TMD), and thus four SBDs are present in the homodimeric
complex. Although X-ray structures and ligand binding data are available for both
SBDs, little is known of how different amino acids compete with each other for
transport via GlnPQ. Here we show GlnPQ has a broader substrate specificity than
previously thought, with the ability to take up asparagine, glutamine, and
glutamic acid, albeit via different routes and with different affinities.
Asparagine and glutamine compete with each other at the level of binding to SBD1
and SBD2 (with differences in dissociation constant), but at the same time SBD1
and SBD2 compete with each other at the level of interaction with the
translocator domain (with differences in affinity constant and rate of
transport). Although glutamine transport via SBD1 is outcompeted by physiological
concentrations of asparagine, SBD2 ensures high rates of import of the essential
amino acid glutamine. Taken together, this study demonstrates that even in the
presence of competing asparagine concentrations, GlnPQ has a high capacity to
transport glutamine, which matches the high needs of the cell for glutamine and
glutamate. IMPORTANCE: GlnPQ is an ATP-binding cassette (ABC) transporter for
glutamine, glutamic acid, and asparagine. The system is essential in various Gram
positive bacteria, including L. lactis and several pathogens. Here we show how
the amino acids compete with each other for binding to the multiple SBDs of GlnPQ
and how these SBDs compete with each other for substrate delivery to the
transporter. Overall, our results show that GlnPQ has evolved to transport
diverse substrates via different paths and to optimally acquire the abundant and
essential amino acid glutamine.
PMID- 26553851
TI - Expression and Genetic Activation of Cyclic Di-GMP-Specific Phosphodiesterases in
Escherichia coli.
AB - Intracellular levels of the bacterial second messenger cyclic di-GMP (c-di-GMP)
are controlled by antagonistic activities of diguanylate cyclases and
phosphodiesterases. The phosphodiesterase PdeH was identified as a key regulator
of motility in Escherichia coli, while deletions of any of the other 12 genes
encoding potential phosphodiesterases did not interfere with motility. To analyze
the roles of E. coli phosphodiesterases, we demonstrated that most of these
proteins are expressed under laboratory conditions. We next isolated suppressor
mutations in six phosphodiesterase genes, which reinstate motility in the absence
of PdeH by reducing cellular levels of c-di-GMP. Expression of all mutant alleles
also led to a reduction of biofilm formation. Thus, all of these proteins are
bona fide phosphodiesterases that are capable of interfering with different c-di
GMP-responsive output systems by affecting the global c-di-GMP pool. This argues
that E. coli possesses several phosphodiesterases that are inactive under
laboratory conditions because they lack appropriate input signals. Finally, one
of these phosphodiesterases, PdeL, was studied in more detail. We demonstrated
that this protein acts as a transcription factor to control its own expression.
Motile suppressor alleles led to a strong increase of PdeL activity and elevated
pdeL transcription, suggesting that enzymatic activity and transcriptional
control are coupled. In agreement with this, we showed that overall cellular
levels of c-di-GMP control pdeL transcription and that this control depends on
PdeL itself. We thus propose that PdeL acts both as an enzyme and as a c-di-GMP
sensor to couple transcriptional activity to the c-di-GMP status of the cell.
IMPORTANCE: Most bacteria possess multiple diguanylate cyclases and
phosphodiesterases. Genetic studies have proposed that these enzymes show
signaling specificity by contributing to distinct cellular processes without much
cross talk. Thus, spatial separation of individual c-di-GMP signaling units was
postulated. However, since most cyclases and phosphodiesterases harbor N-terminal
signal input domains, it is equally possible that most of these enzymes lack
their activating signals under laboratory conditions, thereby simulating
signaling specificity on a genetic level. We demonstrate that a subset of E. coli
phosphodiesterases can be activated genetically to affect the global c-di-GMP
pool and thus influence different c-di-GMP-dependent processes. Although this
does not exclude spatial confinement of individual phosphodiesterases, this study
emphasizes the importance of environmental signals for activation of
phosphodiesterases.
PMID- 26553852
TI - Dissecting the Structural Elements for the Activation of beta-Ketoacyl-(Acyl
Carrier Protein) Reductase from Vibrio cholerae.
AB - beta-Ketoacyl-(acyl carrier protein) reductase (FabG) catalyzes the key reductive
reaction in the elongation cycle of fatty acid synthesis (FAS), which is a vital
metabolic pathway in bacteria and a promising target for new antibiotic
development. The activation of the enzyme is usually linked to the formation of a
catalytic triad and cofactor binding, and crystal structures of FabG from
different organisms have been captured in either the active or inactive
conformation. However, the structural elements which enable activation of FabG
require further exploration. Here we report the findings of structural,
enzymatic, and binding studies of the FabG protein found in the causative agent
of cholera, Vibrio cholerae (vcFabG). vcFabG exists predominantly as a dimer in
solution and is able to self-associate to form tetramers, which is the state seen
in the crystal structure. The formation of the tetramer may be promoted by the
presence of the cofactor NADP(H). The transition between the dimeric and
tetrameric states of vcFabG is related to changes in the conformations of the
alpha4/alpha5 helices on the dimer-dimer interface. Two glycine residues adjacent
to the dimer interface (G92 and G141) are identified to be the hinge for the
conformational changes, while the catalytic tyrosine (Y155) and a glutamine
residue that forms hydrogen bonds to both loop beta4-alpha4 and loop beta5-alpha5
(Q152) stabilize the active conformation. The functions of the aforementioned
residues were confirmed by binding and enzymatic assays for the corresponding
mutants. IMPORTANCE: This paper describes the results of structural, enzymatic,
and binding studies of FabG from Vibrio cholerae (vcFabG). In this work, we
dissected the structural elements responsible for the activation of vcFabG. The
structural information provided here is essential for the development of
antibiotics specifically targeting bacterial FabG, especially for the multidrug
resistant strains of V. cholerae.
PMID- 26553853
TI - GroEL2 of Mycobacterium tuberculosis Reveals the Importance of Structural
Pliability in Chaperonin Function.
AB - Intracellular protein folding is mediated by molecular chaperones, the best
studied among which are the chaperonins GroEL and GroES. Conformational changes
and allosteric transitions between different metastable states are hallmarks of
the chaperonin mechanism. These conformational transitions between three
structural domains of GroEL are anchored at two hinges. Although hinges are known
to be critical for mediating the communication between different domains of
GroEL, the relative importance of hinges on GroEL oligomeric assembly, ATPase
activity, conformational changes, and functional activity is not fully
characterized. We have exploited the inability of Mycobacterium tuberculosis
GroEL2 to functionally complement an Escherichia coli groEL mutant to address the
importance of hinge residues in the GroEL mechanism. Various chimeras of M.
tuberculosis GroEL2 and E. coli GroEL allowed us to understand the role of hinges
and dissect the consequences of oligomerization and substrate binding capability
on conformational transitions. The present study explains the concomitant
conformational changes observed with GroEL hinge variants and is best supported
by the normal mode analysis. IMPORTANCE: Conformational changes and allosteric
transitions are hallmarks of the chaperonin mechanism. We have exploited the
inability of M. tuberculosis GroEL2 to functionally complement a strain of E.
coli in which groEL expression is repressed to address the importance of hinges.
The significance of conservation at the hinge regions stands out as a prominent
feature of the GroEL mechanism in binding to GroES and substrate polypeptides.
The hinge residues play a significant role in the chaperonin activity in vivo and
in vitro.
PMID- 26553854
TI - Idiopathic Partial Thrombosis (IPT) of the Corpus Cavernosum: A Hypothesis
Generating Case Series and Review of the Literature.
AB - INTRODUCTION: Idiopathic partial thrombosis (IPT) of the corpus cavernosum is a
rare condition. The etiology is not fully understood; however, the presence of an
either or not congenital web in these patients may contribute to the development
of IPT. AIM: The aim of this study was to describe 18 new IPT cases and compare
these with 38 cases found in the literature. METHODS: A multicenter retrospective
analysis was performed. Descriptive statistics are given. MAIN OUTCOME MEASURES:
The main outcome measures used were clinical presentation, clinical and
radiographical diagnostics, treatment and resolution of symptoms. RESULTS:
Patients most frequently presented with perineal swelling (10/18; 56%) and pain
(13/18; 72%), unilateral (12/18; 67%) or bilateral (4/18; 22%), and pain during
erection (10/18; 72%). Penile curvature, dysuria or fever (each 1/18; 6%) were
uncommon presenting symptoms. In our series, magnetic resonance imaging
demonstrated a fibrous web in the corpus cavernosum in 100% of cases and was more
bilaterally (11/18; 61%) than unilaterally (7/18; 39%) diagnosed. Cycling was
found to be a provocative factor for IPT occurrence in patients at risk as 61%
(11/18) of patients reported being a frequent cyclist with the episode of IPT
occurring immediately after or during cycling activity in 8 out of 18 patients
(8/18; 44%). In five centers, 15 patients were treated conservatively, the
majority being treated with therapeutic doses of low molecular weight heparin and
simultaneous anti-aggregant therapy. In one center, all three patients were
treated with a surgical approach. Complete resolution of symptoms was noted in
only 50% of cases. CONCLUSION: IPT is a condition that presents typically with
perineal pain and swelling. Cycling is often seen as a provocative factor, while
the presence of a fibrous web at the level of the crurocavernosal junction is the
underlying disorder allowing for entrapment of blood in the crura. Conservative
treatment provides a reasonably good outcome in most cases. For therapy resistant
cases, surgery can be considered.
PMID- 26553855
TI - Reduce disease by improving environmental health.
PMID- 26553856
TI - Confirming placement of nasogastric feeding tubes.
PMID- 26553857
TI - Initial confusion after massive Allerject recall.
PMID- 26553858
TI - The strange absence of things in the "culture" of the DSM-V.
PMID- 26553859
TI - Patient safety still lags a decade after seminal study.
PMID- 26553861
TI - Podcast: 10 questions with Canada's prison watchdog.
PMID- 26553860
TI - Comparison of black-white disparities in preterm birth between Canada and the
United States.
AB - BACKGROUND: A higher risk of preterm birth among black women than among white
women is well established in the United States. We compared differences in
preterm birth between non-Hispanic black and white women in Canada and the US,
hypothesizing that disparities would be less extreme in Canada given the
different historical experiences of black populations and Canada's universal
health care system. METHODS: Using data on singleton live births in Canada and
the US for 2004-2006, we estimated crude and adjusted risk ratios and risk
differences in preterm birth (< 37 wk) and very preterm birth (< 32 wk) among non
Hispanic black versus non-Hispanic white women in each country. Adjusted models
for the US were standardized to the covariate distribution of the Canadian
cohort. RESULTS: In Canada, 8.9% and 5.9% of infants born to black and white
mothers, respectively, were preterm; the corresponding figures in the US were
12.7% and 8.0%. Crude risk ratios for preterm birth among black women relative to
white women were 1.49 (95% confidence interval [CI] 1.32 to 1.66) in Canada and
1.57 (95% CI 1.56 to 1.58) in the US (p value for heterogeneity [pH] = 0.3). The
crude risk differences for preterm birth were 2.94 (95% CI 1.91 to 3.96) in
Canada and 4.63 (95% CI 4.56 to 4.70) in the US (pH = 0.003). Adjusted risk
ratios for preterm birth (pH = 0.1) were slightly higher in Canada than in the
US, whereas adjusted risk differences were similar in both countries. Similar
patterns were observed for racial disparities in very preterm birth.
INTERPRETATION: Relative disparities in preterm birth and very preterm birth
between non-Hispanic black and white women were similar in magnitude in Canada
and the US. Absolute disparities were smaller in Canada, which reflects a lower
overall risk of preterm birth in Canada than in the US in both black and white
populations.
PMID- 26553862
TI - Quebec considers extra billing.
PMID- 26553863
TI - Share of health spending on doctors increases.
PMID- 26553864
TI - Race and preterm birth rates in North America.
PMID- 26553865
TI - Sildenafil Increases the p50 and Shifts the Oxygen-Hemoglobin Dissociation Curve
to the Right.
AB - INTRODUCTION: Sildenafil (Viagra(r)) is a selective phosphodiesterase type 5
(PDE5) inhibitor that block the breakdown of cyclic guanyl monophosphate (cGMP)
leading to relaxation of the smooth muscles of the corpus cavernous and an
increase in blood flow resulting in penile erection. It is hypothesized that
sildenafil will increase the release of oxygen from erythrocytes and shift the
oxygen-hemoglobin curve to the right. AIM: The aim of this study was to
investigate the effect of varying doses of sildenafil on the p50 of the oxygen
hemoglobin dissociation curve in blood samples from eight (8) healthy adult male
volunteers with normal hemoglobin HbAA. METHOD: The hemox-analyzer was used to
generate the p50 and the oxygen-hemoglobin dissociation curves. MAIN OUTCOME
MEASURES: The effect of different doses of sildenafil on the p50 values and shift
of the oxygen-hemoglobin curve were the main outcome measures. RESULT: Sildenafil
caused a statistically significant increase in the p50 values and rightward shift
of the oxygen-hemoglobin dissociation curve. CONCLUSION: Sildenafil caused a dose
dependent increase in the release of oxygen from the erythrocytes as shown by the
increased p50 values and rightward shift of the oxygen-hemoglobin dissociation
curve. Ellis SS and Pepple DJ. Sildenafil increases the p50 and shifts the oxygen
hemoglobin dissociation curve to the right.
PMID- 26553866
TI - [Health care based on cooperation between professionals and affected people].
AB - The purpose of this article is to support the need for a change of care, based on
cooperation between those who provide care and those who receive it. This article
develops the decisive factors for change: the investee cooperation, the reference
in case management, the concept of recovery and terminal care, the reduction of
suffering and the value of change reflected in the 'win-win'. In each of them a
questioning of the current situation, a methodological analysis and an input of
tools and consequences of the change is made. To conclude, the article
incorporates the 'itinerary of shared care' as a resource and one of the ways to
bring these changes to the reality of day-to-day care.
PMID- 26553867
TI - Attitudes of therapists and other health professionals towards their LGB
patients.
AB - Lesbian, gay and bisexual people continue to suffer minority stress around the
world, but particularly in Africa, the Middle East and Eastern Europe. This anti
homosexual prejudice can enter into the therapist-client relationship and
seriously damage the outcome of psychotherapy, particularly in instances where
therapists regard their clients' sexuality as contributing to their psychological
difficulties. This paper takes an historical perspective to research on the
attitudes of a range of professionals who provide talking therapies or other
types of psychological support to their clients who are lesbian, gay or bisexual.
The nature and origins of prejudice, its effects on LGB clients, and how it might
best be addressed are considered. Challenging the ethics and evidence base for
treatments that purport to change sexual orientation, as well as asking
heterosexual therapists to reflect on their own heteronormative assumptions, are
crucial to effecting change and ensuring LGB people are treated equally to their
heterosexual counterparts.
PMID- 26553868
TI - Induction of Pluripotency in Astrocytes through a Neural Stem Cell-like State.
AB - It remains controversial whether the routes from somatic cells to induced
pluripotent stem cells (iPSCs) are related to the reverse order of normal
developmental processes. Specifically, it remains unaddressed whether or not the
differentiated cells become iPSCs through their original tissue stem cell-like
state. Previous studies analyzing the reprogramming process mostly used
fibroblasts; however, the stem cell characteristics of fibroblasts made it
difficult to address this. Here, we generated iPSCs from mouse astrocytes, a type
of glial cells, by three (OCT3/4, KLF4, and SOX2), two (OCT3/4 and KLF4), or four
(OCT3/4, KLF4, and SOX2 plus c-MYC) factors. Sox1, a neural stem cell (NSC)
specific transcription factor, is transiently up-regulated during reprogramming,
and Sox1-positive cells become iPSCs. The up-regulation of Sox1 is essential for
OCT3/4- and KLF4-induced reprogramming. Genome-wide analysis revealed that the
gene expression profile of Sox1-expressing intermediate-state cells resembles
that of NSCs. Furthermore, the intermediate-state cells are able to generate
neurospheres, which can differentiate into both neurons and glial cells.
Remarkably, during fibroblast reprogramming, neither Sox1 up-regulation nor an
increase in neurogenic potential occurs. Our results thus demonstrate that
astrocytes are reprogrammed through an NSC-like state.
PMID- 26553869
TI - Exosomes from HIV-1-infected Cells Stimulate Production of Pro-inflammatory
Cytokines through Trans-activating Response (TAR) RNA.
AB - HIV-1 infection results in a chronic illness because long-term highly active
antiretroviral therapy can lower viral titers to an undetectable level. However,
discontinuation of therapy rapidly increases virus burden. Moreover, patients
under highly active antiretroviral therapy frequently develop various metabolic
disorders, neurocognitive abnormalities, and cardiovascular diseases. We have
previously shown that exosomes containing trans-activating response (TAR) element
RNA enhance susceptibility of undifferentiated naive cells to HIV-1 infection.
This study indicates that exosomes from HIV-1-infected primary cells are highly
abundant with TAR RNA as detected by RT-real time PCR. Interestingly, up to a
million copies of TAR RNA/MUl were also detected in the serum from HIV-1-infected
humanized mice suggesting that TAR RNA may be stable in vivo. Incubation of
exosomes from HIV-1-infected cells with primary macrophages resulted in a
dramatic increase of proinflammatory cytokines, IL-6 and TNF-beta, indicating
that exosomes containing TAR RNA could play a direct role in control of cytokine
gene expression. The intact TAR molecule was able to bind to PKR and TLR3
effectively, whereas the 5' and 3' stems (TAR microRNAs) bound best to TLR7 and
8 and none to PKR. Binding of TAR to PKR did not result in its phosphorylation,
and therefore, TAR may be a dominant negative decoy molecule in cells. The TLR
binding through either TAR RNA or TAR microRNA potentially can activate the NF
kappaB pathway and regulate cytokine expression. Collectively, these results
imply that exosomes containing TAR RNA could directly affect the proinflammatory
cytokine gene expression and may explain a possible mechanism of inflammation
observed in HIV-1-infected patients under cART.
PMID- 26553871
TI - A Genome-wide CRISPR (Clustered Regularly Interspaced Short Palindromic Repeats)
Screen Identifies NEK7 as an Essential Component of NLRP3 Inflammasome
Activation.
AB - Inflammasomes are high molecular weight protein complexes that assemble in the
cytosol upon pathogen encounter. This results in caspase-1-dependent pro
inflammatory cytokine maturation, as well as a special type of cell death, known
as pyroptosis. The Nlrp3 inflammasome plays a pivotal role in pathogen defense,
but at the same time, its activity has also been implicated in many common
sterile inflammatory conditions. To this effect, several studies have identified
Nlrp3 inflammasome engagement in a number of common human diseases such as
atherosclerosis, type 2 diabetes, Alzheimer disease, or gout. Although it has
been shown that known Nlrp3 stimuli converge on potassium ion efflux upstream of
Nlrp3 activation, the exact molecular mechanism of Nlrp3 activation remains
elusive. Here, we describe a genome-wide CRISPR/Cas9 screen in immortalized mouse
macrophages aiming at the unbiased identification of gene products involved in
Nlrp3 inflammasome activation. We employed a FACS-based screen for Nlrp3
dependent cell death, using the ionophoric compound nigericin as a potassium
efflux-inducing stimulus. Using a genome-wide guide RNA (gRNA) library, we found
that targeting Nek7 rescued macrophages from nigericin-induced lethality.
Subsequent studies revealed that murine macrophages deficient in Nek7 displayed a
largely blunted Nlrp3 inflammasome response, whereas Aim2-mediated inflammasome
activation proved to be fully intact. Although the mechanism of Nek7 functioning
upstream of Nlrp3 yet remains elusive, these studies provide a first genetic
handle of a component that specifically functions upstream of Nlrp3.
PMID- 26553870
TI - Molecular Mechanism Responsible for Fibronectin-controlled Alterations in Matrix
Stiffness in Advanced Chronic Liver Fibrogenesis.
AB - Fibrosis is characterized by extracellular matrix (ECM) remodeling and
stiffening. However, the functional contribution of tissue stiffening to
noncancer pathogenesis remains largely unknown. Fibronectin (Fn) is an ECM
glycoprotein substantially expressed during tissue repair. Here we show in
advanced chronic liver fibrogenesis using a mouse model lacking Fn that,
unexpectedly, Fn-null livers lead to more extensive liver cirrhosis, which is
accompanied by increased liver matrix stiffness and deteriorated hepatic
functions. Furthermore, Fn-null livers exhibit more myofibroblast phenotypes and
accumulate highly disorganized/diffuse collagenous ECM networks composed of
thinner and significantly increased number of collagen fibrils during advanced
chronic liver damage. Mechanistically, mutant livers show elevated local TGF-beta
activity and lysyl oxidase expressions. A significant amount of active lysyl
oxidase is released in Fn-null hepatic stellate cells in response to TGF-beta1
through canonical and noncanonical Smad such as PI3 kinase-mediated pathways. TGF
beta1-induced collagen fibril stiffness in Fn-null hepatic stellate cells is
significantly higher compared with wild-type cells. Inhibition of lysyl oxidase
significantly reduces collagen fibril stiffness, and treatment of Fn recovers
collagen fibril stiffness to wild-type levels. Thus, our findings indicate an
indispensable role for Fn in chronic liver fibrosis/cirrhosis in negatively
regulating TGF-beta bioavailability, which in turn modulates ECM remodeling and
stiffening and consequently preserves adult organ functions. Furthermore, this
regulatory mechanism by Fn could be translated for a potential therapeutic target
in a broader variety of chronic fibrotic diseases.
PMID- 26553872
TI - Acid Ceramidase in Melanoma: EXPRESSION, LOCALIZATION, AND EFFECTS OF
PHARMACOLOGICAL INHIBITION.
AB - Acid ceramidase (AC) is a lysosomal cysteine amidase that controls sphingolipid
signaling by lowering the levels of ceramides and concomitantly increasing those
of sphingosine and its bioactive metabolite, sphingosine 1-phosphate. In the
present study, we evaluated the role of AC-regulated sphingolipid signaling in
melanoma. We found that AC expression is markedly elevated in normal human
melanocytes and proliferative melanoma cell lines, compared with other skin cells
(keratinocytes and fibroblasts) and non-melanoma cancer cells. High AC expression
was also observed in biopsies from human subjects with Stage II melanoma.
Immunofluorescence studies revealed that the subcellular localization of AC
differs between melanocytes (where it is found in both cytosol and nucleus) and
melanoma cells (where it is primarily localized to cytosol). In addition to
having high AC levels, melanoma cells generate lower amounts of ceramides than
normal melanocytes do. This down-regulation in ceramide production appears to
result from suppression of the de novo biosynthesis pathway. To test whether AC
might contribute to melanoma cell proliferation, we blocked AC activity using a
new potent (IC50 = 12 nM) and stable inhibitor. AC inhibition increased cellular
ceramide levels, decreased sphingosine 1-phosphate levels, and acted
synergistically with several, albeit not all, antitumoral agents. The results
suggest that AC-controlled sphingolipid metabolism may play an important role in
the control of melanoma proliferation.
PMID- 26553873
TI - Nuclear Compartmentalization of Serine Racemase Regulates D-Serine Production:
IMPLICATIONS FOR N-METHYL-D-ASPARTATE (NMDA) RECEPTOR ACTIVATION.
AB - D-Serine is a physiological co-agonist that activates N-methyl D-aspartate
receptors (NMDARs) and is essential for neurotransmission, synaptic plasticity,
and behavior. D-Serine may also trigger NMDAR-mediated neurotoxicity, and its
dysregulation may play a role in neurodegeneration. D-Serine is synthesized by
the enzyme serine racemase (SR), which directly converts L-serine to D-serine.
However, many aspects concerning the regulation of D-serine production under
physiological and pathological conditions remain to be elucidated. Here, we
investigate possible mechanisms regulating the synthesis of D-serine by SR in
paradigms relevant to neurotoxicity. We report that SR undergoes
nucleocytoplasmic shuttling and that this process is dysregulated by several
insults leading to neuronal death, typically by apoptotic stimuli. Cell death
induction promotes nuclear accumulation of SR, in parallel with the nuclear
translocation of GAPDH and Siah proteins at an early stage of the cell death
process. Mutations in putative SR nuclear export signals (NESs) elicit SR nuclear
accumulation and its depletion from the cytosol. Following apoptotic insult, SR
associates with nuclear GAPDH along with other nuclear components, and this is
accompanied by complete inactivation of the enzyme. As a result, extracellular D
serine concentration is reduced, even though extracellular glutamate
concentration increases severalfold. Our observations imply that nuclear
translocation of SR provides a fail-safe mechanism to prevent or limit secondary
NMDAR-mediated toxicity in nearby synapses.
PMID- 26553874
TI - Sialic Acid on the Glycosylphosphatidylinositol Anchor Regulates PrP-mediated
Cell Signaling and Prion Formation.
AB - The prion diseases occur following the conversion of the cellular prion protein
(PrP(C)) into disease-related isoforms (PrP(Sc)). In this study, the role of the
glycosylphosphatidylinositol (GPI) anchor attached to PrP(C) in prion formation
was examined using a cell painting technique. PrP(Sc) formation in two prion
infected neuronal cell lines (ScGT1 and ScN2a cells) and in scrapie-infected
primary cortical neurons was increased following the introduction of PrP(C). In
contrast, PrP(C) containing a GPI anchor from which the sialic acid had been
removed (desialylated PrP(C)) was not converted to PrP(Sc). Furthermore, the
presence of desialylated PrP(C) inhibited the production of PrP(Sc) within prion
infected cortical neurons and ScGT1 and ScN2a cells. The membrane rafts
surrounding desialylated PrP(C) contained greater amounts of sialylated
gangliosides and cholesterol than membrane rafts surrounding PrP(C). Desialylated
PrP(C) was less sensitive to cholesterol depletion than PrP(C) and was not
released from cells by treatment with glimepiride. The presence of desialylated
PrP(C) in neurons caused the dissociation of cytoplasmic phospholipase A2 from
PrP-containing membrane rafts and reduced the activation of cytoplasmic
phospholipase A2. These findings show that the sialic acid moiety of the GPI
attached to PrP(C) modifies local membrane microenvironments that are important
in PrP-mediated cell signaling and PrP(Sc) formation. These results suggest that
pharmacological modification of GPI glycosylation might constitute a novel
therapeutic approach to prion diseases.
PMID- 26553875
TI - DISC1-dependent Regulation of Mitochondrial Dynamics Controls the Morphogenesis
of Complex Neuronal Dendrites.
AB - The DISC1 protein is implicated in major mental illnesses including
schizophrenia, depression, bipolar disorder, and autism. Aberrant mitochondrial
dynamics are also associated with major mental illness. DISC1 plays a role in
mitochondrial transport in neuronal axons, but its effects in dendrites have yet
to be studied. Further, the mechanisms of this regulation and its role in
neuronal development and brain function are poorly understood. Here we have
demonstrated that DISC1 couples to the mitochondrial transport and fusion
machinery via interaction with the outer mitochondrial membrane GTPase proteins
Miro1 and Miro2, the TRAK1 and TRAK2 mitochondrial trafficking adaptors, and the
mitochondrial fusion proteins (mitofusins). Using live cell imaging, we show that
disruption of the DISC1-Miro-TRAK complex inhibits mitochondrial transport in
neurons. We also show that the fusion protein generated from the originally
described DISC1 translocation (DISC1-Boymaw) localizes to the mitochondria, where
it similarly disrupts mitochondrial dynamics. We also show by super resolution
microscopy that DISC1 is localized to endoplasmic reticulum contact sites and
that the DISC1-Boymaw fusion protein decreases the endoplasmic reticulum
mitochondria contact area. Moreover, disruption of mitochondrial dynamics by
targeting the DISC1-Miro-TRAK complex or upon expression of the DISC1-Boymaw
fusion protein impairs the correct development of neuronal dendrites. Thus, DISC1
acts as an important regulator of mitochondrial dynamics in both axons and
dendrites to mediate the transport, fusion, and cross-talk of these organelles,
and pathological DISC1 isoforms disrupt this critical function leading to
abnormal neuronal development.
PMID- 26553877
TI - Spectroscopic and Kinetic Properties of the Molybdenum-containing, NAD+-dependent
Formate Dehydrogenase from Ralstonia eutropha.
AB - We have examined the rapid reaction kinetics and spectroscopic properties of the
molybdenum-containing, NAD(+)-dependent FdsABG formate dehydrogenase from
Ralstonia eutropha. We confirm previous steady-state studies of the enzyme and
extend its characterization to a rapid kinetic study of the reductive half
reaction (the reaction of formate with oxidized enzyme). We have also
characterized the electron paramagnetic resonance signal of the molybdenum center
in its Mo(V) state and demonstrated the direct transfer of the substrate Calpha
hydrogen to the molybdenum center in the course of the reaction. Varying
temperature, microwave power, and level of enzyme reduction, we are able to
clearly identify the electron paramagnetic resonance signals for four of the
iron/sulfur clusters of the enzyme and find suggestive evidence for two others;
we observe a magnetic interaction between the molybdenum center and one of the
iron/sulfur centers, permitting assignment of this signal to a specific
iron/sulfur cluster in the enzyme. In light of recent advances in our
understanding of the structure of the molybdenum center, we propose a reaction
mechanism involving direct hydride transfer from formate to a molybdenum-sulfur
group of the molybdenum center.
PMID- 26553878
TI - Detection and characterization of intracranial aneurysms: a 10-year multidetector
CT angiography experience in a large center.
AB - BACKGROUND: CT angiography (CTA) is increasingly used for the detection,
characterization, and follow-up of intracranial aneurysms. A lower threshold to
request a CT angiogram may render a patient population that differs from previous
studies primarily evaluated with conventional angiography. Our objective was to
broaden our knowledge of the factors associated with aneurysm rupture and patient
mortality in this population. METHODS: All CTA studies performed over a 10-year
period at a large neurovascular referral center were reviewed for the presence of
an intracranial aneurysm. Patient demographics, mortality, CTA indication,
aneurysm location, size, and rupture status were recorded. RESULTS: 2927 patients
with aneurysms were identified among 29 003 CTAs. 17% of the aneurysms were
ruptured at the time of imaging, 24% of aneurysms were incidentally identified,
and multiple aneurysms were identified in 34% of patients. Aneurysms most
commonly arose from the supraclinoid internal carotid artery (22%), the middle
cerebral artery (18%), and the anterior communicating artery (13%). Male sex, age
<50 years, aneurysms >6 mm, and aneurysms arising from the anterior communicating
artery, posterior communicating artery, or the posterior circulation were
independent predictors of aneurysm rupture. Independent mortality predictors
included male sex, posterior circulation aneurysms, intraventricular hemorrhage,
and intraparenchymal hemorrhage. CONCLUSIONS: These results indicate that
aneurysms detected on CTA that arise from the anterior communicating artery,
posterior communicating artery, or the posterior circulation, measure >6 mm in
size, occur in men, and in patients aged <50 years are associated with rupture.
PMID- 26553876
TI - Unexpected Allosteric Network Contributes to LRH-1 Co-regulator Selectivity.
AB - Phospholipids (PLs) are unusual signaling hormones sensed by the nuclear receptor
liver receptor homolog-1 (LRH-1), which has evolved a novel allosteric pathway to
support appropriate interaction with co-regulators depending on ligand status.
LRH-1 plays an important role in controlling lipid and cholesterol homeostasis
and is a potential target for the treatment of metabolic and neoplastic diseases.
Although the prospect of modulating LRH-1 via small molecules is exciting, the
molecular mechanism linking PL structure to transcriptional co-regulator
preference is unknown. Previous studies showed that binding to an activating PL
ligand, such as dilauroylphosphatidylcholine, favors LRH-1's interaction with
transcriptional co-activators to up-regulate gene expression. Both
crystallographic and solution-based structural studies showed that
dilauroylphosphatidylcholine binding drives unanticipated structural fluctuations
outside of the canonical activation surface in an alternate activation function
(AF) region, encompassing the beta-sheet-H6 region of the protein. However, the
mechanism by which dynamics in the alternate AF influences co-regulator
selectivity remains elusive. Here, we pair x-ray crystallography with molecular
modeling to identify an unexpected allosteric network that traverses the protein
ligand binding pocket and links these two elements to dictate selectivity. We
show that communication between the alternate AF region and classical AF2 is
correlated with the strength of the co-regulator interaction. This work offers
the first glimpse into the conformational dynamics that drive this unusual PL
mediated nuclear hormone receptor activation.
PMID- 26553879
TI - Successful endovascular stroke therapy in a 103-year-old woman.
AB - People older than 80 years of age constitute the most rapidly growing age group
in the world. Several trials confirming superior efficacy of endovascular therapy
did not have an upper age limit and showed favorable treatment effects,
regardless of age. Current American Heart Association/American Stroke Association
guidelines do not restrict treatment based on age as long as other eligibility
criteria are met. A 103-year-old woman presented 2 h after stroke onset secondary
to a left internal carotid artery terminus (ICA-T) occlusion. Admission National
Institutes of Health Stoke Scale (NIHSS) score was 38, with no early ischemic
changes on imaging, pre-stroke modified Rankin Scale score was 0, and she lived
independently with minimal help. After initiation of intravenous thrombolysis,
the patient underwent successful mechanical thrombectomy with Thombosis in
Cerebral Infaction-3 recanalization. She showed remarkable recovery (NIHSS score
of 1 at 48 h). Stroke onset to recanalization was 3 h 40 min. Our objective in
documenting the oldest patient to successfully undergo stroke intervention is to
corroborate that with the current evidence, appropriate patients undergoing rapid
treatment may allow us to advance the limits of endovascular therapy.
PMID- 26553880
TI - Dermatitis caused by metal allergy after coil embolization for unruptured
cerebral aneurysm.
AB - We report a rare case of metal-induced dermatitis after coil embolization for
cerebral aneurysm. A 51-year-old woman experienced a rash around the lips and
neck 3 weeks after coil embolization. Judging from the clinical course and
results of several patch tests, platinum coils were considered to have induced
the dermatitis. Symptoms were relieved with administration of oral steroids. This
represents the first report of metal-induced dermatitis after neuroendovascular
treatment. The possibility of metal allergy was difficult to suspect
preoperatively. However, early evaluation and referral are important when skin
symptoms are observed postoperatively.
PMID- 26553881
TI - A study of cytocompatibility and degradation of iron-based biodegradable
materials.
AB - Biodegradable metallic implants are of significant importance in the replacement
of bones or the repair of bone defects. Iron-phosphate-coated carbonyl iron
powder (Fe/P) was prepared by the phosphating method. Moreover, Fe/P-Mn alloy was
produced by sintering the Fe/P powder mixed with manganese powder. Bare carbonyl
iron samples and the Fe/P and Fe/P-Mn sintered samples were evaluated for their
microstructure, cytotoxicity, and hemocompatibility. The microstructure of the
sintered samples was examined using an optical microscope and scanning electron
microscopic analysis. Corrosion behavior was evaluated by potentiodynamic
polarization in Hank's solution. The in vitro biocompatibilities were
investigated by cytotoxicity and hemolysis tests. The results obtained
demonstrate that the addition of Mn resulted in higher surface inhomogeneity,
porosity and roughness as well as in increased cytotoxicity. The phosphate
coating has a moderately negative effect on the cytotoxicity. The corrosion rates
determined from Tafel diagrams were ordered in the following sequence: Fe/P-Mn,
Fe, Fe/P from high to low. The hemocompatibility of experimental samples was
ordered in the following sequence: Fe/P, Fe/P-Mn, Fe from high to low. All
samples were found to be hemocompatible.
PMID- 26553882
TI - Synthesis and characterization of an in situ forming hydrogel using tyramine
conjugated high methoxyl gum tragacanth.
AB - In this study, an enzyme catalyzed in situ forming hydrogel based on tyramine
conjugated high methoxyl content gum tragacanth (TA-HMGT) was prepared and
characterized. TA-HMGT was synthesized via heterogeneous ammonolysis of methyl
ester groups of HMGT. Then, the hydrogel was prepared via horseradish peroxidase
catalyzed coupling reaction in the presence of hydrogen peroxide. Hydrogel
properties, such as gelation time, swelling/degradation behavior and rheological
properties could be adjusted by tuning the gelation parameters and extent of
tyramine conjugation. This system was a soft elastic hydrogel with appropriate
biocompatibility. The fast gelation of the hydrogel is desirable for clinical
applications. Also, in vitro bovine serum albumin release from the synthesized
hydrogel showed good release profile with limited burst release.
PMID- 26553883
TI - Vitamin D and Dental Caries in Children.
AB - The purpose of this study was to assess the relationship between vitamin D status
and dental caries in Canadian school-aged children participating in the Canadian
Health Measures Survey (CHMS). The CHMS was a national cross-sectional study
involving physical assessments, laboratory analysis, and interviews. Analysis was
restricted to data for 1,017 children 6 to 11 y of age. Outcome variables
included the presence of caries and overall total caries score (dmft/DMFT index).
Levels of 25-hydroxyvitamin D (25(OH)D) were measured from serum samples obtained
from participants. Bivariate analysis, logistic regression for the presence of
caries, and multiple linear regression for total caries scores were used.
Significance was set at P <= 0.05. Overall, 56.4% of children experienced caries,
and the mean dmft/DMFT score was 2.47 (95% CI 2.09 to 2.84). The unadjusted odds
of children with 25(OH)D levels >=75 nmol/L having experienced caries was 0.57
(95% CI 0.39 to 0.82), while the odds for caries at the >=50 nmol/L level was
0.56 (95% CI 0.39 to 0.89). After controlling for other covariates, backward
logistic regression revealed that the presence of caries was significantly
associated with 25(OH) levels <75 nmol/L and <50 nmol/L, lower household
education, not brushing twice daily, and yearly visits to the dentist. Similarly,
multiple linear regression revealed that total dmft/DMFT caries scores were also
associated with 25(OH)D concentrations <75 nmol/L, not brushing twice daily,
lower household education, and yearly visits to the dentist. Data from a cross
sectional, nationally representative sample of Canadian children suggest that
there is an association between caries and lower serum vitamin D. Improving
children's vitamin D status may be an additional preventive consideration to
lower the risk for caries.
PMID- 26553884
TI - The Shape of the Dose-Response Relationship between Sugars and Caries in Adults.
AB - Dental caries is considered a diet-mediated disease, as sugars are essential in
the caries process. However, some gaps in knowledge about the sugars-caries
relationship still need addressing. This longitudinal study aimed to explore 1)
the shape of the dose-response association between sugars intake and caries in
adults, 2) the relative contribution of frequency and amount of sugars intake to
caries levels, and 3) whether the association between sugars intake and caries
varies by exposure to fluoride toothpaste. We used data from 1,702 dentate adults
who participated in at least 2 of 3 surveys in Finland (Health 2000, 2004/05
Follow-up Study of Adults' Oral Health, and Health 2011). Frequency and amount of
sugars intake were measured with a validated food frequency questionnaire. The
DMFT index was the repeated outcome measure. Data were analyzed with fractional
polynomials and linear mixed effects models. None of the 43 fractional
polynomials tested provided a better fit to the data than the simpler linear
model. In a mutually adjusted linear mixed effects model, the amount of, but not
the frequency of, sugars intake was significantly associated with DMFT throughout
the follow-up period. Furthermore, the longitudinal association between amount of
sugars intake and DMFT was weaker in adults who used fluoride toothpaste daily
than in those using it less often than daily. The findings of this longitudinal
study among Finnish adults suggest a linear dose-response relationship between
sugars and caries, with amount of intake being more important than frequency of
ingestion. Also, daily use of fluoride toothpaste reduced but did not eliminate
the association between amount of sugars intake and dental caries.
PMID- 26553885
TI - Topography Influences Adherent Cell Regulation of Osteoclastogenesis.
AB - The importance of osteoclast-mediated bone resorption in the process of
osseointegration has not been widely considered. In this study, cell culture was
used to investigate the hypothesis that the function of implant-adherent bone
marrow stromal cells (BMSCs) in osteoclastogenesis is influenced by surface
topography. BMSCs isolated from femur and tibia of Sprague-Dawley rats were
seeded onto 3 types of titanium surfaces (smooth, micro, and nano) and a control
surface (tissue culture plastic) with or without osteogenic supplements. After 3
to 14 d, conditioned medium (CM) was collected. Subsequently, rat bone marrow
derived macrophages (BMMs) were cultured in media supplemented with soluble
receptor activator of NF-kappaB ligand (RANKL) and macrophage colony-stimulating
factor (M-CSF) as well as BMSC CM from each of the 4 surfaces. Gene expression
levels of soluble RANKL, osteoprotegerin, tumor necrosis factor alpha, and M-CSF
in cultured BMSCs at different time points were measured by real-time polymerase
chain reaction. The number of differentiated osteoclastic cells was determined
after tartrate-resistant acid phosphatase staining. Analysis of variance and t
test were used for statistical analysis. The expression of prominent osteoclast
promoting factors tumor necrosis factor alpha and M-CSF was increased by BMSCs
cultured on both micro- and nanoscale titanium topographies (P < 0.01). BMSC CM
contained a heat-labile factor that increased BMMs osteoclastogenesis. CM from
both micro- and nanoscale surface-adherent BMSCs increased the osteoclast number
(P < 0.01). Difference in surface topography altered BMSC phenotype and
influenced BMM osteoclastogenesis. Local signaling by implant-adherent cells at
the implant-bone interface may indirectly control osteoclastogenesis and bone
accrual around endosseous implants.
PMID- 26553886
TI - Analysis of deformity in scaphoid non-unions using two- and three-dimensional
imaging.
AB - Pre-operative assessment of the deformity in scaphoid non-unions influences
surgical decision-making. To characterize deformity, we used three-dimensional
computed tomographic modelling in 28 scaphoid non-unions, and quantified bone
loss, dorsal osteophyte volume and flexion deformity. We further related these
three-dimensional parameters to the intrascaphoid and capitate-lunate angles, and
stage of scaphoid non-union advanced collapse assessed on conventional two
dimensional images and to the chosen surgical procedure. Three-dimensional
flexion deformity (mean 26 degrees ) did not correlate with intrascaphoid and
capitate-lunate angles. Osteophyte volume was positively correlated with bone
loss and stage of scaphoid non-union advanced collapse. Osteophyte volume and
bone loss increased over time. Three-dimensional modelling enables the
quantification of bone loss and osteophyte volume, which may be valuable
parameters in the characterization of deformity and subsequent decision-making
about treatment, when taken in addition to the clinical aspects and level of
osteoarthritis. TYPE OF STUDY/LEVEL OF EVIDENCE: Level IV.
PMID- 26553887
TI - Understanding the Context for Long-Term Care Planning.
AB - Evolving family structure and economic conditions may affect individuals' ability
and willingness to plan for future long-term care (LTC) needs. We applied life
course constructs to analyze focus group data from a study of family decision
making about LTC insurance. Participants described how past exposure to
caregiving motivated them to engage in LTC planning; in contrast, child rearing
discouraged LTC planning. Perceived institutional and economic instability drove
individuals to regard financial LTC planning as either a wise precaution or
another risk. Perceived economic instability also shaped opinions that adult
children are ill-equipped to support parents' LTC. Despite concerns about
viability of social insurance programs, some participants described strategies to
maximize gains from them. Changing norms around aging and family roles also
affected expectations of an active older age, innovative LTC options, and
limitations to adult children's involvement. Understanding life course context
can inform policy efforts to encourage LTC planning.
PMID- 26553888
TI - CORRECTION. Learning from no-fault treatment injury claims to improve the safety
of older patients.
PMID- 26553889
TI - Perspectives in Primary Care: Values-Driven Leadership is Essential in Health
Care.
PMID- 26553890
TI - Impact of the Prevalence of Concordant and Discordant Conditions on the Quality
of Diabetes Care in Family Practices in England.
AB - PURPOSE: The purpose of this study was to examine the association between the
prevalence of both diabetes-concordant and diabetes-discordant conditions and the
quality of diabetes care at the family practice level in England. We hypothesized
that the prevalence of concordant (or discordant) conditions would be associated
with better (or worse) quality of diabetes care. METHODS: We conducted a cross
sectional study using practice-level data (7,884 practices). We estimated the
practice-level prevalence of diabetes and 15 other chronic conditions, which were
classified as diabetes concordant (ie, with the same pathophysiologic risk
profile and therefore more likely to be part of the same management plan) or
diabetes discordant (ie, not directly related in either their pathogenesis or
management). We measured quality of diabetes care with diabetes-specific
indicators (8 processes and 3 intermediate outcomes of care). We used linear
regression models to quantify the effect of the prevalence of the conditions on
aggregate achievement rate for quality of diabetes care. RESULTS: Consistent with
the proposed model, the prevalence rates of 4 of 7 concordant conditions
(obesity, chronic kidney disease, atrial fibrillation, heart failure) were
positively associated with quality of diabetes care. Similarly, negative
associations were observed as predicted for 2 of the 8 discordant conditions
(epilepsy, mental health). Observations for other concordant and discordant
conditions did not match predictions in the hypothesized model. CONCLUSIONS: The
quality of diabetes care provided in English family practices is associated with
the prevalence of other major chronic conditions at the practice level. The
nature and direction of the observed associations cannot be fully explained by
the concordant-discordant model.
PMID- 26553891
TI - Case Management in Primary Care for Frequent Users of Health Care Services With
Chronic Diseases: A Qualitative Study of Patient and Family Experience.
AB - PURPOSE: Although case management (CM) is increasingly being implemented to
address the complex needs of vulnerable clienteles, few studies have examined the
patient experience of CM. This study aimed to examine the experience of patients
and their family members with care integration as part of a primary care CM
intervention. Patients in the study were frequent users of health care services
who had chronic diseases. METHODS: A descriptive, qualitative approach was
conducted involving 25 patients and 8 of their family members. Data were
collected through in-depth interviews of the patients and 2 focus groups of
family members and were analyzed thematically. RESULTS: While some participants
did not fully understand the CM intervention and a few believed that it involved
too many appointments, the CM nurses were patients' preferred contact with
primary care. The nurses actively involved the patients in developing and
carrying out their individualized services plans (ISPs) with other health care
partners. Patients felt that their needs were taken into consideration,
especially regarding access to the health care system. The case manager
facilitated access to information as well as communication and coordination among
health care and community partners. This improved communication comforted the
patients and nurtured a relationship of trust. Participants were actively
involved in decision-making. Their ISPs helped them know where they were going
and improved transitions between services. CONCLUSIONS: The experience of
patients and family members was overall very positive regarding care integration.
They reported improved access, communication, coordination, and involvement in
decision-making as well as better health care transitions.
PMID- 26553893
TI - The Identity Crisis of Osteoarthritis in General Practice: A Qualitative Study
Using Video-Stimulated Recall.
AB - PURPOSE: Patients and doctors report marked disenchantment with primary care
consultation experiences relating to osteoarthritis. This study aimed to observe
and analyze interactions between general practitioners (GPs) and patients
presenting with osteoarthritis (OA) to identify how to improve care for OA.
METHODS: We conducted an observational study in general practices in the United
Kingdom using video-recorded real-life consultations of unselected patients and
their GPs. Postconsultation interviews were conducted using video-stimulated
recall. Both consultations and interviews were analyzed thematically. RESULTS:
Three key themes were identified in an analysis of 19 OA consultations and the
matched GP and patient interviews: complexity, dissonance, and prioritization.
The topic of osteoarthritis arises in the consultation in complex contexts of
multimorbidity and multiple, often not explicit, patient agendas. Dissonance
between patient and doctor was frequently observed and reported; this occurred
when GPs normalized symptoms of OA as part of life and reassured patients who
were not seeking reassurance. GPs used wear and tear in preference to
osteoarthritis or didn't name the condition at all. GPs subconsciously made
assumptions that patients did not consider OA a priority and that symptoms raised
late in the consultation were not troublesome. CONCLUSIONS: The lack of a clear
illness profile results in confusion between patients and doctors about what OA
is and its priority in the context of multimorbidity. This study highlights
generic communication issues regarding the potential negative consequences of
unsought reassurance and the importance of validation of symptoms and raises new
arguments for tackling OA's identity crisis by developing a clearer medical
language with which to explain OA.
PMID- 26553892
TI - Roles of Clinician, Patient, and Community Characteristics in the Management of
Pediatric Upper Respiratory Tract Infections.
AB - PURPOSE: Prior studies have evaluated factors predictive of inappropriate
antibiotic prescription for upper respiratory tract infections (URIs). Community
factors, however, have not been examined. The aim of this study was to evaluate
the roles of patient, clinician, and community factors in predicting appropriate
management of URIs in children. METHODS: We used a novel database exchange,
linking electronic health record data with community statistics, to identify all
patients aged 3 months to 18 years in whom URI was diagnosed in the period from
2007 to 2012. We followed the Healthcare Effectiveness Data and Information Set
(HEDIS) quality measurement titled "Appropriate treatment for children with upper
respiratory infection" to determine the rate of appropriate management of URIs.
We then stratified data across individual and community characteristics and used
multiple logistic regression modeling to identify variables that independently
predicted antibiotic prescription. RESULTS: Of 20,581 patients, the overall rate
for appropriate management for URI was 93.5%. Family medicine clinicians (AOR =
1.5; 95% CI 1.31, 1.71; reference = pediatric clinicians), urgent care clinicians
(AOR = 2.23; 95% CI 1.93, 2.57; reference = pediatric clinicians), patients aged
12 to 18 years (AOR = 1.44; 95% CI 1.25, 1.67; reference = age 3 months to 4
years), and patients of white race/ ethnicity (AOR = 1.83; 95% CI 1.41, 2.37;
reference = black non-Hispanic) were independently predictive of antibiotic
prescription. No community factors were independently predictive of antibiotic
prescription. CONCLUSIONS: Results correlate with prior studies in which non
pediatric clinicians and white race/ethnicity were predictive of antibiotic
prescription, while association with older patient age has not been previously
reported. Findings illustrate the promise of linking electronic health records
with community data to evaluate health care disparities.
PMID- 26553894
TI - Effectiveness of a Multifaceted Intervention for Potentially Inappropriate
Prescribing in Older Patients in Primary Care: A Cluster-Randomized Controlled
Trial (OPTI-SCRIPT Study).
AB - PURPOSE: Potentially inappropriate prescribing (PIP) is common in older people
and can result in increased morbidity, adverse drug events, and hospitalizations.
The OPTI-SCRIPT study (Optimizing Prescribing for Older People in Primary Care, a
cluster-randomized controlled trial) tested the effectiveness of a multifaceted
intervention for reducing PIP in primary care. METHODS: We conducted a cluster
randomized controlled trial among 21 general practitioner practices and 196
patients with PIP. Intervention participants received a complex, multifaceted
intervention incorporating academic detailing; review of medicines with web-based
pharmaceutical treatment algorithms that provide recommended alternative
treatment options; and tailored patient information leaflets. Control practices
delivered usual care and received simple, patient-level PIP feedback. Primary
outcomes were the proportion of patients with PIP and the mean number of
potentially inappropriate prescriptions. We performed intention-to-treat analysis
using random-effects regression. RESULTS: All 21 practices and 190 patients were
followed. At intervention completion, patients in the intervention group had
significantly lower odds of having PIP than patients in the control group
(adjusted odds ratio = 0.32; 95% CI, 0.15-0.70; P = .02). The mean number of PIP
drugs in the intervention group was 0.70, compared with 1.18 in the control group
(P = .02). The intervention group was almost one-third less likely than the
control group to have PIP drugs at intervention completion, but this difference
was not significant (incidence rate ratio = 0.71; 95% CI, 0.50-1.02; P = .49).
The intervention was effective in reducing proton pump inhibitor prescribing
(adjusted odds ratio = 0.30; 95% CI, 0.14-0.68; P = .04). CONCLUSIONS: The OPTI
SCRIPT intervention incorporating academic detailing with a pharmacist, and a
review of medicines with web-based pharmaceutical treatment algorithms, was
effective in reducing PIP, particularly in modifying prescribing of proton pump
inhibitors, the most commonly occurring PIP drugs nationally.
PMID- 26553895
TI - Integrating Quantitative and Qualitative Results in Health Science Mixed Methods
Research Through Joint Displays.
AB - PURPOSE: Mixed methods research is becoming an important methodology to
investigate complex health-related topics, yet the meaningful integration of
qualitative and quantitative data remains elusive and needs further development.
A promising innovation to facilitate integration is the use of visual joint
displays that bring data together visually to draw out new insights. The purpose
of this study was to identify exemplar joint displays by analyzing the various
types of joint displays being used in published articles. METHODS: We searched
for empirical articles that included joint displays in 3 journals that publish
state-of-the-art mixed methods research. We analyzed each of 19 identified joint
displays to extract the type of display, mixed methods design, purpose,
rationale, qualitative and quantitative data sources, integration approaches, and
analytic strategies. Our analysis focused on what each display communicated and
its representation of mixed methods analysis. RESULTS: The most prevalent types
of joint displays were statistics-by-themes and side-by-side comparisons.
Innovative joint displays connected findings to theoretical frameworks or
recommendations. Researchers used joint displays for convergent, explanatory
sequential, exploratory sequential, and intervention designs. We identified
exemplars for each of these designs by analyzing the inferences gained through
using the joint display. Exemplars represented mixed methods integration,
presented integrated results, and yielded new insights. CONCLUSIONS: Joint
displays appear to provide a structure to discuss the integrated analysis and
assist both researchers and readers in understanding how mixed methods provides
new insights. We encourage researchers to use joint displays to integrate and
represent mixed methods analysis and discuss their value.
PMID- 26553896
TI - Transitional Care for Patients With Congestive Heart Failure: A Systematic Review
and Meta-Analysis.
AB - PURPOSE: We aimed to determine the impact of transitional care interventions
(TCIs) on acute health service use by patients with congestive heart failure in
primary care and to identify the most effective TCIs and their optimal duration.
METHODS: We conducted a systematic review and meta-analysis of randomized
controlled trials, searching the Medline, PsycInfo, EMBASE, and Cochrane Library
databases. We performed a meta-analysis to assess the impact of TCI on all-cause
hospital readmissions and emergency department (ED) visits. We developed a
taxonomy of TCIs based on intensity and assessed the methodologic quality of the
trials. We calculated the relative risk (RR) and a 95% confidence interval for
each outcome. We conducted a stratified analysis to identify the most effective
TCIs and their optimal duration. RESULTS: We identified 41 randomized controlled
trials. TCIs significantly reduced risks of readmission and ED visits by 8% and
29%, respectively (relative risk = 0.92; 95% CI, 0.87-0.98; P = .006 and relative
risk = 0.71; 95% CI, 0.51-0.98; P = .04). High-intensity TCIs (combining home
visits with telephone followup, clinic visits, or both) reduced readmission risk
regardless of the duration of follow-up. Moderate-intensity TCIs were efficacious
if implemented for a longer duration (at least 6 months). In contrast, low
intensity TCIs, entailing only followup in outpatient clinics or telephone follow
up, were not efficacious. CONCLUSIONS: Clinicians and managers who implement TCIs
in primary care can incorporate these results with their own health care context
to determine the optimal balance between intensity and duration of TCIs. High
intensity interventions seem to be the best option. Moderate-intensity
interventions implemented for 6 months or longer may be another option.
PMID- 26553898
TI - Fatal Consequences: Obstructive Sleep Apnea in a Train Engineer.
AB - This special report describes the findings of the National Transportation Safety
Board's investigation into the probable cause of the derailment of a Metro-North
passenger train in the Bronx, New York on December 1, 2013, that resulted in 4
deaths and injuries to 59 additional persons. A key finding in the medical
investigation was the engineer's post-accident diagnosis of severe, obstructive
sleep apnea, and the probable cause of the accident was determined to be the
result of the engineer having fallen asleep while operating the train. This
accident highlights the importance of screening, evaluating, and ensuring
adequate treatment of obstructive sleep apnea, particularly among patients
working in positions where impairment of physical or cognitive function or sudden
incapacitation may result in serious harm to the public.
PMID- 26553897
TI - The Efficacy of Mindfulness-Based Interventions in Primary Care: A Meta-Analytic
Review.
AB - PURPOSE: Positive effects have been reported after mindfulness-based
interventions (MBIs) in diverse clinical and nonclinical populations. Primary
care is a key health care setting for addressing common chronic conditions, and
an effective MBI designed for this setting could benefit countless people
worldwide. Meta-analyses of MBIs have become popular, but little is known about
their efficacy in primary care. Our aim was to investigate the application and
efficacy of MBIs that address primary care patients. METHODS: We performed a meta
analytic review of randomized controlled trials addressing the effect of MBIs in
adult patients recruited from primary care settings. The PRISMA (Preferred
Reporting Items for Systematic Reviews and Meta-Analyses) and Cochrane guidelines
were followed. Effect sizes were calculated with the Hedges g in random effects
models. RESULTS: The meta-analyses were based on 6 trials having a total of 553
patients. The overall effect size of MBI compared with a control condition for
improving general health was moderate (g = 0.48; P = .002), with moderate
heterogeneity (I(2) = 59; P <.05). We found no indication of publication bias in
the overall estimates. MBIs were efficacious for improving mental health (g =
0.56; P = .007), with a high heterogeneity (I(2) = 78; P <.01), and for improving
quality of life (g = 0.29; P = .002), with a low heterogeneity (I(2) = 0; P
>.05). CONCLUSIONS: Although the number of randomized controlled trials applying
MBIs in primary care is still limited, our results suggest that these
interventions are promising for the mental health and quality of life of primary
care patients. We discuss innovative approaches for implementing MBIs, such as
complex intervention and stepped care.
PMID- 26553899
TI - The Untaught Lesson.
AB - My fellow physician and friend died from a rare type of cancer. She was a model
for me in many respects. She showed me how to cope with a chronic disease
together with a demanding professional life, yet she left me without any comfort
or guidelines on how to contend with a terminal disease. This story is a
reflection on my frustration and disappointment with my friend's final choices
that prevented us from processing our feelings and sharing our deepest thoughts.
The story includes reflections and insights about our ability to understand and
accept the preferences of patients and others close to us.
PMID- 26553900
TI - OUR TIME IS NOW: AAFP PRESIDENT PLEDGES TO FIGHT FOR RESPECT AND RESOURCES.
PMID- 26553901
TI - PISACANO LEADERSHIP FOUNDATION NAMES 2015 PISACANO SCHOLARS.
PMID- 26553902
TI - PARTNERING FOR TRANSFORMATION: A MENU OF MANY POINTS OF ENTRY FOR YOUR
DEPARTMENT.
PMID- 26553903
TI - STFM LAUNCHES INTERPROFESSIONAL LEADING CHANGE FELLOWSHIP.
PMID- 26553904
TI - THE NATIONAL GRADUATE SURVEY FOR FAMILY MEDICINE.
PMID- 26553905
TI - NAPCRG ANNUAL MEETING DISTINGUISHED PAPERS.
PMID- 26553906
TI - Low-dose versus high-dose fish oil for pain reduction and function improvement in
patients with knee osteoarthritis.
PMID- 26553908
TI - The effects of malnutrition on cardiac function in African children.
AB - OBJECTIVE: Cardiac dysfunction may contribute to high mortality in severely
malnourished children. Our objective was to assess the effect of malnutrition on
cardiac function in hospitalised African children. DESIGN: Prospective cross
sectional study. SETTING: Public referral hospital in Blantyre, Malawi. PATIENTS:
We enrolled 272 stable, hospitalised children ages 6-59 months, with and without
WHO-defined severe acute malnutrition. MAIN OUTCOME MEASURES: Cardiac index,
heart rate, mean arterial pressure, stroke volume index and systemic vascular
resistance index were measured by the ultrasound cardiac output monitor (USCOM,
New South Wales, Australia). We used linear regression with generalised
estimating equations controlling for age, sex and anaemia. RESULTS: Our primary
outcome, cardiac index, was similar between those with and without severe
malnutrition: difference=0.22 L/min/m(2) (95% CI -0.08 to 0.51). No difference
was found in heart rate or stroke volume index. However, mean arterial pressure
and systemic vascular resistance index were lower in children with severe
malnutrition: difference=-8.6 mm Hg (95% CI -12.7 to -4.6) and difference=-200
dyne s/cm(5)/m(2) (95% CI -320 to -80), respectively. CONCLUSIONS: In this
largest study to date, we found no significant difference in cardiac function
between hospitalised children with and without severe acute malnutrition. Further
study is needed to determine if cardiac function is diminished in unstable
malnourished children.
PMID- 26553907
TI - Management of Crohn's disease.
AB - Crohn's disease (CD) is rapidly increasing in children so an up to date knowledge
of diagnosis, investigation and management is essential. Exclusive enteral
nutrition is the first line treatment for active disease. The vast majority of
children will need immunosuppressant treatment and around 20% will need treatment
with biologics. Recent guidelines have helped make best use of available
therapies.
PMID- 26553910
TI - Question 2: Can paediatric early warning systems predict serious clinical
deterioration in paediatric inpatients?
PMID- 26553909
TI - Management of ulcerative colitis.
AB - Ulcerative colitis (UC) in children is increasing. The range of treatments
available has also increased too but around 1 in 4 children still require surgery
to control their disease. An up-to-date understanding of treatments is essential
for all clinicians involved in the care of UC patients to ensure appropriate and
timely treatment while minimising the risk of complications and side effects.
PMID- 26553911
TI - Service use in children aged 6-8 years with attention deficit hyperactivity
disorder.
AB - OBJECTIVE: This study investigated prevalence, types and predictors of
professional service use in families of children identified with attention
deficit hyperactivity disorder (ADHD) in the community. DESIGN: SETTING:
children with ADHD were identified through 43 schools using parent and teacher
screening questionnaires (Conners 3 ADHD Index) followed by case confirmation
using the Diagnostic Interview Schedule for Children Version IV. Parents
completed a survey about professional service use in the last 12 months. MAIN
OUTCOME MEASURES: data on variables potentially associated with service use were
collected from parents (interview and questionnaires), teachers (questionnaires)
and children (direct assessment). Logistic regression was used to examine
predictors of service use in univariate and multivariable analyses. RESULTS: The
sample comprised 179 children aged 6-8 years with ADHD. Over one-third (37%) had
not received professional services in the last 12 months. The strongest
predictors of service use were older child age (adjusted OR=3.0, 95% CI 1.0 to
8.9, p=0.05), and the degree to which the child's behaviour impacted on the
family (adjusted OR=2.0, 95% CI 1.3 to 3.3, p=0.007), after controlling for ADHD
subtype and severity, externalising comorbidities, academic achievement and
parent-reported impairment. CONCLUSIONS: A substantial proportion of children
with ADHD are not accessing professional services. Our findings suggest that the
child's age and the impact of the child's behaviour on the family are the
strongest predictors of service use. Given the demonstrated benefits from various
interventions in ADHD, there is a need to improve case identification and
referral for services.
PMID- 26553912
TI - Conflict in a paediatric hospital: a prospective mixed-method study.
AB - BACKGROUND: Conflict in healthcare is a well-recognised but under-examined
phenomenon. Little is known about the prevalence and causes of conflict across
paediatric specialties. OBJECTIVE: To report the frequency and characteristics of
conflict in a paediatric hospital. DESIGN AND SETTING: An explanatory sequential
mixed-method approach was adopted. A bespoke questionnaire recorded frequency,
severity, cause and staff involved in conflict prospectively. Data were recorded
for the same two 12-week periods in 2013 and 2014, in one UK children's teaching
hospital. Data were analysed using descriptive statistics and correlation, the
findings of which informed the construction of a semistructured interview
schedule. Qualitative interviews were conducted with six key informant healthcare
professionals to aid data interpretation; interviews were analysed thematically.
RESULTS: 136 individual episodes of conflict were reported. The three most common
causes were 'communication breakdown', 'disagreements about treatment' and
'unrealistic expectations'. Over 448 h of healthcare professional time was taken
up by these conflicts; most often staff nurses, consultants, doctors in training
and matrons. The mean severity rating was 4.9 out of 10. Qualitative interviews
revealed consensus regarding whether conflicts were ranked as low, medium or high
severity, and explanations regarding why neurology recorded the highest number of
conflicts in the observed period. CONCLUSIONS: Conflict is prevalent across
paediatric specialties, and particularly in neurology, general paediatrics and
neonatology. Considerable staff time is taken in managing conflict, indicating a
need to focus resources on supporting staff to resolve conflict, notably managing
communication breakdown.
PMID- 26553913
TI - CopyNumber450kCancer: baseline correction for accurate copy number calling from
the 450k methylation array.
AB - The Illumina Infinium HumanMethylation450 BeadChip (450k) is widely used for the
evaluation of DNA methylation levels in large-scale datasets, particularly in
cancer. The 450k design allows copy number variant (CNV) calling using existing
bioinformatics tools. However, in cancer samples, numerous large-scale
aberrations cause shifting in the probe intensities and thereby may result in
erroneous CNV calling. Therefore, a baseline correction process is needed. We
suggest the maximum peak of probe segment density to correct the shift in the
intensities in cancer samples. AVAILABILITY AND IMPLEMENTATION:
CopyNumber450kCancer is implemented as an R package. The package with examples
can be downloaded at http://cran.r-project.org CONTACT:
nour.marzouka@medsci.uu.se SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 26553914
TI - Using Cox cluster processes to model latent pulse location patterns in hormone
concentration data.
AB - Many hormones, including stress hormones, are intermittently secreted as pulses.
The pulsatile location process, describing times when pulses occur, is a
regulator of the entire stress system. Characterizing the pulse location process
is particularly difficult because the pulse locations are latent; only hormone
concentration at sampled times is observed. In addition, for stress hormones the
process may change both over the day and relative to common external stimuli.
This potentially results in clustering in pulse locations across subjects.
Current approaches to characterizing the pulse location process do not capture
subject-to-subject clustering in locations. Here we show how a Bayesian Cox
cluster process may be adapted as a model of the pulse location process. We show
that this novel model of pulse locations is capable of detecting circadian
rhythms in pulse locations, clustering of pulse locations between subjects, and
identifying exogenous controllers of pulse events. We integrate our pulse
location process into a model of hormone concentration, the observed data. A
spatial birth-and-death Markov chain Monte Carlo algorithm is used for
estimation. We exhibit the strengths of this model on simulated data and
adrenocorticotropic and cortisol data collected to study the stress axis in
depressed and non-depressed women.
PMID- 26553915
TI - A decision-theoretic phase I-II design for ordinal outcomes in two cycles.
AB - This paper is motivated by a phase I-II clinical trial of a targeted agent for
advanced solid tumors. We study a stylized version of this trial with the goal to
determine optimal actions in each of two cycles of therapy. A design is presented
that generalizes the decision-theoretic two-cycle design of Lee and others (2015.
Bayesian dose-finding in two treatment cycles based on the joint utility of
efficacy and toxicity. Journal of the American Statistical Association, to
appear) to accommodate ordinal outcomes. Backward induction is used to jointly
optimize the actions taken for each patient in each of the two cycles, with the
second action accounting for the patient's cycle 1 dose and outcomes. A
simulation study shows that simpler designs obtained by dichotomizing the ordinal
outcomes either perform very similarly to the proposed design, or have much worse
performance in some scenarios. We also compare the proposed design with the
simpler approaches of optimizing the doses in each cycle separately, or ignoring
the distinction between cycles 1 and 2.
PMID- 26553916
TI - Fast, fully Bayesian spatiotemporal inference for fMRI data.
AB - We propose a spatial Bayesian variable selection method for detecting blood
oxygenation level dependent activation in functional magnetic resonance imaging
(fMRI) data. Typical fMRI experiments generate large datasets that exhibit
complex spatial and temporal dependence. Fitting a full statistical model to such
data can be so computationally burdensome that many practitioners resort to
fitting oversimplified models, which can lead to lower quality inference. We
develop a full statistical model that permits efficient computation. Our approach
eases the computational burden in two ways. We partition the brain into 3D
parcels, and fit our model to the parcels in parallel. Voxel-level activation
within each parcel is modeled as regressions located on a lattice. Regressors
represent the magnitude of change in blood oxygenation in response to a stimulus,
while a latent indicator for each regressor represents whether the change is zero
or non-zero. A sparse spatial generalized linear mixed model captures the spatial
dependence among indicator variables within a parcel and for a given stimulus.
The sparse SGLMM permits considerably more efficient computation than does the
spatial model typically employed in fMRI. Through simulation we show that our
parcellation scheme performs well in various realistic scenarios. Importantly,
indicator variables on the boundary between parcels do not exhibit edge effects.
We conclude by applying our methodology to data from a task-based fMRI
experiment.
PMID- 26553917
TI - In vivo confocal microscopic corneal images in health and disease with an
emphasis on extracting features and visual signatures for corneal diseases: a
review study.
AB - There is an evolution in the demands of modern ophthalmology from descriptive
findings to assessment of cellular-level changes by using in vivo confocal
microscopy. Confocal microscopy, by producing greyscale images, enables a
microstructural insight into the in vivo cornea in both health and disease,
including epithelial changes, stromal degenerative or dystrophic diseases,
endothelial pathologies and corneal deposits and infections. Ophthalmologists use
acquired confocal corneal images to identify health and disease states and then
to diagnose which type of disease is affecting the cornea. This paper presents
the main features of the healthy confocal corneal layers and reviews the most
common corneal diseases. It identifies the visual signatures of each disease in
the affected layer and extracts the main features of this disease in terms of
intensity, certain regular shapes with both their size and diffusion, and some
specific region of interest. These features will lead towards the development of
a complete automatic corneal diagnostic system that predicts abnormalities in the
confocal corneal data sets.
PMID- 26553918
TI - Correction.
PMID- 26553919
TI - Efficacy of conjunctival resection with cyanoacrylate glue application in
preventing recurrences of Mooren's ulcer.
AB - AIM: To evaluate the role of conjunctival resection along with cyanoacrylate glue
and bandage contact lens application in preventing recurrences and arresting
progression in cases of Mooren's ulcer. METHOD: This retrospective interventional
case series included cases of Mooren's ulcer that underwent conjunctival
resection with cyanoacrylate glue and bandage contact lens application between
2011 and 2014. Systemic immunosuppression was initiated depending on the
laterality and severity of disease. The primary outcome measures were clinical
quiescence and recurrence-free survival. Kaplan-Meier plots were constructed and
survival analysis done using the R software environment for statistical analysis.
Secondary outcome measures were needed for systemic immunosuppression, change in
best-corrected visual acuity and complications encountered. RESULTS: We evaluated
16 eyes of 12 patients who presented to us during the study period. The mean
follow-up duration was 9.6 months. All eyes achieved clinical quiescence with a
median recurrence-free survival of 141 days. The Kaplan-Meier survival curve
showed probability of recurrence-free survival to be 42.5% at 1 year, which
further dropped down to 21.3% at 2 years. All patients with recurrence (seven
eyes of four patients) required systemic immunosuppression. CONCLUSIONS:
Conjunctival resection and cyanoacrylate glue application are not effective in
avoiding recurrences and halting the disease progression in cases of Mooren's
ulcer. Systemic immunosuppression remains the mainstay of therapy.
PMID- 26553920
TI - Correction.
PMID- 26553921
TI - Behcet's disease ocular attack score 24 and visual outcome in patients with
Behcet's disease.
AB - AIMS: To investigate the ability of the Behcet's disease ocular attack score 24
(BOS24) scoring system to predict visual acuity (VA) in patients with ocular
Behcet's disease. DESIGN: This is a retrospective study. METHODS: We included 91
eyes of 50 patients with ocular Behcet's disease (33 males, 17 females) who were
referred to our hospital between 1986 and 2008 with >5 years follow-up. Total
BOS24 scores over a 5-year period, BOS24-5Y, were calculated as the sum of BOS24
scores for each attack over the 5-year study period for each eye. Change in VA
was defined as change in best-corrected visual acuity (BCVA) from the first
remission to the last remission at the end of the target period. Factors related
to change in VA (age, gender, BCVA at the first remission, total number of
immunosuppressive medications and total number of ocular attacks during the 5
year period and BOS24-5Y) were evaluated using a linear mixed model. RESULTS:
BCVA (logarithm of the minimal angle resolution) deteriorated from 0.16+/-0.30
(mean+/-SD) to 0.21+/-0.37 over the 5-year study period, but there was no
statistical difference. The total number of ocular attacks during the 5-year
period and BOS24-5Y scores were 10.0+/-7.9 and 36.8+/-40.8, respectively. Linear
mixed-model analysis revealed that BOS24-5Y was the most important index for VA
deterioration, followed by BCVA at the first remission. CONCLUSIONS: BOS24-5Y was
found to be a significant positive prognostic index for VA deterioration in
patients with ocular Behcet's disease.
PMID- 26553923
TI - Comparison of macular choroidal thicknesses from swept source and spectral domain
optical coherence tomography.
AB - BACKGROUND: Choroidal thickness (CT) measurements differ between swept source
optical coherence tomography (SS-OCT) and spectral domain OCT (SD-OCT) devices
for point thickness measurements. We aimed to assess the comparability of mean
macular CT measurements between SS-OCT and SD-OCT devices. METHODS: In a
prospective cohort study of 25 healthy volunteers, OCT scans were performed
sequentially with the deep range imaging (DRI) OCT-1 and Spectralis OCT using
standardised imaging protocols. These OCT scans were independently graded by
reading centre-certified graders to obtain mean CT in the various Early Treatment
Diabetic Retinopathy Study (ETDRS) subfields. Paired t tests and intraclass
correlation coefficients (ICCs) were used to compare the measurements. RESULTS:
The difference in mean central subfield CT between DRI OCT-1 and Spectralis was
49.3 um (p<0.001), while differences in CT in various ETDRS subfields varied from
42.1 to 67.2 um. After manual adjustment of the segmentation boundaries for the
central subfield in the DRI OCT-1, the mean central subfield CT for DRI OCT-1
increased from 263.1 to 293.3 um (p<0.001), and the resultant difference between
DRI OCT-1 and Spectralis decreased from 49.3 to 19.1 um (a decrease of 61.3%;
p<0.001). CT between the three-dimensional and radial scanning protocols of the
DRI OCT-1 were highly comparable, with differences generally under 10 um and ICC
of 0.888 for the central subfield. CONCLUSIONS: CT measurements between automated
segmentations from the DRI OCT-1 and manual segmentations on the Spectralis OCT
may differ by more than 50 um. This difference can be reduced, but not
eliminated, by manual adjustment of segmentation boundaries by trained graders,
and should be accounted for when comparing results between the two devices.
PMID- 26553922
TI - Current therapeutic developments in atrophic age-related macular degeneration.
AB - Age-related macular degeneration (AMD), a degenerative disorder of the central
retina, is the leading cause of irreversible blindness in the elderly. The
underlying mechanism of the advanced form of dry AMD, also named geographic
atrophy (GA) or atrophic AMD, remains unclear. Consequently, no cure is available
for dry AMD or GA. The only prevention option currently available is the Age
Related Eye Disease Study (AREDS) formulation, which has been demonstrated to
slow down the progression of dry AMD. This review summarises recent advances in
therapy for dry AMD and GA. Building on the new understanding of the disease and
recent technological breakthroughs, numerous ongoing clinical trials have the
goal of meeting the need to cure AMD. Therapeutic agents are being developed to
target the key features of the disease, including inhibiting the complement
pathway and other inflammatory pathways, reducing oxidative stress and protecting
retinal pigment epithelial (RPE) cells, inhibiting lipofuscin and visual cycle,
regenerating RPE cells from stem cells and restoring choroidal blood flow. Some
of these therapeutic options, especially the stem cell-based therapy, hold great
promise, which brings great hope for this devastating blinding disease.
PMID- 26553924
TI - Anne Brunet: Gracefully studying how we age.
PMID- 26553925
TI - SUMO enters the ring.
PMID- 26553926
TI - Bub3-BubR1-dependent sequestration of Cdc20Fizzy at DNA breaks facilitates the
correct segregation of broken chromosomes.
AB - The presence of DNA double-strand breaks during mitosis is particularly
challenging for the cell, as it produces broken chromosomes lacking a centromere.
This situation can cause genomic instability resulting from improper segregation
of the broken fragments into daughter cells. We recently uncovered a process by
which broken chromosomes are faithfully transmitted via the BubR1-dependent
tethering of the two broken chromosome ends. However, the mechanisms underlying
BubR1 recruitment and function on broken chromosomes were largely unknown. We
show that BubR1 requires interaction with Bub3 to localize on the broken
chromosome fragments and to mediate their proper segregation. We also find that
Cdc20, a cofactor of the E3 ubiquitin ligase anaphase-promoting complex/cyclosome
(APC/C), accumulates on DNA breaks in a BubR1 KEN box-dependent manner. A
biosensor for APC/C activity demonstrates a BubR1-dependent local inhibition of
APC/C around the segregating broken chromosome. We therefore propose that the
Bub3-BubR1 complex on broken DNA inhibits the APC/C locally via the sequestration
of Cdc20, thus promoting proper transmission of broken chromosomes.
PMID- 26553927
TI - Lamin A/C sustains PcG protein architecture, maintaining transcriptional
repression at target genes.
AB - Beyond its role in providing structure to the nuclear envelope, lamin A/C is
involved in transcriptional regulation. However, its cross talk with epigenetic
factors--and how this cross talk influences physiological processes--is still
unexplored. Key epigenetic regulators of development and differentiation are the
Polycomb group (PcG) of proteins, organized in the nucleus as microscopically
visible foci. Here, we show that lamin A/C is evolutionarily required for correct
PcG protein nuclear compartmentalization. Confocal microscopy supported by new
algorithms for image analysis reveals that lamin A/C knock-down leads to PcG
protein foci disassembly and PcG protein dispersion. This causes detachment from
chromatin and defects in PcG protein-mediated higher-order structures, thereby
leading to impaired PcG protein repressive functions. Using myogenic
differentiation as a model, we found that reduced levels of lamin A/C at the
onset of differentiation led to an anticipation of the myogenic program because
of an alteration of PcG protein-mediated transcriptional repression.
Collectively, our results indicate that lamin A/C can modulate transcription
through the regulation of PcG protein epigenetic factors.
PMID- 26553928
TI - Cdc42-dependent actin dynamics controls maturation and secretory activity of
dendritic cells.
AB - Cell division cycle 42 (Cdc42) is a member of the Rho guanosine triphosphatase
family and has pivotal functions in actin organization, cell migration, and
proliferation. To further study the molecular mechanisms of dendritic cell (DC)
regulation by Cdc42, we used Cdc42-deficient DCs. Cdc42 deficiency renders DCs
phenotypically mature as they up-regulate the co-stimulatory molecule CD86 from
intracellular storages to the cell surface. Cdc42 knockout DCs also accumulate
high amounts of invariant chain-major histocompatibility complex (MHC) class II
complexes at the cell surface, which cannot efficiently present peptide antigens
(Ag's) for priming of Ag-specific CD4 T cells. Proteome analyses showed a
significant reduction in lysosomal MHC class II-processing proteins, such as
cathepsins, which are lost from DCs by enhanced secretion. As these effects on
DCs can be mimicked by chemical actin disruption, our results propose that Cdc42
control of actin dynamics keeps DCs in an immature state, and cessation of Cdc42
activity during DC maturation facilitates secretion as well as rapid up
regulation of intracellular molecules to the cell surface.
PMID- 26553929
TI - Cargo-selective apical exocytosis in epithelial cells is conducted by Myo5B,
Slp4a, Vamp7, and Syntaxin 3.
AB - Mutations in the motor protein Myosin Vb (Myo5B) or the soluble NSF attachment
protein receptor Syntaxin 3 (Stx3) disturb epithelial polarity and cause
microvillus inclusion disease (MVID), a lethal hereditary enteropathy affecting
neonates. To understand the molecular mechanism of Myo5B and Stx3 interplay, we
used genome editing to introduce a defined Myo5B patient mutation in a human
epithelial cell line. Our results demonstrate a selective role of Myo5B and Stx3
for apical cargo exocytosis in polarized epithelial cells. Apical exocytosis of
NHE3, CFTR (cystic fibrosis transmembrane conductance regulator), and GLUT5
required an interaction cascade of Rab11, Myo5B, Slp4a, Munc18-2, and Vamp7 with
Stx3, which cooperate in the final steps of this selective apical traffic
pathway. The brush border enzymes DPPIV and sucrase-isomaltase still correctly
localize at the apical plasma membrane independent of this pathway. Hence, our
work demonstrates how Myo5B, Stx3, Slp4a, Vamp7, Munc18-2, and Rab8/11 cooperate
during selective apical cargo trafficking and exocytosis in epithelial cells and
thereby provides further insight into MVID pathophysiology.
PMID- 26553930
TI - Endosomal sorting of Notch receptors through COMMD9-dependent pathways modulates
Notch signaling.
AB - Notch family members are transmembrane receptors that mediate essential
developmental programs. Upon ligand binding, a proteolytic event releases the
intracellular domain of Notch, which translocates to the nucleus to regulate gene
transcription. In addition, Notch trafficking across the endolysosomal system is
critical in its regulation. In this study we report that Notch recycling to the
cell surface is dependent on the COMMD-CCDC22-CCDC93 (CCC) complex, a recently
identified regulator of endosomal trafficking. Disruption in this system leads to
intracellular accumulation of Notch2 and concomitant reduction in Notch
signaling. Interestingly, among the 10 copper metabolism MURR1 domain containing
(COMMD) family members that can associate with the CCC complex, only COMMD9 and
its binding partner, COMMD5, have substantial effects on Notch. Furthermore,
Commd9 deletion in mice leads to embryonic lethality and complex cardiovascular
alterations that bear hallmarks of Notch deficiency. Altogether, these studies
highlight that the CCC complex controls Notch activation by modulating its
intracellular trafficking and demonstrate cargo-specific effects for members of
the COMMD protein family.
PMID- 26553931
TI - AMIGO2, a novel membrane anchor of PDK1, controls cell survival and angiogenesis
via Akt activation.
AB - The phosphoinositide 3-kinase-Akt signaling pathway is essential to many
biological processes, including cell proliferation, survival, metabolism, and
angiogenesis, under pathophysiological conditions. Although 3-phosphoinositide
dependent kinase 1 (PDK1) is a primary activator of Akt at the plasma membrane,
the optimal activation mechanism remains unclear. We report that adhesion
molecule with IgG-like domain 2 (AMIGO2) is a novel scaffold protein that
regulates PDK1 membrane localization and Akt activation. Loss of AMIGO2 in
endothelial cells (ECs) led to apoptosis and inhibition of angiogenesis with Akt
inactivation. Amino acid residues 465-474 in AMIGO2 directly bind to the PDK1
pleckstrin homology domain. A synthetic peptide containing the AMIGO2 465-474
residues abrogated the AMIGO2-PDK1 interaction and Akt activation. Moreover, it
effectively suppressed pathological angiogenesis in murine tumor and oxygen
induced retinopathy models. These results demonstrate that AMIGO2 is an important
regulator of the PDK1-Akt pathway in ECs and suggest that interference of the
PDK1-AMIGO2 interaction might be a novel pharmaceutical target for designing an
Akt pathway inhibitor.
PMID- 26553932
TI - The DYRK-family kinase Pom1 phosphorylates the F-BAR protein Cdc15 to prevent
division at cell poles.
AB - Division site positioning is critical for both symmetric and asymmetric cell
divisions. In many organisms, positive and negative signals cooperate to position
the contractile actin ring for cytokinesis. In rod-shaped fission yeast
Schizosaccharomyces pombe cells, division at midcell is achieved through positive
Mid1/anillin-dependent signaling emanating from the central nucleus and negative
signals from the dual-specificity tyrosine phosphorylation-regulated kinase
family kinase Pom1 at the cell poles. In this study, we show that Pom1 directly
phosphorylates the F-BAR protein Cdc15, a central component of the cytokinetic
ring. Pom1-dependent phosphorylation blocks Cdc15 binding to paxillin Pxl1 and C2
domain protein Fic1 and enhances Cdc15 dynamics. This promotes ring sliding from
cell poles, which prevents septum assembly at the ends of cells with a displaced
nucleus or lacking Mid1. Pom1 also slows down ring constriction. These results
indicate that a strong negative signal from the Pom1 kinase at cell poles
converts Cdc15 to its closed state, destabilizes the actomyosin ring, and thus
promotes medial septation.
PMID- 26553934
TI - Diamond: immunohistochemistry versus sequencing in EGFR analysis of lung
adenocarcinomas.
AB - AIMS: Identification of epidermal growth factor receptor (EGFR) mutations in lung
adenocarcinomas is the single most important predictor of clinical response and
outcome using EGFR tyrosine kinase inhibitors (TKIs). EGFR E746-A750del and L858R
mutations are the most common gene alterations, also predicting the best clinical
response to TKIs. We evaluated the accuracy of EGFR mutation-specific antibodies
in a large cohort of lung adenocarcinomas, with different molecular settings and
types of tissue samples. METHODS: 300 lung adenocarcinomas diagnosed on cytology
(48 cell blocks), biopsy (157 cases) and surgical resections (95 cases) were
selected. All cases were investigated for EGFR by sequencing and two mutation
specific antibodies (clone 6B6 for E746-A750del; clone 43B2 for L858R) were
tested using an automated immunostainer. Discordant results were investigated by
next-generation sequencing (NGS). RESULTS: Overall sensitivity and specificity of
mutant-specific antibodies were 58.6% and 98.0%, respectively, and they increased
up to 84% and 100% if only tumours harbouring E746-A750del were considered. In 13
discordant cases, NGS confirmed immunohistochemistry results in eight samples.
CONCLUSIONS: The EGFR mutation-specific antibodies have a fair/good sensitivity
and good/high specificity in identifying classic mutations, but they cannot
replace molecular tests. The antibodies work equally well on biopsies and cell
blocks, possibly permitting a rapid screening in cases with poor material.
PMID- 26553935
TI - Traditional serrated adenoma (TSA): morphological questions, queries and
quandaries.
AB - AIM: Traditional serrated adenoma (TSA) is an uncommon type of serrated adenoma
that can be a precursor to biologically aggressive colorectal cancer that invokes
the serrated (accelerated) pathway. The purpose of this review is to address some
of the more contentious issues around nomenclature, diagnostic criteria,
histological variants, coexistence with other polyp types, the occurrence of
dysplasia and the differential diagnosis. RESULTS: While the vast majority of
TSAs are exophytic villiform polyps composed of deeply eosinophilic cells, flat
top luminal serrations and numerous ectopic crypt foci, histological variants
include flat TSA, filiform TSA and one composed of large numbers of mucin
containing cells. It is unlikely that there is any biological difference between
the histological variants. There is a contention that TSAs are not dysplastic ab
initio and that the majority do not show cytological atypia. Two types of
dysplasia are associated with TSA. Serrated dysplasia is less well recognised and
less commonly encountered than adenomatous dysplasia. TSA with dysplasia must be
separated from TSA with coexisting conventional adenoma. CONCLUSIONS: TSA is a
characteristic polyp that may be extremely exophytic, flat or composed of mucin
rich cells and is typified by numerous ectopic crypt foci. They may coexist with
other serrated polyps and conventional adenomas. Approximately 20-25% will be
accompanied by adenomatous dysplasia.
PMID- 26553933
TI - Protrusive waves guide 3D cell migration along nanofibers.
AB - In vivo, cells migrate on complex three-dimensional (3D) fibrous matrices, which
has made investigation of the key molecular and physical mechanisms that drive
cell migration difficult. Using reductionist approaches based on 3D electrospun
fibers, we report for various cell types that single-cell migration along
fibronectin-coated nanofibers is associated with lateral actin-based waves. These
cyclical waves have a fin-like shape and propagate up to several hundred
micrometers from the cell body, extending the leading edge and promoting highly
persistent directional movement. Cells generate these waves through balanced
activation of the Rac1/N-WASP/Arp2/3 and Rho/formins pathways. The waves
originate from one major adhesion site at leading end of the cell body, which is
linked through actomyosin contractility to another site at the back of the cell,
allowing force generation, matrix deformation and cell translocation. By
combining experimental and modeling data, we demonstrate that cell migration in a
fibrous environment requires the formation and propagation of dynamic, actin
based fin-like protrusions.
PMID- 26553937
TI - The N- and C-terminal ends of RPGR can bind to PDE6delta.
PMID- 26553936
TI - Chromatin remodeling and bivalent histone modifications in embryonic stem cells.
AB - Pluripotent embryonic stem cells (ESCs) are characterized by distinct epigenetic
features including a relative enrichment of histone modifications related to
active chromatin. Among these is tri-methylation of lysine 4 on histone H3
(H3K4me3). Several thousands of the H3K4me3-enriched promoters in pluripotent
cells also contain a repressive histone mark, namely H3K27me3, a situation
referred to as "bivalency". While bivalent promoters are not unique to
pluripotent cells, they are relatively enriched in these cell types, largely
marking developmental and lineage-specific genes which are silent but poised for
immediate action. The H3K4me3 and H3K27me3 modifications are catalyzed by lysine
methyltransferases which are usually found within, although not entirely limited
to, the Trithorax group (TrxG) and Polycomb group (PcG) protein complexes,
respectively, but these do not provide selective bivalent specificity. Recent
studies highlight the family of ATP-dependent chromatin remodeling proteins as
regulators of bivalent domains. Here, we discuss bivalency in general, describe
the machineries that catalyze bivalent chromatin domains, and portray the
emerging connection between bivalency and the action of different families of
chromatin remodelers, namely INO80, esBAF, and NuRD, in pluripotent cells. We
posit that chromatin remodeling proteins may enable "bivalent specificity", often
selectively acting on, or selectively depleted from, bivalent domains.
PMID- 26553938
TI - PDE6D binds to the C-terminus of RPGR in a prenylation-dependent manner.
PMID- 26553939
TI - The radical openness of science and innovation: Why uncertainty is inherent in
the openness towards the future.
PMID- 26553940
TI - Essentially deadly: living with toxic elements: Humans and plants have evolved
various mechanisms to deal with and even adopt toxic heavy metals.
PMID- 26553941
TI - Shortage of neurologists in the Brazilian Amazon.
PMID- 26553942
TI - Ultra-acute CT perfusion imaging: A stroke in the scanner.
PMID- 26553943
TI - The cost of multiple sclerosis drugs in the US and the pharmaceutical industry:
Too big to fail?
PMID- 26553944
TI - The cost of multiple sclerosis drugs in the US and the pharmaceutical industry:
Too big to fail?
PMID- 26553945
TI - Role for the microtubule-associated protein tau variant p.A152T in risk of alpha
synucleinopathies.
PMID- 26553946
TI - Teaching NeuroImages: Recurrent SSPE presenting as Anton syndrome with cortical
ribboning.
PMID- 26553947
TI - Teaching Video NeuroImages: Feeding dystonia in chorea-acanthocytosis.
PMID- 26553949
TI - Automated glycan assembly of xyloglucan oligosaccharides.
AB - We report the automated glycan assembly of oligosaccharide fragments related to
the hemicellulose xyloglucan (XG). Iterative addition of monosaccharide and
disaccharide building blocks to a solid support provided seven cellulose and
xyloglucan fragments including XXGG- and XXXG-type oligosaccharides.
PMID- 26553948
TI - Associations Between Anxiety Sensitivity, Negative Affect, and Smoking During a
Self-Guided Smoking Cessation Attempt.
AB - INTRODUCTION: Anxiety sensitivity (AS), defined as the extent to which
individuals believe anxiety and internal sensations have harmful consequences, is
associated with the maintenance and relapse of smoking. Yet, little is known
about how AS interplays with negative affect during the quit process in terms of
smoking behavior. To address this gap, the current study examined the dynamic
interplay between AS, negative affect, and smoking lapse behavior during the
course of a self-guided (unaided) quit attempt. METHODS: Fifty-four participants
(33.3% female; M age = 34.6, SD = 13.8) completed ecological momentary assessment
procedures, reporting on negative affect and smoking status via a handheld
computer device, three times per day for the initial 14 days of the self-guided
cessation attempt. RESULTS: As expected, a significant interaction was observed,
such that participants characterized by high levels of AS were at a higher risk
of smoking on days when negative affect was high (relative to low). Results also
revealed a significant interaction between AS and daily smoking lapse behavior in
terms of daily change in negative affect. Participants characterized by high
levels of AS reported significant increases in same-day negative affect on days
when they endorsed smoking relative to days they endorsed abstinence.
CONCLUSIONS: This study provides novel information about the nature of AS,
negative affect, and smoking behavior during a quit attempt. Results suggest
there is a need for specialized intervention strategies to enhance smoking
outcome among this high-risk group that will meet their unique "affective needs."
IMPLICATIONS: The current study underscores the importance of developing
specialized smoking cessation interventions for smokers with emotional
vulnerabilities.
PMID- 26553950
TI - Unplanned reoperations after vascular surgery.
AB - OBJECTIVE: Existing literature on unplanned reoperation (UR) after vascular
surgery is limited. The frequency of 30-day UR and its association with other
adverse outcomes was analyzed. METHODS: Patients who underwent vascular
procedures in the American College of Surgeons National Surgical Quality
Improvement Program (2012) were abstracted. UR, captured by a distinct variable
now available in the data set, and its association with complications,
readmissions, mortality, and failure to rescue (FTR) were analyzed using
bivariate and multivariate methods. RESULTS: Among 35,106 patients, 3545 URs were
performed on 2874 patients. The overall UR rate was 10.1%. Among patients who
underwent URs, approximately 80.4%, 15.8%, and 3.8% had one, two, and three or
more reoperations, respectively; 39.4% of URs occurred after initial discharge.
Median time to UR was 7 days but varied by procedure. Procedures with the highest
UR rates were embolectomy (18.2%), abdominal bypass (14.4%), and open procedures
for peripheral vascular disease (13.8%). Common indications for UR were
hemorrhage, graft failure or infection, thromboembolic events, and wound
complications. Patients with URs had higher rates of subsequent complications
(49.9% vs 19.9%; P < .001), readmission (41.8% vs 7.0%; P < .001), and mortality
(8.0% vs 2.5%; P < .001) than those not undergoing URs. FTR was more likely among
patients who had a UR (13.6% vs 9.3%; P < .001); this varied within procedure
groups. After multivariate adjustment, UR was independently associated with
mortality in an incremental fashion (for one UR: adjusted odds ratio, 2.0; 95%
confidence interval, 1.7-2.5; for two or more URs: adjusted odds ratio, 3.1; 95%
confidence interval, 2.2-4.2). CONCLUSIONS: URs within 30 days are frequent among
patients undergoing vascular surgery and are associated with worse outcomes,
including mortality and FTR.
PMID- 26553951
TI - Life expectancy and causes of death after repair of intact and ruptured abdominal
aortic aneurysms.
AB - BACKGROUND: Life expectancy and causes of death after abdominal aortic aneurysm
(AAA) repair are not well characterized. Population aging and improved secondary
prevention may have modified the prognosis of these patients. We designed a
retrospective cohort study to determine the vital prognosis, causes of death, and
differences in outcome after intact and ruptured AAA. METHODS: All patients with
AAA treated from 2003 to 2011 at a single university institution in The
Netherlands were analyzed. Survival status was derived from civil registry data.
Causes of death were obtained from death certificates. The primary end point was
overall mortality. Secondary end points were cardiovascular, cancer-related, and
AAA-related mortality. Predictors for perioperative and late survival were
obtained by logistic regression and Cox regression models, respectively. RESULTS:
The study included 619 consecutive AAA patients (12% women; mean age, 72 years),
of whom 152 (24.5%) had ruptured AAAs. Endovascular repair was performed in 390
(63%). Rupture (odds ratio [OR], 10.63; 95% confidence interval [CI], 4.80-23.5),
open repair (OR, 3.59; 95% CI, 1.69-7.62), renal insufficiency (OR, 2.94; 95% CI,
1.51-3.46), and age (OR, 1.08 per year; 95% CI, 1.09-1.15) were predictors of 30
day mortality. Five-year survival expectancy was 65% for intact AAA and 41% for
ruptured AAA (P < .001). Cardiovascular deaths unrelated to the AAA occurred in
35% and cancer-related deaths in 29% of deceased patients. Predictors for late
mortality were history of prior malignant disease (hazard ratio, 2.83; 95% CI,
1.99-4.03) and age (hazard ratio, 1.08 per year; 95% CI, 1.05-1.10). After 30
days, only six deaths (1.1%) were AAA related. CONCLUSIONS: Endovascular repair
reduced perioperative mortality by threefold, but no survival benefit was
observed at long term. After the perioperative period, survival of ruptured AAA
and intact AAA patients was not different. Deaths were distributed in similar
proportions between cardiovascular and cancer-related causes.
PMID- 26553952
TI - Abdominal aortic aneurysm anatomic severity grading score predicts implant
related complications, systemic complications, and mortality.
AB - OBJECTIVE: The aim of our study was to examine the predictive value of the
anatomic severity grading (ASG) score for abdominal aortic aneurysms (AAAs) on
implant-related complications, systemic complications, and mortality at 30-day
and midterm, defined as 2 years, follow-up assessments. METHODS: Patients who
underwent endovascular aneurysm repair for infrarenal AAAs between 2009 and 2012
were retrospectively reviewed, and ASG scores were calculated from three
dimensonal computed tomography reconstructions. Two independent patient groups
were created: those with a low ASG score (score <14) and those with a high ASG
score (score >=14). RESULTS: We identified 190 patients (77% male), with a mean
age of 73 years, and 84% Caucasian, with 104 patients in the low-score group and
86 in the high-score group. Within 30 days of the index endovascular aneurysm
repair, 10 implant-related complications occurred in six patients (3%) and 25
systemic complications in 18 (9%). The incidence of 30-day implant-related
complications was not significantly different between the low-score group (2
[2%]) and the high-score group (4 [5%]; P = .41). The incidence of patients with
30-day systemic complications was significantly different between the low-score
group (5 [5%]) and the high score group (13 [15%]; P = .023). A composite end
point of combined implant-related and systemic complications at 30 days showed
there was a statistically significant difference between the low-score (7 [7%])
and high-score group (17 [20%]; P = .007). At a midterm follow up of 26 months
(range, 1-64 months), implant-related complications occurred in 21 patients
(11%), and systemic complications occurred in 29 (15%). The incidence of implant
related complications was significantly different between the low-score group (7
[7%]) and the high-score group (14 [16%]; P = .037). The incidence of midterm
systemic complications was significantly different between the low-score group
(11 [11%]) and the high-score group (18 [21%]; P = .048). A composite end point
of combined implant-related and systemic complications at midterm follow-up
resulted in a statistically significant difference between the low-score group
(16 [15%]) and the high-score group (26 [30%]; P = .014). Kaplan-Meier analysis
revealed that the low-score group had fewer overall complications (combined
implant-related and systemic) at 1 year (14% vs 34%) and 2 years (15% vs 45%)
compared with the high-score group (P < .001). The low-scoring group also had
significantly higher survival at 1 year (96% vs 86%) and 2 years (88% vs 84%)
compared with the high-score group (P = .047). CONCLUSIONS: The AAA ASG score can
be used to predict patients at risk for midterm implant-related complications, 30
day and midterm systemic complications, and all-cause mortality.
PMID- 26553953
TI - The effect of anesthesia type on major lower extremity amputation in functionally
impaired elderly patients.
AB - OBJECTIVE: Patients undergoing major lower extremity amputations are at risk for
a wide variety of perioperative complications. Elderly patients with any
functional impairment have been shown to be at high risk for these adverse
events. Our goal was to determine the association between the type of anesthesia
general anesthesia (GA) and regional/spinal anesthesia (RA)-on perioperative
outcomes after lower extremity amputation in these elderly and functionally
impaired patients. METHODS: The American College of Surgeons National Surgical
Quality Improvement Program (ACS-NSQIP) data set (2005-2012) was queried to
identify all patients aged >=75 years with partial or total functional impairment
who underwent major lower extremity amputations. Propensity matching and
multivariate analysis were performed to isolate the effect of anesthesia type.
RESULTS: We identified 3260 patients (50% male), 2558 GA patients and 702 RA
patients, who were a mean age of 82 years. Anatomic distribution was 59% above
the-knee and 41% below-the-knee amputations. Patients undergoing GA were more
likely to have impaired sensorium (9% vs 6%; P = .035), be on anticoagulation or
have a bleeding disorder (33% vs 17%; P < .001), have had a previous operation
<=30 days (16% vs 10%; P < .001), and be operated on by a nonvascular surgeon
(16% vs 12%; P = .033). GA was associated with shorter anesthesia time to surgery
(36 +/- 48 vs 42 +/- 49 minutes; P < .001) but a similar operative time (66 +/-
33 vs 64 +/- 33 minutes; P = .292) compared with RA. After propensity matching,
rates of 30-day mortality (14% vs 12%; P = .135), postoperative myocardial
infarction/cardiac arrest (2.9% vs 3.1%; P = .756), pulmonary complications (7.3%
vs 6.7%; P = .632), stroke (0.7% vs 0.9%; P = .694), urinary tract infections
(6.7% vs 6.5%; P = .887), and wound complications (7.6% vs 7.6%; P = .999) were
similar in patients undergoing GA and RA, respectively. Median length of stay was
similar in both groups (5 vs 5.5 days; P = .309). Multivariable analyses
confirmed that anesthesia type did not significantly affect morbidity and
mortality. CONCLUSIONS: The mode of anesthesia, GA vs RA, did not have
significant effect on perioperative outcomes after major lower extremity
amputation in the functionally impaired geriatric population. These findings
provide an evidence base that will allow surgeons, anesthesiologists, and
patients to make an informed decision about anesthesia type for their procedure.
PMID- 26553954
TI - Polyurethane dressing, tetracycline and salicylic acid use for treatment of
digital dermatitis in cattle. A comparative study.
AB - OBJECTIVE: The use of antibiotics is a proven approach for local and systemic
treatment of digital dermatitis (DD). Even if they are administered only locally
and at low dosage, their use should be restricted to an absolute minimum. The
efficacy of a commercial polyurethane wound dressing in treating acute digital
dermatitis was compared with topical treatment using a commercially available
tetracycline spray and a salicylic acid paste. MATERIALS AND METHODS: A total of
105 cows in a dairy farm (with about 160 dairy cows) were included in the study,
with 101 cows needing topical treatment for digital dermatitis on one or both
hind feet. All animals underwent hoof trimming prior to the treatment. Affected
feet (n = 152) were randomly assigned to one of three comparable treatment
groups. Topical treatment was administered to all visible digital dermatitis
lesions. Animals in the positive control groups were given a topical treatment of
tetracycline (53 DD lesions in 49 cows) or salicylic acid (53 DD lesions in 50
cows) formulations, whose therapeutic effects were scientifically verified. Spray
was applied once, at a usual dosage and without any bandage. Salicylic acid paste
was fixed in place with a bandage for 5 days. In the test group (46 DD lesions in
45 cows), a polyurethane bandage was left in place for 10 days. Whereas, 47 feet
(from 38 cows) without lesions or with lesions showing no pain were designated as
group 0. Each lesion was evaluated at day 0 during trimming, and on day 10 and
36. The lesions were scored based on severity and size. RESULTS: All groups
showed a statistically significant improvement in the clinical appearance of the
lesions at day 10. There were no significant differences in the findings between
the second and the third evaluation (day 10 and day 36) either within a group or
between the groups. CONCLUSION: The use of an alternative treatment regimen like
a polyurethane wound dressing shows good clinical healing, it is as effective as
the conventional methods, and unlike the conventional methods, does not require a
latency period.
PMID- 26553955
TI - Insight into the retention processes of phthalate metabolites on different liquid
chromatography stationary phases for the development of improved separation
methods.
AB - The retention behavior of nine MPAEs has been studied, using commercial LC
columns with octadecylsilane (ODS), phenyl, and amide-type SPs. First, it was
found that the use of methanol in the mobile phase is not advisable, because
induce a transesterification reaction of MPAEs in the electrospray ion source,
regardless of the SP used. On the other hand, different responses were observed
when representing the logarithm of retention factors (k) vs. the volume fraction
of ACN (phi) in the mobile phase, for the three SPs tested. A quite linear trend
was obtained for ODS (at phi values below 0.80) and Phenyl columns. On the
contrary, the Amide column shows a striking U-shape trend, typical of both
hydrophobic and hydrophilic retention mechanisms. Therefore, the separation
process was mainly hydrophobic in the ODS and phenyl SPs, but in the amide-type a
dual retention mechanism was found, showing zones with predominant hydrophobic or
hydrophilic interactions, depending on both the compound and the experimental
conditions. A high content of acetonitrile (>75%) and low concentration of formic
acid in the mobile phase promote the hydrophilic separation mechanism for MPAEs
on the amide SP. So, this dual separation mechanism can be modulated modifying
the pH and content of organic modifier in the mobile phase, allowing greater
flexibility to develop improved methods. Taking advantage of this, a separation
method was optimized in this amide column using a Box-Wilson Central Composite
experimental design, which allows separating the studied MPAEs with a time-saving
of around 40% comparing to the conventional phenyl SP.
PMID- 26553956
TI - Method developments approaches in supercritical fluid chromatography applied to
the analysis of cosmetics.
AB - Analyses of complex samples of cosmetics, such as creams or lotions, are
generally achieved by HPLC. These analyses are often multistep gradients, due to
the presence of compounds with a large range of polarity. For instance, the
bioactive compounds may be polar, while the matrix contains lipid components that
are rather non-polar, thus cosmetic formulations are usually oil-water emulsions.
Supercritical fluid chromatography (SFC) uses mobile phases composed of carbon
dioxide and organic co-solvents, allowing for good solubility of both the active
compounds and the matrix excipients. Moreover, the classical and well-known
properties of these mobile phases yield fast analyses and ensure rapid method
development. However, due to the large number of stationary phases available for
SFC and to the varied additional parameters acting both on retention and
separation factors (co-solvent nature and percentage, temperature, backpressure,
flow rate, column dimensions and particle size), a simplified approach can be
followed to ensure a fast method development. First, suited stationary phases
should be carefully selected for an initial screening, and then the other
operating parameters can be limited to the co-solvent nature and percentage,
maintaining the oven temperature and back-pressure constant. To describe simple
method development guidelines in SFC, three sample applications are discussed in
this paper: UV-filters (sunscreens) in sunscreen cream, glyceryl caprylate in eye
liner and caffeine in eye serum. Firstly, five stationary phases (ACQUITY UPC(2))
are screened with isocratic elution conditions (10% methanol in carbon dioxide).
Complementary of the stationary phases is assessed based on our spider diagram
classification which compares a large number of stationary phases based on five
molecular interactions. Secondly, the one or two best stationary phases are
retained for further optimization of mobile phase composition, with isocratic
elution conditions or, when necessary, two-step gradient elution. The developed
methods were then applied to real cosmetic samples to assess the method
specificity, with regards to matrix interferences, and calibration curves were
plotted to evaluate quantification. Besides, depending on the matrix and on the
studied compounds, the importance of the detector type, UV or ELSD (evaporative
light-scattering detection), and of the particle size of the stationary phase is
discussed.
PMID- 26553957
TI - Suspect screening of emerging pollutants and their major transformation products
in wastewaters treated with fungi by liquid chromatography coupled to a high
resolution mass spectrometry.
AB - A new approach for the screening of 33 pharmaceuticals and 113 of their known
transformation products in wastewaters was developed. The methodology is based on
the analysis of samples by liquid chromatography coupled to high resolution mass
spectrometry (HRMS) followed by data processing using specific software and
manual confirmation. A home-made library was built with the transformation
products reported in literature for the target pharmaceuticals after treatment
with various fungi. The method was applied to the search of these contaminants in
67 samples generated along treatment of wastewaters with white-rot fungus
Trametes versicolor. The screening methodology allowed the detection of different
transformation products (TPs) generated from degradation of parent compounds
after fungal treatment. This approach can be a useful tool for the rapid
screening and tentative detection of emerging contaminants during water treatment
in both full and batch-scale studies when pure standards are not available.
PMID- 26553958
TI - Resource implications of risk-reducing mastectomy and reconstruction.
AB - AIM: Risk-reducing mastectomy (RRM) is on the increase, now frequently combined
with breast reconstruction (BR). However, the resource implications associated
with bilateral mastectomy and reconstruction are unknown. This study assessed the
overall cost of performing risk-reducing surgery. METHODS: All cases of RRM and
BR performed between 1991 and 2011 at this hospital were identified from a
prospectively collected database. All patients undergoing bilateral mastectomy
were included, when at least one mastectomy was risk-reducing. Overall treatment
costs for all surgical procedures, complications, revisional procedures and
outpatient attendances were calculated and compared to the National Tariff
allowed. Mann-Whitney U and Fischer's exact tests were used to calculate levels
of significance. RESULTS: Fifty patients underwent bilateral mastectomy and BR
(median follow up 20 [range 1-106] months), 72 were Latissimus Dorsi
reconstructions (LDR) and 28 were Subpectoral reconstructions (SPR). LDR took
longer than SPR (p = 0.001), with a greater length of stay (p = 0.024). Nine
percent of patients returned to theatre for early complications, but the type of
BR did not influence the early complication rate (LDR versus SPR, p = 0.345) or
the need for additional unplanned procedures (LDR versus SPR, p = 0.671). The
overall mean cost for bilateral RRM and BR was L14,797 per patient. The inpatient
cost for bilateral RRM and LDR was L10,082 compared with L5,905 SPR. Both
procedures exceeded the L5,697 tariff allowed in the UK. CONCLUSION: Bilateral
RRM and BR is a safe procedure, but the resource implications are considerable
and exceed the tariff allowed, particularly when performing more complex
techniques.
PMID- 26553959
TI - Energy balance in transition cows and its association with health, reproduction
and milk production.
AB - OBJECTIVE: It was the purpose of this study to determine the effects of non
esterified fatty acids (NEFA) concentrations at different time periods of the
transition period as well as lactation number on metabolism, health, reproduction
and milk production in dairy cows. MATERIAL AND METHODS: This trial was conducted
in a single dairy herd located in Northern Germany. Of the herd, which comprised
330 lactating Holstein cows housed in a free stall barn and fed a total mixed
ration (TMR), 83 primiparous and multiparous cows were randomly selected. Animals
were checked for body condition score (BCS), locomotion score, calving data,
quality of colostrum, reproductive measures, daily rectal temperature of the
first 10 days post-partum (p. p.), health data and culling rates up to 200 days
in milk (DIM) as well as milk production until 305 DIM. Three different time
periods were considered: 3 and 1 week ante partum (a. p.); partus and 1 week p.
p.; 3 weeks p. p. RESULTS: Animals with NEFA concentrations >= 0.4 mmol/l ante
partum had a higher risk of no ovarian activity in week 5 p. p. and of
subclinical ketosis post partum than cows with lower NEFA concentrations (p <
0.05). Cows with NEFA concentrations >= 1.1 mmol/l in week 1 p. p., in comparison
to those with lower NEFA concentrations, showed a higher prevalence of clinical
ketosis (24.1% vs. 5.9%), subclinical ketosis (62.1% vs. 34.0%) and culling rate
within 200 DIM (34.5% vs. 14.0%) (p < 0.05). Cows with NEFA concentrations
>= 0.3 mmol/l at week 3 p. p. had higher 100- and 305-day milk yields than cows
with lower NEFA concentrations (p < 0.05). First lactating heifers were at higher
risk to loose body condition ante partum, of dystocia, fever within the first 10
DIM, metritis, clinical and subclinical ketosis as well as to develop a disease
within the first 30 DIM (p < 0.05). Multipara were more likely to loose body
condition after calving, to a prolonged calving to first service interval and to
higher milk yields (p < 0.05). CONCLUSION: In conclusion increased NEFA
concentrations during the transition period as well as parity can have an
influence on health, production and reproduction of dairy cows.
PMID- 26553960
TI - Intraoperative Corticosteroid Injection at the Time of Knee Arthroscopy Is
Associated With Increased Postoperative Infection Rates in a Large Medicare
Population.
AB - PURPOSE: To employ a national database of Medicare patients to evaluate the
association of ipsilateral intra-articular knee corticosteroid injections at the
time of knee arthroscopy with the incidence of postoperative infection. METHODS:
A national Medicare insurance database was queried for patients who underwent
ipsilateral intra-articular corticosteroid injection of the knee at the time of
knee arthroscopy from 2005 to 2012. Patients who underwent arthroscopically
assisted open procedures, those who underwent more complex arthroscopic
procedures, and those for whom laterality were not coded were excluded. This
study group was compared to a control cohort of patients without intraoperative
steroid injections that was matched to the study group for age, gender, obesity,
diabetes mellitus, and smoking status. Infection rates within 3 and 6 months
postoperatively were assessed using International Classification of Diseases, 9th
Revision, and Current Procedural Terminology codes. RESULTS: The incidence of
postoperative infection rates after knee arthroscopy was significantly higher at
3 months (0.66%; odds ratio [OR], 2.6; P < .0001) and 6 months (1.92%; OR, 3.6; P
< .0001) in patients who underwent ipsilateral intra-articular knee steroid
injection at the time of knee arthroscopy (n = 2,866) compared with matched
controls without intraoperative injections (n = 170,350) at 3 months (0.25%) and
6 months (0.54%). CONCLUSIONS: The present study demonstrates a significant
increase in postoperative infection in Medicare patients who underwent
ipsilateral intra-articular knee corticosteroid injections at the time of knee
arthroscopy compared with a matched control group without intraoperative
injection. LEVEL OF EVIDENCE: Therapeutic Level III, retrospective comparative
study.
PMID- 26553961
TI - Current Practice for the Surgical Treatment of SLAP Lesions: A Systematic Review.
AB - PURPOSE: To analyze current literature reporting surgical treatment of SLAP
lesions to examine the consistency of reported surgical details (surgical
indications, surgical technique, and postoperative rehabilitation) that are
deemed important for best treatment outcomes and to try to establish a consensus
regarding treatment. METHODS: A systematic review of papers reporting surgical
treatment of a SLAP lesion was performed. Each paper was analyzed for the
description of (1) the arthroscopic indications for surgery; (2) surgical aspects
including type, location, and number of anchors and sutures; (3) description of
criteria for determination of completeness of the repair; and (4) postoperative
rehabilitation details. These findings were also analyzed to determine whether a
consensus could be developed regarding surgical treatment. RESULTS: Twenty-six
papers were included, with 12 focused on isolated SLAP repair and 14 focused on
combined SLAP repair with other lesions; 54% did not report indications for
surgery. Reporting of the anchor/suture details was not consistent, with 35%
reporting some variation of 12:00 placement but 31% not reporting the position of
placement; 89% of papers did not report the criteria for determining completeness
of the repair; 85% reported general postoperative rehabilitation guidelines, but
only 4% reported in-depth details. CONCLUSIONS: This review demonstrated a wide
variability in the reported surgical aspects and that a relatively high
percentage of papers did not report many of the details. This lack of precision
and consistency makes analysis of individual papers and comparison between papers
and their outcomes difficult and does not allow a consensus regarding current
practice to be developed. These findings may be some of the factors responsible
for the variability in treatment outcomes and suggest that efforts could be
directed toward consistency in documenting and reporting surgical indications,
surgical techniques, surgical endpoints, and efficacious rehabilitation programs.
LEVEL OF EVIDENCE: Level IV, systematic review of level III-IV studies.
PMID- 26553962
TI - The Role of Hip Arthroscopy in Investigating and Managing the Painful Hip
Resurfacing Arthroplasty.
AB - PURPOSE: To determine the safety and efficacy of hip arthroscopy performed in the
peripheral compartment as a diagnostic and therapeutic treatment option for
patients with hip pain after hip resurfacing surgery. METHODS: Indications for
hip arthroscopy after hip resurfacing included patients with a symptomatic hip
resurfaced arthroplasties who did not respond to nonoperative treatment. Patients
who underwent a hip arthroscopy after a painful hip resurfacing were included
with a minimum of 1 year follow-up. Subgroup analysis was performed according to
whether an established diagnosis was made before arthroscopic intervention or
not. Subjective measures were based on Western Ontario and McMaster Universities
Arthritis Index (WOMAC) scores, and results were calculated and analyzed.
RESULTS: We included 68 patients (26 male [38%] and 42 female [62%]) who
underwent subsequent hip arthroscopy from a population of 978 consecutive hip
resurfaced arthroplasties performed between 1999 and 2010. The average age was 58
(range, 37 to 78 years). The mean follow-up after hip arthroscopy was 3.4 years
(range, 12 months to 5.8 years). Patients who had an established diagnosis (n =
41) before hip arthroscopy showed statistical improvement in their WOMAC scores
(7 to 2, P < .001). Only 3 (7%) of these 41 patients failed and were converted to
a total hip replacement (THR); however, patients who did not have an established
diagnosis (n = 27) before undergoing hip arthroscopy showed statistical worsening
of the WOMAC (15 to 21, P = .002). Ten (37%) of these 27 patients without a
diagnosis failed and needed to be converted to a THR. A significant correlation
was found between the collections found on ultrasound (psoas bursa and/or in the
hip joint) and the need for synovectomy (P = .01). The overall revision rate to
THR after hip resurfacing in our group of patients was 1.3% (n = 13). Female
patients were more likely to require postresurfacing hip arthroscopy with 42
(60%) female to only 26 (40%) male patients undergoing this procedure. In our
study population, 70% (14/21, P < .05) of patients with hip pain caused by severe
metal synovial reaction or metal-on-metal reaction were women. A total of 5 (7%)
patients had minor-to-mild complications after hip arthroscopy. CONCLUSIONS: Hip
arthroscopy is a safe surgical treatment option for those patients with a painful
hip resurfacing arthroplasty. Having an accurate diagnosis before hip arthroscopy
improves the likelihood a good outcome. LEVEL OF EVIDENCE: Level IV - therapeutic
case series.
PMID- 26553963
TI - Influence of the intervention of exercise on obese type II diabetes mellitus: A
meta-analysis.
AB - AIM: The study aimed to assess the effect of exercise intervention on the
management of obese T2DM patients. METHODS: The literature retrieval was
conducted in relevant databases from their inception to 2015, with predefined
searching strategy and selection criteria. The Cochrane Collaboration's tool was
utilized to assess the quality of included studies. Weighted mean difference
(WMD) with its corresponding 95% CI (confidence interval) was used as the effect
size. RESULTS: A subset of 13 eligible studies was selected. Exercise
significantly reduced the concentration of high sensitivity C reactive protein (4
months: WMD=-1.03, 95% CI: -1.77 to -0.29, P<0.01), triglyceride (6 months: WMD=
24.75, 95% CI: -27.67 to -21.83, P<0.01), diastolic blood pressure (6 months:
WMD=-2.70, 95% CI: -4.12 to -1.28, P=0.0002), systolic blood pressure (WMD=-7.98,
95% CI: -9.87 to -6.08, P<0.01)), HbA1c (4 months: WMD=-0.25, 95% CI: -0.49 to
0.02, P=0.04) and homeostasis model assessment-insulin resistance (3 months: WMD=
0.19, 95% CI: -0.37 to -0.01, P=0.04); and a pronounced increase of HDL-C (12
months: WMD=3.57, 95% CI: 1.92 to 5.21, P<0.01). CONCLUSION: Exercise was
beneficial to obese T2DM patients.
PMID- 26553964
TI - Endoscopic sinus surgery dissection courses using a real simulator: the benefits
of this training.
AB - INTRODUCTION: Endonasal surgeries are among the most common procedures performed
in otolaryngology. Due to difficulty in cadaver acquisition and the intrinsic
risks of training residents during operations on real patients, nasosinusal
endoscopic dissection courses utilizing real simulators, such as the Sinus Model
Otorhino Neuro Trainer are being developed as a new technique to facilitate the
acquisition of better anatomical knowledge and surgical skill. OBJECTIVE: To
evaluate the efficacy of nasosinusal endoscopic dissection courses with the Sinus
Model Otorhino Neuro Trainer simulator in the training of otolaryngology
surgeons. METHODS: A prospective, longitudinal cohort study was conducted with
111 otolaryngologists who participated in a theoretical and practical course of
endoscopic surgery dissection using the Sinus Model Otorhino Neuro Trainer
simulator, with application of questionnaires during and after the course.
RESULTS: From the ten procedures performed utilizing the simulator, the
evaluation revealed mean scores from 3.1 to 4.1 (maximum of 5). Seventy-seven
participants answered the questionnaire six months after the end of the course.
93% of them reported that they could perform the procedures more safely following
the course, 98% reported an improvement in their anatomical and clinical
knowledge, and 85% related an improvement in their surgical ability. After the
course, the number of endoscopic surgeries increased in 40% of the respondents.
CONCLUSION: Endoscopic sinus dissection courses using the Sinus Model Otorhino
Neuro Trainer simulator proved to be useful in the training of otolaryngologists.
PMID- 26553965
TI - The effect of statins on chronic obstructive pulmonary disease exacerbation and
mortality: a systematic review and meta-analysis of observational research.
AB - The objective of this study is to assess whether statin use is associated with
beneficial effects on COPD outcomes. We conducted a systematic review and meta
analysis of all available studies describing the association between statin use
and COPD mortality, exacerbations and cardiovascular events. Medline, Embase, Web
of Science, and the Cochrane Central Register of Controlled Trials were searched,
with no restrictions. The hazard ratio (HR) with 95% confidence interval (CI) was
estimated. Fifteen studies with a total of 238,459 patients were included. Nine
articles provided data on all-cause mortality (124,543 participants), and they
gave a HR of 0.62 (95% CI 0.52 to 0.73). Three studies provided data on cancer
mortality (90,077 participants), HR 0.83 (0.65 to 1.08); four studies on COPD
mortality (88,767 participants), HR 0.48 (0.23 to 0.99); and three studies on
cardiovascular mortality (90,041 participants), HR 0.93 (0.50 to 1.72). Six
articles provided data on COPD exacerbation with or without hospitalization
(129,796 participants), HR 0.64 (0.55 to 0.75). Additionally, the use of statins
was associated with a significant reduction risk of myocardial infarction, but
not for stroke. Our systematic review showed a clear benefit of statins in
patients with COPD.
PMID- 26553966
TI - A potential mode of action for Anakinra in patients with arthrofibrosis following
total knee arthroplasty.
AB - Arthrofibrosis is a fibroproliferative disease characterised by excessive
deposition of extracellular matrix components intra-articularly leading to pain
and restricted range of movement. Although frequently observed following total
knee arthroplasty (TKA) no therapeutic options exist. A pilot study demonstrated
that intra-articular injection of Anakinra, an IL-1R antagonist, improved range
of movement and pain in patients with arthrofibrosis however the mechanism of
action is unknown. We hypothesise that IL-1alpha/beta will drive an inflammatory
phenotype in fibroblasts isolated from the knee, therefore identifying a
potential mechanism of action for Anakinra in arthrofibrosis following TKA.
Fibroblasts isolated from synovial membranes and infra-patellar fat pad of
patients undergoing TKA express high levels of IL-1R1. Stimulation with IL
1alpha/beta induced a pro-inflammatory phenotype characterised by increased
secretion of GMCSF, IL-6 and IL-8. No significant difference in the inflammatory
response was observed between fibroblasts isolated from synovial membrane or
infra-patellar fat pad. IL-1alpha/beta treatments induced a pro-inflammatory
phenotype in fibroblasts from both synovial membrane and infra-patellar fat pad
and therefore Anakinra can likely have an inhibitory effect on fibroblasts
present in both tissues in vivo. It is also likely that fibroblast responses in
the tissues are controlled by IL-1alpha/beta availability and not their ability
to respond to it.
PMID- 26553967
TI - Fibrosis is a common outcome following total knee arthroplasty.
AB - Total knee arthroplasty (TKA) is one of the most successful orthopaedic
procedures that alleviates pain and restores function in patients with
degenerative knee joint diseases. Arthrofibrosis, abnormal scarring in which
dense fibrous tissue prevents normal range of motion, develops in ~3-10% of TKA
patients. No prophylactic intervention is available and treatment is restricted
to aggressive physiotherapy or revision surgery. Tissue was collected from
patients undergoing primary (n = 30) or revision (n = 27) TKA. Revision patients
were stratified as non-arthrofibrotic and arthrofibrotic. Tissue was
macroscopically and histologically compared to improve our understanding of the
pathophysiology of arthrofibrosis. Macroscopically, tissue from primary TKA
presents as homogenous, fatty tissue whereas tissue from revision TKA presents as
dense, pigmented tissue. Histologically, there was dramatic tissue remodelling,
increased collagen deposition and increased (myo)fibroblast staining in tissue
from revision TKA. Significantly, tissue architecture was similar between
revision patients regardless of clinically diagnosis. There are significant
differences in architecture and composition of tissue from revision TKA over
primary TKA. Surprisingly, whether revision TKA were clinically diagnosed as
arthrofibrotic or non-arthrofibrotic there were still significant differences in
fibrotic markers compared to primary TKA suggesting an ongoing fibrotic process
in all revision knees.
PMID- 26553969
TI - Endurance training and maximal oxygen consumption with ageing: Role of maximal
cardiac output and oxygen extraction.
AB - BACKGROUND: The increase in maximal oxygen consumption (VO2max) with endurance
training is associated with that of maximal cardiac output (Qmax), but not oxygen
extraction, in young individuals. Whether such a relationship is altered with
ageing remains unclear. Therefore, we sought systematically to review and
determine the effect of endurance training on and the associations among VO2max,
Qmax and arteriovenous oxygen difference at maximal exercise (Ca-vO2max) in
healthy aged individuals. DESIGN AND METHODS: We conducted a systematic search of
MEDLINE, Scopus and Web of Science, from their inceptions until May 2015 for
articles assessing the effect of endurance training lasting 3 weeks or longer on
VO2max and Qmax and/or Ca-vO2max in healthy middle-aged and/or older individuals
(mean age >=40 years). Meta-analyses were performed to determine the standardised
mean difference (SMD) in VO2max, Qmax and Ca-vO2max between post and pre-training
measurements. Subgroup and meta-regression analyses were used to evaluate the
associations among SMDs and potential moderating factors. RESULTS: Sixteen
studies were included after systematic review, comprising a total of 153
primarily untrained healthy middle-aged and older subjects (mean age 42-71
years). Endurance training programmes ranged from 8 to 52 weeks of duration.
After data pooling, VO2max (SMD 0.89; P < 0.0001) and Qmax (SMD 0.61; P < 0.0001)
were increased after endurance training; no heterogeneity among studies was
detected. Ca-vO2max was only increased with endurance training interventions
lasting more than 12 weeks (SMD 0.62; P = 0.001). In meta-regression, the SMD in
Qmax was positively associated with the SMD in VO2max (B = 0.79, P = 0.04). The
SMD in Ca-vO2max was not associated with the SMD in VO2max (B = 0.09, P = 0.84).
CONCLUSIONS: The improvement in VO2max following endurance training is a linear
function of Qmax, but not Ca-vO2max, through healthy ageing.
PMID- 26553970
TI - Aligned carbon nanotube array stiffness from stochastic three-dimensional
morphology.
AB - The landmark theoretical properties of low dimensional materials have driven more
than a decade of research on carbon nanotubes (CNTs) and related nanostructures.
While studies on isolated CNTs report behavior that aligns closely with
theoretical predictions, studies on cm-scale aligned CNT arrays (>10(10) CNTs)
oftentimes report properties that are orders of magnitude below those predicted
by theory. Using simulated arrays comprised of up to 10(5) CNTs with realistic
stochastic morphologies, we show that the CNT waviness, quantified via the
waviness ratio (w), is responsible for more than three orders of magnitude
reduction in the effective CNT stiffness. Also, by including information on the
volume fraction scaling of the CNT waviness, the simulation shows that the
observed non-linear enhancement of the array stiffness as a function of the CNT
close packing originates from the shear and torsion deformation mechanisms that
are governed by the low shear modulus (~1 GPa) of the CNTs.
PMID- 26553968
TI - Dihydrotanshinone-I interferes with the RNA-binding activity of HuR affecting its
post-transcriptional function.
AB - Post-transcriptional regulation is an essential determinant of gene expression
programs in physiological and pathological conditions. HuR is a RNA-binding
protein that orchestrates the stabilization and translation of mRNAs, critical in
inflammation and tumor progression, including tumor necrosis factor-alpha (TNF).
We identified the low molecular weight compound 15,16-dihydrotanshinone-I (DHTS),
well known in traditional Chinese medicine practice, through a validated high
throughput screening on a set of anti-inflammatory agents for its ability to
prevent HuR:RNA complex formation. We found that DHTS interferes with the
association step between HuR and the RNA with an equilibrium dissociation
constant in the nanomolar range in vitro (Ki = 3.74 +/- 1.63 nM). In breast
cancer cell lines, short term exposure to DHTS influences mRNA stability and
translational efficiency of TNF in a HuR-dependent manner and also other
functional readouts of its post-transcriptional control, such as the stability of
selected pre-mRNAs. Importantly, we show that migration and sensitivity of breast
cancer cells to DHTS are modulated by HuR expression, indicating that HuR is
among the preferential intracellular targets of DHTS. Here, we disclose a
previously unrecognized molecular mechanism exerted by DHTS, opening new
perspectives to therapeutically target the HuR mediated, post-transcriptional
control in inflammation and cancer cells.
PMID- 26553971
TI - [The Spanish Academy of Dermatology and Venereology App: The New Digital Era].
PMID- 26553972
TI - Baseline social amotivation predicts 1-year functioning in UHR subjects: A
validation and prospective investigation.
AB - Social amotivation and diminished expression have been reported to underlie
negative symptomatology in schizophrenia. In the current study we sought to
establish and validate these negative symptom domains in a large cohort of
schizophrenia subjects (n=887) and individuals who are deemed to be Ultra-High
Risk (UHR) for psychosis. Confirmatory factor analysis conducted on PANSS item
domains demonstrate that the dual negative symptom domains exist in schizophrenia
and UHR subjects. We further sought to examine if these negative symptom domains
were associated with functioning in UHR subjects. Linear regression analyses
confirmed that social amotivation predicted functioning in UHR subjects
prospectively at 1 year follow up. Results suggest that the association between
social amotivation and functioning is generalisable beyond schizophrenia
populations to those who are at-risk of developing psychosis. Social amotivation
may be an important dimensional clinical construct to be studied across a range
of psychiatric conditions.
PMID- 26553973
TI - Mapping landscape friction to locate isolated tsetse populations that are
candidates for elimination.
AB - Tsetse flies are the cyclical vectors of deadly human and animal trypanosomes in
sub-Saharan Africa. Tsetse control is a key component for the integrated
management of both plagues, but local eradication successes have been limited to
less than 2% of the infested area. This is attributed to either resurgence of
residual populations that were omitted from the eradication campaign or
reinvasion from neighboring infested areas. Here we focused on Glossina palpalis
gambiensis, a riverine tsetse species representing the main vector of
trypanosomoses in West Africa. We mapped landscape resistance to tsetse genetic
flow, hereafter referred to as friction, to identify natural barriers that
isolate tsetse populations. For this purpose, we fitted a statistical model of
the genetic distance between 37 tsetse populations sampled in the region, using a
set of remotely sensed environmental data as predictors. The least-cost path
between these populations was then estimated using the predicted friction map.
The method enabled us to avoid the subjectivity inherent in the expert-based
weighting of environmental parameters. Finally, we identified potentially
isolated clusters of G. p. gambiensis habitat based on a species distribution
model and ranked them according to their predicted genetic distance to the main
tsetse population. The methodology presented here will inform the choice on the
most appropriate intervention strategies to be implemented against tsetse flies
in different parts of Africa. It can also be used to control other pests and to
support conservation of endangered species.
PMID- 26553975
TI - Deformation and failure of curved colloidal crystal shells.
AB - Designing and controlling particle self-assembly into robust and reliable high
performance smart materials often involves crystalline ordering in curved spaces.
Examples include carbon allotropes like graphene, synthetic materials such as
colloidosomes, or biological systems like lipid membranes, solid domains on
vesicles, or viral capsids. Despite the relevance of these structures, the
irreversible deformation and failure of curved crystals is still mostly
unexplored. Here, we report simulation results of the mechanical deformation of
colloidal crystalline shells that illustrate the subtle role played by
geometrically necessary topological defects in controlling plastic yielding and
failure. We observe plastic deformation attributable to the migration and
reorientation of grain boundary scars, a collective process assisted by the
intermittent proliferation of disclination pairs or abrupt structural failure
induced by crack nucleating at defects. Our results provide general guiding
principles to optimize the structural and mechanical stability of curved
colloidal crystals.
PMID- 26553974
TI - Polymorphisms of large effect explain the majority of the host genetic
contribution to variation of HIV-1 virus load.
AB - Previous genome-wide association studies (GWAS) of HIV-1-infected populations
have been underpowered to detect common variants with moderate impact on disease
outcome and have not assessed the phenotypic variance explained by genome-wide
additive effects. By combining the majority of available genome-wide genotyping
data in HIV-infected populations, we tested for association between ~8 million
variants and viral load (HIV RNA copies per milliliter of plasma) in 6,315
individuals of European ancestry. The strongest signal of association was
observed in the HLA class I region that was fully explained by independent
effects mapping to five variable amino acid positions in the peptide binding
grooves of the HLA-B and HLA-A proteins. We observed a second genome-wide
significant association signal in the chemokine (C-C motif) receptor (CCR) gene
cluster on chromosome 3. Conditional analysis showed that this signal could not
be fully attributed to the known protective CCR5Delta32 allele and the risk P1
haplotype, suggesting further causal variants in this region. Heritability
analysis demonstrated that common human genetic variation-mostly in the HLA and
CCR5 regions-explains 25% of the variability in viral load. This study suggests
that analyses in non-European populations and of variant classes not assessed by
GWAS should be priorities for the field going forward.
PMID- 26553976
TI - Conserved interdomain linker promotes phase separation of the multivalent adaptor
protein Nck.
AB - The organization of membranes, the cytosol, and the nucleus of eukaryotic cells
can be controlled through phase separation of lipids, proteins, and nucleic
acids. Collective interactions of multivalent molecules mediated by modular
binding domains can induce gelation and phase separation in several cytosolic and
membrane-associated systems. The adaptor protein Nck has three SRC-homology 3
(SH3) domains that bind multiple proline-rich segments in the actin regulatory
protein neuronal Wiskott-Aldrich syndrome protein (N-WASP) and an SH2 domain that
binds to multiple phosphotyrosine sites in the adhesion protein nephrin, leading
to phase separation. Here, we show that the 50-residue linker between the first
two SH3 domains of Nck enhances phase separation of Nck/N-WASP/nephrin
assemblies. Two linear motifs within this element, as well as its overall
positively charged character, are important for this effect. The linker increases
the driving force for self-assembly of Nck, likely through weak interactions with
the second SH3 domain, and this effect appears to promote phase separation. The
linker sequence is highly conserved, suggesting that the sequence determinants of
the driving forces for phase separation may be generally important to Nck
functions. Our studies demonstrate that linker regions between modular domains
can contribute to the driving forces for self-assembly and phase separation of
multivalent proteins.
PMID- 26553977
TI - Shifts in coral reef biogeochemistry and resulting acidification linked to
offshore productivity.
AB - Oceanic uptake of anthropogenic carbon dioxide (CO2) has acidified open-ocean
surface waters by 0.1 pH units since preindustrial times. Despite unequivocal
evidence of ocean acidification (OA) via open-ocean measurements for the past
several decades, it has yet to be documented in near-shore and coral reef
environments. A lack of long-term measurements from these environments restricts
our understanding of the natural variability and controls of seawater CO2
carbonate chemistry and biogeochemistry, which is essential to make accurate
predictions on the effects of future OA on coral reefs. Here, in a 5-y study of
the Bermuda coral reef, we show evidence that variations in reef biogeochemical
processes drive interannual changes in seawater pH and Omegaaragonite that are
partly controlled by offshore processes. Rapid acidification events driven by
shifts toward increasing net calcification and net heterotrophy were observed
during the summers of 2010 and 2011, with the frequency and extent of such events
corresponding to increased offshore productivity. These events also coincided
with a negative winter North Atlantic Oscillation (NAO) index, which historically
has been associated with extensive offshore mixing and greater primary
productivity at the Bermuda Atlantic Time-series Study (BATS) site. Our results
reveal that coral reefs undergo natural interannual events of rapid acidification
due to shifts in reef biogeochemical processes that may be linked to offshore
productivity and ultimately controlled by larger-scale climatic and oceanographic
processes.
PMID- 26553978
TI - Mutations in the linker domain affect phospho-STAT3 function and suggest targets
for interrupting STAT3 activity.
AB - Crystallography of the cores of phosphotyrosine-activated dimers of STAT1 (132
713) and STAT3 (127-722) bound to a similar double-stranded deoxyoligonucleotide
established the domain structure of the STATs and the structural basis for
activation through tyrosine phosphorylation and dimerization. We reported earlier
that mutants in the linker domain of STAT1 that connect the DNA-binding domain
and SH2 domain can prevent transcriptional activation. Because of the pervasive
importance of persistently activated STAT3 in many human cancers and the
difficulty of finding useful drug candidates aimed at disrupting the pY
interchange in active STAT3 dimers, we have examined effects of an array of
mutants in the STAT3 linker domain. We have found several STAT3 linker domain
mutants to have profound effects of inhibiting STAT3 transcriptional activation.
From these results, we propose (i) there is definite functional interaction of
the linker both with the DNA binding domain and with the SH2 domain, and (ii)
these putative contacts provide potential new targets for small molecule-induced
pSTAT3 inhibition.
PMID- 26553979
TI - Guanine-vacancy-bearing G-quadruplexes responsive to guanine derivatives.
AB - G-quadruplex structures formed by guanine-rich nucleic acids are implicated in
essential physiological and pathological processes and nanodevices. G
quadruplexes are normally composed of four Gn (n >= 3) tracts assembled into a
core of multiple stacked G-quartet layers. By dimethyl sulfate footprinting,
circular dichroism spectroscopy, thermal melting, and photo-cross-linking, here
we describe a unique type of intramolecular G-quadruplex that forms with one G2
and three G3 tracts and bears a guanine vacancy (G-vacancy) in one of the G
quartet layers. The G-vacancy can be filled up by a guanine base from GTP or GMP
to complete an intact G-quartet by Hoogsteen hydrogen bonding, resulting in
significant G-quadruplex stabilization that can effectively alter DNA replication
in vitro at physiological concentration of GTP and Mg(2+). A bioinformatic survey
shows motifs of such G-quadruplexes are evolutionally selected in genes with
unique distribution pattern in both eukaryotic and prokaryotic organisms,
implying such G-vacancy-bearing G-quadruplexes are present and play a role in
gene regulation. Because guanine derivatives are natural metabolites in cells,
the formation of such G-quadruplexes and guanine fill-in (G-fill-in) may grant an
environment-responsive regulation in cellular processes. Our findings thus not
only expand the sequence definition of G-quadruplex formation, but more
importantly, reveal a structural and functional property not seen in the standard
canonical G-quadruplexes.
PMID- 26553980
TI - Accurate estimation of influenza epidemics using Google search data via ARGO.
AB - Accurate real-time tracking of influenza outbreaks helps public health officials
make timely and meaningful decisions that could save lives. We propose an
influenza tracking model, ARGO (AutoRegression with GOogle search data), that
uses publicly available online search data. In addition to having a rigorous
statistical foundation, ARGO outperforms all previously available Google-search
based tracking models, including the latest version of Google Flu Trends, even
though it uses only low-quality search data as input from publicly available
Google Trends and Google Correlate websites. ARGO not only incorporates the
seasonality in influenza epidemics but also captures changes in people's online
search behavior over time. ARGO is also flexible, self-correcting, robust, and
scalable, making it a potentially powerful tool that can be used for real-time
tracking of other social events at multiple temporal and spatial resolutions.
PMID- 26553981
TI - Asymptomatic humans transmit dengue virus to mosquitoes.
AB - Three-quarters of the estimated 390 million dengue virus (DENV) infections each
year are clinically inapparent. People with inapparent dengue virus infections
are generally considered dead-end hosts for transmission because they do not
reach sufficiently high viremia levels to infect mosquitoes. Here, we show that,
despite their lower average level of viremia, asymptomatic people can be
infectious to mosquitoes. Moreover, at a given level of viremia, DENV-infected
people with no detectable symptoms or before the onset of symptoms are
significantly more infectious to mosquitoes than people with symptomatic
infections. Because DENV viremic people without clinical symptoms may be exposed
to more mosquitoes through their undisrupted daily routines than sick people and
represent the bulk of DENV infections, our data indicate that they have the
potential to contribute significantly more to virus transmission to mosquitoes
than previously recognized.
PMID- 26553982
TI - Optimal directional volatile transport in retronasal olfaction.
AB - The ability of humans to distinguish the delicate differences in food flavors
depends mostly on retronasal smell, in which food volatiles entrained into the
airway at the back of the oral cavity are transported by exhaled air through the
nasal cavity to stimulate the olfactory receptor neurons. Little is known whether
food volatiles are preferentially carried by retronasal flow toward the nasal
cavity rather than by orthonasal flow into the lung. To study the differences
between retronasal and orthonasal flow, we obtained computed tomography (CT)
images of the orthonasal airway from a healthy human subject, printed an
experimental model using a 3D printer, and analyzed the flow field inside the
airway. The results show that, during inhalation, the anatomical structure of the
oropharynx creates an air curtain outside a virtual cavity connecting the
oropharynx and the back of the mouth, which prevents food volatiles from being
transported into the main stream toward the lung. In contrast, during exhalation,
the flow preferentially sweeps through this virtual cavity and effectively
enhances the entrainment of food volatiles into the main retronasal flow. This
asymmetrical transport efficiency is also found to have a nonmonotonic Reynolds
number dependence: The asymmetry peaks at a range of an intermediate Reynolds
number close to 800, because the air curtain effect during inhalation becomes
strongest in this range. This study provides the first experimental evidence, to
our knowledge, for adaptations of the geometry of the human oropharynx for
efficient transport of food volatiles toward the olfactory receptors in the nasal
cavity.
PMID- 26553983
TI - Conformational signaling required for synaptic plasticity by the NMDA receptor
complex.
AB - The NMDA receptor (NMDAR) is known to transmit important information by
conducting calcium ions. However, some recent studies suggest that activation of
NMDARs can trigger synaptic plasticity in the absence of ion flow. Does ligand
binding transmit information to signaling molecules that mediate synaptic
plasticity? Using Forster resonance energy transfer (FRET) imaging of
fluorescently tagged proteins expressed in neurons, conformational signaling is
identified within the NMDAR complex that is essential for downstream actions.
Ligand binding transiently reduces FRET between the NMDAR cytoplasmic domain (cd)
and the associated protein phosphatase 1 (PP1), requiring NMDARcd movement, and
persistently reduces FRET between the NMDARcd and calcium/calmodulin-dependent
protein kinase II (CaMKII), a process requiring PP1 activity. These studies
directly monitor agonist-driven conformational signaling at the NMDAR complex
required for synaptic plasticity.
PMID- 26553984
TI - RNA-directed DNA methylation enforces boundaries between heterochromatin and
euchromatin in the maize genome.
AB - The maize genome is relatively large (~ 2.3 Gb) and has a complex organization of
interspersed genes and transposable elements, which necessitates frequent
boundaries between different types of chromatin. The examination of maize genes
and conserved noncoding sequences revealed that many of these are flanked by
regions of elevated asymmetric CHH (where H is A, C, or T) methylation (termed
mCHH islands). These mCHH islands are quite short (~ 100 bp), are enriched near
active genes, and often occur at the edge of the transposon that is located
nearest to genes. The analysis of DNA methylation in other sequence contexts and
several chromatin modifications revealed that mCHH islands mark the transition
from heterochromatin-associated modifications to euchromatin-associated
modifications. The presence of an mCHH island is fairly consistent in several
distinct tissues that were surveyed but shows some variation among different
haplotypes. The presence of insertion/deletions in promoters often influences the
presence and position of an mCHH island. The mCHH islands are dependent upon RNA
directed DNA methylation activities and are lost in mop1 and mop3 mutants, but
the nearby genes rarely exhibit altered expression levels. Instead, loss of an
mCHH island is often accompanied by additional loss of DNA methylation in CG and
CHG contexts associated with heterochromatin in nearby transposons. This suggests
that mCHH islands and RNA-directed DNA methylation near maize genes may act to
preserve the silencing of transposons from activity of nearby genes.
PMID- 26553985
TI - Chemical dispersants can suppress the activity of natural oil-degrading
microorganisms.
AB - During the Deepwater Horizon oil well blowout in the Gulf of Mexico, the
application of 7 million liters of chemical dispersants aimed to stimulate
microbial crude oil degradation by increasing the bioavailability of oil
compounds. However, the effects of dispersants on oil biodegradation rates are
debated. In laboratory experiments, we simulated environmental conditions
comparable to the hydrocarbon-rich, 1,100 m deep plume that formed during the
Deepwater Horizon discharge. The presence of dispersant significantly altered the
microbial community composition through selection for potential dispersant
degrading Colwellia, which also bloomed in situ in Gulf deep waters during the
discharge. In contrast, oil addition to deepwater samples in the absence of
dispersant stimulated growth of natural hydrocarbon-degrading Marinobacter. In
these deepwater microcosm experiments, dispersants did not enhance heterotrophic
microbial activity or hydrocarbon oxidation rates. An experiment with surface
seawater from an anthropogenically derived oil slick corroborated the deepwater
microcosm results as inhibition of hydrocarbon turnover was observed in the
presence of dispersants, suggesting that the microcosm findings are broadly
applicable across marine habitats. Extrapolating this comprehensive dataset to
real world scenarios questions whether dispersants stimulate microbial oil
degradation in deep ocean waters and instead highlights that dispersants can
exert a negative effect on microbial hydrocarbon degradation rates.
PMID- 26553986
TI - Amphetamine activates Rho GTPase signaling to mediate dopamine transporter
internalization and acute behavioral effects of amphetamine.
AB - Acute amphetamine (AMPH) exposure elevates extracellular dopamine through a
variety of mechanisms that include inhibition of dopamine reuptake, depletion of
vesicular stores, and facilitation of dopamine efflux across the plasma membrane.
Recent work has shown that the DAT substrate AMPH, unlike cocaine and other
nontransported blockers, can also stimulate endocytosis of the plasma membrane
dopamine transporter (DAT). Here, we show that when AMPH enters the cytoplasm it
rapidly stimulates DAT internalization through a dynamin-dependent, clathrin
independent process. This effect, which can be observed in transfected cells,
cultured dopamine neurons, and midbrain slices, is mediated by activation of the
small GTPase RhoA. Inhibition of RhoA activity with C3 exotoxin or a dominant
negative RhoA blocks AMPH-induced DAT internalization. These actions depend on
AMPH entry into the cell and are blocked by the DAT inhibitor cocaine. AMPH also
stimulates cAMP accumulation and PKA-dependent inactivation of RhoA, thus
providing a mechanism whereby PKA- and RhoA-dependent signaling pathways can
interact to regulate the timing and robustness of AMPH's effects on DAT
internalization. Consistent with this model, the activation of D1/D5 receptors
that couple to PKA in dopamine neurons antagonizes RhoA activation, DAT
internalization, and hyperlocomotion observed in mice after AMPH treatment. These
observations support the existence of an unanticipated intracellular target that
mediates the effects of AMPH on RhoA and cAMP signaling and suggest new pathways
to target to disrupt AMPH action.
PMID- 26553987
TI - Human emotions track changes in the acoustic environment.
AB - Emotional responses to biologically significant events are essential for human
survival. Do human emotions lawfully track changes in the acoustic environment?
Here we report that changes in acoustic attributes that are well known to
interact with human emotions in speech and music also trigger systematic
emotional responses when they occur in environmental sounds, including sounds of
human actions, animal calls, machinery, or natural phenomena, such as wind and
rain. Three changes in acoustic attributes known to signal emotional states in
speech and music were imposed upon 24 environmental sounds. Evaluations of
stimuli indicated that human emotions track such changes in environmental sounds
just as they do for speech and music. Such changes not only influenced
evaluations of the sounds themselves, they also affected the way accompanying
facial expressions were interpreted emotionally. The findings illustrate that
human emotions are highly attuned to changes in the acoustic environment, and
reignite a discussion of Charles Darwin's hypothesis that speech and music
originated from a common emotional signal system based on the imitation and
modification of environmental sounds.
PMID- 26553988
TI - Using prediction markets to estimate the reproducibility of scientific research.
AB - Concerns about a lack of reproducibility of statistically significant results
have recently been raised in many fields, and it has been argued that this lack
comes at substantial economic costs. We here report the results from prediction
markets set up to quantify the reproducibility of 44 studies published in
prominent psychology journals and replicated in the Reproducibility Project:
Psychology. The prediction markets predict the outcomes of the replications well
and outperform a survey of market participants' individual forecasts. This shows
that prediction markets are a promising tool for assessing the reproducibility of
published scientific results. The prediction markets also allow us to estimate
probabilities for the hypotheses being true at different testing stages, which
provides valuable information regarding the temporal dynamics of scientific
discovery. We find that the hypotheses being tested in psychology typically have
low prior probabilities of being true (median, 9%) and that a "statistically
significant" finding needs to be confirmed in a well-powered replication to have
a high probability of being true. We argue that prediction markets could be used
to obtain speedy information about reproducibility at low cost and could
potentially even be used to determine which studies to replicate to optimally
allocate limited resources into replications.
PMID- 26553989
TI - Wetting and phase separation in soft adhesion.
AB - In the classic theory of solid adhesion, surface energy drives deformation to
increase contact area whereas bulk elasticity opposes it. Recently, solid surface
stress has been shown also to play an important role in opposing deformation of
soft materials. This suggests that the contact line in soft adhesion should mimic
that of a liquid droplet, with a contact angle determined by surface tensions.
Consistent with this hypothesis, we observe a contact angle of a soft silicone
substrate on rigid silica spheres that depends on the surface functionalization
but not the sphere size. However, to satisfy this wetting condition without a
divergent elastic stress, the gel phase separates from its solvent near the
contact line. This creates a four-phase contact zone with two additional contact
lines hidden below the surface of the substrate. Whereas the geometries of these
contact lines are independent of the size of the sphere, the volume of the phase
separated region is not, but rather depends on the indentation volume. These
results indicate that theories of adhesion of soft gels need to account for both
the compressibility of the gel network and a nonzero surface stress between the
gel and its solvent.
PMID- 26553991
TI - Retrading, production, and asset market performance.
AB - Prior studies have shown that traders quickly converge to the price-quantity
equilibrium in markets for goods that are immediately consumed, but they produce
speculative price bubbles in resalable asset markets. We present a stock-flow
model of durable assets in which the existing stock of assets is subject to
depreciation and producers may produce additional units of the asset. In our
laboratory experiments inexperienced consumers who can resell their units
disregard the consumption value of the assets and compete vigorously with
producers, depressing prices and production. Consumers who have first
participated in experiments without resale learn to heed their consumption values
and, when they are given the option to resell, trade at equilibrium prices.
Reproducibility is therefore the most natural and most effective treatment for
suppression of bubbles in asset market experiments.
PMID- 26553990
TI - Dynamic allostery governs cyclophilin A-HIV capsid interplay.
AB - Host factor protein Cyclophilin A (CypA) regulates HIV-1 viral infectivity
through direct interactions with the viral capsid, by an unknown mechanism. CypA
can either promote or inhibit viral infection, depending on host cell type and
HIV-1 capsid (CA) protein sequence. We have examined the role of conformational
dynamics on the nanosecond to millisecond timescale in HIV-1 CA assemblies in the
escape from CypA dependence, by magic-angle spinning (MAS) NMR and molecular
dynamics (MD). Through the analysis of backbone (1)H-(15)N and (1)H-(13)C dipolar
tensors and peak intensities from 3D MAS NMR spectra of wild-type and the A92E
and G94D CypA escape mutants, we demonstrate that assembled CA is dynamic,
particularly in loop regions. The CypA loop in assembled wild-type CA from two
strains exhibits unprecedented mobility on the nanosecond to microsecond
timescales, and the experimental NMR dipolar order parameters are in quantitative
agreement with those calculated from MD trajectories. Remarkably, the CypA loop
dynamics of wild-type CA HXB2 assembly is significantly attenuated upon CypA
binding, and the dynamics profiles of the A92E and G94D CypA escape mutants
closely resemble that of wild-type CA assembly in complex with CypA. These
results suggest that CypA loop dynamics is a determining factor in HIV-1's escape
from CypA dependence.
PMID- 26553992
TI - Direct measurements of the coordination of lever arm swing and the catalytic
cycle in myosin V.
AB - Myosins use a conserved structural mechanism to convert the energy from ATP
hydrolysis into a large swing of the force-generating lever arm. The precise
timing of the lever arm movement with respect to the steps in the actomyosin
ATPase cycle has not been determined. We have developed a FRET system in myosin V
that uses three donor-acceptor pairs to examine the kinetics of lever arm swing
during the recovery and power stroke phases of the ATPase cycle. During the
recovery stroke the lever arm swing is tightly coupled to priming the active site
for ATP hydrolysis. The lever arm swing during the power stroke occurs in two
steps, a fast step that occurs before phosphate release and a slow step that
occurs before ADP release. Time-resolved FRET demonstrates a 20-A change in
distance between the pre- and postpower stroke states and shows that the lever
arm is more dynamic in the postpower stroke state. Our results suggest myosin
binding to actin in the ADP.Pi complex triggers a rapid power stroke that gates
the release of phosphate, whereas a second slower power stroke may be important
for mediating strain sensitivity.
PMID- 26553994
TI - Directed evolution of the tryptophan synthase beta-subunit for stand-alone
function recapitulates allosteric activation.
AB - Enzymes in heteromeric, allosterically regulated complexes catalyze a rich array
of chemical reactions. Separating the subunits of such complexes, however, often
severely attenuates their catalytic activities, because they can no longer be
activated by their protein partners. We used directed evolution to explore
allosteric regulation as a source of latent catalytic potential using the beta
subunit of tryptophan synthase from Pyrococcus furiosus (PfTrpB). As part of its
native alphabetabetaalpha complex, TrpB efficiently produces tryptophan and
tryptophan analogs; activity drops considerably when it is used as a stand-alone
catalyst without the alpha-subunit. Kinetic, spectroscopic, and X-ray
crystallographic data show that this lost activity can be recovered by mutations
that reproduce the effects of complexation with the alpha-subunit. The engineered
PfTrpB is a powerful platform for production of Trp analogs and for further
directed evolution to expand substrate and reaction scope.
PMID- 26553993
TI - Targeting CD146 with a 64Cu-labeled antibody enables in vivo immunoPET imaging of
high-grade gliomas.
AB - Given the highly heterogeneous character of brain malignancies and the associated
implication for its proper diagnosis and treatment, finding biomarkers that
better characterize this disease from a molecular standpoint is imperative. In
this study, we evaluated CD146 as a potential molecular target for diagnosis and
targeted therapy of glioblastoma multiforme (GBM), the most common and lethal
brain malignancy. YY146, an anti-CD146 monoclonal antibody, was generated and
radiolabeled for noninvasive positron-emission tomography (PET) imaging of
orthotopic GBM models. (64)Cu-labeled YY146 preferentially accumulated in the
tumors of mice bearing U87MG xenografts, which allowed the acquisition of high
contrast PET images of small tumor nodules (~ 2 mm). Additionally, we found that
tumor uptake correlated with the levels of CD146 expression in a highly specific
manner. We also explored the potential therapeutic effects of YY146 on the cancer
stem cell (CSC) and epithelial-to-mesenchymal (EMT) properties of U87MG cells,
demonstrating that YY146 can mitigate those aggressive phenotypes. Using YY146 as
the primary antibody, we performed histological studies of World Health
Organization (WHO) grades I through IV primary gliomas. The positive correlation
found between CD146-positive staining and high tumor grade (chi(2) = 9.028; P =
0.029) concurred with the GBM data available in The Cancer Genome Atlas (TCGA)
and validated the clinical value of YY146. In addition, we demonstrate that YY146
can be used to detect CD146 in various cancer cell lines and human resected tumor
tissues of multiple other tumor types (gastric, ovarian, liver, and lung),
indicating a broad applicability of YY146 in solid tumors.
PMID- 26553995
TI - Unmyelinated type II afferent neurons report cochlear damage.
AB - In the mammalian cochlea, acoustic information is carried to the brain by the
predominant (95%) large-diameter, myelinated type I afferents, each of which is
postsynaptic to a single inner hair cell. The remaining thin, unmyelinated type
II afferents extend hundreds of microns along the cochlear duct to contact many
outer hair cells. Despite this extensive arbor, type II afferents are weakly
activated by outer hair cell transmitter release and are insensitive to sound.
Intriguingly, type II afferents remain intact in damaged regions of the cochlea.
Here, we show that type II afferents are activated when outer hair cells are
damaged. This response depends on both ionotropic (P2X) and metabotropic (P2Y)
purinergic receptors, binding ATP released from nearby supporting cells in
response to hair cell damage. Selective activation of P2Y receptors increased
type II afferent excitability by the closure of KCNQ-type potassium channels, a
potential mechanism for the painful hypersensitivity (that we term "noxacusis" to
distinguish from hyperacusis without pain) that can accompany hearing loss.
Exposure to the KCNQ channel activator retigabine suppressed the type II fiber's
response to hair cell damage. Type II afferents may be the cochlea's nociceptors,
prompting avoidance of further damage to the irreparable inner ear.
PMID- 26553996
TI - HAX-1 regulates cyclophilin-D levels and mitochondria permeability transition
pore in the heart.
AB - The major underpinning of massive cell death associated with myocardial
infarction involves opening of the mitochondrial permeability transition pore
(mPTP), resulting in disruption of mitochondria membrane integrity and programmed
necrosis. Studies in human lymphocytes suggested that the hematopoietic-substrate
1 associated protein X-1 (HAX-1) is linked to regulation of mitochondrial
membrane function, but its role in controlling mPTP activity remains obscure.
Herein we used models with altered HAX-1 expression levels in the heart and
uncovered an unexpected role of HAX-1 in regulation of mPTP and cardiomyocyte
survival. Cardiac-specific HAX-1 overexpression was associated with resistance
against loss of mitochondrial membrane potential, induced by oxidative stress,
whereas HAX-1 heterozygous deficiency exacerbated vulnerability. The protective
effects of HAX-1 were attributed to specific down-regulation of cyclophilin-D
levels leading to reduction in mPTP activation. Accordingly, cyclophilin-D and
mPTP were increased in heterozygous hearts, but genetic ablation of cyclophilin-D
in these hearts significantly alleviated their susceptibility to
ischemia/reperfusion injury. Mechanistically, alterations in cyclophilin-D levels
by HAX-1 were contributed by the ubiquitin-proteosomal degradation pathway. HAX-1
overexpression enhanced cyclophilin-D ubiquitination, whereas proteosomal
inhibition restored cyclophilin-D levels. The regulatory effects of HAX-1 were
mediated through interference of cyclophilin-D binding to heat shock protein-90
(Hsp90) in mitochondria, rendering it susceptible to degradation. Accordingly,
enhanced Hsp90 expression in HAX-1 overexpressing cardiomyocytes increased
cyclophilin-D levels, as well as mPTP activation upon oxidative stress. Taken
together, our findings reveal the role of HAX-1 in regulating cyclophilin-D
levels via an Hsp90-dependent mechanism, resulting in protection against
activation of mPTP and subsequent cell death responses.
PMID- 26553997
TI - Agonist binding to the NMDA receptor drives movement of its cytoplasmic domain
without ion flow.
AB - The NMDA receptor (R) plays important roles in brain physiology and pathology as
an ion channel. Here we examine the ion flow-independent coupling of agonist to
the NMDAR cytoplasmic domain (cd). We measure FRET between fluorescently tagged
cytoplasmic domains of GluN1 subunits of NMDARs expressed in neurons. Different
neuronal compartments display varying levels of FRET, consistent with different
NMDARcd conformations. Agonist binding drives a rapid and transient ion flow
independent reduction in FRET between GluN1 subunits within individual NMDARs.
Intracellular infusion of an antibody targeting the GluN1 cytoplasmic domain
blocks agonist-driven FRET changes in the absence of ion flow, supporting agonist
driven movement of the NMDARcd. These studies indicate that extracellular ligand
binding to the NMDAR can transmit conformational information into the cell in the
absence of ion flow.
PMID- 26553998
TI - Central role for ferritin in the day/night regulation of iron homeostasis in
marine phytoplankton.
AB - In large regions of the open ocean, iron is a limiting resource for
phytoplankton. The reduction of iron quota and the recycling of internal iron
pools are among the diverse strategies that phytoplankton have evolved to allow
them to grow under chronically low ambient iron levels. Phytoplankton species
also have evolved strategies to cope with sporadic iron supply such as long-term
storage of iron in ferritin. In the picophytoplanktonic species Ostreococcus we
report evidence from observations both in the field and in laboratory cultures
that ferritin and the main iron-binding proteins involved in photosynthesis and
nitrate assimilation pathways show opposite diurnal expression patterns, with
ferritin being maximally expressed during the night. Biochemical and
physiological experiments using a ferritin knock-out line subsequently revealed
that this protein plays a central role in the diel regulation of iron uptake and
recycling and that this regulation of iron homeostasis is essential for cell
survival under iron limitation.
PMID- 26553999
TI - FOXO regulates RNA interference in Drosophila and protects from RNA virus
infection.
AB - Small RNA pathways are important players in posttranscriptional regulation of
gene expression. These pathways play important roles in all aspects of cellular
physiology from development to fertility to innate immunity. However, almost
nothing is known about the regulation of the central genes in these pathways. The
forkhead box O (FOXO) family of transcription factors is a conserved family of
DNA-binding proteins that responds to a diverse set of cellular signals. FOXOs
are crucial regulators of cellular homeostasis that have a conserved role in
modulating organismal aging and fitness. Here, we show that Drosophila FOXO
(dFOXO) regulates the expression of core small RNA pathway genes. In addition, we
find increased dFOXO activity results in an increase in RNA interference (RNAi)
efficacy, establishing a direct link between cellular physiology and RNAi.
Consistent with these findings, dFOXO activity is stimulated by viral infection
and is required for effective innate immune response to RNA virus infection. Our
study reveals an unanticipated connection among dFOXO, stress responses, and the
efficacy of small RNA-mediated gene silencing and suggests that organisms can
tune their gene silencing in response to environmental and metabolic conditions.
PMID- 26554000
TI - Self-assembly of smallest magnetic particles.
AB - The assembly of tiny magnetic particles in external magnetic fields is important
for many applications ranging from data storage to medical technologies. The
development of ever smaller magnetic structures is restricted by a size limit,
where the particles are just barely magnetic. For such particles we report the
discovery of a kind of solution assembly hitherto unobserved, to our knowledge.
The fact that the assembly occurs in solution is very relevant for applications,
where magnetic nanoparticles are either solution-processed or are used in liquid
biological environments. Induced by an external magnetic field, nanocubes
spontaneously assemble into 1D chains, 2D monolayer sheets, and large 3D cuboids
with almost perfect internal ordering. The self-assembly of the nanocubes can be
elucidated considering the dipole-dipole interaction of small superparamagnetic
particles. Complex 3D geometrical arrangements of the nanodipoles are obtained
under the assumption that the orientation of magnetization is freely adjustable
within the superlattice and tends to minimize the binding energy. On that basis
the magnetic moment of the cuboids can be explained.
PMID- 26554001
TI - Capillary-induced giant elastic dipoles in thin nematic films.
AB - Directed and true self-assembly mechanisms in nematic liquid crystal colloids
rely on specific interactions between microparticles and the topological defects
of the matrix. Most ordered structures formed in thin nematic cells are thus
based on elastic multipoles consisting of a particle and nearby defects. Here, we
report, for the first time to our knowledge, the existence of giant elastic
dipoles arising from particles dispersed in free nematic liquid crystal films. We
discuss the role of capillarity and film thickness on the dimensions of the
dipoles and explain their main features with a simple 2D model. Coupling of
capillarity with nematic elasticity could offer ways to tune finely the spatial
organization of complex colloidal systems.
PMID- 26554002
TI - Designed protein reveals structural determinants of extreme kinetic stability.
AB - The design of stable, functional proteins is difficult. Improved design requires
a deeper knowledge of the molecular basis for design outcomes and properties. We
previously used a bioinformatics and energy function method to design a symmetric
superfold protein composed of repeating structural elements with multivalent
carbohydrate-binding function, called ThreeFoil. This and similar methods have
produced a notably high yield of stable proteins. Using a battery of experimental
and computational analyses we show that despite its small size and lack of
disulfide bonds, ThreeFoil has remarkably high kinetic stability and its folding
is specifically chaperoned by carbohydrate binding. It is also extremely stable
against thermal and chemical denaturation and proteolytic degradation. We
demonstrate that the kinetic stability can be predicted and modeled using
absolute contact order (ACO) and long-range order (LRO), as well as coarse
grained simulations; the stability arises from a topology that includes many long
range contacts which create a large and highly cooperative energy barrier for
unfolding and folding. Extensive data from proteomic screens and other
experiments reveal that a high ACO/LRO is a general feature of proteins with
strong resistances to denaturation and degradation. These results provide
tractable approaches for predicting resistance and designing proteins with
sufficient topological complexity and long-range interactions to accommodate
destabilizing functional features as well as withstand chemical and proteolytic
challenge.
PMID- 26554003
TI - A systematic study of modulation of ADAM-mediated ectodomain shedding by site
specific O-glycosylation.
AB - Regulated shedding of the ectodomain of cell membrane proteins by proteases is a
common process that releases the extracellular domain from the cell and activates
cell signaling. Ectodomain shedding occurs in the immediate extracellular
juxtamembrane region, which is also where O-glycosylation is often found and
examples of crosstalk between shedding and O-glycosylation have been reported.
Here, we systematically investigated the potential of site-specific O
glycosylation mediated by distinct polypeptide GalNAc-transferase (GalNAc-T)
isoforms to coregulate ectodomain shedding mediated by the A Disintegrin And
Metalloproteinase (ADAM) subfamily of proteases and in particular ADAM17. We
analyzed 25 membrane proteins that are known to undergo ADAM17 shedding and where
the processing sites included Ser/Thr residues within +/- 4 residues that could
represent O-glycosites. We used in vitro GalNAc-T enzyme and ADAM cleavage assays
to demonstrate that shedding of at least 12 of these proteins are potentially
coregulated by O-glycosylation. Using TNF-alpha as an example, we confirmed that
shedding mediated by ADAM17 is coregulated by O-glycosylation controlled by the
GalNAc-T2 isoform both ex vivo in isogenic cell models and in vivo in mouse
Galnt2 knockouts. The study provides compelling evidence for a wider role of site
specific O-glycosylation in ectodomain shedding.
PMID- 26554004
TI - Redistribution of soil water by a saprotrophic fungus enhances carbon
mineralization.
AB - The desiccation of upper soil horizons is a common phenomenon, leading to a
decrease in soil microbial activity and mineralization. Recent studies have shown
that fungal communities and fungal-based food webs are less sensitive and better
adapted to soil desiccation than bacterial-based food webs. One reason for a
better fungal adaptation to soil desiccation may be hydraulic redistribution of
water by mycelia networks. Here we show that a saprotrophic fungus (Agaricus
bisporus) redistributes water from moist (-0.03 MPa) into dry (-9.5 MPa) soil at
about 0.3 cm ? min(-1) in single hyphae, resulting in an increase in soil water
potential after 72 h. The increase in soil moisture by hydraulic redistribution
significantly enhanced carbon mineralization by 2,800% and enzymatic activity by
250-350% in the previously dry soil compartment within 168 h. Our results
demonstrate that hydraulic redistribution can partly compensate water deficiency
if water is available in other zones of the mycelia network. Hydraulic
redistribution is likely one of the mechanisms behind higher drought resistance
of soil fungi compared with bacteria. Moreover, hydraulic redistribution by
saprotrophic fungi is an underrated pathway of water transport in soils and may
lead to a transfer of water to zones of high fungal activity.
PMID- 26554005
TI - Motivation, values, and work design as drivers of participation in the R open
source project for statistical computing.
AB - One of the cornerstones of the R system for statistical computing is the
multitude of packages contributed by numerous package authors. This amount of
packages makes an extremely broad range of statistical techniques and other
quantitative methods freely available. Thus far, no empirical study has
investigated psychological factors that drive authors to participate in the R
project. This article presents a study of R package authors, collecting data on
different types of participation (number of packages, participation in mailing
lists, participation in conferences), three psychological scales (types of
motivation, psychological values, and work design characteristics), and various
socio-demographic factors. The data are analyzed using item response models and
subsequent generalized linear models, showing that the most important
determinants for participation are a hybrid form of motivation and the social
characteristics of the work design. Other factors are found to have less impact
or influence only specific aspects of participation.
PMID- 26554007
TI - Dynamic response of desert wetlands to abrupt climate change.
AB - Desert wetlands are keystone ecosystems in arid environments and are preserved in
the geologic record as groundwater discharge (GWD) deposits. GWD deposits are
inherently discontinuous and stratigraphically complex, which has limited our
understanding of how desert wetlands responded to past episodes of rapid climate
change. Previous studies have shown that wetlands responded to climate change on
glacial to interglacial timescales, but their sensitivity to short-lived climate
perturbations is largely unknown. Here, we show that GWD deposits in the Las
Vegas Valley (southern Nevada, United States) provide a detailed and nearly
complete record of dynamic hydrologic changes during the past 35 ka (thousands of
calibrated (14)C years before present), including cycles of wetland expansion and
contraction that correlate tightly with climatic oscillations recorded in the
Greenland ice cores. Cessation of discharge associated with rapid warming events
resulted in the collapse of entire wetland systems in the Las Vegas Valley at
multiple times during the late Quaternary. On average, drought-like conditions,
as recorded by widespread erosion and the formation of desert soils, lasted for a
few centuries. This record illustrates the vulnerability of desert wetland flora
and fauna to abrupt climate change. It also shows that GWD deposits can be used
to reconstruct paleohydrologic conditions at millennial to submillennial
timescales and informs conservation efforts aimed at protecting these fragile
ecosystems in the face of anthropogenic warming.
PMID- 26554006
TI - Noninvasive detection of fetal subchromosomal abnormalities by semiconductor
sequencing of maternal plasma DNA.
AB - Noninvasive prenatal testing (NIPT) using sequencing of fetal cell-free DNA from
maternal plasma has enabled accurate prenatal diagnosis of aneuploidy and become
increasingly accepted in clinical practice. We investigated whether NIPT using
semiconductor sequencing platform (SSP) could reliably detect subchromosomal
deletions/duplications in women carrying high-risk fetuses. We first showed that
increasing concentration of abnormal DNA and sequencing depth improved detection.
Subsequently, we analyzed plasma from 1,456 pregnant women to develop a method
for estimating fetal DNA concentration based on the size distribution of DNA
fragments. Finally, we collected plasma from 1,476 pregnant women with fetal
structural abnormalities detected on ultrasound who also underwent an invasive
diagnostic procedure. We used SSP of maternal plasma DNA to detect subchromosomal
abnormalities and validated our results with array comparative genomic
hybridization (aCGH). With 3.5 million reads, SSP detected 56 of 78 (71.8%)
subchromosomal abnormalities detected by aCGH. With increased sequencing depth up
to 10 million reads and restriction of the size of abnormalities to more than 1
Mb, sensitivity improved to 69 of 73 (94.5%). Of 55 false-positive samples, 35
were caused by deletions/duplications present in maternal DNA, indicating the
necessity of a validation test to exclude maternal karyotype abnormalities. This
study shows that detection of fetal subchromosomal abnormalities is a viable
extension of NIPT based on SSP. Although we focused on the application of cell
free DNA sequencing for NIPT, we believe that this method has broader
applications for genetic diagnosis, such as analysis of circulating tumor DNA for
detection of cancer.
PMID- 26554008
TI - Ultraflexible, large-area, physiological temperature sensors for multipoint
measurements.
AB - We report a fabrication method for flexible and printable thermal sensors based
on composites of semicrystalline acrylate polymers and graphite with a high
sensitivity of 20 mK and a high-speed response time of less than 100 ms. These
devices exhibit large resistance changes near body temperature under
physiological conditions with high repeatability (1,800 times). Device
performance is largely unaffected by bending to radii below 700 um, which allows
for conformal application to the surface of living tissue. The sensing
temperature can be tuned between 25 degrees C and 50 degrees C, which covers
all relevant physiological temperatures. Furthermore, we demonstrate flexible
active-matrix thermal sensors which can resolve spatial temperature gradients
over a large area. With this flexible ultrasensitive temperature sensor we
succeeded in the in vivo measurement of cyclic temperatures changes of 0.1
degrees C in a rat lung during breathing, without interference from constant
tissue motion. This result conclusively shows that the lung of a warm-blooded
animal maintains surprising temperature stability despite the large difference
between core temperature and inhaled air temperature.
PMID- 26554009
TI - Choosing experiments to accelerate collective discovery.
AB - A scientist's choice of research problem affects his or her personal career
trajectory. Scientists' combined choices affect the direction and efficiency of
scientific discovery as a whole. In this paper, we infer preferences that shape
problem selection from patterns of published findings and then quantify their
efficiency. We represent research problems as links between scientific entities
in a knowledge network. We then build a generative model of discovery informed by
qualitative research on scientific problem selection. We map salient features
from this literature to key network properties: an entity's importance
corresponds to its degree centrality, and a problem's difficulty corresponds to
the network distance it spans. Drawing on millions of papers and patents
published over 30 years, we use this model to infer the typical research strategy
used to explore chemical relationships in biomedicine. This strategy generates
conservative research choices focused on building up knowledge around important
molecules. These choices become more conservative over time. The observed
strategy is efficient for initial exploration of the network and supports
scientific careers that require steady output, but is inefficient for science as
a whole. Through supercomputer experiments on a sample of the network, we study
thousands of alternatives and identify strategies much more efficient at
exploring mature knowledge networks. We find that increased risk-taking and the
publication of experimental failures would substantially improve the speed of
discovery. We consider institutional shifts in grant making, evaluation, and
publication that would help realize these efficiencies.
PMID- 26554010
TI - Anti-VEGF treatment improves neurological function and augments radiation
response in NF2 schwannoma model.
AB - Hearing loss is the main limitation of radiation therapy for vestibular
schwannoma (VS), and identifying treatment options that minimize hearing loss are
urgently needed. Treatment with bevacizumab is associated with tumor control and
hearing improvement in neurofibromatosis type 2 (NF2) patients; however, its
effect is not durable and its mechanism of action on nerve function is unknown.
We modeled the effect anti-VEGF therapy on neurological function in the sciatic
nerve model and found that it improves neurological function by alleviating tumor
edema, which may further improve results by decreasing muscle atrophy and
increasing nerve regeneration. Using a cranial window model, we showed that anti
VEGF treatment may achieve these effects via normalizing the tumor vasculature,
improving vessel perfusion, and delivery of oxygenation. It is known that oxygen
is a potent radiosensitizer; therefore, we further demonstrated that combining
anti-VEGF with radiation therapy can achieve a better tumor control and help
lower the radiation dose and, thus, minimize radiation-related neurological
toxicity. Our results provide compelling rationale for testing combined therapy
in human VS.
PMID- 26554011
TI - Allosteric N-WASP activation by an inter-SH3 domain linker in Nck.
AB - Actin filament networks assemble on cellular membranes in response to signals
that locally activate neural Wiskott-Aldrich-syndrome protein (N-WASP) and the
Arp2/3 complex. An inactive conformation of N-WASP is stabilized by
intramolecular contacts between the GTPase binding domain (GBD) and the C helix
of the verprolin-homology, connector-helix, acidic motif (VCA) segment. Multiple
SH3 domain-containing adapter proteins can bind and possibly activate N-WASP, but
it remains unclear how such binding events relieve autoinhibition to unmask the
VCA segment and activate the Arp2/3 complex. Here, we have used purified
components to reconstitute a signaling cascade driven by membrane-localized Src
homology 3 (SH3) adapters and N-WASP, resulting in the assembly of dynamic actin
networks. Among six SH3 adapters tested, Nck was the most potent activator of N
WASP-driven actin assembly. We identify within Nck a previously unrecognized
activation motif in a linker between the first two SH3 domains. This linker
sequence, reminiscent of bacterial virulence factors, directly engages the N-WASP
GBD and competes with VCA binding. Our results suggest that animals, like
pathogenic bacteria, have evolved peptide motifs that allosterically activate N
WASP, leading to localized actin nucleation on cellular membranes.
PMID- 26554013
TI - Correction for Choi et al., The SWI/SNF chromatin remodeling complex regulates
germinal center formation by repressing Blimp-1 expression.
PMID- 26554012
TI - A 3' untranslated region variant in FMR1 eliminates neuronal activity-dependent
translation of FMRP by disrupting binding of the RNA-binding protein HuR.
AB - Fragile X syndrome is a common cause of intellectual disability and autism
spectrum disorder. The gene underlying the disorder, fragile X mental retardation
1 (FMR1), is silenced in most cases by a CGG-repeat expansion mutation in the 5'
untranslated region (UTR). Recently, we identified a variant located in the 3'UTR
of FMR1 enriched among developmentally delayed males with normal repeat lengths.
A patient-derived cell line revealed reduced levels of endogenous fragile X
mental retardation protein (FMRP), and a reporter containing a patient 3'UTR
caused a decrease in expression. A control reporter expressed in cultured mouse
cortical neurons showed an expected increase following synaptic stimulation that
was absent when expressing the patient reporter, suggesting an impaired response
to neuronal activity. Mobility-shift assays using a control RNA detected an RNA
protein interaction that is lost with the patient RNA, and HuR was subsequently
identified as an associated protein. Cross-linking immunoprecipitation
experiments identified the locus as an in vivo target of HuR, supporting our in
vitro findings. These data suggest that the disrupted interaction of HuR impairs
activity-dependent translation of FMRP, which may hinder synaptic plasticity in a
clinically significant fashion.
PMID- 26554014
TI - Sigma-1 receptor mediates cocaine-induced transcriptional regulation by
recruiting chromatin-remodeling factors at the nuclear envelope.
AB - The sigma-1 receptor (Sig-1R) chaperone at the endoplasmic reticulum (ER) plays
important roles in cellular regulation. Here we found a new function of Sig-1R,
in that it translocates from the ER to the nuclear envelope (NE) to recruit
chromatin-remodeling molecules and regulate the gene transcription thereof. Sig
1Rs mainly reside at the ER-mitochondrion interface. However, on stimulation by
agonists such as cocaine, Sig-1Rs translocate from ER to the NE, where Sig-1Rs
bind NE protein emerin and recruit chromatin-remodeling molecules, including
lamin A/C, barrier-to-autointegration factor (BAF), and histone deacetylase
(HDAC), to form a complex with the gene repressor specific protein 3 (Sp3).
Knockdown of Sig-1Rs attenuates the complex formation. Cocaine was found to
suppress the gene expression of monoamine oxidase B (MAOB) in the brain of wild
type but not Sig-1R knockout mouse. A single dose of cocaine (20 mg/kg) in rats
suppresses the level of MAOB at nuclear accumbens without affecting the level of
dopamine transporter. Daily injections of cocaine in rats caused behavioral
sensitization. Withdrawal from cocaine in cocaine-sensitized rats induced an
apparent time-dependent rebound of the MAOB protein level to about 200% over
control on day 14 after withdrawal. Treatment of cocaine-withdrawn rats with the
MAOB inhibitor deprenyl completely alleviated the behavioral sensitization to
cocaine. Our results demonstrate a role of Sig-1R in transcriptional regulation
and suggest cocaine may work through this newly discovered genomic action to
achieve its addictive action. Results also suggest the MAOB inhibitor deprenyl as
a therapeutic agent to block certain actions of cocaine during withdrawal.
PMID- 26554016
TI - A vacuolar phosphate transporter essential for phosphate homeostasis in
Arabidopsis.
AB - Inorganic phosphate (Pi) is stored in the vacuole, allowing plants to adapt to
variable Pi availability in the soil. The transporters that mediate Pi
sequestration into vacuole remain unknown, however. Here we report the functional
characterization of Vacuolar Phosphate Transporter 1 (VPT1), an SPX domain
protein that transports Pi into the vacuole in Arabidopsis. The vpt1 mutant
plants were stunted and consistently retained less Pi than wild type plants,
especially when grown in medium containing high levels of Pi. In seedlings, VPT1
was expressed primarily in younger tissues under normal conditions, but was
strongly induced by high-Pi conditions in older tissues, suggesting that VPT1
functions in Pi storage in young tissues and in detoxification of high Pi in
older tissues. As a result, disruption of VPT1 rendered plants hypersensitive to
both low-Pi and high-Pi conditions, reducing the adaptability of plants to
changing Pi availability. Patch-clamp analysis of isolated vacuoles showed that
the Pi influx current was severely reduced in vpt1 compared with wild type
plants. When ectopically expressed in Nicotiana benthamiana mesophyll cells, VPT1
mediates vacuolar influx of anions, including Pi, SO4(2-), NO3(-), Cl(-), and
malate with Pi as that preferred anion. The VPT1-mediated Pi current amplitude
was dependent on cytosolic phosphate concentration. Single-channel analysis
showed that the open probability of VPT1 was increased with the increase in
transtonoplast potential. We conclude that VPT1 is a transporter responsible for
vacuolar Pi storage and is essential for Pi adaptation in Arabidopsis.
PMID- 26554015
TI - Circadian and feeding rhythms differentially affect rhythmic mRNA transcription
and translation in mouse liver.
AB - Diurnal oscillations of gene expression are a hallmark of rhythmic physiology
across most living organisms. Such oscillations are controlled by the interplay
between the circadian clock and feeding rhythms. Although rhythmic mRNA
accumulation has been extensively studied, comparatively less is known about
their transcription and translation. Here, we quantified simultaneously temporal
transcription, accumulation, and translation of mouse liver mRNAs under
physiological light-dark conditions and ad libitum or night-restricted feeding in
WT and brain and muscle Arnt-like 1 (Bmal1)-deficient animals. We found that
rhythmic transcription predominantly drives rhythmic mRNA accumulation and
translation for a majority of genes. Comparison of wild-type and Bmal1 KO mice
shows that circadian clock and feeding rhythms have broad impact on rhythmic gene
expression, Bmal1 deletion affecting surprisingly both transcriptional and
posttranscriptional levels. Translation efficiency is differentially regulated
during the diurnal cycle for genes with 5'-Terminal Oligo Pyrimidine tract (5'
TOP) sequences and for genes involved in mitochondrial activity, many harboring a
Translation Initiator of Short 5'-UTR (TISU) motif. The increased translation
efficiency of 5'-TOP and TISU genes is mainly driven by feeding rhythms but Bmal1
deletion also affects amplitude and phase of translation, including TISU genes.
Together this study emphasizes the complex interconnections between circadian and
feeding rhythms at several steps ultimately determining rhythmic gene expression
and translation.
PMID- 26554017
TI - Heterogeneous lamella structure unites ultrafine-grain strength with coarse-grain
ductility.
AB - Grain refinement can make conventional metals several times stronger, but this
comes at dramatic loss of ductility. Here we report a heterogeneous lamella
structure in Ti produced by asymmetric rolling and partial recrystallization that
can produce an unprecedented property combination: as strong as ultrafine-grained
metal and at the same time as ductile as conventional coarse-grained metal. It
also has higher strain hardening than coarse-grained Ti, which was hitherto
believed impossible. The heterogeneous lamella structure is characterized with
soft micrograined lamellae embedded in hard ultrafine-grained lamella matrix. The
unusual high strength is obtained with the assistance of high back stress
developed from heterogeneous yielding, whereas the high ductility is attributed
to back-stress hardening and dislocation hardening. The process discovered here
is amenable to large-scale industrial production at low cost, and might be
applicable to other metal systems.
PMID- 26554018
TI - Leukocyte-specific protein 1 regulates T-cell migration in rheumatoid arthritis.
AB - Copy number variations (CNVs) have been implicated in human diseases. However, it
remains unclear how they affect immune dysfunction and autoimmune diseases,
including rheumatoid arthritis (RA). Here, we identified a novel leukocyte
specific protein 1 (LSP1) deletion variant for RA susceptibility located in
11p15.5. We replicated that the copy number of LSP1 gene is significantly lower
in patients with RA, which correlates positively with LSP1 protein expression
levels. Differentially expressed genes in Lsp1-deficient primary T cells
represent cell motility and immune and cytokine responses. Functional assays
demonstrated that LSP1, induced by T-cell receptor activation, negatively
regulates T-cell migration by reducing ERK activation in vitro. In mice with T
cell-dependent chronic inflammation, loss of Lsp1 promotes migration of T cells
into the target tissues as well as draining lymph nodes, exacerbating disease
severity. Moreover, patients with RA show diminished expression of LSP1 in
peripheral T cells with increased migratory capacity, suggesting that the defect
in LSP1 signaling lowers the threshold for T-cell activation. To our knowledge,
our work is the first to demonstrate how CNVs result in immune dysfunction and a
disease phenotype. Particularly, our data highlight the importance of LSP1 CNVs
and LSP1 insufficiency in the pathogenesis of RA and provide previously
unidentified insights into the mechanisms underlying T-cell migration toward the
inflamed synovium in RA.
PMID- 26554019
TI - Global shape mimicry of tRNA within a viral internal ribosome entry site mediates
translational reading frame selection.
AB - The dicistrovirus intergenic region internal ribosome entry site (IRES) adopts a
triple-pseudoknotted RNA structure and occupies the core ribosomal E, P, and A
sites to directly recruit the ribosome and initiate translation at a non-AUG
codon. A subset of dicistrovirus IRESs directs translation in the 0 and +1 frames
to produce the viral structural proteins and a +1 overlapping open reading frame
called ORFx, respectively. Here we show that specific mutations of two unpaired
adenosines located at the core of the three-helical junction of the honey bee
dicistrovirus Israeli acute paralysis virus (IAPV) IRES PKI domain can uncouple 0
and +1 frame translation, suggesting that the structure adopts distinct
conformations that contribute to 0 or +1 frame translation. Using a reconstituted
translation system, we show that ribosomes assembled on mutant IRESs that direct
exclusive 0 or +1 frame translation lack reading frame fidelity. Finally, a
nuclear magnetic resonance/small-angle X-ray scattering hybrid approach reveals
that the PKI domain of the IAPV IRES adopts an RNA structure that resembles a
complete tRNA. The tRNA shape-mimicry enables the viral IRES to gain access to
the ribosome tRNA-binding sites and form intermolecular contacts with the
ribosome that are necessary for initiating IRES translation in a specific reading
frame.
PMID- 26554021
TI - Intrinsic excitability measures track antiepileptic drug action and uncover
increasing/decreasing excitability over the wake/sleep cycle.
AB - Pathological changes in excitability of cortical tissue commonly underlie the
initiation and spread of seizure activity in patients suffering from epilepsy.
Accordingly, monitoring excitability and controlling its degree using
antiepileptic drugs (AEDs) is of prime importance for clinical care and
treatment. To date, adequate measures of excitability and action of AEDs have
been difficult to identify. Recent insights into ongoing cortical activity have
identified global levels of phase synchronization as measures that characterize
normal levels of excitability and quantify any deviation therefrom. Here, we
explore the usefulness of these intrinsic measures to quantify cortical
excitability in humans. First, we observe a correlation of such markers with
stimulation-evoked responses suggesting them to be viable excitability measures
based on ongoing activity. Second, we report a significant covariation with the
level of AED load and a wake-dependent modulation. Our results indicate that
excitability in epileptic networks is effectively reduced by AEDs and suggest the
proposed markers as useful candidates to quantify excitability in routine
clinical conditions overcoming the limitations of electrical or magnetic
stimulation. The wake-dependent time course of these metrics suggests a
homeostatic role of sleep, to rebalance cortical excitability.
PMID- 26554023
TI - Correction for O'Dwyer et al., Backbones of evolutionary history test
biodiversity theory for microbes.
PMID- 26554022
TI - Mapping transient electric fields with picosecond electron bunches.
AB - Transient electric fields, which are an important but hardly explored parameter
of laser plasmas, can now be diagnosed experimentally with combined ultrafast
temporal resolution and field sensitivity, using femtosecond to picosecond
electron or proton pulses as probes. However, poor spatial resolution poses great
challenges to simultaneously recording both the global and local field features.
Here, we present a direct 3D measurement of a transient electric field by time
resolved electron schlieren radiography with simultaneous 80-MUm spatial and 3.7
ps temporal resolutions, analyzed using an Abel inversion algorithm. The electric
field here is built up at the front of an aluminum foil irradiated with a
femtosecond laser pulse at 1.9 * 10(12) W/cm(2), where electrons are emitted at a
speed of 4 * 10(6) m/s, resulting in a unique "peak-valley" transient electric
field map with the field strength up to 10(5) V/m. Furthermore, time-resolved
schlieren radiography with charged particle pulses should enable the mapping of
various fast-evolving field structures including those found in plasma-based
particle accelerators.
PMID- 26554020
TI - QQS orphan gene regulates carbon and nitrogen partitioning across species via NF
YC interactions.
AB - The allocation of carbon and nitrogen resources to the synthesis of plant
proteins, carbohydrates, and lipids is complex and under the control of many
genes; much remains to be understood about this process. QQS (Qua-Quine Starch;
At3g30720), an orphan gene unique to Arabidopsis thaliana, regulates metabolic
processes affecting carbon and nitrogen partitioning among proteins and
carbohydrates, modulating leaf and seed composition in Arabidopsis and soybean.
Here the universality of QQS function in modulating carbon and nitrogen
allocation is exemplified by a series of transgenic experiments. We show that
ectopic expression of QQS increases soybean protein independent of the genetic
background and original protein content of the cultivar. Furthermore, transgenic
QQS expression increases the protein content of maize, a C4 species (a species
that uses 4-carbon photosynthesis), and rice, a protein-poor agronomic crop, both
highly divergent from Arabidopsis. We determine that QQS protein binds to the
transcriptional regulator AtNF-YC4 (Arabidopsis nuclear factor Y, subunit C4).
Overexpression of AtNF-YC4 in Arabidopsis mimics the QQS-overexpression
phenotype, increasing protein and decreasing starch levels. NF-YC, a component of
the NF-Y complex, is conserved across eukaryotes. The NF-YC4 homologs of soybean,
rice, and maize also bind to QQS, which provides an explanation of how QQS can
act in species where it does not occur endogenously. These findings are, to our
knowledge, the first insight into the mechanism of action of QQS in modulating
carbon and nitrogen allocation across species. They have major implications for
the emergence and function of orphan genes, and identify a nontransgenic strategy
for modulating protein levels in crop species, a trait of great agronomic
significance.
PMID- 26554024
TI - TRPC3 amplifies B-cell receptor-induced ERK signalling via protein kinase D
dependent Rap1 activation.
AB - Sustained activation of extracellular-signal-regulated kinase (ERK) has an
important role in the decision regarding the cell fate of B-lymphocytes.
Recently, we demonstrated that the diacylglycerol-activated non-selective cation
channel canonical transient receptor potential 3 (TRPC3) is required for the
sustained ERK activation induced by the B-cell receptor. However, the signalling
mechanism underlying TRPC3-mediated ERK activation remains elusive. In the
present study, we have shown that TRPC3 mediates Ca(2+) influx to sustain
activation of protein kinase D (PKD) in a protein kinase C-dependent manner in
DT40 B-lymphocytes. The later phase of ERK activation depends on the small G
protein Rap1, known as a downstream target of PKD, whereas the earlier phase of
ERK activation depends on the Ras protein. It is of interest that sustained ERK
phosphorylation is required for the full induction of the immediate early gene
Egr-1 (early growth response 1). These results suggest that TRPC3 reorganizes the
BCR signalling complex by switching the subtype of small G-proteins to sustain
ERK activation in B-lymphocytes.
PMID- 26554025
TI - Potent irreversible P2Y12 inhibition does not reduce LPS-induced coagulation
activation in a randomized, double-blind, placebo-controlled trial.
AB - Platelets play an important role in the activation of coagulation. P2Y12 receptor
inhibition may be beneficial in inflammatory states. Prasugrel, a potent
irreversible inhibitor of P2Y12 receptor-induced platelet activation may reduce
activation of coagulation in a human LPS (lipopolysaccharide) model. A double
blind, randomized, crossover trial with a minimum washout period of 6 weeks was
performed. Sixteen subjects were randomly assigned to a treatment group that
received prasugrel or placebo 2 h before infusion of a bolus of LPS (2 ng/kg of
body weight), whereas four subjects were assigned to a control group receiving
prasugrel or placebo without LPS. hcDNA (histone-complexed DNA), coagulation and
platelet-specific parameters were measured by enzyme immunoassay. Leucocyte
aggregate formation was analysed by flow cytometry, and thromboelastometry was
performed. LPS infusion markedly activated coagulation. However, prasugrel did
not reduce changes in prothrombin fragments 1 and 2 (F1+2), thrombin-antithrombin
complexes, microparticle-associated tissue factor, CD40 ligand, P-selectin,
platelet-leucocyte aggregation, hcDNA levels or the coagulation profile measured
by thromboelastometry. hcDNA plasma levels increased approximately 6-fold after
LPS infusion in both treatment groups, but not in the control groups. Potent
irreversible P2Y12 inhibition by prasugrel does not affect LPS-induced
coagulation activation. The 6-fold increased hcDNA plasma levels after infusion
of LPS indicates the formation of neutrophil extracellular traps during sterile
inflammation.
PMID- 26554026
TI - The prevalence of medical error related to end-of-life communication in Canadian
hospitals: results of a multicentre observational study.
AB - BACKGROUND: In the hospital setting, inadequate engagement between healthcare
professionals and seriously ill patients and their families regarding end-of-life
decisions is common. This problem may lead to medical orders for life-sustaining
treatments that are inconsistent with patient preferences. The prevalence of this
patient safety problem has not been previously described. METHODS: Using data
from a multi-institutional audit, we quantified the mismatch between patients'
and family members' expressed preferences for care and orders for life-sustaining
treatments. We recruited seriously ill, elderly medical patients and/or their
family members to participate in this audit. We considered it a medical error if
a patient preferred not to be resuscitated and there were orders to undergo
resuscitation (overtreatment), or if a patient preferred resuscitation
(cardiopulmonary resuscitation, CPR) and there were orders not to be resuscitated
(undertreatment). RESULTS: From 16 hospitals in Canada, 808 patients and 631
family members were included in this study. When comparing expressed preferences
and documented orders for use of CPR, 37% of patients experienced a medical
error. Very few patients (8, 2%) expressed a preference for CPR and had CPR
withheld in their documented medical orders (Undertreatment). Of patients who
preferred not to have CPR, 174 (35%) had orders to receive it (Overtreatment).
There was considerable variability in overtreatment rates across sites (range: 14
82%). Patients who were frail were less likely to be overtreated; patients who
did not have a participating family member were more likely to be overtreated.
CONCLUSIONS: Medical errors related to the use of life-sustaining treatments are
very common in internal medicine wards. Many patients are at risk of receiving
inappropriate end-of-life care.
PMID- 26554027
TI - Gap junctions are essential for murine primordial follicle assembly immediately
before birth.
AB - The reserve of primordial follicles determines the reproductive ability of the
female mammal over its reproductive life. The primordial follicle is composed of
two types of cells: oocytes and surrounding pre-granulosa cells. However, the
underlying mechanism regulating primordial follicle assembly is largely
undefined. In this study, we found that gap junction communication (GJC)
established between the ovarian cells in the perinatal mouse ovary may be
involved in the process. First, gap junction structures between the oocyte and
surrounding pre-granulosa cells appear at about 19.0 dpc (days post coitum). As
many as 12 gap junction-related genes are upregulated at birth, implying that a
complex communication may exist between ovarian cells, because specifically
silencing the genes of individual gap junction proteins, such as Gja1, Gja4 or
both, has no influence on primordial follicle assembly. On the other hand, non
specific blockers of GJC, such as carbenoxolone (CBX) and 18alpha-glycyrrhetinic
acid (AGA), significantly inhibit mouse primordial follicle assembly. We proved
that the temporal window for establishment of GJC in the fetal ovary is from 19.5
dpc to 1 dpp (days postpartum). In addition, the expression of ovarian somatic
cell (OSC)-specific genes, such as Notch2, Foxl2 and Irx3, was negatively
affected by GJC blockers, whereas oocyte-related genes, such as Ybx2, Nobox and
Sohlh1, were hardly affected, implying that the establishment of GJC during this
period may be more important to OSCs than to oocytes. In summary, our results
indicated that GJC involves in the mouse primordial follicle assembly process at
a specific temporal window that needs Notch signaling cross-talking.
PMID- 26554028
TI - Novel regulation and functional interaction of polycistronic miRNAs.
AB - The importance of microRNAs in gene expression and disease is well recognized.
However, what is less appreciated is that almost half of miRNA genes are
organized in polycistronic clusters and are therefore coexpressed. The mir-11~998
cluster consists of two miRNAs, miR-11 and miR-998. Here, we describe a novel
layer of regulation that links the processing and expression of miR-998 to the
presence of the mir-11 gene. We show that the presence of miR-11 in the pri-miRNA
is required for processing by Drosha, and deletion of mir-11 prevents the
expression of miR-998. Replacing mir-11 with an unrelated miRNA rescued miR-998
expression in vivo and in vitro, as did expressing miR-998 from a shorter, more
canonical miRNA scaffold. The embedded regulation of miR-998 is functionally
important because unchecked miR-998 expression in the absence of miR-11 resulted
in pleiotropic developmental defects. This novel regulation of expression of
miRNAs within a cluster is not limited to the mir-11~998 cluster and, thus,
likely reflects the more general cis-regulation of expression of individual
miRNAs. Collectively, our results uncover a novel layer of regulation within
miRNA clusters that tempers the functions of the individual miRNAs. Unlinking
their expression has the potential to change the expression of multiple miRNA
targets and shift a biological response.
PMID- 26554029
TI - Peptide release promoted by methylated RF2 and ArfA in nonstop translation is
achieved by an induced-fit mechanism.
AB - Here we report that the specificity of peptide release in the ribosome on a
nonstop mRNA by ArfA and RF2 is achieved by an induced-fit mechanism. Using RF2
that is methylated on the glutamine of its GGQ motif (RF2(m)), we show that
methylation substantially increases the rate of ArfA/RF2-catalyzed peptide
release on a nonstop mRNA that does not occupy the ribosomal A site, but has only
a modest effect on k(cat) by the same proteins on longer nonstop mRNAs occupying
the A site of the mRNA channel in the ribosome. Our data suggest that enhancement
in the kcat of peptide release by ArfA and RF2 under the cognate decoding
condition is the result of favorable conformational changes in the nonstop
complex. We demonstrate a shared mechanism between canonical and nonstop
termination, supported by similarities in the kinetic mechanisms in antibiotic
inhibition and methylation-correlated enhancement in the rate of peptide release.
Despite these similarities, our data suggest that nonstop termination differs
from canonical pathway in the downstream event of recycling.
PMID- 26554030
TI - High-throughput sequencing of human plasma RNA by using thermostable group II
intron reverse transcriptases.
AB - Next-generation RNA-sequencing (RNA-seq) has revolutionized transcriptome
profiling, gene expression analysis, and RNA-based diagnostics. Here, we
developed a new RNA-seq method that exploits thermostable group II intron reverse
transcriptases (TGIRTs) and used it to profile human plasma RNAs. TGIRTs have
higher thermostability, processivity, and fidelity than conventional reverse
transcriptases, plus a novel template-switching activity that can efficiently
attach RNA-seq adapters to target RNA sequences without RNA ligation. The new
TGIRT-seq method enabled construction of RNA-seq libraries from <1 ng of plasma
RNA in <5 h. TGIRT-seq of RNA in 1-mL plasma samples from a healthy individual
revealed RNA fragments mapping to a diverse population of protein-coding gene and
long ncRNAs, which are enriched in intron and antisense sequences, as well as
nearly all known classes of small ncRNAs, some of which have never before been
seen in plasma. Surprisingly, many of the small ncRNA species were present as
full-length transcripts, suggesting that they are protected from plasma RNases in
ribonucleoprotein (RNP) complexes and/or exosomes. This TGIRT-seq method is
readily adaptable for profiling of whole-cell, exosomal, and miRNAs, and for
related procedures, such as HITS-CLIP and ribosome profiling.
PMID- 26554031
TI - Cytoplasmic poly(A) binding protein-1 binds to genomically encoded sequences
within mammalian mRNAs.
AB - The functions of the major mammalian cytoplasmic poly(A) binding protein, PABPC1,
have been characterized predominantly in the context of its binding to the 3'
poly(A) tails of mRNAs. These interactions play important roles in post
transcriptional gene regulation by enhancing translation and mRNA stability.
Here, we performed transcriptome-wide CLIP-seq analysis to identify additional
PABPC1 binding sites within genomically encoded mRNA sequences that may impact on
gene regulation. From this analysis, we found that PABPC1 binds directly to the
canonical polyadenylation signal in thousands of mRNAs in the mouse
transcriptome. PABPC1 binding also maps to translation initiation and termination
sites bracketing open reading frames, exemplified most dramatically in
replication-dependent histone mRNAs. Additionally, a more restricted subset of
PABPC1 interaction sites comprised A-rich sequences within the 5' UTRs of mRNAs,
including Pabpc1 mRNA itself. Functional analyses revealed that these PABPC1
interactions in the 5' UTR mediate both auto- and trans-regulatory translational
control. In total, these findings reveal a repertoire of PABPC1 binding that is
substantially broader than previously recognized with a corresponding potential
to impact and coordinate post-transcriptional controls critical to a broad array
of cellular functions.
PMID- 26554033
TI - Activation of multiple growth factor signalling pathways is frequent in
meningiomas.
AB - A minority of meningiomas are difficult to treat with surgery or radiotherapy,
and chemotherapeutic alternatives are limited. This study aims to better
understand pathways that are active in meningiomas, in order to direct future
treatment strategies. We investigated the expression and activation of multiple
growth factor receptors, their ligands and downstream signalling pathways in 30
meningiomas using immunohistochemistry. Expression was correlated with chromosome
22q loss. Membrane expression of VEGF receptor (VEGFR) and platelet-derived
growth factor receptor (PDGFR)beta was seen in 83% of tumors, Axl in 70%, EGFR in
50% and insulin-like growth factor receptor in 47%. Expression was similar in low
and high-grade tumors, but membrane EGFR expression was not seen in tumors
showing chromosome 22q loss (P < 0.05). Expression of ligands (IGF, NRG, VEGF,
Gas 6), and signalling proteins (Mek, Erk, Jnk, Akt) and pS6RP, was widespread.
Western blot confirmed widespread Axl expression and supported selective
expression of EGFR in NF2-intact meningiomas. The majority of meningiomas express
and show activation of multiple growth factor receptors and their signalling
pathways, irrespective of tumor grade. In addition to previously reported
receptors, Axl offers a new therapeutic target. The findings also suggest that
anti-EGFR based therapies may be less effective in meningiomas with 22q loss.
PMID- 26554032
TI - Long-range RNA pairings contribute to mutually exclusive splicing.
AB - Mutually exclusive splicing is an important means of increasing the protein
repertoire, by which the Down's syndrome cell adhesion molecule (Dscam) gene
potentially generates 38,016 different isoforms in Drosophila melanogaster.
However, the regulatory mechanisms remain obscure due to the complexity of the
Dscam exon cluster. Here, we reveal a molecular model for the regulation of the
mutually exclusive splicing of the serpent pre-mRNA based on competition between
upstream and downstream RNA pairings. Such dual RNA pairings confer fine tuning
of the inclusion of alternative exons. Moreover, we demonstrate that the splicing
outcome of alternative exons is mediated in relative pairing strength-correlated
mode. Combined comparative genomics analysis and experimental evidence revealed
similar bidirectional structural architectures in exon clusters 4 and 9 of the
Dscam gene. Our findings provide a novel mechanistic framework for the regulation
of mutually exclusive splicing and may offer potentially applicable insights into
long-range RNA-RNA interactions in gene regulatory networks.
PMID- 26554034
TI - Periodical measurement of urine volume in the bladder during sleep: Temporary
volume reduction suggestive of absorption.
AB - OBJECTIVES: To clarify the variance of urine volume in the urinary bladder during
sleep. METHODS: Overnight measurements of urinary bladder capacity during sleep
were carried out using transabdominal real-time 3-D ultrasound or an automatic
capacity recorder, Yuririn, in 24 volunteers of various ages. RESULTS: Two types
of patterns were observed in the increasing curve of capacity; namely, gradual
elevation pattern when overnight urine production was less than functional
bladder capacity and steep-flat pattern when it was more than functional bladder
capacity. During steep-flat pattern, bladder capacity reached levels of the
functional bladder capacity quickly, then maintained steadily at that level until
morning without awakening. Temporary volume reduction, which might be suggestive
of water absorption from urine in the bladder, occurred occasionally, when the
volumes of urine reached functional bladder capacity. CONCLUSIONS: A considerable
amount of urine in the bladder diminishes without micturition during sleep to
maintain a good level of sleep. This is the first report suggesting the
possibility of water absorption from urine within the human urinary bladder in a
fully physiological situation.
PMID- 26554035
TI - Introduction to 'Origin and evolution of the nervous system'.
AB - In 1665, Robert Hooke demonstrated in Micrographia the power of the microscope
and comparative observations, one of which revealed similarities between the
arthropod and vertebrate eyes. Utilizing comparative observations, Saint-Hilaire
in 1822 was the first to propose that the ventral nervous system of arthropods
corresponds to the dorsal nervous system of vertebrates. Since then, studies on
the origin and evolution of the nervous system have become inseparable from
studies about Metazoan origins and the origins of organ systems. The advent of
genome sequence data and, in turn, phylogenomics and phylogenetics have refined
cladistics and expanded our understanding of Metazoan phylogeny. However, the
origin and evolution of the nervous system is still obscure and many questions
and problems remain. A recurrent problem is whether and to what extent sequence
data provide reliable guidance for comparisons across phyla. Are genetic data
congruent with the geological fossil records? How can we reconcile evolved
character loss with phylogenomic records? And how informative are genetic data in
relation to the specification of nervous system morphologies? These provide some
of the background and context for a Royal Society meeting to discuss new data and
concepts that might achieve insights into the origin and evolution of brains and
nervous systems.
PMID- 26554036
TI - Early metazoan life: divergence, environment and ecology.
AB - Recent molecular clock studies date the origin of Metazoa to 750-800 million
years ago (Ma), roughly coinciding with evidence from geochemical proxies that
oxygen levels rose from less than 0.1% present atmospheric level (PAL) to perhaps
1-3% PAL O2. A younger origin of Metazoa would require greatly increased
substitution rates across many clades and many genes; while not impossible, this
is less parsimonious. Yet the first fossil evidence for metazoans (the Doushantuo
embryos) about 600 Ma is followed by the Ediacaran fossils after 580 Ma, the
earliest undisputed bilaterians at 555 Ma, and an increase in the size and
morphologic complexity of bilaterians around 542 Ma. This temporal framework
suggests a missing 150-200 Myr of early metazoan history that encompasses many
apparent novelties in the early evolution of the nervous system. This span
includes two major glaciations, and complex marine geochemical changes including
major changes in redox and other environmental changes. One possible resolution
is that animals of these still unknown Cryogenian and early Ediacaran ecosystems
were relatively simple, with highly conserved developmental genes involved in
cell-type specification and simple patterning. In this model, complex nervous
systems are a convergent phenomenon in bilaterian clades which occurred close to
the time that larger metazoans appeared in the fossil record.
PMID- 26554037
TI - Early animal evolution and the origins of nervous systems.
AB - Understanding the evolution of early nervous systems is hazardous because we lack
good criteria for determining homology between the systems of distant taxa; the
timing of the evolutionary events is contested, and thus the relevant ecological
and geological settings for them are also unclear. Here I argue that no simple
approach will resolve the first issue, but that it remains likely that animals
evolved relatively late, and that their nervous systems thus arose during the
late Ediacaran, in a context provided by the changing planktonic and benthic
environments of the time. The early trace fossil provides the most concrete
evidence for early behavioural diversification, but it cannot simply be
translated into increasing nervous system complexity: behavioural complexity does
not map on a one-to-one basis onto nervous system complexity, both because of
possible limitations to behaviour caused by the environment and because we know
that even organisms without nervous systems are capable of relatively complex
behaviour.
PMID- 26554038
TI - Unlocking the early fossil record of the arthropod central nervous system.
AB - Extant panarthropods (euarthropods, onychophorans and tardigrades) are hallmarked
by stunning morphological and taxonomic diversity, but their central nervous
systems (CNS) are relatively conserved. The timing of divergences of the ground
pattern CNS organization of the major panarthropod clades has been poorly
constrained because of a scarcity of data from their early fossil record.
Although the CNS has been documented in three-dimensional detail in insects from
Cenozoic ambers, it is widely assumed that these tissues are too prone to decay
to withstand other styles of fossilization or geologically older preservation.
However, Cambrian Burgess Shale-type compressions have emerged as sources of
fossilized brains and nerve cords. CNS in these Cambrian fossils are preserved as
carbon films or as iron oxides/hydroxides after pyrite in association with
carbon. Experiments with carcasses compacted in fine-grained sediment depict
preservation of neural tissue for a more prolonged temporal window than
anticipated by decay experiments in other media. CNS and compound eye characters
in exceptionally preserved Cambrian fossils predict divergences of the
mandibulate and chelicerate ground patterns by Cambrian Stage 3 (ca 518 Ma), a
dating that is compatible with molecular estimates for these splits.
PMID- 26554040
TI - Molecular clocks and the early evolution of metazoan nervous systems.
AB - The timing of early animal evolution remains poorly resolved, yet remains
critical for understanding nervous system evolution. Methods for estimating
divergence times from sequence data have improved considerably, providing a more
refined understanding of key divergences. The best molecular estimates point to
the origin of metazoans and bilaterians tens to hundreds of millions of years
earlier than their first appearances in the fossil record. Both the molecular and
fossil records are compatible, however, with the possibility of tiny,
unskeletonized, low energy budget animals during the Proterozoic that had
planktonic, benthic, or meiofaunal lifestyles. Such animals would likely have had
relatively simple nervous systems equipped primarily to detect food, avoid
inhospitable environments and locate mates. The appearance of the first
macropredators during the Cambrian would have changed the selective landscape
dramatically, likely driving the evolution of complex sense organs, sophisticated
sensory processing systems, and diverse effector systems involved in capturing
prey and avoiding predation.
PMID- 26554039
TI - Embracing the comparative approach: how robust phylogenies and broader
developmental sampling impacts the understanding of nervous system evolution.
AB - Molecular biology has provided a rich dataset to develop hypotheses of nervous
system evolution. The startling patterning similarities between distantly related
animals during the development of their central nervous system (CNS) have
resulted in the hypothesis that a CNS with a single centralized medullary cord
and a partitioned brain is homologous across bilaterians. However, the ability to
precisely reconstruct ancestral neural architectures from molecular genetic
information requires that these gene networks specifically map with particular
neural anatomies. A growing body of literature representing the development of a
wider range of metazoan neural architectures demonstrates that patterning gene
network complexity is maintained in animals with more modest levels of neural
complexity. Furthermore, a robust phylogenetic framework that provides the basis
for testing the congruence of these homology hypotheses has been lacking since
the advent of the field of 'evo-devo'. Recent progress in molecular phylogenetics
is refining the necessary framework to test previous homology statements that
span large evolutionary distances. In this review, we describe recent advances in
animal phylogeny and exemplify for two neural characters-the partitioned brain of
arthropods and the ventral centralized nerve cords of annelids-a test for
congruence using this framework. The sequential sister taxa at the base of
Ecdysozoa and Spiralia comprise small, interstitial groups. This topology is not
consistent with the hypothesis of homology of tripartitioned brain of arthropods
and vertebrates as well as the ventral arthropod and rope-like ladder nervous
system of annelids. There can be exquisite conservation of gene regulatory
networks between distantly related groups with contrasting levels of nervous
system centralization and complexity. Consequently, the utility of molecular
characters to reconstruct ancestral neural organization in deep time is limited.
PMID- 26554041
TI - The origin and evolution of chordate nervous systems.
AB - In the past 40 years, comparisons of developmental gene expression and mechanisms
of development (evodevo) joined comparative morphology as tools for
reconstructing long-extinct ancestral forms. Unfortunately, both approaches
typically give congruent answers only with closely related organisms. Chordate
nervous systems are good examples. Classical studies alone left open whether the
vertebrate brain was a new structure or evolved from the anterior end of an
ancestral nerve cord like that of modern amphioxus. Evodevo plus electron
microscopy showed that the amphioxus brain has a diencephalic forebrain, small
midbrain, hindbrain and spinal cord with parts of the genetic mechanisms for the
midbrain/hindbrain boundary, zona limitans intrathalamica and neural crest.
Evodevo also showed how extra genes resulting from whole-genome duplications in
vertebrates facilitated evolution of new structures like neural crest.
Understanding how the chordate central nervous system (CNS) evolved from that of
the ancestral deuterostome has been truly challenging. The majority view is that
this ancestor had a CNS with a brain that gave rise to the chordate CNS and, with
loss of a discrete brain, to one of the two hemichordate nerve cords. The
minority view is that this ancestor had no nerve cord; those in chordates and
hemichordates evolved independently. New techniques such as phylostratigraphy may
help resolve this conundrum.
PMID- 26554042
TI - Convergent evolution of complex brains and high intelligence.
AB - Within the animal kingdom, complex brains and high intelligence have evolved
several to many times independently, e.g. among ecdysozoans in some groups of
insects (e.g. blattoid, dipteran, hymenopteran taxa), among lophotrochozoans in
octopodid molluscs, among vertebrates in teleosts (e.g. cichlids), corvid and
psittacid birds, and cetaceans, elephants and primates. High levels of
intelligence are invariantly bound to multimodal centres such as the mushroom
bodies in insects, the vertical lobe in octopodids, the pallium in birds and the
cerebral cortex in primates, all of which contain highly ordered associative
neuronal networks. The driving forces for high intelligence may vary among the
mentioned taxa, e.g. needs for spatial learning and foraging strategies in
insects and cephalopods, for social learning in cichlids, instrumental learning
and spatial orientation in birds and social as well as instrumental learning in
primates.
PMID- 26554043
TI - Evolutionarily conserved mechanisms for the selection and maintenance of
behavioural activity.
AB - Survival and reproduction entail the selection of adaptive behavioural
repertoires. This selection manifests as phylogenetically acquired activities
that depend on evolved nervous system circuitries. Lorenz and Tinbergen already
postulated that heritable behaviours and their reliable performance are specified
by genetically determined programs. Here we compare the functional anatomy of the
insect central complex and vertebrate basal ganglia to illustrate their role in
mediating selection and maintenance of adaptive behaviours. Comparative analyses
reveal that central complex and basal ganglia circuitries share comparable
lineage relationships within clusters of functionally integrated neurons. These
clusters are specified by genetic mechanisms that link birth time and order to
their neuronal identities and functions. Their subsequent connections and
associated functions are characterized by similar mechanisms that implement
dimensionality reduction and transition through attractor states, whereby
spatially organized parallel-projecting loops integrate and convey sensorimotor
representations that select and maintain behavioural activity. In both taxa,
these neural systems are modulated by dopamine signalling that also mediates
memory-like processes. The multiplicity of similarities between central complex
and basal ganglia suggests evolutionarily conserved computational mechanisms for
action selection. We speculate that these may have originated from ancestral
ground pattern circuitries present in the brain of the last common ancestor of
insects and vertebrates.
PMID- 26554044
TI - Evolution of brain elaboration.
AB - Large, complex brains have evolved independently in several lineages of
protostomes and deuterostomes. Sensory centres in the brain increase in size and
complexity in proportion to the importance of a particular sensory modality, yet
often share circuit architecture because of constraints in processing sensory
inputs. The selective pressures driving enlargement of higher, integrative brain
centres has been more difficult to determine, and may differ across taxa. The
capacity for flexible, innovative behaviours, including learning and memory and
other cognitive abilities, is commonly observed in animals with large higher
brain centres. Other factors, such as social grouping and interaction, appear to
be important in a more limited range of taxa, while the importance of spatial
learning may be a common feature in insects with large higher brain centres.
Despite differences in the exact behaviours under selection, evolutionary
increases in brain size tend to derive from common modifications in development
and generate common architectural features, even when comparing widely divergent
groups such as vertebrates and insects. These similarities may in part be
influenced by the deep homology of the brains of all Bilateria, in which shared
patterns of developmental gene expression give rise to positionally, and perhaps
functionally, homologous domains. Other shared modifications of development
appear to be the result of homoplasy, such as the repeated, independent expansion
of neuroblast numbers through changes in genes regulating cell division. The
common features of large brains in so many groups of animals suggest that given
their common ancestry, a limited set of mechanisms exist for increasing
structural and functional diversity, resulting in many instances of homoplasy in
bilaterian nervous systems.
PMID- 26554046
TI - Where is my mind? How sponges and placozoans may have lost neural cell types.
AB - Recent phylogenomic evidence suggests that ctenophores may be the sister group to
the rest of animals. This phylogenetic arrangement opens the possibility that
sponges and placozoans could have lost neural cell types or that the ctenophore
nervous system evolved independently. We critically review evidence to date that
has been put forth in support of independent evolution of neural cell types in
ctenophores. We observe a reluctance in the literature to consider a lost nervous
system in sponges and placozoans and suggest that this may be due to historical
bias and the commonly misconstrued concept of animal complexity. In support of
the idea of loss (or modification beyond recognition), we provide hypothetical
scenarios to show how sponges and placozoans may have benefitted from the loss
and/or modification of their neural cell types.
PMID- 26554045
TI - Brain evolution by brain pathway duplication.
AB - Understanding the mechanisms of evolution of brain pathways for complex
behaviours is still in its infancy. Making further advances requires a deeper
understanding of brain homologies, novelties and analogies. It also requires an
understanding of how adaptive genetic modifications lead to restructuring of the
brain. Recent advances in genomic and molecular biology techniques applied to
brain research have provided exciting insights into how complex behaviours are
shaped by selection of novel brain pathways and functions of the nervous system.
Here, we review and further develop some insights to a new hypothesis on one
mechanism that may contribute to nervous system evolution, in particular by brain
pathway duplication. Like gene duplication, we propose that whole brain pathways
can duplicate and the duplicated pathway diverge to take on new functions. We
suggest that one mechanism of brain pathway duplication could be through gene
duplication, although other mechanisms are possible. We focus on brain pathways
for vocal learning and spoken language in song-learning birds and humans as
example systems. This view presents a new framework for future research in our
understanding of brain evolution and novel behavioural traits.
PMID- 26554047
TI - Vertebrate brains and evolutionary connectomics: on the origins of the mammalian
'neocortex'.
AB - The organization of the non-mammalian forebrain had long puzzled neurobiologists.
Unlike typical mammalian brains, the telencephalon is not organized in a
laminated 'cortical' manner, with distinct cortical areas dedicated to individual
sensory modalities or motor functions. The two major regions of the
telencephalon, the basal ventricular ridge (BVR) and the dorsal ventricular ridge
(DVR), were loosely referred to as being akin to the mammalian basal ganglia. The
telencephalon of non-mammalian vertebrates appears to consist of multiple
'subcortical' groups of cells. Analysis of the nuclear organization of the avian
brain, its connections, molecular properties and physiology, and organization of
its pattern of circuitry and function relative to that of mammals, collectively
referred to as 'evolutionary connectomics', revealed that only a restricted
portion of the BVR is homologous to the basal ganglia of mammals. The remaining
dorsal regions of the DVR, wulst and arcopallium of the avian brain contain
telencephalic inputs and outputs remarkably similar to those of the individual
layers of the mammalian 'neocortex', hippocampus and amygdala, with instances of
internuclear connections strikingly similar to those found between cortical
layers and within radial 'columns' in the mammalian sensory and motor cortices.
The molecular properties of these 'nuclei' in birds and reptiles are similar to
those of the corresponding layers of the mammalian neocortex. The fundamental
pathways and cell groups of the auditory, visual and somatosensory systems of the
thalamus and telencephalon are homologous at the cellular, circuit, network and
gene levels, and are of great antiquity. A proposed altered migration of these
homologous neurons and circuits during development is offered as a mechanism that
may account for the altered configuration of mammalian telencephalae.
PMID- 26554048
TI - Evolution of eumetazoan nervous systems: insights from cnidarians.
AB - Cnidarians, the sister group to bilaterians, have a simple diffuse nervous
system. This morphological simplicity and their phylogenetic position make them a
crucial group in the study of the evolution of the nervous system. The
development of their nervous systems is of particular interest, as by uncovering
the genetic programme that underlies it, and comparing it with the bilaterian
developmental programme, it is possible to make assumptions about the genes and
processes involved in the development of ancestral nervous systems. Recent
advances in sequencing methods, genetic interference techniques and transgenic
technology have enabled us to get a first glimpse into the molecular network
underlying the development of a cnidarian nervous system-in particular the
nervous system of the anthozoan Nematostella vectensis. It appears that much of
the genetic network of the nervous system development is partly conserved between
cnidarians and bilaterians, with Wnt and bone morphogenetic protein (BMP)
signalling, and Sox genes playing a crucial part in the differentiation of
neurons. However, cnidarians possess some specific characteristics, and further
studies are necessary to elucidate the full regulatory network. The work on
cnidarian neurogenesis further accentuates the need to study non-model organisms
in order to gain insights into processes that shaped present-day lineages during
the course of evolution.
PMID- 26554051
TI - [BLADDER EXSTROPHY AND RECTAL BLADDER].
PMID- 26554049
TI - An option space for early neural evolution.
AB - The origin of nervous systems has traditionally been discussed within two
conceptual frameworks. Input-output models stress the sensory-motor aspects of
nervous systems, while internal coordination models emphasize the role of nervous
systems in coordinating multicellular activity, especially muscle-based motility.
Here we consider both frameworks and apply them to describe aspects of each of
three main groups of phenomena that nervous systems control: behaviour,
physiology and development. We argue that both frameworks and all three aspects
of nervous system function need to be considered for a comprehensive discussion
of nervous system origins. This broad mapping of the option space enables an
overview of the many influences and constraints that may have played a role in
the evolution of the first nervous systems.
PMID- 26554052
TI - [TRAUMATIC RUPTURE OF CORPUS CAVENOSUM].
PMID- 26554053
TI - [Liver fibrosis can be assessed by non-invasive ultrasound elastography].
AB - Diagnosis and assessment of liver fibrosis is of great importance for initiating
treatment and starting hepatocellular carcinoma surveillance in patients with
established cirrhosis. Liver biopsy is still considered the gold standard for
liver fibrosis staging, however; it is far from perfect. Non-invasive assessment
of liver fibrosis is becoming more available and is well tolerated. This review
describes the feasibility and reliability of two elastography methods: transient
elastography and Acoustic Radiation Force.
PMID- 26554050
TI - Gastric pouches and the mucociliary sole: setting the stage for nervous system
evolution.
AB - Prerequisite for tracing nervous system evolution is understanding of the body
plan, feeding behaviour and locomotion of the first animals in which neurons
evolved. Here, a comprehensive scenario is presented for the diversification of
cell types in early metazoans, which enhanced feeding efficiency and led to the
emergence of larger animals that were able to move. Starting from cup-shaped,
gastraea-like animals with outer and inner choanoflagellate-like cells, two major
innovations are discussed that set the stage for nervous system evolution. First,
the invention of a mucociliary sole entailed a switch from intra- to
extracellular digestion and increased the concentration of nutrients flowing into
the gastric cavity. In these animals, an initial nerve net may have evolved via
division of labour from mechanosensory-contractile cells in the lateral body
wall, enabling coordinated movement of the growing body that involved both
mucociliary creeping and changes of body shape. Second, the inner surface of the
animals folded into metameric series of gastric pouches, which optimized nutrient
resorption and allowed larger body sizes. The concomitant acquisition of
bilateral symmetry may have allowed more directed locomotion and, with more
demanding coordinative tasks, triggered the evolution of specialized nervous
subsystems. Animals of this organizational state would have resembled Ediacarian
fossils such as Dickinsonia and may have been close to the cnidarian-bilaterian
ancestor. In the bilaterian lineage, the mucociliary sole was used mostly for
creeping, or frequently lost. One possible remnant is the enigmatic Reissner's
fibre in the ventral neural tube of cephalochordates and vertebrates.
PMID- 26554054
TI - [Methotrexate may be a useful corticosteroid reducing treatment of severe
asthma].
AB - Asthma is a chronic airway disease affecting many people worldwide. Less than 1%
suffers from difficult-to-treat asthma and requires systemic corticosteroid
treatment to achieve symptom control. The therapeutic options for these patients
are limited and often we have to accept a lesser degree of symptom control.
Methotrexate has been evaluated in 13 studies, where the mean corticosteroid dose
reduction was 35%. Despite the adverse effects, we find that methotrexate may be
a useful treatment of severe asthma in certain patients under close observation.
PMID- 26554055
TI - [Optic disc oedema].
AB - Optic disc oedema describes the nonspecific, localized swelling of the optic
nerve head regardless of aetiology. Therefore, differentiating among the various
aetiologies depends on a thorough history and knowledge of the clinical
characteristics of the underlying conditions. Papilloedema strictly refers to
optic disc oedema as a consequence of elevated intracranial pressure. It is
usually a bilateral condition and visual function is preserved until late. Optic
disc oedema caused by an anterior optic neuropathy is usually unilateral and
accompanied by the loss of visual function.
PMID- 26554056
TI - [Treatment with amiodarone].
AB - Amiodarone is an effective antiarrhythmic drug for supra-ven-tri-cular and
ventricular arrhythmias. A majority of patients treated with amiodarone suffer
from mild adverse events, however, serious life-threatening adverse events caused
by amiodarone are also seen. This review describes the pharmacology, interac
tions, side and adverse effects of amiodarone and highlights the importance of a
systematic interdisciplinary follow-up protocol for outpatients treated with
amiodarone.
PMID- 26554057
TI - [Case study shows that the case manager function for cancer patients is used
unevenly across the different cancer forms].
AB - This case study of the obligatory case manager function for cancer patients
explores by whom and for what the function is used. It draws on quantitative data
from a database, focus group interviews with case managers at Rigshospitalet and
telephone interviews with patients and relatives. The conclusion is that across
the different cancer forms patients are using the function unevenly. This can
probably be explained by the varying organizational set-ups for patient
trajectories across departments. The individual patients and relatives express
satisfaction with the information and assistance received from the case managers.
PMID- 26554058
TI - [Weight loss guidance for patients with heart failure is complex].
AB - Observation studies have shown that overweight in patients with congestive heart
failure is associated with lower risk of all-cause mortality and cardiovascular
mortality. This is called the paradox of obesity. Other studies do not support
this correla-tion. In conclusion, a stable body weight is recommended for
patients with a BMI of 25-29.9 kg/m2. If BMI >= 40 kg/m2, weight loss is
recommended. There is no consensus for weight reduction if BMI is 30-40 kg/m2.
PMID- 26554059
TI - [Case managers experience improved trajectories for cancer patients after
implementation of the case manager function].
AB - Case managers are increasingly used to optimize trajectories for patients. This
study is based on a questionnaire among case managers in cancer care, aiming at
the clarification of the func-tion and its impact on especially patient safety,
when handing over the responsibility. The results show a major variation in how
the function is organized, the level of competence and the task to be handled.
The responsibility has in general been nar-rowed to department level. Overall,
the case managers believe that the function has optimized pathways for cancer
patients and improved safety, but barriers persist.
PMID- 26554060
TI - [Obese asthma patients have poorer asthma control].
AB - Current literature indicates that asthma is more prevalent among obese
individuals. In addition, studies have shown that higher body mass index (BMI),
particularly BMI >= 30 kg/m2, is associated with poorer asthma control and asthma
related quality of life. Furthermore, growing evidence suggests that obese
patients with asthma respond less favourably to inhaled corticosteroids compared
to non-obese patients. Moreover, longitudinal studies indicate that weight
reduction improves asthma control. Therefore, preventing and treating obesity
should be an integrated part of asthma management.
PMID- 26554061
TI - [Chronic salicylate poisoning is a challenging diagnosis].
AB - Chronic salicylate poisoning is often seen in elderly patients as a result of an
unintended overdosage, a change in metabolism or kidney function. The symptoms
are often unspecific. This case report is about a 55-year-old man who was
unconscious when admitted to hospital, and who died three hours after admission.
An autopsy and a toxicological test showed a deadly level of salicylate in his
blood. Afterwards, his wife told that he had complained about a sudden hearing
loss, and that his behaviour had changed prior to his death. It is important to
keep this diagnosis in mind when treating especially elderly patients.
PMID- 26554062
TI - [Not Available].
PMID- 26554064
TI - [In Process Citation].
PMID- 26554065
TI - [In Process Citation].
PMID- 26554066
TI - [In Process Citation].
PMID- 26554067
TI - [In Process Citation].
PMID- 26554068
TI - [In Process Citation].
PMID- 26554069
TI - Response.
PMID- 26554070
TI - Response.
PMID- 26554071
TI - [Practical references for Herpes zoster vaccination].
PMID- 26554072
TI - [Identifying alcohol problem solution].
PMID- 26554073
TI - [Chronic patient flat rate: Barmer GEK relents].
PMID- 26554074
TI - The clinical prediction rule for whiplash associated disorders.
PMID- 26554075
TI - [Prevention act: is now "Check-up 18" covered?].
PMID- 26554076
TI - Response.
PMID- 26554077
TI - Response.
PMID- 26554078
TI - Response.
PMID- 26554079
TI - Response.
PMID- 26554080
TI - Response.
PMID- 26554081
TI - Entraining the free-running circadian clocks of blind people.
PMID- 26554083
TI - Empowering citizen scientists. Scientists should consider engaging more with the
DIYbio community.
PMID- 26554082
TI - Individual risk factors for physician boundary violations: the role of attachment
style, childhood trauma and maladaptive beliefs.
AB - OBJECTIVE: The assessment and remediation of boundary-challenged healthcare
professionals is enhanced through examination of individual risk factors. We
assessed three such factors--attachment style, childhood trauma and maladaptive
beliefs--in 100 attendees (mostly physicians) of a CME professional boundaries
course. We propose a theoretical model which draws a causal arc from childhood
maltreatment through insecure attachment and maladaptive beliefs to elevated risk
for boundary violations. METHODS: We administered the Experiences in Close
Relationship Questionnaire (ECR-R), Childhood Trauma Questionnaire (CTQ), and
Young Schema Questionnaire (YSQ) to 100 healthcare professionals (mostly
physicians) attending a CME course on professional boundaries. Experts rated
participant autobiographies to determine attachment style and early adversities.
Correlations and relationships among self- and expert ratings and between
different risk factors were examined. RESULTS: Five percent of participants
reported CTQ total scores in the moderate to severe range; eleven percent
reported moderate to severe emotional neglect or emotional abuse. Average
attachment anxiety and attachment avoidance were low, and more than half of
participants were rated "secure" by experts. Childhood maltreatment was
correlated with attachment anxiety and avoidance and predicted expert-rated
insecure attachment and maladaptive beliefs. CONCLUSION: Our findings support a
potential link between childhood adversity and boundary difficulties, partly
mediated by insecure attachment and early maladaptive beliefs. Furthermore, these
results suggest that boundary education programs and professional wellness
programs may be enhanced with a focus on sequelae of childhood maltreatment,
attachment and common maladaptive thinking patterns.
PMID- 26554084
TI - Daniel J. Muller.
PMID- 26554085
TI - Points of Significance. Bayesian networks.
PMID- 26554086
TI - Sensors and probes Building lasers inside cells.
PMID- 26554087
TI - Hot, Hotter, and Hottest Trends in alpha-Synuclein Research.
PMID- 26554088
TI - Neuroscience. Algae are the best engineers of optogenetic inhibitors.
PMID- 26554089
TI - Genomics. where the G-quadruplexes are.
PMID- 26554090
TI - Proteomic. Navigating the negative-mode proteome.
PMID- 26554091
TI - Synthetic biology. Stop the presses.
PMID- 26554092
TI - Genomics. Micro-C maps of genome structure.
PMID- 26554093
TI - Imaging. RNA catch and release.
PMID- 26554094
TI - Colonic mucosal alpha-synuclein lacks specificity as a biomarker for Parkinson
disease.
PMID- 26554095
TI - Author response.
PMID- 26554096
TI - Response.
PMID- 26554097
TI - Response.
PMID- 26554098
TI - [Treatment of fistula after surgery of head and neck cancer].
PMID- 26554099
TI - [Diagnosis and management of genetical hearing impairment].
PMID- 26554100
TI - Response from Dr. Vandewalle.
PMID- 26554101
TI - White lesion of tongue. Morsicatio linguarum.
PMID- 26554102
TI - Pioglitazone.
PMID- 26554103
TI - Diagnosis of diabetes.
AB - The diagnosis of diabetes is not as straightforward as it may seem. this brief
communication describes how to diagnose [and not to diagnose] diabetes in a
simple manner. This information will be of use to general practitioners as well
as students of diabetology.
PMID- 26554105
TI - Evidence-Based Review and Discussion Points.
PMID- 26554106
TI - Alzheimer dementia--a problem of individuals, families, medicine and society.
PMID- 26554107
TI - A clinical study on the influence of suturing material on oral wound healing.
AB - BACKGROUND/AIM: Suture materials play an important role in healing, enabling
reconstruction and reassembly of tissue separated by the surgical procedure or
trauma, and at the same time facilitating and promoting healing and hemostasis.
Suture materials are used daily in oral surgery, and are considered to be
substances most commonly implanted in human body. The aim of this clinical study
was to examine the speed of wound healing and complications incidence, after the
use of three different absorbable synthetic suture materials in oral surgery
(catgut, Dexon and Vicryl rapide), and to ascertain which one is the most
suitable for oral surgery. METHODS: The study was conducted on 96 patients
undergoing root resection or surgical extraction of third molars. Each of the
suture materials (catgut, Dexon and Vicryl rapide) was used for 8 root resections
and 8 surgical third molar extractions in the maxilla, as well as in the mandible
(a total of 32 surgical interventions for each suture material). RESULTS: The
faster wound healing was obtained with Vicryl rapide compared to other two
suturing material tested. There was no significant difference regarding the
presence of local reaction in all the three groups of patients on the 21st
postoperative day. CONCLUSION: The results of our clinical study point out that
Vycril- rapid contributes more than catgut or Dexon to faster healing of human
wounds, with fewer incidences of wound dehiscence and milder local reactions.
PMID- 26554108
TI - Emotional reactions in patients after frontal lobe stroke.
AB - BACKGROUND/AIM: Emotional reactions have been documented after tumor lesions and
the other damages of the brain. The aim of this paper was to examine the
correlation between frontal lobe lesions and emotional reactions in patients with
stroke. METHODS: The research included 118 patients after stroke. Lesion
localization was defined on computed axial tomography records, whereas the area
and perimeter of lesion were measured by AutoCAD 2004 software. Examinations by
means of the Hamilton Rating Scale for Anxiety and Depression (HRSA and HRSD)
were carried out 11-40 days after stroke. Statistic data were processed by simple
linear/nonlinear regression, Cox's and the generalized linear model. RESULTS: A
higher frequency of emotional reactions, i.e. anxiety, was determined in women
after stroke (p = 0.024). A negative correlation between the lesion size and the
intensity of anxiety manifestations was determined (Spearman's r = -0.297; p =
0.001). Anxiety was more frequent in patients with frontal lobe lesions in the
dominant hemisphere (interaction: frontal lesion * hand dominant hemisphere, p =
0.017). Also, HRSD score values showed the tendency for lesser decline in case of
greater frontal lobe lesions in relation to lesions of other regions of
prosencephalon (interaction: frontal lesion * lesion area, p = 0.001).
CONCLUSION: The results of this study indicate the correlation between
evolutionary younger structures of the central nervous system and emotional
reactions of man. Therefore, it is necessary to undertake proper early
psychopharmacotherapy in the vulnerable group of patients.
PMID- 26554109
TI - The significance of adiponectin as a biomarker in metabolic syndrome and/or
coronary artery disease.
AB - BACKGROUND/AIM. Adiponectin exerts profound protective actions during insulin
resistence or prediabetes progression towards more severe clinical entities such
as metabolic syndrome and/or cardiovascular disease. Since hypoadiponectinaemia
contributes to the pathophysiology of the metabolic syndrome and coronary artery
disease the level of circulating adiponectin may be an early marker of
cardiovascular events. The aim of this study was to determine the relationships
between serum adiponectin levels and parameters of both insulin sensitivity and
obesity in patients with the metabolic syndrome and/or coronary artery disease,
as well as to assess predictive value of adiponectin serum levels as a biomarker
of these entitetis. METHODS: The study included 100 patients with metabolic
syndrome and/or coronary artery disease with different degree of insulin
resistance and healthy, normoglycemic individuals. The control group comprising
healthy, normoglycemic individuals was used for comparison. Serum level of
adiponectin, fasting glucose, fasting insulinemia Homeostasis Model Assessment of
Insulin Resistance (HOMA-IR) index and anthropometric parameters were determined
in all the subjects. Adiponectin was measured by using the ultrasensitive ELISA
method. Insulinemia was measured by the radioimmunoassay (RIA) method. The
presence of glycemic disorders was assessed on the basis of oral glucose
tolerance test (OGTT). Results. Adiponectin level was inversely correlated with
age (rho = -0.015), parameters of both obesity (R = 0.437;p < 0.001) and insulin
resistance (R = 0.374; p < 0.01). Decreasing in the level of adiponecrin was
strongly implicated in the development of insulin resistance. Most importantly, a
statistically significant rapid decrease ih adiponectin was in the prediabetic
stages (p < 0.01). The predictor value of adiponectin was 1,356.32 +/- 402.65
pg/mL. CONCLUSIONS: The obtained resultats suggest that adiponectin may be a
useful marker in identification of individuals with risk of developing metabolic
syndrome and coronary artery disease, as well as a predictor of prediabetes.
PMID- 26554110
TI - Experimental closure of gunshot wounds by fibrin glue with antibiotics in pigs.
AB - BACKGROUND/AIM: Gunshot wounds caused by the automatic rifle M70AB2 (AK-47) 7.62
mm, after the primary surgical management, were closed with delayed primary
suture during the next four to seven days. This period coincides with the
fibroblastic phase of wound healing. Fibrin glue is used as a local hemostatic
and as a matrix for the local dosed release of antibiotics. Antibiotics addition
to fibrin glue resulted in continuous diffusion into the surrounding next 4 to 7
days. The aim of this study was to create the preconditions for gunshot wounds
closing without complications by the application of fibrin glue with antibiotics
24 h after primary surgical treatment. METHODS: A total of 14 pigs were wounded
in the gluteofemoral region by the bullet M67, initial velocity of 720 m/s. All
wounded animals were surgically treated according to the principles of the war
surgery doctrine. Seven wounds were closed with primary delayed suture four days
after the primary surgical treatment (traditional approach). Fibrin glue with
antibiotics was introduced in seven wounds during the primary surgical treatment
and primary delayed suture was done after 24 h. The macroscopic appearance and
the clinical assessment of the wound were done during the primary surgical
treatment and during its revision after 24 h, as well as histopathological
findings at the days 4 and 7 after wounding. RESULTS: Gunshot wounds caused by
the automatic rifle M70AB2 (AK-47) 7.62 mm, and treated with fibrin glue with
antibiotics after primary surgical management, were closed with primary delayed
suture after 24 h. In further wound evolution there were no complications.
CONCLUSION: Uncomplicated soft-tissue wounds caused by an automatic M70AB2 rifle
may be closed primarily with delayed suture without the risk of developing
complications if on revision, 24 h after primary surgery, there were no present
necrotic tissues, hematoma, and any signs of infection when fibrin glue with
antibiotics (ceftriaxone and clindamycin) was applied. The use of this method
should be limited to individual and strictly controlled cases in civil practice
for now.
PMID- 26554111
TI - Analysis of over-the-counter medicines use among nursing students.
AB - BACKGROUND/AIM: The use of over-the-counter (OTC) medication is widespread among
the adult and student populations in Slovenia. The aim of the study was to
analyse the prevalence of OTC medicines use among nursing students with respect
to sociodemographic characteristics. METHODS: A total of 241 nursing students in
the Faculty of Health Sciences, Ljubljana, were included in the cross-sectional
study. A questionnaire was created for the purposes of the study. Statistical
analysis was performed with SPSS 20. Descriptive statistics, t-test and the
contingency coefficient were calculated. Statistical significance was set at the
p-value of < 0.05. RESULTS: The study showed that the use of prescription drugs
was significantly higher in women (p = 0.029), students living in rural areas (p
= 0.005) and students who described themselves as being of bad health (p =
0.008). At the same time, a third of the respondents had been taking one OTC
medicine within the last month; those taking several prescription drugs commonly
administered several over-the-counter medicines (p = 0.027). Women used OTC
medicines to treat pain and fever (p = 0.001), respiratory issues (p = 0.015),
and fungal infections (p < 0.000) more often than men. OTC medicines were also
used to treat minor mental health issues by a higher proportion of respondents
over 21 years of age (p = 0.005) and women (p < 0.000), while over-the-counter
medicines for treating skin conditions were more frequently used in rural areas
(p = 0.006). CONCLUSION: Nursing students tend to use OTC medicines on their own
accord, receiving instructions for safe use with their purchase, which points to
adequate promotion of safe use of medications in Slovenia. Men's assessment of
their personal health tends to be better than that of women, who also use
medication more frequently. A connection between poor health and a higher
incidence of the use of OTC medicines was established. The use of OTC medicines
to treat minor mental health issues increased with age and was more typical of
women. The results obtained demonstrate the importance of personal control over
the safe use of medicines, motivation for personal good health and a healthy
lifestyle.
PMID- 26554112
TI - Copper and zinc concentrations in atherosclerotic plaque and serum in relation to
lipid metabolism in patients with carotid atherosclerosis.
AB - BACKGROUND/AIM: Some oligoelements are now investigated as possibly having a role
in atherosclerosis. The aim of this study was to compare the concentrations of
copper and zinc in the serum and carotid plaque and parameters of lipid
metabolism in patients with different morphology of carotid atherosclerotic
plaque. METHODS: Carotid endarterectomy due to the significant atherosclerotic
stenosis was performed in 91 patients (mean age 64 +/- 7). The control group
consisted of 27 patients (mean age 58 +/- 9), without carotid atherosclerosis.
Atheroscletoric plaques were divided into four morphological groups, according to
ultrasonic and intraoperative characteristics. Copper and zinc concentrations in
the plaque, carotid artery and serum were measured by atomic absorption
spectrophotometry. RESULTS: Serum copper concentrations were statistically
significantly higher in the patients with hemorrhagic in comparison to those with
calcified plaque (1.2 +/- 0.9 umol/L vs 0.7 +/- 0.2 umol/L, respectively; p =
0.021). Zinc concentrations were statistically significantly lower in plaques of
the patients with fibrolipid in comparison to those with calcified plaques (22.1
+/- 16.3 g/g vs 38.4 +/- 25.8 ug/g, respectively; p = 0.024). A negative
significant correlation was found for zinc and triglycerides in the serum in all
the patients (r = -0.52, p = 0.025). In the control group we also demonstrated a
positive significant correlation for low-density lipoprotein cholesterol and
copper in the serum (r = 0.54, p = 0.04). CONCLUSION: The data obtained in the
current study are consistent with the hypothesis that high copper and lower zinc
levels may contribute to atherosclerosis and its sequelae as factors in a
multifactorial disease. Further studies are necessary in order to conclude
whether high concentration of copper and zinc in the serum could be risk factors
for atherosclesrosis.
PMID- 26554113
TI - Children's health risk assessment based on the content of toxic metals Pb, Cd, Cu
and Zn in urban soil samples of Podgorica, Montenegro.
AB - BACKGROUND/AIM: Due to their low tolerance to pollutants and hand-to-mouth
pathways the health risk is very high in children's population. The aim of this
study was to evaluate risk to children's health based on the content of heavy
metals in urban soil samples from Podgorica, Montenegro. This study included the
investigation of several toxic metals such as Pb, Cd, Cu and Zn in soil samples
from public parks and playgrounds. METHODS: Sampling was conducted in a period
October-November, 2012. Based on cluster analysis, soil samples were divided into
two groups related to similarity of metal content at examinated locations: the
group I--near by recreational or residential areas of the city, and the group II-
near traffic roads. Concentration of toxic metals, in urban soil samples were
determined by a graphite furnace atomic absorption spectrometry (Pb and Cd) and
by inductively coupled plasma optical emission spectrometry technique after
microwave digestion. Due to exposure to urban soil, non-cancerogenic index
hazardous index (HI) for children was estimated using 95th percentile values of
total metal concentration. The value of the total (ingestion, dermal and
inhalation) HI is calculated for maximum, minimum and the average concentration
of metals for children. RESULTS: Mean concentrations of Pb, Cd, Cu and Zn in the
surface layer of the studied urban soils were 85.91 mg/kg, 2.8 mg/kg and 52.9
mg/kg and 112.5 mg/kg, respectively. Samples from group II showed higher metal
content compared to group I. Urbanization and traffic are the main sources of
pollution of the urban soils of Podgorica. Most of the samples (93.5%) had a high
Pb content, 12.9% of the samples had a higher content of Cd, while Cu and Zn were
within the limits prescribed by national legislation. At one location the level
of security for lead is HI = 0.8 and very closed to maximum acceptable value of
1. It is probably the result of intensive traffic near by. CONCLUSION: All metals
investigated showed relatively higher concentrations at sites that were close to
industrial places and high ways. The mean concentrations of Pb and Zn and maximum
concentrations of Pb, Cd, and Zn were higher than presented values in the
National Regulation.
PMID- 26554114
TI - Tacrolimus concentration/dose ratio as a therapeutic drug monitoring strategy:
the influence of gender and comedication.
AB - BACKGROUND/AIM. A combination of tacrolimus and other drugs such as
corticosteroids has been commonly used immunosuppressive regimens. On the other
hand, there is a growing body of evidence that male and female may differ in
their response to the equal drug treatment. The aim of the study was to estimated
the use of tacrolimus concentration/dose (C/D) ratio for the assessment of the
influence of gender differences and comedication on tacrolimus exposure in renal
transplant recipients. METHODS. This prospective case series study included 54
patients, in which the unit of monitoring was outpatient examination (1,872) of
the renal transplant patients. The patients were monitored in the period 2010
2014, starting one month after the transplantation. Tacrolimus trough
concentrations (TTC) were measured by chemiluminescence microparticles
immunoassay. RESULTS. TTC and the tacrolimus C/D ratio were significantly lower
in the females comparing with the males. Contrary to the males, in the females a
significant increase of the tacrolimus daily dose (TDD) per body weight and TTC,
along with the corticosteroid dose increase, was not accompanied by any
significant changes in the tacrolimus C/D ratio; in different corticosteroid
doses faster elimination of tacrolimus was found with the exception of the doses
> 0.25 mg/kg. In the patients treated with proton pump inhibitors, mainly with
pantoprazole TDD per body weight and TTC were significantly higher, while the
tacrolimus C/D ratio was significantly lower compared to the patients without
this treatment. In the patients treated with calcium channel blockers, TDD per
body weight was significantly lower (particularly with amlodipine). while the
tacrolimus C/D ratio was higher compared to the patients who were not treated by
them. CONCLUSION: A lower tacrolimus exposure was detected in females in
comparison to males. When gender differences were considered in the context of
different corticosteroid doses, faster elimination of tacrolimus in the females
was also seen, with the exception of the doses > 0.25 mg/kg. Tacrolimus exposure
in the pantoprazole-treated patients was significantly less expressed, while in
patients treated with CCB amplodipine the tacrolimus C/D ratio was significantly
higher in comparison with the patients not treated with them.
PMID- 26554115
TI - Age-related changes of vitamin C levels in aqueous humour.
AB - BACKGROUND/AIM: Age-related cataract is a common disease among senior population.
Vitamin C is the most effective reducing hydrosoluble antioxidant causing
reduction in the levels of free radicals in crystaline lens. The aim of this
study was to evaluate the age-related change of vitamin C (L-ascorbic acid) level
in the aqueous humor of patients with senile cataract. METHODS: This prospective
study included 74 patients, divided into 3 age groups, with age-related cataract,
underwent routine phaco cataract surgery with intraocular lens implantation.
Aqueous humor was aspirated from 74 eyes at the beginning of phacoemulsification.
The levels of vitamin C (L-ascorbic acid) in aqueous humor were determined with
high-performance liquid chromatography (HPLC). RESULTS: The average age of pa-
tients included in the study was 65 +/- 9.85 years (54-87 years). The level of
vitamin C in aqueous humor was 152.78 +/- 7.0125 ug/mL in the group A (50-59
years), 134.15 +/- 5.1569 ug/mL in the group B (60-69 years) and 106.51 +/- 5.44
ug/mL in the group C (over 70 years). CONCLUSION: The amount of vitamin C in
aqueous humor of patients with age-related cataract is decreasing with age. There
was a statistically significant change (p < 0.001) of vitamin C aqueous
concentration in the patients of different age. This decrease could play a role
in susceptibility to cataract formation in older population.
PMID- 26554116
TI - Neurotoxic effects of oxygen in hyperbaric environment: A case report.
AB - INTRODUCTION: Oxygen is an essential element of life in aerobic organisms.
However, if not controlled, inhalation of oxygen under increased pressure in
conditions of hyperbaric oxygen therapy can lead to serious damage and even
death. CASE REPORT: We presented a 20-year-old male who had begun exhibiting
symptoms of epilepsy during diving test in a hyperbaric chamber while inhaling
100% oxygen. He was immediately taken off oxygen mask and started breathing air
and began rapid decompression. He lost consciousness, began foaming at the mouth,
and had a series of tonic spasms. The patient was previously completely healthy
and not on any medications. He was admitted for emergency treatment in our
hospital, where he was treated for epilepsy. On admission, he complained of
muscle and joint pain, and had erythematous changes on the forehead, neck and
chest. All these changes occurred after leaving the hyperbaric chamber. Bloodwork
revealed leukocytosis with neutrophil (Leukocytosis 16.0 x 10(9)/L (reference
values 4.00-11.00 x 10(9)/L), Neutrophili 13 x 10(9)/L (reference values 1.9-8.0
x 10(9)/L), with elevated enzymes aspartate aminotransferase (AST) 56 U/L
(reference values 0-37 U/L), alanin aminotransferase (ALT) 59 U/L, (reference
values 25-65 U/L), creatine kinase (CK) 649 U/L, (reference values 32-300 U /L),
lactate dehydrogenase (LDH) 398 U/L (reference values 85-227 U/L). Because of
pain and his condition we began treatment in a hyperbaric chamber at a pressure
of 2.0 ATA for 70 minutes, resulting in a reduction of symptoms and objective
recovery of the patient. Within 24 h, repeated laboratory tests showed a
reduction of leukocytosis (13 x 109/L and neutrophils (7.81 x 109/L), and the
gradual reduction of the enzymes AST (47 U/L), ALT (50 U/L, CK (409 U/L), LDH
(325 U/L). Since head CT and EEG were normal, epilepsy diagnosis was ruled out.
This fact, along with medical tests, facilitated the differential diagnosis and
confirmed that this was a case of neurotoxic effects of oxygen while the patient
was in a hyperbaric chamber, not epileptic seizures. CONCLUSION: This case report
suggests that in patients with symptoms of epileptic seizures while undergoing
treatment in a hyperbaric chamber, it is always important to think of neurotoxic
effects of pure oxygen which occurs at higher pressures and with a longer
inhalation of 100% oxygen. In these patients, reexposure to hyperbaric conditions
leads to recovery. This effect is important in daily inhalation of 100% oxygen
under hyperbaric conditions which is why the use of pure oxygen is controlled and
diving is allowed in shallow depths and for a limited time.
PMID- 26554117
TI - Unrecognised adrenergic symptoms and the delayed diagnosis of urinary bladder
paraganglioma.
AB - INTRODUCTION: Paraganglioma is a rare neuroendocrine neoplasm that may arise from
the extra-adrenal autonomic paraganglia. Urinary bladder paraganglioma is
typically presented as repeated episodes of palpitations, headache or blood
pressure rise immediately after micturition. Management of these tumors includes
radical surgical treatment with preoperative antihypertensive preparation, and a
life-long follow-up. CASE REPORT: We presented a middle-age female patient with
functional urinary bladder paraganglioma, with a 3-year history of repeated
episodes of abdominal pain, dysuria and hematuria. After obtaining more precise
anamnestic data, the patient reported occasional simultaneous presence of mild
adrenergic symptoms, that did not cause any particular attention at first.
Morphological and biohumoral examinations suggested paraganglioma of the urinary
bladder. Open partial cystectomy was performed, detecting a submucosal mass,
while immunohistochemical analysis confirmed the presence of chromaffin tissue.
Clinical manifestations, diagnostic approach, management and histopathological
findings of urinary bladder paraganglioma are discussed. CONCLUSION: Since the
prognosis with localized paraganglioma is good, we underlined the importance of a
well-timed, accurate and detailed medical history in all the patients with even
mild, inexplicable micturition-provoked adrenergic symptomatology.
PMID- 26554118
TI - Typical chest pain and precordial leads ST-elevation in patients with pacemakers-
are we always looking at an acute myocardial infarction?
AB - INTRODUCTION: Electrocardiographic (ECG) diagnosis of acute myocardial infarction
(AMI) in patients with paced rhythm is difficult. Sgarbossa's criteria represent
helpful diagnostic ECG tool. CASE REPORT: A 57-year-old female patient with
paroxysmal atrial fibrillation and a permanent pacemaker presented in the
Emergency Department with prolonged typical chest pain and ECG recording
suggestive for AMI. Documented ECG changes correspond to the first Sgarbossa's
criterion for AMI in patients with dual pacemakers (ST-segment elevation of 5 mn
in the presence of the negative QRS complex). The patient was sent to
catheterization lab where coronary angiogram reveled normal findings. ECG changes
occurred due to pericardial reaction following two interventions: pacemaker
implantation a month before and radiofrequency catheter ablation of AV junction
two weeks before presentation in Emergency Department. CONCLUSION: This case
report points out to the limitations of proposed criteria that aid in the
recognition of AMI in patients with underlying paced rhythm and possible cause(s)
of transient electrocardiographic abnormalities.
PMID- 26554119
TI - Surgical treatment of orbital floor blowout fracture in children: A case report.
AB - INTRODUCTION: Orbital floor blowout fracture is a common traumatic lesion of the
craniofacial complex, but rarely in children population, consequently
representing challenge in surgical treatment. Timely diagnosis and surgical
treatment prevent the probability of the occurrence of the functional
complications. CASE REPORT: We presented surgical treatment of on 8-year-old girl
with a blowout orbital floor fracture one month after the injury. The predominant
symptoms were: ocular bulb motility disorder with consecutive strabismus and
double vision. Orbital floor reconstruction was made by an autogenous mandibular
symphyseal graft. A year after the orbital floor reconstruction additional
correction of strabismus was performed due to functional disorder of the
bulbomotor muscles. CONCLUSION: Delayed surgical treatment of blowout orbital
floor fracture in children leads to unsatisfactory functional results in the
majority of cases. In such a situation surgical correction of strabismus is
necessary in order to obtain functionally quality vision and satisfactory
aesthetic appearance.
PMID- 26554120
TI - Multiple lateral sinus pericranii--A case report.
AB - INTRODUCTION: Sinus pericranii is a rare vascular anomaly. It is characterized by
abnormal communication between the extracranial and intracranial venous system,
usually involving the superior sagittal sinus and occasionally the transverse
sinus. Off the midline lesions are extremely rare. Multiplicity, associated
venous lakes, venous angioma and lateral location are unusual and unique
presentation of sinus pericranii. CASE REPORT: A case of multiple congenital off
midline sinus pericranii in the left frontotemporal and parietal region is
presented. Magnetic resonance imaging showed an extracranial vascular anomaly
connected with the intracranial venous system through abnormal diploic or
emissary veins. The lesions were removed completely by surgery. CONCLUSION: Sinus
pericranii is a rare vascular malformation with unique clinical and radiological
features. Sinus pericranii may cause fatal complications, and it must be treated
by surgical or endovascular procedures.
PMID- 26554121
TI - Legionnaires' disease: Is it really that rare in Serbia?
PMID- 26554122
TI - SOCIAL MEDIA: Like or Not Like?
PMID- 26554123
TI - On the broom: Anchorage physician promotes the ancient sport of curling.
PMID- 26554124
TI - Farsighted. Interview by Kathy Espin.
PMID- 26554125
TI - PERTUSSIS.
PMID- 26554126
TI - The promise of rapid detection of active pulmonary tuberculosis in rural Alaska.
AB - BACKGROUND: The process by which active pulmonary tuberculosis (TB) is detected
can be tediously slow in rural and often roadless Alaska, where several hundred
air or boat miles can separate a patient from a chest x-ray and/or sputum
collection. Additionally, the only TB reference lab in the state is many hundreds
of air miles away, albeit centrally located in Anchorage. Under such conditions,
it may take up to a week to process serial sputum AFB smears. This can result in
either delayed onset of treatment or unnecessary empiric treatment, all while
safety for the community is being considered. This dilemma often results in
precautionary hospital isolation of a patient who might otherwise have been able
to travel home by air. This article proposes a roadmap for remote health care
settings that might bridge our current TB diagnostic ability to a better way in
the future. METHODS: Current TB diagnostic guidelines in our area (Yukon
Kuskokwim Delta) were reviewed for integration of the Xpert MTB/ RIF assay with
the purpose of improving TB health care while emphasizing patient benefits and
cost savings. RESULTS: A clinical guideline that integrates the rapid TB assay
into the current TB diagnostic algorithms for adults and adolescents is proposed.
Crude cost savings at our hospital resulting from this guideline are estimated to
be $316,000 per year. CONCLUSION: The proven utility of a new rapid TB
diagnostic, the Xpert MTB/RIF assay, offers the promise of more efficient TB
medical care, improved patient human rights and improved hospital and community
environmental safety, all with likely huge reduced health care costs in remote
Alaska.
PMID- 26554127
TI - [EXPERIMENTAL TESTING OF THE OPERATOR'S PERCEPTION OF SYMBOLIC INFORMATION ON THE
HELMET-MOUNTED DISPLAY DEPENDING ON THE STRUCTURAL COMPLEXITY OF VISUAL
ENVIRONMENT].
AB - The experiments showed that pilot's perception of symbolic information on the
helmet-mounted display (HMD) depends on type of HMD (mono- or binocular), and
structural complexity of the background image. Complex background extends time
and increases errors in perception, particularly when monocular HMD is used. In
extremely complicated visual situations (symbolic information on a background
intricately structured by supposition of a TV image on real visual environment)
significantly increases time and lowers precision of symbols perception no matter
what the HMD type.
PMID- 26554128
TI - [STRUCTURAL-FUNCTIONAL STATUS OF THE LYMPH TISSUE OF MICE LYMPHATIC NODES
FOLLOWING THE 30-DAY FLIGHT ONBOARD SPACECRAFT BION-M1].
AB - The article reports the results of studying the lymph tissue of mesenteric and
cervical lymphatic nodes in C57BL/6N mice after the 30-day orbital flight onboard
biosatellite Bion-M1. Histological and morphometric investigations revealed
changes in the ratio of the nodes structural-functional zones and microstructure.
Reductions in reticular cells, plasmocytes, macrophages and blasts in the nodes
point to degradation of both humoral and cellular immunity.
PMID- 26554129
TI - [SHIFTS IN URINE PROTEIN PROFILE DURING DRY IMMERSION].
AB - The study was aimed at tracking the proteomic profile of urine in 8 normal
volunteers to 5-day dry immersion (DI). The proteome composition was determined
by chromatography-mass spectrometry on high-efficient on-line liquid nano
chromatograph Agilent 1100; complementary information about the protein spectra
was obtained by dint of mass-spectrometer MaXis Impact 4G and hybrid mass
spectrometer LTQ-FT. Functional associations between proteins and biological
functions were analyzed using computer system ANDCell (Associative Networks
Discovery in Cells). A total of 256 proteins were identified; for 43 proteins
difference in the detection rate during the baseline data collection and on DI
day 4 exceeded 20%.
PMID- 26554130
TI - [REARRANGEMENT OF THE LYMPH TISSUE IN THE MICE SPLEEN AND JEJUNUM WALL DURING THE
GROUND-BASED REPRODUCTION OF THE CONDITIONS OF ANIMAL MAINTENANCE IN THE
BIOSATTELITE BION-M1 MISSION].
AB - Purpose of the investigation was microscopic examination of changes in cyto
architectonics of the spleen and jejunum lymph (immune) tissue in 19-20-week
C57BL/6N male mice exposed to some conditions their counterparts had lived in
during the 30-d Bion-M1 mission (ground experiment). Local deviations in
reactions of the morphofunctional zones of these organs were found. In the
spleen, reaction in the centers of lymph nodules generation or the B-lymphocytes
maturation zone grows strong. Changes in the cell composition of periarterial
lymph sheaths that constitute the morphological site of T-lymphocytes
accumulation suggest inhibition of its functional activity. Cell composition of
the jejunum wall structure implies a decline of the jejunal immune activity. Our
investigation of the organs taken from the ground control mice maintained in the
flight BIOS-MLZh module evidences that unceasing noise, hypokinesia, isolation,
and paste-like feed weaken general immunity of laboratory animals.
PMID- 26554131
TI - [DEMONSTRATION OF LIKELIHOOD OF THE NEGATIVE EFFECT OF PHYSICAL PROTECTION DURING
TOTAL PROTON IRRADIATION OF MICE].
AB - The experiments were performed with outbred CD-1 male mice (SPF category). Total
irradiation at 1.0; 2.5 and 5.0 Gy by protons with the average energy of 170 MeV
was conducted in a level medical beam of the phasotron at the Joint Institute of
Nuclear Investigations. Targets were 2 points of in-depth dose distribution, i.e.
beam entrance of the object, and modified Bragg peak. As a physical protector,
the comb filter increases linear energy transfer (LET) of 170 MeV entrance
protons from 0.49 keV/MUm to 1.6 keV/MUm and, according to the bone marrow test,
doubles the biological effectiveness of protons when comparing radiation doses
that cause 37% inhibition of blood cell formation in the bone marrow. Physical
protection increases dose rate from 0.37 Gy/min for entrance protons to 0.8
Gy/min for moderated protons which more than in thrice reduces time of
irradiation needed to reach an equal radiobiological effect.
PMID- 26554132
TI - [CALCULATION OF RADIATION LOADS ON THE ANTHROPOMORPHIC PHANTOM ONBOARD THE SPACE
STATION IN THE CASE OF ADDITIONAL SHIELDING].
AB - The paper presents the results of calculating doses from space ionizing radiation
for a modeled orbital station cabin outfitted with an additional shield aimed to
reduce radiation loads on cosmonaut. The shield is a layer with the mass
thickness of -6 g/cm2 (mean density = 0.62 g/cm3) that covers the outer cabin
wall and consists of wet tissues and towels used by cosmonauts for hygienic
purposes. A tissue-equivalent anthropomorphic phantom imitates human body. Doses
were calculated for the standard orbit of the International space station (ISS)
with consideration of the longitudinal and transverse phantom orientation
relative to the wall with or without the additional shield. Calculation of dose
distribution in the human body improves prediction of radiation loads. The
additional shield reduces radiation exposure of human critical organs by -20%
depending on their depth and body spatial orientation in the ISS compartment.
PMID- 26554133
TI - [INVESTIGATION OF THE COMBINED DISINFECTANT EFFECT OF ULTRA-HIGH FREQUENCY ENERGY
AND SILVER ON WATER IN FLOW].
AB - The paper is dedicated to the results of investigating the combined effect of
ultra-high frequency (UHF) energy and silver on contaminated water. Silver was
used both in the ion form at the minimal concentration of 0.01-0.02 mg/l and
solid state, i.e. a silver wire spiral. The purpose was to determine UHF-regimes
of the flowing water disinfection process in the presence of silver.
PMID- 26554134
TI - [MORBIDITY OF SUBMARINE CREW SAILORS IN LONG-DISTANCE CRUISES].
AB - Morbidity among the personnel of a Kola-based (beyond the Arctic circle) atomic
(ASM) and diesel-powered (DSM) submarines in the course of long-distance cruises
in different waters of the world ocean was studied. Statistics was collected from
the reports of submarine medical officers since 1969. Levels and causes of
morbidity were analyzed. According to the data of many years' observations,
within the structure of primary diseases of military contractors on cruises the
leading place has been occupied by respiratory disorders followed by skin and
subcutaneous fat problems, and digestive diseases. Incidence of chronic diseases
among ASM and DSM personnel was evaluated. The authors raise the issue of dental
care quality provided to submariners.
PMID- 26554135
TI - [ON HUMAN BODY REACTION TO A CHANGED GEOMAGNETIC BACKGROUND].
AB - Purpose of the work was to test the concept about existence of a heliobiological
relation in the Earth's middle-latitude region for which to analyze, as an
example, frequency of circulatory disease exacerbation, mental and behavior
disorders, and respiratory diseases (bronchial asthma). The subject and object of
the experimental statistic survey have been dwellers of city of Murom (Vladimir
region) located in middle-latitude geomagnetic region Phi ~ 53 degrees. The
source material in the investigation was medical data of the Murom ambulance
service and geophysical data of the Borok geomagnetic observatory (Yaroslavl
region). The survey went on 3 years from February, 1985 till December, 1987 and
coincided with the rise of the 11th solar cycle. The largest number of calls to
the ambulance service due to acute circulatory condition, mental or behavior
disorders, respiratory diseases (bronchial asthma particularly) and their fatal
outcome fell on periods of long absence of high-frequency geomagnetic pulsation
within the frequency range of human biorhythms.
PMID- 26554136
TI - [ON THE INTRACRANIAL HYPERTENSION IN ASTRONAUTS DURING LONG-TERM MICROGRAVITY].
PMID- 26554137
TI - [SEVENTEENTH MEETING OF THE US/RUSSIAN JOINT WORKING GROUP ON THE SPACE
BIOMEDICAL AND BIOLOGICAL SCIENCES RESEARCH].
PMID- 26554139
TI - Interview With Richard J. Umbdenstock, FACHE, President and CEO of the American
Hospital Association.
PMID- 26554138
TI - EDITORIAL.
PMID- 26554140
TI - A Rapidly Adaptable Management System.
PMID- 26554141
TI - Worksite Wellness: Culture and Controversy.
PMID- 26554142
TI - Integrating Strategic and Operational Decision Making Using Data-Driven
Dashboards: The Case of St. Joseph Mercy Oakland Hospital.
AB - Hospitals have invested and continue to invest heavily in building information
systems to support operations at various levels of administration. These systems
generate a lot of data but fail to effectively convert these data into actionable
information for decision makers. Such ineffectiveness often is attributed to a
lack of alignment between strategic planning and information technology (IT)
initiatives supporting operational goals. We present a case study that
illustrates how the use of digital dashboards at St. Joseph Mercy Oakland (SJMO)
Hospital in Pontiac, Michigan, was instrumental in supporting such an alignment.
Driven by a focus on key performance indicators (KPIs), dashboard applications
also led to other tangible and intangible benefits. An ability to track KPIs over
time and against established targets, with drill-down capabilities, allowed
leadership to hold staff members accountable for achieving their performance
targets. By displaying the dashboards in prominent locations (such as operational
unit floors, the physicians' cafeteria, and nursing stations), SJMO ushered in
transparency in the planning and monitoring processes. The need to develop KPI
metrics and drive data collection efforts became ingrained in the work ethos of
people at every level of the organization. Although IT-enabled dashboards have
been instrumental in supporting this cultural transformation, the focus of
investment was the ability of technology to make collective vision and action the
responsibility of all stakeholders.
PMID- 26554143
TI - PRACTITIONER APPLICATION.
PMID- 26554144
TI - What Determines the Surgical Patient Experience? Exploring the Patient, Clinical
Staff, and Administration Perspectives.
AB - Hospitals are increasingly concerned with enhancing surgical patient experience
given that Medicare reimbursements are now tied in part to patient satisfaction.
Surgical patients' experience may be influenced by several factors (e.g.,
integration of care, technical aspects of care), which are ranked differently in
importance by clinicians and patients. Strategies designed to improve patient
experience can be informed by our research, which examines the determinants of
the surgical patient experience from the perspective of multiple healthcare team
members. We conducted 12 focus groups with surgical patients, family members,
physicians, nurses, and hospital administrators at one acute care, for-profit
hospital in a western state and analyzed the content for determinants of the
overall surgical patient experience. Specifically, we analyzed the content of the
conversations to determine how frequently participants discussed the determinants
of the surgical patient experience and how positive, negative, or neutral the
comments were. The study's findings suggest that surgical patients and members of
the healthcare team have similar views regarding the most important factors in
the patient experience-namely, interdisciplinary relationships, technical
infrastructure, and staffing. The study results will be used to improve care in
this facility and can inform the development of initiatives aimed at improving
the surgical patient experience elsewhere. Our study could serve as a model for
how other facilities can analyze the surgical patient experience from the
perspectives of different stakeholders and improve their performance on the basis
of data directly relevant to their organization.
PMID- 26554145
TI - PRACTITIONER APPLICATION.
PMID- 26554146
TI - A Review of Electronic Hand Hygiene Monitoring: Considerations for Hospital
Management in Data Collection, Healthcare Worker Supervision, and Patient
Perception.
AB - Healthcare-associated infections (HAIs) in U.S. acute care hospitals lead to a
burden of $96-$147 billion annually on the U.S. health system and affect 1 in 20
hospital patients (Marchetti & Rossiter, 2013). Hospital managers are charged
with reducing and eliminating HAIs to cut costs and improve patient outcomes.
Healthcare worker (HCW) hand hygiene (HH) practice is the most effective means of
preventing the spread of HAIs, but compliance is at or below 50% (McGuckin,
Waterman, & Govednik, 2009). For managers to increase the frequency of HCW HH
occurrences and improve the quality of HH performance, companies have introduced
electronic technologies to assist managers in training, supervising, and
gathering data in the patient care setting. Although these technologies offer
valuable feedback regarding compliance, little is known in terms of capabilities
in the clinical setting. Less is known about HCW or patient attitudes if the
system allows feedback to be shared. Early-adopting managers have begun to
examine their experiences with HH technologies and publish their findings. We
review peer-reviewed research on infection prevention that focused on the
capabilities of these electronic systems, as well as the related research on HCW
and patient interactions with electronic HH systems. Research suggests that these
systems are capable of collecting data, but the results are mixed regarding their
impact on HH compliance, reducing HAIs, or both and their costs. Research also
indicates that HCWs and patients may not regard the technology as positively as
industry or healthcare managers may have intended. When considering the adoption
of electronic HH monitoring systems, hospital administrators should proceed with
caution.
PMID- 26554147
TI - PRACTITIONER APPLICATION.
PMID- 26554148
TI - Using Hybrid Change Strategies to Improve the Patient Experience in Outpatient
Specialty Care.
AB - The emerging changes in healthcare impose significant burdens on integrated
outpatient specialty services with respect to setting patient expectations,
handling outside medical records; and coordinating specialty appointments
scheduling. Moreover, because of the evolution of the electronic health record
and its widespread use, it is critical that patient and physician interaction is
maintained and clerical tasks are minimized. In the context of increased
government regulation, declining reimbursement, and the rise of new payment
models, outpatient practices need to be reimagined so that they are more
efficient for the patient and the provider. The redesign of integrated outpatient
specialty services can be accomplished only through teamwork, innovation, and
efficient use of technology. To address these challenges, the Department of
Medicine at Mayo Clinic in Scottsdale, Arizona, implemented an ideal practice
design initiative that leveraged a hybrid set of change strategies. The change
strategy, which was initiated after examination of current practices and design
options, engaged key stakeholders and patients. A number of enablers and barriers
to adoption were identified as a result of the implementation experience.
PMID- 26554149
TI - PRACTITIONER APPLICATION.
PMID- 26554151
TI - Novel Nanotechnologies for Brain Cancer Therapeutics and Imaging.
AB - Despite progress in surgery, radiotherapy, and in chemotherapy, an effective
curative treatment of brain cancer, specifically malignant gliomas, does not yet
exist. The efficacy of current anti-cancer strategies in brain tumors is limited
by the lack of specific therapies against malignant cells. Besides, the delivery
of the drugs to brain tumors is limited by the presence of the blood-brain
barrier. Nanotechnology today offers a unique opportunity to develop more
effective brain cancer imaging and therapeutics. In particular, the development
of nanocarriers that can be conjugated with several functional molecules
including tumor-specific ligands, anticancer drugs, and imaging probes, can
provide new devices which are able to overcome the difficulties of the classical
strategies. Nanotechnology-based approaches hold great promise for
revolutionizing brain cancer medical treatments, imaging, and diagnosis.
PMID- 26554152
TI - Relief of Oxidative Stress Using Curcumin and Glutathione Functionalized ZnO
Nanoparticles in HEK-293 Cell Line.
AB - To elucidate the effect of zinc oxide nanoparticles (ZnO-NPs) with different
surface modifications in relieving the oxidative stress in cultured human
embryonic kidney cells (HEK-293) following investigation was performed. Oxidative
stress was artificially induced by hydrogen peroxide in HEK-293 cell culture and
its management was studied. Alkyl amines modified ZnO-NPs with curcumin and
reduced glutathione (GSH) functionalization was used in managing oxidative stress
and had shown promising results. ZnO-NPs used in this study were synthesized via
non-aqueous sol-gel method and FESEM characterisation showed them of spherical
shape of about 20-50 nm size with amine, curcumin and GSH functionalization. UV
visible and FTIR spectroscopic characterizations confirmed functionalization of
ZnO-NPs. Decrease in oxidative stress was found with the dose-dependent culture
of HEK-293 cells with these functionalized ZnO-NPs. Cell viability and
morphology, as observed using AFM and inverted microscope, was retained with the
prescribed dosages of the functionalized nanoparticles while at higher dosages
they caused cytotoxicity and death. Diethylamine (DEA) modified ZnO-NPs and their
functionalization with GSH and curcumin were found more effective in managing
oxidative stress in cells. Present study could help in designing economical and
bio-compatible functionalized non-toxic nanoparticles designed for managing
oxidative stress leading to possible therapeutical and medicinal uses.
PMID- 26554153
TI - CD20 Antibody-Conjugated Immunoliposomes for Targeted Chemotherapy of Melanoma
Cancer Initiating Cells.
AB - Cancer initiating cells (CIC) are tumorigenic cancer cells that have properties
similar to normal stem cells. CD20 is a phenotype of melanoma CIC that is
responsible for melanoma drug resistance. Vincristine (VCR) is commonly used in
melanoma therapy; however, it has been found ineffective against CIC. To target
CD20+ melanoma CIC, we prepared VCR-containing immunoliposomes that were
conjugated to CD20 antibodies (VCR-Lip-CD20). The drug release profile and the
antibody-mediated targeting of the immunoliposomes were optimized to target CD20+
melanoma CIC. The immunoliposomes had desirable particle size (163 nm), drug
encapsulation efficiency (91.8%), and drug release profile. We demonstrated that
these immunoliposomes could successfully target more than 55% of CD20+ Chinese
Hamster Ovary cells (CHO-CD20) even when the CHO-CD20 cells accounted for only
0.1% of a mixed population of CHO-CD20 and CHO cells. After treating WM266-4
melanoma mammospheres for 96 h, the ICo values of the drug delivered in VCR-Lip
CD20, VCR-Lip (VCR liposomes), and VCR were found to be 53.42, 98.99, and 99.09
MUg/mL, respectively, suggesting that VCR-Lip-CD20 was 1.85 times more effective
than VCR-Lip and VCR. VCR-Lip-CD20 could almost completely remove the tumorigenic
ability of WM266-4 mammospheres in vivo, and showed the best therapeutic effect
in WM266-4 melanoma xenograft mice. Significantly, VCR-Lip-CD20 could selectively
kill CD20+ melanoma CIC in populations of WM266-4 cells both in vitro and in
vivo. We demonstrated that VCR-Lip-CD20 has the potential to efficiently target
and kill CD20+ melanoma CIC.
PMID- 26554154
TI - Heparin and Vascular Endothelial Growth Factor Loaded Poly(L-lactide-co
caprolactone) Nanofiber Covered Stent-Graft for Aneurysm Treatment.
AB - Restenosis caused by thrombopoiesis is one of the biggest hinders of endovascular
stent-graft used in small-diameter vessels. Rapid endothelialization of the lumen
of stent is a promising approach to prevent thrombosis. In this study, we aimed
at loading heparin, a potent anticoagulants, and vascular endothelial growth
factor (VEGF) into the core of poly(L-lactide-co-caprolactone) nanofiber via
emulsion electrospinning. The nanofiber was covered on the stent and applied in
the treatment of vascular diseases such as aneurysm. The morphologies of the
emulsion electrospun nanofibers and core--shell structure were observed by
scanning electron microscope and laser scanning confocal microscope. The release
profiles of heparin and VEGF, degradation rate of nanofiber mats and cell
proliferation in vitro were investigated. It was found that the release of both
heparin and VEGF from the nanofiber lasted for more than 30 days without serious
initial burst release. The degradation rate of nanofiber mats containing heparin
and VEGF was faster than that of pure PLCL nanofiber mats. Moreover, the released
VEGF could promote the proliferation of Pig iliac endothelial cells (PIECs)
cultured on the nanofiber mat, which was of great benefit to stent
endothelialization. The results of digital subtraction angiography (DSA) follow
up indicated the aneurysm was obliterated by separating the aneurysm dome from
the blood circulation and the parent artery kept long-term patency. Results of
the study demonstrated that the heparin and VEGF loaded nanofiber could provide
an approach to fabricate covered stent-graft with properties of anticoagulation
and induction of rapid endothelialization.
PMID- 26554155
TI - Modulating the Growth and Imatinib Sensitivity of Chronic Myeloid Leukemia
Stem/Progenitor Cells with Pullulan/MicroRNA Nanoparticles In Vitro.
AB - Chronic myeloid leukemia (CML) originates from normal hematopoietic stem cells
acquiring Philadelphia chromosome (Ph) to generate BCR-ABL fusion gene whose
protein product has deregulated tyrosine kinase activity. Specific inhibitors
against BCR-ABL, such as Imatinib mesylate (IM), have greatly improved CML
management; however, no single agent is a cure yet. Delivery of microRNA (miRNA)
using non-viral vectors has been utilized to inhibit various cancer cells;
however, the efficacy of this approach to target CML stem/progenitor cells has
not been elucidated. In this study, we firstly validated that spermine-introduced
pullulan (Ps) was a robust non-viral vector for delivery of miRNA to CML cells,
including the CD34+ cells from clinical isolates. We then found that the miR
181a/RALA (V-ral simian leukemia viral oncogene homolog A) axis was aberrantly
expressed in the CML CD34+ cells. The delivery of miR-181a specifically inhibited
the growth of CML CD34+ cells, possibly via the inhibition of RALA. In contrast,
miR-181a did not evidently affect the normal hematopoietic CD34+ cells. In
addition, miR-181a increased IM sensitivity of the CD34+ CML cells. Taken
together, we have therefore demonstrated that the delivery of miR-181a using Ps
to CML stem/progenitor cells leads to their growth inhibition and enhancement of
IM sensitivity, which will possibly be beneficial to CML treatment.
PMID- 26554156
TI - Topical Skin Cancer Therapy Using Doxorubicin-Loaded Cationic Lipid Nanoparticles
and lontophoresis.
AB - The topical administration of chemotherapeutics is a promising approach for the
treatment of skin cancer; however, different pharmaceutical strategies are
required to allow large amounts of drug to penetrate tumors. This work examined
the potential of the anodic iontophoresis of doxorubicin-loaded cationic solid
lipid nanoparticles (DOX-SLN) to increase the distribution and tumor penetration
of DOX. A double-labeled cationic DOX-SLN composed of the lipids stearic acid and
monoolein and a new BODIPY dye was prepared and characterized. The skin
distribution and penetration of DOX were evaluated in vitro using confocal
microscopy and vertical diffusion cells, respectively. The antitumor potential
was evaluated in vivo through the anodic iontophoresis of DOX-SLN in squamous
cell carcinoma induced in nude BALB/c mice. The encapsulation of DOX drastically
altered the DOX partition coefficient and increased the distribution of DOX in
the lipid matrix of the stratum corneum (SC). The association with iontophoresis
created high-concentration drug reservoir zones in the follicles of the skin.
Although the iontophoresis of a DOX solution increased the penetration of DOX in
the viable epidermis by approximately 4-fold, the iontophoresis of cationic DOX
SLN increased the DOX penetration by approximately 50-fold. In vivo, the DOX-SLN
iontophoretic treatment was effective in inhibiting tumor cell survival and tumor
growth and was accompanied by an increase in keratinization and consequent cell
death. These results indicate a strong and synergic effect of iontophoresis with
DOX-SLN and provide a potential strategy for the treatment of skin cancer.
PMID- 26554150
TI - Recent Developments in Active Tumor Targeted Multifunctional Nanoparticles for
Combination Chemotherapy in Cancer Treatment and Imaging.
AB - Nanotechnology and combination therapy are two major fields that show great
promise in the treatment of cancer. The delivery of drugs via nanoparticles helps
to improve drug's therapeutic effectiveness while reducing adverse side effects
associated wifh high dosage by improving their pharmacokinetics. Taking advantage
of molecular markers over-expressing on tumor tissues compared to normal cells,
an "active" molecular marker targeted approach would be-beneficial for cancer
therapy. These actively targeted nanoparticles would increase drug concentration
at the tumor site, improving efficacy while further reducing chemo-resistance.
The multidisciplinary approach may help to improve the overall efficacy in cancer
therapy. This review article summarizes recent developments of targeted
multifunctional nanoparticles in the delivery, of various drugs for a
combinational chemotherapy approach to cancer treatment and imaging.
PMID- 26554157
TI - Synergistic Effect of Immunoliposomal Gemcitabine and Bevacizumab in Glioblastoma
Stem Cell-Targeted Therapy.
AB - Glioblastoma stem cells have been shown to confer chemoresistance and
radioresistance, leading to angiogenesis and the recurrence of tumors in
glioblastoma multiforme. Combination therapy targeting glioblastoma stem cells
and anti-angiogenesis has been a focus of treatment strategies because of the
enhanced efficacy achieved by dual inhibition of tumor proliferation and nutrient
delivery. In this study, glioblastoma stem cells and glioblastoma stem cell
induced angiogenesis in glioblastoma multiforme were challenged by combined
treatment with anti-CD133 monoclonal antibody conjugated liposomes encapsulating
gemcitabine and bevacizumab. Both liposomal encapsulation and conjugation of an
anti-CD133 antibody significantly enhanced the cytotoxicity of gemcitabine toward
glioblastoma stem cells in vitro. Moreover, combined treatment with this
gemcitabine formulation and bevacizumab significantly inhibited tube formation,
migration, and proliferation of endothelial cells in vitro. The antitumor
efficacy of immunoliposomal gemcitabine and bevacizumab combination therapy in a
xenograft model was significantly greater than that of monotherapy, presumably
reflecting the enhanced effects on glioblastoma stem cells themselves and
glioblastoma stem cell-induced angiogenesis caused by synergistic interactions
between the two drugs. Moreover, combination therapy prolonged the mean survival
time of xenografted mice. Taken altogether, our results suggest that combined
therapy with immunoliposomal gemcitabine and bevacizumab shows promise for the
treatment of glioblastoma multiforme.
PMID- 26554158
TI - In Vivo Near-Infrared Photodynamic Therapy Based on Targeted Upconversion
Nanoparticles.
AB - Upconversion nanoparticles have shown to be a promising prospect for biological
detection and photodynamic therapy (PDT). The focus of this study was to develop
an upconversion nanoparticle modified with a targeting peptide and
photosensitizer for near-infrared photodynamic therapy. To produce a tumor
targeting nanophotosensitizer with near-infrared excitation, NaYF4:Yb/Er
upconversion nanoparticles were first wrapped with O-carboxymethyl chitosan to
develop an upconversion rianoplatform and then chemically conjugated with the
photosensitizer pyropheophorbide-a (Ppa) and RGD peptide c(RGDyK). The
nanoparticle exhibited low dark toxicity and high biocompatibility. When injected
into the tail vein of tumor-bearing U87-MG mice, UCNP-Ppa-RGD revealed an
enhanced tumor-specific biodistribution and successful therapeutic effect
following near-infrared laser irradiation. It possessed a significantly deeper
therapeutic depth compared with conventional visible light triggered PDT using
Ppa. The results suggest that the nanoplatform has advantages in the spectral
application, and the constructed tumor-specific nanoparticle shows high clinical
potential to serve not only as a photodynamic imaging reagent but also as a
therapeutic agent for the treatment of large or deeply seated tumors.
PMID- 26554159
TI - Folate-Modified Lipoplexes Delivering the Interleukin-12 Gene for Targeting Colon
Cancer Immunogene Therapy.
AB - The incidence and mortality rate of colorectal cancer increase every year, making
it a serious threat to human health. Targeted immunogene therapy is a novel
method of treating this type of cancer. Colon cancer overexpresses folate
receptor alpha (FRalpha) and folate-modified liposomes for colon cancer
immunogene therapy may suppress tumor growth effectively. In this study, F
PLP/pIL12, an FRalpha-targeted lipoplex loading plasmid interleukin-12 (pIL12)
was prepared and its physicochemical properties were characterized. Then the
antitumor effect of F-PLP/pIL12 was studied in an in vivo model of CT-26 colon
cancer. F-PLP/pIL12 was associated with about 56.6% tumor growth inhibition
compared with the saline control. The production of malignant ascites was
significantly less pronounced than in controls, and there were fewer tumor
nodules and less overall tumor mass (P < 0.01). There was more IL12 expression
and IFN-gamma secretion in F-PLP/pIL12-treated tumor tissues, but there was less
FRalpha expression. The antitumor mechanisms involved inducing tumor cell
apoptosis, reducing microvessel density, and stimulating TNF-alpha secretion. In
addition, there were fewer M2 macrophages in the tumor microenvironment of
tissues stimulated with F-PLP/pIL12, which also activated the natural killer
cells. H&E staining of vital organs suggested that F-PLP/pIL12 is safe for use in
intraperitoneally administered cancer therapy. It was here concluded that F
PLP/plL12 may be a suitable targeting formulation for colon cancer immunogene
therapy.
PMID- 26554160
TI - Monolayer Graphene-Directed Growth and Neuronal Differentiation of Mesenchymal
Stem Cells.
AB - The development of an efficient platform for the growth and neuronal
differentiation of stem cells is crucial for autologous cell therapy and tissue
engineering to treat various neuronal disorders and neurodegenerative diseases.
In this study, we describe the use of highly uniform graphene platforms that
provide unique environments where unusual three-dimensional spheroids of human
mesenchymal stem cells (hMSCs) are formed, which is advantageous for the
differentiation of hMSCs into neurons. We suppose that graphene regulates the
interactions at cell-substrate or cell-cell interfaces, consequently promoting
the neurogenesis of hMSCs as well as the outgrowth of neurites, which was
evidenced by the graphene-induced upregulation of early neurogenesis-related
genes. We also demonstrated that the differentiated neurons from hMSCs on
graphene are notably sensitive to external ion stimulation, and their neuronal
properties can be maintained even after detaching and re-seeding onto a normal
cell culture substrate, suggesting the enhanced maturity of resulting neuronal
cells. Thus, we conclude that monolayer graphene is capable of regulating the
growth and neural differentiation of hMSCs, which would provide new insight and
strategy not only for autologous stem cell therapy but for tissue engineering and
regenerative medicine based on graphene scaffolds.
PMID- 26554161
TI - Cellular Uptake and Cytotoxic Effect of Epidermal Growth Factor Receptor Targeted
and Plitidepsin Loaded Co-Polymeric Polymersomes on Colorectal Cancer Cell Lines.
AB - Encapsulating chemotherapy drugs in targeted nanodelivery systems is one of the
most promising approaches to tackle cancer disease, avoiding side effects of
common treatment. In the last decade, several nanocarriers with different nature
have been tested, but polypeptide-based copolymers have attracted considerable
attention for their biocompatibility, controlled and slow biodegradability as
well as their low toxicity. In this work, we synthesized, characterized and
evaluated poly(trimethylene carbonate)-bock-poly(L-glutamic acid) derived
polymersomes, targeted to epidermal growth factor receptor (EGFR), loaded with
plitidepsin and ultimately tested in HT29 and LS174T colorectal cancer cell lines
for specificity and efficacy. Furthermore, morphology, physico-chemical
properties and plitidepsin loading were carefully investigated. A thorough in
vitro cytotoxicity analysis of the unloaded polymersomes was carried out for
biocompatibility check, studying viability, cell membrane asymmetry and reactive
oxygen species levels. Those cytotoxicity assays showed good biocompatibility for
plitidepsin-unloaded polymersomes. Cellular uptake and cytotoxic effect of EGFR
targeted and plitidepsin loaded polymersome indicated that colorectal cancer cell
lines were.more sensitive to anti-EGFR-drug-loaded than untargeted drug-loaded
polymersomes. Also, in both cell lines, the use of untargeted polymersomes
greatly reduced plitidepsin cytotoxicity as well as the cellular uptake,
indicating that the use of this targeted nanocarrier is a promising approach to
tackle colorectal cancer disease and avoid the undesired effects of the usual
treatment. Furthermore, in vivo assays support the in vitro conclusions that EGFR
targeted polymersomes could be a good drug delivery system. This work provides a
proof of concept for the use of encapsulated targeted drugs as future therapeutic
treatments for cancer.
PMID- 26554162
TI - A Nonenzymatic Electrochemical Immunosensor for Ultrasensitive Detection of Tumor
Biomarkers Based on Palladium Nanoparticles Conjugated Reduced Graphene
Nanosheets.
AB - A nonenzymatic electrochemical immunosensor based on palladium nanoparticles
conjugated reduced graphene nanosheets (Pd-GS) for sensitive detection of cancer
biomarker a-fetoprotein (AFP) is described. Primary antibody-AFP (Ab1) was
immobilized onto the surface of reduced graphene nanosheets (rGO) through an
amidation reaction between the carboxylic acid group of the rGO and the available
amine groups of Ab1. Pd-GS which was prepared by one-spot synthesis is employed
to immobilize secondary antibody (Ab2). The resulting Pd-GS-Ab2 conjugate was
used as a label for the immunosensor to detect AFP. The amplified immunoassay
exhibits high sensitivity, wide linear rang (0.01-10 ng/mL), low detection limit
(3.0 pg/mL), acceptable stability and reproducibility. And such immunosensor also
shows good recovery in the assay results for AFP in human serum samples.
PMID- 26554163
TI - Genetic Variation of BCL2 (rs2279115), NEIL2 (rs804270), LTA (rs909253), PSCA
(rs2294008) and PLCE1 (rs3765524, rs10509670) Genes and Their Correlation to
Gastric Cancer Risk Based on Universal Tagged Arrays and Fe3O4 Magnetic
Nanoparticles.
AB - With the help of Fe3O4 nagnetic nanoparticles as a solid carrier and an excellent
tool for separation, six SNP loci (rs2279115 of BCL2 gene, rs804270 of NEIL2
gene, rs909253 of LTA gene, rs2294008 of PSCA gene, rs3765524 and rs10509670 of
PLCE1 gene) were selected to evaluate their relation to gastric cancer risk.
Using two kinds of functionalized magnetic nanoparticles and universal tagged
arrays, the whole operation procedure including genome DNA extraction and SNP
genotyping was performed. All genotypes and allele frequencies were calculated in
the cases and controls respectively to analyze their association with gastric
cancer risk. Totally 200 pathological samples and 134 normal control subjects
were collected. The results demonstrated that four SNP loci (rs2279115, rs804270,
rs909253 and rs3765524) showed a potential association with gastric cancer risk,
and the other two (rs2294008, rs10509670) possessed no difference/association
among cases and controls.
PMID- 26554164
TI - Gelatin Nanofiber Matrices Derived from Schiff Base Derivative for Tissue
Engineering Applications.
AB - Electrospinning of water-soluble polymers and retaining their mechanical strength
and bioactivity remain challenging. Volatile organic solvent soluble polymers and
their derivatives are preferred for fabricating electrospun nanofibers. We report
the synthesis and characterization of 2-nitrobenzyl-gelatin (N-Gelatin)--a novel
gelatin Schiff base derivative--and the resulting electrospun nanofiber matrices.
The 2-nitrobenzyl group is a photoactivatable-caged compound and can be cleaved
from the gelatin nanofiber matrices following UV exposure. Such hydrophobic
modification allowed the fabrication of gelatin and blend nanofibers with
poly(caprolactone) (PCL) having significantly improved tensile properties. Neat
gelatin and their PCL blend nanofiber matrices showed a modulus of 9.08 +/- 1.5
MPa and 27.61 +/- 4.3 MPa, respectively while the modified gelatin and their
blends showed 15.63 +/- 2.8 MPa and 24.47 +/- 8.7 MPa, respectively. The
characteristic infrared spectroscopy band for gelatin Schiff base derivative at
1560 cm(-1) disappeared following exposure to UV light indicating the
regeneration of free NH2 group and gelatin. These nanofiber matrices supported
cell attachment and proliferation with a well spread morphology as evidenced
through cell proliferation assay and microscopic techniques. Modified gelatin
fiber matrices showed a 73% enhanced cell attachment and proliferation rate
compared to pure gelatin. This polymer modification methodology may offer a
promising way to fabricate electrospun nanofiber matrices using a variety of
proteins and peptides without loss of bioactivity and mechanical strength.
PMID- 26554166
TI - Preparing Your Team.
PMID- 26554165
TI - Expanding Scope.
PMID- 26554167
TI - Case Study: Christian Hospital EMS Community Health Access Program.
PMID- 26554168
TI - Becoming Clinically Competent.
PMID- 26554170
TI - The 6 rights.
PMID- 26554169
TI - Case Study: Community Healthcare Emergency Collaborative's standardized MIH-CP
curriculum.
PMID- 26554171
TI - Synergistic, Not Competitive.
PMID- 26554172
TI - TOP 10 POINTS for MIH-CP Success.
PMID- 26554173
TI - EMS TIME MACHINE.
PMID- 26554174
TI - A 'WICKED PROBLEM'.
PMID- 26554175
TI - HYPEREMESIS.
PMID- 26554176
TI - UNUSUAL OVERDOSE.
PMID- 26554177
TI - REDS & BLACKS.
PMID- 26554178
TI - Hanging from the balconies.
PMID- 26554179
TI - Runway disaster readiness.
PMID- 26554180
TI - Insulin pump therapy.
PMID- 26554181
TI - HOT WAX.
AB - High-potency marijuana wax smoked via dabbing is a newly encountered phenomenon
with relevance to prehospital care providers and emergency physicians.The extract
is only recently described in current peer-reviewed literature. The drug may
produce paranoia and psychosis and mimic psychiatric problems. The synthetic
process for this drug poses a risk for both fire and explosions creating burns
and blast injuries. These four cases were encountered in a single ED in Los
Angeles in a three-week period, suggesting this could be the tip of an emerging
public health problem. All four of these patients were complex cases requiring
advanced imaging and ICU care. Emergency personnel need to appreciate this new
trend and the implications for pre-hospital care, disposition and ED treatment of
these patients.
PMID- 26554182
TI - PREPARATION THROUGH SIMULATION.
PMID- 26554183
TI - MEETING EMERGING NEEDS.
PMID- 26554184
TI - One pill can kill.
PMID- 26554185
TI - Re-emerging infectious diseases.
PMID- 26554186
TI - SHORTER SHIFTS.
PMID- 26554187
TI - THE UPS & DOWNS OF EMS.
PMID- 26554188
TI - Dear health secretary, you can end the waits.
PMID- 26554189
TI - PEER SUPPORT CAN ENCOURAGE SELF-MANAGEMENT.
PMID- 26554190
TI - BUILD A CLEARER WORKFORCE DATASET.
PMID- 26554192
TI - RISING STARS: PATIENT INVOLVEMENT. A PROMISE TO SELF CARE.
PMID- 26554191
TI - RISING STARS: LEADERSHIP. UNITED BY A DESIRE FOR CHANGE.
PMID- 26554193
TI - RISING STARS: WORKFORCE. TIME TO BREATHE.
PMID- 26554194
TI - THE MEDICO-SCIENTIFIC MARGINALISATION OF HOMEOPATHY: INTERNATIONAL LEGAL AND
REGULATORY DEVELOPMENTS.
AB - The 2010 report of the United Kingdom Science and Technology Committee of the
House of Commons and the 2015 report of the Australian National Health and
Medical Research Council have overtaken in significance the uncritical Swiss
report of 2012 and have gone a long way to changing the environment of tolerance
toward proselytising claims of efficacy in respect of homeopathy. The inquiry
being undertaken in the United States by the Food and Drug Administration during
2015 may accelerate this trend. An outcome of the reports and inquiries has been
a series of decisions from advertising regulators and by courts rejecting
medically unjustifiable claims in respect of the efficacy of homeopathy. Class
actions have also been initiated in North America against manufacturers of
homeopathic products. The changing legal and regulatory environment is generating
an increasingly scientifically marginalised existence for homeopathy. That new
environment is starting to provide effective inhibition of assertions on behalf
of homeopathy and other health modalities whose claims to therapeutic efficacy
cannot be justified by reference to the principles of evidence-based health care.
This has the potential to reduce the financial support that is provided by
insurers and governments toward homeopathy and to result in serious liability
exposure for practitioners, manufacturers and those who purvey homeopathic
products, potentially including pharmacists. In addition, it may give a fillip to
a form of regulation of homeopaths if law reform to regulate unregistered health
practitioners gathers momentum, as is taking place in Australia.
PMID- 26554195
TI - DISCIPLINARY PROCEEDINGS AGAINST DOCTORS WHO ABUSE CONTROLLED SUBSTANCES.
AB - This study examined 27 reports from disciplinary tribunals throughout Australia
(save Tasmania where reports were not accessible) against medical practitioners
who abused narcotic analgesics (often combined with other drugs of addiction)
between 2010 and 2015. The reports revealed that 12 medical practitioners were in
their 40s; five in their 30s; and one person still in their 20s. Although the
majority were general practitioners (15 out of 27), other medical specialties
were also represented. Self-administered pethidine was the most prevalent opioid
(11 out of 27) and was the only drug used alone. Morphine was self-administered
by six doctors; the same number used high doses of Panadeine Forte, codeine and
codeine phosphate. Fentanyl was abused by five doctors. Surprisingly, fewer
medical practitioners appear to use propofol, and similar opiates such as
tramadol (Tramol) and/or oxycodone (Endone). The examination of cases suggests
lack of consistency in the imposition of professional sanctions and penalties by
the relevant tribunals. To remedy this problem, it is suggested that disciplinary
tribunals should apply the test of proportionality in the form of "reasonable
necessity" when deciding whether to remove or suspend the addicted medical
practitioner from the Register.
PMID- 26554196
TI - METHAMPHETAMINE: WHERE WILL THE STAMPEDE TAKE US?
AB - Methamphetamine, particularly "ice", currently preoccupies the media and there
are a range of government initiatives which seem to follow media interest. We
summarise the progress of government attention, briefly review health concerns
associated with methamphetamine use, and summarise the evidence for treatments,
including psychosocial interventions and medications. Amid concerns that
governments will seek to fund any promising initiative in order to be perceived
as responding to an epidemic, we caution that existing treatments should not be
abandoned in favour of untested but potentially attractive treatments. Harm
reduction and outpatient psychological treatments remain the mainstay of drug
treatment programs and may be more cost-effective and broader-reaching than
inpatient, medication-based detoxifications.
PMID- 26554197
TI - "NEVER REGARD YOURSELF AS ALREADY SO THOROUGHLY INFORMED": THE WITHDRAWAL OF ITS
INVITATION TO RODNEY SYME TO ADDRESS ITS 2015 CONGRESS BY THE ROYAL AUSTRALASIAN
COLLEGE OF PHYSICIANS.
AB - In 1628, William Harvey presented his revolutionary theory of the circulation to
ears at the Royal College of Physicians that had been deafened by the
unquestionable authority of Galen's teachings, from one and a half millennia in
the past. Harvey's theory was initially rejected, despite his faith in his
colleagues being eager for truth and knowledge, and never regarding themselves as
so well informed that they would not welcome "further information". Recently
Rodney Syme, the retired Melbourne urologist who for a long time has agitated for
the legalisation of assisted dying, and also challenged the authorities to apply
the current law in response to his admitted assistance to a number of
individuals, was invited to address the 2015 Congress of the Royal Australasian
College of Physicians. At the eleventh hour, the invitation to speak was
withdrawn. In this column, we trace the course of events leading to this
withdrawal of the invitation, and describe some of the correspondence to and from
the College in response to the withdrawal. We draw parallels between the
experiences of Harvey and Syme, and point to lessons to be learnt from the recent
episode of apparent unwillingness, on the part of an institution that seeks to
present itself as outward-looking, progressive and socially aware, to fulfil this
promise in the increasingly important area of the end-of-life.
PMID- 26554198
TI - AUSTRALIAN COMPETITION AND CONSUMER COMMISSION v ACN 117 372 915: SHOULD CONSUMER
LAW REGULATE DOCTOR-PATIENT RELATIONS IN A CORPORATISED HEALTH CARE SYSTEM?
AB - In April 2015, North J of the Federal Court of Australia made a finding of
unconscionable conduct against Advanced Medical Institute, a promoter and
provider of erectile dysfunction treatment, in a case concerning unfair contract
terms (Australian Competition and Consumer Commission vACN 117 372 915 Pty Ltd
(in liq) (formerly Advanced Medical Institute Pty Ltd) [2015] FCA 368). The
contract required a minimum 12-month commitment, with costs exceeding treatments
available from general practitioners, and made refunds available only after all
possible treatment plans were exhausted which included penile injections. This
column analyses that case, particularly in respect to the consumer law standards
of practice under which it was litigated. Those standards refer to patients as
"consumers" yet North J made extensive reference to the Good Medical Practice: A
Code of Conduct for Doctors in Australia, a text which refers to "patients", as
evidence of what constitutes appropriate professional conduct or practice for the
health profession. This column considers whether legislative and judicial
categorisation of patients (a class of people presumptively suffering, sick and
vulnerable) as "consumers" undermines the formal and informal protections
accorded to patients under normative systems of medical ethics such as those
represented by the Code. The case, it is argued, also illuminates the
contemporary tensions between the ethical, legal and human rights standards
required of doctors in their treatment of patients and the commercial interests
of businesses.
PMID- 26554199
TI - FURTHER ARGUMENTS FOR UNIFORM MEDICINES LEGISLATION.
PMID- 26554200
TI - Medical teams and the standard of care in negligence.
AB - Medical teams are essential to the delivery of modern, patient-centred health
care in hospitals. A collective model of responsibility envisaged by team care is
inconsistent with common law tort liability which focuses on the individual
rather than the team. There is no basis upon which a team can be liable as a
collective at common law. Nor does the common law'countenance liability for the
conduct of other team members absent some form of agency, vicarious liability or
non-delegable duty. Despite the barriers to the adoption of a team standard of
care in negligence, there is scope for team factors to have a role in determining
the standard of care so that being a team player is part and parcel of what it is
to be a competent professional. If this is the case, the skill set, and the
standard of care expected of the individual professional, includes skills based
on team models of communication, cross-monitoring and trust.
PMID- 26554201
TI - Prevention of non-communicable diseases in Australia: What role should public
health law play?
AB - This article explores the role of public health law in the prevention of non
communicable diseases in Australia. The growing urgency to address these diseases
is acknowledged and the definition of public health law explored. It is argued
that a broad definition of public health law would allow greater recognition of
the numerous ways that law can positively influence health outcomes at the
population level. Far from substantiating claims of over-reaching state
intervention, public health law in the 21st century in Australia should be viewed
as a more nuanced and protective strategy in promoting better public health.
Adopting this approach offers a way forward towards addressing rising rates of
non-communicable diseases, as well as significant health inequities, but it will
require greater political will and leadership.
PMID- 26554202
TI - Personal responsibility or shared responsibility: What is the appropriate role of
the law in obesity prevention?
AB - Sensitive to allegations of "nanny state" paternalism, Australian governments
support the doctrine that combating obesity is a matter of personal
responsibility. Policy-makers endorse the "holistic" approach to obesity
prevention, with a view to managing both sides of the nutritional energy
equation. This paradigm allows the food and drinks industry to deflect its
contributory responsibility for the epidemic and to avoid more stringent
regulatory intervention beyond existing self-regulatory and corporate social
responsibility regimes. This article argues that the industry must bear shared
responsibility for the extent of the obesity crisis, although it cannot bear sole
responsibility It defends the public interest case for more invasive, government
led regulation, reframing the crisis as one of public not individual burdens.
Mindful of the political risk associated with unfocused calls for regulatory
intervention, it articulates a set of regulatory principles to ensure that the
interests of consumers and industry are properly acknowledged prior to further
regulatory intervention. Finally, the article clarifies the subject, object and
content of possible regulatory initiatives, offering an evaluation of their
efficacy, practicality and fairness.
PMID- 26554203
TI - Assessing testamentary and decision-making capacity: Approaches and models.
AB - The need for better and more accurate assessments of testamentary and decision
making capacity grows as Australian society ages and incidences of mentally
disabling conditions increase. Capacity is a legal determination, but one on
which medical opinion is increasingly being sought. The difficulties inherent
within capacity assessments are exacerbated by the ad hoc approaches adopted by
legal and medical professionals based on individual knowledge and skill, as well
as the numerous assessment paradigms that exist. This can negatively affect the
quality of assessments, and results in confusion as to the best way to assess
capacity. This article begins by assessing the nature of capacity. The most
common general assessment models used in Australia are then discussed, as are the
practical challenges associated with capacity assessment. The article concludes
by suggesting a way forward to satisfactorily assess legal capacity given the
significant ramifications of getting it wrong.
PMID- 26554204
TI - Slice them up or slice them out? Legal liability for operating on the troublesome
patient in cosmetic surgery.
AB - The practice of cosmetic surgery is constructed as psychologically beneficial.
This therapeutic promise transforms cosmetic surgery into proper medical
treatment. However, there is emerging evidence that a significant percentage of
cosmetic surgery patients suffer from the condition of Body Dysmorphic Disorder
(BDD), which is characterised by excessive preoccupation with imagined or minor
defects in appearance. BDD is uniformly identified as a strong contra-indication
for cosmetic surgery. Articles in scholarly journals on cosmetic surgery identify
the "red flag" indicators to assist in screening out problem patients. However, a
close examination of the most common indicators reveals that most are ineffective
in identifying BDD in prospective patients. This article also considers the legal
liability of cosmetic surgeons who operate on patients with BDD, and concludes
that there is little likelihood of liability in trespass or negligence under
current Australia law.
PMID- 26554205
TI - State intervention in pregnancy: Should the law respond thus to the problem of
Foetal Alcohol Spectrum Disorder?
AB - Maternal consumption of alcohol during pregnancy poses a serious threat to the
life and health of unborn children. A submission to the Queensland Child
Protection Commission of Inquiry proposed that the State's Child Protection Act
be extended to allow intervention to protect unborn children, with a court
empowered to order that the mother be taken into care pending birth, or otherwise
impose conditions upon conduct. This article considers whether or not the law in
Australia should respond to the problem of Foetal Alcohol Spectrum Disorder by
allowing the involuntary treatment and detention of pregnant women. The focus, is
upon intervention in response to existing pregnancies. Using a utilitarian
critical framework, this article evaluates the merits of creating powers to
compel treatment and detain in light of current legal principles relating to
maternal autonomy and the legal position of the foetus. The common law position
is considered, as well as current legislation allowing intervention in autonomous
decision-making and whether or not these statutes may be enlivened to prevent
foetal harm. This article suggests that permitting involuntary treatment and
detention would be a significant policy change. It weighs up benefits and
potential harms in considering whether or not such action would result in the
most "good".
PMID- 26554206
TI - Criminal injuries compensation: Protecting vulnerable applicants.
AB - Each year large numbers of persons sustain injury as a consequence of criminal
behaviour. All Australian jurisdictions provide State-funded compensation to
those harmed in this way. In the case of vulnerable applicants, the Assessor must
consider not simply the appropriate and fair amount of compensation, but also how
a person will be affected by the payment of compensation. Often a vulnerable
applicant will apply through a guardian or a public trustee, although many apply
in person. This article examines the use of legislative provisions, rules,
regulations and practices in the various Australian jurisdictions in relation to
how vulnerable applicants may be protected and supported once an award of
compensation is made in their favour. Most jurisdictions provide for a mechanism
by which compensation may be held in trust where the Assessor considers that the
applicant may be unable to manage his or her financial affairs in his or her best
interests. This article explores what factors are taken into account by Assessors
in the absence of and pursuant to legislative directions. It considers how the
approach may vary across jurisdictions and creative approaches to financial
protection of vulnerable applicants.
PMID- 26554207
TI - Unwanted pregnancy: The outer boundary of "treatment injury" in the New Zealand
accident compensation scheme.
AB - The New Zealand accident compensation scheme has undergone many changes over the
years and these changes are reflected in the way unwanted pregnancy claims have
been dealt with under the regime. The New Zealand Supreme Court has now confirmed
that pregnancy as a result of medical misadventure can be classified as a
personal injury under the scheme with the result that the woman patient is
entitled to the benefits of the scheme and may not pursue a common law claim
against the medical practitioner. This article analyses two recent decisions in
the context of consideration of the changing fortunes of the unwanted pregnancy
claims.
PMID- 26554208
TI - Patient's right to information under the New Zealand Code of Rights.
AB - The Code of Health and Disability Services Consumers' Rights includes right 6:
the "Right to be Fully Informed". Analysis of the Health and Disability
Commissioners' opinions between 2008 and 2013 that have discussed right 6 shows
that the duties on providers have increased in a number of areas: the need to
inform of risks, including provider-inherent risks; open disclosure of adverse
events; ongoing need to inform consumers throughout the therapeutic relationship;
information of all available options; and provision of sufficient time between
disclosure of information and obtaining informed consent for provision of health
services. Following a breach opinion, the Human Rights Review Tribunal and the
Health Practitioners Competency Tribunal, on occasion, have the opportunity to
consider the case but their role in law development is limited compared with that
of the Commissioner. The limitations of law development in this manner are
discussed.
PMID- 26554209
TI - A way through the dark and thorny thickets? The adjudication of "serious injury"
under the narrative tests in the Transport Accident Act 1986 (Vic) and the
Workplace Injury Rehabilitation and Compensation Act 2013 (Vic).
AB - The so-called "narrative" test provides the means by which injured persons who
satisfy the statutory and common law definition of "serious injury" may bring
proceedings for common law damages under s 93 of the Transport Accident Act 1986
(Vic) and s 134AB of the Accident Compensation Act 1985 (Vic) (or, for injuries
after 1 July 2014, under ss 324-347 of the Workplace Injury Rehabilitation and
Compensation Act 2013 (Vic)). These are among the most litigated provisions in
Australia. This article outlines the legislative and political background to
these provisions, the provisions themselves, and an account of the statutory and
common law requirements needed to satisfy the provisions.
PMID- 26554210
TI - Victim Tracking at a Major Incident. A fire ravages a family--what's the best way
to keep the patients straight?
PMID- 26554211
TI - Be a Leader, Not a Friend. Failing to deal with employee problems head-on is a
recipe for disaster.
PMID- 26554212
TI - Considerations of a Rural CP Program. What goes into operating outside the urban
environment?
PMID- 26554213
TI - Just-in-Time Stock Control. A well organized logistics system keeps your agency
moving and equipped.
PMID- 26554214
TI - How to Conduct the Patient Interview. Communicating successfully with patients
requires strategy, flexibility and practice.
AB - As community paramedicine and other nonemergent initiatives become commonplace in
EMS, caregivers are going to need communication skills that go beyond SAMPLE
checklists. A minimalist approach to dialogue with patients, considered preferred
if not essential in what was once almost exclusively a light-and-sirens
environment, isn't acceptable when prehospital interventions require a thorough
understanding not only of chief complaints, but also how the physical part of
illness and injury is framed by the patient's environment. Be considerate, be as
thorough as time permits, and pay attention! Next time we'll talk about
interviewing prospective employees.
PMID- 26554215
TI - Alternative Airways: The Who, What, Where, When and How.
PMID- 26554216
TI - Taking the Fear Out of RSI/DSI.
PMID- 26554217
TI - Letting the Days Go By. How a background in EMS fostered a successful marriage.
PMID- 26554218
TI - [Antimicrobial Susceptibility of Streptococcus pneumoniae Isolated from 8
Hospitals in Chiba Prefecture Following the Introduction of 7-valent Pneumococcal
Conjugate Vaccine].
AB - We investigated the susceptibility of Streptococcus pneumoniae isolated from 8
hospitals in Chiba prefecture during 2012-2013. We further checked the serotype
of S. pneumoniae derived from invasive pneumococcal disease (IPD). We tested for
antimicrobial susceptibility in 256 clinical isolates (137 isolates from
children, 119 isolates from adults) for 25 drugs. In MIC50 and MIC90, there were
very little differences between children and adults, but there were 3 isolates
from adults which were resistant to levofloxacin. The most major serotypes were
15A and 3 in IPD. Additionally there was no isolation of the type contained in
the 7-valent pneumococcal conjugate vaccine in children, so it seems that the
vaccination is very effective for children. Furthermore, in contrast with our
preceding report, a decreasing was seen in PCG resistant proportion of S.
pneumoniae. The maximum PCG-MIC was 2 MUg/mL.
PMID- 26554219
TI - [Molecular Detection Methods for Vibrio parahaemolyticus in Seafood].
AB - To detect Vibrio parahaemolyticus in seafood, we evaluated efficient combinations
of molecular methods with DNA extraction methods using heat extraction and
alkaline heat extraction, and PCR, real-time PCR and loop-mediated isothermal
amplification (LAMP) assays were performed targeting V parahaemolyticus species
specific genes (tlh and rpoD) and pathogenic factors genes (tdh and trh). The
species-specific genes were detected in all combinations of two strains (a tdh *
trh1-positive strain and a trh2-positive strain), two kinds of shellfish (oyster
and bloody clams) and molecular methods with tlh-real time PCR or rpoD-LAMP
assays with DNA of alkaline heat extraction at 85-145cfu/test level. tdh was
detected in both seafoods with real time PCR assay with DNA of heat extraction at
85cfu/test level, and detected with the LAMP and real time PCR assays with DNA of
alkaline heat extraction at 85cfu/test level. Detection of both trh1 and trh2
with the PCR assay with DNA of alkaline heat extraction was comparatively high
though trh2 was detected with the LAMP assay with DNA of alkaline heat extraction
at 145cfu/test level. It, however, is necessary to investigate more sensitive trh
detection methods. In this study, the results indicated that tlh-real time PCR or
rpoD-LAMP, tdh-real time PCR and tdh-LAMP assays with DNA of alkaline heat
extraction are relatively-sensitive methods to detect V. parahaemolyticus in
seafood.
PMID- 26554220
TI - [Effect of Human Serum Albumin on Endotoxin Scattering Photometry].
AB - PURPOSE: Laser scattering photometry (ESP) is a newly developed plasma endotoxin
assay method using horseshoe crab amebocyte lysate (AL) that recognizes small
particles produced by polymerization of coagulin under the stirring conditions at
1000rpm. We elucidated the effect of human serum album (HSA) in the ESP method.
METHODS: AL was dissolved with 630MUL of the specimen and a 200-MUL aliquot was
used for ESP; this conventional protocol was regarded as the ESP630 method. The
ESP210 method was also used, i. e. AL was dissolved with 210MUL of the specimen
and a 200-MUL aliquot was used for ESP. RESULTS: Water induced the agglutination,
and HSA prolonged the agglutination time depending on its concentration
especially in the ESP630 method. The water-induced agglutination was not
inhibited by the addition of anti-factor C monoclonal antibody, and amidinophenyl
benzoate hydrochloride, used as a clotting enzyme inhibitor, intensively
inhibited the water-induced agglutination. Therefore, the water-induced
agglutination was suggested to be a false-positive reaction to non-specific
activation of the clotting enzyme. The HSA-induced prolongation of the reaction
in the national health insurance-covered turbidimetric kinetic assay was not
observed. CONCLUSION: HSA or plasma protein seemed to affect the result,
especially in the ESP630 method, and a non-specific reaction was found to occur
in the ESP methods.
PMID- 26554221
TI - [A Study on the Clinical Course and Antimicrobial Susceptibility of Mycoplasma
pneumoniae in a Community Hospital].
AB - Recently, reports of macrolide-resistant strains of Mycoplasma pneumoniae have
been increasing. We examined the antimicrobial susceptibility and clinical
significance in patients with M. pneumoniae. Seventy patients in whom M.
pneumoniae was detected from 2008 to 2012 were included in the study, and
compared with patients between 2003 and 2006. There were no macrolide-resistant
strains detected in the 38 strains from 2003 to 2006, but from 2008 to 2012, out
of the 70 strains 46 (65.7%) were positive for the macrolide-resistant 23SrRNA
gene mutant (A2063G), which is consistent with recent trends. Comparison between
cases of macrolide resistant strains and those with macrolide sensitive strains
did not reveal a significant difference in the hospitalization period. The
approximate duration of fever was 7 days; for both cases: those who received
effective antimicrobials as the initial therapy, and for those with macrolide
sensitive strains. It seems that the duration of fever depends on susceptibility
to the initial antimicrobials regardless of macrolide resistance. There were some
patients that improved without use of quinolone or minocycline, though macrolide
resistant strains were detected. These patients did not reveal extension of the
hospital stay nor aggravation of pneumonia. This suggests that a macrolide drug
might be the first choice drug for M. pneumoniae even now, and a change of drug
should be considered when fever duration is long.
PMID- 26554222
TI - [A Case of Clinically Mild Encephalitis/encephalopathy with a Reversible Splenial
Lesion due to Dengue Fever].
AB - Clinically mild encephalitis/encephalopathy with a reversible splenial lesion
(MERS) has been recently proposed as a clinical-radiological syndrome. Several
causes of MERS have been reported including infectious diseases. We present
herein on a case of MERS induced by dengue fever in a Japanese traveler. A 48
year-old male returning from Thailand and Cambodia was admitted for an unknown
fever. Following admission, the dengue virus was diagnosed with a positive RT-PCR
result. On day 5 of the illness, regardless of reduced fever, weakness suddenly
developed in both upper limbs. A cerebral MRI showed hyperintensities in the
splenium of the corpus callosum on T2-weighted and diffusion-weighted images. The
symptoms resolved completely within two days of onset. The patient was diagnosed
as having MERS due to the MRI features and the mild clinical course. Although
only a few cases of MERS caused by dengue fever have been reported, the condition
is possibly underdiagnosed. It is hypothesized that dengue fever can induce MERS
as dengue fever can cause increased endothelium permeability and hypo-sodium
which have been proposed in the pathogenesis of MERS. However, there is currently
limited evidence for this. Further research is recommended to demonstrate a
causal association between dengue fever and MERS.
PMID- 26554223
TI - [Pulmonary Nocardiosis due to Nocardia asiatica in a Patient with ANCA-associated
Vasculitis].
AB - Nocardia asiatica is a rare causative organism responsible for opportunistic
infection, and was first reported by Kageyama et al. in 2004. We report herein on
a very rare case of N. asiatica infection in a 76-year old male patient with ANCA
associated vasculitis and a history of pulmonary tuberculosis. The patient
developed pulmonary nocardiosis due to N. asiatica while receiving glucocorticoid
therapy. Chest computed tomography demonstrated multiple granules and cavity
formation mainly in the left lower lobe. From the images, we suspected
opportunistic infection, possibly pulmonary tuberculosis or pulmonary damage due
to ANCA-associated vasculitis. Nocardia sp. was detected from a bronchoalveolar
lavage culture and N. asiatica was identified by 16S ribosomal DNA gene
sequencing. Cranial magnetic resonance imaging revealed no abnormality.
Administration of Doripenem (1.5g/day) and sulfamethoxazole-trimethoprim (4g/day)
was started, and the patient's clinical and imaging findings promptly improved.
Thereafter, he received sulfamethoxazole-trimethoprim (2g/day) and prednisolone
(10 mg/day) as maintenance therapy for ANCA-associated vasculitis for more than
one year, and there has since been no recurrence of the Nocardia infection.
PMID- 26554224
TI - [A Case of Osteomyelitis with a Granulomatous Lesion Caused by Salmonella
Infantis].
AB - We report herein on a case of osteomyelitis with a granulomatous lesion caused by
Salmonella enterica subsp. enterica serovar Infantis (Salmonella Infantis). A 30
year-old Japanese man presenting with a 3-week history of left ankle pain was
suspected to have either a tumor or osteomyelitis, on the basis of imaging study
findings. He underwent a surgical resection of the lesion. Histopathological
examination revealed a granuloma. However, S. Infantis was cultured from the
biopsy sample. Cefazolin was initially administered as empirical therapy. We
switched the antibiotic to ampicillin on the basis of the anitibiotic
susceptibility test results. Six weeks after intravenous ampicillin therapy, we
switched to oral ciprofloxacin. He has had no recurrence in the 3 years after
treatment completion. Salmonella osteomyelitis is rare and this pathogen seldom
causes a granulomatous lesion. According to the findings in our case, Salmonella
spp. should be considered as one of the differential diagnoses for granulomatous
lesions in the bone.
PMID- 26554225
TI - [Bilateral Granulomatous Renal Masses after Intravesical BCG Therapy for Non
muscle-invasive Bladder Cancer and Carcinoma in Situ of the Upper Urinary Tract:
A Case Study].
AB - Bacillus Calmette-Guerin (BCG) is commonly used not only as an infant
vaccination, but also as a treatment of and prophylaxis to prevent recurrence in
the management of non-muscle-invasive bladder cancer. However, the use of "live"
BCG is sometimes complicated by associated infection. We present a case study of
a 77-year-old man who developed bilateral renal masses after intravesical BCG
therapy was initiated in November 2013, following transurethral resection of non
muscle-invasive bladder cancer. After four courses of BCG (Japan strain, 80 mg
per treatment) instillations, a computed tomography examination for febrile
episodes showed multiple bilateral renal masses, accompanied by a histological
finding of a granulomatous reaction. An acid fast bacterium was cultured from
only urine among blood, urine, and microscopic samples. Using the cultured
strain, BCG infection was confirmed by the specific gene deletion pattern based
on allele-specific polymerase chain reaction analysis. Anti-tuberculosis
treatment, including isoniazid (300 mg/day), rifampicin (600 mg/day), and
ethambutol (1,000 mg/day), was started for the BCG-related renal granuloma in
February 2014. After 3 months, antibiotic therapy was discontinued owing to
severe appetite loss, though the masses remained solid. No rapid growth has been
detected after anti-BCG therapy. Intravesical BCG therapy is recommended
worldwide as one of standard treatments for non-muscle-invasive bladder cancer.
We should closely observe patients undergoing this approach for emerging BCG
complications.
PMID- 26554226
TI - [Concern Regarding a Mumps Epidemic Through Antibody Screening in College
Students].
PMID- 26554227
TI - [A 1-year-old Girl in Whom Nontypeable Haemophilus influenzae was Isolated from
Her Blood After Completion of H. influenzae Type b Vaccine--Importance of
Serotyping of Invasive of H. influenzae Strain].
PMID- 26554228
TI - [Clinical Manifestations of Japanese Spotted Fever: Multi-institution Study in
Endemic Areas in Japan].
PMID- 26554229
TI - Monosialotetrahexosylganglioside Inhibits the Expression of p-CREB and NR2B in
the Auditory Cortex in Rats with Salicylate-Induced Tinnitus.
AB - BACKGROUND: This study investigated the effects of
monosialotetrahexosylganglioside (GM1) on the expression of N-methyl-D-aspartate
receptor subunit 2B (NR2B) and phosphorylated (p)-cyclic AMP response element
binding protein (CREB) in the auditory cortex of rats with tinnitus. METHODS:
Tinnitus-like behavior in rats was tested with the gap prepulse inhibition of
acoustic startle paradigm. We then investigated the NR2B mRNA and protein and p
CREB protein levels in the auditory cortex of tinnitus rats compared with normal
rats. RESULTS: Rats treated for 4 days with salicylate exhibited tinnitus. NR2B
mRNA and protein and p-CREB protein levels were upregulated in these animals,
with expression returning to normal levels 14 days after cessation of treatment;
baseline levels of NR2B and p-CREB were also restored by GM1 administration.
CONCLUSIONS: These data suggest that chronic salicylate administration induces
tinnitus via upregulation of p-CREB and NR2B expression, and that GM1 can
potentially be used to treat tinnitus.
PMID- 26554230
TI - Total Adiponectin, Adipocyte Fatty Acid Binding Protein, Fibroblast Growth Factor
21 and Proinflammatory Marker Levels During the Early Stage of Acute Pancreatitis
-A Pilot Study.
AB - BACKGROUND: Recently, an increasing interest has been extended to the secretory
products of fat tissue adipokines and their role in the course of acute
pancreatitis (AP). The study aimed to evaluate the levels of adiponectin (ADP),
adipocyte fatty acid binding protein (A-FABP), fibroblast growth factor (FGF 21),
and selected proinflammatory markers during the early stage of acute
pancreatitis. The parameters were measured for identification of the patients
with the high risk of severe AP. METHODS: 84 subjects (47 males, 37 females) with
AP were divided into the subgroups according to body mass index (BMI), disease
severity score (mild AP vs. severe AP) and computer tomography severity index
score (CTSI A vs. CTSI B vs. CTSI C). All laboratory examinations were determined
on day 1 and day 4 after admission. Adipokines were analyzed using the ELISA kit
methods. RESULTS: No significant variance was found in adipokine levels between
subjects with mild and severe AP, but C-reactive protein (CRP) and interleukin 6
(IL-6) were significantly elevated in patients with severe AP on day 4 (CRP
medians: 209.8 mg/L vs. 51.2 mg/L, p < 0.000; IL-6 medians: 79.5 ng/L vs. 25.9
ng/L, p < 0.01). FGF 21 medians were distinctly higher on day 1 in all observed
subgroups compared to day 4 (mild AP: 669.9 ng/L vs. 261.7 ng/L; severe AP: 619.4
ng/L vs. 468.0 ng/L; CTSI A: 631.4 ng/L vs. 246.2 ng/L; CTSI B: 2226.3 ng/L vs.
693.1 ng/L; CTSI C: 572.6 ng/L vs. 310.8 ng/L). Similarly, this phenomenon was
found for A-FABP and IL-6 as well. A-FABP and FGF 21 levels decreased during the
first four days together, but independently of the IL-6 decline, regardless of AP
severity. CONCLUSIONS: Elevated levels of CRP and IL-6 in subjects with severe
form of AP on day 4 indicate a diagnostic utility of both parameters in the
disease severity prediction. Increased FGF 21 at admission compared to day 4
suggests its potential role as an immediate response gene during pancreatic
injury. The dynamics of FGF 21 and A-FABP levels probably reflect the improvement
of clinical condition in the early stage of AP.
PMID- 26554231
TI - Circulating MicroRNAs as Promising Biomarkers in Forensic Body Fluids
Identification.
AB - In the last 20 years, DNA molecular analysis has become an important tool in
forensic investigations. Currently, it is possible to genotype all types of
biological traces or micro-traces containing nucleated cells if they are not
entirely destroyed, chemically or bacterial. The DNA profiling is based on the
short tandem repeats (STR) and aids in human identification from biological
samples, but due to the recent advances in molecular genetics, other biomarkers
have been proposed to be used in forensic identifications, such as: messenger
RNA(mRNA), microRNA (miRNA), and DNA methylation. MicroRNAs are part of a class
of small, non-coding RNAs that contain 19 - 23 nucleotides. MicroRNAs play an
important role in the regulation of biochemical mechanisms, cell proliferation
and other cellular mechanisms in the human body. The level of microRNAs in blood
and other body fluids (urine, saliva, sweat) increases as a consequence of
altered pathophysiological mechanisms and tissue insult. Moreover, the stability
and specificity of microRNAs make them ideal candidates for circulating
biomarkers in forensic bioanalytical procedures. In this review, we want to
present a brief overview of biogenesis, functions, and applications of miRNAs in
the identification of forensic body fluids.
PMID- 26554232
TI - Homocysteine in Chronic Heart Failure.
AB - BACKGROUND: Hyperhomocysteinemia (HHcy) is a risk factor for cardiovascular
disease. Homocysteine (Hcy) can generate reactive oxygen species. Oxidative
stress enhances the progression of cardiovascular diseases and has long been
implicated in chronic heart failure (CHF). This study was to evaluate the
predictive value of plasma Hcy levels in CHF patients and to investigate the
relationship with other markers. METHODS: We investigated 134 adult CHF patients
(males, 74%; mean age, 60.0 +/- 14.8 years). Echocardiography, 6-min walk test,
and determination of peak oxygen consumption (VO(2max)) were performed. Serum
levels of Hcy and other markers were determined. Clinical follow-up was performed
at five years. RESULTS: The mean Hcy level was markedly elevated in CHF patients
(18.4 +/- 7.83 MUmol/L) vs. control subjects (12.8 +/- 3.14 MUmol/L; p < 0.01),
whatever the etiology of heart failure (non-ischemic, n = 74, 17.6 +/- 7.8
MUmol/L; ischemic, n = 60, 19.3 +/- 7.8 MUmol/L). Hcy correlated negatively with
VO(2max) and positively with BNP. Kaplan-Meier analysis showed that CHF patients
with HHcy > 15 MUmol/L had a significantly lower survival rate (35% vs. 56%, log
rank p < 0.05) than those without HHcy. Cox regression revealed that HHcy and hs
CRP were the most powerful independent predictors of mortality in patients at 5
years. CONCLUSIONS: HHcy is common in CHF patients and is associated with an
increased risk of death at 5 years. We suggest that Hcy can be used in clinical
practice as an additional risk marker in CHF patients with various medications.
PMID- 26554233
TI - Estimation of Aluminum, Arsenic, Lead and Nickel Status in the Samples of
Different Cigarettes and their Effect on Human Health of Irish Smoker
Hypertensive Consumers.
AB - BACKGROUND: Cigarette smoking interferes with the metal homeostasis of the human
body, which plays a crucial role for maintaining health. A significant flux of
heavy metals, among other toxins, reaches the lungs through smoking. In the
present study, the relationship between toxic element (TE) exposure via cigarette
smoking and hypertension incidence in population living in Dublin, Ireland is
investigated. METHODS: The toxic elements arsenic (As), aluminum (Al), nickel
(Ni), and lead (Pb) were determined in biological (scalp hair and, blood) samples
of patients diagnosed with hypertension who are smokers living in Dublin,
Ireland. These results were compared with age- and sex-matched healthy, nonsmoker
controls. The different brands of cigarettes (filler tobacco, filter, and ash)
consumed by the studied population were also analyzed for As, Al, Ni, and Pb. The
concentrations of TEs in biological samples and different components of the
cigarettes were measured by inductively coupled plasma atomic emission
spectrophotometer after microwave-assisted acid digestion. The validity and
accuracy of the methodology were checked using certified reference materials.
RESULTS: The recovery of all the studied elements was found to be in the range of
97.8% - 99.6% in certified reference materials. The filler tobacco of different
branded cigarettes contains As, Al, Ni, and Pb concentrations in the ranges of
0.432 - 0.727 MUg, 360 - 496 MUg, 0.715 - 1.52 MUg, and 0.378 - 1.16
MUg/cigarette, respectively. The results of this study showed that the mean
values of Al, As, Ni, and Pb were significantly higher in scalp hair and blood
samples of hypertensive patients in relation to healthy controls, while the
difference was significant in the case of smoker patients (p < 0.001). The levels
of TEs were 2 - 3-fold higher in scalp hair and blood samples of non-hypertensive
smoker subjects as compared to nonsmoker controls. CONCLUSIONS: The high exposure
of toxic metals as a result of cigarette smoking may be synergistic with risk
factors associated with hypertension.
PMID- 26554234
TI - Serum Gamma-Glutamyltransferase and Ferritin are Related to Insulin Resistance: A
Population-Based Study.
AB - BACKGROUND: Recent studies have reported the association of gamma
glutamyltransferase (GGT) or ferritin with metabolic disorders. However, there
has been no large population-based study assessing the interrelationship of these
two biomarkers and their association with insulin resistance. METHODS: A
population-based cross-sectional study was carried out in the Chinese Liangshan
Yi ethnic group. 756 eligible subjects, aged 20 - 74 years, were included.
Demographic characteristics, medical history, and lifestyle data were collected
through questionnaires. An oral glucose tolerance test was performed. Laboratory
tests, including GGT and ferritin measurements, were conducted. Spearman's rank
order correlation and multiple linear regression were used to calculate the
correlation of GGT with ferritin and their relationship to the insulin resistance
index determined by the homeostasis model assessment (HOMA-IR). RESULTS: A
significant correlation between serum GGT and ferritin levels was found (r =
0.393, p < 0.05). GGT was independently correlated with ferritin after adjustment
for age, gender, place of residence, education, income, leisure-time physical
activity, drinking, smoking, body mass index, systolic pressure, diastolic
pressure, hepatitis B surface antigen, anti-hepatitis C virus, aspartate
aminotransferase, alanine aminotransferase, total cholesterol, low density
lipoprotein-cholesterol, triglyceride, high density lipoprotein-cholesterol,
fasting plasma glucose, 2-hour postprandial plasma glucose, uric acid, and
urinary albumin to creatinine ratio (p < 0.05). Positive correlations were
established between HOMA-IR and GGT (standard beta = 0.252) or ferritin (standard
beta = 0.181) after adjustment for multiple confounders (p < 0.05). CONCLUSIONS:
Insulin resistance is associated with elevated serum GGT and ferritin. A combined
determination of GGT and ferritin might lead to better predictions in patients
with insulin resistance.
PMID- 26554235
TI - Decreased Expression of Aquaporin-1 in Lung Tissue of Silicotic Rats.
AB - BACKGROUND: Aquaporin-1 (AQP-1), found in the early 1990s, a water channel
protein in the cell membranes of mammals, has been reported to play an important
role in water balance of the respiratory system. However, there are a few studies
about the role of AQP in occupational pulmonary disease such as silicosis. This
study is to explore the information of aquaporin-1 (AQP-1) in the pathogenesis of
silicosis by examining AQP expression, distribution, and location in the lung
tissue of a silicotic rat model. METHODS: Male Wistar SPF rats were divided
randomly into the following 8 groups (n = 8 per group): (1) saline control group:
instillation of 1 mL sterile physiological saline; (2) silica groups (ld, 7d,
14d, 28d, 42d, 56d): instillation of a suspension of 50 mg silica dust in a total
volume of 1 mL sterile physiological saline; (3) the normal control group without
treatment. Immunohistochemistry, immunofluorescence, and western blot were used
to detect distribution and expression of AQP-1 in the lung tissue of rats exposed
to silica. RESULTS: The expression of AQP-1 between normal and the saline control
rats showed no significant difference, but was decreased in the silicotic model
rats' lung. CONCLUSIONS: The expression of AQP-1 decreased in silicotic rats,
which suggests that AQP-1 may play an important role in the formation of
silicosis.
PMID- 26554236
TI - A Functional Single-Nucleotide Polymorphism in Pre-microRNA-196a2 is Associated
with Atrial Fibrillation in Han Chinese.
AB - BACKGROUND: MicroRNAs are small, single-stranded, non-protein-coding RNAs of
about 22 nucleotides. MicroRNA molecules have been identified to play key roles
in a broad range of physiologic and pathologic processes. Polymorphisms in the
corresponding sequence space are likely to make a significant contribution to
phenotypic variation. A T/C genetic variant (rs11614913) in the pre-miR-196a2
sequence could alter mature miR-196a expression and target mRNA binding. The aim
of the present study is to evaluate the relationship between this polymorphism
and atrial fibrillation (AF). METHODS: A total of 123 participants were enrolled,
65 AF patients were confirmed with electrocardiogram (ECG) or dynamic
electrocardiography, 58 normal individuals were assigned to the control group.
Genotypes of the premiR-196a2 were distinguished using the method of polymerase
chain reaction-restriction fragment length polymorphism (PCR-RFLP) assay.
RESULTS: The distribution of the pre-miR-196a2 genotypes (TT, TC, and CC) was
15.38%, 46.15%, and 38.46% in the AF group and 39.66%, 46.55%, and 13.79% in the
controls, respectively (p = 0.0011). Compared with the TT genotype, the C allele
carriers (TC+CC genotypes) had a 3.968-fold increased risk of AF (adjusted OR =
3.968, 95% CI = 1.633 - 9.644, p = 0.002). AF patients with the TC+CC genotype
had greater left atrial dimension than did patients with the TT genotype (42.10
+/- 8.74 vs. 35.13 +/- 8.16, p = 0.0224). CONCLUSIONS: Our data support that the
pre-miR-196a2 polymorphism is associated with AF, and the C allele is a risk
factor for AF.
PMID- 26554237
TI - D-dimer, a Potential Marker for the Prediction of Severity of Acute Pancreatitis.
AB - BACKGROUND: A number of experimental studies have been put forth suggesting an
important role of the hemostatic system in acute pancreatitis (AP) in the recent
past. However, meaningful studies on clinical values of parameters of the
hemostatic system in predicting pancreatitis associated complications are still
scarce. In the current investigation, we evaluated the role of D-dimer to predict
the severity of acute pancreatitis on day 1 of admission to the hospital.
METHODS: A total of 160 subjects (75 mild AP + 35 severe AP + 50 healthy
controls) were examined in the study. Biochemical and hemostatic parameters were
compared between various groups of subjects on day 1 and day 3 of admission to
the hospital. RESULTS: Levels of prothrombin time (PT), fibrinogen, D-dimer, and
C-reactive protein (CRP) were significantly higher in the severe AP group than in
the mild AP group. Antithrombin III (AT III) levels were significantly lower in
the severe AP group than in the mild AP group. D-dimer levels were 5 times higher
than the reference limit in the severe group and 1.7 times higher than the
reference limit in the mild group. This difference was statistically highly
significant (< 0.0001). A positive correlation between D-dimer and CRP, D-dimer
and fibrinogen, and between D-dimer and PT was recorded. CONCLUSIONS: Estimation
of the levels of D-dimer on admission day provides an accurate method for the
identification of patients who will develop systemic complications in the further
course of AP.
PMID- 26554238
TI - Rs219780 SNP of Claudin 14 Gene is not Related to Clinical Expression in Primary
Hyperparathyroidism.
AB - BACKGROUND: The CLDN14 gene encodes a protein involved in the regulation of
paracellular permeability or ion transport at epithelial tight junctions as in
the nephron. The C allele of the rs219780 SNP (single nucleotide polymorphism) of
CLDN14 has been associated with renal lithiasis, high levels of parathormone
(PTH), and with low bone mineral density (BMD) in healthy women. Our aim is to
study the relationship between rs219780 SNP of CLDN14 and renal lithiasis,
fractures, and BMD in patients with primary hyperparathyroidism (PHPT). METHODS:
We enrolled 298 Caucasian patients with PHPT and 328 healthy volunteers in a
cross-sectional study. We analysed anthropometric data, history of fractures or
kidney stones, biochemical parameters including markers for bone remodelling,
abdominal ultrasound, and BMD and genotyping for the rs219780 SNP of CLDN14.
RESULTS: We did not find any difference in the frequency of fractures or renal
lithiasis between the genotype groups in PHPT patients. Moreover, we did not find
any relationship between the T or C alleles and BMD or biochemical parameters.
CONCLUSIONS: rs219780 SNP of CLDN14 does not appear to be a risk factor for the
development of PHPT nor does it seem to influence the clinical expression of
PHPT.
PMID- 26554239
TI - Evaluation of the Post-Mortem Superoxide Dismutase, Glutathione Peroxidase
Activities and Malondialdehyde Level in Renal and Brain Tissues: Is it Possible
to Estimate Post-Mortem Interval Using these Parameters?
AB - BACKGROUND: Estimation of the postmortem interval (PMI) is one major area of
interest in forensic medicine. We investigated changes of superoxide dismutase
(SOD) and glutathione peroxidase (GSH-Px) activities and malondialdehyde (MDA)
levels in rat brain and renal tissues in the PMI and examined the potential
changes which may be useful for an estimation of time of death. METHODS: Forty
albino female rats were used in this study. The rats were sacrificed and divided
into five groups: Group 1: 0 hour, Group 2: 6 hours, Group 3: 12 hours, Group 4:
24 hours, and Group 5: 48 hours. The rats were housed at room temperature (22
degrees C +/- 2 degrees C) for the defined period for each group, and their
brains and kidneys were excised. RESULTS: The postmortem MDA levels were
significantly different between groups for both renal and brain tissues (p =
0.003; p = 0.007). The diagnostic adequacy of MDA was calculated. Regarding SOD
and GSH-Px, we were not able to obtain any date that would be useful for the
prediction of PMI. CONCLUSIONS: According to this study, it is possible that an
estimation of the PMI can be made using postmortem MDA levels. Regarding SOD and
GSH-Px, we were not able to obtain any data that would be useful for the
prediction of PMI.
PMID- 26554240
TI - Investigation of the Hepato-Protective Effects of Imdur in a Rat Model of Chronic
Mountain Sickness.
AB - BACKGROUND: The objective of this study was to determine if the clinical nitrate,
Imdur, has a hepato-protective effect in chronic mountain sickness (CMS).
METHODS: A total of 60 SD rats were included in the study. Fifty rats were used
to model CMS and were randomly divided into the following groups (10 rats per
group): 1) plateau, 2) nifedipine, 3) low dose imdur, 4) moderate dose imdur, and
5) high dose imdur. The remaining 10 rats were used for the control group. Thirty
days after the CMS model was established, according to the appropriate body
weight of the rats, intragastric administration of the treatment groups
commenced. After 15 days, changes in pulmonary artery pressure (PAP) and
pathology of liver tissues were observed. Homocysteine (Hcy), interleukin-6 (IL
6), C-reactive protein (CRP), superoxide dismutase (SOD), malondialdehyde (MDA)
and glutathione peroxidase (GSH-PX) levels were also measured. RESULTS: Compared
with the control group, the levels of PAP, Hcy, IL-6, CRP, and MDA of the rats in
the plateau model group, nifedipine group, and imdur groups were elevated. The
levels of SOD and GSH-PX in these groups decreased relative to the control group.
The injured rat livers were observed under the light microscope, revealing that
hypoxia had caused tissue damage. Compared with that of the plateau model group,
the levels of PAP, Hcy, IL-6, CRP, and MDA of the rats in the high dose imdur
group were decreased (p < 0.05), and the levels of SOD and GSH-PX were increased
(p < 0.05). Except for IL-6, the other parameters were comparable to normal
values and better than those of the nifedipine group. Liver tissue from the high
dose imdur group demonstrated less tissue damage from pathological sections.
CONCLUSIONS: High dose imdur has hepato-protective effects in CMS rat models.
PMID- 26554241
TI - Expression of NK-Activating Receptor-NKp46/NCR1 on NK Cells in Patients with
Severe Aplastic Anemia.
AB - BACKGROUND: Severe aplastic anemia (SAA) is a kind of bone marrow failure caused
by complex pathogenesis, mainly characterized by severe pancytopenia which causes
anemia, hemorrhage, and infection. Natural killer (NK) cells, derived from
hematopoietic stem cells (HSCs) or common lymphoid progenitors (CLP), play an
important role in the innate immunity and adaptive immune responses. Of the
receptors on NK cells, the NKp46/NCR1 is considered to be an important activating
receptor for NK cells. However, the quantity and function of NKp46/NCR1 remains
unknown. METHODS: The quantity of NKp46/NCR1 on NK cells in patients with SAA
before and after immunosuppressive therapy (IST) was investigated by flow
cytometry, quantitative real-time PCR, and western blot. After knockdown of the
NKp46/NCR1 gene, NK cells were cultured with K562 cells to detect the function of
NK cells. RESULTS: The results showed that the expression of NKp46/NCR1 in NK
cells was significantly higher in untreated SAA patients than those in remission
SAA and controls by FCM, qRT-PCR, and WB. After co-culturing with NK cells
knockdown with siRNA-NKp46/NCR1, the apoptosis rate of K562 cells was
significantly lower compared with the siRNA-scr group and control groups (7.08 +/
5.23% vs. 11.31 +/- 7.20% and 10.30 +/- 6.08%, p < 0.05). CONCLUSIONS: We
concluded that the decrease of total NK cells and the higher expressions of
NKp46/NCR1 on them may be the reason for the hyperfunction of the immune system
in SAA patients.
PMID- 26554242
TI - Reversal of Chemoresistance in Human Lung Cancer Cell Line A549/Taxol by
Synthetic Second Mitochondria-Derived Activator of Caspase Peptide.
AB - BACKGROUND: Chemoresistance is a leading cause of treatment failure in advanced
lung cancer, including that with the extensively prescribed taxol. Recently, a
series of structurally unique second mitochondria-derived activators of caspase
(Smac) that act as antagonists of inhibitor of apoptosis proteins (IAPs) have
been discovered, exhibiting the ability of inducing enhanced apoptosis of various
cancer cell types when combined with chemotherapy. In the present study, we
synthesized the second mitochondria-derived activator of caspase peptide (Smac-N7
for short) and explored its capacity in combination with taxol in vitro. METHODS:
The sensitivity assay and reversal ability of Smac-N7 were tested by MTT. Flow
cytometry was used to analyze apoptosis of cells with Annexin V/PI double
staining technique. Cell cloning ability was performed to reflect its biological
behavior in each group. RESULTS: Concentrations with inhibitory rates < 10% were
selected as the reversal value of Smac-N7 peptide using MTT. The reversal folds
were 2.52, 3.26, 3.67, and 5.4 in taxol + Smac-N7 (0.0390625, 0.078125, 0.15625,
0.3125 MUg/mL, respectively), and concentrations of Smac-N7 and reversal folds
appeared in an obvious positive correlation (r(s) = 1, p = 0.000). Apoptosis
analyzed at 48 hours by flow cytometry showed the apoptotic rates in taxol and
0.0390625, 0.078125, 0.15625, and 0.3125 MUg/mL Smac-N7 + taxol groups were 15.4
+/- 1.09%, 20.8% +/- 2.18%, 28.4% +/- 4.17%, 37.64% +/- 6.41%, and 46.6% +/-
7.76%, respectively. Concentrations of Smac-N7 appeared to have negative
correlations with PE and SF (r(s) = -1, p < 0.05), which showed that the cells'
cloning ability in 0.3125 MUg/mL Smac-N7 + taxol group was worse than that of
other groups. CONCLUSIONS: When combined with taxol, 0.3125 MUg/mL Smac-N7
peptide may significantly increase taxol-induced apoptosis in chemoresistant
A549/taxol lung cells at 48 hours, and is potentially useful as a reversal agent
in lung cancer therapy.
PMID- 26554243
TI - Lipopolysaccharide Enhances Beta2-Glycoprotein I Activation of Nuclear Factor
kappaB in Liver Cancer Cells.
AB - BACKGROUND: Beta2-glycoprotein I (beta2GPI) is a highly abundant glycoprotein in
plasma. Our previous study demonstrated strong beta2GPI expression in hepatitis B
related hepatocellular carcinoma (HCC) tissue and the combination of beta2GPI and
hepatitis B surface antigen (HBsAg) was shown to significantly activate the
nuclear factor kappa B (NF-kappaB). To investigate whether lipopolysaccharide
(LPS) enhances beta2GPI activation of NF-betaB and the expression of downstream
factors (e.g., tumor necrosis factor alpha, TNF-alpha; interleukin-1 beta, IL
1beta; alpha-fetoprotein, AFP) in the human hepatoma cell line, SMMC-7721.
METHODS: Experimental samples were divided into 4 groups as follows: Group A-
blank cell group (SMMC-7721); group B--low, medium, and high LPS concentration
groups (1 ng/mL; 10 ng/mL; and 100 ng/mL, respectively); group C--beta2GPI
transfected group; and group D--beta2GPI + low, medium, or high concentrations
from the LPS affected group. Activation of NF-kappaB was evaluated using laser
scanning confocal microscopy. Expression of downstream factors was measured by
ELISA. RESULTS: Degrees of NF-kappaB activation in groups B, C, and D were
varied. NF-kappaB activation in group D was the most significant, and the
expressions of downstream factors, TNF-alpha and IL-1beta, were the highest level
of activation among the groups (p < 0.05), showing an LPS dose-dependency.
CONCLUSIONS: LPS enhanced the signal transduction of beta2GPI in liver cancer
cells leading to activation of NF-kappaB, which triggered downstream signal
transduction and increased the expression of downstream factors. This suggests
that LPS enhancement of beta2GPI signal transduction may play a role in promoting
the development of liver cancer.
PMID- 26554244
TI - Alteration of the Total Nuclear DNA Ploidy in Different Histopathological Liver
Tissues Negative and Positive for HCV RNA.
AB - BACKGROUND: Hepatitis C virus (HCV) infection is associated with the development
of hepatocellular carcinoma (HCC). The molecular mechanisms of HCV-associated
carcinogenesis are unknown. We aim to investigate the alteration of the total
nuclear DNA content (ploidy) in different histopathological liver tissues
infected with HCV and their relation to the seropositivity of HCV RNA. METHODS:
Blood and liver tissues were collected from 26 patients. Diagnosis was carried
out according to clinical and pathological examinations by specialized
physicians. HCV RNA was detected in patients' sera and tissue samples by RT-PCR.
To examine nuclear DNA ploidy, liver tissues were stained with blue Fulgen using
the image analysis techniques. Finally, the patients' DNA content was examined by
histochemical analysis depending on the optical density of DNA from liver
biopsies using the grey image menu in each specimen. RESULTS: The HCV RT-PCR
results demonstrated that 13/26 (50%) patients had detectable HCV RNA in their
sera samples while 18/26 (69%) had detectable HCV RNA in liver tissues. The DNA
content from those patients measured by image cytometry showed a high level of
alteration of nuclear DNA ploidy and proliferation in liver tissues with HCC,
less alteration of nuclear DNA ploidy in cirrhotic patients, and least
proliferation nearly normal in liver fibrosis patients. Moreover, the results of
histochemical analysis confirmed the DNA image cytometry results and showed that
positive HCV RNA liver tissues had more DNA ploidy than negative HCV RNA liver
tissues with statistical significance (p-value < 0.05). CONCLUSIONS: HCV positive
liver tissue had alterations in DNA content (ploidy) which may lead to liver
disease progression, malignant transformation of the liver cells and development
of hepatocellular carcinoma.
PMID- 26554245
TI - Photodynamic Therapy-Induced Apoptosis of Keloid Fibroblasts is Mediated by
Radical Oxygen Species In Vitro.
AB - BACKGROUND: It has been demonstrated that photodynamic therapy (PDT) is a
promising treatment approach for hyperplastic dermatosis and results in a
beneficial outcome. In the present study, PDT involving hematoporphyrin
monomethyl ether (HMME) was applied to keloid fibroblasts (KFB), and the effects
and the mechanism of action were explored. METHODS: Keloid fibroblastic cells
were divided into four groups (PDT group, light alone group, HMME alone group,
normal cultured group). Cell proliferation and apoptosis were observed. Radical
oxygen species (ROS) were detected by means of dihydroethidium (DHE) and
dihydrorhodamine (DHR123). ROS in the PDT group were also assessed after addition
of tiron. RESULTS: Cell proliferation was inhibited in the PDT group (p < 0.05),
while the rate of apoptosis was also clearly increased (p < 0.05). The levels of
ROS were significantly higher in the PDT group than was observed in the other
three groups (p < 0.05). With the addition of tiron the damaging effects were
reduced. CONCLUSIONS: Our data indicated that HMME-mediated PDT could inhibit
keloid fibroblast proliferation and could also induce apoptosis. This process was
associated with the production of ROS.
PMID- 26554246
TI - Detection of AKR1B10 in Peripheral Blood by Anti-AKR1B10-Conjugated CdTe/CdS
Quantum Dots.
AB - BACKGROUND: Aldo-ketoreductase family 1 member B10 (AKR1B10) is a novel
prognostic predictor and therapeutic target for colorectal cancer (CRC), and
enzyme-linked immunosorbent assays (ELISAs) and electrochemiluminescence (ELC)
assays are sample-consuming and high-cost methods. Therefore, it is very
necessary to develop a new, simple, and fast yet highly sensitive and specific
method for the detection of AKR1B10 in serum. Semiconducting quantum dots (QDs)
possess a high fluorescence quantum yield, stability against photobleaching, and
size-controlled luminescence properties; thus, they are suitable for
photoelectrochemical tumor marker detection, especially in complex biological
samples. However, CdTe/CdS QDs have not been applied for the detection of AKR1B10
in serum. METHODS: AKR1B10 in peripheral blood has been established using anti
AKR1B10-conjugated CdTe/CdS QDs and measurements. The assay sensitivity was
determined by measuring the quenched fluorescence intensity of AKR1B10 at 0.5, 1,
2, 5, or 10 ng/mL in phosphate-buffered solution (PBS) or 0.25%, 0.5%, 1.0%,
2.0%, or 5% human serum diluted in PBS. The assay was optimized under different
pH values (7.00 - 7.40) for different reaction durations (10 - 60 minutes). The
specificity of anti-AKR1B10-QDs was determined by testing the inhibition of
AKR1B10 activity with carcinoembryonic antigen (CEA), immunoglobulin G (IgG), or
alpha-fetoprotein (AFP), each at 1 ng/mL. RESULTS: Under the optimized incubation
time (30 minutes) at room temperature and optimal pH (7.1 - 7.2), a correlation
between the decreased fluorescence intensity of anti-AKR1B10-conjugated CdTe/CdS
QDs and the concentration of AKR1B10 in the range from 0.05 to 100 ng/mL was
established. The assay was sensitive for the detection of AKR1B10 in the range
from 0.05 to 100 ng/mL, and the detection limit was 0.02 ng/mL. The assay
presented a high specificity because the anti-AKR1B10-conjugated CdTe/CdS QDs
only reacted with AKR1B10 in the sera in the presence of CEA, IgG, or AFP.
CONCLUSIONS: In conclusion, the immunofluorescence assay to detect AKR1B10 in
serum using anti-AKR1B10-conjugated CdTe/CdS QDs was simple and fast yet
presented high sensitivity and specificity. Our findings provide a promising tool
for the early prediction of CRC.
PMID- 26554247
TI - Increased Neutrophil to Lymphocyte Ratio is Associated with In-Hospital Mortality
in Patients with Aortic Dissection.
AB - BACKGROUND: i ne prognostic relevance of hematological parameters in
cardiovascular diseases has been well demonstrated. The purpose of the present
study is to investigate the association between the hematological parameters,
particularly neutrophil to lymphocyte ratio (NLR), and outcomes of aortic
dissection (AD). METHODS: Two hundred patients diagnosed with AD were
retrospectively recruited and compared with 76 subjects with ascending aortic
dilatation (AAD) and 92 subjects with normal aortic diameters. The independent
relation between hematological parameters and in-hospital mortality was analyzed
by regression analysis. RESULTS: The NLR was significantly higher in the AD group
compared to the AAD and control groups (median 8.83 [8.13] vs. median 1.95 [1.10]
vs. median 1.71 [0.77], respectively; p = 0.01). The NLR was higher in the
deceased (n = 57) compared to the surviving patients (n = 143) (median 10.37
[10.86] vs. median 7.84 [8.17]; p = 0.01). Receiver operating curve (ROC)
analysis revealed that a NLR measurement higher than > 8.78 predicted in-hospital
mortality for patients with acute aortic dissection with a sensitivity of 67.4%
and a specificity of 57.2% (AUC: 0.672; p = 0.01). In multivariate logistic
regression analysis, increased aortic diameter, acute dissection, and increased
levels of NLR remained as the independent markers of in-hospital mortality within
the study population. CONCLUSIONS: In patients with AD, NLR levels were increased
compared to patients with AAD and controls and were independently associated with
in-hospital mortality. This finding implicates that admission hematological
parameters may have clinical importance in evaluating the mortality risk in
patients with AD.
PMID- 26554248
TI - Activation of the Blood Coagulation System in Patients with Chronic Spontaneous
Urticaria.
AB - BACKGROUND: Ninty-five percent of chronic spontaneous urticaria (CSU) patients
presented with signs of thrombin generation, and autologous plasma skin tests
score positive. The aim of this study was to assess the initiators of blood
coagulation that lead to thrombin generation and fibrinolysis in CSU patients.
METHODS: The plasma level of activated factor VII, activator factor XII, fragment
F1+2, and D-dimer were measured and analyzed in 103 patients with CSU and 76
control subjects. RESULTS: Mean D-dimer plasma levels were higher in patients
than controls (0.41 +/- 0.44 MUg/mL vs. 0.21 +/- 0.26 MUg/ mL; p < 0.001). Mean
F1+2 plasma levels were higher in patients than controls (11.17 +/- 17.65 nM vs.
5.97 +/- 9.42 nM; p = 0.048). Mean FVIIa plasma levels were higher in patients
than controls (4.09 +/- 4.22 ng/mL vs. 2.97 +/- 1.59 ng/mL; p = 0.031). However,
no significant difference was found on FXIIa plasma levels. On the other hand,
all the coagulation factors (D-dimer, FVIIa, and F1+2) were significantly
correlated with disease severity. CONCLUSIONS: The extrinsic pathway of the
clotting cascade is activated in CSU and is correlated with the disease severity.
The involvement of the coagulation pathway in CSU opens new perspectives for a
better understanding of the pathogenesis and treatment of the disease.
PMID- 26554249
TI - The Importance of Nucleated Red Blood Cells in Patients with Beta Thalassemia
Major and Comparison of Two Automated Systems with Manual Microscopy and Flow
Cytometry.
AB - BACKGROUND: Nucleated red blood cells (NRBC) can be used as a marker of
erythropoietic stress and help optimize transfusion therapy in patients with beta
thalassemia major. The aim of this study was to determine this correlation; and
compare two automated systems (Sysmex XE-2100, and Advia 2120i) with manual
microscopy and flow cytometry (FCM). METHODS: Absolute NRBC counts and
percentages (NRBC%) from 51 patients were analyzed with both automated and
reference methods. The results were compared with levels of pre-transfusion
hemoglobin and ferritin levels. RESULTS: The mean age of the included patients
(31 female, 20 male) were 12.9 +/- 7.5 years. Mean levels of hemoglobin and
ferritin were 9.5 +/- 1.2 g/dL and 1896 +/- 1194 ng/mL respectively. The NRBC% of
two instruments did not significantly differ and correlated well (p < 0.0001, r2
= 0.984). NRBC% obtained with the XE-2100 and Advia 2120i versus manual
microscopy also yielded high correlations (r2 = 0.951 and r2 0.981,
respectively); however, absolute NRBC counts versus FCM yielded lower
coefficients (r2 = 0.723 and r2 = 0.694, respectively). High serum ferritin
levels were correlated with both NRBC% and counts with both instruments (p <
0.001) and hemoglobin levels lower than 9.0 g/dL had a negative correlation with
NRBC% and NRBC counts with the Advia 2120i (p < 0.05, r = -0.495) but not with
the XE-2100. CONCLUSIONS: Monitoring of NRBC% with both instruments can help
optimize transfusion therapy for patients with beta thalassemia major. Following
the NRBC% is more efficient than absolute counts.
PMID- 26554250
TI - Automated On-Line Microdialysis Sampling Coupled with HPLC for Synchronous
Determination of Puerarin in Subcutaneous Tissue and Plasma Following Topical
Administration.
AB - BACKGROUND: Studies on transdermal administration have shown that puerarin can
permeate rat skin rapidly with long-term drug delivery, but there are no reports
demonstrating whether topical use of puerarin can provide a steady plasma
concentration to produce therapeutic effects. The aim of the study is to evaluate
the percutaneous penetration and plasma concentration of puerarin after
transdermal administration in experimental rats. METHODS: The skin and plasma
concentration of puerarin was quantified by microdialysis, and the recovery was
determined by retrodialysis. Puerarin microdialysate concentrations were measured
by on-line high-performance liquid chromatography (HPLC). Puerarin release from
gels was determined by analysis of the amount of remaining drug after dermal
application to hairless skin. RESULTS: The average recoveries of puerarin in the
skin and plasma over an 8-hour period were 31.49% and 15.5%. Puerarin was rapidly
absorbed with transdermal administration, with the C(max) values of 30.64 MUg/mL
and 3.53 MUg/mL, the AUC0 t-values of 11.60 and 1.48 MUg/mL per minute, for skin
and plasma, respectively. CONCLUSIONS: The results indicate that the automated on
line microdialysis technique can be used to detect the skin and plasma
pharmacokinetics of puerarin and that the use of skin gel can provide an
effective means of puerarin administration.
PMID- 26554251
TI - Evaluation of the New Cyclosporine and Tacrolimus Automated
Electrochemiluminescence Immunoassays under Field Conditions.
AB - BACKGROUND: Careful monitoring of the post-transplantation immunosuppressant
drugs (ISDs) cyclosporine (CsA) and tacrolimus (TAC) in whole blood is essential
to prevent adverse drug events. Immunoassays represent the most widely used
methodology for therapeutic drug monitoring. In this study, the technical
performance of the new automated electrochemiluminescence immunoassays (ECLIAs)
for CsA and TAC measurement were assessed under field conditions. METHODS:
Residual whole blood samples from patients undergoing CsA or TAC therapy
following organ transplant were used to evaluate the assays at six independent
laboratories across four countries. Experiments included within-run imprecision
using PreciControl ISD controls and recovery of commercial external quality
assurance (EQA) scheme samples. Both assays were compared with liquid
chromatography-tandem mass spectrometry (LC-MS/MS), using methods routinely
employed at each investigational site, as well as with an equivalent commercial
chemiluminescent microparticle immunoassay (CMIA) and enzyme multiplied
immunoassay (EMIT). RESULTS: Within-run imprecision testing gave coefficients of
variation of <= 5% in the > 90.0 - 2000 ng/mL range for the CsA ECLIA and <= 4.2%
in the 3.5 - 12 ng/mL range and <= 4.9% in the > 12 - 40 ng/mL range for the TAC
ECLIA. EQA sample recovery by ECLIA gave a mean bias of 6.9% for CsA and 4.9% for
TAC versus the spiked concentration or the mean LC-MS/MS value. Deming regression
analysis of ECLIA method comparison to LC-MS/MS for all sites yielded a slope of
1.22, intercept 8.43 ng/mL and r = 0.97 for CsA and a slope of 1.22, intercept
0.51 ng/mL and r = 0.96 for TAC. Comparison with CMIA yielded a slope of 0.87,
intercept 5.51 ng/mL and r = 0.97 for CsA and a slope of 0.98, intercept 0.12
ng/mL and r = 0.97 for TAC. Comparison with EMIT yielded a slope of 1.23,
intercept -8.74 ng/mL and r = 0.96 for CsA. CONCLUSIONS: The CsA and TAC ECLIA
compare favorably with existing commercial immunoassays and with LC-MS/MS. They
represent modern generation assays that meet the demands of monitoring drug
concentrations in current immunosuppressive regimens. This study also highlights
the importance of standardizing protocols and LC-MS/MS methods to give improved
comparability between ISD assays.
PMID- 26554252
TI - CYP2C9 Genetic Polymorphism is a Potential Predictive Marker for the Efficacy of
Rosuvastatin Therapy.
AB - BACKGROUND: There is well-known inter-individual variability in the cholesterol
lowering effect of statins. However, inter-individual variability in response to
rosuvastatin treatment in subjects with hypercholesterolemia has not been clearly
established. This study aimed to evaluate the associations of CYP2C9 genetic
polymorphism with the efficacy and safety of rosuvastatin in Chinese patients
with hyperlipidemia. METHODS: A total of 218 patients with hyperlipidemia were
selected and treated with 10 mg rosuvastatin per day for 12 weeks. Blood samples
were collected prior to the treatment and after 4, 8, and 12 weeks of treatment.
Clinical biochemistry analyses for serum lipid profiles were performed.
Genotyping for CYP2C9 polymorphisms was performed using allele-specific real-time
PCR. RESULTS: 197 out of 218 patients featured a wild-type CYP2C9*1/*1 genotype,
and 21 patients featured a CYP2C9*1/*3 or CYP2C9*3/*3 mutation genotype. No
patients with CYP2C9*2 alleles were identified. Sixteen patients discontinued the
medication due to adverse effects. No serious adverse events (i.e.,
hepatotoxicity or myolysis) were observed. After the 12 weeks of treatment, we
observed significant reductions in total cholesterol (TC), triglycerides and low
density lipoprotein (LDL) levels compared to baseline (p < 0.05). Patients with
the mutant genotype showed a higher TC-lowering and LDL-lowing effect compared to
those with wild-type genotypes (TC: 45.05% vs. 38.96%, p = 0.041; LDL: 44.97% vs.
39.28%, p = 0.029). The frequency of adverse drug reactions in the studied
patients did not differ by CYP2C9 genotypes (p > 0.05). CONCLUSIONS: This study
suggests that the CYP2C9 polymorphism may be involved in the lipid-lowering
efficacy of rosuvastatin in patients with hyperlipidemia.
PMID- 26554253
TI - Non-Invasive DNA Sampling for Molecular Analysis of Beta-Thalassemia: Amiable
Alternative Sampling Methods with Accurate Results for Pediatric Patients.
AB - BACKGROUND: Beta-thalassemia is the most common genetic disorder in Malaysia.
Confirmation of the beta-globin gene mutations involved in thalassemia is usually
carried out by molecular analysis of DNA extracted from leukocytes in whole
blood. Molecular analysis is generally carried out when affected children are
around 1 - 2 years as clinical symptoms are expressed during this period. Blood
taking at this age can be distressing for the child. High yield and pure DNA
extracted from non-invasive sampling methods can serve as alternative samples in
molecular studies for genetic diseases especially in pediatric cases. METHODS: In
this study, mouthwash, saliva, and buccal cytobrush samples were collected from
beta-thalassemia major patients who had previously been characterized using DNA
extracted from peripheral blood. DNA was extracted from mouthwash, saliva, and
buccal cytobrush samples using the conventional inexpensive phenol-chloroform
method and was measured by spectrophotometry for yield and purity. Molecular
characterization of beta-globin gene mutations was carried out using the
amplification refractory mutation system (ARMS). RESULTS: DNA extracted from
mouthwash, saliva, and buccal cytobrush samples produced high concentration and
pure DNA. The purified DNA was successfully amplified using ARMS. Results of the
beta-globin gene mutations using DNA from the three non-invasive samples were in
100% concordance with results from DNA extracted from peripheral blood.
CONCLUSIONS: The conventional in-house developed methods for non-invasive sample
collection and DNA extraction from these samples are effective and negate the use
of more expensive commercial kits. In conclusion, DNA extracted from mouthwash,
saliva, and buccal cytobrush samples provided sufficiently high amounts of pure
DNA suitable for molecular analysis of beta-thalassemia.
PMID- 26554254
TI - Downregulation of MicroRNA-1 is Associated with Poor Prognosis in Hepatocellular
Carcinoma.
AB - BACKGROUND: The relationship between microRNA-1 (miR-1) expression and prognosis
has not been reported in hepatocellular carcinoma (HCC). The present study aimed
to explore the clinicopathological significance and the prognostic role of miR-1
in HCC. METHODS: The expression levels of miR-1 were quantified using real-time
quantitative PCR (q-PCR) in 40 surgically resected HCC samples and matched
adjacent non-cancerous tissues. RESULTS: MiR-1 expression was significantly
downregulated in HCC compared with matched non-cancerous tissues. Aberrant miR-1
expression was significantly correlated with gender, expression of hepatitis B
virus surface antigen (HBsAg), tumor differentiation, vein invasion, and TNM
stage. Patients with low expression of miR-1 had significantly reduced overall
survival compared with patients with high expression of miR-1 (p = 0.04).The
multivariate Cox regression analysis indicated that miR-1 expression (HR = 2.79;
p = 0.005), gender (HR = 0.087; p = 0.005), vein invasion (HR = 0.172; p =
0.007), and TNM stage (HR = 3.421; p = 0.001) were independent prognostic factors
for overall survival. CONCLUSIONS: Low miR-1 expression is associated with
shortened survival time. MiR-1 may act as a potential prognostic biomarker for
HCC patients.
PMID- 26554255
TI - Influence of Preanalytical Variables on Prothrombin Time, Activated Partial
Thromboplastin Time, and Fibrinogen.
AB - BACKGROUND: In the present study, the influence of preanalytical variables on
prothrombin time (PT), activated partial thromboplastin time (aPTT), and
fibrinogen testing is investigated. METHODS: Samples collected from 104 patients
were used for the study. PT, aPTT, and fibrinogen levels were tested with 50%
filled tubes to investigate the influence of sample volume. We measured the same
parameters immediately after sampling, after 6 hours, and after 24 hours to
investigate the influence of storage time. Samples were also tested after 6 hours
at 4 degrees C to investigate the influence of storage temperature. RESULTS: Use
of 50%-filled tubes did not alter the results of PT and fibrinogen testing, but
caused a significant bias to the aPTT test. The results of aPTT at 24 hours were
also significantly different from results immediately after sampling. However,
uncentrifuged citrated samples stored for 24 hours at room temperature may still
be suitable for PT and fibrinogen testing. Incubation at 4 degrees C for up to 6
hours did not bias the results of PT, aPTT, or fibrinogen testing. CONCLUSIONS:
Whilst aPTT is malleable to preanalytical variables, PT and fibrinogen showed
stable results across variations in sample volume, storage time, and temperature.
PMID- 26554256
TI - Splenectomy-Related Red Cell Lysis Resistance and Analytical Consequences.
PMID- 26554257
TI - Value of academic research rigor for the field of healthcare management.
PMID- 26554258
TI - Interview With Kevin E. Lofton, FACHE, CEO of Catholic Health Initiatives.
PMID- 26554259
TI - Thinking Beyond the Affordable Care Act.
PMID- 26554260
TI - Clinical Integration: A Cornerstone for Population Health Management.
PMID- 26554261
TI - How Do Healthcare Employees Rate the Ethics of Their Organization? An Analysis
Based on VA IntegratedEthics@ Staff Survey Data.
AB - Healthcare organizations with an ethical culture experience higher levels of
employee productivity, less staff turnover, better levels of patient safety,
resource and cost savings, and higher levels of patient satisfaction. Employees'
perceptions of the ethics of their organization are considered a good indicator
of the ethics culture. How employees rate the ethics of their organization is not
well understood. Previous research has identified a number of attributes that are
salient to employees' perceptions in this area. However, little is known about
how employees synthesize their perceptions of these attributes to rate the ethics
of their organization. Without this knowledge, managers have little specific
information to act on to improve practices that would in turn improve employees'
perceptions of their organization's ethics. For this study, we used data from
Department of Veterans Affairs' (VA) 2014 IntegratedEthics@ Staff Survey
administered to Veterans Health Administration (VHA) staff. We used multivariate
regression analyses to investigate how VHA employees weigh their perceptions of
eight attributes of an ethical organization to inform an overall rating of the
ethics of their organization. We found that employee perceptions of fairness,
clarity of expectations, accountability, and leadership's prioritization of
ethics had the strongest associations with the overall rating. In addition,
employees disproportionately weighed their positive perceptions in determining
their overall rating. Therefore, a strategy to improve employees' perceptions of
these attributes could potentially have the greatest marginal return on
investment with respect to improving employees' perceptions of the ethics of an
organization.
PMID- 26554262
TI - Practitioner application.
PMID- 26554263
TI - Hospital Acquisitions Before Healthcare Reform.
AB - The hospital industry has experienced increased consolidation in the past 20
years. Since 2010, in particular, there has been a large rise in the number of
hospital acquisitions, and observers have suggested this is due in part to the
expected impact of federal healthcare reform legislation. This article reports on
a study undertaken to identify the market, management, and financial factors
affecting acute care, community hospitals acquired between 2010 and 2012. We
identified 77 such hospitals and compared them to other acute care facilities. To
assess how different factors were associated with acquisitions, the study used
multiple logistic regressions whereby market factors were included first,
followed by management and financial factors. Study findings show that acquired
hospitals were located in markets with lower rates of preferred provider
organization (PPO) penetration compared with nonacquired hospitals. Occupancy
rate was found to be inversely related to acquisition rate; however, case-mix
index was significantly and positively related to a hospital's being acquired.
Financial factors negatively associated with a hospital's being acquired included
age of plant and cash flow margin. In contrast to the findings from earlier
studies of hospital acquisitions, our results showed that acquired hospitals
possessed newer assets. However, similar to the findings of other studies, the
cash flow margin of acquired hospitals was lower than that of nonacquired
facilities.
PMID- 26554264
TI - Practitioner application.
PMID- 26554265
TI - The Impact of Self-Rated Health Status on Patient Satisfaction Integration
Process.
AB - The purpose of this study was to investigate how patients' self-rated health
status (SRHS) is associated with their attribute reaction integration process
and, in turn, their overall ratings of hospitals. We collected patient
satisfaction data from 70 hospitals by means of a patient satisfaction
questionnaire. The sample included patients who were 18 years or older and
discharged from the hospital from July 1, 2011, through June 30, 2012. Data for
36,528 patients were available for analysis. We conducted multiple linear
regression analysis with patients' SRHS and interaction effects with nursing
care, physician care, staff care, and room, while controlling for age, gender,
race, and education. Study findings showed an association between SRHS levels and
the patient's overall rating of the hospital; they also revealed interaction
effects with nursing care, physician care, and staff care variables in the model.
The statistically significant interaction effects indicate that for patients
whose SRHS was less than excellent, physician care became more important and
nursing care and staff care became less important compared with patients whose
SRHS was excellent. When we consider the nature of medical care, this transition
seems reasonable. We also found that it is reasonable to categorize patients into
two groups: those whose SRHS is excellent and those whose SRHS is less than
excellent (i.e., very good, good, fair, or poor). As the study findings show,
these two groups of patients combined their attribute reactions differently.
PMID- 26554266
TI - Practitioner application.
PMID- 26554267
TI - The Relationship Between Hospital Value-Based Purchasing Program Scores and
Hospital Bond Ratings.
AB - Tax-exempt hospitals and health systems often borrow long-term debt to fund
capital investments. Lenders use bond ratings as a standard metric to assess
whether to lend funds to a hospital. Credit rating agencies have historically
relied on financial performance measures and a hospital's ability to service debt
obligations to determine bond ratings. With the growth in pay-for-performance
based reimbursement models, rating agencies are expanding their hospital bond
rating criteria to include hospital utilization and value-based purchasing (VBP)
measures. In this study, we evaluated the relationship between the Hospital VBP
domains--Clinical Process of Care, Patient Experience of Care, Outcome, and
Medicare Spending per Beneficiary (MSPB)--and hospital bond ratings. Given the
historical focus on financial performance, we hypothesized that hospital bond
ratings are not associated with any of the Hospital VBP domains. This was a
retrospective, cross-sectional study of all hospitals that were rated by Moody's
for fiscal year 2012 and participated in the Centers for Medicare & Medicaid
Services' VBP program as of January 2014 (N = 285). Of the 285 hospitals in the
study, 15% had been assigned a bond rating of Aa, and 46% had been assigned an A
rating. Using a binary logistic regression model, we found an association between
MSPB only and bond ratings, after controlling for other VBP and financial
performance scores; however, MSPB did not improve the overall predictive accuracy
of the model. Inclusion of VBP scores in the methodology used to determine
hospital bond ratings is likely to affect hospital bond ratings in the near term.
PMID- 26554268
TI - Practitioner application.
PMID- 26554269
TI - Rising incidence of morbidly adherent placenta and its association with previous
caesarean section: a 15-year analysis in a tertiary hospital in Hong Kong.
AB - OBJECTIVES: To identify the incidence of morbidly adherent placenta in the
context of a rising caesarean delivery rate within a single institution in the
past 15 years, and to determine the contribution of morbidly adherent placenta to
the incidence of massive postpartum haemorrhage requiring hysterectomy. SETTING:
A regional obstetric unit in Hong Kong. PATIENTS: Patients with a morbidly
adherent placenta with or without previous caesarean section scar from 1999 to
2013. RESULTS: A total of 39 patients with morbidly adherent placenta were
identified during 1999 to 2013. The overall rate of morbidly adherent placenta
was 0.48/1000 births, which increased from 0.17/1000 births in 1999-2003 to
0.79/1000 births in 2009-2013. The rate of morbidly adherent placenta with
previous caesarean section scar and unscarred uterus also increased
significantly. Previous caesarean section (odds ratio=24) and co-existing
placenta praevia (odds ratio=585) remained the major risk factors for morbidly
adherent placenta. With an increasing rate of morbidly adherent placenta, more
patients had haemorrhage with a consequent increased need for peripartum
hysterectomy. No significant difference in the hysterectomy rate of morbidly
adherent placenta in caesarean scarred uterus (19/25) compared with unscarred
uterus (8/14) was noted. This may have been due to increased detection of
placenta praevia by ultrasound and awareness of possible adherent placenta in the
scarred uterus, as well as more invasive interventions applied to conserve the
uterus. CONCLUSION: Presence of a caesarean section scar remained the main risk
factor for morbidly adherent placenta. Application of caesarean section should be
minimised, especially in those who wish to pursue another future pregnancy, to
prevent the subsequent morbidity consequent to a morbidly adherent placenta, in
particular, massive postpartum haemorrhage and hysterectomy.
PMID- 26554270
TI - Use of insulin in diabetes: a century of treatment.
AB - Insulin is a key player in the control of hyperglycaemia for patients with type 1
diabetes mellitus and selected patients with type 2 diabetes mellitus. There have
been many advances in insulin drug delivery from its first administration as a
crude pancreatic extract till today. The traditional and most predictable method
for administration of insulin is by subcutaneous injection. Currently available
insulin delivery systems include insulin syringes, infusion pumps, jet injectors,
and pens. The major drawback of insulin therapy is its invasive nature. Non
invasive delivery of insulin has long been a major goal for the treatment of
diabetes mellitus. Although there have been improvements in insulin therapy since
it was first conceived, it is still far from mimicking the physiological
secretion of pancreatic beta-cells, and research to find new insulin formulations
and new routes of administration continues. This article reviews the emerging
technologies, including insulin inhalers, insulin buccal spray, insulin pill,
islet cell transplant, and stem cell therapy, as treatment options for diabetes
mellitus.
PMID- 26554271
TI - Clinicopathological effects of pepper (oleoresin capsicum) spray.
AB - OBJECTIVES: Pepper (oleoresin capsicum) spray is one of the most common riot
control measures used today. Although not lethal, exposure of pepper spray can
cause injury to different organ systems. This review aimed to summarise the major
clinicopathological effects of pepper spray in humans. DATA SOURCES: MEDLINE,
EMBASE database, and Cochrane Database of Systematic Reviews were used to search
for terms associated with the clinicopathological effects of pepper spray in
humans and those describing the pathophysiology of capsaicin. A phone interview
with two individuals recently exposed to pepper spray was also conducted to
establish clinical symptoms. STUDY SELECTION: Major key words used for the
MEDLINE search were "pepper spray", "OC spray", "oleoresin capsicum"; and other
key words as "riot control agents", "capsaicin", and "capsaicinoid". We then
combined the key words "capsaicin" and "capsaicinoid" with the major key words to
narrow down the number of articles. A search with other databases including
EMBASE and Cochrane Database of Systematic Reviews was also conducted with the
above phrases to identify any additional related articles. DATA EXTRACTION: All
article searches were confined to human study. The bibliography of articles was
screened for additional relevant studies including non-indexed reports, and
information from these was also recorded. Non-English articles were included in
the search. DATA SYNTHESIS: Fifteen articles were considered relevant. Oleoresin
capsicum causes almost instantaneous irritative symptoms to the skin, eyes, and
respiratory system. Dermatological effects include a burning sensation, erythema,
and hyperalgesia. Ophthalmic effects involve blepharospasm, conjunctivitis, peri
orbital oedema, and corneal pathology. Following inhalation, a stinging or
burning sensation can be felt in the nose with sore throat, chest tightness, or
dyspnoea. The major pathophysiology is neurogenic inflammation caused by
capsaicinoid in the pepper spray. There is no antidote for oleoresin capsicum.
Treatment consists of thorough decontamination, symptom-directed supportive
measures, and early detection and treatment of systemic toxicity. Decontamination
should be carefully carried out to avoid contamination of the surrounding skin
and clothing. CONCLUSION: Pepper (oleoresin capsicum) spray is an effective riot
control agent and does not cause life-threatening clinical effects in the
majority of exposed individuals. Early decontamination minimises the irritant
effects.
PMID- 26554272
TI - Validation of the Dutch clinical prediction rule for ambulation outcomes in an
inpatient setting following traumatic spinal cord injury.
AB - STUDY DESIGN: Retrospective study. OBJECTIVES: To determine the accuracy of a
previously described Dutch clinical prediction rule for ambulation outcome in
routine clinical practice. SETTING: Adult (?18 years) patients who were admitted
to the Austin Hospital with a traumatic spinal cord injury between January 2006
and August 2014. METHODS: Data from medical records were extracted to determine
the score of the Dutch clinical ambulation prediction rule proposed by van
Middendorp et al. in 2011. A receiver-operating characteristics (ROC) curve was
generated to investigate the performance of the prediction rule. Univariate
analyses were performed to investigate which factors significantly influence
ambulation after a traumatic spinal cord injury. RESULTS: The area under the ROC
curve (AUC) obtained during the current study (0.939, 95% confidence interval
(CI) (0.892, 0.986)) was not significantly different from the AUC from the
original Dutch clinical prediction model (0.956, 95% CI (0.936, 0.976)). Factors
that were found to have a significant influence on ambulation outcome were time
spent in the ICU, number of days hospitalised and injury severity. Age at injury
initially showed a significant influence on ambulation however, this effect was
not apparent after inclusion of the 24 patients who died due to the trauma (and
therefore did not walk after their injuries). CONCLUSION: The Dutch ambulation
prediction rule performed similarly in routine clinical practice as in the
original, controlled study environment in which it was developed. The potential
effect of survival bias in the original model requires further investigation.
PMID- 26554274
TI - Bladder management in individuals with chronic neurogenic lower urinary tract
dysfunction.
AB - STUDY DESIGN: Retrospective investigation. OBJECTIVES: To investigate the
association of patient and injury characteristics with bladder evacuation by
indwelling catheterization in patients with chronic neurogenic lower urinary
tract dysfunction (NLUTD). SETTING: Tertiary urologic referral center. METHODS:
The patient database was screened for patients with chronic (>12 months) NLUTD.
Patient characteristics and bladder management details were collected. Binary
logistic regression analysis was used to investigate the effects of the
investigated factors on bladder evacuation by indwelling catheterization.
RESULTS: The data of 1263 patients with a median age of 47 years (range 11-89
years) and a median NLTUD duration of 15.2 years (range 1.0-63.4 years) were
investigated. The most common bladder evacuation method was intermittent
catheterization (IC; 41.3%) followed by triggered reflex voiding (25.7%),
suprapubic catheterization (11.8%), sacral anterior root stimulation (7.3%),
spontaneous voiding (7.0%), abdominal straining (5.7%) and transurethral
catheterization (1.3%). Female gender, tetraplegia, an age older than 45 years
and injury duration were significant (<0.001) predictors of indwelling
catheterization. The odds of bladder evacuation by indwelling catheterization
were increased ~2.5, 3 and 4 times in women, patients older than 45 years and
tetraplegics, respectively. CONCLUSIONS: IC is the most common bladder evacuation
method. However, the majority of individuals with NLUTD are using other
evacuation methods, because factors such as functional deficiencies, mental
impairment or the social situation are relevant for choosing a bladder evacuation
method. Individuals at risk of indwelling catheterization can be identified based
on female gender, age, injury severity and injury duration.
PMID- 26554273
TI - Top ten research priorities for spinal cord injury: the methodology and results
of a British priority setting partnership.
AB - STUDY DESIGN: This is a mixed-method consensus development project. OBJECTIVES:
The objective of this study was to identify a top ten list of priorities for
future research into spinal cord injury (SCI). SETTING: The British Spinal Cord
Injury Priority Setting Partnership was established in 2013 and completed in
2014. Stakeholders included consumer organisations, healthcare professional
societies and caregivers. METHODS: This partnership involved the following four
key stages: (i) gathering of research questions, (ii) checking of existing
research evidence, (iii) interim prioritisation and (iv) a final consensus
meeting to reach agreement on the top ten research priorities. Adult individuals
with spinal cord dysfunction because of trauma or non-traumatic causes, including
transverse myelitis, and individuals with a cauda equina syndrome (henceforth
grouped and referred to as SCI) were invited to participate in this priority
setting partnership. RESULTS: We collected 784 questions from 403 survey
respondents (290 individuals with SCI), which, after merging duplicate questions
and checking systematic reviews for evidence, were reduced to 109 unique
unanswered research questions. A total of 293 people (211 individuals with SCI)
participated in the interim prioritisation process, leading to the identification
of 25 priorities. At a final consensus meeting, a representative group of
individuals with SCI, caregivers and health professionals agreed on their top ten
research priorities. CONCLUSION: Following a comprehensive, rigorous and
inclusive process, with participation from individuals with SCI, caregivers and
health professionals, the SCI research agenda has been defined by people to whom
it matters most and should inform the scope and future activities of funders and
researchers for the years to come. SPONSORSHIP: The NIHR Oxford Biomedical
Research Centre provided core funding for this project.
PMID- 26554275
TI - In Situ Integration of Anisotropic SnO2 Heterostructures inside Three-Dimensional
Graphene Aerogel for Enhanced Lithium Storage.
AB - Three-dimensional (3D) graphene aerogel (GA) has emerged as an outstanding
support for metal oxides to enhance the overall energy-storage performance of the
resulting hybrid materials. In the current stage of the studies, metals/metal
oxides inside GA are in uncrafted geometries. Introducing structure-controlled
metal oxides into GA may further push electrochemical properties of metal oxide
GA hybrids. Using rutile SnO2 as an example, we demonstrated here a facile
hydrothermal strategy combined with a preconditioning technique named vacuum
assisted impregnation for in situ construction of controlled anisotropic SnO2
heterostructures inside GA. The obtained hybrid material was fully characterized
in detail, and its formation mechanism was investigated by monitoring the phase
transformation process. Rational integration of the two advanced structures,
anisotropic SnO2 and 3D GA, synergistically led to enhanced lithium-storage
properties (1176 mAh/g for the first cycle and 872 mAh/g for the 50th cycle at
100 mA/g) as compared with its two counterparts, namely, rough nanoparticles@3D
GA and anisotropic SnO2@2D graphene sheets (618 and 751 mAh/g for the 50th cycle
at 100 mA/g, respectively). It was also well-demonstrated that this hybrid
material was capable of delivering high specific capacity at rapid
charge/discharge cycles (1044 mAh/g at 100 mA/g, 847 mAh/g at 200 mA/g, 698 mAh/g
at 500 mA/g, and 584 mAh/g at 1000 mA/g). The in situ integration strategy along
with vacuum-assisted impregnation technique presented here shows great potential
as a versatile tool for accessing a variety of sophisticated smart structures in
the form of anisotropic metals/metal oxides within 3D GA toward useful
applications.
PMID- 26554276
TI - Characterization of Disinfection By-Products from Chromatographically Isolated
NOM through High-Resolution Mass Spectrometry.
AB - As levels of natural organic matter (NOM) in surface water rise, the minimization
of potentially harmful disinfection by-products (DBPs) becomes increasingly
critical. Here, we introduce the advantage that chromatographic prefractionation
brings to investigating compositional changes to NOM caused by chlorination.
Fractionation reduces complexity, making it easier to observe changes and
attribute them to specific components. Under the conditions tested (0.1-0.4 g of
Cl to g of C without further additives), the differences between highly and less
oxidized NOM were striking. Highly oxidized NOM formed more diverse Cl-containing
DPB, had a higher propensity to react with multiple Cl, and tended to transform
so drastically as to no longer be amenable to electrospray-ionization mass
spectral detection. Less-oxidized material tended to incorporate one Cl and
retain its humiclike composition. N-containing, lipidlike, and condensed aromatic
structure (CAS)-like NOM were selectively enriched in mass spectra, suggesting
that such components do not react as extensively with NaOCl as their
counterparts. Carbohydrate-like NOM, conversely, was selectively removed from
spectra by chlorination.
PMID- 26554279
TI - Effectiveness, Adverse Effects, and Safety of Medical Marijuana.
PMID- 26554277
TI - Switching from usual brand cigarettes to a tobacco-heating cigarette or snus:
Part 2. Biomarkers of exposure.
AB - A randomized, multi-center study of adult cigarette smokers switched to tobacco
heating cigarettes, snus or ultra-low machine yield tobacco-burning cigarettes
(50/group) was conducted, and subjects' experience with the products was followed
for 24 weeks. Differences in biomarkers of tobacco exposure between smokers and
never smokers at baseline and among groups relative to each other and over time
were assessed. Results indicated reduced exposure to many potentially harmful
constituents found in cigarette smoke following product switching. Findings
support differences in exposure from the use of various tobacco products and are
relevant to the understanding of a risk continuum among tobacco products
(ClinicalTrials.gov Identifier: NCT02061917).
PMID- 26554280
TI - Graduates of Teaching Health Centers Are More Likely to Enter Practice in the
Primary Care Safety Net.
PMID- 26554281
TI - Nonsurgical Management of Knee Pain in Adults.
AB - The role of the family physician in managing knee pain is expanding as recent
literature supports nonsurgical management for many patients. Effective treatment
depends on the etiology of knee pain. Oral analgesics-most commonly nonsteroidal
anti-inflammatory drugs and acetaminophen-are used initially in combination with
physical therapy to manage the most typical causes of chronic knee pain. The
American Academy of Orthopaedic Surgeons recommends against
glucosamine/chondroitin supplementation for osteoarthritis. In patients who are
not candidates for surgery, opioid analgesics should be used only if conservative
pharmacotherapy is ineffective. Exercise-based therapy is the foundation for
treating knee osteoarthritis and patellofemoral pain syndrome. Weight loss should
be encouraged for all patients with osteoarthritis and a body mass index greater
than 25 kg per m2. Aside from stabilizing traumatic knee ligament and tendon
tears, the effectiveness of knee braces for chronic knee pain is uncertain, and
the use of braces should not replace physical therapy. Foot orthoses can be
helpful for anterior knee pain. Corticosteroid injections are effective for short
term pain relief in patients with osteoarthritis. The benefit of hyaluronic acid
injections is controversial, and recommendations vary; recent systematic reviews
do not support a clinically significant benefit. Small studies suggest that
regenerative injections can improve pain and function in patients with chronic
knee tendinopathies and osteoarthritis.
PMID- 26554282
TI - Common Questions About Pressure Ulcers.
AB - Patients with limited mobility due to physical or cognitive impairment are at
risk of pressure ulcers. Primary care physicians should examine at-risk patients
because pressure ulcers are often missed in inpatient, outpatient, and long-term
care settings. High-risk patients should use advanced static support surfaces to
prevent pressure ulcers and air-fluidized beds to treat pressure ulcers.
Physicians should document the size and clinical features of ulcers. Cleansing
should be done with saline or tap water, while avoiding caustic agents, such as
hydrogen peroxide. Dressings should promote a moist, but not wet, wound healing
environment. The presence of infection is determined through clinical judgment;
if uncertain, a tissue biopsy should be performed. New or worsening pain may
indicate infection of a pressure ulcer. When treating patients with pressure
ulcers, it is important to keep in mind the patient's psychological, behavioral,
and cognitive status. The patient's social, financial, and caregiver resources,
as well as goals and long-term prognosis, should also be considered in the
treatment plan.
PMID- 26554283
TI - Obsessive-Compulsive Disorder: Diagnosis and Management.
AB - Obsessive-compulsive disorder (OCD) is a chronic illness that can cause marked
distress and disability. It is a complex disorder with a variety of
manifestations and symptom dimensions, some of which are underrecognized. Early
recognition and treatment with OCD-specific therapies may improve outcomes, but
there is often a delay in diagnosis. Patients can experience significant
improvement with treatment, and some may achieve remission. Recommended first
line therapies are cognitive behavior therapy, specifically exposure and response
prevention, and/or a selective serotonin reuptake inhibitor (SSRI). Patients with
OCD require higher SSRI dosages than for other indications, and the treatment
response time is typically longer. When effective, long-term treatment with an
SSRI is a reasonable option to prevent relapse. Patients with severe symptoms or
lack of response to first-line therapies should be referred to a psychiatrist.
There are a variety of options for treatment-resistant OCD, including
clomipramine or augmenting an SSRI with an atypical antipsychotic. Patients with
OCD should be closely monitored for psychiatric comorbidities and suicidal
ideation.
PMID- 26554284
TI - A Systematic Approach to the Evaluation of a Limping Child.
AB - A limp is defined as a deviation from a normal age-appropriate gait pattern
resulting in an uneven, jerky, or laborious gait. It can be caused by pain,
weakness, or deformity as a result of a variety of conditions. Transient
synovitis is the most common diagnosis. Other causes of acute limp include
contusion, foreign body in the foot, fracture, osteomyelitis, septic arthritis,
reactive arthritis, and Lyme arthritis. Causes of chronic limp include rheumatic
disease, dermatomyositis, acute rheumatic fever, inflammatory bowel disease, and
systemic lupus erythematosus. Evaluation of a limping child should begin with a
history focused on identifying pain, trauma, and associated systemic symptoms.
For a limping child with focal findings on physical examination, initial imaging
includes anteroposterior and lateral radiography of the involved site. If there
are no focal findings on physical examination, radiography of both lower
extremities should be performed. Laboratory testing is guided by history and
physical examination findings. Septic arthritis of the hip should be suspected in
a child with an oral temperature more than 101.3 degrees F (38.5 degrees C),
refusal to bear weight, erythrocyte sedimentation rate more than 40 mm per hour,
peripheral white blood cell count more than 12,000 per mm3 (12 * 109 per L), or C
reactive protein level more than 20 mg per L (180.96 nmol per L).
PMID- 26554285
TI - Scabies.
PMID- 26554286
TI - Screening for Speech and Language Delay and Disorders in Children Five Years and
Younger.
PMID- 26554287
TI - Changing Facial Lesion.
PMID- 26554290
TI - Knee Pain.
PMID- 26554291
TI - Capture of 0.1-MUm aerosol particles containing viable H1N1 influenza virus by
N95 filtering facepiece respirators.
AB - Nosocomial infections pose an escalating threat to both patients and healthcare
workers (HCWs). A widely recommended device for individual respiratory
protection, the N95 filtering facepiece respirator (FFR) has been shown to
provide efficient filtration of inert particles larger and smaller than the
nominal most-penetrating particle size (MPPS) range, 0.03-0.3 MUm. Humans
generate respiratory aerosols in the MPPS range, suggesting that short-range
disease transmission could occur via small infectious particles. Data presented
here show that the N95 FFR will afford a significant measure of protection
against infectious particles as small as a bare H1N1 influenza virion, and that
the capture mechanism does not discriminate in favor of, or against, biological
particles.
PMID- 26554292
TI - Comprehensive Two-Dimensional Hydrophilic Interaction Chromatography (HILIC) *
Reversed-Phase Liquid Chromatography Coupled to High-Resolution Mass Spectrometry
(RP-LC-UV-MS) Analysis of Anthocyanins and Derived Pigments in Red Wine.
AB - Changes in anthocyanin chemistry represent some of the most important
transformations involved in red wine aging. However, accurate analysis of the
derived pigments, as required to study the evolution of anthocyanins and tannins
during aging, is hampered by their extreme structural diversity, low levels, and
the fact that many of these compounds have identical mass spectral
characteristics. In this context, chromatographic separation is critical. In this
contribution, the application of online hydrophilic interaction chromatography
(HILIC) * reversed-phase liquid chromatography (RP-LC) separation coupled to high
resolution mass spectrometry (MS) is described for the detailed characterization
of anthocyanins and their derived pigments in aged red wine. A systematic
approach was followed for the optimization of HILIC * RP-LC separation parameters
using a capillary liquid chromatography (LC) system in the first dimension and an
ultrahigh-pressure LC system in the second dimension to ensure maximum
sensitivity and performance. Ninety four (94) anthocyanin-derived pigments were
tentatively identified in one- and six-year-old Pinotage wines using accurate
mass and fragmentation information obtained using quadrupole-time-of-flight mass
spectrometry (Q-TOF-MS). Online HILIC * RP-LC-MS was found to offer high
resolution separation, because of the combination of two different separation
modes, while the structured elution order observed improved the certainty in
compound identification. Therefore, this approach shows promise for the detailed
elucidation of the chemical alteration of anthocyanins during wine aging.
PMID- 26554293
TI - Study on 3'-UTR length polymorphism in peripheral blood mononuclear cells of
uremia patient.
AB - OBJECTIVE: The objective of this study was to measure the 3'-untranslated region
(3'-UTR) polymorphism lengths in peripheral blood mononuclear cells (PBMCs) from
uremia patients. METHOD: We sequenced the alternative polyadenylation sites in
the 3'-UTR of PBMCs from 10 uremic patients and 10 healthy people to detect
different gene expression levels between uremia patients and healthy controls.
Quantitative reverse transcription polymerase chain reaction was used as
validation. RESULT: Compared with the healthy control group, 691 genes in uremic
patients had significantly different 3'-UTR lengths. Of these genes, 475 genes
showed shortened 3'-UTRs, and the 3'-UTRs of 216 genes were lengthened. The
verification results matched the original sequencing results. CONCLUSION: There
were significant differences in 3'-UTR lengths between uremic patients and
healthy controls, and analysis of the differential genes may contribute to the
understanding of uremia pathogenesis.
PMID- 26554294
TI - Human exposure assessment to a large set of polymer additives through the
analysis of urine by solid phase extraction followed by ultra high performance
liquid chromatography coupled to tandem mass spectrometry.
AB - Polymer items are extensively present in the human environment. Humans may be
consequently exposed to some compounds, such as additives, incorporated in these
items. The objective of this work is to assess the human exposure to the main
additives such as those authorized in the packaging for pharmaceutical products.
The urinary matrix was selected to optimally answer this challenge because it has
already been proven that the exposure to chemicals can be revealed by the
analysis of this biological matrix. A multi-residue analytical method for the
trace analysis at ng/mL in human urine was developed, and consisted of an
extraction of analytes from urine by solid phase extraction (SPE) and an analysis
by ultra-high performance liquid chromatography coupled to a tandem mass
spectrometer (UHPLC-MS/MS). Even if the quantification of these compounds was an
analytical challenge because of (i) the presence of these substances in the
analytical process, (ii) the diversity of their physicochemical properties, and
(iii) the complexity of the matrix, the optimized method exhibited quantification
limits lower than 25ng/mL and recoveries between 51% and 120% for all compounds.
The method was validated and applied to 52 human urines. To the best of our
knowledge, this work presents the first study allowing the assessment of the
occurrence of more than twenty polymer additives at ng/mL in human urine.
PMID- 26554295
TI - Comparing two metabolic profiling approaches (liquid chromatography and gas
chromatography coupled to mass spectrometry) for extra-virgin olive oil phenolic
compounds analysis: A botanical classification perspective.
AB - Over the last decades, the phenolic compounds from virgin olive oil (VOO) have
become the subject of intensive research because of their biological activities
and their influence on some of the most relevant attributes of this interesting
matrix. Developing metabolic profiling approaches to determine them in
monovarietal virgin olive oils could help to gain a deeper insight into olive oil
phenolic compounds composition as well as to promote their use for botanical
origin tracing purposes. To this end, two approaches were comparatively
investigated (LC-ESI-TOF MS and GC-APCI-TOF MS) to evaluate their capacity to
properly classify 25 olive oil samples belonging to five different varieties
(Arbequina, Cornicabra, Hojiblanca, Frantoio and Picual), using the entire
chromatographic phenolic profiles combined to chemometrics (principal component
analysis (PCA) and partial least square-discriminant analysis (PLS-DA)). The
application of PCA to LC-MS and GC-MS data showed the natural clustering of the
samples, seeing that 2 varieties were dominating the models (Arbequina and
Frantoio), suppressing any possible discrimination among the other cultivars.
Afterwards, PLS-DA was used to build four different efficient predictive models
for varietal classification of the samples under study. The varietal markers
pointed out by each platform were compared. In general, with the exception of one
GC-MS model, all exhibited proper quality parameters. The models constructed by
using the LC-MS data demonstrated superior classification ability.
PMID- 26554296
TI - Magnetic graphene - polystyrene sulfonic acid nano composite: A dispersive cation
exchange sorbent for the enrichment of aminoalcohols and ethanolamines from
environmental aqueous samples.
AB - Present study aimed at graphene surface modification to achieve selective analyte
binding in dispersive solid phase extraction. Magnetic graphene - polystyrene
sulfonic acid (MG-PSS) cation exchange nano-composite was prepared by non
covalent wrapping method. Composite was characterized by FT-IR and zeta
potential. Material exhibited good dispersion in water and high exchange capacity
of 1.97+/-0.16mMg(-1). Prepared nano-sorbent was then exploited for the cation
exchange extraction and gas chromatography mass spectrometric analysis of
Chemical Weapons Convention relevant aminoalcohols and ethanolamines from aqueous
samples. Extraction parameters such as sorbent amount, extraction time,
desorption conditions and sample pH were optimized and effect of common matrix
interferences such as polyethylene glycol and metal salts was also studied. Three
milligram of sorbent per mL of sample with 20min of extraction time at room
temperature afforded 70-81% recoveries of the selected analytes spiked at
concentration level of 1MUgmL(-1). Method showed good linearity in the studied
range with r(2)>=0.993. The limits of detection and limits of quantification
ranged from 23 to 54ngmL(-1) and 72 to 147ngmL(-1), respectively. The relative
standard deviation for intra- and inter-day precision ranged from 4.6 to 10.2%
and 7.4 to 14.8% respectively. Applicability of the method to different
environmental samples as well as the proficiency tests conducted by the
Organization for the Prohibition of Chemical Weapons (OPCW) was also ascertained.
PMID- 26554298
TI - Hydrophilic interaction liquid chromatography coupled with tandem mass
spectrometry for the quantification of uridine diphosphate-glucose, uridine
diphosphate-glucuronic acid, deoxynivalenol and its glucoside: In-house
validation and application to wheat.
AB - Nucleotide sugars, the activated forms of monosaccharides, are important
metabolites involved in a multitude of cellular processes including glycosylation
of xenobiotics. Especially in plants, UDP-glucose is one of the most prominent
members among these nucleotide-sugars, as it is involved in the formation of
glucose conjugates of xenobiotics, including mycotoxins, but also holds a central
role in the interconversion of energized sugars such as the formation of UDP
glucuronic acid required for cell wall biosynthesis. Here, we present the first
HILIC-LC-ESI-TQ-MS/MS method for the quantification of UDP-glucose and UDP
glucuronic acid together with the Fusarium toxin deoxynivalenol (DON) and its
major plant detoxification product DON-3-O-glucoside (DON-3-Glc) utilizing a
polymer-based column. For sample preparation a time-effective and straightforward
'dilute and shoot' protocol was applied. The chromatographic run time was
minimized to 9min including proper column re-equilibration. In-house validation
of the method verified its linear range, intra- (1-7%) and interday (8-20%)
precision, instrumental LODs between 0.6 and 10ngmL(-1), selectivity and moderate
matrix effects with mean recoveries of 85-103%. To prove the methods
applicability, we analyzed two sets of wheat extracts obtained from different
cultivars grown under standardized greenhouse conditions. The results clearly
demonstrated the suitability of the developed method to quantify UDP-glucose, DON
and its masked form D3G in diluted wheat extracts. We observed differing
concentration levels of UDP-glucose in the two wheat cultivars showing different
resistance to the severe plant disease Fusarium head blight. We propose that the
higher ability to detoxify DON into DON-3-Glc might be a consequence of the
higher cellular UDP-glucose pool in the resistant cultivar.
PMID- 26554297
TI - Selective capture of glycoproteins using lectin-modified nanoporous gold
monolith.
AB - The surface of nanoporous gold (np-Au) monoliths was modified via a flow method
with the lectin Concanavalin A (Con A) to develop a substrate for separation and
extraction of glycoproteins. Self-assembled monolayers (SAMs) of alpha-lipoic
acid (LA) on the np-Au monoliths were prepared followed by activation of the
terminal carboxyl groups to create amine reactive esters that were utilized in
the immobilization of Con A. Thermogravimetric analysis (TGA) was used to
determine the surface coverages of LA and Con A on np-Au monoliths which were
found to be 1.31*10(18) and 1.85*10(15)moleculesm(-2), respectively. An in situ
solution depletion method was developed that enabled surface coverage
characterization without damaging the substrate and suggesting the possibility of
regeneration. Using this method, the surface coverages of LA and Con A were found
to be 0.989*10(18) and 1.32*10(15)moleculesm(-2), respectively. The selectivity
of the Con A-modified np-Au monolith for the high mannose-containing glycoprotein
ovalbumin (OVA) versus negative control non-glycosylated bovine serum albumin
(BSA) was demonstrated by the difference in the ratio of the captured molecules
to the immobilized Con A molecules, with OVA:Con A=2.3 and BSA:Con A=0.33.
Extraction of OVA from a 1:3 mole ratio mixture with BSA was demonstrated by the
greater amount of depletion of OVA concentration during the circulation with the
developed substrate. A significant amount of captured OVA was eluted using alpha
methyl mannopyranoside as a competitive ligand. This work is motivated by the
need to develop new materials for chromatographic separation and extraction
substrates for use in preparative and analytical procedures in glycomics.
PMID- 26554299
TI - Clear Experimental Demonstration of Hole Gas Accumulation in Ge/Si Core-Shell
Nanowires.
AB - Selective doping and band-offset in germanium (Ge)/silicon (Si) core-shell
nanowire (NW) structures can realize a type of high electron mobility transistor
structure in one-dimensional NWs by separating the carrier transport region from
the impurity-doped region. Precise analysis, using Raman spectroscopy of the Ge
optical phonon peak, can distinguish three effects: the phonon confinement
effect, the stress effect due to the heterostructures, and the Fano effect. The
Fano effect is the most important to demonstrate hole gas accumulation in Ge/Si
core-shell NWs. Using these techniques, we obtained conclusive evidence of the
hole gas accumulation in Ge/Si core-shell NWs. The control of hole gas
concentration can be realized by changing the B-doping concentration in the Si
shell.
PMID- 26554301
TI - Assessment of lighting needs by W-36 laying hens via preference test.
AB - Light intensity, spectrum and pattern may affect laying hen behaviors and
production performance. However, requirements of these lighting parameters from
the hens' standpoint are not fully understood. This study was conducted to
investigate hens' needs for light intensity and circadian rhythm using a light
tunnel with five identical compartments each at a different fluorescent light
intensity of <1, 5, 15, 30 or 100 lux. The hens were able to move freely among
the respective compartments. A group of four W-36 laying hens (23 to 30 weeks of
age) were tested each time, and six groups or replicates were conducted.
Behaviors of the hens were continuously recorded, yielding data on daily time
spent, daily feed intake, daily feeding time, and eggs laid under each light
intensity and daily inter-compartment movement. The results show that the hens
generally spent more time in lower light intensities. Specifically, the hens
spent 6.4 h (45.4%) at 5 lux, 3.0 h (22.1%) at 15 lux, 3.1 h (22.2%) at 30 lux
and 1.5 h (10.3%) at 100 lux under light condition; and an accumulation of 10.0 h
in darkness (<1 lux) per day. The 10-h dark period was distributed intermittently
throughout the day, averaging 25.0+/-0.4 min per hour. This hourly light-dark
rhythm differs from the typical commercial practice of providing continuous dark
period for certain part of the day (e.g. 8 h at night). Distributions of daily
feed intake (87.3 g/hen) among the different light conditions mirrored the trend
of time spent in the respective light intensity, that is, highest at 5 lux (28.4
g/hen, 32.5% daily total) and lowest at 100 lux (5.8 g/hen, 6.7%). Hen-day egg
production rate was 96.0%. Most of the eggs were laid in <1 lux (61.9% of total)
which was significantly higher than under other light intensities (P<0.05).
Findings from this study offer insights into preference of fluorescent light
intensity by the laying hens. Further studies to assess or verify welfare and
performance responses of the hens to the preferred lighting conditions and rhythm
over extended periods are recommended.
PMID- 26554300
TI - Genetic basis of natural variation in body pigmentation in Drosophila
melanogaster.
AB - Body pigmentation in insects and other organisms is typically variable within and
between species and is often associated with fitness. Regulatory variants with
large effects at bab1, t and e affect variation in abdominal pigmentation in
several populations of Drosophila melanogaster. Recently, we performed a genome
wide association (GWA) analysis of variation in abdominal pigmentation using the
inbred, sequenced lines of the Drosophila Genetic Reference Panel (DGRP). We
confirmed the large effects of regulatory variants in bab1, t and e; identified
81 additional candidate genes; and validated 17 candidate genes (out of 28
tested) using RNAi knockdown of gene expression and mutant alleles. However,
these analyses are imperfect proxies for the effects of segregating variants.
Here, we describe the results of an extreme quantitative trait locus (xQTL) GWA
analysis of female body pigmentation in an outbred population derived from light
and dark DGRP lines. We replicated the effects on pigmentation of 28 genes
implicated by the DGRP GWA study, including bab1, t and e and 7 genes previously
validated by RNAi and/or mutant analyses. We also identified many additional
loci. The genetic architecture of Drosophila pigmentation is complex, with a few
major genes and many other loci with smaller effects.
PMID- 26554302
TI - Association Between Polymorphisms of DNA Repair Genes and Risk of Schizophrenia.
AB - AIMS: DNA repair gene polymorphisms have recently been implicated as potential
pathogenic contributors of mental disorders. The aims of our study were to
investigate the participation of nucleotide and base excision repair mechanisms
in schizophrenia and to identify novel candidate DNA repair susceptibility genes.
MATERIALS AND METHODS: For these purposes, we genotyped apurinic/apyrimidinic
endonuclease 1 (APE1), human 8-oxoguanine DNA N-glycosylase 1 (hOGG1), X-ray
repair cross-complementation group 1 (XRCC1), XRCC3, xeroderma pigmentosum group
D (XPD), and xeroderma pigmentosum group G (XPG) genes in schizophrenia subjects,
their healthy relatives, and unrelated healthy controls. RESULTS: Carriers of
XRCC1 glutamine (Gln), XRCC3 threonine (Thr), hOGG1 cysteine (Cys), and XPD
lysine (Lys) alleles were significantly more frequent among the cohort of
schizophrenia patients than in controls. In contrast, the frequencies of XRCC3
methionine (Met) and XPD Gln allele carriers and hOGG1 serine (Ser)/Ser genotype
carriers were higher among controls than in patients, suggesting a possible
protective role for these gene variants against schizophrenia. Moreover, healthy
relatives had significantly higher frequencies of XRCC3 Thr+ and XPD Lys+
genotypes than unrelated healthy controls. Minor allele frequencies, haplotypes,
and overtransmitted alleles of DNA repair genes were also identified. CONCLUSION:
Our findings support XRCC1, XRCC3, hOGG1, and XPD as risk genes for schizophrenia
and suggest that altered DNA repair functions may be involved in schizophrenia
pathophysiology.
PMID- 26554304
TI - Attentional bias modification (ABM) training induces spontaneous brain activity
changes in young women with subthreshold depression: a randomized controlled
trial.
AB - BACKGROUND: Attention bias modification (ABM) training has been suggested to
effectively reduce depressive symptoms, and may be useful in the prevention of
the illness in individuals with subthreshold symptoms, yet little is known about
the spontaneous brain activity changes associated with ABM training. METHOD:
Resting-state functional MRI was used to explore the effects of ABM training on
subthreshold depression (SubD) and corresponding spontaneous brain activity
changes. Participants were 41 young women with SubD and 26 matched non-depressed
controls. Participants with SubD were randomized to receive either ABM or placebo
training during 28 sessions across 4 weeks. Non-depressed controls were assessed
before training only. Attentional bias, depressive severity, and spontaneous
brain activity before and after training were assessed in both training groups.
RESULTS: Findings revealed that compared to active control training, ABM training
significantly decreased depression symptoms, and increased attention for positive
stimuli. Resting-state data found that ABM training significantly reduced
amplitude of low-frequency fluctuations (ALFF) of the right anterior insula (AI)
and right middle frontal gyrus which showed greater ALFF than non-depressed
controls before training; Functional connectivity strength between right AI and
the right frontoinsular and right supramarginal gyrus were significantly
decreased after training within the ABM group; moreover, the improvement of
depression symptoms following ABM significantly correlated with the connectivity
strength reductions between right AI and right frontoinsular and right
supramarginal gyrus. CONCLUSION: These results suggest that ABM has the potential
to reshape the abnormal patterns of spontaneous brain activity in relevant neural
circuits associated with depression.
PMID- 26554303
TI - IQGAP1 is a phosphoinositide effector and kinase scaffold.
AB - Phosphatidylinositol 4,5-bisphosphate (PI4,5P2) is a lipid messenger that
regulates a wide variety of cellular functions. The majority of cellular PI4,5P2
is generated by isoforms of the type I phosphatidylinositol phosphate kinases
(PIPKI) that are generated from three genes, and each PIPKI isoform has a unique
distribution and function in cells. It has been shown that the signaling
specificity of PI4,5P2 can be determined by a physical association of PIPKs with
PI4,5P2 effectors. IQGAP1 is newly identified as an interactor of multiple
isoforms of PIPKs. Considering the versatile roles of IQGAP1 in cellular
signaling pathways, IQGAP1 may confer isoform-specific roles of PIPKs in distinct
cellular locations. In this mini review, the emerging roles of PIPKs that are
regulated by an association with IQGAP1 will be summarized. Focuses will be on
cell migration, vesicle trafficking, cell signaling, and nuclear events.
PMID- 26554305
TI - Corrigendum.
PMID- 26554306
TI - Liposome armed with herpes virus-derived gH625 peptide to overcome doxorubicin
resistance in lung adenocarcinoma cell lines.
AB - New delivery systems including liposomes have been developed to circumvent drug
resistance. To enhance the antitumor efficacy of liposomes encapsulating anti
cancer agents, we used liposomes externally conjugated to the 20 residue peptide
gH625. Physicochemical characterization of the liposome system showed a size of
140 nm with uniform distribution and high doxorubicin encapsulation efficiency.
We evaluated the effects of increasing concentrations of liposomes encapsulating
Doxo (LipoDoxo), liposomes encapsulating Doxo conjugated to gH625 (LipoDoxo
gH625), empty liposomes (Lipo) or free Doxo on growth inhibition of either wild
type (A549) or doxorubicin-resistant (A549 Dx) human lung adenocarcinoma. After
72 h, we found that the growth inhibition induced by LipoDoxo-gH625 was higher
than that caused by LipoDoxo with an IC50 of 1 and 0.3 MUM in A549 and A549 Dx
cells, respectively. The data on cell growth inhibition were paralleled by an
higher oxidative stress and an increased uptake of Doxo induced by LipoDoxo-gH625
compared to LipoDoxo, above all in A549 Dx cells. Cytometric analysis showed that
the antiproliferative effects of each drug treatment were mainly due to the
induction of apoptosis. In conclusion, liposomes armed with gH625 are able to
overcome doxorubicin resistance in lung adenocarcinoma cell lines.
PMID- 26554307
TI - CD47-retargeted oncolytic adenovirus armed with melanoma differentiation
associated gene-7/interleukin-24 suppresses in vivo leukemia cell growth.
AB - Our previous studies have suggested that harboring a soluble coxsackie-adenovirus
receptor-ligand (sCAR-ligand) fusion protein expression cassette in the viral
genome may provide a universal method to redirect oncolytic adenoviruses to
various membrane receptors on cancer cells resisting to serotype 5 adenovirus
infection. We report here a novel oncolytic adenovirus vector redirected to CD47+
leukemia cells though carrying a sCAR-4N1 expression cassette in the viral
genome, forming Ad.4N1, in which 4N1 represents the C-terminal CD47-binding
domain of thrombospondin-1. The infection and cytotoxicity of Ad.4N1 in leukemia
cells were determined to be mediated by the 4N1-CD47 interaction. Ad.4N1 was
further engineered to harbor a gene encoding melanoma differentiation-associated
gene-7/interleukin-24 (mda-7/IL-24), forming Ad.4N1-IL24, which replicated
dramatically faster than Ad.4N1, and elicited significantly enhanced antileukemia
effect in vitro and in a HL60/Luc xenograft mouse model. Our data suggest that
Ad.4N1 could act as a novel oncolytic adenovirus vector for CD47+ leukemia
targeting gene transfer, and Ad.4N1 harboring anticancer genes may provide novel
antileukemia agents.
PMID- 26554308
TI - Implication of epithelial-mesenchymal transition in IGF1R-induced resistance to
EGFR-TKIs in advanced non-small cell lung cancer.
AB - The underlying mechanisms for acquired resistance to epidermal growth factor
receptor-tyrosine kinase inhibitors (EGFR-TKIs) in about 30%-40% of non-small
cell lung cancer (NSCLC) patients remain elusive. Recent studies have suggested
that activation of epithelial-mesenchymal transition (EMT) and type 1 insulin
like growth factor receptor (IGF1R) is associated with acquired EGFR-TKIs
resistance in NSCLC. Our study aims to further explore the mechanism of EMT and
IGF1R in acquired EGFR-TKIs resistance in NSCLC cell lines with mutant (PC-9) or
wild-type EGFR (H460). Compared to parental cells, EGFR-TKIs-resistant PC-9/GR
and H460/ER cells displayed an EMT phenotype and showed overexpression of IGF1R.
SiIGF1R in PC-9/GR and H460/ER cells reversed EMT-related morphologies and
reversed their resistance to EGFR-TKIs. Exogenous IGF-1 alone induced EMT in EGFR
TKIs-naive PC-9 and H460 cells and increased their resistance against EGFR-TKIs.
Inducing EMT by TGF-beta1 in PC-9 and H460 cells decreased their sensitivity to
EGFR-TKIs, whereas reversing EMT by E-cadherin overexpression in PC-9/GR and
H460/ER cells restored their sensitivity to EGFR-TKIs. These data suggest that
IGF1R plays an important role in acquired drug resistance against EGFR-TKIs by
inducing EMT. Targeting IGF1R and EMT may be a potential therapeutic strategy for
advanced NSCLC with acquired EGFR-TKIs resistance.
PMID- 26554310
TI - Methylglyoxal-induced modification causes aggregation of myoglobin.
AB - Post-translational modification of proteins by Maillard reaction, known as
glycation, is thought to be the root cause of different complications,
particularly in diabetes mellitus and age-related disorders. Methylglyoxal (MG),
a reactive alpha-oxoaldehyde, increases in diabetic condition and reacts with
proteins to form advanced glycation end products (AGEs) following Maillard-like
reaction. We have investigated the in vitro effect of MG (200MUM) on the
monomeric heme protein myoglobin (Mb) (100MUM) in a time-dependent manner (7 to
18days incubation at 25 degrees C). MG induces significant structural alterations
of the heme protein, including heme loss, changes in tryptophan fluorescence,
decrease of alpha-helicity with increased beta-sheet content etc. These changes
occur gradually with increased period of incubation. Incubation of Mb with MG for
7days results in formation of the AGE adducts: carboxyethyllysine at Lys-16,
carboxymethyllysine at Lys-87 and carboxyethyllysine or pyrraline
carboxymethyllysine at Lys-133. On increasing the period of incubation up to
14days, additional AGEs namely, carboxyethyllysine at Lys-42 and hydroimidazolone
or argpyrimidine at Arg-31 and Arg-139 have been detected. MG also induces
aggregation of Mb, which is clearly evident with longer period of incubation
(18days), and appears to have amyloid nature. MG-derived AGEs may thus have an
important role as the precursors of protein aggregation, which, in turn, may be
associated with physiological complications.
PMID- 26554312
TI - Determination of sulfonamides in beeswax by liquid chromatography coupled to
tandem mass spectrometry.
AB - The manuscript presents the development of a new method for the quantification of
16 sulfonamides in beeswax. Different sample preparation techniques were tested
and modified to maximise the recovery of the target analytes and minimise the
amount of coeluted impurities under conditions that provide reproducible results.
The proposed method consisted of melting and dilution of beeswax in a mixture of
n-hexane and isopropanol followed by extraction with 2% acetic acid. The extract
was cleaned up by solid-phase extraction using strong cation exchange phase.
Determination of the sulfonamides was achieved by liquid chromatography coupled
to tandem mass spectrometry with the use of a pentafluorophenyl analytical column
and applying a gradient elution with acetonitrile and 0.01% acetic acid as mobile
phases. The limits of detection and limits of quantification ranged from 1 to
2MUg/kg and from 2 to 5MUg/kg, respectively. The recoveries varied between 65.2%
and 117.8% while coefficient of variation of the method was less than 24.2% under
intermediate precision conditions. Finally, the method was applied to the
analysis of real samples of beeswax from beekeepers and commercial foundations
manufacturers.
PMID- 26554309
TI - Expression of androgen receptor splice variants in clinical breast cancers.
AB - The importance of androgen receptor (AR) signaling is increasingly being
recognized in breast cancer, which has elicited clinical trials aimed at
assessing the efficacy of androgen deprivation therapy (ADT) for metastatic
disease. In prostate cancer, resistance to ADT is frequently associated with the
emergence of androgen-independent splice variants of the AR (AR variants, AR-Vs)
that lack the LBD and are constitutively active. Women with breast cancer may be
prone to a similar phenomenon. Herein, we show that in addition to the
prototypical transcript, the AR gene produces a diverse range of AR-V transcripts
in primary breast tumors. The most frequently and highly expressed variant was AR
V7 (exons 1/2/3/CE3), which was detectable at the mRNA level in > 50% of all
breast cancers and at the protein level in a subset of ERalpha-negative tumors.
Functionally, AR-V7 is a constitutively active and ADT-resistant transcription
factor that promotes growth and regulates a transcriptional program distinct from
AR in ERalpha-negative breast cancer cells. Importantly, we provide ex vivo
evidence that AR-V7 is upregulated by the AR antagonist enzalutamide in primary
breast tumors. These findings have implications for treatment response in the
ongoing clinical trials of ADT in breast cancer.
PMID- 26554313
TI - Pharmacokinetics and tissue distribution study of PA-824 in rats by LC-MS/MS.
AB - A simple, sensitive and rapid LC-MS/MS method has been developed and validated
for determination of PA-824 in rat biological samples using darunavir as internal
standard. Chromatographic separation was achieved on an Inertsil((r))ODS3 C18
column (150mm*4.6mm, 5MUm) using gradient elution of methanol-0.1% ammonia in
water (90:10, v/v) with fast gradient elution at a flow rate of 0.6mL/min and run
time of 5min. The mass spectrometer was run in positive electrospray ionization
(ESI) mode using multiple reaction monitoring (MRM) to monitor the mass
transitions. The optimized ion transition pairs for quantitation were m/z360.1
>m/z175.0 for PA-824, m/z548.5->m/z504.2 for IS. The method was validated for
accuracy, precision, linearity, range, selectivity, lower limit of quantification
(LLOQ), recovery, matrix effect and robustness. All validation parameters met the
acceptance criteria according to regulatory guidelines. The LLOQ was 0.05MUg/mL.
The calibration curves showed a good linearity over the concentration range of
0.05-50MUg/mL. The calibration curves for all biological samples showed good
linearity (r(2)>0.9978) over the concentration ranges tested. The recoveries
obtained for PA-824 were >=88.8%. The developed method was successfully applied
to investigate the pharmacokinetics and tissue distribution of PA-824 in rats
following oral administration. It was also the first study to investigate the
tissue distribution of PA-824 in rats following oral administration.
PMID- 26554314
TI - Mobile Phone Apps to Promote Weight Loss and Increase Physical Activity: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: To our knowledge, no meta-analysis to date has assessed the efficacy
of mobile phone apps to promote weight loss and increase physical activity.
OBJECTIVE: To perform a systematic review and meta-analysis of studies to compare
the efficacy of mobile phone apps compared with other approaches to promote
weight loss and increase physical activity. METHODS: We conducted a systematic
review and meta-analysis of relevant studies identified by a search of PubMed,
the Cumulative Index to Nursing and Allied Health Literature (CINAHL), and Scopus
from their inception through to August 2015. Two members of the study team (EG-F,
GF-M) independently screened studies for inclusion criteria and extracted data.
We included all controlled studies that assessed a mobile phone app intervention
with weight-related health measures (ie, body weight, body mass index, or waist
circumference) or physical activity outcomes. Net change estimates comparing the
intervention group with the control group were pooled across studies using random
effects models. RESULTS: We included 12 articles in this systematic review and
meta-analysis. Compared with the control group, use of a mobile phone app was
associated with significant changes in body weight (kg) and body mass index
(kg/m(2)) of -1.04 kg (95% CI -1.75 to -0.34; I2 = 41%) and -0.43 kg/m(2) (95% CI
-0.74 to -0.13; I2 = 50%), respectively. Moreover, a nonsignificant difference in
physical activity was observed between the two groups (standardized mean
difference 0.40, 95% CI -0.07 to 0.87; I2 = 93%). These findings were remarkably
robust in the sensitivity analysis. No publication bias was shown. CONCLUSIONS:
Evidence from this study shows that mobile phone app-based interventions may be
useful tools for weight loss.
PMID- 26554315
TI - Effective and Neurobiologically Sound.
PMID- 26554317
TI - Reproducible Success.
PMID- 26554316
TI - Trans-Sector Integrated Treatment in Psychosis and Addiction.
AB - BACKGROUND: Patients with psychosis often develop comorbid addiction, with a
lifetime prevalence of ca. 50%. Dual diagnoses are considered hard to treat. Long
term integrated treatment programs might improve such patients' outcomes, at
least to a moderate extent, but they have not yet been adequately studied or
implemented in Germany to date. METHODS: 100 dual diagnosis patients participated
in a single-center, randomized, controlled trial under standard hospital
treatment conditions. They were randomly allotted to two groups. Patients in the
intervention group were admitted to a specialized open hospital ward, where they
were given integrated treatment, including disorder-specific group therapy. Their
treatment was continued with further disorder-specific group therapy in the
outpatient setting. Patients in the control group were admitted to an open
general psychiatric ward and received treatment as usual, but no disorder
specific treatment either during their hospitalization or in the subsequent
outpatient phase. Follow-up examinations were performed three, six, and twelve
months after inclusion. The primary outcome was defined as the changes in
substance use and abstinence motivation. The secondary outcome consisted of the
patients' satisfaction with treatment and with life in general, retention rate,
psychopathology, rehospitalizations, and global level of functioning. RESULTS:
The patients in the intervention group developed higher abstinence motivation
than those in the control group (p = 0.009) and transiently reduced their
substance use to a greater extent (p = 0.039 at three months). They were also
more satisfied with their treatment (group effect: p = 0.011). Their global level
of functioning and their retention rate were also higher, but these differences
did not reach statistical significance. CONCLUSION: Low-threshold, motivational,
integrated treatment programs with psycho-educative and behavioral therapeutic
elements may be helpful in the treatment of dual diagnosis patients and should be
more extensively implemented as part of standard hospital treatment. Larger
scale, methodologically more complex studies will be needed to identify subgroups
of patients that respond to such treatments in different ways.
PMID- 26554318
TI - In Reply.
PMID- 26554319
TI - The Diagnosis and Treatment of Ectopic Pregnancy.
AB - BACKGROUND: Extrauterine pregnancy is a complication of the first trimester of
pregnancy that arises in 1.3-2.4% of all pregnancies. METHODS: This review is
based on articles and guidelines retrieved by a selective PubMed search. RESULTS:
The presentation of extrauterine pregnancy is highly variable, ranging from an
asymptomatic state, to pelvic pain that is worse on one side, to tubal rupture
with hemorrhagic shock. 75% of tubal pre gnancies can be detected by transvaginal
ultrasonography. In patients with a vital extrauterine pregnancy, the human
chorionic gonadotropin concentration generally doubles within 48 hours.
Laparoscopy is the gold standard of treatment. Two randomized, controlled trials
comparing organ-preserving treatment with ablative surgery revealed no
significant difference in pregnancy rates after the intervention, but precise
details of the surgical procedures were not provided, and long-term fertility
data are lacking. Metho - trexate therapy should be used only for strict
indications. CONCLUSION: Further randomized, controlled trials with longer follow
up will be needed to answer currently open questions about the potential for
individualized surgical treatment and the proper role of pharmacotherapy.
PMID- 26554320
TI - Primun non nocere, polypharmacy and pharmacogenetics.
PMID- 26554321
TI - Troublesome Disguises.
PMID- 26554322
TI - Beyond Urinary Tract Infections (UTIs) and Delirium: A Systematic Review of UTIs
and Neuropsychiatric Disorders.
AB - Urinary tract infections (UTIs) are among the most common bacterial infections.
Although comorbid UTI in geriatric patients with delirium or dementia is well
known, the prevalence and scope of the association with other neuropsychiatric
disorders is unclear. We performed a systematic review of the association between
UTIs and delirium, dementia, psychotic disorders, and mood disorders in
hospitalized patients. We identified studies by searching PubMed, PsycInfo, and
Web of Knowledge, and the reference lists of identified studies and review
papers. Seventeen publications met the inclusion criteria. The primary findings
were: (1) 88% of publications reported a positive association between UTIs and
neuropsychiatric disorders; (2) 47% reported that the clinical course of a
neuropsychiatric disorder may be precipitated or exacerbated by a UTI; (3) the
mean weighted prevalence of UTIs in subjects was 19.4% for delirium, 11.2% for
dementia, 21.7% for nonaffective psychotic disorders, and 17.8% for mood
disorders. Our findings, which must be interpreted carefully given the
heterogeneity among the studies, suggest that UTIs are highly comorbid in
hospitalized patients and may precipitate or exacerbate some neuropsychiatric
disorders. The association extends beyond geriatric patients with delirium,
affects males and females, and includes adults with psychotic and mood disorders.
These findings underscore the important interface between physical and mental
health. Potential underlying mechanisms are also reviewed, including complex
interactions between the immune system and the brain.
PMID- 26554323
TI - Disclosure of Use and Abuse of Controlled Substances Among Psychiatric
Outpatients.
AB - BACKGROUND: Prescription drug abuse is a serious health concern and is considered
a nationwide epidemic. Patients often fail or refuse to disclose the use of
controlled substances, leaving prescribers and pharmacies unaware of the
potential for harmful drug interactions and risk of overdose. Psychiatric
patients are especially vulnerable to controlled substance misuse. OBJECTIVES: To
determine hazardous patterns of prescription drug use among psychiatric
outpatients and to raise awareness about the importance of reviewing information
provided by prescription drug monitoring programs (PDMPs). METHODS: The medical
records of 150 patients attending the Adult Outpatient Psychiatric Clinic at the
Los Angeles County+University of Southern California (LAC+USC) Medical Center
from July 2012 through May 2013 were reviewed. Patient activity reports were
generated from California's PDMP. Nondisclosure of controlled substance use was
identified by a discrepancy between patient reporting of prescriptions according
to the medical records and PDMP reports. A "pattern suggestive of prescription
drug abuse" was defined as having one or more of the following: within-class
prescriptions from multiple providers and/or within-class early refills or within
class overlapping prescriptions picked up within 10 days of each other. RESULTS:
Of the 150 patients, 113 were found in California's PDMP database. Of these 113
patients, 81 had obtained 111 prescriptions for controlled substances in the past
12 months. Of these 111 prescriptions, 52 (47%) were not disclosed to the primary
psychiatrist, of which 14 (27%) revealed patterns consistent with prescription
drug abuse. CONCLUSIONS: Reviewing PDMP databases before prescribing controlled
substances should be considered a standard prescribing practice to prevent abuse,
diversion, and adverse medical outcomes.
PMID- 26554324
TI - Quality of Sleep in Patients With Bipolar I Disorder During Remission.
AB - BACKGROUND AND GOALS: Sleep disturbances have been noted not only during
affective episodes in bipolar disorder, but also between affective episodes. The
goal of this study was to assess and identify determinants of sleep quality in
patients with bipolar I disorder. METHODS: This cross-sectional study enrolled
patients with bipolar disorder who were currently in remission. Sleep quality was
assessed using the Pittsburgh Sleep Quality Index (PSQI). Mood symptoms were
evaluated using the Young Mania Rating Scale (YMRS) and the Hamilton Depression
Rating Scale (Ham-D). Adherence was assessed using the Medication Adherence
Rating Scale. RESULTS: The mean age in the sample (N=103) was 37.4 years; 44.7%
of the sample were men. On the basis of PSQI scores, 41 patients (39.8%) were
poor sleepers. Poor sleepers had significantly higher Ham-D scores (mean score of
1.8 vs. 0.9, P=0.012) and higher rates of medication nonadherence (36.6% vs.
11.3%, P=0.002). The relationship between Ham-D scores and sleep quality did not
remain significant when the sleep-related items on the Ham-D were removed.
Logistic regression analysis suggested that medication nonadherence was the only
independent predictor of poor sleep quality in this study. CONCLUSIONS: A
significant proportion of patients with bipolar disorder who are in remission
have sleep problems that seem to be independent of core symptoms of depression.
PMID- 26554325
TI - Efficacy of Ketamine in Bipolar Depression: Systematic Review and Meta-analysis.
AB - OBJECTIVE: To consolidate the evidence from the literature to evaluate the role
of ketamine in the treatment of bipolar depression. METHODS: Major databases,
including MEDLINE, EMBASE, Cochrane, and Scopus, were searched through October
2014, for studies reporting the role of ketamine in the treatment of bipolar
depression. Only randomized controlled trials were included in the meta-analysis.
We calculated standardized mean differences (SMDs) with SE for each study
included in the meta-analysis. A random effect model was used to calculate the
pooled SMDs. Heterogeneity was assessed using the Cochran Q test and I statistic.
RESULTS: Of the 721 articles that were screened, 5 studies that enrolled a total
of 125 subjects with bipolar depression (mean age, 44.6+/-4.3 y and 65.6%
females) were included in the systematic review; 3 randomized controlled trials
(69 subjects) were included in the meta-analysis. The meta-analysis showed
significant improvement in depression among patients receiving a single dose of
intravenous ketamine compared with those who received placebo (SMD=-1.01; 95%
confidence interval, -1.37, -0.66; P<0.0001). The maximum improvement was
observed 40 minutes after the ketamine infusion. No heterogeneity was observed
between the studies (Cochran Q test P=0.38, I=0%). The 2 studies that were
excluded from the meta-analysis also showed significant improvement in depression
after ketamine therapy. Individual studies also reported improvement in anhedonia
and suicidal ideation after ketamine therapy. None of the subjects had serious
side effects, and the side effects were similar between the ketamine and placebo
groups. CONCLUSIONS: This study suggests that ketamine is effective in treatment
resistant bipolar depression and may reduce suicidal ideation and anhedonia.
PMID- 26554326
TI - A Way of Conceptualizing Benzodiazepines to Guide Clinical Use.
AB - Benzodiazepines are medications that are widely used for a number of different
therapeutic indications and in a wide range of patients in terms of age and
health status. Presented here is a simple 2 by 2 way of classifying all of the
most commonly used benzodiazepines. This conceptualization is based on the most
clinically relevant ways of differentiating these drugs: (a) their affinity for
their common and predominant mechanism of action, the benzodiazepine-binding site
of the gamma-aminobutyric acid (GABA)-A iontropic receptor (ie, the chloride ion
channel); and (b) their pharmacokinetics (ie, their half-lives and metabolism).
The science underlying this conceptualization is presented and then its clinical
applicability is discussed. This system can help clinicians select the most
appropriate benzodiazepine for their patients and better understand how to switch
between these medications to minimize withdrawal symptoms; it also provides a
rational basis for cautiously using these agents in combination when necessary,
in a manner analogous to the combined use of short-acting and long-acting forms
of insulin.
PMID- 26554327
TI - The Spectrum of Psychoanalytic Therapies: For the Person Behind the Diagnosis.
AB - Therapies based on psychoanalytic theory and practice are individualized to the
unique needs of each patient. They are best viewed on a continuum, a spectrum of
approaches that are modulated according to the difficulties and the character
structure of each person as they manifest themselves at that moment in the
person's history. As people change over the course of treatment, the treatment
modality may evolve accordingly. The many elements of a psychoanalytically based
therapy move along that continuum in a way better calibrated on an analogic curve
than on a digital scale with discrete gradations. This requires that the analyst
or therapist be attuned to the subtle shifts in the dynamic equilibrium of the
patient's mental life. Psychoanalysis and psychodynamic psychotherapy are
distinct macro ways to categorize and code for psychoanalytically derived
treatments that operate at different but overlapping micro ranges of the analogic
continuum.
PMID- 26554328
TI - A Case of Capgras Syndrome Related to Hypothyroidism.
AB - Hypothyroidism is commonly associated with a variety of psychiatric conditions,
most commonly depression and cognitive impairment, but up to 5% to 15% of
symptomatically hypothyroid patients may develop a nonaffective psychosis,
classically referred to as "myxedema madness." We report the case of a woman who
developed Capgras syndrome in the context of hypothyroidism, and whose psychosis
rapidly resolved with levothyroxine supplementation. To date, very few cases of
Capgras syndrome related to hypothyroidism have been reported. The
pathophysiology of this condition remains unclear but it may be related to global
cerebral hypometabolism or possibly to increased cerebral dopamine. Given the
robust response of "myxedema madness" to thyroid replacement, psychiatrists
should remain vigilant for covert hypothyroidism in patients with psychosis and
atypical histories or presentations.
PMID- 26554329
TI - Cushing Disease Presenting as Primary Psychiatric Illness: A Case Report and
Literature Review.
AB - We report the case of a woman with long-standing refractory depression and
psychotic features who was eventually diagnosed with Cushing disease. After
surgical treatment of a pituitary adenoma, she experienced gradual psychiatric
recovery and was eventually able to discontinue all psychotropic medication. We
review the psychiatric components of Cushing disease, implications of psychiatric
illnesses for the treatment and prognosis of Cushing disease, and potential
pathophysiological mechanisms linking glucocorticoid excess to psychiatric
illness.
PMID- 26554330
TI - Adaptive and Maladaptive Means of Using Facebook: A Qualitative Pilot Study to
Inform Suggestions for Development of a Future Intervention for Depression.
AB - Existing literature examining the relation between social networking sites and
mental health is primarily based on correlational methods and presents mixed
findings. Many researchers neglect to examine the cognitive and behavioral
processes used while online. This study's qualitative approach strives to
understand how individuals with elevated depressive symptoms may use Facebook
following an interpersonal stressor. Participants' narration of their Facebook
use was coded. Common adaptive uses included using Facebook to seek social
support, actively communicate, distract, recall positive memories, and reappraise
negative thoughts. Maladaptive uses included engaging in social comparison,
ruminating, and recalling negative memories. Feedback regarding development of a
future intervention was also elicited. Suggestions included using Facebook to
view positive, interesting, or meaningful information, distract, garner social
support, and engage in social activities. Findings indicate that how one engages
with Facebook after an interpersonal stressor may affect adjustment and may help
to inform the development of a novel, Facebook-based intervention.
PMID- 26554331
TI - The Symptoms of Trauma Scale (SOTS): An Initial Psychometric Study.
AB - The Symptoms of Trauma Scale (SOTS) is a 12-item, interview-based, clinician
rated measure that assesses the severity of a range of trauma-related symptoms.
This pilot study evaluated its use and psychometric properties in an outpatient
setting that provides treatment to survivors of chronic interpersonal trauma.
Thirty participants completed self-report measures of posttraumatic stress
symptoms, depression, dissociation, self-esteem, and affect dysregulation; the
participants also participated separately in a semistructured interview based on
the SOTS conducted by 2 trained interviewers. SOTS composite severity scores for
DSM-IV posttraumatic stress disorder (PTSD) and complex PTSD (cPTSD), DSM-5 PTSD,
and PTSD dissociative subtype, and total traumatic stress symptoms generally had
acceptable internal consistency and interrater reliability. Evidence of
convergent, discriminant, criterion, and construct validity was found for the
SOTS composite PTSD scores, although potential limitations to validity that
require further research and refinement of the measure were identified for the
SOTS total and DSM-IV cPTSD scores and the hyperarousal, affect dysregulation,
and dissociation items. Interviewers and interviewees described the interview as
efficient, informative, and well tolerated. Implications for clinical practice
and research to refine the SOTS are discussed.
PMID- 26554332
TI - Oxidized antithrombin is a dual inhibitor of coagulation and angiogenesis:
Importance of low heparin affinity.
AB - Endogenous proteins that promote vascular endothelial cell based inhibition of
angiogenesis are an attractive option for antitumor therapy. Inactive cleaved and
latent conformations of antithrombin (AT) are antiangiogenic, but not its native
form which is an inhibitor of proteases involved in blood coagulation. Unlike
native, the cleaved and latent conformations are reactive center loop inserted
conformations which binds heparin with very low affinity. We use a sulfoxy
modified AT to assess the role of reactive center loop insertion and heparin
affinity in antiangiogenic function. Chorioallantoic membrane assay (CAM) shows
that antiangiogenic activity of latent and oxidized AT are better than
thalidomide, a potent antiangiogenic drug. Wound healing experiments suggest that
latent and oxidized conformations can influence endothelial cell migration.
Latent and cleaved conformations of AT shows an increase in alpha-helical content
in the presence of unfractionated heparin, but not the oxidized AT. Unlike the
loop inserted polymer, cleaved and latent conformations, oxidized AT has factor
Xa inhibitory activity indicating that loop insertion is not necessary for
antiangiogenic role. The results of our study establish that active conformation
of AT can become antiangiogenic while maintaining its anticoagulant activity
possibly through chelation of low affinity heparin in the vicinity of endothelial
cell.
PMID- 26554333
TI - Detection, Identification, and Prevalence of Pathogenic Vibrio parahaemolyticus
in Fish and Coastal Environment in Jordan.
AB - Vibrio parahaemolyticus is widely distributed in the marine environments and
considered the leading cause of human gastroenteritis in Asian countries. A total
of 150 marketed fish and 50 water and sediment samples from the Gulf of Aqaba
were examined for the prevalence of pathogenic strains of V. parahaemolyticus. A
total of 132 typical isolates obtained from the primary selective medium
(thiosulfate-citrate bile salt sucrose agar) and showed positive biochemical
properties were subjected to confirmation by polymerase chain reaction targeting
the gyrB and toxR genes. These genes were confirmed at rates of 82% (108
isolates) and 72% (95 isolates), respectively. The toxR positive isolates were
tested for the presence of thermolabile hemolysin (tlh), thermostable direct
hemolysin (tdh), and tdh-related hemolysin (trh) virulence genes. Accordingly,
the prevalence rates of pathogenic V. parahaemolyticus were 4%, 8%, and 12% in
sediment, water, and fish samples, respectively. The 16S rRNA amplification and
sequences were conducted for confirmation of the isolates and showing the
relatedness among these isolates. The results showed that both 16S rRNA and toxR
assays had same sensitivity and tested isolates had high nucleotide similarity
irrespective of their sources.
PMID- 26554334
TI - [Reforming the Surgical Section of the Practical Year at Ludwig-Maximilians
University Munich].
PMID- 26554335
TI - [Quality Management in Medicine: What the Surgeon Needs to Know].
AB - Quality management (QM) is a method used in the field of economics that was
adopted late by the medical sector. The coincidence of quality management and
what is referred to as economisation in medicine frequently leads to QM being -
incorrectly - perceived as part of the economisation problem rather than as part
of its solution. Quality assurance defines and observes key performance
indicators for the achievement of quality objectives. QM is a form of active
management that intends to systematically exclude the effects of chance. It is
supposed to enable those in charge of an institution to deal with complex
processes, to influence them and achieve quality even under unfavourable
circumstances. Clearly defined written standards are an important aspect of QM
and allow for 80 % of patients to be treated faster and less labour-intensively
and thus to create more capacity for the individual treatment of the 20 % of
patients requiring other than routine care. Standards provide a framework to rely
on for department heads and other staff alike. They reduce complexity, support
processes in stress situations and prevent inconsistent decisions in the course
of treatment. Document management ensures transparent and up-to-date in-house
standards and creates continuity. Good documents are short, easy to use, and, at
the same time, comply with requirements. Specifications describe in-house
standards; validation documents provide a forensically sound documentation.
Quality management has a broad impact on an institution. It helps staff reflect
on their daily work, and it initiates a reporting and auditing system as well as
the systematic management of responses to surveys and complaints. Risk management
is another aspect of QM; it provides structures to identify, analyse, assess and
modify risks and subject them to risk controlling. Quality management is not
necessarily associated with certification. However, if certification is intended,
it serves to define requirements, increase motivation for the implementation of
measures to be taken, and provide long-term continuity in newly adopted
processes. Specialist certificates issued by medical associations frequently
emphasise an interdisciplinary treatment approach; however, their certification
processes are often of poor quality. The effectiveness and efficiency is evident
for individual QM instruments in medicine. It is very likely that quality
management improves effectiveness in the whole field of medicine, but this has
yet to be proved.
PMID- 26554336
TI - Epigallocatechin-3-gallate shows anti-proliferative activity in HeLa cells
targeting tubulin-microtubule equilibrium.
AB - In this study our main objective was to find out a novel target of the major
bioactive green tea polyphenol, Epigallocatechin-3-gallate (EGCG), in cervical
carcinoma HeLa cells. We found that EGCG showed antiproliferative activity
against HeLa cells through depolymerization of cellular microtubule. EGCG also
prevented the reformation of the cellular microtubule network distorted by cold
treatment and inhibited polymerization of tubulin in cell-free system with IC50
of 39.6 +/- 0.63 MUM. Fluorescence spectroscopic analysis showed that EGCG
prevented colchicine binding to tubulin and in silico study revealed that EGCG
bound to the alpha-subunit of tubulin at the interphase of the alpha-and beta
heterodimers and very close to colchicine binding site. The binding is entropy
driven (DeltaS(0) was 18.75 +/- 1.48 cal K(-1) mol(-1)) with Kd value of 3.50 +/-
0.40 MUM. This is a novel mechanism of antipriliferative activity of EGCG.
PMID- 26554337
TI - Benzene oxide is a substrate for glutathione S-transferases.
AB - Benzene is a known human carcinogen which must be activated to benzene oxide (BO)
to exert its carcinogenic potential. BO can be detoxified in vivo by reaction
with glutathione and excretion in the urine as S-phenylmercapturic acid. This
process may be catalyzed by glutathione S-transferases (GSTs), but kinetic data
for this reaction have not been published. Therefore, we incubated GSTA1, GSTT1,
GSTM1, and GSTP1 with glutathione and BO and quantified the formation of S
phenylglutathione. Kinetic parameters were determined for GSTT1 and GSTP1. At 37
degrees C, the putative Km and Vmax values for GSTT1 were 420 MUM and 450 fmol/s,
respectively, while those for GSTP1 were 3600 MUM and 3100 fmol/s. GSTA1 and
GSTM1 did not exhibit sufficient activity for determination of kinetic
parameters. We conclude that GSTT1 is a critical enzyme in the detoxification of
BO and that GSTP1 may also play an important role, while GSTA1 and GSTM1 seem to
be less important.
PMID- 26554338
TI - Photopatterning Proteins and Cells in Aqueous Environment Using TiO2
Photocatalysis.
AB - Organic contaminants adsorbed on the surface of titanium dioxide (TiO2) can be
decomposed by photocatalysis under ultraviolet (UV) light. Here we describe a
novel protocol employing the TiO2 photocatalysis to locally alter cell affinity
of the substrate surface. For this experiment, a thin TiO2 film was sputter
coated on a glass coverslip, and the TiO2 surface was subsequently modified with
an organosilane monolayer derived from octadecyltrichlorosilane (OTS), which
inhibits cell adhesion. The sample was immersed in a cell culture medium, and
focused UV light was irradiated to an octagonal region. When a neuronal cell line
PC12 cells were plated on the sample, cells adhered only on the UV-irradiated
area. We further show that this surface modification can also be performed in
situ, i.e., even when cells are growing on the substrate. Proper modification of
the surface required an extracellular matrix protein collagen to be present in
the medium at the time of UV irradiation. The technique presented here can
potentially be employed in patterning multiple cell types for constructing
coculture systems or to arbitrarily manipulate cells under culture.
PMID- 26554339
TI - An automated method to assay locomotor activity in third instar Drosophila
melanogaster larvae.
AB - INTRODUCTION: The purpose of these studies was to describe a novel application of
an automated data acquisition/data reduction system, DanioVisionTM by Noldus.
DanioVisionTM has the ability to detect changes in locomotor activity in third
instar Drosophila melanogaster larvae. The noncompetitive GABAA receptor
antagonist picrotoxin (PTX), was used as a pharmacologic agent to decrease
locomotor activity. METHODS: Two strains of Drosophila were used in these
studies; wild-type flies and flies with a mutation in the Rdl gene (Rdl(MD-RR)).
Rdl(MD-RR)Drosophila are naturally occurring mutants that express an aberrant
form of the GABAA receptor, which has a lower affinity for PTX, but not GABA
itself. Larvae, extracted from food in 20% sucrose, were randomly placed into
vials containing vehicle or PTX (0.03-3mM). After incubation of 2-24h, individual
larvae were put in each well of a 6-well culture plate previously coated with 2%
agar, the plate was then placed in the DanioVisionTM apparatus. The activity of
individual larva was recorded for 5 min, digitized and analyzed using
Ethovision(r) XT software. RESULTS: Incubation of third instar wild-type larvae
in 1mM PTX for 4 or 24h decreased activity; whereas, a 2h incubation in PTX was
without effect. PTX caused a concentration-dependent decrease in activity as
demonstrated by consistently reduced locomotor activity with 1.0 and 3.0mM: 0.3mM
resulted in variable decreases in locomotor activity and 0.03 mM yielded no
effect. By contrast, PTX did not affect activity in Rdl(MD-RR) larvae even at the
highest concentration, 3.0mM. DISCUSSION: Using an automated data acquisition
system, it was found that PTX decreases activity in third instar Drosophila
larvae due to a selective blockade of the GABAA receptor. The method will reduce
the likelihood of human error and bias, as well as increase the speed and ease of
data collection and analysis.
PMID- 26554340
TI - High-Level Primary Clarithromycin Resistance of Helicobacter pylori in Algiers,
Algeria: A Prospective Multicenter Molecular Study.
AB - Knowledge of local antibiotic resistance is crucial to adaptation for the choice
of the optimal first-line treatment for Helicobacter pylori infection.
Clarithromycin is a key component of the standard triple therapy largely used
worldwide and, more particularly, in Algeria. Clarithromycin resistance is the
main risk factor for treatment failure. The aim of this study was to evaluate,
for the first time in Algeria, the prevalence of the primary resistance of H.
pylori to clarithromycin. We conducted a prospective study (2008-2014) that
included 195 Algerian patients referred for gastroduodenal endoscopy to two
University Hospitals, one General Hospital, and several private
gastroenterologists in Algiers (Algeria). One gastric biopsy was collected for
the molecular detection of H. pylori and the mutations in 23S rRNA genes that
confer resistance to clarithromycin with a quadruplex real-time PCR using
Scorpion primers. The Scorpion PCR detected H. pylori DNA in 91 biopsies (47%). A
mutation conferring resistance to clarithromycin was detected in 32 of the 91
positive patients (35%) and in 29 of the 88 positive patients never previously
treated for an H. pylori infection (33%). The prevalence of primary resistance of
H. pylori to clarithromycin was 33% in the Algerian population being studied. The
high level of primary clarithromycin resistance in the H. pylori strains
infecting the Algerian population that we report leads us to recommend the
abandonment of the standard clarithromycin-based triple therapy as a first-line
treatment in Algeria.
PMID- 26554342
TI - Transannular E...E' Interactions in Neutral, Radical Cationic, and Dicationic
Forms of cyclo-[E(CH2CH2CH2)2E'] (E, E' = S, Se, Te, and O) with Structural
Feature: Dynamic and Static Behavior of E...E' Elucidated by QTAIM Dual
Functional Analysis.
AB - The nature of the transannular E-*-E' interactions in neutral, radical cationic,
and dicationic forms of cyclo-E(CH2CH2CH2)2E' (1) (E, E' = S, Se, Te, and O) (1,
1(*+), and 1(2+), respectively) is elucidated by applying QTAIM dual functional
analysis (QTAIM-DFA). Hb(rc) are plotted versus Hb(rc) - Vb(rc)/2 for the data of
E-*-E' at BCPs in QTAIM-DFA, where * emphasizes the existence of BCP. Plots for
the fully optimized structures are analyzed by the polar coordinate (R, theta)
representation. Those containing the perturbed structures are by (thetap,
kappap): thetap corresponds to the tangent line of the plot, and kappap is the
curvature. While (R, theta) describes the static nature, (thetap, kappap)
represents the dynamic nature of interactions. The nature is well-specified by
(R, theta) and (thetap, kappap). E-*-E' becomes stronger in the order of 1 <
1(*+) < 1(2+), except for O-*-O. While E-*-E' (E, E' = S, Se, and Te) in 1(2+)
are characterized as weak covalent bonds, except for S-*-Te (MC nature through
CT) and Se-*-Te (TBP nature through CT), O-*-E' seems more complex. The behavior
of E-*-E' in 1(2+) is very close to that of cyclo-E(CH2CH2CH2)E' (E, E' = S, Se,
Te, and O), except for O-*-O.
PMID- 26554341
TI - Social Goals and Grade as Moderators of Social Normative Influences on Adolescent
Alcohol Use.
AB - BACKGROUND: The literature distinguishes 2 types of social normative influences
on adolescent alcohol use, descriptive norms (perceived peer alcohol use) and
injunctive norms (perceived approval of drinking). Although theoretical
formulations suggest variability in the salience and influence of descriptive and
injunctive norms, little is understood regarding for whom and when social norms
influence adolescent drinking. Strong agentic and communal social goals were
hypothesized to moderate the influence of descriptive and injunctive norms on
early adolescent alcohol use, respectively. Developmental changes were also
expected, such that these moderating effects were expected to get stronger at
later grades. METHODS: This longitudinal study included 387 adolescents and 4
annual assessments (spanning 6th to 10th grade). Participants completed
questionnaire measures of social goals, social norms, and alcohol use at each
wave. RESULTS: Multilevel logistic regressions were used to test prospective
associations. As hypothesized, descriptive norms predicted increases in the
probability of alcohol use for adolescents with strong agentic goals, but only in
later grades. Injunctive norms were associated with increases in the probability
of drinking for adolescents with low communal goals at earlier grades, whereas
injunctive norms were associated with an increased probability of drinking for
adolescents with either low or high communal goals at later grades. Although not
hypothesized, descriptive norms predicted increases in the probability of
drinking for adolescents high in communal goals in earlier grades, whereas
descriptive norms predicted drinking for adolescents characterized by low
communal goals in later grades. CONCLUSIONS: The current study highlights the
importance of social goals when considering social normative influences on
alcohol use in early and middle adolescence. These findings have implications for
whom and when normative feedback interventions might be most effective during
this developmental period.
PMID- 26554343
TI - Interaction between fasudil hydrochloride and bovine serum albumin: spectroscopic
study.
AB - The interaction between fasudil hydrochloride (FSD) and bovine serum albumin
(BSA) was investigated using fluorescence and ultraviolet spectroscopy under
imitated physiological conditions. The Stern-Volmer quenching model has been
successfully applied and the results revealed that FSD could quench the intrinsic
fluorescence of BSA effectively via static quenching. The binding constants and
binding sites for the BSA-FSD system were evaluated. The corresponding
thermodynamic parameters obtained at different temperatures indicated that
hydrophobic force played a major role in the interaction of FSD and BSA. The
distance between the donor (BSA) and the acceptor (FSD) was obtained according to
fluorescence resonance energy transfer (FRET). Synchronous fluorescence
spectroscopy and FT-IR spectra showed that the conformation of BSA was changed in
the presence of FSD. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26554344
TI - Synthesis, characterization and enhanced gas sensing performance of porous
ZnCo2O4 nano/microspheres.
AB - In recent years, spinel-type compounds have attracted great interest because of
their gem-like qualities. However, little is known of their gas sensing
properties. We report, in this paper, on a self-assembly method to prepare porous
ZnCo2O4 (ZCO) nano/microspheres by a facile one-step solvothermal process and
subsequent annealing. Abundant techniques were used to characterize the
morphology and structure of the as-obtained compounds. Our data indicate that the
hierarchical nano/microspheres are constructed from numerous nanoparticles
primarily, which have a higher specific surface area (ca. 77.3 m(2) g(-1)) and
are of uniform diameter (ca. 1 MUm). To demonstrate their potential application,
gas sensors based on the as-synthesized ZCO nano/microspheres were fabricated to
test their sensing performance, whose sensing behaviours correspond to p-type
semiconductors. The test results also indicate that porous spinel-type compounds
have an excellent kinetic response to ethanol at an operating temperature of 175
degrees C and a superior selectivity. As such, hierarchical porous ZnCo2O4
nano/microspheres will hold promising potential in the gas sensor field.
PMID- 26554345
TI - Can new technologies improve upper limb performance in grown-up diplegic
children?
AB - BACKGROUND: Few systematic studies describe rehabilitation trainings for upper
limb in diplegic children with cerebral palsy (CP), who - especially once grown
up - are often not considered as a target for rehabilitation interventions. AIM:
In this pilot study, we describe the details and the effectiveness of an
intensive, technology assisted intervention for upper limb. SETTING: The
treatment combines the utilization of Armeo(r) Spring with a training focused on
hand/finger fluency and dexterity in a pre-post treatment experimental design.
POPULATION: Participants were ten school-aged children (mean age 11.2) with
bilateral CP and diplegia, attending mainstream schools. METHODS: Participants
underwent 40 therapy sessions in four weeks. Armeo(r) Spring measures,
standardized motor and perceptual outcome indexes, as well as everyday life
indicators were utilized to assess the effect of the intervention. RESULTS: Upper
limb coordination, fluency and quality of movements mainly of hands and fingers
significantly improved, with a good transferability to everyday life also in
areas not specifically trained, such as self-care abilities and mobility.
Probably due to the visual feedback provided by the virtual reality setting
(which was all in one the context, the incentive and the product of activities),
perceptual abilities significantly improved, too. CONCLUSIONS: Our study suggests
the importance of intervention on upper limb even in milder CP diplegic forms and
in relatively grown-up children. The possibility of modification at least
partially relies on learning processes that are active all along development and
benefit from stimulation. CLINICAL REHABILITATION IMPACT: Though further studies
with control groups and follow-up perspective are needed to confirm, new
technologies offer interesting possibilities to be integrated into new evidence
based rehabilitation models.
PMID- 26554346
TI - Enhancing the Performance of Amorphous-Silicon Photoanodes for
Photoelectrocatalytic Water Oxidation.
AB - Herein, hydrogenated amorphous Si (a-Si:H) covered with a thin layer of CoOx is
applied as photoanode for PEC water splitting. The thin layer of CoOx effectively
protects a-Si:H from the corrosive electrolyte and quantitative oxidation of
water to oxygen was observed. A high applied bias photon-to-current efficiency of
2.34 % was achieved using an intrinsic absorber and an additional p-type layer.
This work shows that a-Si:H with a sandwich-like structure, in which each layer
has its own functionality, can be applied as an efficient and stable photoanode
for PEC water oxidation.
PMID- 26554347
TI - Pharmacokinetics in Early Drug Research.
PMID- 26554348
TI - Progress Toward the Validation of Alternative Tests.
AB - ECVAM's role in the practical validation of replacement alternative methods for
use in regulatory testing is reviewed, including an outline of the criteria which
have been used in determining ECVAM's priorities. Some of the difficulties which
have arisen in validation studies are discussed, and solutions to these are
proposed, with particular emphasis on ensuring that methods are sufficiently well
developed to enter the validation process, and on the ECVAM prevalidation scheme
for encouraging protocol optimisation and the prior assessment of interlaboratory
transferability. Comments are made on problems encountered in selecting test
materials backed by adequate in vivo data and in undertaking appropriate in
vivo/in vitro comparisons.
PMID- 26554349
TI - Preselection of Potential Cancerostatics by Automatic Analysis of Suspended and
Adherent Cells Incubated in Microplates.
AB - Alternative toxicological screening programmes, without the use of animal
experiments, are intended to eliminate dangerous substances and to find new
pharmacologically active agents in cell cultures. They can also provide
information on the cytostatic activities of the agents. Intercalating cytostatics
which bind DNA were selected by measuring the statistical distributions of the
cell diameters of K-562 and L-929 cells by using an electronic cell analyser
(CASY1). These compounds were identified by cell enlargement or from flat
concentration-activity curves created with the cell analyser system. Incubation
for 72 hours with DNA-binding agents, such as doxorubicin, daunorubicin and
Mitoxantron(r), resulted in enlargement of cell diameter and cell volume. The
antineoplastic agents actinomycin D and ambazone had no comparable effect.
Comparisons of the different parameters obtained with CASY1 measurement were
performed with Microsoft EXCEL.
PMID- 26554350
TI - Toxicity of 20 Chemicals from the MEIC Programme Determined by Growth Inhibition
of L-929 Fibroblast-like Cells.
AB - The Multicentre Evaluation of In vitro Cytotoxicity (MEIC) programme is an
international project aimed at evaluating the relevance of in vitro tests in
predicting human toxicity. We have screened 20 chemicals (MEIC codes 31-50) from
the programme, by using a cytotoxicity test based on growth inhibition of the
mouse fibroblast-like L-929 cell line. Inhibition of cell growth was determined
by the neutral red uptake method, which is well established and is used for
screening the cytotoxicity of chemicals and plastics for pharmaceuticals and
medical devices. The concentrations causing 50% inhibition of cell growth after a
72-hour exposure period varied from 3.1MUM for hexachlorophene, to 1.4mM for
caffeine. This is within the same range as results recently obtained with five
other cell models. However, with some chemicals (chloroform, carbon tetrachloride
and dichloromethane), no reliable results were obtained. These substances could
not be dissolved in a reproducible way in any of the solvents used and,
furthermore, they were highly volatile, which led to difficulties in maintaining
the concentrations.
PMID- 26554351
TI - A New Perspective on the Formation of Stratum Corneum Intercellular Space.
PMID- 26554352
TI - Targeting divalent metal cations with Re(I) tetrazolato complexes.
AB - In order to exploit their potential as versatile luminescent sensors, four new
Re(I)-tetrazolato complexes with the general formula fac-[Re(CO)3(diim)(L)],
where diim is 2,2'-bipyridine (bipy) or 1,10-phenanthroline (phen) and L(-) is
either the anion 5-(2'-pyridyl)tetrazolato (2-PTZ(-)) or 5-(2'
quinolyl)tetrazolato (2-QTZ(-)), were prepared and fully characterized. In all
cases, the regioselective coordination of the Re(I) center through the N2 atom of
the tetrazolato ring was observed. This particular feature ensures the
availability of the diiminic (N^N) site that was systematically incorporated into
the structure of the 2-PTZ(-) and 2-QTZ(-) ligands for further coordination with
metal cations. Such a diimine-type coordination mode was preliminarily tested by
using the mononuclear Re(I) complexes as N^N ligands for the preparation of two
[(N^N)Cu(POP)] cationic species, where POP is the chelating diphosphine bis[2
(diphenylphosphino)phenyl]ether. The X-ray structures of the resulting Re(I)
Cu(I) dyads revealed that the Re(I) mononuclear complexes effectively behaved as
chelating N^N ligands with respect to the [Cu(POP)](+) fragment, the coordination
of which also resulted in significant modification of the Re(I)-centered
luminescence. With these data in hand, the luminescent sensing abilities of the
four new Re(I) tetrazolato complexes were screened with respect to divalent metal
ions of toxicological and biological importance such as Zn(II), Cd(II) and
Cu(II). The interaction of the Re(I) complexes with Zn(II) and Cd(II) was
witnessed by the evident blue shift (Deltalambdamax = 22-36 nm) of the emission
maxima, which was also accompanied by a significant elongation of the emission
lifetimes. On the contrary, the addition of the cupric ion caused substantial
quenching of the radiative processes originating from the Re(I) luminophores.
PMID- 26554353
TI - Combined Alport syndrome and Klinefelter syndrome.
AB - To date, there have been a very limited number of case reports on combined Alport
syndrome (AS) and Klinefelter syndrome (KS). We herein describe the case of a 9
month-old boy diagnosed with concomitant AS and KS. KS was detected on
chromosomal analysis of the amniotic fluid, and hematuria/proteinuria was
identified in urinary screening at 6 months of age. Renal biopsy indicated AS,
with complete deficit of the alpha5 chain of type IV collagen in the glomerular
basement membranes. On genetic analysis for AS, de novo homozygote mutation
(c.3605-2a > c) was seen in the gene encoding alpha5 chain of type IV collagen
(COL4A5) on the X chromosomes of maternal origin. This is the first case report
of combined AS and KS diagnosed during infancy, and it indicates the need to
consider the concurrent existence of these two disorders in infants with urine
abnormalities, even in the absence of a family history.
PMID- 26554354
TI - Standardization or Centralization: Can One Have One Without the Other?
Circumferential Resection Margins and Rectal Cancer.
PMID- 26554355
TI - Erratum to: Novel citation-based search method for scientific literature:
application to meta-analyses.
PMID- 26554356
TI - Lacinutrix gracilariae sp. nov., isolated from the surface of a marine red alga
Gracilaria sp.
AB - A Gram-stain-negative, aerobic, non-flagellated, rod-shaped bacterium, designated
strain Lxc1T, was isolated from the surface of a marine red alga, Gracilaria sp.,
which was collected from the coastal regions in Jinjiang, Fujian Province, China.
Colonies of the strain were orange-yellow, circular and smooth. The 16S rRNA gene
of strain Lxc1T had maximum sequence similarity with Lacinutrix himadriensis E4
9aT (97.1 %), followed by Lacinutrix jangbogonensis PAMC 27137T, Lacinutrix
copepodicola DJ3T, Lacinutrix algicola AKS293T and Lacinutrix mariniflava AKS
432T (similarities < 96.4 %). Phylogenetic analysis showed strain Lxc1T formed a
tight cluster with L. himadriensis E4-9aT and L. copepodicola DJ3T, but
represented a novel lineage belonging to the genus Lacinutrix. The predominant
fatty acids were iso-C15 : 1 G (18.3 %), iso-C15 : 0 (16.7 %), iso-C17 : 0 3-OH
(10.6 %) and iso-C15 : 0 3-OH (8.6 %). Menaquinone-6 (MK-6) was the only
respiratory quinone present. The DNA G+C content of strain Lxc1T was 31.7 mol%.
Combining the results above, it was ascertained that strain Lxc1T represented a
novel species of the genus Lacinutrix, for which the name Lacinutrix gracilariae
sp. nov. is proposed. The type strain is Lxc1T ( = MCCC 1A01567T = KCTC 42808T).
PMID- 26554357
TI - Cyclic helix B peptide inhibits ischemia reperfusion-induced renal fibrosis via
the PI3K/Akt/FoxO3a pathway.
AB - Renal fibrosis is a main cause of end-stage renal disease. Clinically, there is
no beneficial treatment that can effectively reverse the progressive loss of
renal function. We recently synthesized a novel proteolysis-resistant cyclic
helix B peptide (CHBP) that exhibits promising renoprotective effects. In this
study, we evaluated the effect of CHBP on renal fibrosis in an in vivo ischemia
reperfusion injury (IRI) model and in vitro TGF-beta-stimulated tubular
epithelial cells (TCMK-1 and HK-2) model. In the IRI in vivo model, mice were
randomly divided into sham (sham operation), IR and IR + CHBP groups (n = 6).
CHBP (8 nmol/kg) was administered intraperitoneally at the onset of reperfusion,
and renal fibrosis was evaluated at 12 weeks post-reperfusion. Our results showed
that CHBP markedly attenuated the IRI-induced deposition of collagen I and
vimentin. In the in vitro model, CHBP reversed the TGF-beta-induced down
regulation of E-cadherin and up-regulation of alpha-SMA and vimentin.
Furthermore, CHBP inhibited the phosphorylation of Akt and Forkhead box O 3a
(FoxO3a), whose anti-fibrotic effect could be reversed by the 3-phosphoinositide
dependent kinase-1 (PI3K) inhibitor wortmannin as well as FoxO3a siRNA. These
findings demonstrate that CHBP attenuates renal fibrosis and the epithelial
mesenchymal transition of tubular cells, possibly through suppression of the
PI3K/Akt pathway and thereby the inhibition FoxO3a activity.
PMID- 26554358
TI - Mapping the role of structural and interpersonal violence in the lives of women:
implications for public health interventions and policy.
AB - BACKGROUND: Research on interpersonal violence towards women has commonly focused
on individual or proximate-level determinants associated with violent acts
ignores the roles of larger structural systems that shape interpersonal violence.
Though this research has contributed to an understanding of the prevalence and
consequences of violence towards women, it ignores how patterns of violence are
connected to social systems and social institutions. METHODS: In this paper, we
discuss the findings from a scoping review that examined: 1) how structural and
symbolic violence contributes to interpersonal violence against women; and 2) the
relationships between the social determinants of health and interpersonal
violence against women. We used concept mapping to identify what was reported on
the relationships among individual-level characteristics and population-level
influence on gender-based violence against women and the consequences for women's
health. Institutional ethics review was not required for this scoping review
since there was no involvement or contact with human subjects. RESULTS: The
different forms of violence-symbolic, structural and interpersonal-are not
mutually exclusive, rather they relate to one another as they manifest in the
lives of women. Structural violence is marked by deeply unequal access to the
determinants of health (e.g., housing, good quality health care, and
unemployment), which then create conditions where interpersonal violence can
happen and which shape gendered forms of violence for women in vulnerable social
positions. Our web of causation illustrates how structural factors can have
negative impacts on the social determinants of health and increases the risk for
interpersonal violence among women. CONCLUSION: Public health policy responses to
violence against women should move beyond individual-level approaches to
violence, to consider how structural and interpersonal level violence and power
relations shape the 'lived experiences' of violence for women.
PMID- 26554359
TI - Non-canonical Activation of Akt in Serum-Stimulated Fibroblasts, Revealed by
Comparative Modeling of Pathway Dynamics.
AB - The dynamic behaviors of signaling pathways can provide clues to pathway
mechanisms. In cancer cells, excessive phosphorylation and activation of the Akt
pathway is responsible for cell survival advantages. In normal cells, serum
stimulation causes brief peaks of extremely high Akt phosphorylation before
reaching a moderate steady-state. Previous modeling assumed this peak and decline
behavior (i.e., "overshoot") was due to receptor internalization. In this work,
we modeled the dynamics of the overshoot as a tool for gaining insight into Akt
pathway function. We built an ordinary differential equation (ODE) model
describing pathway activation immediately upstream of Akt phosphorylation at
Thr308 (Aktp308). The model was fit to experimental measurements of Aktp308,
total Akt, and phosphatidylinositol (3,4,5)-trisphosphate (PIP3), from mouse
embryonic fibroblasts with serum stimulation. The canonical Akt activation model
(the null hypothesis) was unable to recapitulate the observed delay between the
peak of PIP3 (at 2 minutes), and the peak of Aktp308 (at 30-60 minutes). From
this we conclude that the peak and decline behavior of Aktp308 is not caused by
PIP3 dynamics. Models for alternative hypotheses were constructed by allowing an
arbitrary dynamic curve to perturb each of 5 steps of the pathway. All 5 of the
alternative models could reproduce the observed delay. To distinguish among the
alternatives, simulations suggested which species and timepoints would show
strong differences. Time-series experiments with membrane fractionation and PI3K
inhibition were performed, and incompatible hypotheses were excluded. We conclude
that the peak and decline behavior of Aktp308 is caused by a non-canonical effect
that retains Akt at the membrane, and not by receptor internalization.
Furthermore, we provide a novel spline-based method for simulating the network
implications of an unknown effect, and we demonstrate a process of hypothesis
management for guiding efficient experiments.
PMID- 26554361
TI - Patterns of Welfare Attitudes in the Australian Population.
AB - The study of community attitudes toward welfare and welfare recipients is an area
of increasing interest. This is not only because negative attitudes can lead to
stigmatization and discrimination, but because of the relevance of social
attitudes to policy decisions. We quantify the attitudes toward welfare in the
Australian population using attitude data from a nationally representative survey
(N = 3243). Although there was broad support for the social welfare system,
negative attitudes are held toward those who receive welfare benefits. Using
canonical correlation analysis we identify multivariate associations between
welfare attitudes and respondent demographic characteristics. A primary
attitudinal dimension of welfare positivity was found amongst those with higher
levels of education, life instability, and personal exposure to the welfare
system. Other patterns of negative welfare attitudes appeared to be motivated by
beliefs that the respondent's personal circumstances indicate their
deservingness. Moreover, a previously unidentified and unconsidered subset of
respondents was identified. This group had positive attitudes toward receiving
government benefits despite having no recent experience of welfare. They did,
however, possess many of the characteristics that frequently lead to welfare
receipt. These results provide insights into not only how attitudinal patterns
segment across the population, but are of relevance to policy makers considering
how to align welfare reform with community attitudes.
PMID- 26554360
TI - Effect of a high-dose target-controlled naloxone infusion on pain and
hyperalgesia in patients following groin hernia repair: study protocol for a
randomized controlled trial.
AB - BACKGROUND: Central sensitization is modulated by the endogenous opioid system
and plays a major role in the development and maintenance of pain. Recent animal
studies performed following resolution of inflammatory pain showed reinstatement
of tactile hypersensitivity induced by administration of a mu-opioid-antagonist,
suggesting latent sensitization is mediated by endogenous opioids. In a recent
crossover study in healthy volunteers, following resolution of a first-degree
burn, 4 out of 12 volunteers developed large secondary areas of hyperalgesia
areas after a naloxone infusion, while no volunteer developed significant
secondary hyperalgesia after the placebo infusion. In order to consistently
demonstrate latent sensitization in humans, a pain model inducing deep tissue
inflammation, as used in animal studies, might be necessary. The aim of the
present study is to examine whether a high-dose target-controlled naloxone
infusion can reinstate pain and hyperalgesia following recovery from open groin
hernia repair and thus consistently demonstrate opioid-mediated latent
sensitization in humans. METHODS/DESIGN: Patients submitted to unilateral,
primary, open groin hernia repair will be included in this randomized, placebo
controlled, double-blind, crossover study. The experimental days take place 6-8
weeks after surgery, time-points at which patients are expected to be almost pain
free. Prior to administration of naloxone or placebo, the primary outcome (a
summated measure of pain: at rest, during transition from supine to standing
position, and evoked by pressure algometry) and the secondary outcomes (secondary
hyperalgesia/allodynia, pressure pain thresholds, assessed at the surgical site
and at the mirror-site in the contralateral groin, and, opioid withdrawal
symptoms) will be assessed. These assessments will be repeated at each step of
the target-controlled infusion of placebo or naloxone at estimated median (95 %
CI) plasma concentrations of 344 ng/ml (130;567), 1059 ng/ml (400;1752) and 3196
ng/ml (1205;5276). DISCUSSION: We aim to demonstrate opioid-mediated latent
sensitization in a post-surgical setting, using pain as a clinical relevant
variable. Impairment of the protective endogenous opioid system may play an
important role in the transition from acute to chronic pain. In order to
sufficiently block the endogenous opioid system, a high-dose target-controlled
naloxone-infusion is used, in accordance with recent findings in animal studies.
TRIAL REGISTRATION NUMBER: EUDRACT: 2015-000793-36 (Registration date: 16
February 2015) Clinicaltrials.gov: NCT01992146 (Registration date: 12 December
2014).
PMID- 26554363
TI - Neuroscience(s): What is in the name?
PMID- 26554362
TI - Magnetic Resonance Imaging Allows the Evaluation of Tissue Damage and
Regeneration in a Mouse Model of Critical Limb Ischemia.
AB - Magnetic resonance imaging (MRI) provides non-invasive, repetitive measures in
the same individual, allowing the study of a physio-pathological event over time.
In this study, we tested the performance of 7 Tesla multi-parametric MRI to
monitor the dynamic changes of mouse skeletal muscle injury and regeneration upon
acute ischemia induced by femoral artery dissection. T2-mapping (T2 relaxation
time), diffusion-tensor imaging (Fractional Anisotropy) and perfusion by Dynamic
Contrast-Enhanced MRI (K-trans) were measured and imaging results were correlated
with histological morphometric analysis in both Gastrocnemius and Tibialis
anterior muscles. We found that tissue damage positively correlated with T2
relaxation time, while myofiber regeneration and capillary density positively
correlated with Fractional Anisotropy. Interestingly, K-trans positively
correlated with capillary density. Accordingly, repeated MRI measurements between
day 1 and day 28 after surgery in ischemic muscles showed that: 1) T2-relaxation
time rapidly increased upon ischemia and then gradually declined, returning
almost to basal level in the last phases of the regeneration process; 2)
Fractional Anisotropy dropped upon ischemic damage induction and then recovered
along with muscle regeneration and neoangiogenesis; 3) K-trans reached a minimum
upon ischemia, then progressively recovered. Overall, Gastrocnemius and Tibialis
anterior muscles displayed similar patterns of MRI parameters dynamic, with more
marked responses and less variability in Tibialis anterior. We conclude that MRI
provides quantitative information about both tissue damage after ischemia and the
subsequent vascular and muscle regeneration, accounting for the differences
between subjects and, within the same individual, between different muscles.
PMID- 26554364
TI - Predictors of early or late treatment seeking in patients with social anxiety
disorder.
AB - BACKGROUND: Social anxiety disorder (SAD) is common in the general population and
usually begins at an early age. It is well established that patients with SAD
rarely seek treatment, and their first treatment contact usually takes many years
after onset. The aim of this study was to determine the predictors of early and
late treatment seeking in patients with SAD. METHODS: This study enrolled 180
patients with generalized SAD. The mean and median durations between the
emergence of SAD and first treatment contact were 15 and 14 years, respectively.
Multiple linear regression with the backward elimination method was applied to
assess the factors that affect the amount of time between occurrence of the
disorder and first treatment contact. RESULTS: Older age, earlier onset of SAD,
and lower level of education were associated with late treatment seeking, whereas
earlier onset of comorbid major depressive episodes and lifetime history of
comorbid obsessive-compulsive disorder were associated with earlier treatment
seeking. CONCLUSIONS: Age of onset, comorbid psychiatric conditions, and level of
education are associated with the timing of treatment seeking in patients with
SAD. It is important to try to change the common perception that SAD is a
personality trait rather than a psychiatric disorder.
PMID- 26554365
TI - Racial differences in antipsychotic use: Claims database analysis of Medicaid
insured patients with schizophrenia.
AB - BACKGROUND: Database analyses have indicated that medical treatment for
schizophrenia varies among racial groups. This study assessed antipsychotic use
and healthcare utilization across races in Medicaid-insured patients with
schizophrenia. METHODS: A Medicaid database of inpatient/outpatient medical
claims and outpatient prescription claims for more than 28 million enrollees in
11 geographically diverse states was analyzed. The primary outcome, racial
differences in antipsychotic use in 2012, was examined in 5 multivariable
logistic regression models: (1) any antipsychotic, (2) first-generation (FG) long
acting injectables (LAIs), (3) FG oral antipsychotics, (4) second-generation (SG)
LAIs, and (5) SG oral antipsychotics. RESULTS: Odds ratios and adjusted predicted
probabilities were comparable for any antipsychotic use between black and white
patients. Black patients were less likely to receive SG oral antipsychotics (P <
.001) and more likely to receive SG or FG LAIs (P = .001 and P < .001,
respectively) and FG oral antipsychotics (P = .003) vs white patients. Further,
black patients had a higher mean number of emergency room visits (P < .001) and a
lower mean number of hospitalizations (P < .05) vs white patients; the mean
number of physician visits was comparable. CONCLUSIONS: Disparities in
antipsychotic use and healthcare utilization across races in patients with
schizophrenia warrant further investigation and elimination of these disparities
should be a national goal.
PMID- 26554366
TI - The risk of suicide after clozapine discontinuation: Cause for concern.
AB - BACKGROUND: Clozapine is a second-generation antipsychotic that has been shown to
reduce suicidal ideation and suicidal behaviors in patients with schizophrenia.
However, it is underutilized because of its serious side effects. METHODS: We
describe 3 patients with a history of suicide ideation and attempts who were
successfully treated and maintained in the community without suicidal tendencies
while taking clozapine. All 3 patients, men in their 20s, discontinued clozapine
because of side effects and subsequently committed suicide. We also review the
literature on clozapine's effects on suicidality. RESULTS: In these 3 cases,
suicide followed abrupt discontinuation of clozapine or transition to another
antipsychotic. CONCLUSIONS: This case series is the first of its kind to document
the risk of suicide when clozapine is discontinued. The decision to discontinue
clozapine should be made carefully, especially because clozapine is considered
the treatment of last resort for patients with treatment-resistant schizophrenia
and suicidal ideation. We stress the importance of minimizing the risk of abrupt
clozapine discontinuation and recommend further evaluation of suicide ideation
and attempts when clozapine is discontinued.
PMID- 26554367
TI - Clinical and cognitive correlates of young adult at-risk gamblers with and
without depression.
AB - BACKGROUND: Depression is commonly found among young adults who have problems
with gambling. Although depression and gambling frequently co-occur, it is
unclear whether this relationship has clinical or cognitive importance. METHODS:
The study analyzed 215 young adults (age 18 to 29) with "at-risk" gambling
behavior. Scores on the Mini International Neuropsychiatric Interview were used
to assess lifetime major depressive disorder. The participants were categorized
by 2 groups: those with (81 [37.7%]) and those without (134 [62.3%]) depressive
symptoms. The groups were compared using various measures assessing gambling
severity, quality of life, comorbidity, and psychosocial dysfunction, as well as
various cognitive tasks assessing impulsivity and working memory. RESULTS:
Participants with depression who gambled had significantly worse gambling urges
and behaviors. In addition, they reported significantly higher rates of anxiety
(P < .001), suicidality (P < .001), alcohol (P = .036) and substance dependence
(P = .009), compulsive buying (P = .004), and lower quality of life (P = .007).
The depressed participants also demonstrated significantly greater impairments on
cognitive tasks assessing spatial working memory. CONCLUSIONS: This research
suggests that at-risk gamblers with depression differ clinically and cognitively
from at-risk gamblers without depressive symptoms. These findings may have
implications for treatment interventions.
PMID- 26554368
TI - Psychometric properties of the Self-Report Wender-Reimherr Adult Attention
Deficit Disorder Scale.
AB - BACKGROUND: The Self-Report Wender-Reimherr Adult Attention Deficit Disorder
Scale (SR-WRAADDS) assesses the same 7 attention-deficit/ hyperactivity disorder
(ADHD) domains as the interviewer-administered WRAADDS. METHODS: A normative
sample was recruited, and additional participants came from trials involving
ADHD, anxiety, or depression. Using the investigator-administered WRAADDS,
participants in the ADHD sample were classified as ADHD inattentive presentation
or ADHD emotional dysregulation presentation. RESULTS: In the ADHD sample, the SR
WRAADDS correlated with the investigator-rated version WRAADDS (P < .001). In
comparing adults with ADHD with normal controls, all SR-WRAADDS domains
demonstrated discriminate validity (P < .001); a cut point was identified
yielding sensitivity of 97% and specificity of 89%. In comparison, in screening
for ADHD in depression or anxiety disorders, sensitivity was 87% and specificity,
49%. Internal consistency was satisfactory (Cronbach alpha = 0.78; split-half
reliability r = 0.92). Factor analysis yielded a 2-factor solution: one reflected
emotional dysregulation; the other, inattention and disorganization. Detecting
ADHD emotional dysregulation presentation within the ADHD sample, as the
"disorder-of-interest," SR-WRAADDS and the investigator-rated WRAADDS agreement
was 72% (sensitivity, 87%; specificity, 49%). The SR-WRAADDS detected a
methylphenidate vs placebo treatment effect (P < .001). CONCLUSIONS: The
psychometric properties of the SR-WRAADDS support its use in research and
clinical practice. Emotional domains are integral to its assessment of adult
ADHD.
PMID- 26554369
TI - Rapid adipose deposition with mood disorders.
AB - BACKGROUND: Persons with bipolar disorder represent a high-risk group for
obesity, but little is known about the time course by which weight gain occurs in
bipolar disorder. METHODS: We prospectively studied changes in fat distribution
using dual-energy x-ray absorptiometry in relationship to medication exposure and
mood symptom burden in 36 participants with bipolar disorder. We assessed the
relationship between prior medication exposure and course of illness with
adiposity measures at baseline (N = 36) and at 6-month follow-up (N = 22).
RESULTS: At baseline, greater adiposity was associated with advanced age and
female sex, not retrospectively assessed symptom course or medication exposure
(past 2 years). Over 6 months of prospective follow-up, participants developed
greater adiposity (fat mass index +0.82 kg/m(2), P = .007; visceral fat area +8.6
cm(2), P = .02; total percent fat +1.6%, P = .02). Manic symptomatology, not
antipsychotic exposure, was related to the increased adiposity. CONCLUSIONS:
Acute exacerbations of mood disorders appear to represent high-risk periods for
adipose deposition. Obesity prevention efforts may be necessary during acute
exacerbations.
PMID- 26554370
TI - A meta-analysis of placebo-controlled trials of omega-3 fatty acid augmentation
in schizophrenia: Possible stage-specific effects.
AB - BACKGROUND: Omega-3 fatty acids have shown promise as an adjunctive treatment for
schizophrenia. However, efficacy across studies has been inconsistent. We
conducted a meta-analysis of published controlled studies with the goal of
detecting different efficacy profiles at various stages of schizophrenia.
METHODS: An online search was conducted for randomized, double-blind, placebo
controlled clinical trials, and a meta-analysis was conducted. RESULTS: Ten
studies met the criteria for inclusion. Among patients in the prodromal phase of
schizophrenia, omega-3 supplementation reduced psychotic symptom severity and
lowered conversion rates to first-episode psychosis. In patients with first
episode schizophrenia, omega-3 decreased nonpsychotic symptoms, required lower
antipsychotic medication dosages, and improved early treatment response rates.
Omega-3 had mixed results in patients with stable chronic schizophrenia, with
only some patients experiencing significant benefits. Among patients with chronic
schizophrenia, use of omega-3 fatty acids both by those experiencing acute
exacerbations and those who had discontinued antipsychotic medications resulted
in worsening of psychotic symptoms. CONCLUSIONS: The data suggest that omega-3
fatty acids may be efficacious in reducing clinical symptoms for patients in the
earlier stages of schizophrenia (prodrome and first episode), while producing
mixed results for patients in the chronic stages. Based on these results, omega-3
fatty acids would not be recommended for acute exacerbations in patients with
chronic schizophrenia nor for relapse prevention after discontinuation of
antipsychotics.
PMID- 26554371
TI - Making psychotherapy for borderline personality disorder accessible.
AB - BACKGROUND: Psychotherapies specifically designed for borderline personality
disorder (BPD) are the most effective form of treatment for this population, but
these modalities are not easily accessible. METHOD: Narrative review. RESULTS:
Although research shows that such therapies are effective, the best-known methods
are lengthy, expensive, and difficult for patients to access. CONCLUSIONS: This
review recommends that interventions for patients with BPD should be briefer,
less costly, and more accessible.
PMID- 26554372
TI - Topiramate in dextromethorphan abuse.
PMID- 26554373
TI - Rage outbursts in adult obsessive-compulsive disorder.
PMID- 26554375
TI - A case of nicotine overdose-induced seizure on an inpatient psychiatric unit.
PMID- 26554376
TI - A Qualitative Study of Environmental Factors Important for Physical Activity in
Rural Adults.
AB - PURPOSE: Despite increasing evidence that the physical environment impacts on
physical activity among urban-dwellers, little attention has been devoted to
understanding this relationship in rural populations. Work in this area is
further hindered by a lack of environmental measures specifically designed for
rural settings. This qualitative study aimed to explore the salience of urban
physical activity environment constructs among rural adults. METHODS: In 2011, 49
rural men and women from three distinct areas (coastal, animal-based farming,
forestry/plant-based farming) of rural Tasmania, Australia, were purposively
recruited to participate in semi-structured interviews. Interviews explored
features of the built and social environment commonly examined in studies of
urban adults, including functional characteristics (eg, lighting, footpaths,
roads/verges), road and personal safety, availability and accessibility of places
to be active, destinations, and aesthetics. Interviews were recorded, transcribed
verbatim and analysed using a content-thematic approach using QSR NVivo software.
FINDINGS: While some urban environmental constructs were salient to these rural
adults, such as availability of and accessibility to places to be active, some
constructs were operationalised differently, such as road safety (where large
trucks and winding roads rather than traffic density was of concern), or were not
considered relevant (eg, personal safety related to crime, availability of
walkable destinations, aesthetics). CONCLUSIONS: The measurement of the physical
environment in rural populations may require reconsideration and/or modification
to ensure salience and appropriate quantification of associations with physical
activity in future studies.
PMID- 26554377
TI - Down-Regulation of Nucleolar and Spindle-Associated Protein 1 (NUSAP1) Expression
Suppresses Tumor and Cell Proliferation and Enhances Anti-Tumor Effect of
Paclitaxel in Oral Squamous Cell Carcinoma.
AB - BACKGROUND: Nucleolar and spindle-associated protein 1 (NUSAP1) is an important
mitotic regulator. In addition to its crucial function in mitosis, NUSAP1 has
recently received attention due to the interesting roles in carcinogenesis. The
aim of this study was to reveal functional mechanisms of NUSAP1 in oral squamous
cell carcinoma (OSCC). METHODS: mRNA and protein expression levels of NUSAP1 in 9
OSCC-derived cells were analyzed by quantitative reverse transcriptase-polymerase
chain reaction (qRT-PCR) and immunoblotting analyses. The correlation between the
NUSAP1 expression profile and the clinicopathological factors was evaluated by
immunohistochemistry (IHC) in clinical OSCC samples (n = 70). The NUSAP1
knockdown cells were established with short hairpin RNA (shRNA) in OSCC cells,
and functional assays were performed using these cells. In addition to the
evaluation of cellular proliferation and cell cycle, we also investigated the
potential role of NUSAP1 in paclitaxel (PTX)-induced cellular responses. RESULTS:
mRNA and protein expression of NUSAP1 were significantly up-regulated in OSCC
derived cells compared with human normal oral keratinocytes (P < 0.05). IHC
revealed that NUSAP-1 expression is closely associated with primary advanced T
stage (P<0.05). Suppression of NUSAP1 expression levels led to significant (P <
0.05) inhibition of cellular proliferation. Furthermore, apoptosis induced by PTX
was enhanced in NUSAP1 knockdown OSCC cells. CONCLUSIONS: NUSAP1 may be a crucial
biomarker for OSCC. Moreover, down-regulated NUSAP1 expression suppresses tumor
proliferation and also enhances anti-tumor effect of PTX by activating apoptotic
pathways. Thus, the present study strongly suggests that regulating NUSAP1
expression should contribute to the therapy for OSCC.
PMID- 26554378
TI - Evaluation of Salivary Secretor Status of Blood Group Antigens in Patients with
Oral Lichen Planus.
AB - OBJECTIVE: To investigate the relationship between secretion or nonsecretion of
blood group antigens into the saliva and oral lichen planus (OLP). SUBJECTS AND
METHODS: In this study, 30 patients (women: 22, men: 8) with OLP were examined as
the case group and 30 subjects without OLP matched for age and gender as the
control group. Diagnosis of OLP was confirmed by clinical and histopathological
examinations according to WHO criteria. The control group was randomly selected
from healthy individuals without pathological oral changes seeking dental
treatment. In both groups, blood group type was determined by hemagglutination,
and unstimulated saliva was collected using the Navazesh technique. Establishment
of salivary secretor status was carried out using the Wiener agglutination test.
The data were analyzed using a Chi2 test, Fisher's exact test, and logistic
regression. RESULTS: The patients with OLP (cases), including 22 (36.7%) women
and 8 (13.3%) men with a mean age of 51 +/- 14.16 years, were compared with
healthy subjects (controls), comprised of 25 (41.7%) women and 5 (8.3%) men with
a mean age of 50.7 +/- 13.56 years. A large majority of the people examined in
both groups were secretors of blood group A. On the other hand, most OLP patients
were blood group B. In the case group, 25 subjects (84.4%) were secretors and 5
(16.6%) were nonsecretors. In the control group, 24 subjects (80.0%) were
secretors and 6 (20.0%) were nonsecretors. There was no significant difference
between the case and control groups for secretor status (p = 0.73). CONCLUSION:
The present study did not indicate a significant difference in salivary secretor
status between OLP patients compared to controls.
PMID- 26554379
TI - The Neuropilin-1 Inhibitor, ATWLPPR Peptide, Prevents Experimental Diabetes
Induced Retinal Injury by Preserving Vascular Integrity and Decreasing Oxidative
Stress.
AB - Neuropilin-1 (NRP-1) is a transmembrane glycoprotein. As a VEGF co-receptor, NRP1
significantly enhances VEGFR2 signaling and promotes vascular permeability and
migration. The purpose of this study was to evaluate the effects of an NRP-1
inhibitor, ATWLPPR peptide, on the early stages of diabetic retinopathy. Eight
week-old male C57BL/6 mice were divided into three groups: a Normal group, a
Diabetes (DB) ATWLPPR treatment group and a DB saline group. Electroretinography
(ERG), fundus fluorescence angiography (FFA) and leukostasis were examined to
evaluate the retinal injury induced by diabetes at the end of the fifth week
after STZ injection. Occludin expression and extravasation of albumin were
measured to determine the extent of vascular injury. The oxidative stress level
and the levels of inflammation-associated proteins were also assayed. The results
indicated that treatment with ATWLPPR prevents the abnormal condition of ERG
(amplitudes of b-wave decreased and implicit time increased) and vascular injury
(occludin degradation and increase in extravasated albumin). These effects were
associated with a reduction in the oxidase stress level and the expression of
VEGF, GFAP, and ICAM-1. We conclude that ATWLPPR, an NRP-1 inhibitor, may reduce
the early retinal damage induced by diabetes by preserving vascular integrity and
decreasing the oxidative stress level. Blockade of NRP-1 may be a new therapeutic
strategy for the early stages of DR.
PMID- 26554380
TI - Mutational Profiling Can Establish Clonal or Independent Origin in Synchronous
Bilateral Breast and Other Tumors.
AB - BACKGROUND: Synchronous tumors can be independent primary tumors or a primary
metastatic (clonal) pair, which may have clinical implications. Mutational
profiling of tumor DNA is increasingly common in the clinic. We investigated
whether mutational profiling can distinguish independent from clonal tumors in
breast and other cancers, using a carefully defined test based on the Clonal
Likelihood Score (CLS = 100 x # shared high confidence (HC) mutations/ # total HC
mutations). METHODS: Statistical properties of a formal test using the CLS were
investigated. A high CLS is evidence in favor of clonality; the test is
implemented as a one-sided binomial test of proportions. Test parameters were
empirically determined using 16,422 independent breast tumor pairs and 15 primary
metastatic tumor pairs from 10 cancer types using The Cancer Genome Atlas.
RESULTS: We validated performance of the test with its established parameters,
using five published data sets comprising 15,758 known independent tumor pairs
(maximum CLS = 4.1%, minimum p-value = 0.48) and 283 known tumor clonal pairs
(minimum CLS 13%, maximum p-value <0.01), across renal cell, testicular, and
colorectal cancer. The CLS test correctly classified all validation samples but
one, which it appears may have been incorrectly classified in the published data.
As proof-of-concept we then applied the CLS test to two new cases of invasive
synchronous bilateral breast cancer at our institution, each with one hormone
receptor positive (ER+/PR+/HER2-) lobular and one triple negative ductal
carcinoma. High confidence mutations were identified by exome sequencing and
results were validated using deep targeted sequencing. The first tumor pair had
CLS of 81% (p-value < 10-15), supporting clonality. In the second pair, no common
mutations of 184 variants were validated (p-value >0.99), supporting
independence. A plausible molecular mechanism for the shift from hormone receptor
positive to triple negative was identified in the clonal pair. CONCLUSION: We
have developed the statistical properties of a carefully defined Clonal
Likelihood Score test from mutational profiling of tumor DNA. Under identified
conditions, the test appears to reliably distinguish between synchronous tumors
of clonal and of independent origin in several cancer types. This approach may
have scientific and clinical utility.
PMID- 26554381
TI - Sea-level feedback lowers projections of future Antarctic Ice-Sheet mass loss.
AB - The stability of marine sectors of the Antarctic Ice Sheet (AIS) in a warming
climate has been identified as the largest source of uncertainty in projections
of future sea-level rise. Sea-level fall near the grounding line of a retreating
marine ice sheet has a stabilizing influence on the ice sheets, and previous
studies have established the importance of this feedback on ice age AIS
evolution. Here we use a coupled ice sheet-sea-level model to investigate the
impact of the feedback mechanism on future AIS retreat over centennial and
millennial timescales for a range of emission scenarios. We show that the
combination of bedrock uplift and sea-surface drop associated with ice-sheet
retreat significantly reduces AIS mass loss relative to a simulation without
these effects included. Sensitivity analyses show that the stabilization tends to
be greatest for lower emission scenarios and Earth models characterized by a thin
elastic lithosphere and low-viscosity upper mantle, as is the case for West
Antarctica.
PMID- 26554382
TI - Serum Lipids and Breast Cancer Risk: A Meta-Analysis of Prospective Cohort
Studies.
AB - PURPOSE: Epidemiologic studies exploring causal associations between serum lipids
and breast cancer risk have reported contradictory results. We conducted a meta
analysis of prospective cohort studies to evaluate these associations. METHODS:
Relevant studies were identified by searching PubMed and EMBASE through April
2015. We included prospective cohort studies that reported relative risk (RR)
estimates with 95% confidence intervals (CIs) for the associations of specific
lipid components (i.e., total cholesterol [TC], high-density lipoprotein
cholesterol [HDL-C], low-density lipoprotein cholesterol [LDL-C], and
triglycerides [TG]) with breast cancer risk. Either a fixed- or a random-effects
model was used to calculate pooled RRs. RESULTS: Fifteen prospective cohort
studies involving 1,189,635 participants and 23,369 breast cancer cases were
included in the meta-analysis. The pooled RRs of breast cancer for the highest
versus lowest categories were 0.96 (95% CI: 0.86-1.07) for TC, 0.92 (95% CI: 0.73
1.16) for HDL-C, 0.90 (95% CI: 0.77-1.06) for LDL-C, and 0.93 (95% CI: 0.86-1.00)
for TG. Notably, for HDL-C, a significant reduction of breast cancer risk was
observed among postmenopausal women (RR = 0.77, 95% CI: 0.64-0.93) but not among
premenopausal women. Similar trends of the associations were observed in the dose
response analysis. CONCLUSIONS: Our findings suggest that serum levels of TG but
not TC and LDL-C may be inversely associated with breast cancer risk. Serum HDL-C
may also protect against breast carcinogenesis among postmenopausal women.
PMID- 26554384
TI - General health and state anxiety in patients recovering from colorectal cancer
surgery.
AB - AIM: To describe and compare general health and state anxiety before surgery and
up to 6 months after surgery in patients with colorectal cancer undergoing
elective rectal resection, abdominoperineal resection or colonic resection in an
enhanced recovery context. BACKGROUND: Little is known about patient-reported
health and anxiety after colorectal cancer surgery in an enhanced recovery
context. DESIGN: A prospective cohort study. METHODS: Data were collected from
October 2011-February 2013. The questionnaires - EuroQol 5-Dimensions 3-Levels
and State-Trait Anxiety Inventory - were answered before surgery, at discharge
and 1 and 6 months after surgery. Data were analysed using inferential statistics
to describe differences between groups of patients (Kruskal-Wallis test) and
between assessments within groups (Friedman's anova). RESULTS: Patients (N =
105), divided into three groups based on surgical procedure, showed a high health
index and a low state anxiety in all assessments without differences between the
groups. Patients considered for abdominoperineal resection rated their pre
operative health as significantly higher than patients after rectal and colonic
resections did. Within groups, patients recovering from abdominoperineal
resection and rectal resection deteriorated significantly in health index 1 month
after surgery. However, after 6 months they had improved again. After colonic
resection, patients improved significantly in self-rated health and anxiety 1
month after surgery with no further discernible improvement after 6 months.
CONCLUSION: The study showed that patients generally had a high level of health
and a low level of anxiety throughout the study period. Only a few differences
occurred between assessments within groups.
PMID- 26554383
TI - Evaluation of Xpert(r) MTB/RIF Assay in Induced Sputum and Gastric Lavage Samples
from Young Children with Suspected Tuberculosis from the MVA85A TB Vaccine Trial.
AB - OBJECTIVE: Diagnosis of childhood tuberculosis is limited by the paucibacillary
respiratory samples obtained from young children with pulmonary disease. We aimed
to compare accuracy of the Xpert(r) MTB/RIF assay, an automated nucleic acid
amplification test, between induced sputum and gastric lavage samples from young
children in a tuberculosis endemic setting. METHODS: We analyzed standardized
diagnostic data from HIV negative children younger than four years of age who
were investigated for tuberculosis disease near Cape Town, South Africa [2009
2012]. Two paired, consecutive induced sputa and early morning gastric lavage
samples were obtained from children with suspected tuberculosis. Samples
underwent Mycobacterial Growth Indicator Tube [MGIT] culture and Xpert MTB/RIF
assay. We compared diagnostic yield across samples using the two-sample test of
proportions and McNemar's chi2 test; and Wilson's score method to calculate
sensitivity and specificity. RESULTS: 1,020 children were evaluated for
tuberculosis during 1,214 admission episodes. Not all children had 4 samples
collected. 57 of 4,463[1.3%] and 26 of 4,606[0.6%] samples tested positive for
Mycobacterium tuberculosis on MGIT culture and Xpert MTB/RIF assay respectively.
27 of 2,198[1.2%] and 40 of 2,183[1.8%] samples tested positive [on either Xpert
MTB/RIF assay or MGIT culture] on induced sputum and gastric lavage samples,
respectively. 19/1,028[1.8%] and 33/1,017[3.2%] admission episodes yielded a
positive MGIT culture or Xpert MTB/RIF assay from induced sputum and gastric
lavage, respectively. Sensitivity of Xpert MTB/RIF assay was 8/30[26.7%; 95% CI:
14.2-44.4] for two induced sputum samples and 7/31[22.6%; 11.4-39.8] [p = 0.711]
for two gastric lavage samples. Corresponding specificity was 893/893[100%;99.6
100] and 885/890[99.4%;98.7-99.8] respectively [p = 0.025]. CONCLUSION:
Sensitivity of Xpert MTB/RIF assay was low, compared to MGIT culture, but
diagnostic performance of Xpert MTB/RIF did not differ sufficiently between
induced sputum and gastric lavage to justify selection of one sampling method
over the other, in young children with suspected pulmonary TB. TRIAL
REGISTRATION: ClinicalTrials.gov NCT00953927.
PMID- 26554385
TI - Principal Component Analysis and Risk Factors for Acute Mountain Sickness upon
Acute Exposure at 3700 m.
AB - OBJECTIVE: We aimed to describe the heterogeneity in the clinical presentation of
acute mountain sickness (AMS) and to identify its primary risk factors. METHODS:
The participants (n = 163) received case report form questionnaires, and their
heart rate (HR), oxygen saturation (SpO2), echocardiographic and transcranial
Doppler variables, ability to perform mental and physical work, mood and
psychological factors were assessed within 18 to 22 hours after arriving at 3700
m from sea level (500 m) by plane. First, we examined the differences in all
variables between the AMS-positive and the AMS-negative groups. Second, an
adjusted regression analysis was performed after correlation and principal
component analyses. RESULTS: The AMS patients had a higher diastolic vertebral
artery velocity (Vd; p = 0.018), a higher HR (p = 0.006) and a lower SpO2. The
AMS subjects also experienced poorer sleep quality, as quantified using the
Athens Insomnia Scale (AIS). Moreover, the AMS population exhibited more negative
mood states, including anxiety, depression, hostility, fatigue and confusion.
Five principal components focused on diverse aspects were also found to be
significant. Additionally, more advanced age (p = 0.007), a higher HR (p =
0.034), a higher Vd (p = 0.014), a higher AIS score (p = 0.030), a decreased
pursuit aiming capacity (p = 0.035) and decreased vigor (p = 0.015) were risk
factors for AMS. CONCLUSIONS: Mood states play critical roles in the development
of AMS. Furthermore, an elevated HR and Vd, advanced age, elevated AIS sores,
insufficient vigor and decreased mental work capacity are independent risk
factors for AMS.
PMID- 26554386
TI - Differential modulation of methamphetamine-mediated behavioral sensitization by
overexpression of Mu opioid receptors in nucleus accumbens and ventral tegmental
area.
AB - RATIONALE: Repeated administration of methamphetamine (Meth) induces behavioral
sensitization which is characterized by a progressive increase in locomotor
response after each injection. Previous studies have shown that Mu opioid
receptors (MORs) can regulate Meth-mediated behavioral sensitization. However,
the reported interactions are controversial; systemic activation of MORs either
enhanced or suppressed Meth sensitization. It is possible that alteration of Meth
sensitization after systemic administration of MOR ligands reflects the sum of
distinct MOR reactions in multiple brain regions. OBJECTIVES: The purpose of the
present study was to examine the actions of MORs on Meth sensitization after
regionally selective overexpression of human MOR through an AAV6-based gene
delivery system. METHOD: We demonstrated that adeno-associated virus (AAV)-MOR
increased MOR immunoreactivity and binding in vitro. AAV-MOR or AAV-green
fluorescent protein (GFP) was injected into the nucleus accumbens (NAc) or
ventral tegmental area (VTA) of adult mice. Two weeks after viral infection,
animals received Meth or saline for five consecutive days. Locomotor behavior and
striatal dopamine (DA) and 3,4-dihydroxyphenylacetic acid (DOPAC) level were
determined. RESULTS: Repeated administration of Meth progressively increased
locomotor activity; this sensitization reaction was attenuated by intra-NAc AAV
MOR microinjections. Infusion of AAV-MOR to VTA enhanced Meth sensitization. AAV
MOR significantly enhanced DA levels in VTA after VTA infection but reduced
DOPAC/DA turnover in the NAc after NAc injection. CONCLUSION: Our data suggest a
differential modulation of Meth sensitization by overexpression of MOR in NAc and
VTA. Regional manipulation of MOR expression through AAV may be a novel approach
to control Meth abuse and psychomimetic activity.
PMID- 26554387
TI - Choosing voluntary exercise over sucrose consumption depends upon dopamine
transmission: effects of haloperidol in wild type and adenosine A2AKO mice.
AB - RATIONALE: Mesolimbic dopamine (DA) regulates behavioral activation and effort
related decision-making in motivated behaviors. Mesolimbic DA D2 receptors are co
localized with adenosine A2A receptors, and they interact in an antagonistic
manner. OBJECTIVES: A T-maze task was developed to assess dopaminergic
involvement in preference between a reinforcer that involves vigorous voluntary
activity (running wheel) and a reinforcer that requires minimal behavioral
activation (sucrose pellets). Haloperidol (D2 antagonist) was administered to
adenosine A2A receptor knockout (A2AKO) and wild-type (WT) littermate controls to
assess the involvement of these two receptors in the selection of running wheel
activity versus sucrose consumption. RESULTS: Under control conditions, mice
spent more time running and less time eating. In WT mice, haloperidol reduced
time running but actually increased time-consuming sucrose. However, A2AKO mice
did not show the haloperidol-induced shift from running wheel activity to sucrose
intake. Prefeeding reduced sucrose consumption in the T-maze in both strains,
indicating that this paradigm is sensitive to motivational devaluation.
Haloperidol increased c-Fos immunoreactivity in anterior cingulate cortex (ACg)
and nucleus accumbens (Acb) core of WT but not KO mice. CONCLUSIONS: These
results indicate that after DA antagonism, the preference for vigorous physical
activity is reduced, while palatable food selection increases. Adenosine A2A
receptor deletion provides resistance to these effects of D2 receptor antagonism.
These two receptors in Acb core and ACg seem to be involved in the regulation of
the intrinsic reinforcing characteristics of voluntary exercise but not in the
regulation of the primary reinforcing characteristics of palatable sedentary
reinforcers.
PMID- 26554388
TI - Social dominance in rats: effects on cocaine self-administration, novelty
reactivity and dopamine receptor binding and content in the striatum.
AB - RATIONALE: Studies in human and non-human primates demonstrate that social status
is an important determinant of cocaine reinforcement. However, it is unclear
whether social rank is associated with other traits that also predispose to
addiction and whether social status similarly predicts cocaine self
administration in rats. OBJECTIVES: The objective of this study is to investigate
whether social ranking assessed using a resource competition task affects (i) the
acquisition, maintenance and reinstatement of cocaine self-administration; (ii)
the dopaminergic markers in the striatum; and (iii) the expression of ancillary
traits for addiction. METHODS: Social ranking was determined in group-housed rats
based upon drinking times during competition for a highly palatable liquid. Rats
were then evaluated for cocaine self-administration and cue-induced drug
reinstatement or individual levels of impulsivity, anxiety and novelty-induced
locomotor activity. Finally, dopamine content, dopamine transporter (DAT) and
dopamine D2/D3 (D2/3) receptor binding were measured postmortem in the dorsal and
ventral striatum. RESULTS: Rats deemed socially dominant showed enhanced novelty
reactivity but were neither more impulsive nor anxious compared with subordinate
rats. Dominant rats additionally maintained higher rates of cocaine self
administration but showed no differences in the acquisition, extinction and
reinstatement of this behaviour. D2/3 binding was elevated in the nucleus
accumbens shell and dorsal striatum of dominant rats when compared to subordinate
rats, and was accompanied by elevated DAT and reduced dopamine content in the
nucleus accumbens shell. CONCLUSIONS: These findings show that social hierarchy
influences the rate of self-administered cocaine but not anxiety or impulsivity
in rats. Similar to non-human primates, these effects may be mediated by striatal
dopaminergic systems.
PMID- 26554389
TI - Response to novelty and cocaine stimulant effects: lack of stability across
environments in female Swiss mice.
AB - RATIONALE: In humans, novelty/sensation seeking is seen as a personality trait
with a positive relationship with addiction vulnerability. In animal studies, one
of the standard procedures to model novelty seeking is the "response to novelty,"
i.e., the levels of locomotor activity in a new environment. In rodents, a
positive correlation was demonstrated between the response to novelty and several
effects of drugs, especially the locomotor stimulant effects of cocaine.
OBJECTIVES: The present study was designed to test in mice whether the response
to novelty is stable across environments and whether its relationship with the
stimulant effects of cocaine is altered by environmental changes. Experiment 1
assessed the responses to novelty of the same mice in two different novel
environments. Experiment 2 tested the correlation between response to novelty and
acute stimulant effects of cocaine recorded in two distinct environments.
RESULTS: The results show a weak correlation only during the first 5 min of the
session between the responses to novelty measured in two distinct environments.
Experiment 2 demonstrates that novelty responses and stimulant effects of cocaine
are positively correlated only when both behavioral responses are measured in the
same environment. In contrast, the relationship between response to novelty and
acute stimulant effects of cocaine is completely lost when the behavioral
responses are recorded in two different environments. CONCLUSIONS: The present
results question the usual interpretation of the correlation between the response
to novelty and the stimulant effects of cocaine as reflecting a relationship
between two underlying individual stable characteristics.
PMID- 26554391
TI - Immunostimulatory effect of tetanus toxoid loaded chitosan nanoparticles
following microneedles assisted immunization.
AB - The present study investigated potential of tetanus toxoid loaded chitosan
nanoparticles (TT-Ch-NPs) following bare topical and microneedles assisted
immunization. The TT-Ch-NPs were prepared by ionotropic gelation method using
poly(sodium-4-styrene sulfonate) (PSS) as crosslinking agent which exhibited ~208
nm size and ~99% entrapment efficiency. The manufacturing process did not have
any detrimental effect on integrity and conformation of antigen. The in vitro
analysis demonstrated higher skin penetration following microneedles assisted
immunization. In vivo immunization studies exhibited that TT-Ch-NPs delivered
through microneedles induced comparable IgG and IgG1 titer, yet higher IgG2a
titer than commercial TT vaccine. Similarly, microneedles assisted administration
of TT-Ch-NPs generated higher Th1 cytokines, albeit no significant alteration in
Th2 cytokines levels than commercial TT vaccine. In conclusion, microneedles
assisted administration of TT-Ch-NPs especially via hollow microneedles (HMN)
could be considered as best preferred route for immunization due to induction of
more balanced Th1/Th2 biased immune response. From the Clinical Editor: The use
of skin as a route for vaccination has been a clinically important topic for some
time. In this article, the authors investigated the efficacy of both solid
microneedles and hollow microneedles as methods for topical delivery of tetanus
toixoid. The positive finding in the experiments could provide a better method
for vaccination in the clinical setting in the future.
PMID- 26554390
TI - A novel acetylcholinesterase inhibitor and calcium channel blocker SCR-1693
improves Abeta25-35-impaired mouse cognitive function.
AB - RATIONALE: The mechanism involved in AD is complex, which has prompted to develop
compounds that could simultaneously interact with several potential targets.
Here, we report a new synthesized compound SCR-1693 which is designed to target
both AChE and calcium channels that are potential for AD therapy. OBJECTIVES: We
investigated the effects of SCR-1693 on AChE and calcium channels, the effects of
neuroprotection and anti-amnesia in icv-Abeta25-35-injected mice, and the
potential mechanisms. METHODS: AChE activity assay, intracellular Ca(2+) content
and calcium currents measurement, and Abeta25-35-induced cellular death determine
were performed for validation of designed targets and neuroprotection of SCR
1693. Mice were orally administrated with SCR-1693 once daily after an Abeta25-35
injection. The Morris water maze and Y-maze test, and hippocampal protein
detection were conducted on days 5-10, day 11, and day 8. The pyramidal neuron
number, hippocampal AChE activity, and synaptic transmission were measured on day
12. RESULTS: SCR-1693 acted as a selective, reversible, and noncompetitive
inhibitor of AChE, and a nonselective voltage-gated calcium channel blocker. SCR
1693 also inhibited the increase of AChE activity in the mouse hippocampus. SCR
1693 was more effective than donepezil and memantine in preventing Abeta25-35
induced long-term and short-term memory impairment, maintaining the basal
transmission of Schaffer collateral-CA1 synapses, and sustaining LTP in mouse
hippocampus. SCR-1693 attenuated Abeta25-35-induced death of SH-SY5Y cell and the
loss of hippocampal pyramidal neurons, and regulated Abeta25-35-induced signal
cascade in neurons. CONCLUSIONS: All these findings indicated that SCR-1693, as a
double-target-direction agent, is a considerable candidate for AD therapy.
PMID- 26554392
TI - Nanomechanical and surface properties of rMSCs post-exposure to CAP treated
UHMWPE wear particles.
AB - Wear debris generated by ultra-high molecular weight polyethylene (UHMWPE) used
in joint replacement devices has been of concern due to reductions of the implant
longevity. Cold atmospheric plasma (CAP) has been used to improve the wear
performance of UHMWPE. Our aim was to investigate the elastic and adhesive
properties of rat mesenchymal stem cells (rMSCs), through AFM, after exposure to
UHMWPE wear debris pre- and post-CAP treatment. The results indicated that the
main changes in cell elasticity and spring constant of MSC exposed to wear
particles occurred in the first 24 h of contact and the particle concentration
from 0.5 to 50 mg/l did not play a significant role. For UHMWPE treated for 7.5
min, with progression of the wear simulation the results of the CAP treated
samples were getting closer to the result of untreated samples; while with longer
CAP treatment this was not observed. FROM THE CLINICAL EDITOR: Joint replacements
are now common clinical practice. However, the use of ultra-high molecular weight
polyethylene (UHMWPE) still poses a concern, due to the presence of wear debris.
The authors here investigated the effects of wear debris after cold atmospheric
plasma treatment on rat mesenchymal stem cells. The positive results provided new
strategies in future design of joint replacement materials.
PMID- 26554393
TI - Designing idiosyncratic hmPCL-siRNA nanoformulated capsules for silencing and
cancer therapy.
AB - In this work, we have designed a siRNA-nanoformulation with mesoporous
polycaprolactone (hmPCL) for silencing and cancer therapy. Average hollow core
size of hmPCL nanocapsules used for nanoformulation is ~180 nm with shell
thickness of 10-20 nm and mesopore size of ~5-10nm in diameter. Idiosyncratic
capsules are biocompatible which has been confirmed with normal lymphocyte, K562
leukaemia cancer cells and on HepG2/EGFP cancer cells. In 1mg of hmPCL capsules
up to 400 ng of siRNA can be loaded. This nanoformulation enables to tune the
dose dependent delivery up to ~93.25% (373 ng) siRNA during therapy. hmPCL-siRNA
nanoformulation mediated siRNA transfection on HepG2 cancer cells has been
investigated and exhibited 32% silencing activity within 24h of post
transfection. Obtained results directed us that the hmPCL-siRNA nanoformulation
could be an efficient tool in siRNA mediated therapy for knocking down the
infected cells. FROM THE CLINICAL EDITOR: siRNA could be used in cancer therapy
if naked nucleic acid could be transported using a suitable carrier. In this
article, the authors developed a nano-carrier system using mesoporous
polycaprolactone (hmPCL) and showed its efficacy in knocking down cancer cells.
This approach may open another way of gene therapy.
PMID- 26554394
TI - Spitz naevi and melanomas with similar dermoscopic patterns: can confocal
microscopy differentiate?
AB - BACKGROUND: Differentiating Spitz naevi from melanomas can be difficult both
clinically and dermoscopically. Previous studies have reported the potential role
of in vivo reflectance confocal microscopy (RCM) in increasing diagnostic
accuracy. OBJECTIVES: To define RCM criteria that can differentiate 'false
twins', namely Spitz naevi and melanomas sharing similar dermoscopic appearance.
METHODS: Lesions histopathologically diagnosed as Spitz naevi or melanomas were
retrospectively retrieved. They were selected to cover all dermoscopic types and
were put into couples sharing similar aspects. Lesions were classified into three
main dermoscopic categories: globular and starburst pattern, spitzoid with dotted
vessels, and multicomponent or aspecific pattern. RESULTS: RCM findings revealed
that striking cell pleomorphism within the epidermis, widespread atypical cells
at the dermoepidermal junction and marked pleomorphism within nests were
significantly associated with the diagnosis of melanoma, while spindled cells and
peripheral clefting were found exclusively with and pathognomonic of Spitz naevi.
Furthermore, the analysis of a dermoscopic subgroup highlights the importance of
striking pleomorphism and spindled cells as clues to differentiate 'false twins'
with globular or starburst patterns. CONCLUSIONS: The current study highlights
the role of RCM in discriminating 'false twins' of Spitz naevi and melanomas for
lesions showing starburst and globular patterns on dermoscopy, whereas RCM is not
useful in the other dermoscopic subgroups.
PMID- 26554395
TI - Association of Joint Inflammation With Pain Sensitization in Knee Osteoarthritis:
The Multicenter Osteoarthritis Study.
AB - OBJECTIVE: Pain sensitization is associated with pain severity in knee
osteoarthritis (OA), but its cause in humans is not well understood. We examined
whether inflammation, assessed as synovitis and effusion on magnetic resonance
imaging (MRI), or mechanical load, assessed as bone marrow lesions (BMLs), was
associated with sensitization in knee OA. METHODS: Subjects in the Multicenter
Osteoarthritis Study, a National Institutes of Health-funded cohort of persons
with or at risk of knee OA, underwent radiography and MRI of the knee, and
standardized quantitative sensory testing (temporal summation and pressure pain
threshold [PPT]) of the wrist and patellae at baseline and 2 years later. We
examined the relation of synovitis, effusion, and BMLs to temporal summation and
PPT cross-sectionally and longitudinally. RESULTS: There were 1,111 subjects in
the study sample (mean age 67 years, mean body mass index 30 kg/m(2) , 62%
female). Synovitis was associated with a significant decrease in PPT at the
patella (i.e., more sensitized) over 2 years (adjusted beta -0.30 [95% confidence
interval (95% CI) -0.52, -0.08]). Effusion was similarly associated with a
decrease in PPT at the wrist (adjusted beta -0.24 [95% CI -0.41, -0.08]) and with
risk of incident temporal summation at the patella (adjusted OR 1.54 [95% CI
1.01, 2.36]). BMLs were not associated with either quantitative sensory testing
measure. CONCLUSION: Inflammation, as evidenced by synovitis or effusion, is
associated with pain sensitization in knee OA. In contrast, BMLs do not appear to
contribute to sensitization in knee OA. Early targeting of inflammation is a
reasonable strategy to test for prevention of sensitization and through this,
reduction of pain severity, in knee OA.
PMID- 26554396
TI - In Vitro Model of Physiological and Pathological Blood Flow with Application to
Investigations of Vascular Cell Remodeling.
AB - Vascular disease is a common cause of death within the United States. Herein, we
present a method to examine the contribution of flow dynamics towards vascular
disease pathologies. Unhealthy arteries often present with wall stiffening,
scarring, or partial stenosis which may all affect fluid flow rates, and the
magnitude of pulsatile flow, or pulsatility index. Replication of various flow
conditions is the result of tuning a flow pressure damping chamber downstream of
a blood pump. Introduction of air within a closed flow system allows for a
compressible medium to absorb pulsatile pressure from the pump, and therefore
vary the pulsatility index. The method described herein is simply reproduced,
with highly controllable input, and easily measurable results. Some limitations
are recreation of the complex physiological pulse waveform, which is only
approximated by the system. Endothelial cells, smooth muscle cells, and
fibroblasts are affected by the blood flow through the artery. The dynamic
component of blood flow is determined by the cardiac output and arterial wall
compliance. Vascular cell mechano-transduction of flow dynamics may trigger
cytokine release and cross-talk between cell types within the artery. Co-culture
of vascular cells is a more accurate picture reflecting cell-cell interaction on
the blood vessel wall and vascular response to mechanical signaling. Contribution
of flow dynamics, including the cell response to the dynamic and mean (or steady)
components of flow, is therefore an important metric in determining disease
pathology and treatment efficacy. Through introducing an in vitro co-culture
model and pressure damping downstream of blood pump which produces simulated
cardiac output, various arterial disease pathologies may be investigated.
PMID- 26554397
TI - Perspectives in Engineered Mesenchymal Stem/Stromal Cells Based Anti- Cancer Drug
Delivery Systems.
AB - Understanding and apprehension of the characteristics and circumstances in which
mesenchymal stem cells (MSCs) affect and make alterations (enhance or reduce) to
the growth of tumors and metastasis spread is pivotal, not only for reaching the
possibility to employ MSCs as drug delivery systems, but also for making forward
movement in the existing knowledge of involvement of major factors (tumor
microenvironment, soluble signaling molecules, etc.) in the process of
carcinogenesis. This capability is reliable because MSCs present a great basis
for engineering and constructions of new systems to target cancers, intended to
secrete therapeutic proteins in the tumor region, or for delivering of oncolytic
viruses' directly at the tumor site (targeted chemotherapy with enzyme prodrug
conversion or induction of tumor cell apoptosis). MSCs as a crucial segment of
the tumor surroundings and their confirmed tumor tropism, are assumed to be an
open gateway for the design of promising drug delivery systems. The presented
paper reviews current publications in this fieldwork, searches out the most
recent patents that were published after 2012 (WO2014066122, US20140017787,
WO2015100268, US20150086515), and tries to present the current progress and
future prospective on the design and development in anti-cancer drug delivery
systems based on MSCs.
PMID- 26554398
TI - Impact of gender and menopausal status on metabolic parameters in chronic
hepatitis C infection.
AB - Hepatitis C infection (HCV) and menopause are associated with insulin resistance
(IR), and IR accelerates HCV-induced liver disease. The relationship between
menopause and IR has not been studied in this population. This study aimed to
assess the impact of menopause on IR and metabolic syndrome in HCV. One hundred
and three (69 men, 16 premenopausal, 18 postmenopausal women) noncirrhotic,
nondiabetic HCV-infected adults underwent IR measurement via steady-state plasma
glucose during a 240-min insulin suppression test. Metabolic syndrome was defined
by at least three of five standard laboratory/clinical criteria. The patient
characteristics were as follows: mean age 48 years, waist circumference 94.4 +/-
12.4 cm and 37.9% Caucasian. SSPG was higher in postmenopausal than premenopausal
women or men (mean difference 18, 95% CI -41 to 76 and 35, 95% CI -3 to 72 mg/dL;
respectively). After adjusting for waist circumference, female gender, nonwhite
race and triglycerides were positively associated and high-density lipoprotein
negatively associated with steady-state plasma glucose. Compared to men, both pre
(Coef 48, 95% CI 12-84) and postmenopausal women (Coef 49, 95% CI 17-82) had
higher steady-state plasma glucose. Compared to premenopausal women, men (OR 2.0,
95% CI 0.38-10.2) and postmenopausal women (OR 2.9, 95% CI 0.46-18.8) had higher
odds of metabolic syndrome, but this was statistically nonsignificant. Both liver
inflammation (OR 7.9) and nonwhite race (OR 6.9) were associated with metabolic
syndrome. We conclude that women are at inc-reased risk for IR in HCV. There may
also be an increased risk of metabolic syndrome postmenopause. Along with
lifestyle modification and weight loss, women with metabolic abnormalities
represent an especially at-risk group warranting HCV treatment to prevent adverse
metabolic outcomes.
PMID- 26554399
TI - Assessment of nailfold capillaries with a handheld dermatoscope may discriminate
the extent of organ involvement in patients with systemic sclerosis.
AB - To investigate whether nailfold capillaroscopy (NFC) patterns assessed through an
in-office handheld dermatoscope may reflect the extent of disease severity in
systemic sclerosis (SSc). NFC patterns were evaluated with a non-contact,
polarized light dermatoscope in 40 consecutive patients with SSc and graded in
sequence as 0 = normal, 1 = early, 2 = active, or 3 = late patterns. Disease
severity was measured according to a modified Medsger severity scale (MSS). For
comparisons, patients were grouped in tertiles according to disease severity, and
a numerical correlation between the NFC patterns and the composite MSS score was
assessed. Twenty patients had normal or early NFC patterns, most of them (17
individuals, 85 %) having low to moderate disease severity. In contrast, 18 out
of 20 (90 %) patients with active or late NFC patterns had moderate to high
disease severity. Accordingly, patients with normal/early NFC patterns had a
median MSS score of 4 (interquartile range (IQR), 3-5) as compared with 7 (4-8; P
= 0.02) in those with active/late patterns. A Spearman's rho coefficient of 0.45
(95 % CI, 0.15-0.67; P = 0.003) was found between the graded scale of NFC
patterns and the composite MSS score. A handheld dermatoscope is useful to
visualize the NFC patterns in SSc patients, and it is efficient enough to reflect
the extent of disease severity.
PMID- 26554400
TI - Correction to 'Biophysics of protein evolution and evolutionary protein
biophysics'.
PMID- 26554402
TI - Persistent Pulmonary Hypertension of the Newborn with D-transposition of the
Great Arteries: Management and Prognosis.
AB - OBJECTIVE: There is a paucity of data on clinical correlates and outcomes of
pulmonary hypertension (PH) in patients with D-transposition of the great
arteries (D-TGA) in the era of inhaled nitric oxide (iNO) and extracorporeal
membrane oxygenation (ECMO). Our objective was to compare clinical
characteristics and outcomes of infants with D-TGA with and without PH, defined
as hypoxemia that required iNO and/or ECMO. METHODS: We undertook a single-center
retrospective chart review involving infants with gestational age >=32 weeks with
D-TGA who, underwent arterial switch operation over a 12-year period. Demographic
and clinical data, details of the repair and postoperative complications were
abstracted. RESULTS: Our cohort (n = 93), 61 (66%) of whom were males, had a mean
(SD) gestational age and birth weight of 38.7 (1.8) weeks and 3.2 (0.6) kg,
respectively. PH requiring iNO and/or ECMO was noted in 20 (21.5%) infants.
Infants with PH had significantly lower birth weight [2.8 (0.56) vs. 3.33 (0.61)]
and gestational age [37.7 (2.1) vs. 38.9 (1.7)] than those without PH. Rates of
postoperative complications (duration of pressors, sedative medicaiton and
duration of hospital stay, and mechanical ventilation were higher in the group
with PH. Of the five (5.4%) infants who died, four received iNO and ECMO. Death
or postoperative complications tended to be associated with lower gestational age
[OR 0.689; 95% CI: 0.469-1.012, P = 0.058] but not with D-TGA category or bypass
duration. CONCLUSIONS: Despite aggressive treatment with iNO and ECMO, the
coexistence of PH in this population is associated with higher rates of mortality
and postoperative complications. Our results also suggest that an early term
birth may be associated with PH in infants with D-TGA.
PMID- 26554403
TI - AACR precision medicine series: Highlights of the integrating clinical genomics
and cancer therapy meeting.
AB - The American Association for Cancer Research (AACR) Precision Medicine Series
"Integrating Clinical Genomics and Cancer Therapy" took place June 13-16, 2015 in
Salt Lake City, Utah. The conference was co-chaired by Charles L. Sawyers form
Memorial Sloan Kettering Cancer Center in New York, Elaine R. Mardis form
Washington University School of Medicine in St. Louis, and Arul M. Chinnaiyan
from University of Michigan in Ann Arbor. About 500 clinicians, basic science
investigators, bioinformaticians, and postdoctoral fellows joined together to
discuss the current state of Clinical Genomics and the advances and challenges of
integrating Next Generation Sequencing (NGS) technologies into clinical practice.
The plenary sessions and panel discussions covered current platforms and
sequencing approaches adopted for NGS assays of cancer genome at several national
and international institutions, different approaches used to map and classify
targetable sequence variants, and how information acquired with the sequencing of
the cancer genome is used to guide treatment options. While challenges still
exist from a technological perspective, it emerged that there exists considerable
need for the development of tools to aid the identification of the therapy most
suitable based on the mutational profile of the somatic cancer genome. The
process to match patients to ongoing clinical trials is still complex. In
addition, the need for centralized data repositories, preferably linked to well
annotated clinical records, that aid sharing of sequencing information is central
to begin understanding the contribution of variants of unknown significance to
tumor etiology and response to therapy. Here we summarize the highlights of this
stimulating four-day conference with a major emphasis on the open problems that
the clinical genomics community is currently facing and the tools most needed for
advancing this field.
PMID- 26554401
TI - The sequence of sequencers: The history of sequencing DNA.
AB - Determining the order of nucleic acid residues in biological samples is an
integral component of a wide variety of research applications. Over the last
fifty years large numbers of researchers have applied themselves to the
production of techniques and technologies to facilitate this feat, sequencing DNA
and RNA molecules. This time-scale has witnessed tremendous changes, moving from
sequencing short oligonucleotides to millions of bases, from struggling towards
the deduction of the coding sequence of a single gene to rapid and widely
available whole genome sequencing. This article traverses those years, iterating
through the different generations of sequencing technology, highlighting some of
the key discoveries, researchers, and sequences along the way.
PMID- 26554406
TI - Natural Procreative Technology for Treating Infertility.
PMID- 26554404
TI - The ALK/ROS1 Inhibitor PF-06463922 Overcomes Primary Resistance to Crizotinib in
ALK-Driven Neuroblastoma.
AB - Neuroblastomas harboring activating point mutations in anaplastic lymphoma kinase
(ALK) are differentially sensitive to the ALK inhibitor crizotinib, with certain
mutations conferring intrinsic crizotinib resistance. To overcome this clinical
obstacle, our goal was to identify inhibitors with improved potency that can
target intractable ALK variants such as F1174L. We find that PF-06463922 has high
potency across ALK variants and inhibits ALK more effectively than crizotinib in
vitro. Most importantly, PF-06463922 induces complete tumor regression in both
crizotinib-resistant and crizotinib-sensitive xenograft mouse models of
neuroblastoma, as well as in patient-derived xenografts harboring the crizotinib
resistant F1174L or F1245C mutations. These studies demonstrate that PF-06463922
has the potential to overcome crizotinib resistance and exerts unprecedented
activity as a single targeted agent against F1174L and F1245C ALK-mutated
xenograft tumors, while also inducing responses in an R1275Q xenograft model.
Taken together, these results provide the rationale to move PF-06463922 into
clinical trials for treatment of patients with ALK-mutated neuroblastoma.
SIGNIFICANCE: The next-generation ALK/ROS1 inhibitor PF-06463922 exerts
unparalleled activity in ALK-driven neuroblastoma models with primary crizotinib
resistance. Our biochemical and in vivo data provide the preclinical rationale
for fast-tracking the development of this agent in children with
relapsed/refractory ALK-mutant neuroblastoma.
PMID- 26554407
TI - Prostate Cancer Screening: The Pendulum has Swung, and the Burden of Proof Is
with Proponents.
PMID- 26554408
TI - Prostate Cancer Screening.
AB - Among American men, prostate cancer is the most commonly diagnosed cancer and the
second leading cause of cancer-related death. Although prostate-specific antigen
(PSA) testing has been used to screen for prostate cancer for more than 25 years,
the test has low sensitivity and specificity, and there is no clear evidence for
determining what threshold warrants prostate biopsy. Only one of five randomized
controlled trials of PSA screening showed an effect on prostate cancer-specific
mortality, and the absolute reduction in deaths from prostate cancer was one per
781 men screened after 13 years of follow-up. None of the trials showed benefit
in all-cause mortality, and screening increased prostate cancer diagnoses by
about 60%. Harms of screening include adverse effects from prostate biopsy,
overdiagnosis and overtreatment, and anxiety. One-half of screen-detected
prostate cancers will not cause symptoms in the patient's lifetime, and 80% to
85% of men who choose observation will not die from prostate cancer within 15
years. Adverse effects of radical prostatectomy include perioperative
complications, erectile dysfunction, and urinary incontinence. Radiation therapy
can cause acute toxicity leading to urinary urgency, dysuria, diarrhea, and
rectal pain; late toxicity includes erectile dysfunction, rectal bleeding, and
urethral stricture. Despite variations across guidelines, no organization
recommends routine PSA testing, and all endorse some form of shared decision
making before testing. If screening is performed, it should generally be
discontinued at 70 years of age.
PMID- 26554409
TI - Corticosteroid Injections for Common Musculoskeletal Conditions.
AB - Family physicians considering corticosteroid injections as part of a
comprehensive treatment plan for musculoskeletal diagnoses will find few high
quality studies to assist with evidence-based decision making. Most studies of
corticosteroid injections for the treatment of osteoarthritis, tendinopathy,
bursitis, or neuropathy include only small numbers of patients and have
inconsistent long-term follow-up. Corticosteroid injections for the treatment of
adhesive capsulitis result in short-term improvements in pain and range of
motion. For subacromial impingement syndrome, corticosteroid injections provide
short-term pain relief and improvement in function. In medial and lateral
epicondylitis, corticosteroid injections offer only short-term improvement of
symptoms and have a high rate of symptom recurrence. Corticosteroid injections
for carpal tunnel syndrome may help patients avoid or delay surgery. Trigger
finger and de Quervain tenosynovitis may be treated effectively with
corticosteroid injections. Patients with hip or knee osteoarthritis may have
short-term symptom relief with corticosteroid injections.
PMID- 26554410
TI - Diagnosis and Treatment of Gastroesophageal Reflux in Infants and Children.
AB - Gastroesophageal reflux is defined as the passage of stomach contents into the
esophagus with or without accompanied regurgitation (spitting up) and vomiting.
It is a normal physiologic process that occurs throughout the day in infants and
less often in children and adolescents. Gastroesophageal reflux disease (GERD) is
reflux that causes troublesome symptoms or leads to medical complications. The
diagnoses of gastroesophageal reflux and GERD are based on the history and
physical examination. Diagnostic tests, such as endoscopy, barium study, multiple
intraluminal impedance, and pH monitoring, are reserved for when there are
atypical symptoms, warning signs, doubts about the diagnosis, or suspected
complications or treatment failure. In infants, most regurgitation resolves by 12
months of age and does not require treatment. Reflux in infants may be treated
with body position changes while awake, lower-volume feedings, thickening agents
(i.e., rice cereal), antiregurgitant formula, extensively hydrolyzed or amino
acid formulas, and, in breastfed infants, eliminating cow's milk and eggs from
the mother's diet. Lifestyle changes to treat reflux in children and adolescents
include sleeping position changes; weight loss; and avoiding smoking, alcohol,
and late evening meals. Histamine H2 receptor antagonists and proton pump
inhibitors are the principal medical therapies for GERD. They are effective in
infants, based on low-quality evidence, and in children and adolescents, based on
low- to moderate-quality evidence. Surgical treatment is available, but should be
considered only when medical therapy is unsuccessful or is not tolerated.
PMID- 26554411
TI - Reducing Amputation Rates After Severe Frostbite.
PMID- 26554412
TI - Screening for Thyroid Dysfunction.
PMID- 26554413
TI - Unilateral Shoulder Weakness and Visual Deformity in a Young Military Recruit.
PMID- 26554414
TI - Levomilnacipran (Fetzima) for Major Depressive Disorder.
PMID- 26554416
TI - Gastroesophageal Reflux in Infants and Children.
PMID- 26554418
TI - Modification of Silicone Elastomer Surfaces with Zwitterionic Polymers: Short
Term Fouling Resistance and Triggered Biofouling Release.
AB - We present a method for dual-mode-management of biofouling by modifying surface
of silicone elastomers with zwitterionic polymeric grafts. Poly(sulfobetaine
methacrylate) was grafted from poly(vinylmethylsiloxane) elastomer substrates
using thiol-ene click chemistry and surface-initiated, controlled radical
polymerization. These surfaces exhibited both fouling resistance and triggered
fouling-release functionality. The zwitterionic polymers exhibited fouling
resistance over short-term (~hours) exposure to bacteria and barnacle cyprids.
The biofilms that eventually accumulated over prolonged-exposure (~days) were
easily detached by applying mechanical strain to the elastomer substrate. Such
dual-functional surfaces may be useful in developing environmentally and
biologically friendly coatings for biofouling management on marine, industrial,
and biomedical equipment because they can obviate the use of toxic compounds.
PMID- 26554417
TI - p21-activated kinase group II small compound inhibitor GNE-2861 perturbs estrogen
receptor alpha signaling and restores tamoxifen-sensitivity in breast cancer
cells.
AB - Estrogen receptor alpha (ERalpha) is highly expressed in most breast cancers.
Consequently, ERalpha modulators, such as tamoxifen, are successful in breast
cancer treatment, although tamoxifen resistance is commonly observed. While
tamoxifen resistance may be caused by altered ERalpha signaling, the molecular
mechanisms regulating ERalpha signaling and tamoxifen resistance are not entirely
clear. Here, we found that PAK4 expression was consistently correlated to poor
patient outcome in endocrine treated and tamoxifen-only treated breast cancer
patients. Importantly, while PAK4 overexpression promoted tamoxifen resistance in
MCF-7 human breast cancer cells, pharmacological treatment with a group II PAK
(PAK4, 5, 6) inhibitor, GNE-2861, sensitized tamoxifen resistant MCF-7/LCC2
breast cancer cells to tamoxifen. Mechanistically, we identified a regulatory
positive feedback loop, where ERalpha bound to the PAK4 gene, thereby promoting
PAK4 expression, while PAK4 in turn stabilized the ERalpha protein, activated
ERalpha transcriptional activity and ERalpha target gene expression. Further,
PAK4 phosphorylated ERalpha-Ser305, a phosphorylation event needed for the PAK4
activation of ERalpha-dependent transcription. In conclusion, PAK4 may be a
suitable target for perturbing ERalpha signaling and tamoxifen resistance in
breast cancer patients.
PMID- 26554419
TI - NHash: Randomized N-Gram Hashing for Distributed Generation of Validatable Unique
Study Identifiers in Multicenter Research.
AB - BACKGROUND: A unique study identifier serves as a key for linking research data
about a study subject without revealing protected health information in the
identifier. While sufficient for single-site and limited-scale studies, the use
of common unique study identifiers has several drawbacks for large multicenter
studies, where thousands of research participants may be recruited from multiple
sites. An important property of study identifiers is error tolerance (or
validatable), in that inadvertent editing mistakes during their transmission and
use will most likely result in invalid study identifiers. OBJECTIVE: This paper
introduces a novel method called "Randomized N-gram Hashing (NHash)," for
generating unique study identifiers in a distributed and validatable fashion, in
multicenter research. NHash has a unique set of properties: (1) it is a pseudonym
serving the purpose of linking research data about a study participant for
research purposes; (2) it can be generated automatically in a completely
distributed fashion with virtually no risk for identifier collision; (3) it
incorporates a set of cryptographic hash functions based on N-grams, with a
combination of additional encryption techniques such as a shift cipher; (d) it is
validatable (error tolerant) in the sense that inadvertent edit errors will
mostly result in invalid identifiers. METHODS: NHash consists of 2 phases. First,
an intermediate string using randomized N-gram hashing is generated. This string
consists of a collection of N-gram hashes f1, f2, ..., fk. The input for each
function fi has 3 components: a random number r, an integer n, and input data m.
The result, fi(r, n, m), is an n-gram of m with a starting position s, which is
computed as (r mod |m|), where |m| represents the length of m. The output for
Step 1 is the concatenation of the sequence f1(r1, n1, m1), f2(r2, n2, m2), ...,
fk(rk, nk, mk). In the second phase, the intermediate string generated in Phase 1
is encrypted using techniques such as shift cipher. The result of the encryption,
concatenated with the random number r, is the final NHash study identifier.
RESULTS: We performed experiments using a large synthesized dataset comparing
NHash with random strings, and demonstrated neglegible probability for collision.
We implemented NHash for the Center for SUDEP Research (CSR), a National
Institute for Neurological Disorders and Stroke-funded Center Without Walls for
Collaborative Research in the Epilepsies. This multicenter collaboration involves
14 institutions across the United States and Europe, bringing together extensive
and diverse expertise to understand sudden unexpected death in epilepsy patients
(SUDEP). CONCLUSIONS: The CSR Data Repository has successfully used NHash to link
deidentified multimodal clinical data collected in participating CSR
institutions, meeting all desired objectives of NHash.
PMID- 26554420
TI - The Treatment of Non-Traumatic Meniscus Lesions.
AB - BACKGROUND: Most meniscus lesions are of non-traumatic origin. The indications
for partial meniscectomy are controversial. METHODS: We systematically searched
the literature for randomized controlled trials (RCTs) comparing partial
meniscectomy with non-surgical treatment. RESULTS: Of 6870 articles retrieved by
the literature search, we were able to include six in this systematic review.
Five trials showed no difference between the clinical outcomes of patients who
underwent arthroscopic partial meniscectomy and those who underwent control
treatment (arthroscopic lavage, physiotherapy, glucocorticoids). In three trials,
however, symptoms improved in 21-30% of the patients in the physiotherapy group
only after they underwent arthroscopic partial meniscectomy (crossover design).
In two trials, the percentage of patients who crossed over from one treatment arm
to the other was markedly lower; in one, the frequency of crossing over was not
reported. In one RCT, the patients who underwent arthroscopic partial
meniscectomy had significantly less pain and other symptoms. Five of the six
trials had acceptable scores for method, but all had weaknesses. These mainly
concerned the description of the surgical techniques and the failure to take
account of analgesic use-in particular, the use of non-steroidal antiinflammatory
drugs (NSAIDs). CONCLUSION: For most patients with non-traumatic meniscus
lesions, surgical and non-surgical treatments seem to be of equal value; only one
of the six included trials revealed lower pain and symptom scores after
arthroscopic partial meniscectomy. In multiple trials, however, the crossover
analysis showed that non-surgical treatment fails for some patients. These
patients may benefit from arthroscopic partial meniscectomy. Further trials are
needed to better define this subgroup of patients.
PMID- 26554421
TI - The Reduction in Antibiotic Use in Hospitals.
AB - BACKGROUND: Over 350 000 patients are treated in German hospitals for sepsis or
pneumonia each year. The rate of antibiotic use in hospitals is high. The growing
problem of drug resistance necessitates a reconsideration of antibiotic treatment
strategies. METHODS: Antibiotics were given liberally in the years 2010 and 2011
in a German 312-bed hospital. Special training, standardized algorithms to
prevent unnecessary drug orders, and uniform recommendations were used in 2012
and 2013 to lessen antibiotic use. We retrospectively studied the hospital's
mortality figures and microbiological findings to analyze how well these measures
worked. RESULTS: Antibiotic consumption fell from 67.1 to 51.0 defined daily
doses (DDD) per 100 patient days (p <0.001) from the period 2010-2011 to the
period 2012-2013. The mortality of patients with a main diagnosis of sepsis fell
from 1% (95/305) to 19% (63/327; p = 0.001), while that of patients with a main
diagnosis of pneumonia fell from 12% (22/178) to 6% (15/235; p = 0.038). The
overall mortality fell from 3.0% (623/ 20 954) to 2.5% (576/22 719; p = 0.005).
In patients with nosocomial urinary tract infections with Gram-negative pathogens
(not necessarily exhibiting three- or fourfold drug resistance), the rate of
resistance to three or four of the antibiotics tested fell from 11% to 5%.
CONCLUSION: Reducing in-hospital antibiotic use is an achievable goal and was
associated in this study with lower mortality and less drug resistance. The
findings of this single-center, retrospective study encourage a more limited and
focused approach to the administration of antibiotics.
PMID- 26554422
TI - Extent of Lateral Neck Dissection in Differentiated Thyroid Carcinoma.
PMID- 26554423
TI - In Reply.
PMID- 26554424
TI - Influence of Coherent Tunneling and Incoherent Hopping on the Charge Transfer
Mechanism in Linear Donor-Bridge-Acceptor Systems.
AB - The mechanism of charge transfer has been observed to change from tunneling to
hopping with increasing numbers of DNA base pairs in polynucleotides and with the
length of molecular wires. The aim of this paper is to investigate this
transition by examining the population dynamics using a tight-binding Hamiltonian
with model parameters to describe a linear donor-bridge-acceptor (D-B-A) system.
The model includes a primary vibration and an electron-vibration coupling at each
site. A further coupling of the primary vibration with a secondary phonon bath
allows the system to dissipate energy to the environment and reach a steady
state. We apply the quantum master equation (QME) approach, based on second-order
perturbation theory in a quantum dissipative system, to examine the dynamical
processes involved in charge-transfer and follow the population transfer rate at
the acceptor, ka, to shed light on the transition from tunneling to hopping. With
a small tunneling parameter, V, the on-site population tends to localize and form
polarons, and the hopping mechanism dominates the transfer process. With
increasing V, the population tends to be delocalized and the tunneling mechanism
dominates. The competition between incoherent hopping and coherent tunneling
governs the mechanism of charge transfer. By varying V and the total number of
sites, we also examine the onset of the transition from tunneling to hopping with
increasing length.
PMID- 26554425
TI - Determining the Time Window for Dynamic Nanowire Cell Penetration Processes.
AB - Nanowire (NW) arrays offer opportunities for parallel, nondestructive
intracellular access for biomolecule delivery, intracellular recording, and
sensing. Spontaneous cell membrane penetration by vertical nanowires is essential
for these applications, yet the time- and geometry-dependent penetration process
is still poorly understood. In this work, the dynamic NW-cell interface during
cell spreading was examined through experimental cell penetration measurements
combined with two mechanical models based on substrate adhesion force or cell
traction forces. Penetration was determined by comparing the induced tension at a
series of given membrane configurations to the critical membrane failure tension.
The adhesion model predicts that penetration occurs within a finite window
shortly after initial cell contact and adhesion, while the traction model
predicts increasing penetration over a longer period. NW penetration rates
determined from a cobalt ion delivery assay are compared to the predicted results
from the two models. In addition, the effects of NW geometry and cell properties
are systematically evaluated to identify the key factors for penetration.
PMID- 26554426
TI - Selenium Partitioning and Removal Across a Wet FGD Scrubber at a Coal-Fired Power
Plant.
AB - Selenium has unique fate and transport through a coal-fired power plant because
of high vapor pressures of oxide (SeO2) in flue gas. This study was done at full
scale on a 900 MW coal-fired power plant with electrostatic precipitator (ESP)
and wet flue gas desulfurization (FGD) scrubber. The first objective was to
quantify the partitioning of selenium between gas and condensed phases at the
scrubber inlet and outlet. The second objective was to determine the effect of
scrubber operation conditions (pH, mass transfer, SO2 removal) on Se removal in
both particulate and vapor phases. During part of the testing, hydrated lime
(calcium hydroxide) was injected upstream of the scrubber. Gas-phase selenium and
particulate-bound selenium were measured as a function of particle size at the
inlet and outlet of the scrubber. The total (both phases) removal of Se across
the scrubber averaged 61%, and was enhanced when hydrated lime sorbent was
injected. There was evidence of gas-to-particle conversion of selenium across the
scrubber, based on the dependence of selenium concentration on particle diameter
downstream of the scrubber and on thermodynamic calculations.
PMID- 26554427
TI - Identifying opportunities in EHR to improve the quality of antibiotic allergy
data.
AB - BACKGROUND: Antimicrobial resistance is a growing, global public health crisis,
due in large part to the overuse and misuse of antibiotics. Understanding
medication allergy data and allergy reactions that are documented in electronic
health records (EHRs) can help to identify opportunities to improve the quality
of documentation of beta-lactam allergies, thus potentially reducing the
prescribing of alternative antibiotics. METHODS: Medication allergies and allergy
reactions recorded in the EHR for 319 051 patients seen across 32 community
health centers were reviewed. Patients with a beta-lactam allergy recorded in
their EHR were identified. Free text, as well as standardized allergy and allergy
reaction fields, were analyzed. RESULTS: Among patients, 9.1% (n = 29 095) had
evidence of a beta-lactam allergy recorded in their EHR. Women, white, and non
Hispanic patients were more likely to have a documented allergy compared to men,
black, and Hispanic patients. Among all patients with a documented beta-lactam
allergy, 36.2% had an empty or missing allergy reaction description in their EHR.
CONCLUSIONS: Findings suggest that current EHR documentation practices among the
health centers reviewed do not provide enough information on allergic reactions
to allow providers to discern between true allergies and common, but anticipated,
drug side effects. Improved EHR documentation guidance, training that reinforces
the use of standardized data and more detailed recording of allergic reactions,
combined with initiatives to address patient barriers including health literacy,
may help to improve the accuracy of drug allergies in patients' records. These
initiatives, combined with antimicrobial stewardship programs, can help to reduce
inappropriate prescribing of alternative antibiotics when beta-lactam antibiotics
are first-line and can be tolerated.
PMID- 26554428
TI - VERTIcal Grid lOgistic regression (VERTIGO).
AB - OBJECTIVE: To develop an accurate logistic regression (LR) algorithm to support
federated data analysis of vertically partitioned distributed data sets. MATERIAL
AND METHODS: We propose a novel technique that solves the binary LR problem by
dual optimization to obtain a global solution for vertically partitioned data. We
evaluated this new method, VERTIcal Grid lOgistic regression (VERTIGO), in
artificial and real-world medical classification problems in terms of the area
under the receiver operating characteristic curve, calibration, and computational
complexity. We assumed that the institutions could "align" patient records
(through patient identifiers or hashed "privacy-protecting" identifiers), and
also that they both had access to the values for the dependent variable in the LR
model (eg, that if the model predicts death, both institutions would have the
same information about death). RESULTS: The solution derived by VERTIGO has the
same estimated parameters as the solution derived by applying classical LR. The
same is true for discrimination and calibration over both simulated and real data
sets. In addition, the computational cost of VERTIGO is not prohibitive in
practice. DISCUSSION: There is a technical challenge in scaling up federated LR
for vertically partitioned data. When the number of patients m is large, our
algorithm has to invert a large Hessian matrix. This is an expensive operation of
time complexity O(m(3)) that may require large amounts of memory for storage and
exchange of information. The algorithm may also not work well when the number of
observations in each class is highly imbalanced. CONCLUSION: The proposed VERTIGO
algorithm can generate accurate global models to support federated data analysis
of vertically partitioned data.
PMID- 26554429
TI - Dispatch from the non-HITECH-incented Health IT world: electronic medication
history adoption and utilization.
AB - OBJECTIVE: To document national trends of electronic medication history use in
the ambulatory setting and describe the characteristics and predicting factors of
providers who regularly use medication history transaction capabilities through
their e-prescribing systems. MATERIALS AND METHODS: The study used provider
initiated medication history data requests, electronically sent over an e
prescribing network from all 50 states and the District of Columbia. Data from
138,000 prescribers were evaluated using multivariate analyses from 2007 to 2013.
RESULTS: Medication history use showed significant growth, increasing from 8 to
850 million history requests during the study period. Prescribers on the network
for <5 years had a lower likelihood of requests than those on the network for 5
or more years. Although descriptive analyses showed that prescribers in rural
areas were alongside e-prescribing, and requesting medication histories more
often than those in large and small cities, these findings were not significant
in multivariate analyses. Providers in orthopedic surgery and internal medicine
had a higher likelihood of more requests than family practice prescribers, with
12% and 7% higher likelihood, respectively. DISCUSSION: Early adopters of e
prescribing have remained medication history users and have continually increased
their volume of requests for medication histories. CONCLUSION: Despite the fact
that the use of medication histories through e-prescribing networks in the
ambulatory care setting has not been encouraged through federal incentive
programs, there has been substantial growth in the use of medication histories
offered through e-prescribing networks.
PMID- 26554430
TI - Rapid High-pH Reverse Phase StageTip for Sensitive Small-Scale Membrane Proteomic
Profiling.
AB - Membrane proteins are crucial targets for cancer biomarker discovery and drug
development. However, in addition to the inherent challenges of hydrophobicity
and low abundance, complete membrane proteome coverage of clinical specimen is
usually hindered by the requirement of large amount of starting materials. Toward
comprehensive membrane proteomic profiling for small amounts of samples (10 MUg),
we developed high-pH reverse phase (Hp-RP) combined with stop-and-go extraction
tip (StageTip) technique, as a fast (~15 min.), sensitive, reproducible, high
resolution and multiplexed fractionation method suitable for accurate
quantification of the membrane proteome. This approach provided almost 2-fold
enhanced detection of peptides encompassing transmembrane helix (TMH) domain, as
compared with strong anion exchange (SAX) and strong cation exchange (SCX)
StageTip techniques. Almost 5000 proteins (~60% membrane proteins) can be
identified in only 10 MUg of membrane protein digests, showing the superior
sensitivity of the Hp-RP StageTip approach. The method allowed up to 9- and 6
fold increase in the identification of unique hydrophobic and hydrophilic
peptides, respectively. The Hp-RP StageTip method enabled in-depth membrane
proteome profiling of 11 lung cancer cell lines harboring different EGFR mutation
status, which resulted in the identification of 3983 annotated membrane proteins.
This provides the largest collection of reference peptide spectral data for lung
cancer membrane subproteome. Finally, relative quantification of membrane
proteins between Gefitinib-resistant and -sensitive lung cancer cell lines
revealed several up-regulated membrane proteins with key roles in lung cancer
progression.
PMID- 26554431
TI - Iron-Catalyzed Synthesis of C2 Aryl- and N-Heteroaryl-Substituted
Tetrahydropyrans.
AB - An iron-catalyzed cyclization of hydroxy allylic derivatives into
tetrahydropyrans possessing an N-heteroaryl at C2 is disclosed. The reaction
proceeds with good yield and in high diastereoselectivity in favor of the more
stable isomer. The diastereoselectivity results from an iron-induced reopening of
the tetrahydropyrans, allowing a thermodynamic equilibration. The method allows
access to a variety of 2,6-disubstituted as well as 2,4,6-trisubstituted
tetrahydropyrans that could be considered as attractive scaffolds for the
pharmaceutical industry.
PMID- 26554432
TI - Evaluation of a strapless heart rate monitor during simulated flight tasks.
AB - Pilots are under high task demands during flight. Monitoring pilot's
physiological status is very important in the evaluation of pilot's workload and
flight safety. Recently, physiological status monitor (PSM) has been embedded
into a watch that can be used without a conventional chest strap. This makes it
possible to unobtrusively monitor, log and transmit pilot's physiological
measurements such as heart rate (HR) during flight tasks. The purpose of this
study is to validate HR recorded by a strapless heart rate watch against
criterion ECG-derived HR. Ten commercial pilots (mean +/- SD : age: 39.1 +/- 7.8
years; total flight hours 7173.2 +/- 5270.9 hr) performed three routinely trained
flight tasks in a full flight simulator: wind shear go-around (WG), takeoff and
climb (TC), and hydraulic failure (HF). For all tasks combined (overall) and for
each task, differences between the heart rate watch measurements and the
criterion data were small (mean difference [95% CI]: overall: -0.71 beats/min [
0.85, -0.57]; WG: -0.90 beats/min [-1.15, -0.65]; TC: -0.69 beats/min [-0.98,
0.40]; HF: -0.61 beats/min [-0.80, -0.42]). There were high correlations between
the heart rate watch measurements and the ECG-derived HR for all tasks (r >=
0.97, SEE < 3). Bland-Altman plots also show high agreements between the watch
measurements and the criterion HR. These results suggest that the strapless heart
rate watch provides valid measurements of HR during simulated flight tasks and
could be a useful tool for pilot workload evaluation.
PMID- 26554433
TI - New Q lineage found in bovine (Bos taurus) of Iberian Peninsula.
AB - The northern Iberian Peninsula is home to a variety of autochthonous cattle
breeds, such as the Terrena and Pirenaica. With the objective of characterizing
the matrilineal lineages of these breeds, a study of mitochondrial DNA was
performed. The D-loop of 155 individuals was analyzed and most of the individuals
were carriers of the T3 haplogroup, while haplogroups T and T1 were much less
frequent. A Pirenaica individual belonging to the Q haplogroup was found. To
verify the presence of the Q haplogroup individual, the entire mitochondrial DNA
was sequenced and compared with two descendants. The individuals were assigned to
the Q1 sub-haplogroup. These findings extend the geographic distribution of the Q
haplogroup to the south west of the European continent. This new Q1 lineage has
seven polymorphisms in the coding region, so this lineage is probably as old as
the Q lineages described to date.
PMID- 26554434
TI - Approaches to the Surveillance of Foodborne Disease: A Review of the Evidence.
AB - Foodborne disease surveillance aims to reduce the burden of illness due to
contaminated food. There are several different types of surveillance systems,
including event-based surveillance, indicator-based surveillance, and integrated
food chain surveillance. These approaches are not mutually exclusive, have
overlapping data sources, require distinct capacities and resources, and can be
considered a hierarchy, with each level being more complex and resulting in a
greater ability to detect and control foodborne disease. Event-based surveillance
is generally the least resource-intensive system and makes use of informal data
sources. Indicator-based surveillance is seen as traditional notifiable disease
surveillance and consists of routinely collected data. Integrated food chain
surveillance is viewed as the optimal practice for conducting continuous risk
analysis for foodborne diseases, but also requires significant ongoing resources
and greater multisectoral collaboration compared to the other systems. Each
country must determine the most appropriate structure for their surveillance
system for foodborne diseases based on their available resources. This review
explores the evidence on the principles, minimum capabilities, and minimum
requirements of each type of surveillance and discusses examples from a range of
countries. This review forms the evidence base for the Strengthening the
Surveillance and Response for Foodborne Diseases: A Practical Manual.
PMID- 26554435
TI - Cleaved Slit directs embryonic muscles.
AB - The formation of functional musculoskeletal system relies on proper connectivity
between muscles and their corresponding tendon cells. In Drosophila, larval
muscles are born during early embryonic stages, and elongate toward tendons that
are embedded within the ectoderm in later. The Slit/Robo signaling pathway had
been implicated in the process of muscle elongation toward tendons. Here we
discuss our recent findings regarding the critical contribution of Slit cleavage
for immobilization and stabilization of the Slit signal on the tendon cells. Slit
cleavage produces 2 polypeptides, the N-terminal Slit-N, which is extremely
stable, undergoes oligomerization, and associates with the tendon cell surfaces,
and the C-terminal Slit-C, which rapidly degrades. Slit cleavage leads to
immobilization of Slit signaling on tendons, leading to a short-range repulsion,
which eventually arrest further muscle elongation. Robo2, which is co-expressed
with Slit by the tendon cells facilitates Slit cleavage. This activity does not
require the cytoplasmic signaling domain of Robo2. We suggest that Robo2
dependent Slit cleavage, and the formation of Slit-N oligomers on the tendon cell
surfaces direct muscle elongation, and provide a stop signal for the approaching
muscle, through binding to Robo and Robo3 receptors expressed by the muscles.
PMID- 26554436
TI - Association of endothelial microparticle with NO, eNOS, ET-1, and fractional flow
reserve in patients with coronary intermediate lesions.
AB - Endothelial microparticle (EMP) is a biomarker for endothelial dysfunction. The
aim of this study is to investigate the utility of EMP in evaluating coronary
intermediate lesions. Participants included 49 patients with coronary
intermediate lesions and 24 subjects with normal coronary arteries. Among these
subjects, 28 patients accepted fractional flow reserve (FFR). Results showed that
level of EMP was significantly higher in the intermediate lesion group. No
correlation was found between EMP and FFR value, suggesting that circulating EMP
is a systemic marker rather than a focal one.
PMID- 26554438
TI - A method to produce fully characterized ubiquitin covalently modified by 4
hydroxy-nonenal, glyoxal, methylglyoxal, and malondialdehyde.
AB - Reactive carbonyl species (RCS) and the corresponding protein adducts (advanced
glycoxidation or lipoxidation end products, i.e. AGEs and ALEs) are now widely
studied from different points of view, since they can be considered as
biomarkers, pathogenic factors, toxic mediators and drug targets. One of the main
limits of the research in this field is the lack of standardized and fully
characterized AGEs and ALEs to be used for biological, toxicological, and
analytical studies. In this work, we set up a procedure to prepare and fully
characterize a set of AGEs and ALEs by incubating ubiquitin - a model protein
selected as target for carbonylation - with four different RCS: 4-hydroxy-trans-2
nonenal (HNE), methylglyoxal (MGO), glyoxal (GO), and malondialdehyde (MDA).
After 24 h of incubation, the extent of protein carbonylation was estimated using
a recently developed quantitative strategy based on high-resolution mass
spectrometry. The resulting AGEs and ALEs were fully characterized by both intact
protein and bottom-up analyses in terms of: stoichiometry of the total amount of
modified protein, elucidation of the structure of the RCS-deriving adducts, and
localization of the RCS-modified amino acids. Each RCS exhibited different
reactivity toward ubiquitin, as detected by quantifying the extent of protein
modification. The order of reactivity was MGO > GO > HNE > MDA. A variety of
reaction products was identified and mapped on lysine, arginine, and histidine
residues of the protein. In summary, a highly standardized and reproducible
method to prepare fully characterized AGEs/ALEs is here presented.
PMID- 26554439
TI - Restless-legs syndrome and insomnia in hemodialysis patients.
AB - AIM/BACKGROUND: Restless legs syndrome (RLS) is a common neurological movement
disorder which is commonly seen in hemodialysis (HD) patients. Insomnia,
depression, and anxiety disorders frequently show concurrence. In this study, we
aimed to investigate RLS and insomnia prevalence and related factors in HD
patients. SUBJECTS AND METHODS: Patients who were under HD treatment and healthy
controls with similar mean age, sex ratio, and hypertension and diabetes mellitus
frequency were included in this study. Depression, insomnia, and daytime
sleepiness assessments were performed by using Beck Depression Inventory,
Insomnia Severity Index, and Epworth Sleepiness Scale. The diagnosis of RLS was
made using the International RLS Study Group consensus criteria. RESULTS: About
156 HD patients and 35 controls were enrolled. The mean age was 50.6 in the HD
group and 49.7 in the control group. Female sex was 43.9% in the HD group and
57.1% in the control group. RLS was significantly more frequent in HD patients
compared with controls. The rate of sub-threshold insomnia and insomnia with
moderate severity was higher in HD patients. While insomnia severity score and
diabetes mellitus were significantly associated with the presence of RLS,
depression, RLS, older age, and being under HD treatment were independently
associated with insomnia severity. CONCLUSIONS: HD patients commonly have RLS and
insomnia. Insomnia and diabetes mellitus seem to be major factors underlying RLS
in HD patients. Furthermore, depression and RLS seem to be closely related to
insomnia in these patients. Treatment of depression, insomnia, and RLS may be
beneficial to improve quality of life in HD patients.
PMID- 26554440
TI - AMPD1 polymorphism and response to regadenoson.
AB - AIMS: AMPD1 c.34C > T (rs17602729) polymorphism results in AMPD1 deficiency. We
examined the association of AMPD1 deficiency and variability of hemodynamic
response to regadenoson. SUBJECTS & METHODS: Genotyping for c.34C>T was performed
in 267 patients undergoing regadenoson cardiac stress testing. RESULTS: Carriers
of c.34C >T variant exhibited higher relative changes in systolic blood pressure
(SBP) compared with wild-type subjects ([%] SBP change to peak: 12 +/- 25 vs 5 +/
13%; p = 0.01) ([%] SBP change to nadir: -3 +/- 15 vs -7 +/- 11%; p = 0.04).
Change in heart rate was similar between groups, but side effects were more
common in carriers of the variant (+LR = 4.2; p = 0.04). CONCLUSION: AMPD1
deficiency may be involved in the modulation of regadenoson's systemic effects.
PMID- 26554441
TI - Hybrid and open surgery of Trans-Atlantic Inter-Society II type C and D iliac
occlusive disease and concomitant lesion of common femoral artery.
AB - BACKGROUND: The purpose of our study was to compare immediate and long-term
results of hybrid repair and open aortofemoral reconstructions in the patients
with lower extremity peripheral arterial disease of Trans-Atlantic Inter-Society
(TASC) II Type C and Type D iliac lesions in combination with the concomitant
lesion of common femoral artery (CFA). METHODS: We have included in this
prospective case-controlled study 112 patients with extensive iliac and CFA
occlusive disease. The study was conducted from 2009 till 2014. In the first
group there were 46 patients who received recanalization and stenting of iliac
arteries in combination with endarterectomy and angioplasty of common femoral and
deep femoral arteries. In the second group there were 66 patients who received
aortofemoral bypass. All patients had chronic ischemia (Stage 3-5, Rutherford
classification) for TransAtlantic Inter-Society (TASC II) type C and D iliac
occlusive disease. RESULTS: We have not found any significant differences in
demographic details between the groups. At the same time there were significant
differences in clinical data (coronary heart disease and stage of chronic
ischemia) between the groups. Early (<30 days) thrombosis of the stented iliac
segment or aorto-femoral bypass was detected in three patients (6.5%) in the
first group and three cases (4.5%) in the second group. Thrombectomies using a
Fogarty catheter were successful performed in both groups. The average length of
the follow-up was 3.1 years (in the range of 6 to 48 months), with a mean follow
up of 3.3 years for the open repair (range 6-48 months) and 2.8 years for the
hybrid repair (range 6-48 months) group. At the end of the follow-up period,
thrombosis of the stented iliac segment or aortofemoral bypass was detected in 5
(10.9%) patients of the 1st group and 5 (7.8%) patients of the 2nd group. The
cumulative primary patency rates at 12, 24 and 36 months were 95.7%, 90.8%, 84.3%
in the 1st group and 98.5%, 95.4%, 90.4% in the 2nd group, respectively (P=0.84).
The limb salvage rates in our study at 12 and 24 months were 97.8% and 93.8% in
the 1st group and 100% and 98% in the 2nd group, respectively (P=0.23).
CONCLUSIONS: Hybrid surgery including recanalization and stenting of iliac artery
in combination with endarterectomy and angioplasty of common femoral and deep
femoral arteries is an effective (at least in the mid-term period) minimally
invasive treatment for patients with multifocal peripheral artery disease. This
single-center study supports the safety and durable efficacy of hybrid procedures
in a limited cohort of patients, thus emphasizing the need for larger scale
clinical trials to evaluate this approach against aortofemoral bypass.
PMID- 26554442
TI - Endovascular aneurysm repair with fascia suture technique: short and mid-term
results.
AB - BACKGROUND: Percutaneous endovascular aneurysm repair (p-EVAR), has been widely
spread based on the recent improvements in stent-graft technology and mainly in
delivery system downsizing. Aim of this study is to investigate the safety and
efficacy of fascia suture technique (FST) in p-EVAR during the short and mid-term
follow-up (FU). METHODS: Between April 2011 and July 2013, 64 consecutive
patients with abdominal aortic aneurysm were enrolled in a prospective single
center registry. Fifty-four patients were eligible for elective p-EVAR assisted
by the fascia suture technique. Patients were prospectively followed with duplex
scan 24 hours and 30 days postoperatively and with CTA annually thereafter.
Femoral haematoma, pseudoaneurysm and limb ischaemia were the primary outcomes.
RESULTS: The study investigated 103 femoral arteries reconstructions using the
FST. Intraoperatively, one patient was diagnosed with limb ischaemia treated with
open repair. During the short-term, 4 (3.8%) pseudoaneurysms were diagnosed,
treated with open (2) or endovascular (2) repair. During mid-term 43 patients (85
arteries, 82.6%) underwent CTA. Eighteen (17.4%) patients were lost at FU. At 12
months CTA two pseudoaneurysms (2.35%) were detected, treated with open repair.
CONCLUSIONS: The FST seems safe and effective for femoral reconstruction after p
EVAR. Complications are comparable to closure devices and to conventional repair.
PMID- 26554444
TI - Passing the Baton.
PMID- 26554445
TI - Basal Cell Carcinoma is as Common as the Sum of all Other Cancers: Implications
for Treatment Capacity.
AB - Reliable estimates of disease incidence are fundamental to planning future
healthcare services. However, in many countries registration of basal cell
carcinoma (BCC) is often non-existent. This study examines how many BCC
treatments were carried out in Denmark in 2013. The Danish Cancer Registry and
the Danish Pathology Registry were used to examine how many BCC treatments were
registered, and a test sample was taken from Bispebjerg Hospital to examine the
number treated but not registered. The study showed that 21.7% of BCC treatments
were performed solely on a clinical diagnosis. Furthermore, some records are
inadequate in relation to BCC registration, as BCCs treated are 3 times the
number of individuals in the Danish Cancer Registry, and there are nearly as many
BCCs as the sum of all other cancers. The increasing BCC incidence will result in
difficulties in ensuring treatment capacity.
PMID- 26554443
TI - Misfolding Ectodomain Mutations of the Lutropin Receptor Increase Efficacy of
Hormone Stimulation.
AB - We demonstrate 2 novel mutations of the LHCGR, each homozygous, in a 46,XY
patient with severe Leydig cell hypoplasia. One is a mutation in the signal
peptide (p.Gln18_Leu19ins9; referred to here as SP) that results in an alteration
of the coding sequence of the N terminus of the mature mutant receptor. The other
mutation (p.G71R) is also within the ectodomain. Similar to many other
inactivating mutations, the cell surface expression of recombinant human
LHR(SP,G71R) is greatly reduced due to intracellular retention. However, we made
the unusual discovery that the intrinsic efficacy for agonist-stimulated cAMP in
the reduced numbers of receptors on the cell surface was greatly increased
relative to the same low number of cell surface wild-type receptor. Remarkably,
this appears to be a general attribute of misfolding mutations in the
ectodomains, but not serpentine domains, of the gonadotropin receptors. These
findings suggest that there must be a common, shared mechanism by which disparate
mutations in the ectodomain that cause misfolding and therefore reduced cell
surface expression concomitantly confer increased agonist efficacy to those
receptor mutants on the cell surface. Our data further suggest that, due to their
increased agonist efficacy, extremely small changes in cell surface expression of
misfolded ectodomain mutants cause larger than expected alterations in the
cellular response to agonist. Therefore, for inactivating LHCGR mutations causing
ectodomain misfolding, the numbers of cell surface mutant receptors on fetal
Leydig cells of 46,XY individuals exert a more exquisite effect on the relative
severity of the clinical phenotypes than already appreciated.
PMID- 26554446
TI - Isolation and Culture Expansion of Tumor-specific Endothelial Cells.
AB - Freshly isolated tumor-specific endothelial cells (TEC) can be used to explore
molecular mechanisms of tumor angiogenesis and serve as an in vitro model for
developing new angiogenesis inhibitors for cancer. However, long-term in vitro
expansion of murine endothelial cells (EC) is challenging due to phenotypic drift
in culture (endothelial-to-mesenchymal transition) and contamination with non-EC.
This is especially true for TEC which are readily outcompeted by co-purified
fibroblasts or tumor cells in culture. Here, a high fidelity isolation method
that takes advantage of immunomagnetic enrichment coupled with colony selection
and in vitro expansion is described. This approach generates pure EC fractions
that are entirely free of contaminating stromal or tumor cells. It is also shown
that lineage-traced Cdh5(cre):ZsGreen(l/s/l) reporter mice, used with the
protocol described herein, are a valuable tool to verify cell purity as the
isolated EC colonies from these mice show durable and brilliant ZsGreen
fluorescence in culture.
PMID- 26554447
TI - Evaluation of an on-farm method to assess colostrum IgG content in sows.
AB - The objective of this work was to investigate the evaluation of swine colostrum
immunoglobulin G (IgG) concentration using the Brix refractometer. Colostrum
samples were collected across all teats, from 124 sows of mixed parities.
According to sampling time, three categories were created: samples available from
9 h before the onset of parturition until the first piglet was born were
classified as before farrowing; samples collected after the first birth until 4 h
later were classified as during farrowing; and finally samples collected from
this point until 14 h after parturition, were classified as after farrowing.
Samples were drawn and divided into three portions; one was immediately analyzed,
a second was refrigerated and the third was frozen at -20 degrees C. Fresh and
refrigerated colostrum samples were analyzed at the farm with a Brix
refractometer. IgG content of frozen samples was analyzed using a Brix
refractometer, with a subset of 42 samples also tested with a commercially
available radial immune diffusion (RID) kit. The Brix percentage ranged from
18.3% to 33.2%. Brix percentage repeatability, assessed by the intraclass
correlation coefficient (ICC), was very strong (fresh ICC=0.98, refrigerated
ICC=0.88 and frozen ICC=0.99). One-way repeated-measures ANOVA showed that
storage temperature did not affect BRIX percentage of colostrum IgG (P>0.05).
ANOVA results show a significant effect of sampling time on colostrum
immunoglobulin concentration, measured with both Brix and RID (Brix: P<0.003;
RID: P<0.05). Immunoglobulin G concentration measured by RID ranged from 13.27 to
35.08 mg/ml. Pearson correlation coefficient revealed that Brix percentage was
positively correlated (r=0.56, P<0.001) with RID results (regression equation:
RID=1.01 (+/-0.2) Brix -1.94 (+/-5.66); R 2=0.31). The results of this study
indicate that the Brix refractometer provides a simple, fast and inexpensive
estimation of colostrum IgG in sows.
PMID- 26554448
TI - Completeness of Methicillin-Resistant Staphylococcus aureus Bloodstream Infection
Reporting From Outpatient Hemodialysis Facilities to the National Healthcare
Safety Network, 2013.
AB - Reports of bloodstream infections caused by methicillin-resistant Staphylococcus
aureus among chronic hemodialysis patients to 2 Centers for Disease Control and
Prevention surveillance systems (National Healthcare Safety Network Dialysis
Event and Emerging Infections Program) were compared to evaluate completeness of
reporting. Many methicillin-resistant S. aureus bloodstream infections identified
in hospitals were not reported to National Healthcare Safety Network Dialysis
Event.
PMID- 26554449
TI - Antibody induced by one-dose varicella vaccine soon became weak in children:
evidence from a cross-sectional seroepidemiological survey in Beijing, PRC.
AB - BACKGROUND: Numerous post-licensure studies, mostly from field epidemiological
evidences such as outbreak surveys, have demonstrated the effectivenesss and
insufficiency of one-dose varicella vaccine in outbreak control. Serological
evidence of immunization failure is, however, relatively less reported in
contrast. A cross-sectional seroepidemiological survey of Beijing residents was
performed in 2012 in the People's Republic of China, after the one-dose varicella
vaccine had been widely used for several years. METHODS: Multistage stratified
random sampling method was designed to recruit 2 144 subjects. The ELISA method
was used to test the present blood samples collected and the reserve samples
collected in 2008 to assess the trends of anti-VZV seroprevalence in the past 5
years and to determine the risk factors for varicella infection. RESULTS: The age
and sex- adjusted overall anti-VZV seropositivity of Beijing residents in 2012
was 84.5%. Two groups' adjusted overall anti-VZV seroprevalence in 2012 showed
obvious growth compared with 2008 (<1 yr old: from 6.3% to 16.9%; 1-4 yr old:
from 27.6% to 57.2%). Reported one-dose vaccination history was 71.6% (149/208),
80.9% (182/225) and 82.2% (180/219) in the 1-4 yr, 5-9 yr, 10-14 yr age groups,
respectively. Of subjects who had received the one-dose vaccine, 36% (216/603)
showed negative anti-VZV concentrations (<110 mIU/mL); additionally 15.9%
(96/603) of such subjects' anti-VZV concentrations were in the lowest positive
concentration group (110-299 mIU/mL). Seropositivity in permanent residents of 1
9 yr old with verified vaccination was merely 61.8%. Various age groups (1-3 yr,
4-6 yr, and 7-9 yr) all showed seropositivity that gradually decreased with
increasing of the interval between vaccination and blood sampling. CONCLUSION:
Mass varicella vaccination significantly improved the immunity of younger Beijing
residents. However, vaccine-induced anti-VZV antibody soon became weak in
children with high coverage (approximately 80%) after vaccination for several
years which is significantly higher than reported in pre-licensure studies. A
government-funded 2-dose immunization program with mandatory vaccination schedule
for Beijing residents may need consideration in the near future.
PMID- 26554450
TI - Cross-sectional and longitudinal risk of physical impairment in a cohort of
postmenopausal women who experience physical and verbal abuse.
AB - BACKGROUND: Exposure to interpersonal violence, namely verbal and physical abuse,
is a highly prevalent threat to women's health and well-being. Among older, post
menopausal women, several researchers have characterized a possible bi
directional relationship of abuse exposure and diminished physical functioning.
However, studies that prospectively examine the relationship between
interpersonal abuse exposure and physical functioning across multiple years of
observation are lacking. To address this literature gap, we prospectively
evaluate the association between abuse exposure and physical functioning in a
large, national cohort of post-menopausal women across 12 years of follow-up
observation. METHODS: Multivariable logistic regression was used to measure the
adjusted association between experiencing abuse and physical function score at
baseline in 154,902 Women's Health Initiative (WHI) participants. Multilevel
modeling, where the trajectories of decline in physical function were modeled as
a function of time-varying abuse exposure, was used to evaluate the contribution
of abuse to trajectories of physical function scores over time. RESULT: Abuse was
prevalent among WHI participants, with 11 % of our study population reporting
baseline exposure. Verbal abuse was the most commonly reported abuse type (10 %),
followed by combined physical and verbal abuse (1 %), followed by physical abuse
in the absence of verbal abuse (0.2 %). Abuse exposure (all types) was associated
with diminished physical functioning, with women exposed to combined physical and
verbal abuse presenting baseline physical functioning scores consistent with non
abused women 20 years senior. Results did not reveal a differential rate of
decline over time in physical functioning based on abuse exposure. CONCLUSIONS:
Taken together, our findings suggest a need for increased awareness of the
prevalence of abuse exposure among postmenopausal women; they also underscore the
importance of clinician's vigilance in their efforts toward the prevention, early
detection and effective intervention with abuse exposure, including verbal abuse
exposure, in post-menopausal women. Given our findings related to abuse exposure
and women's diminished physical functioning at WHI baseline, our work illuminates
a need for further study, particularly the investigation of this association in
younger, pre-menopausal women so that the temporal ordering if this relationship
may be better understood.
PMID- 26554451
TI - Mechanical fibrinogen-depletion supports heparin-free mesenchymal stem cell
propagation in human platelet lysate.
AB - BACKGROUND: Pooled human platelet lysate (pHPL) is an efficient alternative to
xenogenic supplements for ex vivo expansion of mesenchymal stem cells (MSCs) in
clinical studies. Currently, porcine heparin is used in pHPL-supplemented medium
to prevent clotting due to plasmatic coagulation factors. We therefore searched
for an efficient and reproducible medium preparation method that avoids clot
formation while omitting animal-derived heparin. METHODS: We established a
protocol to deplete fibrinogen by clotting of pHPL in medium, subsequent
mechanical hydrogel disruption and removal of the fibrin pellet. After primary
culture, bone-marrow and umbilical cord derived MSCs were tested for surface
markers by flow cytometry and for trilineage differentiation capacity.
Proliferation and clonogenicity were analyzed for three passages. RESULTS: The
proposed clotting procedure reduced fibrinogen more than 1000-fold, while a
volume recovery of 99.5 % was obtained. All MSC types were propagated in standard
and fibrinogen-depleted medium. Flow cytometric phenotype profiles and
adipogenic, osteogenic and chondrogenic differentiation potential in vitro were
independent of MSC-source or medium type. Enhanced proliferation of MSCs was
observed in the absence of fibrinogen but presence of heparin compared to
standard medium. Interestingly, this proliferative response to heparin was not
detected after an initial contact with fibrinogen during the isolation procedure.
CONCLUSIONS: Here, we present an efficient, reproducible and economical method in
compliance to good manufacturing practice for the preparation of MSC media
avoiding xenogenic components and suitable for clinical studies.
PMID- 26554457
TI - Graded Resistance Exercise And Type 2 Diabetes in Older adults (The GREAT2DO
study): methods and baseline cohort characteristics of a randomized controlled
trial.
AB - BACKGROUND: Type 2 diabetes (T2D) is projected to affect 439 million people by
2030. Medical management focuses on controlling blood glucose levels
pharmacologically in a disease that is closely related to lifestyle factors such
as diet and inactivity. Physical activity guidelines include aerobic exercise at
intensities or volumes potentially unreachable for older adults limited by many
co-morbidities. We aim to show for the first time the efficacy of a novel
exercise modality, power training (high-velocity, high-intensity progressive
resistance training or PRT), in older adults with T2D as a means for improving
glycemic control and targeting many associated metabolic and physiological
outcomes. Eligibility criteria included community-dwelling men and women
previously diagnosed with T2D who met the current definition of metabolic
syndrome according to the International Diabetes Federation. Participants were
randomized to a fully supervised power training intervention or sham exercise
control group for 12 months. Intervention group participants performed whole body
machine-based power training at 80%1RM, 3 days per week. The control group
undertook the same volume of non-progressive, low-intensity training.
Participants were assessed at baseline, 6 months and 12 months and followed for a
further 5 years, during which time participants were advised to exercise at
moderate-high intensity. Glycemic control (HbA1c) and insulin resistance as
measured by the homeostatic model assessment 2 (HOMA2-IR) were the primary
outcomes of the trial. Outcome assessors were blinded to group assignment and
participants were blinded to the investigators' hypothesis regarding the most
effective intervention. RESULTS: We recruited 103 participants (48.5 % women,
71.6 +/- 5.6 years). Participants had 5.1 +/- 1.8 chronic diseases, had been
diagnosed with T2D for 8 +/- 6 years and had a body mass index (BMI) of 31.6 +/-
4.0 kg/m(2). Fasting glucose and insulin were 7.3 +/- 2.4 mmol/L and 10.6 +/- 6.3
mU/L, respectively. HbA1c was 54 +/- 12 mmol/mol. Eighty-six participants
completed the 12-month assessment and follow-up is ongoing. This cohort had a
lower-than-expected dropout (n = 14, 14 %) over the 12-month intervention period.
CONCLUSIONS: Power training may be a feasible adjunctive therapy for improving
glycemic control for the growing epidemic of T2D in older adults. TRIAL
REGISTRATION: Australian and New Zealand Clinical Trials Registry
ACTRN12606000436572 (24 September 2006).
PMID- 26554458
TI - The bm12 Inducible Model of Systemic Lupus Erythematosus (SLE) in C57BL/6 Mice.
AB - Systemic lupus erythematosus (SLE) is an autoimmune disease with diverse clinical
and immunological manifestations. Several spontaneous and inducible animal models
mirror common components of human disease, including the bm12 transfer model.
Upon transfer of bm12 splenocytes or purified CD4 T cells, C57BL/6 mice rapidly
develop large frequencies of T follicular helper cells (Tfh), germinal center
(GC) B cells, and plasma cells followed by high levels of circulating anti
nuclear antibodies. Since this model utilizes mice on a pure C57BL/6 background,
researchers can quickly and easily study disease progression in transgenic or
knockout mouse strains in a relatively short period of time. Here we describe
protocols for the induction of the model and the quantitation Tfh, GC B cells,
and plasma cells by multi-color flow cytometry. Importantly, these protocols can
also be used to characterize disease in most mouse models of SLE and identify
Tfh, GC B cells, and plasma cells in other disease models.
PMID- 26554459
TI - The Impact of Monitoring on the Initiation of Cardiopulmonary Resuscitation in
Children: Friend or Foe?
AB - BACKGROUND: The immediate initiation and high quality of basic life support (BLS)
are pivotal to improving patient outcome after cardiac arrest. Although
cardiorespiratory monitoring could shorten the time to recognize the onset of
cardiac arrest, little is known about how monitoring and the misinterpretation of
monitor readings could impair the initiation of BLS. In this study, we assessed
the speed of initiation and quality of BLS in simulated monitored and
nonmonitored pediatric cardiac arrest. METHODS: Sixty residents frequently
involved in the care of critically ill children were randomly assigned to either
the intervention (monitoring) group or the control (nonmonitoring) group.
Participants of both groups performed BLS in 1 of 2 clinically identical,
unwitnessed simulated cardiac arrest scenarios. Although in 1 scenario
cardiorespiratory monitoring (i.e., electrocardiogram) was attached, the other
scenario reflected a nonmonitored cardiac arrest. Time to first chest compression
was chosen as the primary outcome variable. Adherence to resuscitation guidelines
and subjective performance ratings were secondary outcome variables. RESULTS:
Participants in the monitoring group initiated chest compressions significantly
later than those in the nonmonitoring group (91 +/- 36 vs 71 +/- 26 seconds,
hazard ratio, 0.26; 95% confidence interval, 0.14-0.49, P < 0.001). Six members
of the monitoring group did not start chest compression within 5 minutes.
Furthermore, adherence to the guidelines was better in the nonmonitoring group.
Participants who were previously involved in BLS training did not show better
performance. CONCLUSIONS: The presence of cardiorespiratory monitoring
significantly delayed or even prevented the initiation of chest compressions and
impaired the quality of BLS in simulated pediatric cardiac arrest. Based on these
data, specific training should be conducted for exposed personnel.
PMID- 26554460
TI - The Mechanism of Mitral Regurgitation Influences the Temporal Dynamics of the
Vena Contracta Area as Measured with Color Flow Doppler.
AB - BACKGROUND: In patients with mitral regurgitation (MR), the effective regurgitant
orifice area can be estimated by measuring the vena contracta area (VCA). We
hypothesize that the VCA has characteristic temporal dynamics related to the
underlying mechanism of functional mitral regurgitation (FMR) versus degenerative
mitral valve disease (DMVD). METHODS: VCA measurements obtained by planimetry of
the proximal jet from 3D transesophageal echocardiographic (TEE) color flow
Doppler data sets were acquired in 42 cardiac surgical patients, including 22
with FMR and 20 with DMVD. Serial VCAs were measured throughout systole for each
patient to evaluate variation in the effective regurgitant orifice area. Tercile
averages were compared within and between the FMR and DMVD groups using repeated
measures analysis of variance. Pairwise tests were Bonferroni-corrected for the
number of comparisons. RESULTS: Normalized average VCA values in patients with
FMR revealed a biphasic pattern compared with a monophasic pattern in patients
with DMVD. Among FMR patients, normalized average VCA values in early (1.10 +/-
0.32 cm2) and late systole (1.11 +/- 0.33 cm2) were similar but were both
significantly greater compared with mid-systole (0.79 +/- 0.22 cm; P = 0.0144 and
P = 0.0106, respectively). Among DMVD patients, normalized average VCA values in
mid-systole (1.37 +/- 0.15 cm2) were significantly greater than those in early
(0.53 +/- 0.14 cm2) and late systole (1.09 +/- 0.18 cm2; P < 0.0001 for both). An
analysis of normalized average VCAs also revealed significant differences between
the FMR and the DMVD groups during early (1.10 +/- 0.32 cm vs 0.53 +/- 0.14 cm2)
and mid-systole (0.79 +/- 0.22 cm2 vs 1.37 +/- 0.15 cm2; P < 0.0001 for both).
CONCLUSIONS: VCA dynamics are governed by the mechanism of MR and are observed in
FMR patients primarily as a biphasic temporal pattern compared with a monophasic
temporal pattern in patients with DMVD.
PMID- 26554461
TI - Use of Tranexamic Acid Is Associated with Reduced Blood Product Transfusion in
Complex Skull Base Neurosurgical Procedures: A Retrospective Cohort Study.
AB - BACKGROUND: Compared with other procedures, complex skull base neurosurgery has
the potential for increased intraoperative blood loss yet coagulation near
eloquent cranial structures should be minimized. The safety and efficacy of the
antifibrinolytic, tranexamic acid in elective neurosurgical procedures is not
known. Our primary objective was to determine the relationship between the use of
tranexamic acid and transfusion at our institution. Our secondary objective was
to determine the incidence of adverse events associated with the use of
tranexamic acid. METHODS: In this retrospective cohort study, we included all
patients who underwent complex skull base neurosurgical procedures at our
institution between 2001 and 2013. Tranexamic acid was introduced during these
procedures in 2006. Patient and surgical variables, transfusion data, and adverse
events in the perioperative period were abstracted from the medical record. The
rates of transfusion and adverse events were compared between patients who did
and did not receive tranexamic acid. Multivariate regression was used to identify
independent predictors of perioperative transfusion. RESULTS: We compared 245
patients who received tranexamic acid with 274 patients who did not receive the
drug during the study period. The 2 groups were similar, with the exception that
patients who received tranexamic acid had larger tumors (mean, 3.5 vs 2.9 cm; P <
0.001) and longer procedures (mean, 7.2 vs 6.2 hours, P < 0.001). The rate of
perioperative transfusion in patients who received tranexamic acid was lower (7%
vs 13%, P = 0.04). After adjusting for preoperative hemoglobin, tumor diameter,
and surgical procedure category, the use of tranexamic acid was independently
predictive of perioperative transfusion (adjusted odds ratio, 0.32; 95%
confidence interval, 0.15-0.65, P = 0.002). The rates of thromboembolic events
and seizure were similar between the 2 groups. CONCLUSIONS: Our results
demonstrate that tranexamic acid use is associated with reduced transfusion rates
in our study population, with no apparent increase in seizure or thrombotic
complications. Our data support the need for further randomized clinical trials
to evaluate the efficacy and safety of tranexamic acid on perioperative blood
loss during complex skull base neurosurgery.
PMID- 26554462
TI - Prevalence of Malignant Hyperthermia Diagnosis in New York State Ambulatory
Surgery Center Discharge Records 2002 to 2011.
AB - BACKGROUND: Malignant hyperthermia (MH) is a rare yet potentially fatal
pharmacogenetic disorder triggered by exposure to inhaled anesthetics and the
depolarizing neuromuscular blocking drug succinylcholine. Epidemiologic research
on MH is largely limited to inpatients. In this study, we examined the prevalence
of recorded MH diagnosis in patients discharged from ambulatory surgery centers
(ASCs). METHODS: We analyzed the New York State Ambulatory Surgery Dataset for
the years 2002 to 2011 and identified patients with a discharge diagnosis of MH
due to anesthesia by using the International Classification of Disease, Ninth
Revision, Clinical Modification code 995.86. MH prevalence was assessed by
demographic, clinical, and ASC characteristics. RESULTS: During the study period,
31 of 17,092,765 discharges from ASCs had a recorded diagnosis of MH, yielding a
prevalence of 0.18 per 100,000 discharges (95% confidence interval, 0.12-0.25).
The prevalence of recorded MH diagnosis per discharge differed significantly
across age groups and surgical procedure categories. All patients with a recorded
diagnosis of MH were from hospital-based ASCs and were discharged alive from
ASCs. CONCLUSIONS: The prevalence of recorded MH diagnosis in ASC patients is
approximately 1 per 500,000 and varies considerably with surgical procedures.
PMID- 26554463
TI - Anesthesiologist- and System-Related Risk Factors for Risk-Adjusted Pediatric
Anesthesia-Related Cardiac Arrest.
AB - BACKGROUND: Pediatric anesthesia-related cardiac arrest (ARCA) is an uncommon but
potentially preventable adverse event. Infants and children with more severe
underlying disease are at highest risk. We aimed to identify system- and
anesthesiologist-related risk factors for ARCA. METHODS: We analyzed a
prospectively collected patient cohort data set of anesthetics administered from
2000 to 2011 to children at a large tertiary pediatric hospital. Pre-procedure
systemic disease level was characterized by ASA physical status (ASA-PS). Two
reviewers independently reviewed cardiac arrests and categorized their anesthesia
relatedness. Factors associated with ARCA in the univariate analyses were
identified for reevaluation after adjustment for patient age and ASA-PS. RESULTS:
Cardiac arrest occurred in 142 of 276,209 anesthetics (incidence 5.1/10,000
anesthetics); 72 (2.6/10,000 anesthetics) were classified as anesthesia-related.
In the univariate analyses, risk of ARCA was much higher in cardiac patients and
for anesthesiologists with lower annual caseload and/or fewer annual days
delivering anesthetics (all P < 0.001). Anesthesiologists with the highest
academic rank and years of experience also had higher odds of ARCA (P = 0.02).
After risk adjustment for ASA-PS >= III and age <= 6 months, however, the
association with lower annual days delivering anesthetics remained (P = 0.03),
but the other factors were no longer significant. CONCLUSIONS: Case-mix explained
most associations between higher risk of pediatric ARCA and anesthesiologist
related variables at our institution, but the association with fewer annual days
delivering anesthetics remained. Our findings highlight the need for rigorous
adjustment for patient risk factors in anesthesia patient safety studies.
PMID- 26554464
TI - A Mixed-Methods Approach to Understanding Community Participation in Community
Health Needs Assessments.
AB - CONTEXT: Nonprofit hospitals are exempt from paying taxes. To maintain this
status, they must provide benefit to the community they serve. In an attempt to
improve accountability to these communities and the federal government, the
Patient Protection and Affordable Care Act of 2010 includes a provision that
requires all nonprofit hospitals to conduct a community health needs assessment
(CHNA) and implement strategies to address identified health priorities every 3
years. This Act's provision, operationalized by a regulation developed and
enforced by the Internal Revenue Service, mandates the involvement of public
health agencies and other community stakeholders in the completion of the CHNA.
OBJECTIVE: To better understand community participation in nonprofit hospital
directed community health assessment and health improvement planning activities.
DESIGN: Using a 2-phased, mixed-methods study design, we (1) conducted content
analysis of 95 CHNA/implementation plan reports and (2) interviewed hospital and
health system key informants, consultants, and community stakeholders involved in
CHNA and planning processes. Community participation was assessed in terms of
types of stakeholders involved and the depth of their involvement. RESULTS: Our
findings suggest that many hospitals engaged and involved community stakeholders
in certain aspects of the assessment process, but very few engaged a broad array
of community stakeholder and community members in meaningful participation
throughout the CHNA and health improvement planning process. Vast improvements in
community participation and collaborative assessment and planning can be made in
future CHNAs. CONCLUSIONS: On the basis of the findings, recommendations are made
for further research. Practice implications include expanding community
engagement and participation by stakeholder and activity type and using a common
community health improvement model that better aligns hospital CHNA processes and
implementation strategies with other organizations and agencies.
PMID- 26554465
TI - Two dimensional atomically thin MoS2 nanosheets and their sensing applications.
AB - The extraordinary properties of layered graphene and its successful applications
in electronics, sensors, and energy devices have inspired and renewed interest in
other two-dimensional (2D) layered materials. Particularly, a semiconducting
analogue of graphene, molybdenum disulfide (MoS2), has attracted huge attention
in the last few years. With efforts in exfoliation and synthetic techniques,
atomically thin films of MoS2 (single- and few-layer) have been recently prepared
and characterized. 2D MoS2 nanosheets have properties that are distinct and
complementary to those of graphene, making it more appealing for various
applications. Unlike graphene with an indirect bandgap, the direct bandgap of
single-layer MoS2 results in better semiconductor behavior as well as
photoluminescence, suggesting its great suitability for electronic and
optoelectronic applications. Compared to their applications in energy storage and
optoelectronic devices, the use of MoS2 nanosheets as a sensing platform,
especially for biosensing, is still largely unexplored. Here, we present a review
of the preparation of 2D atomically thin MoS2 nanosheets, with an emphasis on
their use in various sensing applications.
PMID- 26554466
TI - OTX2 regulates the expression of TAp63 leading to macular and cochlear
neuroepithelium development.
AB - OTX proteins, homologs of the Drosophila orthodenticle (Otd), are important for
the morphogenesis of the neuroectoderm, and for the central nervous system
formation. OTX1 and OTX2 are important for the cochlea and macula development,
indeed when OTX1 is knocked down, these organs undergo developmental failure.
Moreover OTX2 transfection revert this effect in OTX1(-/-) mice. The TA isoform
of TP63, involved in Notch regulation pathway, has a critical function in the
cochlear neuroepithelium differentiation. TAp63 positively regulates Hes5 and
Atoh1 transcription. This pathway has been also demonstrated in p63(-/-) mice,
and in patients p63 mutated, affected by Ectodermal Dysplasia (ED, OMIM 129810).
These patients are affected by mild sensorineural deafness, most likely related
to the mutation in p63 gene impairing the Notch pathway. We demonstrated the role
of OTX2 on TAp63 regulation necessary for the correct formation of macular
neuroepithelium and we confirmed the impairment of vestibular function caused by
p63 mutations. Although the abnormalities found in our patient were still at a
subclinical extent, aging could exacerbate this impairment and cause a decrease
in quality of life.
PMID- 26554468
TI - Risk Evaluation and Mitigation Strategy (REMS): FDA Perspective on What
Physicians Need to Know.
PMID- 26554471
TI - Dysuria: Evaluation and Differential Diagnosis in Adults.
AB - The most common cause of acute dysuria is infection, especially cystitis. Other
infectious causes include urethritis, sexually transmitted infections, and
vaginitis. Noninfectious inflammatory causes include a foreign body in the
urinary tract and dermatologic conditions. Noninflammatory causes of dysuria
include medication use, urethral anatomic abnormalities, local trauma, and
interstitial cystitis/bladder pain syndrome. An initial targeted history includes
features of a local cause (e.g., vaginal or urethral irritation), risk factors
for a complicated urinary tract infection (e.g., male sex, pregnancy, presence of
urologic obstruction, recent procedure), and symptoms of pyelonephritis. Women
with dysuria who have no complicating features can be treated for cystitis
without further diagnostic evaluation. Women with vulvovaginal symptoms should be
evaluated for vaginitis. Any complicating features or recurrent symptoms warrant
a history, physical examination, urinalysis, and urine culture. Findings from the
secondary evaluation, selected laboratory tests, and directed imaging studies
enable physicians to progress through a logical evaluation and determine the
cause of dysuria or make an appropriate referral.
PMID- 26554472
TI - Diagnosis and Management of Common Types of Supraventricular Tachycardia.
AB - Supraventricular tachycardia refers to rapid rhythms that originate and are
sustained in atrial or atrioventricular node tissue above the bundle of His. The
condition is caused by reentry phenomena or automaticity at or above the
atrioventricular node, and includes atrioventricular nodal reentrant tachycardia,
atrioventricular reciprocating tachycardia, and atrial tachycardia. Most persons
with these tachyarrhythmias have structurally normal hearts. Sudden onset of an
accelerated heart rate can cause palpitations, light-headedness, chest
discomfort, anxiety, dyspnea, or fatigue. The history is important to elicit
episodic symptoms because physical examination and electrocardiography findings
may be normal. A Holter monitor or event recorder may be needed to confirm the
diagnosis. Vagal maneuvers may terminate the arrhythmia; if this fails, adenosine
is effective in the acute setting. Calcium channel blockers (diltiazem or
verapamil) or beta blockers (metoprolol) can be used acutely or as long-term
therapy. Class Ic antiarrhythmics (flecainide or propafenone) can be used long
term. Class Ia antiarrhythmics (quinidine, procainamide, or disopyramide) are
used less often because of their modest effectiveness and adverse effects. Class
III antiarrhythmics (amiodarone, sotalol, or dofetilide) are effective, but have
potential adverse effects and should be administered in consultation with a
cardiologist. Catheter ablation has a success rate of 95% and recurrence rate of
less than 5%, and causes inadvertent heart block in less than 1% of patients. It
is the preferred treatment for symptomatic patients with Wolff-Parkinson-White
syndrome.
PMID- 26554473
TI - Common Questions About Cognitive Behavior Therapy for Psychiatric Disorders.
AB - Cognitive behavior therapy (CBT) is a time-limited, goal-oriented psychotherapy
that has been extensively researched and has benefits in a number of psychiatric
disorders, including anxiety, depression, posttraumatic stress disorder,
attention-deficit/hyperactivity disorder, autism, obsessive-compulsive and tic
disorders, personality disorders, eating disorders, and insomnia. CBT uses
targeted strategies to help patients adopt more adaptive patterns of thinking and
behaving, which leads to positive changes in emotions and decreased functional
impairments. Strategies include identifying and challenging problematic thoughts
and beliefs, scheduling pleasant activities to increase environmental
reinforcement, and extended exposure to unpleasant thoughts, situations, or
physiologic sensations to decrease avoidance and arousal associated with anxiety
eliciting stimuli. CBT can be helpful in the treatment of posttraumatic stress
disorder by emphasizing safety, trust, control, esteem, and intimacy. Prolonged
exposure therapy is a CBT technique that includes a variety of strategies, such
as repeated recounting of the trauma and exposure to feared real-world
situations. For attention-deficit/hyperactivity disorder, CBT focuses on
establishing structures and routines, and clear rules and expectations within the
home and classroom. Early intensive behavioral interventions should be initiated
in children with autism before three years of age; therapy consists of 12 to 40
hours of intensive treatment per week, for at least one year. In many disorders,
CBT can be used alone or in combination with medications. However, CBT requires a
significant commitment from patients. Family physicians are well suited to
provide collaborative care for patients with psychiatric disorders, in concert
with cognitive behavior therapists.
PMID- 26554477
TI - Unusual Erythematous and Infiltrated Plaque.
PMID- 26554478
TI - Menstrual Concerns in an Adolescents with Disabilities.
PMID- 26554480
TI - Effectiveness of Selective Serotonin Reuptake Inhibitors for Irritable Bowel
Syndrome.
PMID- 26554481
TI - Cognitive Behavior Therapy.
PMID- 26554482
TI - Dysuria: What You Should Know About Burning or Stinging with Urination.
PMID- 26554483
TI - Supraventricular Tachycardia: What You Should Know.
PMID- 26554484
TI - Highly nucleophilic dipropanolamine chelated boron reagents for aryl
transmetallation to iron complexes.
AB - New aryl- and heteroarylboronate esters chelated by dipropanolamine are
synthesised directly from boronic acids. The corresponding anionic borates are
readily accessible by deprotonation and demonstrate an increase in hydrocarbyl
nucleophilicity in comparison to other common borates. The new borates proved
competent for magnesium or zinc additive-free, direct boron-to-iron hydrocarbyl
transmetallations with well-defined iron(II) (pre)catalysts. The application of
the new borate reagents in representative Csp(2)-Csp(3) cross-coupling led to
almost exclusive homocoupling unless coupling is performed in the presence of a
zinc additive.
PMID- 26554485
TI - Easy Access to Hearing Health Care: Are We Closer Than We Think?
PMID- 26554486
TI - Refining Stimulus Parameters in Assessing Infant Speech Perception Using Visual
Reinforcement Infant Speech Discrimination: Sensation Level.
AB - BACKGROUND: Speech perception measures have long been considered an integral
piece of the audiological assessment battery. Currently, a prelinguistic,
standardized measure of speech perception is missing in the clinical assessment
battery for infants and young toddlers. Such a measure would allow systematic
assessment of speech perception abilities of infants as well as the potential to
investigate the impact early identification of hearing loss and early fitting of
amplification have on the auditory pathways. PURPOSE: To investigate the impact
of sensation level (SL) on the ability of infants with normal hearing (NH) to
discriminate /a-i/ and /ba-da/ and to determine if performance on the two
contrasts are significantly different in predicting the discrimination criterion.
RESEARCH DESIGN: The design was based on a survival analysis model for event
occurrence and a repeated measures logistic model for binary outcomes. The
outcome for survival analysis was the minimum SL for criterion and the outcome
for the logistic regression model was the presence/absence of achieving the
criterion. Criterion achievement was designated when an infant's proportion
correct score was >0.75 on the discrimination performance task. STUDY SAMPLE:
Twenty-two infants with NH sensitivity participated in this study. There were 9
males and 13 females, aged 6-14 mo. DATA COLLECTION AND ANALYSIS: Testing took
place over two to three sessions. The first session consisted of a hearing test,
threshold assessment of the two speech sounds (/a/ and /i/), and if time and
attention allowed, visual reinforcement infant speech discrimination (VRISD). The
second session consisted of VRISD assessment for the two test contrasts (/a-i/
and /ba-da/). The presentation level started at 50 dBA. If the infant was unable
to successfully achieve criterion (>0.75) at 50 dBA, the presentation level was
increased to 70 dBA followed by 60 dBA. Data examination included an event
analysis, which provided the probability of criterion distribution across SL. The
second stage of the analysis was a repeated measures logistic regression where SL
and contrast were used to predict the likelihood of speech discrimination
criterion. RESULTS: Infants were able to reach criterion for the /a-i/ contrast
at statistically lower SLs when compared to /ba-da/. There were six infants who
never reached criterion for /ba-da/ and one never reached criterion for /a-i/.
The conditional probability of not reaching criterion by 70 dB SL was 0% for /a
i/ and 21% for /ba-da/. The predictive logistic regression model showed that
children were more likely to discriminate the /a-i/ even when controlling for SL.
CONCLUSIONS: Nearly all normal-hearing infants can demonstrate discrimination
criterion of a vowel contrast at 60 dB SL, while a level of >=70 dB SL may be
needed to allow all infants to demonstrate discrimination criterion of a
difficult consonant contrast.
PMID- 26554487
TI - Perception of Hearing Aid-Processed Speech in Individuals with Late-Onset
Auditory Neuropathy Spectrum Disorder.
AB - BACKGROUND: Auditory neuropathy spectrum disorder (ANSD) is a form of
sensorineural hearing loss, causing severe deficits in speech perception. The
perceptual problems of individuals with ANSD were attributed to their temporal
processing impairment rather than to reduced audibility. This rendered their
rehabilitation difficult using hearing aids. Although hearing aids can restore
audibility, compression circuits in a hearing aid might distort the temporal
modulations of speech, causing poor aided performance. Therefore, hearing aid
settings that preserve the temporal modulations of speech might be an effective
way to improve speech perception in ANSD. PURPOSE: The purpose of the study was
to investigate the perception of hearing aid-processed speech in individuals with
late-onset ANSD. RESEARCH DESIGN: A repeated measures design was used to study
the effect of various compression time settings on speech perception and
perceived quality. STUDY SAMPLE: Seventeen individuals with late-onset ANSD
within the age range of 20-35 yr participated in the study. DATA COLLECTION AND
ANALYSIS: The word recognition scores (WRSs) and quality judgment of phonemically
balanced words, processed using four different compression settings of a hearing
aid (slow, medium, fast, and linear), were evaluated. The modulation spectra of
hearing aid-processed stimuli were estimated to probe the effect of amplification
on the temporal envelope of speech. Repeated measures analysis of variance and
post hoc Bonferroni's pairwise comparisons were used to analyze the word
recognition performance and quality judgment. RESULTS: The comparison between
unprocessed and all four hearing aid-processed stimuli showed significantly
higher perception using the former stimuli. Even though perception of words
processed using slow compression time settings of the hearing aids were
significantly higher than the fast one, their difference was only 4%. In
addition, there were no significant differences in perception between any other
hearing aid-processed stimuli. Analysis of the temporal envelope of hearing aid
processed stimuli revealed minimal changes in the temporal envelope across the
four hearing aid settings. In terms of quality, the highest number of individuals
preferred stimuli processed using slow compression time settings. Individuals who
preferred medium ones followed this. However, none of the individuals preferred
fast compression time settings. Analysis of quality judgment showed that slow,
medium, and linear settings presented significantly higher preference scores than
the fast compression setting. CONCLUSIONS: Individuals with ANSD showed no marked
difference in perception of speech that was processed using the four different
hearing aid settings. However, significantly higher preference, in terms of
quality, was found for stimuli processed using slow, medium, and linear settings
over the fast one. Therefore, whenever hearing aids are recommended for ANSD,
those having slow compression time settings or linear amplification may be chosen
over the fast (syllabic compression) one. In addition, WRSs obtained using
hearing aid-processed stimuli were remarkably poorer than unprocessed stimuli.
This shows that processing of speech through hearing aids might have caused a
large reduction of performance in individuals with ANSD. However, further
evaluation is needed using individually programmed hearing aids rather than
hearing aid-processed stimuli.
PMID- 26554488
TI - What Can We Learn about Auditory Processing from Adult Hearing Questionnaires?
AB - BACKGROUND: Questionnaires addressing auditory disability may identify and
quantify specific symptoms in adult patients with listening difficulties.
PURPOSE: (1) To assess validity of the Speech, Spatial, and Qualities of Hearing
Scale (SSQ), the (Modified) Amsterdam Inventory for Auditory Disability (mAIAD),
and the Hyperacusis Questionnaire (HYP) in adult patients experiencing listening
difficulties in the presence of a normal audiogram. (2) To examine which
individual questionnaire items give the worse scores in clinical participants
with an auditory processing disorder (APD). RESEARCH DESIGN: A prospective
correlational analysis study. STUDY SAMPLE: Clinical participants (N = 58)
referred for assessment because of listening difficulties in the presence of
normal audiometric thresholds to audiology/ear, nose, and throat or
audiovestibular medicine clinics. Normal control participants (N = 30). DATA
COLLECTION AND ANALYSIS: The mAIAD, HYP, and the SSQ were administered to a
clinical population of nonneurological adults who were referred for auditory
processing (AP) assessment because of hearing complaints, in the presence of
normal audiogram and cochlear function, and to a sample of age-matched normal
hearing controls, before the AP testing. Clinical participants with abnormal
results in at least one ear and in at least two tests of AP (and at least one of
these tests to be nonspeech) were classified as clinical APD (N = 39), and the
remaining (16 of whom had a single test abnormality) as clinical non-APD (N =
19). RESULTS: The SSQ correlated strongly with the mAIAD and the HYP, and
correlation was similar within the clinical group and the normal controls. All
questionnaire total scores and subscores (except sound distinction of mAIAD) were
significantly worse in the clinical APD versus the normal group, while
questionnaire total scores and most subscores indicated greater listening
difficulties for the clinical non-APD versus the normal subgroups. Overall, the
clinical non-APD group tended to give better scores than the APD in all
questionnaires administered. Correlation was strong for the worse-ear gaps-in
noise threshold with the SSQ, mAIAD, and HYP; strong to moderate for the speech
in babble and left-ear dichotic digit test scores (at p < 0.01); and weak to
moderate for the remaining AP tests except the frequency pattern test that did
not correlate. The worse-scored items in all three questionnaires concerned
speech-in-noise questions. This is similar to worse-scored items by hearing
impaired participants as reported in the literature. Worse-scored items of the
clinical group also included quality aspects of listening questions from the SSQ,
which most likely pertain to cognitive aspects of listening, such as ability to
ignore other sounds and listening effort. CONCLUSIONS: Hearing questionnaires may
help assess symptoms of adults with APD. The listening difficulties and needs of
adults with APD to some extent overlap with those of hearing-impaired listeners,
but there are significant differences. The correlation of the gaps-in-noise and
duration pattern (but not frequency pattern) tests with the questionnaire scores
indicates that temporal processing deficits may play an important role in
clinical presentation.
PMID- 26554489
TI - Benefits of Nonlinear Frequency Compression in Adult Hearing Aid Users.
AB - BACKGROUND: Frequency-lowering (FL) algorithms are an alternative method of
providing access to high-frequency speech cues. There is currently a lack of
independent research addressing: (1) what functional, measureable benefits FL
provides; (2) which, if any, FL algorithm provides the maximum benefit, (3) how
to clinically program algorithms, and (4) how to verify algorithm settings.
PURPOSE: Two experiments were included in this study. The purpose of Experiment 1
was to (1) determine if a commercially available nonlinear frequency compression
(NLFC) algorithm provides benefit as measured by improved speech recognition in
noise when fit and verified using standard clinical procedures; and (2) evaluate
the impact of acclimatization. The purpose of Experiment 2 was to (1) evaluate
the benefit of using enhanced verification procedures to systematically determine
the optimal application of a prototype NLFC algorithm, and (2) determine if the
optimized prototype NLFC settings provide benefit as measured by improved speech
recognition in quiet and in noise. RESEARCH DESIGN: A single-blind, within
participant repeated measures design in which participants served as their own
controls. STUDY SAMPLE: Experiment 1 included 26 participants with a mean age of
68.3 yr and Experiment 2 included 37 participants with a mean age of 68.8 yr.
Participants were recruited from the Audiology and Speech Pathology Center at
Walter Reed National Military Medical Center in Bethesda, MD. INTERVENTION:
Participants in Experiment 1 wore bilateral commercially available hearing aids
fit using standard clinical procedures and clinician expertise. Participants in
Experiment 2 wore a single prototype hearing aid for which FL settings were
systematically examined to determine the optimum application. In each experiment,
FL-On versus FL-Off settings were examined in a variety of listening situations
to determine benefit and possible implications. DATA COLLECTION AND ANALYSIS: In
Experiment 1, speech recognition measures using the QuickSIN and Modified Rhyme
Test stimuli were obtained at initial bilateral fitting and 3-5 weeks later
during a follow-up visit. In Experiment 2, Modified Rhyme Test, /se/, /?e/
consonant discrimination task, and dual-task cognitive load speech recognition
performance measures were conducted. Participants in Experiment 2 received four
different systematic hearing aid programs during an initial visit and speech
recognition data were collected over 2-3 follow-up sessions. RESULTS: Some adults
with hearing loss obtained small-to-moderate benefits from implementation of FL,
while others maintained performance without detriment in both experiments. There
was no significant difference among FL-On settings systematically obtained in
Experiment 2. There was a modest but significant age effect in listeners of both
experiments that indicated older listeners (>65 yr) might benefit more on average
from FL than younger listeners. In addition, there were reliable improvements in
the intelligibility of the phonemes /n/ and /b/ for both groups, and /d/ for
older listeners from the FL in both experiments. CONCLUSIONS: Although the
optimum settings, application, and benefits of FL remain unclear at this time,
there does not seem to be degradation in listener performance when FL is
activated. The benefits of FL should be explored in older adult (>65 yr)
listeners, as they tended to benefit more from FL applications.
PMID- 26554490
TI - Evaluation of a BICROS System with a Directional Microphone in the Receiver and
Transmitter.
AB - BACKGROUND: The bilateral contralateral routing of signals (BICROS) system has
provided limited benefit for speech recognition in noise for patients with
asymmetric sensorineural hearing loss, even when an automatic adaptive
multichannel directional microphone (DM) is in the receiver (Rx) and an
omnidirectional microphone (OM) is in the transmitter (Tx). A recent BICROS
system was introduced that can be programmed with a DM in the Rx and an OM or a
DM in the Tx. PURPOSE: To examine if significant differences in sentence
recognition in noise and subjective preferences are present between an OM and an
adaptive broadband DM programmed in the Tx of a BICROS system with an automatic
adaptive multichannel DM programmed in the Rx. RESEARCH DESIGN: A randomized
crossover single-blind design was used to assess differences between the OM and
DM programmed in the Tx. STUDY SAMPLE: Eighteen adult experienced BICROS system
users were recruited. DATA COLLECTION AND ANALYSIS: The BICROS system was fit
using real-ear insertion gain measures. The Tx was programmed with an OM and a DM
and the Rx was always programmed with an automatic adaptive multichannel DM. The
order of microphone condition in the Tx was counterbalanced. Participants
acclimatized to the BICROS system for 4 weeks and returned and completed the
Abbreviated Profile of Hearing Aid Benefit (APHAB) for the respective microphone
condition. The Tx was then programmed with the other microphone condition and
participants acclimatized for another four weeks. At the final visit, the APHAB
was completed for the respective microphone condition. After eight weeks of
acclimatization, Hearing in Noise Test sentences were presented in the R-SpaceTM
system with the Tx in either the OM or DM condition for three listening
conditions: (1) speech from 90 degrees to the Rx and noise from 0 degrees , 90
degrees , and 180 degrees to the Tx (Sp Rx/N Tx), (2) speech from 90 degrees to
the Tx and noise from 0 degrees , 90 degrees , and 180 degrees to the Rx (Sp
Tx/N Rx), and (3) speech from 0 degrees and noise from eight surrounding
loudspeakers separated by 45 degrees (diffuse). RESULTS: A two-factor repeated
measures analysis of variance revealed no significant differences between the OM
and DM microphone conditions for Sp Rx/N Tx listening condition. A significant
mean disadvantage of 1.9 dB (p < 0.01) was revealed for the DM compared to the OM
for Sp Tx/N Rx listening condition and a mean advantage of 2.6 dB (p < 0.001) for
the DM compared to the OM in a diffuse listening condition. There were no
significant differences in the APHAB aided problem and resulting benefit scores
between the OM and DM for the following subscales: ease of communication,
background noise, reverberation, and aversiveness of sounds. CONCLUSIONS: No
significant differences were revealed between OM and DM for Sp Rx/N Tx. The DM
performed significantly poorer than OM for the Sp Tx/N Rx listening condition.
Results revealed significant benefit for the DM compared to OM for the diffuse
listening condition. No significant differences were revealed between the OM and
DM on the APHAB.
PMID- 26554491
TI - Construct Validity of the Ecological Momentary Assessment in Audiology Research.
AB - BACKGROUND: Ecological momentary assessment (EMA) is a methodology involving
repeated assessments/surveys to collect data describing respondents' current or
very recent experiences and related contexts in their natural environments. The
use of EMA in audiology research is growing. PURPOSE: This study examined the
construct validity (i.e., the degree to which a measurement reflects what it is
intended to measure) of EMA in terms of measuring speech understanding and
related listening context. Experiment 1 investigated the extent to which
individuals can accurately report their speech recognition performance and
characterize the listening context in controlled environments. Experiment 2
investigated whether the data aggregated across multiple EMA surveys conducted in
uncontrolled, real-world environments would reveal a valid pattern that was
consistent with the established relationships between speech understanding,
hearing aid use, listening context, and lifestyle. RESEARCH DESIGN: This is an
observational study. STUDY SAMPLE: Twelve and twenty-seven adults with hearing
impairment participated in Experiments 1 and 2, respectively. DATA COLLECTION AND
ANALYSIS: In the laboratory testing of Experiment 1, participants estimated their
speech recognition performance in settings wherein the signal-to-noise ratio was
fixed or constantly varied across sentences. In the field testing the
participants reported the listening context (e.g., noisiness level) of several
semicontrolled real-world conversations. Their reports were compared to (1) the
context described by normal-hearing observers and (2) the background noise level
measured using a sound level meter. In Experiment 2, participants repeatedly
reported the degree of speech understanding, hearing aid use, and listening
context using paper-and-pencil journals in their natural environments for 1 week.
They also carried noise dosimeters to measure the sound level. The associations
between (1) speech understanding, hearing aid use, and listening context, (2)
dosimeter sound level and self-reported noisiness level, and (3) dosimeter data
and lifestyle quantified using the journals were examined. RESULTS: For
Experiment 1, the reported and measured speech recognition scores were highly
correlated across all test conditions (r = 0.94 to 0.97). The field testing
results revealed that most listening context properties reported by the
participants were highly consistent with those described by the observers (74-95%
consistency), except for noisiness rating (58%). Nevertheless, higher noisiness
rating was associated with higher background noise level. For Experiment 2, the
EMA results revealed several associations: better speech understanding was
associated with the use of hearing aids, front-located speech, and lower
dosimeter sound level; higher noisiness rating was associated with higher
dosimeter sound level; listeners with more diverse lifestyles tended to have
higher dosimeter sound levels. CONCLUSIONS: Adults with hearing impairment were
able to report their listening experiences, such as speech understanding, and
characterize listening context in controlled environments with reasonable
accuracy. The pattern of the data aggregated across multiple EMA surveys
conducted in a wide range of uncontrolled real-world environment was consistent
with the established knowledge in audiology. The two experiments suggested that,
regarding speech understanding and related listening contexts, EMA reflects what
it is intended to measure, supporting its construct validity in audiology
research.
PMID- 26554494
TI - Sulfate Radical Photogeneration Using Fe-EDDS: Influence of Critical Parameters
and Naturally Occurring Scavengers.
PMID- 26554495
TI - Superparamagnetic Reduction/pH/Temperature Multistimuli-Responsive Nanoparticles
for Targeted and Controlled Antitumor Drug Delivery.
AB - Multistimuli-responsive polymeric nanoparticles with core-shell architecture were
prepared by coating superparamagnetic Fe3O4 nanoparticle cores with reduction/pH
dual-responsive poly(methacrylic acid) (PMAA) as shells and thermal-responsive
poly(N-isopropylacrylamide) (PNIPAM) as a "gatekeeper" on the surface via two
stage distillation precipitation polymerization. The Fe3O4@PMAA nanoparticles
were synthesized using N,N-bis(acryloyl)cystamine (BACy) as cross-linker which
would be easily biodegradable in the presence of dithiothreitol (DTT) or
glutathione (GSH). The cumulative release profile was investigated under
different conditions, such as media pH, reductive agents, and temperature, with
doxorubicin hydrochloride (DOX) as a model anticancer drug. They showed a low
leakage of DOX at pH 7.4 (less than 11% in 24 h), while the release significantly
accelerated at pH 5.0 and 10 mM GSH (over 60% in 5 h), realizing the "triggered
release" of drug in the targeted tissues. The nanoparticles exhibited excellent
biocompatibility while the DOX-loaded nanoparticles showed great promise of
antitumor efficacy as free DOX by the MTT assay and CLSM analysis. The results
suggest that the novel biodegradable nanoparticles with high drug loading
capacity and multiresponsive controlled release capability could serve as an
excellent gene/drug delivery system candidate for cancer therapy.
PMID- 26554497
TI - Improved Corrosion Resistance and Mechanical Properties of CrN Hard Coatings with
an Atomic Layer Deposited Al2O3 Interlayer.
AB - A new approach was adopted to improve the corrosion resistance of CrN hard
coatings by inserting a Al2O3 layer through atomic layer deposition. The
influence of the addition of a Al2O3 interlayer, its thickness, and the position
of its insertion on the microstructure, surface roughness, corrosion behavior,
and mechanical properties of the coatings was investigated. The results indicated
that addition of a dense atomic layer deposited Al2O3 interlayer led to a
significant decrease in the average grain size and surface roughness and to
greatly improved corrosion resistance and corrosion durability of CrN coatings
while maintaining their mechanical properties. Increasing the thickness of the
Al2O3 interlayer and altering its insertion position so that it was near the
surface of the coating also resulted in superior performance of the coating. The
mechanism of this effect can be explained by the dense Al2O3 interlayer acting as
a good sealing layer that inhibits charge transfer, diffusion of corrosive
substances, and dislocation motion.
PMID- 26554496
TI - Using an eHealth Intervention to Stimulate Health Behavior for the Prevention of
Cognitive Decline in Dutch Adults: A Study Protocol for the Brain Aging Monitor.
AB - BACKGROUND: Internet-delivered intervention programs are an effective way of
changing health behavior in an aging population. The same population has an
increasing number of people with cognitive decline or cognitive impairments.
Modifiable lifestyle risk factors such as physical activity, nutrition, smoking,
alcohol consumption, sleep, and stress all influence the probability of
developing neurodegenerative diseases such as Alzheimer's disease. OBJECTIVE:
This study aims to answer two questions: (1) Is the use of a self-motivated,
complex eHealth intervention effective in changing multiple health behaviors
related to cognitive aging in Dutch adults in the work force, especially those
aged 40 and over? and (2) Does this health behavior change result in healthier
cognitive aging patterns and contribute to preventing or delaying future onset of
neurodegenerative syndromes? METHODS: The Brain Aging Monitor study uses a quasi
experimental 2-year pre-posttest design. The Brain Aging Monitor is an online,
self-motivated lifestyle intervention program. Recruitment is done both in medium
to large organizations and in the Dutch general population over the age of 40.
The main outcome measure is the relationship between lifestyle change and
cognitive aging. The program uses different strategies and modalities such as Web
content, email, online newsletters, and online games to aid its users in behavior
change. To build self-regulatory skills, the Brain Aging Monitor offers its users
goal-setting activities, skill-building activities, and self-monitoring. RESULTS:
Study results are expected to be published in early 2016. CONCLUSIONS: This study
will add to the body of evidence on the effectiveness of eHealth intervention
programs with the combined use of state-of-the-art applied games and established
behavior change techniques. This will lead to new insights on how to use behavior
change techniques and theory in multidimensional lifestyle eHealth research, and
how these techniques and theories apply when they are used in a setting where no
professional back-end is available. TRIAL REGISTRATION: Nederlands Trial
Register: NTR4144; http://www.trialregister.nl/trialreg/admin/rctview.asp?TC=4144
(Archived by WebCite at http://www.webcitation.org/6cZzwZSg3).
PMID- 26554498
TI - Ultrafast, Light-Induced Electron Transfer in a Perylene Diimide Chromophore
Donor Assembly on TiO2.
AB - Surface-bound, perylenediimide (PDI)-based molecular assemblies have been
synthesized on nanocrystalline TiO2 by reaction of a dianhydride with a surface
bound aniline and succinimide bonding. In a second step, the Fe(II) polypyridyl
complex [Fe(II)(tpy-PhNH2)2](2+) was added to the outside of the film, also by
succinimide bonding. Ultrafast transient absorption measurements in 0.1 M HClO4
reveal that electron injection into TiO2 by (1)PDI* does not occur, but rather
leads to the ultrafast formation of the redox-separated pair PDI(*+),PDI(*-),
which decays with complex kinetics (tau1 = 0.8 ps, tau2 = 15 ps, and tau3 = 1500
ps). With the added Fe(II) polypyridyl complex, rapid (<25 ps) oxidation of
Fe(II) by the PDI(*+),PDI(*-) redox pair occurs to give Fe(III),PDI(*-)
persisting for >400 MUs in the film environment.
PMID- 26554499
TI - Safety inspections in construction sites: A systems thinking perspective.
AB - Although safety inspections carried out by government officers are important for
the prevention of accidents, there is little in-depth knowledge on their outcomes
and processes leading to these. This research deals with this gap by using
systems thinking (ST) as a lens for obtaining insights into safety inspections in
construction sites. Thirteen case studies of sites with prohibited works were
carried out, discussing how four attributes of ST were used in the inspections.
The studies were undertaken over 6 years, and sources of evidence involved
participant observation, direct observations, analysis of documents and
interviews. Two complementary ways for obtaining insights into inspections, based
on ST, were identified: (i) the design of the study itself needs to be in line
with ST; and (ii) data collection and analysis should focus on the agents
involved in the inspections, the interactions between agents, the constraints and
opportunities faced by agents, the outcomes of interactions, and the
recommendations for influencing interactions.
PMID- 26554500
TI - Drainage in a rising foam.
AB - Rising foams created by continuously blowing gas into a surfactant solution are
widely used in many technical processes, such as flotation. The prediction of the
liquid fraction profile in such flowing foams is of particular importance since
this parameter controls the stability and the rheology of the final product.
Using drift flux analysis and recently developed semi-empirical expressions for
foam permeability and osmotic pressure, we build a model predicting the liquid
fraction profile as a function of height. The theoretical profiles are very
different if the interfaces are considered as mobile or rigid, but all of our
experimental profiles are described by the model with mobile interfaces. Even the
systems with dodecanol are well known to behave as rigid in forced drainage
experiments. This is because in rising foams the liquid fraction profile is fixed
by the flux at the bottom of the foam. Here the foam is wet with higher
permeability and the interfaces are not in equilibrium. These results demonstrate
once again that it is not only the surfactant system that controls the mobility
of the interface, but also the hydrodynamic problem under consideration. For
example liquid flow through the foam during generation or in forced drainage is
intrinsically different.
PMID- 26554501
TI - Removal of Particulate Matter Emissions from a Vehicle Using a Self-Powered
Triboelectric Filter.
AB - Particulate matter (PM) pollution from automobile exhaust has become one of the
main pollution sources in urban environments. Although the diesel particulate
filter has been used in heavy diesel vehicles, there is no particulate filter for
most gasoline cars or light-duty vehicles because of high cost. Here, we
introduce a self-powered triboelectric filter for removing PMs from automobile
exhaust fumes using the triboelectrification effect. The finite element
simulation reveals that the collision or friction between PTFE pellets and
electrodes can generate large triboelectric charges and form a space electric
field as high as 12 MV/m, accompanying an open-circuit voltage of ~6 kV between
the two electrodes, which is comparable to the measured value of 3 kV. By
controlling the vibration frequency and fill ratio of pellets, more than 94% PMs
in aerosol can be removed using the high electric field in the triboelectric
filter. In real automobile exhaust fumes, the triboelectic filter has a mass
collection efficiency of ~95.5% for PM2.5 using self-vibration of the tailpipe.
PMID- 26554502
TI - Spectroscopic Evidence of Nanodomains in THF/RTIL Mixtures:
Spectroelectrochemical and Voltammetric Study of Nickel Porphyrins.
AB - The presence and effect of RTIL nanodomains in molecular solvent/RTIL mixture
were investigated by studying the spectroelectrochemistry and voltammetry of
nickel octaethylporphyrin (Ni(OEP)) and nickel octaethylporphinone (Ni(OEPone)).
Two oxidation and 2-3 reduction redox couples were observed, and the UV-visible
spectra of all stable products in THF and RTIL mixtures were obtained. The E
degrees values for the reduction couples that were studied were linearly
correlated with the Gutmann acceptor number, as well as the difference in the E
degrees values between the first two waves (DeltaE12 degrees = |E1 degrees -
E2 degrees |). The DeltaE12 degrees for the reduction was much more sensitive to
the %RTIL in the mixture than the oxidation, indicating a strong interaction
between the RTIL and the anion or dianion. The shifts in the E degrees values
were significantly different between Ni(OEP) and Ni(OEPone). For Ni(OEP), the E1
degrees values were less sensitive to the %RTIL than were observed for
Ni(OEPone). Variations in the diffusion coefficients of Ni(OEP) and Ni(OEPone) as
a function of %RTIL were also investigated, and the results were interpreted in
terms of RTIL nanodomains. To observe the effect of solvation on the
metalloporphyrin, Ni(OEPone) was chosen because it contains a carbonyl group that
can be easily observed in infrared spectroelectrochemistry. It was found that the
nuCO band was very sensitive to the solvent environment, and two carbonyl bands
were observed for Ni(OEPone)(-) in mixed THF/RTIL solutions. The higher energy
band was attributed to the reduced product in THF, and the lower energy band
attributed to the reduced product in the RTIL nanophase. The second band could be
observed with as little as 5% of the RTIL. No partitioning of Ni(OEPone)(+) into
the RTIL nanodomain was observed. DFT calculations were carried out to
characterize the product of the first reduction. These results provide strong
direct evidence of the presence of nanodomains in molecular solvent/RTIL
mixtures.
PMID- 26554503
TI - MAKING SENSE OF OUR VARIATION.
AB - Each human genome differs by millions of sequence variants, but which of these
differences are important in human biology and disease? Jeffrey Perkel takes a
look at the how scientists are identifying and cataloging important genetic
variation.
PMID- 26554504
TI - Slide Set: Reproducible image analysis and batch processing with ImageJ.
AB - Most imaging studies in the biological sciences rely on analyses that are
relatively simple. However, manual repetition of analysis tasks across multiple
regions in many images can complicate even the simplest analysis, making record
keeping difficult, increasing the potential for error, and limiting
reproducibility. While fully automated solutions are necessary for very large
data sets, they are sometimes impractical for the small- and medium-sized data
sets common in biology. Here we present the Slide Set plugin for ImageJ, which
provides a framework for reproducible image analysis and batch processing. Slide
Set organizes data into tables, associating image files with regions of interest
and other relevant information. Analysis commands are automatically repeated over
each image in the data set, and multiple commands can be chained together for
more complex analysis tasks. All analysis parameters are saved, ensuring
transparency and reproducibility. Slide Set includes a variety of built-in
analysis commands and can be easily extended to automate other ImageJ plugins,
reducing the manual repetition of image analysis without the set-up effort or
programming expertise required for a fully automated solution.
PMID- 26554505
TI - Into the depths: Techniques for in vitro three-dimensional microtissue
visualization.
AB - Three-dimensional (3-D) in vitro platforms have been shown to closely
recapitulate human physiology when compared with conventional two-dimensional (2
D) in vitro or in vivo animal model systems. This confers a substantial advantage
in evaluating disease mechanisms, pharmaceutical drug discovery, and toxicity
testing. Despite the benefits of 3-D cell culture, limitations in visualization
and imaging of 3-D microtissues present significant challenges. Here we optimized
histology and microscopy techniques to overcome the constraints of 3-D imaging.
For morphological assessment of 3-D microtissues of several cell types, different
time points, and different sizes, a two-step glycol methacrylate embedding
protocol for evaluating 3-D microtissues produced using agarose hydrogels
improved resolution of nuclear and cellular histopathology characteristic of cell
death and proliferation. Additional immunohistochemistry, immunofluorescence, and
in situ immunostaining techniques were successfully adapted to these microtissues
and enhanced by optical clearing. Utilizing the Clear(T2) protocol greatly
increased fluorescence signal intensity, imaging depth, and clarity, allowing for
more complete confocal fluorescence microscopy imaging of these 3-D microtissues
compared with uncleared samples. The refined techniques presented here address
the key challenges associated with 3-D imaging, providing new and alternative
methods in evaluating disease pathogenesis, delineating toxicity pathways, and
enhancing the versatility of 3-D in vitro testing systems in pharmacological and
toxicological applications.
PMID- 26554506
TI - Development of a novel hepatitis B virus encapsidation detection assay by viral
nucleocapsid-captured quantitative RT-PCR.
AB - After encapsidation, where pregenomic RNA (pgRNA) is packaged into viral
nucleocapsids, hepatitis B virus (HBV) uses the pgRNA as a template to replicate
its DNA genome by reverse transcription. To date, there are only two
encapsidation detection methods for evaluating the amount of pgRNA packaged into
nucleocapsids: (i) the RNase protection assay and (ii) the native agarose gel
electrophoresis assay. However, these methods are complex and laborious because
they require multiple pgRNA purification steps followed by detection via an
isotope-labeled probe. Moreover, both assays are unsuitable for evaluating a
large number of antiviral agents in a dose-dependent manner. To overcome these
limitations, we devised a novel HBV encapsidation assay in a 96-well plate format
using nucleocapsid capture plates coated with an anti-HBV core (HBc) antibody,
usually employed in enzyme-linked immunosorbent assays, to immobilize viral
nucleocapsids. Viral pgRNA is then detected by quantitative RT-PCR (RT-qPCR).
This strategy allows fast, convenient, and quantitative analysis of multiple
viral RNA samples to evaluate encapsidation inhibitors. Furthermore, our protocol
is potentially suitable for high-throughput screening (HTS) of compounds
targeting HBV pgRNA encapsidation.
PMID- 26554507
TI - Cardiac muscle organization revealed in 3-D by imaging whole-mount mouse hearts
using two-photon fluorescence and confocal microscopy.
AB - The ability to image the entire adult mouse heart at high resolution in 3-D would
provide enormous advantages in the study of heart disease. However, a technique
for imaging nuclear/cellular detail as well as the overall structure of the
entire heart in 3-D with minimal effort is lacking. To solve this problem, we
modified the benzyl alcohol:benzyl benzoate (BABB) clearing technique by labeling
mouse hearts with periodic acid Schiff (PAS) stain. We then imaged the hearts
with a combination of two-photon fluorescence microscopy and automated tile-scan
imaging/stitching. Utilizing the differential spectral properties of PAS, we
could identify muscle and nuclear compartments in the heart. We were also able to
visualize the differences between a 3-month-old normal mouse heart and a mouse
heart that had undergone heart failure due to the expression of cardiac myosin
binding protein-C (cMyBP-C) gene mutation (t/t). Using 2-D and 3-D morphometric
analysis, we found that the t/t heart had anomalous ventricular shape, volume,
and wall thickness, as well as a disrupted sarcomere pattern. We further
validated our approach using decellularized hearts that had been cultured with
3T3 fibroblasts, which were tracked using a nuclear label. We were able to detect
the 3T3 cells inside the decellularized intact heart tissue, achieving
nuclear/cellular resolution in 3-D. The combination of labeling, clearing, and
two-photon microscopy together with tiling eliminates laborious and time
consuming physical sectioning, alignment, and 3-D reconstruction.
PMID- 26554508
TI - Subcellular object quantification with Squassh3C and SquasshAnalyst.
AB - Quantitative image analysis plays an important role in contemporary biomedical
research. Squassh is a method for automatic detection, segmentation, and
quantification of subcellular structures and analysis of their colocalization.
Here we present the applications Squassh3C and SquasshAnalyst. Squassh3C extends
the functionality of Squassh to three fluorescence channels and live-cell movie
analysis. SquasshAnalyst is an interactive web interface for the analysis of
Squassh3C object data. It provides segmentation image overview and data
exploration, figure generation, object and image filtering, and a statistical
significance test in an easy-to-use interface. The overall procedure combines the
Squassh3C plug-in for the free biological image processing program ImageJ and a
web application working in conjunction with the free statistical environment R,
and it is compatible with Linux, MacOS X, or Microsoft Windows. Squassh3C and
SquasshAnalyst are available for download at
www.psi.ch/lbr/SquasshAnalystEN/SquasshAnalyst.zip.
PMID- 26554509
TI - Autophagy: The missing link in diabetic neuropathy?
AB - Autophagy is a dynamic process which plays an important role in cellular
homeostasis through recycling of damaged proteins and organelles. Chronic
hyperglycemia associated with diabetes is known to impair the cellular autophagic
pathways to a varied extent in some of the diabetic complications. But the role
of autophagy driven quality control of proteins and the cellular organelles has
been understudied in diabetic complications including neuropathy (DN). The
present article hypothesizes that enhancing autophagy in neuronal cells may help
them to get rid of bioenergetic crisis, necrosis, apoptosis associated with DN.
Some forms of autophagic turnover also help to maintain integral, healthy
mitochondria, malfunctioning of which produces cellular reactive oxygen species
and may prevent accumulation of damaged protein aggregates. Involvement of
various energy derived metabolic, cellular death pathways and oxidative stress in
the pathogenesis of DN and how autophagy may halts the progression of DN has been
discussed with supporting literature.
PMID- 26554510
TI - Influences underlying family food choices in mothers from an economically
disadvantaged community.
AB - PURPOSE: The purpose of this qualitative study was to explore the perceptions and
attitudes that underlie food choices, and, the impact of a school-based healthy
eating intervention in mothers from an economically-disadvantaged community. The
aim of the intervention was to educate children to act as 'health messengers' to
their families. METHOD: Sixteen semi-structured phone interviews were conducted
with mothers with four receiving a second interview. Interviews were conducted
following their child's participation in a six-week after school healthy cooking
intervention. RESULTS: Thematic content analysis revealed four main themes: Cost
and budget influence on food choices, diversity in household rules controlling
food, role of socialisation on diet, and improved cooking skills and confidence
to make homemade meals. The interview findings demonstrated the positive
influence of the after-school cooking intervention on children and their families
in cooking skills, promoting healthier cooking methods and increasing confidence
to prepare homemade meals. CONCLUSIONS: The findings demonstrated the wider
economic and social influences on food choices and eating practices.
Socialisation into, and strong cultural norms around, eating habits were
significant influences on family diet and on parental decisions underpinning food
choices and attitudes towards the control of food within the family. The
intervention was perceived to be successful in terms of improving nutritional
knowledge, cooking skills and increasing confidence to make healthy and tasty
homemade meals. The study demonstrates the importance of parental involvement in
school-based interventions if improvements in healthy eating are to be evidenced
at the family level and maintained.
PMID- 26554511
TI - Working safely with robot workers: Recommendations for the new workplace.
AB - The increasing use of robots in performing tasks alongside or together with human
co-workers raises novel occupational safety and health issues. The new 21st
century workplace will be one in which occupational robotics plays an increasing
role. This article describes the increasing complexity of robots and proposes a
number of recommendations for the practice of safe occupational robotics.
PMID- 26554512
TI - Low levels of graphene and graphene oxide inhibit cellular xenobiotic defense
system mediated by efflux transporters.
AB - Low levels of graphene and graphene oxide (GO) are considered to be
environmentally safe. In this study, we analyzed the potential effects of
graphene and GO at relatively low concentrations on cellular xenobiotic defense
system mediated by efflux transporters. The results showed that graphene (<0.5
MUg/mL) and GO (<20 MUg/mL) did not decrease cell viability, generate reactive
oxygen species, or disrupt mitochondrial function. However, graphene and GO at
the nontoxic concentrations could increase calcein-AM (CAM, an indicator of
membrane ATP-binding cassette (ABC) transporter) activity) accumulation,
indicating inhibition of ABC transporters' efflux capabilities. This inhibition
was observed even at 0.005 MUg/mL graphene and 0.05 MUg/mL GO, which are 100
times and 400 times lower than their lowest toxic concentration from cytotoxicity
experiments, respectively. The inhibition of ABC transporters significantly
increased the toxicity of paraquat and arsenic, known substrates of ABC
transporters. The inhibition of ABC transporters was found to be based on
graphene and GO damaging the plasma membrane structure and fluidity, thus
altering functions of transmembrane ABC transporters. This study demonstrates
that low levels of graphene and GO are not environmentally safe since they can
significantly make cell more susceptible to other xenobiotics, and this
chemosensitizing activity should be considered in the risk assessment of graphene
and GO.
PMID- 26554514
TI - Two very different types of clinical importance.
PMID- 26554513
TI - Lineage tracing in the adult mouse corneal epithelium supports the limbal
epithelial stem cell hypothesis with intermittent periods of stem cell
quiescence.
AB - The limbal epithelial stem cell (LESC) hypothesis proposes that LESCs in the
corneal limbus maintain the corneal epithelium both during normal homeostasis and
wound repair. The alternative corneal epithelial stem cell (CESC) hypothesis
proposes that LESCs are only involved in wound repair and CESCs in the corneal
epithelium itself maintain the corneal epithelium during normal homeostasis. We
used tamoxifen-inducible, CreER-loxP lineage tracing to distinguish between these
hypotheses. Clones of labelled cells were induced in adult CAGG-CreER;R26R-LacZ
reporter mice and their distributions analysed after different chase periods.
Short-lived clones, derived from labelled transient amplifying cells, were shed
during the chase period and long-lived clones, derived from stem cells, expanded.
At 6 weeks, labelled clones appeared at the periphery, extended centripetally as
radial stripes and a few reached the centre by 14 weeks. Stripe numbers depended
on the age of tamoxifen treatment. Stripes varied in length, some were
discontinuous, few reached the centre and almost half had one end at the limbus.
Similar stripes extended across the cornea in CAGG-CreER;R26R-mT/mG reporter
mice. The distributions of labelled clones are inconsistent with the CESC
hypothesis and support the LESC hypothesis if LESCs cycle between phases of
activity and quiescence, each lasting several weeks.
PMID- 26554515
TI - Upregulation of CCL2 via ATF3/c-Jun interaction mediated the Bortezomib-induced
peripheral neuropathy.
AB - Bortezomib (BTZ) is a frequently used chemotherapeutic drug for the treatment of
refractory multiple myeloma and hematological neoplasms. The mechanism by which
the administration of BTZ leads to painful peripheral neuropathy remains unclear.
In present study, we found that application of BTZ at 0.4 mg/kg for consecutive 5
days significantly increased the expression of CCL2 in DRG, and intrathecal
administration of neutralizing antibody against CCL2 inhibited the mechanical
allodynia induced by BTZ. We also found an increased expression of c-Jun in DRG,
and that inhibition of c-Jun signaling prevented the CCL2 upregulation and
mechanical allodynia in the rats treated with BTZ. Furthermore, the results with
luciferase assay in vitro and ChIP assay in vivo showed that c-Jun might be
essential for BTZ-induced CCL2 upregulation via binding directly to the specific
position of the ccl2 promoter. In addition, the present results showed that an
upregulated expression of ATF3 was co-expressed with c-Jun in the DRG neurons,
and the enhanced interaction between c-Jun and ATF3 was observed in DRG in the
rats treated with BTZ. Importantly, pretreatment with ATF3 siRNA significantly
inhibited the recruitment of c-Jun to the ccl2 promoter in the rats treated with
BTZ. Taken together, these findings suggested that upregulation of CCL2 resulting
from the enhanced interaction between c-Jun and ATF3 in DRG contributed to BTZ
induced mechanical allodynia.
PMID- 26554516
TI - Effects of catecholaminergic nerve lesion on endometrial development during early
pregnancy in Mice.
AB - Maternal stress is common during pregnancy and the postnatal period. This stress
typically activates the sympathetic nervous system which releases catecholamines.
This study explored the influence of sympathectomy by using neurotoxin 6
hydroxydopamine (6-OHDA) on embryo implantation, and investigated the influence
mechanism of sympathectomy on reconstruction of endometrial structure during
early pregnancy. In the 6-OHDA-treated mice, uterine glands in the endometrium
developed poorly, and the gland epithelia were arranged irregularly during early
pregnancy. Furthermore, vacuoles, karyopykosis and plasmarrhexis appeared in some
gland epithelia. The percentage of uterine glands and the density of
proliferating cell nuclear antigen (PCNA) positivity were dramatically decreased,
and Fas ligand (FasL) expression was decreased in cells from pregnancy days 5-9
(E5-9) in the treated group. Antioxidant enzyme activity levels in uteri were
lower but the malondialdehyde (MDA) levels were higher in the 6-OHDA mice than
those in the control mice at E5-9. Similarly, the number of inducible nitric
oxide synthase (iNOS) positive cells was significantly increased during early
pregnancy following treatment with 6-OHDA. Our results have indicated that
peripheral catecholaminergic nerve lesions induced by 6-OHDA cause adverse
pregnancy outcomes through disruption of endometrial gland development, which
increases oxidative stress and iNOS expression in the endometrium. Thus,
catecholaminergic nerves might favourably influence blastocyst implantation,
foetal survival and development during early pregnancy by oxidative state
regulation and endometrial gland reconstruction.
PMID- 26554517
TI - A method for continuous and stable perfusion of tissue and single cell
preparations with accurate concentrations of volatile anaesthetics.
AB - BACKGROUND: It is difficult to design a system to reliably deliver volatile
anaesthetics such as halothane or isoflurane to in vitro preparations such as
tissues or cells cultures: the very volatility of the drugs means that they can
rapidly dissipate from even carefully-prepared solutions. Furthermore, many
experiments require the control of other gases (such as oxygen or carbon dioxide)
which requires constant perfusion. NEW METHOD: We describe a constant perfusion
system that is air-tight (i.e., allows the accurate administration of hypoxic or
hypercapnic gas mixtures), in which volatile anaesthetic is delivered via
calibrated vaporisers by constant bubbling into the perfusing solution (and
continuously monitored for stability by infrared spectroscopy in the headspace
above the solution). RESULTS: We have confirmed the accuracy (i.e., linear
relationship of dissolved concentrations with vapour dial settings) and stability
(i.e., over time) of the anaesthetic concentrations in solutions in samples taken
from the bottles into which anaesthetic is bubbled, and from samples taken from
the tissue perfusion bath, using gas chromatrography-mass spectrometry (GC-MS).
CONCLUSIONS: It is possible to deliver volatile anaesthetics in accurate
concentrations to cell/tissue preparations whilst adjusting ambient air
composition rapidly, stable over sustained time periods.
PMID- 26554518
TI - Cell Population Data and reflex testing rules of cell analysis in pleural and
ascitic fluids using body fluid mode on Sysmex XN-9000.
AB - BACKGROUND: Although optical microscopy (OM) remains the reference technique for
analysis of ascitic (AF) and pleural (PF) fluids, novel hematological analyzers
are equipped with modules for body fluid (BF) analysis. This study was aimed to
analyze the performance of XN-BF module in Sysmex XN-9000, and to develop
validation rules for automated cell counts in BFs. METHODS: The evaluation of XN
BF module included assessment of carryover, Limit of Blank (LoB), Limit of
Detection (LoD), Limit of Quantitation (LoQ), linearity, data comparison with OM,
and development of rules for assisting the validation of automated analysis of
BFs and activating reflex testing. RESULTS: The carryover was negligible. The
LoB, LoD, LoQ and linearity were always excellent. The comparison with OM was
characterized by Pearson's correlations ranging from r=0.50 to r=0.99 (p<0.001),
modest bias and high diagnostic concordance (Area Under the Curve between 0.85
and 0.99). The use of instrument-specific cut-offs further increased diagnostic
concordance. The implementation of reflex testing rules based on XN-BF data
increased sensitivity and specificity of BFs classification to 0.98 and 0.95.
CONCLUSIONS: Our results suggest that the XN-BF module on Sysmex-9000 may be a
suitable alternative to OM for screening BF samples, especially when specific
validation rules are used.
PMID- 26554519
TI - A chromogranin A ELISA absent of an apparent high-dose hook effect observed in
other chromogranin A ELISAs.
AB - BACKGROUND: Routine testing for chromogranin A (CgA) using an established
commercial ELISA revealed an apparent high-dose hook effect in approximately 15%
of specimens. Investigations found the same effect in two additional ELISAs. We
hypothesized that a CgA derived peptide(s) at high concentrations was responsible
but experiments were inconclusive. Here we describe the analytical performance
characteristics of the ChromoaTM CgA ELISA that did not display the apparent high
dose hook effect. METHODS: Performance characteristics of the Chromoa ELISA were
assessed. The reference interval was established utilizing healthy volunteers.
Specimens producing the apparent high-dose hook effect in other assays were
evaluated using the Chromoa ELISA. RESULTS: The limit of detection was 8ng/ml.
Linearity was acceptable (slope=1.04, intercept=18.1 and r(2)=0.997). CVs were
<=4.6 and <=9.3% for repeatability and within-laboratory imprecision,
respectively. CgA was stable at ambient and refrigerated temperatures for a
minimum of two and 14days, respectively. An upper reference interval limit of
95ng/ml was established. Specimens demonstrating the apparent high-dose hook
effect in other ELISAs did not exhibit the phenomenon using the Chromoa ELISA.
CONCLUSIONS: The Chromoa ELISA demonstrates acceptable performance for
quantifying serum CgA. The apparent high-dose hook effect exhibited in other
ELISAs was absent using the Chromoa assay.
PMID- 26554520
TI - How to identify sulfamethoxazole crystals in the urine.
PMID- 26554521
TI - Gastrointestinal toxicity of mycophenolate mofetil in rats: Effect of
administration time.
AB - This study investigates whether the intestinal toxicity of the immunosuppressive
agent "mycophenolate mofetil (MMF)" varied according to the circadian dosing-time
in rats. MMF (300 mg/kg) was acutely administered by i.p. route in rats at four
different circadian stages (1, 7, 13 and 19 hours after light onset, HALO). The
results obtained showed that MMF-induced intestinal toxicity depends on circadian
dosing-time in rats. A severe toxicity in the duodenum and jejunum was observed
when the drug was administered at 7 HALO compared to controls and to other
circadian times. This toxicity appeared in the form of villous and Liberkhun
gland atrophy and nodular inflammation. At this dosing-time, MMF induced a
significant increase of phosphatase alkaline activity and a significant decrease
of gut mucosa weight, protein content and disaccharidases activities. Conversely,
MMF dosing at 19 HALO induced lower gut toxicity, irrespective of type of
toxicity explored. These data suggest the existence of a circadian rhythm of gut
toxicity for this immunosuppressive agent and the best time of gastrointestinal
tolerance (chronotolerance) of this agent was observed in the middle of the dark
activity span of rats.
PMID- 26554523
TI - Enantioselective toxicities of chiral ionic liquids 1-alkyl-3-methyl imidazolium
tartrate on Scenedesmus obliquus.
AB - Ionic liquids (ILs) are being used in various industries during the last few
decades, while the good solubility and high stability of ILs may pose a potential
threat to the aquatic environment. Effect of chiral ionic liquids (CILs) 1-alkyl
3-methyl imidazolium tartrate (RMIM T) on Scenedesmus obliquus (S.obliquus) was
studied. The growth rate inhibition and cell membrane permeability increased with
increasing RMIM T concentration and increasing alkyl chain lengths. The IC50
values of D-(-)-tartrate 1-hexyl-3-methyl imidazolium (D-(-)-HMIM T) were 28.30,
12.23,10.15 and 14.41 mg/L, respectively, at 24, 48, 72 and 96h. While that of L
(+)-tartrate 1-hexyl-3-methyl imidazolium (L-(+)-HMIM T) were 15.97, 7.91, 9.43
and 12.04 mg/L respectively. The concentration of chl a, chl b and chl (a+b)
decreased with increasing RMIM T concentration. The chlorophyll fluorescence
parameters (F0, Fv/Fm, Fv/F0, Y(II), ETR and NPQ) were affected by RMIM T,
indicating that the RMIM T will damage the PSII, inhibit the transmission of
excitation energy, decrease the efficiency of photosynthesis. The results showed
that there were enantioselective toxicity of RMIM T to algae, and the toxicity of
L-(+)-RMIM T was greater than that of D-(-)-RMIM T, but the enantioselective
difference becomes smaller with increasing exposure time, and with the increasing
carbon chain length of cation, indicating that cation properties may have a
larger effect on toxicity than anion properties.
PMID- 26554524
TI - Antioxidative stress responses in the floating macrophyte Lemna minor L. with
cylindrospermopsin exposure.
AB - Cylindrospermopsin toxicity and oxidative stress have been examined in aquatic
animals, however, only a few studies with aquatic plants have been conducted
focusing on the potential for bioaccumulation of cylindrospermopsin. The
oxidative stress effects caused by cylindrospermopsin on macrophytes have not yet
been specifically studied. The oxidative stress response of Lemna minor L. with
exposure to cylindrospermopsin, was therefore tested in this study. The hydrogen
peroxide concentration together with the activities of the antioxidant enzymes
(catalase, peroxidase, glutathione reductase and glutathione S-transferase) were
determined after 24h (hours) of exposure to varying concentrations (0.025, 0.25,
2.5 and 25MUg/L) of cylindrospermopsin. Responses with longer exposure periods
(48, 96, 168h) were tested only with exposure to 2.5 and 25MUg/L
cylindrospermopsin. Additionally, the content of the carotenoids was determined
as a possible non-enzymatic antioxidant defence mechanism against
cylindrospermopsin. The levels of hydrogen peroxide increased after 24h even at
the lowest cylindrospermopsin exposure concentrations. Catalase showed the most
representative antioxidant response observed after 24h and maintained its
activity throughout the experiment. Catalase activity corresponded with the
contents of hydrogen peroxide at 2.5 and 25MUg/L cylindrospermopsin. The data
suggest that glutathione S-transferase, glutathione reductase and the carotenoid
content act together with catalase but are more sensitive to higher
concentrations of cylindrospermopsin and after a longer exposure period (168h).
The results indicate that cylindrospermopsin promotes oxidative stress in L.
minor at concentrations of 2.5 and 25MUg/L. However, L. minor has sufficient
defence mechanisms in place against this cyanobacterial toxin. Even though L.
minor exhibits the potential to managing and control cylindrospermopsin
contamination in aquatic systems, further studies in tolerance limits to
cylindrospermopsin, uptake and experiments with prolonged exposure periods of
more than 7 days are required.
PMID- 26554522
TI - Chikungunya vaccines in development.
AB - Chikungunya virus has become a global health threat, spreading to the industrial
world of Europe and the Americas; no treatment or prophylactic vaccine is
available. Since the late 1960s much effort has been put into the development of
a vaccine, and several heterogeneous strategies have already been explored. Only
two candidates have recently qualified to enter clinical phase II trials, a
chikungunya virus-like particle-based vaccine and a recombinant live attenuated
measles virus-vectored vaccine. This review focuses on the current status of
vaccine development against chikungunya virus in humans and discusses the
diversity of immunization strategies, results of recent human trials and
promising vaccine candidates.
PMID- 26554525
TI - Evaluation of predictor variables of diagnostic [18F] FDG-PET/CT in fever of
unknown origin.
AB - BACKGROUND: Fever of unknown origin (FUO) is defined as an illness having fever
which lasts at least 3 weeks of duration and is higher than 38.3 oC on several
measurements. The causes are infections, malignancies, noninfectious inflammatory
diseases and miscellaneous. If [18F]FDG-PET/CT helps the final diagnosis, it is
called contributory. The aim of the study is to evaluate the predictor variables
effecting a contributory PET/CT for the diagnosis. METHODS: This is a
retrospective cohort study conducted between June 2006 and May 2015 including 76
patients. The evaluated predictor variables are age, sex, ESR, CRP, fibrinogen,
ferritin, albumin, haemoglobin level, platelet count, total leukocyte count,
neutrophil percentage, lymphocyte percentage, ALP, LDH, ALAT, ASAT, GGT, total
bilirubin, CK, RF, ANA, urinanalysis, chest radiography, abdominal US,
lymphadenopathy, duration of fever, comorbid diseases and previous therapies.
RESULTS: ESR (P=0.001), CRP (P=0.001), fibrinogen (P=0.009), lymphopenia
(P<0.001), neutrophilia (P<0.001), ferritin (P<0.001), leukocytosis (P=0.003),
duration of fever before PET/CT (<3 months) were found to be statistically
significant for positive contribution of PET/CT results to the diagnosis.
CONCLUSIONS: [18F]FDG-PET/CT is helpful and contributory for the diagnosis of FUO
in patients having higher levels of CRP, ESR, ferritin, fibrinogen, leukocytosis,
neutrophilia and shorter durations of fever (<3 months).
PMID- 26554526
TI - Mechanisms of nitric oxide crosstalk with reactive oxygen species scavenging
enzymes during abiotic stress tolerance in plants.
AB - Nitric oxide (NO) acts in a concentration and redox-dependent manner to
counteract oxidative stress either by directly acting as an antioxidant through
scavenging reactive oxygen species (ROS), such as superoxide anions (O(2)(-)*),
to form peroxynitrite (ONOO(-)) or by acting as a signaling molecule, thereby
altering gene expression. NO can interact with different metal centres in
proteins, such as heme-iron, zinc-sulfur clusters, iron-sulfur clusters, and
copper, resulting in the formation of a stable metal-nitrosyl complex or
production of varied biochemical signals, which ultimately leads to modification
of protein structure/function. The thiols (ferrous iron-thiol complex and
nitrosothiols) are also involved in the metabolism and mobilization of NO. Thiols
bind to NO and transport it to the site of action whereas nitrosothiols release
NO after intercellular diffusion and uptake into the target cells. S
nitrosoglutathione (GSNO) also has the ability to transnitrosylate proteins. It
is an NO reservoir and a long-distance signaling molecule. Tyrosine nitration of
proteins has been suggested as a biomarker of nitrosative stress as it can lead
to either activation or inhibition of target proteins. The exact molecular
mechanism(s) by which exogenous and endogenously generated NO (or reactive
nitrogen species) modulate the induction of various genes affecting redox
homeostasis, are being extensively investigated currently by various research
groups. Present review provides an in-depth analysis of the mechanisms by which
NO interacts with and modulates the activity of various ROS scavenging enzymes,
particularly accompanying ROS generation in plants in response to varied abiotic
stress.
PMID- 26554527
TI - SGLT2 Inhibitors and Ketoacidosis: Cause for Concern?
PMID- 26554528
TI - Effect of polyflux membranes on the improvement of hemodialysis-associated
eosinophilia: a case series.
AB - Hemodialysis-associated eosinophilia (HAE) is believed to be associated with
allergic reactions to dialyzer materials. This study aimed to investigate the use
of Polyflux membranes to improve HAE. Thirty-one patients suffering from HAE were
included. Patients were dialyzed with polysulfone membranes when they developed
HAE. After that, patients were dialyzed with Polyflux membranes three times every
week, 4 h every time without changing the dialysis parameters and medication.
Levels of peripheral eosinophils, hsCRP, IgE, C3a, IL-5 and peripheral CD4+
lymphocytes and CD8+ lymphocytes were assessed before Polyflux treatment, and at
4th, 8th and 12th weeks of treatment. Any symptoms including chest tightness and
skin itching were observed during the study period. After 12 weeks of Polyflux
membrane dialysis and compared with polysulfone membrane dialysis, levels of
peripheral eosinophils were significantly decreased (1.26 +/- 0.61 vs. 0.71 +/-
0.29 * 10(9)/L, p < 0.001); serum IL-5 levels were significantly decreased (24.43
+/- 10.21 vs. 9.11 +/- 4.21 pg/mL, p < 0.001); and chest tightness and skin
itching were significantly improved (45.2% vs. 19.4%, p = 0.028). After 12 weeks,
there was no significant change in serum levels of hsCRP (2.00 +/- 0.94 vs. 1.81
+/- 0.79 mg/L, p = 0.352), IgE (104.61 +/- 98.79 vs. 114.95 +/- 101.07 IU/mL, p =
0.422) and C3a (121.61 +/- 34.04 vs. 120.29 +/- 32.81 ug/L, p = 0.316), and in
peripheral levels of CD4+ (589 +/- 181 vs. 569 +/- 171 cells/mm(3), p = 0.672)
and CD8+ (443 +/- 123 vs. 414 +/- 140 cells/mm(3), p = 0.395) cells. Eosinophil
count was correlated with serum IL-5 levels (r = 0.873, p < 0.001). Changing to a
Polyflux membrane may alleviate HAE and reduce serum IL-5 levels. Therefore, this
could be a strategy to manage HAE in the clinical practice.
PMID- 26554529
TI - Do Not Assume!
PMID- 26554530
TI - microRNA expression changes after atrial fibrillation catheter ablation.
AB - Atrial fibrillation (AF) is most common arrhythmia in general population, with
increasing trend in mortality and morbidity. Electrophysiological and structural
abnormalities, promoting abnormal impulse formation and propagation, lead to this
disease. AF catheter ablation is related to a not small percentage of
nonresponder patients. microRNAs (miRs) have been used as AF fibrotic and
electrical alterations biomarkers. miRs may differentiate responders patients to
ablative approach. Selective miR target therapy, as upregulation by adenovirus
transfection and/or miR downregulation by antagomiR, may be used to treat AF
patients. Catheter ablation of triggering electrical pulmonary veins activity or
fibrotic areas defragmentation may be upgraded by miR therapy to prevent cardiac
electrical and fibrotic remodeling after AF ablation.
PMID- 26554531
TI - Post-transplant Merkel Cell Carcinoma.
AB - Malignant tumours are the foremost complications of immunosuppressive treatment.
They are a major challenge for organ transplant recipients and their treating
physicians. This paper reviews the aetiology and current treatment of an unusual
neuroendocrine skin cancer, Merkel cell carcinoma (MCC), caused by a Merkel cell
polyomavirus infection. MCC occurs more frequently than expected in
immunosuppressed subjects, especially in organ transplant recipients. The current
literature comprises reports of 79 organ transplant recipients with MCC. The risk
of MCC in organ transplant recipients is increased up to 66-182-fold compared
with the general population. In addition to the increased risk of developing MCC,
immunosuppressed individuals have poorer MCC-specific survival. The aim of this
review article is to familiarize organ transplant doctors with this unique and
clinically challenging skin cancer, and to provide recent data on the diagnosis
and current treatment recommendations for an immunosuppressed population.
PMID- 26554532
TI - Cocktail-Dosing Microdialysis Study to Simultaneously Assess Delivery of Multiple
Organic-Cationic Drugs to the Brain.
AB - Brain microdialysis is a powerful tool to estimate brain-to-plasma unbound
concentration ratio at the steady state (Kp,uu) of compounds by direct
measurement of the unbound concentration in brain interstitial fluid. Here, we
evaluated a method to estimate Kp,uu values of multiple organic-cationic drugs
simultaneously, by means of brain microdialysis combined with cocktail dosing.
Five cationic drugs (diphenhydramine, memantine, oxycodone, pyrilamine, and
tramadol), substrates of the proton-coupled organic cation antiport system, were
selected as model drugs, and compared under single-dosing and cocktail-dosing
conditions. We selected doses of the drugs at which no significant drug-drug
interaction occurs at the proton-coupled organic cation antiport system in the
blood-brain barrier (BBB). This was confirmed by uptake studies in hCMEC/D3
cells, an in vitro BBB model. The Kp,uu values after cocktail administration were
in the range of 1.8-5.2, and were in good agreement with those after single
administration. These results suggest that the microdialysis method with cocktail
dosing is suitable to estimate Kp,uu values of several cationic drugs
simultaneously, if there is no drug-drug interaction during BBB transport. The
method could be useful for evaluating drug candidates with high Kp,uu values at
an early stage in the development of central nervous system-acting drugs.
PMID- 26554533
TI - Interfacing 3D Engineered Neuronal Cultures to Micro-Electrode Arrays: An
Innovative In Vitro Experimental Model.
AB - Currently, large-scale networks derived from dissociated neurons growing and
developing in vitro on extracellular micro-transducer devices are the gold
standard experimental model to study basic neurophysiological mechanisms involved
in the formation and maintenance of neuronal cell assemblies. However, in vitro
studies have been limited to the recording of the electrophysiological activity
generated by bi-dimensional (2D) neural networks. Nonetheless, given the
intricate relationship between structure and dynamics, a significant improvement
is necessary to investigate the formation and the developing dynamics of three
dimensional (3D) networks. In this work, a novel experimental platform in which
3D hippocampal or cortical networks are coupled to planar Micro-Electrode Arrays
(MEAs) is presented. 3D networks are realized by seeding neurons in a scaffold
constituted of glass microbeads (30-40 um in diameter) on which neurons are able
to grow and form complex interconnected 3D assemblies. In this way, it is
possible to design engineered 3D networks made up of 5-8 layers with an expected
final cell density. The increasing complexity in the morphological organization
of the 3D assembly induces an enhancement of the electrophysiological patterns
displayed by this type of networks. Compared with the standard 2D networks, where
highly stereotyped bursting activity emerges, the 3D structure alters the
bursting activity in terms of duration and frequency, as well as it allows
observation of more random spiking activity. In this sense, the developed 3D
model more closely resembles in vivo neural networks.
PMID- 26554534
TI - PRE- AND POSTNATAL MODIFICATIONS IN PARENTAL MENTAL REPRESENTATIONS IN THREE
CASES OF FETAL GASTROSCHISIS DIAGNOSED DURING PREGNANCY.
AB - The aim of this study was to identify possible effects of gastroschisis on
parents' intrapsychic dynamics by applying an observational clinical approach.
More specifically, we intend to (a) evaluate the representational style of
parents informed about the diagnosis of fetal gastroschisis during pregnancy
using the Interview of Maternal Representations During Pregnancy and the
Interview of Paternal Representations During Pregnancy (M. Ammaniti, C.
Candelori, M. Pola, & R. Tambelli, ) and (b) observe whether the baby's birth
influences the parents' representational styles through the application of the
same tools (the Interview of Maternal Representations After the Birth, M.
Ammaniti & R. Tambelli, , and the Interview of Paternal Representations After the
Birth, M. Ammaniti & R. Tambelli, ), adapted to the postnatal period. During the
prenatal period, all parents showed a restricted/disinvested style. Three parents
one mother and two fathers-changed their styles from restricted/disinvested to
integrated between pregnancy and Month 6 after the birth of their child. Clinical
data from the interviews and observations are discussed in an attempt at better
defining intrapsychic dynamics of parents after a diagnosis of gastroschisis.
PMID- 26554536
TI - Gold-Catalyzed Cyclization Processes: Pivotal Avenues for Organic Synthesis.
AB - Over the years, gold catalysis has materialized as an incredible synthetic
approach among the scientific community. Due to the trivial reaction conditions
and great functional compatibility, these progressions are synthetically
expedient, because practitioners can implement them to build intricate
architectures from readily amassed building blocks with high bond forming
indices. The incendiary growth of gold catalysts in organic synthesis has been
demonstrated as one of the most prevailing soft Lewis acids for electrophilic
activation of carbon-carbon multiple bonds towards a great assortment of
nucleophiles. Nowadays, organic chemists consistently employ gold catalysts to
carry out a diverse array of organic transformations to build unprecedented
molecular architectures. Despite all these achievements and a plethora of
reports, many vital challenges remain. In this account, we describe the
reactivity of various gold catalysts towards cyclization processes developed over
the years. These protocols give access to a wide scope of polyheterocyclic
structures, containing different medium-sized ring skeletons. This is
interesting, as the quest for highly selective reactions to assemble diversely
functionalized products has attracted much attention. We envisage that these
newly developed chemo-, regio-, and diastereoselective protocols could provide an
expedient route to architecturally cumbersome heterocycles of importance for the
pharmaceutical industry.
PMID- 26554535
TI - Prenatal perfluoroalkyl substance exposure and child adiposity at 8 years of age:
The HOME study.
AB - OBJECTIVE: To examine relationships between prenatal perfluoroalkyl substance
(PFAS) exposure and adiposity in children born to women who lived downstream from
a fluoropolymer manufacturing plant. METHODS: Data are from a prospective cohort
in Cincinnati, Ohio (HOME Study). Perfluorooctanoic (PFOA), perfluorooctane
sulfonic (PFOS), perfluorononanoic (PFNA), and perfluorohexane sulfonic (PFHxS)
acids were measured in prenatal serum samples. Differences were measured in body
mass index z-scores (BMI), waist circumference, and body fat at 8 years of age (n
= 204) and BMI between 2-8 years of age (n = 285) according to PFAS
concentrations. RESULTS: Children born to women in the top two PFOA terciles had
greater adiposity at 8 years than children in the 1st tercile. For example, waist
circumference (cm) was higher among children in the 2nd (4.3; 95% CI: 1.7, 6.9)
and 3rd tercile (2.2; 95% CI: -0.5, 4.9) compared to children in the 1st tercile.
Children in the top two PFOA terciles also had greater BMI gains from 2 to 8
years compared to children in the 1st tercile (P < 0.05). PFOS, PFNA, and PFHxS
were not associated with adiposity. CONCLUSIONS: In this cohort, higher prenatal
serum PFOA concentrations were associated with greater adiposity at 8 years and a
more rapid increase in BMI between 2-8 years.
PMID- 26554537
TI - Kinetic Resolution of Azomethine Imines by Bronsted Acid Catalyzed
Enantioselective Reduction.
AB - Azomethine imines are valuable substrates in asymmetric catalysis, and can be
precursors to beta-amino carbonyl compounds and complex hydrazines. However,
their utility is limited because complex and enantioenriched azomethine imines
are often unavailable. Reported herein is a kinetic resolution of N,N'-cyclic
azomethine imines by enantioselective reduction (s=13-43). This resolution was
accomplished using a Bronsted acid catalyst, and represents the first example of
the asymmetric reduction of azomethine imines. The pyrazolidinone product (up to
86 % ee) and the recovered azomethine imine (up to 99 % ee) can both be used to
access the opposite enantiomers of valuable products.
PMID- 26554538
TI - Successful Implantation from the Embryonic Aspect.
AB - PROBLEM: Implantation failure is common in women with advanced maternal age,
partly because of the increased number of aneuploid embryos. These women
constitute the majority of patients for IVF treatment. As multiple pregnancies is
a major hazard of preterm delivery, the aim is to select a competent embryo for
single transfer. This study reviews currently used methods for selecting the
competent embryo. METHOD OF THE STUDY: Literature search. RESULTS: The clinical
value of currently used tests, for example pre-implantation genetic screening for
aneuploidy, embryo morphology, morphokinetic measurements, extended culture to
the blastocyst stage, as well as analysis of the follicular fluid and amino acid
and glucose metabolism as well as oxygen consumption in embryo culture media, are
discussed. CONCLUSION: Several approaches look promising, but the clinical value
of these is yet to be confirmed in randomized clinical trials. Furthermore, some
of the methods are too complicated for routine clinical application.
PMID- 26554539
TI - Mechanochemical Synthesis and Antioxidant Activity of Curcumin-Templated Azoles.
AB - A solvent-free, mechanochemical method for the synthesis of curcumin (1) derived
3,5-bis(styryl)pyrazoles and 3,5-bis(styryl)isoxazole (2a-g) at room temperature,
with very short reaction time, is reported. Such earlier structural modifications
of curcumin, at its beta-diketone unit by transforming it into an isosteric
pyrazole or isoxazole unit, required prolonged heating. The evaluation of the
antioxidant activity of these compounds, based on DPPH, FRAP, and beta-carotene
bleaching assays, showed that several of these azoles are better antioxidants
than curcumin, with the isoxazole derivative 2g being overall the best.
Typically, the inhibition of 2,2-diphenyl-1-picrylhydrazyl (10(-2) mmol),
expressed as EC50 values, by curcumin (1), 3,5-bis(4-hydroxy-3
methoxystyryl)pyrazole (2a), and 3,5-bis(4-hydroxy-3-methoxystyryl)isoxazole (2g)
are 40 +/- 0.06, 14 +/- 0.18, and 8 +/- 0.11 MUmol, respectively. Moreover, the
reported method is useful in accessing 3,5-bis(4-hydroxy-3-methoxystyryl)-1
phenylpyrazole (2b), which is important in studies related to neuroprotection and
Alzheimer's disease, and 2a and 2g, which are inhibitors of protein kinases
involved in neuronal excitotoxicity.
PMID- 26554540
TI - Nedd4L expression is decreased in ovarian epithelial cancer tissues compared to
ovarian non-cancer tissue.
AB - AIM: Recent studies have demonstrated that the neural precursor cell expressed,
developmentally downregulated 4-like (Nedd4L) gene plays a role in the
progression of various cancers. However, reports describing Nedd4L expression in
ovarian cancer tissues are limited. MATERIAL AND METHODS: A cohort (n = 117) of
archival formalin-fixed, paraffin embedded resected normal ovarian epithelial
tissues (n = 10), benign ovarian epithelial tumor tissues (n = 10), serous
borderline ovarian epithelial tumor tissues (n = 14), mucous borderline ovarian
epithelial tumor tissues (n = 11), and invasive ovarian epithelial cancer tissues
(n = 72) were assessed for Nedd4L protein expression using immunohistochemistry.
RESULTS: Nedd4L protein expression was significantly decreased in invasive
ovarian epithelial cancer tissues compared to non-cancer tissues (P < 0.05).
Decreased Nedd4L protein expression correlated with clinical stage, pathological
grade, lymph node metastasis and survival (P < 0.05). CONCLUSION: Nedd4L protein
expression may be an independent prognostic marker of ovarian cancer development.
PMID- 26554542
TI - The macrophage activation marker CD163 is associated with IL28B genotype and
hepatic inflammation in chronic hepatitis C virus infected patients.
AB - Recent data highlighted the association of the macrophage activation marker CD163
with histological inflammation and fibrosis in chronic hepatitis C virus (HCV)
infection. The aim of this study was to investigate the influence of successful
antiviral treatment and IL28B genotypes on macrophage activation reflected by
CD163 levels in HCV infected patients. In a retrospective cohort study, serum
sCD163 levels were correlated with results of liver histopathology, IL28B
genotyping and clinical parameters in 329 patients with HCV infection, 15 healthy
controls and in 161 patients who achieved a sustained virologic response after
antiviral treatment. sCD163 levels were significantly higher in patients with
chronic HCV infection in comparison to healthy controls (5202 vs 896 ng/mL, P <
0.001). In the multivariate logistic regression analyses, sCD163 was
independently associated with histologically determined inflammation (P = 0.043)
but not with fibrosis (P = 0.091). sCD163 dropped significantly after successful
antiviral treatment in comparison to baseline values (5202 vs 3093 ng/mL, P <
0.001). In the univariate analyses, sCD163 was significantly associated with
IL28B genotype (C/C vs C/T+T/T) with higher values in the C/C group (6098 vs 4812
ng/mL, P = 0.003). In the multivariate logistic regression model, sCD163 levels
were significantly associated with IL28B genotype (P = 0.003) and sustained
virologic response (SVR) (P < 0.001). Our data support the association of
activated liver macrophages with hepatic necroinflammation in chronic HCV
infection as sCD163 levels drop rapidly after SVR. The irresponsiveness of IL28B
minor genotypes to interferon might be related to a lower level of macrophage
activation in these patients.
PMID- 26554541
TI - Production of RANKL by Memory B Cells: A Link Between B Cells and Bone Erosion in
Rheumatoid Arthritis.
AB - OBJECTIVE: Rheumatoid arthritis (RA) is a systemic autoimmune disease that often
leads to joint damage. The mechanisms of bone damage in RA are complex, involving
activation of bone-resorbing osteoclasts (OCs) by synoviocytes and Th17 cells.
This study was undertaken to investigate whether B cells play a direct role in
osteoclastogenesis through the production of RANKL, the essential cytokine for OC
development. METHODS: RANKL production by total B cells or sorted B cell
subpopulations in the peripheral blood and synovial tissue from healthy donors or
anti-cyclic citrullinated peptide-positive patients with RA was examined by flow
cytometry, real-time polymerase chain reaction, enzyme-linked immunosorbent
assay, and immunohistochemical analysis. To define direct effects on
osteoclastogenesis, B cells were cocultured with CD14+ monocytes, and OCs were
enumerated by tartrate-resistant acid phosphatase staining. RESULTS: Healthy
donor peripheral blood B cells were capable of expressing RANKL upon stimulation,
with switched memory B cells (CD27+IgD-) having the highest propensity for RANKL
production. Notably, switched memory B cells in the peripheral blood from RA
patients expressed significantly more RANKL compared to healthy controls. In RA
synovial fluid and tissue, memory B cells were enriched and spontaneously
expressed RANKL, with some of these cells visualized adjacent to RANK+ OC
precursors. Critically, B cells supported OC differentiation in vitro in a RANKL
dependent manner, and the number of OCs was higher in cultures with RA B cells
than in those derived from healthy controls. CONCLUSION: These findings reveal
the critical importance of B cells in bone homeostasis and their likely
contribution to joint destruction in RA.
PMID- 26554543
TI - Compliance with Adult Congenital Heart Disease Guidelines: Are We Following the
Recommendations?
AB - OBJECTIVE: As the adult congenital heart disease population increases, poor
transition from pediatric to adult care can lead to suboptimal quality of care
and an increase in individual and institutional costs. In 2008, the American
College of Cardiology and American Heart Association updated the adult congenital
heart disease practice guidelines and in 2011, the American Heart Association
recommended transition guidelines to standardize and encourage appropriate timing
of transition to adult cardiac services. The objective of this study was to
evaluate if patient age or complexity of congenital heart disease influences
pediatric cardiologists' decision to transfer care to adult providers and to
evaluate the compliance of different types of cardiology providers with current
adult congenital heart disease treatment guidelines. DESIGN: A single-center
retrospective review of 991 adult congenital heart disease patients identified by
ICD-9 code from 2010 to 2012. SETTING: Academic and community outpatient
cardiology clinics. PATIENTS: Nine hundred ninety-one patients who are 18 years
and older with congenital heart disease. INTERVENTION: None. OUTCOMES MEASURES:
The compliance with health maintenance and transfer of care recommendations in
the outpatient setting. RESULTS: For patients seen by pediatric cardiologists,
only 20% had transfer of care discussions documented, most often in younger
simple patients. Significant differences in compliance with preventative health
guidelines were found between cardiology provider types. CONCLUSION: Even though
a significant number of adults with congenital heart disease are lost to
appropriate follow-up in their third and fourth decades of life, pediatric
cardiologists discussed transfer of care with moderate and complex congenital
heart disease patients less frequently. Appropriate transfer of adults with
congenital heart disease to an adult congenital cardiologist provides an
opportunity to reinforce the importance of regular follow-up in adulthood and may
improve outcomes as adult congenital cardiologists followed the adult congenital
heart disease guidelines more consistently than pediatric or adult cardiologists.
PMID- 26554544
TI - Copy-number variation of the filaggrin gene in Korean patients with atopic
dermatitis: what really matters, 'number' or 'variation'?
PMID- 26554546
TI - Threat of Secondary Chemical Contamination of Emergency Departments and
Personnel: An Uncommon but Recurrent Problem.
AB - OBJECTIVE: To analyze acute hazardous substance release surveillance data for
events involving secondary contamination of hospital emergency departments (EDs).
Secondary contamination of EDs may occur when a patient exposed to a hazardous
chemical is not decontaminated before arrival at the ED and when ED staff are not
wearing appropriate personal protective equipment. This can result in adverse
health outcomes among department personnel, other patients, and visitors. Even
events without actual secondary contamination risk can be real in their
consequences and require the decontamination of the ED or its occupants,
evacuation, or temporary shutdown of the ED. METHODS: Events involving secondary
contamination were identified by using the Hazardous Substances Emergency Events
Surveillance system and the National Toxic Substance Incidents Program from 2007
to 2013. RESULTS: Five incidents involving the threat of secondary contamination
(0.02% of all events reported to the surveillance systems [n=33,001]) were
detected and are described. Four incidents involved suspected secondary
contamination in which the facility was evacuated or shut down. CONCLUSIONS:
These results suggest that although rare, incidents involving secondary
contamination continue to present a hazard for emergency departments. Suggested
best practices to avoid secondary contamination have been described. Hospitals
should be made aware of the risks associated with secondary contamination and the
need to proactively train and equip staff to perform decontamination.
PMID- 26554545
TI - Biodegradable-Polymer-Blend-Based Surgical Sealant with Body-Temperature-Mediated
Adhesion.
AB - The development of practical and efficient surgical sealants has the propensity
to improve operational outcomes. A biodegradable polymer blend is fabricated as a
nonwoven fiber mat in situ. After direct deposition onto the tissue of interest,
the material transitions from a fiber mat to a film. This transition promotes
polymer-substrate interfacial interactions leading to improved adhesion and
surgical sealant performance.
PMID- 26554547
TI - Vibrio cholerae O1 El Tor from southern Vietnam in 2010 was molecularly distinct
from that present from 1999 to 2004.
AB - The Vibrio cholerae O1 (VCO1) El Tor biotype appeared during the seventh cholera
pandemic starting in 1961, and new variants of this biotype have been identified
since the early 1990s. This pandemic has affected Vietnam, and a large outbreak
was reported in southern Vietnam in 2010. Pulsed-field gel electrophoresis (PFGE)
and multilocus variable-number tandem-repeat analyses (MLVA) were used to screen
34 VCO1 isolates from the southern Vietnam 2010 outbreak (23 patients, five
contact persons, and six environmental isolates) to determine if it was
genetically distinct from 18 isolates from outbreaks in southern Vietnam from
1999 to 2004, and two isolates from northern Vietnam (2008). Twenty-seven MLVA
types and seven PFGE patterns were identified. Both analyses showed that the 2008
and 2010 isolates were distinctly clustered and separated from the 1999-2004
isolates.
PMID- 26554551
TI - Effects of Menstrual Phase-Dependent Resistance Training Frequency on Muscular
Hypertrophy and Strength.
AB - The present study investigated how different training frequencies during
menstrual phases affect muscle hypertrophy and strength. Fourteen eumenorrheic
women performed 3 sets of arm curls (8-15 repetitions) until failure for 12
weeks. Depending on the menstrual cycle phase, each subject trained each arm
separately after either a 3- or a 1-d.wk training protocol during the follicular
phase (FP-T) and a 3- or 1-d.wk training protocol during the luteal phase (LP-T).
Cross-sectional area (CSA), 1 repetition maximum, and maximum voluntary
contraction significantly increased 6.2 +/- 4.4, 36.4 +/- 11.9, and 16.7 +/-
5.6%, respectively (p <= 0.05 vs. before training), in the FP-T group and 7.8 +/-
4.2, 31.8 +/- 14.1, and 14.9 +/- 12.7%, respectively (p <= 0.05 vs. before
training), in the LP-T group. Changes in CSA between the FP-T and the LP-T groups
significantly and positively correlated (r = 0.54, p <= 0.05). There were no
major differences among the different training protocols with regard to muscle
hypertrophy and strength. Therefore, we suggest that variations in female
hormones induced by the menstrual cycle phases do not significantly contribute to
muscle hypertrophy and strength gains during 12 weeks of resistance training.
PMID- 26554552
TI - Determination of Anaerobic Threshold by Monitoring the O2 Pulse Changes in
Endurance Cyclists.
AB - The purpose of this study was to determine the validity of anaerobic threshold
(AnT)-equivalent to the second turn point for lactate (LTP2)-estimation using the
O2 pulse changes in highly trained endurance cyclists who do not show heart rate
deflection point (HRDP) during incremental testing. Sixteen endurance cyclists
(age, 24.8 +/- 4.7 years) and fifteen active men (age, 24.8 +/- 3.7 years)
performed an incremental cycling test to exhaustion. Pulmonary oxygen uptake
(V[Combining Dot Above]O2) and other hemodynamic variables, heart rate, and blood
lactate concentration were measured continuously throughout the test. O2 pulse
anaerobic threshold (O2 pulse-AnT) was defined as the second turn point in O2
pulse-workload curve. LTP2 was considered as gold standard assessment of AnT and
was applied to confirm the validity of O2 pulse-AnT. Intraclass correlation
coefficients and the Bland-Altman method were used to determine the relationship
and agreement between the O2 corresponding to LTP2 and O2 pulse-AnT,
respectively. The active men and 68.7% of the endurance cyclists showed HRDP,
whereas all subjects showed O2 pulse-AnT during incremental testing. In both
groups, the values for V[Combining Dot Above]O2 corresponding to LTP2 were not
significantly different from the V[Combining Dot Above]O2 at O2 pulse-AnT. The
V[Combining Dot Above]O2 at LTP2 and O2 pulse-AnT were highly correlated
(endurance cyclists: R = 0.68; standard error of estimate [SEE] = 3.74 ml.kg.min
and active men: R = 0.58; SEE = 2.91 ml.kg.min) and Bland-Altman plot revealed
the limit of agreement of O2 at LTP2 and O2 pulse-AnT differences between 5.1 and
8.6 ml.kg.min (95% CI). In summary, results of this study showed that the second
turn point in the O2 pulse-workload curve occurs around LTP2. Therefore, using O2
pulse-AnT is recommended for the noninvasive determination of AnT in highly
trained endurance cyclists who do not show HRDP during incremental exercise.
PMID- 26554553
TI - A Novel Technique for Experimental Flow Visualization of Mechanical Valves.
AB - The geometry of the hinge region in mechanical heart valves has been postulated
to play an important role in the development of thromboembolic events (TEs). This
study describes a novel technique developed to visualize washout characteristics
in mechanical valve hinge areas. A dairy-based colloidal suspension (DBCS) was
used as a high-contrast tracer. It was introduced directly into the hinge
containing sections of two commercially available valves mounted in laser-milled
fluidic channels and subsequently washed out at several flow rates. Time-lapse
images were analyzed to determine the average washout rate and generate intensity
topography maps of the DBCS clearance. As flow increased, washout improved and
clearance times were shorter in all cases. Significantly different washout rate
time constants were observed between valves, average >40% faster clearance (p <
0.01). The topographic maps revealed that each valve had a characteristic pattern
of washout. The technique proved reproducible with a maximum recorded standard
error of mean (SEM) of +/-3.9. Although the experimental washout dynamics have
yet to be correlated with in vivo visualization studies, the methodology may help
identify key flow features influencing TEs. This visualization methodology can be
a useful tool to help evaluate stagnation zones in new and existing heart valve
hinge designs.
PMID- 26554554
TI - A large Indian family with rearrangement of chromosome 4p16 and 3p26.3 and
divergent clinical presentations.
AB - BACKGROUND: The deletion of the chromosome 4p16.3 Wolf-Hirschhorn syndrome
critical region (WHSCR-2) typically results in a characteristic facial
appearance, varying intellectual disability, stereotypies and prenatal onset of
growth retardation, while gains of the same chromosomal region result in a more
variable degree of intellectual deficit and dysmorphism. Similarly the phenotype
of individuals with terminal deletions of distal chromosome 3p (3p deletion
syndrome) varies from mild to severe intellectual deficit, micro- and
trigonocephaly, and a distinct facial appearance. METHODS AND RESULTS: We
investigated a large Indian five-generation pedigree with ten affected family
members in which chromosomal microarray and fluorescence in situ hybridization
analyses disclosed a complex rearrangement involving chromosomal subregions
4p16.1 and 3p26.3 resulting in a 4p16.1 deletion and 3p26.3 microduplication in
three individuals, and a 4p16.1 duplication and 3p26.3 microdeletion in seven
individuals. A typical clinical presentation of WHS was observed in all three
cases with 4p16.1 deletion and 3p26.3 microduplication. Individuals with a 4p16.1
duplication and 3p26.3 microdeletion demonstrated a range of clinical features
including typical 3p microdeletion or 4p partial trisomy syndrome to more severe
neurodevelopmental delay with distinct dysmorphic features. CONCLUSION: We
present the largest pedigree with complex t(4p;3p) chromosomal rearrangements and
diverse clinical outcomes including Wolf Hirschorn-, 3p deletion-, and 4p
duplication syndrome amongst affected individuals.
PMID- 26554555
TI - Impact of a decision aid on reducing uncertainty: pilot study of women in their
40s and screening mammography.
AB - BACKGROUND: In 2009 the United States Preventive Services Task Force updated its
breast cancer screening guidelines to recommend that average-risk women obtain a
screening mammogram every two years starting at age 50 instead of annually
starting at age 40. Inconsistencies in data regarding the benefit versus risk of
routine screening for women less than 50-years-of-age led to a second
recommendation - that women in their forties engage in a shared decision making
process with their provider to make an individualized choice about screening
mammography that was right for them. In response, a web-based interactive
mammography screening decision aid was developed and evaluated. METHODS: The
decision aid was developed using an agile, iterative process. It was further
honed based on feedback from clinical and technical subject matter experts. A
convenience sample of 51 age- and risk-appropriate women was recruited to pilot
the aid. Pre-post decisional conflict and screening choice was assessed. RESULTS:
Women reported a significant reduction in overall decisional conflict after using
the decision aid (Z = -5.3, p < 0.001). These participants also reported
statistically significant reductions in each of the decisional conflict
subscales: feeling uncertain (Z = -4.7, p < 0.001), feeling uninformed (Z = -5.2,
p < 0.001), feeling unclear about values (Z = -5.0, p < 0.001), and feeling
unsupported (Z = -4.0, p < 0.001). However, a woman's intention to obtain a
screening mammogram in the next 1-2 years was not significantly changed (Wilcoxon
signed-rank Z = -1.508, p = 0.132). CONCLUSION: This mammography screening
decision aid brings value to patient care not by impacting what a woman chooses
but by lending clarity to why or how she chooses it.
PMID- 26554556
TI - Intestinal malrotation as a misdiagnosis of pediatric colchicine resistant
familial Mediterranean fever.
AB - BACKGROUND: Familial Mediterranean fever (FMF) is a disorder characterized by
recurrent attacks of fever and serosal inflammation, particularly abdominal pain.
Other disease processes, including medical and surgical emergencies, may mimic
FMF, especially in atypical cases. CASE PRESENTATION: We present a case of an
adolescent male, referred to us with a diagnosis of colchicine resistant FMF,
ultimately diagnosed with intestinal malrotation and recurrent volvulus.
CONCLUSIONS: In atypical presentations of FMF with potential "red flags", a
thorough patient history is extremely important and should result in prompt
referral for the appropriate diagnostic tests.
PMID- 26554557
TI - Nano/micromotors for security/defense applications. A review.
AB - The new capabilities of man-made micro/nanomotors open up considerable
opportunities for diverse security and defense applications. This review
highlights new micromotor-based strategies for enhanced security monitoring and
detoxification of chemical and biological warfare agents (CBWA). The movement of
receptor-functionalized nanomotors offers great potential for sensing and
isolating target bio-threats from complex samples. New mobile reactive materials
based on zeolite or activated carbon offer considerable promise for the
accelerated removal of chemical warfare agents. A wide range of proof-of-concept
motor-based approaches, including the detection and destruction of anthrax
spores, 'on-off' nerve-agent detection or effective neutralization of chemical
warfare agents have thus been demonstrated. The propulsion of micromotors and
their corresponding bubble tails impart significant mixing that greatly
accelerates such detoxification processes. These nanomotors will thus empower
sensing and destruction where stirring large quantities of decontaminating
reagents and controlled mechanical agitation are impossible or undesired. New
technological breakthroughs and greater sophistication of micro/nanoscale
machines will lead to rapid translation of the micromotor research activity into
practical defense applications, addressing the escalating threat of CBWA.
PMID- 26554559
TI - ICD-10: Our Newest Documentation Dilemma.
PMID- 26554560
TI - Finding the Right Job: Two Key Issues.
PMID- 26554558
TI - Aspirin for Venous Ulcers: Randomised Trial (AVURT): study protocol for a
randomised controlled trial.
AB - BACKGROUND: Venous leg ulcers (VLUs) are the commonest cause of leg ulceration,
affecting 1 in 100 adults. There is a significant health burden associated with
VLUs - it is estimated that the cost of treatment for 1 ulcer is up to L1300 per
year in the NHS. The mainstay of treatment is with graduated compression
bandaging; however, treatment is often prolonged and up to one quarter of venous
leg ulcers do not heal despite standard care. Two previous trials have suggested
that low-dose aspirin, as an adjunct to standard care, may hasten healing, but
these trials were small and of poor quality. Aspirin is an inexpensive, widely
used medication but its safety and efficacy in the treatment of VLUs remains to
be established. METHODS/DESIGN: AVURT is a phase II randomised double blind,
parallel-group, placebo-controlled efficacy trial. The primary objective is to
examine whether aspirin, in addition to standard care, is effective in patients
with chronic VLUs (i.e. over 6 weeks in duration or a history of VLU). Secondary
objectives include feasibility and safety of aspirin in this population. A target
of 100 participants, identified from community leg ulcer clinics and hospital
clinics, will be randomised to receive either 300 mg of aspirin once daily or
placebo. All participants will receive standard care with compression therapy.
The primary outcome will be time to healing of the reference ulcer. Follow-up
will occur for a maximum of 27 weeks. The primary analysis will use a Cox
proportional hazards model to compare time to healing using the principles of
intention-to-treat. Secondary outcomes will include ulcer size, pain evaluation,
compliance and adverse events. DISCUSSION: The AVURT trial will investigate the
efficacy and safety of aspirin as a treatment for VLU and will inform on the
feasibility of proceeding to a larger phase III study. This study will address
the paucity of information currently available regarding aspirin therapy to treat
VLU. TRIAL REGISTRATION: The study is registered on a public database with
clinicaltrials.gov ( NCT02333123 ; registered on 5 November 2014).
PMID- 26554561
TI - ICD-10: Major Differences for Five Common Diagnoses.
PMID- 26554562
TI - Addressing Ambulatory Patient Safety in Your Practice.
PMID- 26554563
TI - Chronic Care Management in the Real World.
PMID- 26554564
TI - Physician Burnout: Its Origin, Symptoms, and Five Main Causes.
PMID- 26554571
TI - Staying Connected: Eight Tips for Mindful Office Visits With an EHR.
PMID- 26554572
TI - Extending motifs in lithiocuprate chemistry: unexpected structural diversity in
thiocyanate complexes.
AB - The new area of lithio(thiocyanato)cuprates has been developed. Using
inexpensive, stable and safe CuSCN for their preparation, these complexes
revealed Lipshutz-type dimeric motifs with solvent-dependent point group
identities; planar, boat-shaped and chair shaped conformers are seen in the solid
state. In solution, both Lipshutz-type and Gilman structures are clearly seen.
Since the advent in 2007 of directed ortho cupration, effort has gone into
understanding the structure-reactivity effects of amide ligand variation in and
alkali metal salt abstraction from Lipshutz-type cuprates such as
(TMP)2Cu(CN)Li2(THF) 1 (TMP = 2,2,6,6-tetramethylpiperidide). The replacement of
CN(-) with SCN(-) is investigated presently as a means of improving the safety of
lithium cuprates. The synthesis and solid state structural characterization of
reference cuprate (TMP)2Cu(CN)Li2(THP) 8 (THP = tetrahydropyran) precedes that of
the thiocyanate series (TMP)2Cu(SCN)Li2(L) (L = OEt29, THF 10, THP 11). For each
of 9-11, preformed TMPLi was combined with CuSCN (2 : 1) in the presence of sub
stoichiometric Lewis base (0.5 eq. wrt Li). The avoidance of Lewis basic solvents
incurs formation of the unsolvated Gilman cuprate (TMP)2CuLi 12, whilst
multidimensional NMR spectroscopy has evidenced the abstraction of LiSCN from 9
11 in hydrocarbon solution and the in situ formation of Gilman reagents. The
synthetic utility of 10 is established in the selective deprotometalation of
chloropyridine substrates, including effecting transition metal-free homocoupling
in 51-69% yield.
PMID- 26554573
TI - Plant Esterase-Chitosan/Gold Nanoparticles-Graphene Nanosheet Composite-Based
Biosensor for the Ultrasensitive Detection of Organophosphate Pesticides.
AB - As broad-spectrum pesticides, organophosphates (OPs) are widely used in
agriculture all over the world. However, due to their neurotoxicity in humans and
their increasing occurrence in the environment, there is growing interest in
their sensitive and selective detection. This paper reports a new cost-effective
plant esterase-chitosan/gold nanoparticles-graphene nanosheet (PLaE-CS/AuNPs-GNs)
biosensor for the sensitive detection of methyl parathion and malathion. Highly
pure plant esterase is produced from plants at low cost and shares the same
inhibition mechanism with OPs as acetylcholinesterase, and then it was used to
prepare PLaE-CS/AuNPs-GNs nanocomposites, which were systematically characterized
using SEM, TEM, and UV-vis. The PLaE-CS/AuNPs-GNs composite-based biosensor
measured as low as 50 ppt (0.19 nM) of methyl parathion and 0.5 ppb (1.51 nM) of
malathion (S/N = 3) with a calibration curve up to 200 ppb (760 nM) and 500 ppb
(1513.5 nM) for methyl parathion and malathion, respectively. There is also no
interference observed from most of common species such as metal ions, inorganic
ions, glucose, and citric acid. In addition, its applicability to OPs
contaminated real samples (carrot and apple) was also demonstrated with excellent
response recovery. The developed simple, sensitive, and reliable PLaE-CS/AuNPs
GNs composite-based biosensor holds great potential in OPs detection for food and
environmental safety.
PMID- 26554574
TI - Dealing with Ebola virus disease in Spain: epidemiological inquiries received by
the Department of Public Health Alerts, April to December 2014.
AB - We describe the inquiries regarding Ebola virus disease (EVD) received by the
Department of Public Health Alerts of the Community of Madrid between April and
December 2014. A total of 242 inquiries were received. Consultations were
initiated most frequently by hospital clinicians (59 inquiries, 24%), private
citizens (57 inquiries, 24%) and primary care physicians (53 inquiries, 22%). The
most frequent topic of inquiry was possible EVD in a patient (215 inquiries,
89%). Among these, 31 persons (14%) presented both EVD-compatible symptoms and
epidemiological risk factors, and 11 persons (5%) fulfilled the criteria for a
person under investigation. Recent travel abroad was reported in 96 persons
(45%), but only 32 (15%) had travelled to an EVD-affected area. Two high-risk and
one low-risk contact were identified through these inquiries. Low specificity of
the EVD symptoms led to many difficulties in protocol application. Ineffective
communication with healthcare professionals and unfamiliarity with the EVD
protocols caused many case classification errors. A rapid consultation service by
telephone is essential for providing qualified advice during emergencies. Our
experience may help other countries dimension their activities and resources for
managing similar exceptional outbreaks in the future.
PMID- 26554575
TI - Intramolecular C-C Bond Coupling of Nitriles to a Diimine Ligand in Group 7 Metal
Tricarbonyl Complexes.
AB - Dissolution of M(CO)3(Br)(L(Ar)) [L(Ar) = (2,6-Cl2-C6H3-NCMe)2CH2] in either
acetonitrile [M = Mn, Re] or benzonitrile (M = Re) results in C-C coupling of the
nitrile to the diimine ligand. When reacted with acetonitrile, the intermediate
adduct [M(CO)3(NCCH3)(L(Ar))]Br forms and undergoes an intramolecular C-C
coupling reaction between the nitrile carbon and the methylene carbon of the beta
diimine ligand.
PMID- 26554576
TI - Synthesis of Mn3O4/CeO2 Hybrid Nanotubes and Their Spontaneous Formation of a
Paper-like, Free-Standing Membrane for the Removal of Arsenite from Water.
AB - One-dimensional nanomaterials may organize into macrostructures to have
hierarchically porous structures, which could not only be easily adopted into
various water treatment apparatus to solve the separation issue of nanomaterials
from water but also take full advantage of their nanosize effect for enhanced
water treatment performance. In this work, a novel template-based process was
developed to create Mn3O4/CeO2 hybrid nanotubes, in which a redox reaction
happened between the OMS-2 nanowire template and Ce(NO3)3 to create hybrid
nanotubes without the template removal process. Both the Ce/Mn ratio and the
precipitation agent were found to be critical in the formation of Mn3O4/CeO2
hybrid nanotubes. Because of their relatively large specific surface area, porous
structure, high pore volume, and proper surface properties, these Mn3O4/CeO2
hybrid nanotubes demonstrated good As(III) removal performances in water. These
Mn3O4/CeO2 hybrid nanotubes could form paper-like, free-standing membranes
spontaneously by a self-assembly process without high temperature treatment,
which kept the preferable properties of Mn3O4/CeO2 hybrid nanotubes while
avoiding the potential nanomaterial dispersion problem. Thus, they could be
readily utilized in commonly used flow-through reactors for water treatment
purposes. This approach could be further applied to other material systems to
create various hybrid nanotubes for a broad range of technical applications.
PMID- 26554577
TI - Ultrafast Time-Resolved Spectroscopy of Diarylethene-Based Photoswitchable
Deoxyuridine Nucleosides.
AB - Photoswitches based on the diarylethene architecture have been attracting
considerable attention for the investigation and control of a variety of
biological processes. The reversible photoisomerization reaction between their
open- and closed-ring forms can be selectively addressed by irradiation with
light of two markedly different wavelengths. In this work, the dynamics of the
photochromic ring-opening reaction of four novel diarylethene-based
photoswitchable deoxyuridine nucleosides is investigated by femtosecond transient
absorption. Upon photoexcitation with sub-20 fs pulses in the first absorption
band (500 nm), all four photoswitches showed a fast ballistic excited-state
deactivation within less than 500 fs toward the S1/S0 conical intersection.
Transient data was globally analyzed, and a relaxation kinetic model with a
branching between open and closed ring forms without any loss channels was
derived. Ring-opening quantum yields, Phir-o, were found to strongly depend on
the substituents (R), ranging from 0.64 (dU(PSI): R = 2-naphthyl) to 0.30
(dU(PSIV): R = 2-pyridyl).
PMID- 26554578
TI - DermaComp: A Pharmaceutical Compounding iPhone((r)) Application for
Dermatologists Designing Personalized Topical Medications.
PMID- 26554579
TI - Skin Flaps for the Repair of Multiple Adjacent Tumors.
AB - In daily clinical practice, the dermatologic surgeon frequently has to excise
closely adjacent tumors in the facial region. In such cases, planning of an
appropriate reconstruction technique is essential. The aim is to treat all of the
lesions in a single surgical intervention, if possible, and to achieve a good
functional and cosmetic outcome. We present 5 patients in whom a single flap was
used to repair multiple adjacent defects.
PMID- 26554580
TI - Guidelines for Classification of Acute Kidney Diseases and Disorders.
AB - Recent efforts have standardized definitions and classification systems for acute
kidney injury (AKI) and chronic kidney disease (CKD). These efforts have enhanced
communication, recognition, and awareness of acute and CKDs and stimulated
research on both disorders. However, abnormalities of kidney function and
structure can occur that do not meet the current criteria for either disorder.
Recognizing the need for a uniform approach encompassing both acute and chronic
abnormalities of kidney function and structure, the Kidney Disease Improving
Global Outcomes 2012 Clinical Practice Guideline for AKI Guidelines proposed an
operational definition for acute kidney diseases and disorders (AKD) that
encompasses both AKI and any newly recognized kidney disease that does not meet
the current definitions for AKI or CKD. Recent commentaries have highlighted that
it may be premature to adopt these criteria into clinical practice, but that they
may be useful for application in epidemiologic studies. Future research is needed
to better understand the clinical characteristics, incidence, and prognosis of
AKD, as well as the implications of case identification based on the AKD
criteria.
PMID- 26554581
TI - Fast Stiffness Mapping of Cells Using High-Bandwidth Atomic Force Microscopy.
AB - The cytoskeleton controls cellular morphology and mediates the mechanical
interactions between a cell and its environment. Atomic force microscopy (AFM)
has the unique capability to map cytoskeletal mechanics and structures with
nanometer resolution. However, whole-cell cytomechanical imaging with
conventional AFM techniques is limited by low imaging speed. Here, we present
fast nanomechanical mapping of cells using high-bandwidth AFM (HB-AFM), where
>10(6) nanoindentation measurements were acquired in ~10 min-a task that would
take weeks to finish using conventional AFM. High-bandwidth measurements enabled
capture of the entire tip-sample interaction for each tap on cells, engendering a
new measurement ("force phase") that exceeds the contrast of conventional tapping
mode and enabling spectral visualization of >10 harmonics. The abundance of
measurements allowed discovery of subtle cytomechanical features, including the
stiffness of fibers of the cellular spectrin network in situ. This approach
bridges HB-AFM and high-harmonic imaging and opens future opportunities for
measuring the dynamic mechanical properties of living cells.
PMID- 26554582
TI - Transcriptome Analysis of Blunt Snout Bream (Megalobrama amblycephala) Reveals
Putative Differential Expression Genes Related to Growth and Hypoxia.
AB - The blunt snout bream (Megalobrama amblycephala) is an important freshwater
aquaculture species, but it is sensitive to hypoxia. No transcriptome data
related to growth and hypoxia response are available for this species. In this
study, we performed de novo transcriptome sequencing for the liver and gills of
the fast-growth family and slow-growth family derived from 'Pujiang No.1' F10
blunt snout bream that were under hypoxic stress and normoxia, respectively. The
fish were divided into the following 4 groups: fast-growth family under hypoxic
stress, FH; slow-growth family under hypoxic stress, SH; fast-growth family under
normoxia, FN; and slow-growth family under normoxia, SN. A total of 185 million
high-quality reads were obtained from the normalized cDNA of the pooled samples,
which were assembled into 465,582 contigs and 237,172 transcripts. A total of
31,338 transcripts from the same locus (unigenes) were annotated and assigned to
104 functional groups, and 23,103 unigenes were classified into seven main
categories, including 45 secondary KEGG pathways. A total of 22,255 (71%) known
putative unigenes were found to be shared across the genomes of five model fish
species and mammals, and a substantial number (9.4%) of potentially novel genes
were identified. When 6,639 unigenes were used in the analysis of differential
expression (DE) genes, the number of putative DE genes related to growth pathways
in FH, SH, SN and FN was 159, 118, 92 and 65 in both the liver and gills,
respectively, and the number of DE genes related to hypoxic response was 57, 33,
23 and 21 in FH, FN, SH and SN, respectively. Our results suggest that growth
performance of the fast-growth family should be due to complex mutual gene
regulatory mechanisms of these putative DE genes between growth and hypoxia.
PMID- 26554583
TI - In Vivo Real-Time Control of Gene Expression: A Comparative Analysis of Feedback
Control Strategies in Yeast.
AB - Real-time automatic regulation of gene expression is a key technology for
synthetic biology enabling, for example, synthetic circuit's components to
operate in an optimal range. Computer-guided control of gene expression from a
variety of inducible promoters has been only recently successfully demonstrated.
Here we compared, in silico and in vivo, three different control algorithms: the
Proportional-Integral (PI) and Model Predictive Control (MPC) controllers, which
have already been used to control gene expression, and the Zero Average Dynamics
(ZAD), a control technique used to regulate electrical power systems. We chose as
an experimental testbed the most commonly used inducible promoter in yeast: the
galactose-responsive GAL1 promoter. We set two control tasks: either force cells
to express a desired constant fluorescence level of a reporter protein downstream
of the GAL1 promoter (set-point) or a time-varying fluorescence (tracking). Using
a microfluidics-based experimental platform, in which either glucose or galactose
can be provided to the cells, we demonstrated that both the MPC and ZAD control
strategies can successfully regulate gene expression from the GAL1 promoter in
living cells for thousands of minutes. The MPC controller can track fast
reference signals better than ZAD but with a higher actuation effort due to the
large number of input switches it requires. Conversely, the PI controller's
performance is comparable to that achieved by the MPC and the ZAD controllers
only for the set-point regulation.
PMID- 26554584
TI - An Ovol2-Zeb1 Mutual Inhibitory Circuit Governs Bidirectional and Multi-step
Transition between Epithelial and Mesenchymal States.
AB - Reversible epithelial-to-mesenchymal transition (EMT) is central to tissue
development, epithelial stemness, and cancer metastasis. While many regulatory
elements have been identified to induce EMT, the complex process underlying such
cellular plasticity remains poorly understood. Utilizing a systems biology
approach integrating modeling and experiments, we found multiple intermediate
states contributing to EMT and that the robustness of the transitions is
modulated by transcriptional factor Ovol2. In particular, we obtained evidence
for a mutual inhibition relationship between Ovol2 and EMT inducer Zeb1, and
observed that adding this regulation generates a novel four-state system
consisting of two distinct intermediate phenotypes that differ in differentiation
propensities and are favored in different environmental conditions. We identified
epithelial cells that naturally exist in an intermediate state with bidirectional
differentiation potential, and found the balance between EMT-promoting and
inhibiting factors to be critical in achieving and selecting between intermediate
states. Our analysis suggests a new design principle in controlling cellular
plasticity through multiple intermediate cell fates and underscores the critical
involvement of Ovol2 and its associated molecular regulations.
PMID- 26554585
TI - A Naturally Occurring rev1-vpu Fusion Gene Does Not Confer a Fitness Advantage to
HIV-1.
AB - BACKGROUND: Pandemic strains of HIV-1 (group M) encode a total of nine structural
(gag, pol, env), regulatory (rev, tat) and accessory (vif, vpr, vpu, nef) genes.
However, some subtype A and C viruses exhibit an unusual gene arrangement in
which the first exon of rev (rev1) and the vpu gene are placed in the same open
reading frame. Although this rev1-vpu gene fusion is present in a considerable
fraction of HIV-1 strains, its functional significance is unknown. RESULTS:
Examining infectious molecular clones (IMCs) of HIV-1 that encode the rev1-vpu
polymorphism, we show that a fusion protein is expressed in infected cells. Due
to the splicing pattern of viral mRNA, however, these same IMCs also express a
regular Vpu protein, which is produced at much higher levels. To investigate the
function of the fusion gene, we characterized isogenic IMC pairs differing only
in their ability to express a Rev1-Vpu protein. Analysis in transfected HEK293T
and infected CD4+ T cells showed that all of these viruses were equally active in
known Vpu functions, such as down-modulation of CD4 or counteraction of tetherin.
Furthermore, the polymorphism did not affect Vpu-mediated inhibition of NF-kB
activation or Rev-dependent nuclear export of incompletely spliced viral mRNAs.
There was also no evidence for enhanced replication of Rev1-Vpu expressing
viruses in primary PBMCs or ex vivo infected human lymphoid tissues. Finally, the
frequency of HIV-1 quasispecies members that encoded a rev1-vpu fusion gene did
not change in HIV-1 infected individuals over time. CONCLUSIONS: Expression of a
rev1-vpu fusion gene does not affect regular Rev and Vpu functions or alter HIV-1
replication in primary target cells. Since there is no evidence for increased
replication fitness of rev1-vpu encoding viruses, this polymorphism likely
emerged in the context of other mutations within and/or outside the rev1-vpu
intergenic region, and may have a neutral phenotype.
PMID- 26554586
TI - Partner Notification for Reduction of HIV-1 Transmission and Related Costs among
Men Who Have Sex with Men: A Mathematical Modeling Study.
AB - BACKGROUND: Earlier antiretroviral treatment initiation prevents new HIV
infections. A key problem in HIV prevention and care is the high number of
patients diagnosed late, as these undiagnosed patients can continue forward HIV
transmission. We modeled the impact on the Dutch men-who-have-sex-with-men (MSM)
HIV epidemic and cost-effectiveness of an existing partner notification process
for earlier identification of HIV-infected individuals to reduce HIV
transmission. METHODS: Reduction in new infections and cost-effectiveness ratios
were obtained for the use of partner notification to identify 5% of all new
diagnoses (Scenario 1) and 20% of all new diagnoses (Scenario 2), versus no
partner notification. Costs and quality adjusted life years (QALYs) were assigned
to each disease state and calculated over 5 year increments for a 20 year period.
RESULTS: Partner notification is predicted to avert 18-69 infections
(interquartile range [IQR] 13-24; 51-93) over the course of 5 years countrywide
to 221-830 (IQR 140-299; 530-1,127) over 20 years for Scenario 1 and 2
respectively. Partner notification was considered cost-effective in the short
term, with increasing cost-effectiveness over time: from ?41,476 -?41, 736 (IQR
?40,529-?42,147; ?40,791-?42,397) to ?5,773 -?5,887 (?5,134-?7,196; ?5,411
?6,552) per QALY gained over a 5 and 20 year period, respectively. The full
monetary benefits of partner notification by preventing new HIV infections become
more apparent over time. CONCLUSIONS: Partner notification will not lead to the
end of the HIV epidemic, but will prevent new infections and be increasingly cost
effectiveness over time.
PMID- 26554587
TI - Host Genetics and Environment Drive Divergent Responses of Two Resource Sharing
Gall-Formers on Norway Spruce: A Common Garden Analysis.
AB - A central issue in the field of community genetics is the expectation that trait
variation among genotypes play a defining role in structuring associated species
and in forming community phenotypes. Quantifying the existence of such community
phenotypes in two common garden environments also has important consequences for
our understanding of gene-by-environment interactions at the community level. The
existence of community phenotypes has not been evaluated in the crowns of boreal
forest trees. In this study we address the influence of tree genetics on needle
chemistry and genetic x environment interactions on two gall-inducing adelgid
aphids (Adelges spp. and Sacchiphantes spp.) that share the same elongating
bud/shoot niche. We examine the hypothesis that the canopies of different
genotypes of Norway spruce (Picea abies L.) support different community
phenotypes. Three patterns emerged. First, the two gallers show clear differences
in their response to host genetics and environment. Whereas genetics
significantly affected the abundance of Adelges spp. galls, Sacchiphantes spp.
was predominately affected by the environment suggesting that the genetic
influence is stronger in Adelges spp. Second, the among family variation in
genetically controlled resistance was large, i.e. fullsib families differed as
much as 10 fold in susceptibility towards Adelges spp. (0.57 to 6.2
galls/branch). Also, the distribution of chemical profiles was continuous,
showing both overlap as well as examples of significant differences among fullsib
families. Third, despite the predicted effects of host chemistry on galls,
principal component analyses using 31 different phenolic substances showed only
limited association with galls and a similarity test showed that trees with
similar phenolic chemical characteristics, did not host more similar communities
of gallers. Nonetheless, the large genetic variation in trait expression and
clear differences in how community members respond to host genetics supports our
hypothesis that the canopies of Norway spruce differ in their community
phenotypes.
PMID- 26554588
TI - More Delusions May Be Observed in Low-Proficient Multilingual Alzheimer's Disease
Patients.
AB - BACKGROUND: Language impairment and behavioral symptoms are both common phenomena
in dementia patients. In this study, we investigated the behavioral symptoms in
dementia patients with different language backgrounds. Through this, we aimed to
propose a possible connection between language and delusion. METHODS: We
recruited 21 patients with Alzheimer's disease (AD), according to the DSM-IV and
NINCDS-ADRDA criteria, from the memory clinic of the Cardinal Tien Hospital in
Taipei, Taiwan. They were classified into two groups: 11 multilinguals who could
speak Japanese, Taiwanese and Mandarin Chinese, and 10 bilinguals who only spoke
Taiwanese and Mandarin Chinese. There were no differences between age, education,
disease duration, disease severity, environment and medical care between these
two groups. Comprehensive neuropsychological examinations, including Clinical
Dementia Rating (CDR), Mini-Mental Status Examination (MMSE), Cognitive Abilities
Screening Instrument (CASI), Verbal fluency, Chinese version of the Boston naming
test (BNT) and the Behavioral Pathology in Alzheimer's Disease Rating Scale
(BEHAVE-AD), were administered. RESULTS: The multilingual group showed worse
results on the Boston naming test. Other neuropsychological tests, including the
MMSE, CASI and Verbal fluency, were not significantly different. More delusions
were noted in the multilingual group. Three pairs of subjects were identified for
further examination of their differences. These three cases presented the typical
scenario of how language misunderstanding may cause delusions in multilingual
dementia patients. Consequently, more emotion and distorted ideas may be induced
in the multilinguals compared with the MMSE-matched controls. CONCLUSION:
Inappropriate mixing of language or conflict between cognition and emotion may
cause more delusions in these multilingual patients. This reminds us that
delusion is not a pure biological outcome of brain degeneration. Although the
cognitive performance was not significantly different between our groups,
language may still affect their delusion.
PMID- 26554589
TI - Neural Mechanisms of Cortical Motion Computation Based on a Neuromorphic Sensory
System.
AB - The visual cortex analyzes motion information along hierarchically arranged
visual areas that interact through bidirectional interconnections. This work
suggests a bio-inspired visual model focusing on the interactions of the cortical
areas in which a new mechanism of feedforward and feedback processing are
introduced. The model uses a neuromorphic vision sensor (silicon retina) that
simulates the spike-generation functionality of the biological retina. Our model
takes into account two main model visual areas, namely V1 and MT, with different
feature selectivities. The initial motion is estimated in model area V1 using
spatiotemporal filters to locally detect the direction of motion. Here, we adapt
the filtering scheme originally suggested by Adelson and Bergen to make it
consistent with the spike representation of the DVS. The responses of area V1 are
weighted and pooled by area MT cells which are selective to different velocities,
i.e. direction and speed. Such feature selectivity is here derived from
compositions of activities in the spatio-temporal domain and integrating over
larger space-time regions (receptive fields). In order to account for the
bidirectional coupling of cortical areas we match properties of the feature
selectivity in both areas for feedback processing. For such linkage we integrate
the responses over different speeds along a particular preferred direction.
Normalization of activities is carried out over the spatial as well as the
feature domains to balance the activities of individual neurons in model areas V1
and MT. Our model was tested using different stimuli that moved in different
directions. The results reveal that the error margin between the estimated motion
and synthetic ground truth is decreased in area MT comparing with the initial
estimation of area V1. In addition, the modulated V1 cell activations shows an
enhancement of the initial motion estimation that is steered by feedback signals
from MT cells.
PMID- 26554590
TI - An Element of Determinism in a Stochastic Flagellar Motor Switch.
AB - Marine bacterium Vibrio alginolyticus uses a single polar flagellum to navigate
in an aqueous environment. Similar to Escherichia coli cells, the polar flagellar
motor has two states; when the motor is counter-clockwise, the cell swims forward
and when the motor is clockwise, the cell swims backward. V. alginolyticus also
incorporates a direction randomization step at the start of the forward swimming
interval by flicking its flagellum. To gain an understanding on how the polar
flagellar motor switch is regulated, distributions of the forward Deltaf and
backward Deltab intervals are investigated herein. We found that the steady-state
probability density functions, P(Deltaf) and P(Deltab), of freely swimming
bacteria are strongly peaked at a finite time, suggesting that the motor switch
is not Poissonian. The short-time inhibition is sufficiently strong and long
lasting, i.e., several hundred milliseconds for both intervals, which is readily
observed and characterized. Treating motor reversal dynamics as a first-passage
problem, which results from conformation fluctuations of the motor switch, we
calculated P(Deltaf) and P(Deltab) and found good agreement with the
measurements.
PMID- 26554591
TI - Cinnamic Acid Bornyl Ester Derivatives from Valeriana wallichii Exhibit
Antileishmanial In Vivo Activity in Leishmania major-Infected BALB/c Mice.
AB - Human leishmaniasis covers a broad spectrum of clinical manifestations ranging
from self-healing cutaneous leishmaniasis to severe and lethal visceral
leishmaniasis caused among other species by Leishmania major or Leishmania
donovani, respectively. Some drug candidates are in clinical trials to substitute
current therapies, which are facing emerging drug-resistance accompanied with
serious side effects. Here, two cinnamic acid bornyl ester derivatives (1 and 2)
were assessed for their antileishmanial activity. Good selectivity and
antileishmanial activity of bornyl 3-phenylpropanoate (2) in vitro prompted the
antileishmanial assessment in vivo. For this purpose, BALB/c mice were infected
with Leishmania major promastigotes and treated with three doses of 50 mg/kg/day
of compound 2. The treatment prevented the characteristic swelling at the site of
infection and correlated with reduced parasite burden. Transmitted light
microscopy and transmission electron microscopy of Leishmania major promastigotes
revealed that compounds 1 and 2 induce mitochondrial swelling. Subsequent studies
on Leishmania major promastigotes showed the loss of mitochondrial transmembrane
potential (DeltaPsim) as a putative mode of action. As the cinnamic acid bornyl
ester derivatives 1 and 2 had exhibited antileishmanial activity in vitro, and
compound 2 in Leishmania major-infected BALB/c mice in vivo, they can be regarded
as possible lead structures for the development of new antileishmanial
therapeutic approaches.
PMID- 26554592
TI - Spatial Representations in Local Field Potential Activity of Primate Anterior
Intraparietal Cortex (AIP).
AB - The execution of reach-to-grasp movements in order to interact with our
environment is an important subset of the human movement repertoire. To
coordinate such goal-directed movements, information about the relative spatial
position of target and effector (in this case the hand) has to be continuously
integrated and processed. Recently, we reported the existence of spatial
representations in spiking-activity of the cortical fronto-parietal grasp network
(Lehmann & Scherberger 2013), and in particular in the anterior intraparietal
cortex (AIP). To further investigate the nature of these spatial representations,
we explored in two rhesus monkeys (Macaca mulatta) how different frequency bands
of the local field potential (LFP) in AIP are modulated by grip type, target
position, and gaze position, during the planning and execution of reach-to-grasp
movements. We systematically varied grasp type, spatial target, and gaze position
and found that both spatial and grasp information were encoded in a variety of
frequency bands (1-13Hz, 13-30Hz, 30-60Hz, and 60-100Hz, respectively). Whereas
the representation of grasp type strongly increased towards and during movement
execution, spatial information was represented throughout the task. Both spatial
and grasp type representations could be readily decoded from all frequency bands.
The fact that grasp type and spatial (reach) information was found not only in
spiking activity, but also in various LFP frequency bands of AIP, might
significantly contribute to the development of LFP-based neural interfaces for
the control of upper limb prostheses.
PMID- 26554593
TI - Clinicopathologic features and prognostic implications of Gankyrin protein
expression in non-small cell lung cancer.
AB - PURPOSE: The expression of Gankyrin, a liver cancer-related oncoprotein, has been
observed in several human malignancies including non-small cell lung cancer
(NSCLC). However, the clinic relevance of Gankyrin expression in NSCLC remains
unclear. METHODS: Gankyrin expression was assessed using immunohistochemical
(IHC) methods in 166 paired paraffin-embedded NSCLC specimens and adjacent normal
tissues. Semi-quantitative reverse transcription polymerase chain reaction (RT
PCR) and Western blotting were employed to measure the expression of Gankyrin in
24 paired fresh NSCLC specimens and the corresponding normal tissues. The
association of Gankyrin expression with clinicopathological parameters was also
evaluated. Kaplan-Meier survival analysis and Cox proportional hazards models
were used to estimate the effect of Gankyrin expression on survival. RESULTS:
Data showed that Gankyrin was expressed in 78.3% (130/166) and 28.9% (48/166) of
cancer lesions and corresponding adjacent normal tissue, respectively. And the
Gankyrin overexpression in tumor tissue occurred in 53.6% (89/166) of patients,
while overexpression of Gankyrin in normal tissue occurred only in 4.8% (8/166)
of patients (P<0.001). Semi-quantitative RT-PCR and Western blotting showed that
NSCLC specimens had increased Gankyrin mRNA and protein expression compared to
the corresponding normal tissues. Out of all the clinicopathological factors
analyzed, Gankyrin overexpression was significantly correlated with lymphatic
metastasis (P<0.001) and p-TNM stage (P<0.001). Gankyrin-overexpressed NSCLC
patients had a significantly shorter survival time (P<0.001, Log-rank test), and
the prognostic significance of Gankyrin overexpression was apparent in both
squamous cell carcinoma patients (P=0.028) and adenocarcinoma patients (P<0.001).
Multivariate analysis indicated that Gankyrin overexpression may be an
independent prognostic factor in NSCLC (hazard ratio [HR], 1.51; P=0.041).
CONCLUSION: Our results indicate that Gankyrin overexpression is of clinical
significance and can serve as a prognostic biomarker in NSCLC.
PMID- 26554595
TI - Contracting C2C12 myotubes release CCL2 in an NF-kappaB-dependent manner to
induce monocyte chemoattraction.
AB - Muscle inflammation following exercise is characterized by expression of
inflammatory cytokines and chemokines. Exercise also increases muscle macrophages
derived from circulating monocytes. However, it is unknown whether muscle cells
themselves attract circulating monocytes, or what is the underlying mechanism. We
used an in vitro system of electrical stimulation (ES) causing C2C12 myotube
contraction to explore whether monocyte chemoattraction ensues and investigated
the mediating chemoattractants. Conditioned medium from ES-contracted myotubes
caused robust chemoattraction of THP-1 monocytes across Boyden chambers.
Following ES, expression of several known monocyte chemokines [C-C motif ligand 2
(CCL2) and C-X-C motif ligand (CXCL)1, -2, and -5] was elevated, but of these,
only recombinant CCL2 effectively reproduced monocyte migration. Electrically
stimulated myotubes secreted CCL2, and neutralization of CCL2 in conditioned
medium or antagonizing the CCL2 receptor (CCR2) in THP-1 monocytes inhibited ES
induced monocyte migration. N-benzyl-p-toluene sulfonamide (BTS), a myosin II
ATPase inhibitor, prevented ES-induced myotube contraction but not CCL2 gene
expression and secretion. The membrane-permeant calcium chelator BAPTA-AM reduced
ES-induced CCL2 secretion. Hence, electrical depolarization, rather than
mechanical contraction, drives the rise in CCL2, with partial calcium input. ES
activated the NF-kappaB pathway; NF-kappaB inhibitors reduced ES-induced CCL2
gene expression and secretion and repressed ES-induced THP-1 chemoattraction.
Thus, electrically stimulated myotubes chemoattract monocytes through NF-kappaB
regulated CCL2 secretion.
PMID- 26554594
TI - The MAFB transcription factor impacts islet alpha-cell function in rodents and
represents a unique signature of primate islet beta-cells.
AB - Analysis of MafB(-/-) mice has suggested that the MAFB transcription factor was
essential to islet alpha- and beta-cell formation during development, although
the postnatal physiological impact could not be studied here because these
mutants died due to problems in neural development. Pancreas-wide mutant mice
were generated to compare the postnatal significance of MafB (MafB(Deltapanc))
and MafA/B (MafAB(Deltapanc)) with deficiencies associated with the related beta
cell-enriched MafA mutant (MafA(Deltapanc)). Insulin(+) cell production and beta
cell activity were merely delayed in MafB(Deltapanc) islets until MafA was
comprehensively expressed in this cell population. We propose that MafA
compensates for the absence of MafB in MafB(Deltapanc) mice, which is supported
by the death of MafAB(Deltapanc) mice soon after birth from hyperglycemia.
However, glucose-induced glucagon secretion was compromised in adult
MafB(Deltapanc) islet alpha-cells. Based upon these results, we conclude that
MafB is only essential to islet alpha-cell activity and not beta-cell.
Interestingly, a notable difference between mice and humans is that MAFB is
coexpressed with MAFA in adult human islet beta-cells. Here, we show that
nonhuman primate (NHP) islet alpha- and beta-cells also produce MAFB, implying
that MAFB represents a unique signature and likely important regulator of the
primate islet beta-cell.
PMID- 26554596
TI - The role of eye movements in the size-speed illusion of approaching trains.
AB - Recent research on the perceived speed of large moving objects, compared to
smaller moving objects, has revealed the presence of a size-speed illusion. This
illusion, where a large object seems to be moving more slowly than a small object
travelling at the same speed may account for collisions between motor cars and
trains at level crossings, which is a serious safety issue in New Zealand and
worldwide. One possible reason for the perceived size-speed difference may be
related to the movement of our eyes when we track moving vehicles. In order to
investigate this, we tested observers' relative speed perception of moving
objects (both abstract and more detailed objects) moving in depth towards the
observer, presented on a computer display and eye movements recorded with an
eyetracker. Experiment 1 confirmed first the size-speed illusion when the
observers were situated further away (18, 36m) from the simulated rail crossing
or intersection. It also revealed that the eye movement behaviour of our
participants was different when they judged the speeds of the small and large
objects; eye fixations were localised around the visual centroid of longer
objects and hence were further from the front of the moving large objects than
the smaller ones. Experiment 2 found that manipulating eye movements could reduce
the magnitude of the illusion. When observers tracked targets (dots) that were
placed at corresponding locations at the front of the small object and the long
object respectively, they perceived the speeds of the two objects as equal. When
target dots were placed closer to the visual centroid, observers perceived the
larger object to be moving slower. These results demonstrate that there is a
close relationship between eye movement behaviour and our perceived judgement of
an approaching train's speed.
PMID- 26554597
TI - Noise exposure assessment among groundskeepers in a university setting: A pilot
study.
AB - Approximately 870,000 U.S. workers are employed as landscaping and groundskeeping
workers who perform various tasks and use a variety of tools that expose them to
high noise levels, increasing their risk to noise-induced hearing loss (NIHL).
Several studies on noise exposure and NIHL in other job sectors have been
published, but those on groundskeepers are very limited. This study aims to
characterize the noise exposure of groundskeepers. Participants were monitored
over their entire work shift for personal noise exposure by wearing noise
dosimeters at shoulder level, 4 in from the ear. Using two different dosimeter
settings (OSHA and NIOSH), the time-weighted averages (TWAs) and 1-min averages
of noise exposure levels in decibels (dBA) were obtained. The participants were
also asked to fill out an activity card daily to document their tasks, tools
used, location and noise perception. Sound pressure levels (SPLs) produced by
various groundskeeping equipment and tools were measured at full throttle near
the ear of the operator using a sound level meter. These measurements were used
to assess worker noise exposure profiles, particularly the contributing source of
noise. The overall mean OSHA and NIOSH TWA noise exposures were 82.2+/-9.2 (range
of 50.9-100 dBA) and 87.8+/-6.6 dBA (range of 67.2-102.9 dBA), respectively.
Approximately 46% of the OSHA TWAs exceeded the OSHA action limit of 85 dBA.
About 76% of the NIOSH TWAs exceeded 85 dBA, and 42% exceeded 90 dBA. The SPLs of
equipment and tools measured ranged from 75- 106 dBA, most of which were at above
85 dBA and within the 90-100 dBA range. Hand-held power tools and ride-on
equipment without enclosed cab may have contributed significantly to worker noise
exposure. This study demonstrates that groundskeepers may be routinely exposed to
noise levels above the OSHA and NIOSH exposure limits, and that the
implementation of effective hearing conservation programs is necessary to reduce
their risk to NIHL.
PMID- 26554598
TI - Different in vitro exposure regimens of murine primary macrophages to silver
nanoparticles induce different fates of nanoparticles and different toxicological
and functional consequences.
AB - Silver nanoparticles (Ag-NPs) are used in a variety of consumers' goods. Their
toxicological impact is currently intensely studied, mostly upon acute exposure,
but their intracellular dissolution and fate is rather poorly documented. In this
study, murine primary macrophages were exposed to a single high but non-lethal
dose of Ag-NPs or to repeated, low doses of Ag-NPs. Cells were either collected
immediately after acute exposure or after 72 h of recovery in the NP-free
exposure medium. Ag intracellular content and distribution were analyzed by
particle-induced X-ray emission, transmission electron microscopy coupled to
energy-dispersive spectroscopy analysis and inductively coupled plasma mass
spectrometry. In parallel, macrophage functionality as well as inflammatory and
thiol-responses were assessed after Ag-NP exposure. We show that Ag accumulation
in macrophages is similar upon acute and repeated exposure to Ag-NPs, and that Ag
is partly expelled from cells during the 72 h recovery stage. However, acute
exposure leads to a strong response of macrophages, characterized by reduced
mitochondrial membrane potential, phagocytic capacity and nitric oxide (NO)
production upon lipopolysaccharide (LPS) stimulation. Under this condition, we
also show an increased release of proinflammatory cytokines as well as a
decreased release of anti-inflammatory cytokines. This response is reversible
since these biomarkers reach their basal level after the recovery phase; and is
much less intense in repeatedly exposed cells. These results suggest that
repeated exposure of macrophages to Ag-NPs, which is a more realistic exposure
scenario than acute exposure, leads to significant Ag intracellular accumulation
but a much less intense toxicological response.
PMID- 26554599
TI - Endometrioma With Ovarian Calcification.
PMID- 26554600
TI - Erratum to: Methods for characterization of organic compounds in atmospheric
aerosol particles.
PMID- 26554601
TI - Potential of gas chromatography-atmospheric pressure chemical ionization-tandem
mass spectrometry for screening and quantification of hexabromocyclododecane.
AB - A fast method for the screening and quantification of hexabromocyclododecane (sum
of all isomers) by gas chromatography using a triple quadrupole mass spectrometer
with atmospheric pressure chemical ionization (GC-APCI-QqQ) is proposed. This
novel procedure makes use of the soft atmospheric pressure chemical ionization
source, which results in less fragmentation of the analyte than by conventional
electron impact (EI) and chemical ionization (CI) sources, favoring the formation
of the [M - Br](+) ion and, thus, enhancing sensitivity and selectivity.
Detection was based on the consecutive loses of HBr from the [M - Br](+) ion to
form the specific [M - H5Br6](+) and [M - H4Br5](+) ions, which were selected as
quantitation (Q) and qualification (q) transitions, respectively. Parameters
affecting ionization and MS/MS detection were studied. Method performance was
also evaluated; calibration curves were found linear from 1 pg/MUL to 100 pg/MUL
for the total HBCD concentration; instrumental detection limit was estimated to
be 0.10 pg/MUL; repeatability and reproducibility, expressed as relative standard
deviation, were better than 7% in both cases. The application to different real
samples [polyurethane foam disks (PUFs), food, and marine samples] pointed out a
rapid way to identify and allow quantification of this compound together with a
number of polybrominated diphenyl ethers (BDE congeners 28, 47, 66, 85, 99, 100,
153, 154, 183, 184, 191, 196, 197, and 209) and two other novel brominated flame
retardants [i.e., decabromodiphenyl ethane (DBDPE) and 1,2-bis(2,4,6
tribromophenoxy)ethane (BTBPE)] because of their presence in the same fraction
when performing the usual sample treatment.
PMID- 26554602
TI - The prevalence of primary headache disorders in Nepal: a nationwide population
based study.
AB - BACKGROUND: Headache disorders are among the most prevalent and burdensome global
public-health problems. Within countries, health policy depends upon knowledge of
health within the local populations, but the South-East Asia Region (SEAR), among
WHO's six world regions, is the only one for which no national headache
prevalence data are available. METHODS: In a cross-sectional population-based
study, adults representative of the Nepali-speaking population aged 18-65 years
and living in Nepal were randomly recruited using stratified multistage cluster
sampling. They were visited unannounced at home by trained interviewers who used
a culturally-adapted Nepali translation of the structured Headache-Attributed
Restriction, Disability, Social Handicap and Impaired Participation (HARDSHIP)
questionnaire. RESULTS: There were 2,100 participants (1,239 females [59.0 %],
861 males [41.0 %]; mean age 36.4 +/- 12.8 years) with 9 refusals (participation
rate 99.6 %). Over half (1,100; 52.4 %) were resident above 1,000 m and almost
one quarter (470; 22.4 %) lived at or above 2,000 m. The 1-year prevalence of any
headache was 85.4 +/- 1.5 % (gender- and age-adjusted 84.9 %), of migraine 34.7
+/- 2.0 % (34.1 %), of tension-type headache (TTH) 41.1 +/- 2.1 % (41.5 %), of
headache on >=15 days/month 7.7 +/- 1.1 % (7.4 %) and of probable medication
overuse headache (pMOH) 2.2 +/- 0.63 % (2.1 %). There was a strong association
between migraine and living at altitude >=1,000 m (AOR = 1.6 [95 % CI: 1.3-2.0];
p < 0.001). There was a less strong association between TTH and urban dwelling
(AOR = 1.3 [95 % CI: 1.1-1.6]; p = 0.003), and a possibly artefactual negative
association between TTH and living above 1,000 m (AOR = 0.7 [95 % CI: 0.6-0.8]; p
< 0.001). CONCLUSION: Headache disorders are very common in Nepal. Migraine is
unusually so, and strongly associated with living at altitude, which in very
large part accounts for the high national prevalence: the age- and gender-
standardised prevalence in the low-lying Terai is 27.9 %. Headache occurring on
>=15 days/month is also common. This new evidence will inform national health
policy and provide a basis for health-care needs assessment. However, research is
needed to explain the association between migraine and altitude, since it may be
relevant to health-care interventions.
PMID- 26554605
TI - Splenic dendritic cell involvement in FXR-mediated amelioration of DSS colitis.
AB - Inflammatory Bowel Disease (IBD) is a multifactorial disorder involving
dysregulation of the immune response and bacterial translocation through the
intestinal mucosal barrier. Previously, we have shown that activation of the bile
acid sensor Farnesoid X Receptor (FXR), which belongs to the family of nuclear
receptors, improves experimental intestinal inflammation, decreasing expression
of pro-inflammatory cytokines and protecting the intestinal barrier. Here, we
aimed to investigate the immunological mechanisms that ameliorate colitis when
FXR is activated. We analyzed by FACS immune cell populations in mesenteric lymph
nodes (MLN) and in the spleen to understand whether FXR activation alters the
systemic immune response. We show that FXR activation by obeticholic acid (OCA)
has systemic anti-inflammatory effects that include increased levels of plasma IL
10, inhibition of both DSS-colitis associated decrease in splenic dendritic cells
(DCs) and increase in Tregs. Impact of OCA on DC relative abundance was seen in
spleen but not MLN, possibly related to the increased FXR expression in splenic
DCs compared to MLN DCs. Moreover, FXR activation modulates the chemotactic
environment in the colonic site of inflammation, as Madcam1 expression is
decreased, while Ccl25 is upregulated. Together, our data suggest that OCA
treatment elicits an anti-inflammatory immune status including retention of DCs
in the spleen, which is associated with decreased colonic inflammation.
Pharmacological FXR activation is therefore an attractive new drug target for
treatment of IBD.
PMID- 26554604
TI - Dietary and donepezil modulation of mTOR signaling and neuroinflammation in the
brain.
AB - Recent clinical and laboratory evidences suggest that high fat diet (HFD) induced
obesity and its associated metabolic syndrome conditions promotes neuropathology
in aging and age-related neurological disorders. However, the effects of high fat
diet on brain pathology are poorly understood, and the effective strategies to
overcome these effects remain elusive. In the current study, we examined the
effects of HFD on brain pathology and further evaluated whether donepezil, an
AChE inhibitor with neuroprotective functions, could suppress the ongoing HFD
induced pathological changes in the brain. Our data demonstrates that HFD induced
obesity results in increased neuroinflammation and increased AChE activity in the
brain when compared with the mice fed on low fat diet (LFD). HFD administration
to mice activated mTOR pathway resulting in increased phosphorylation of
mTOR(ser2448), AKT(thr308) and S6K proteins involved in the signaling.
Interestingly, donepezil administration with HFD suppressed HFD induced increases
in AChE activity, and partially reversed HFD effects on microglial reactivity and
the levels of mTOR signaling proteins in the brain when compared to the mice on
LFD alone. However, gross levels of synaptic proteins were not altered in the
brain tissues of mice fed either diet with or without donepezil. In conclusion,
these results present a new insight into the detrimental effects of HFD on brain
via microglial activation and involvement of mTOR pathway, and further
demonstrates the possible therapeutic role for donepezil in ameliorating the
early effects of HFD that could help preserve the brain function in metabolic
syndrome conditions.
PMID- 26554606
TI - Ichthyobacterium seriolicida gen. nov., sp. nov., a member of the phylum
'Bacteroidetes', isolated from yellowtail fish (Seriola quinqueradiata) affected
by bacterial haemolytic jaundice, and proposal of a new family,
Ichthyobacteriaceae fam. nov.
AB - A novel Gram-stain-negative, rod-shaped (0.3 * 4-6 MUm), non-flagellated, aerobic
strain with gliding motility, designated JBKA-6T, was isolated in 1991 from a
yellowtail fish, Seriola quinqueradiata, showing symptoms of bacterial haemolytic
jaundice. 16S rRNA gene sequence analysis showed that strain JBKA-6T was related
most closely to members of the family Flavobacteriaceae in the phylum
'Bacteroidetes'. Furthermore, based on gyrB gene sequence analysis, JBKA-6T was
classified into a single clade within the order Flavobacteriales, which was
distinct from the known clades of the families Flavobacteriaceae,
Blattabacteriaceae and Cryomorphaceae. The predominant isoprenoid quinone was
identified as MK-6 (97.9 %), and the major cellular fatty acids (>10 %) were C14
: 0 and iso-C15 : 0. The main polar lipids were phosphatidylethanolamine, three
unidentified phospholipids, two unidentified aminophospholipids and two
unidentified polar lipids. The DNA G+C content of JBKA-6T, as derived from its
whole genome, was 33.4 mol%. The distinct phylogenetic position and phenotypic
traits of strain JBKA-6T distinguish it from all other described species of the
phylum 'Bacteroidetes', and therefore it was concluded that strain JBKA-6T
represents a new member of the phylum 'Bacteroidetes', and the name
Ichthyobacterium seriolicida gen. nov., sp. nov. is proposed. The type strain of
Ichthyobacterium seriolicida is JBKA-6T ( = ATCC BAA-2465T = JCM 18228T). We also
propose that Icthyobacterium gen. nov. is the type genus of a novel family,
Ichthyobacteriaceae fam. nov.
PMID- 26554607
TI - An effective utilization management strategy by dual approach of influencing
physician ordering and gate keeping.
AB - OBJECTIVES: There is increasing recognition of the importance of appropriate
laboratory test utilization. We investigate the effect of a multifaceted
educational approach that includes physician feedback on individual test
ordering, in conjunction with targeted restriction, on the utilization of
selected laboratory tests. DESIGN AND METHODS: Scientific evidence was compiled
on the usefulness and limitations of tests suspected of being over utilized in
our laboratories. A variety of approaches were used to deliver education on each
of the targeted tests, with greater focus on primary care physicians (PCPs).
Feedback on requesting behavior of these tests was also communicated to the
latter group which included an educational component. Laboratory based
restriction of testing was also exercised, including the unbundling of our
electrolyte panel. RESULTS: PCP requesting patterns for the selected tests were
found to be markedly skewed. The interventions implemented over the study period
resulted in a substantial 51% reduction in overall ordering of five of the
targeted tests equating to an annual marginal cost saving of $60,124. Unbundling
of the electrolyte panel resulted in marginal cost savings that equated annually
to $42,500 on chloride and $48,000 on total CO2. CONCLUSIONS: A multifaceted
educational approach combined with feedback on utilization and laboratory driven
gate-keeping significantly reduced the number of laboratory tests suspected of
being redundant or unjustifiably requested. Laboratory professionals are well
positioned to manage demand on laboratory tests by utilizing evidence base in
developing specific test ordering directives and gate-keeping rules.
PMID- 26554608
TI - T cell polarizing properties of probiotic bacteria.
AB - Different commensal bacteria employed as probiotics have been shown to be endowed
with immunomodulatory properties and to actively interact with antigen presenting
cells, such as dendritic cells and macrophages. In particular, different strains
of probiotic bacteria may induce the secretion of a discrete cytokine profile
able to induce divergent T cell polarization. Here, we briefly review current
knowledge regarding the effects of different species and strains of probiotic
bacteria on T cell polarization. Given that the loss of intestinal homeostasis is
frequently associated with an aberrant T cell polarization profile, a
comprehensive knowledge of the immunomodulatory potential of these bacteria is
crucial for their employment in the management of human immune-mediated
pathologies, such as allergies or inflammatory bowel diseases.
PMID- 26554609
TI - MMP-9 gene polymorphisms (rs3918242, rs3918254 and rs4810482) and the risk of
psoriasis vulgaris: No evidence for associations in a Chinese Han population.
AB - Several previous studies including one of them co-authored by our group have
revealed that serum and psoriatic plaque expression of matrix metalloproteinase-9
(MMP-9) was significantly upregulated in psoriasis. The aim of this study was to
investigate the association of three single nucleotide polymorphisms (SNPs) and
haplotypes of MMP-9 (rs3918242, rs3918254 and rs4810482) with psoriasis vulgaris
in a Chinese Han population. The serum levels of MMP-9 in 245 psoriasis vulgaris
cases and 256 healthy controls were assessed using ELSA kits, and the three SNPs
were genotyped using polymerase chain reaction-ligation detection reaction (PCR
LDR) method. Four haplotypes based on the three SNPs were also analyzed. Our
study showed that the serum MMP-9 levels in patients with psoriasis vulgaris were
significantly higher than that in controls (P<0.05). However, the three SNPs were
not significantly associated with psoriasis vulgaris susceptibility (all P>0.05).
Similar results were found in further subgroup analysis based on gender, age of
onset, family history, and serum MMP-9 levels, except that a protective effect of
psoriasis vulgaris was detected among female subjects with the CT genotype of
rs3918254 (OR=0.47, 95% CI=0.23-0.96, P=0.038), but this association did not
survive after Bonferroni correction (P(adj)=0.076). The haplotype analysis also
failed to show any association with psoriasis vulgaris. We found no evidence for
the association between the MMP-9 polymorphisms and psoriasis vulgaris
susceptibility in a Chinese Han population.
PMID- 26554611
TI - Evaluation of Changes in Human Corneas After Femtosecond Laser-Assisted LASIK and
Small-Incision Lenticule Extraction (SMILE) Using Non-Contact Tonometry and Ultra
High-Speed Camera (Corvis ST).
AB - PURPOSE: To evaluate theoretical biomechanical advantages of human corneas
treated with small incision lenticule extraction (SMILE) compared with
femtosecond laser-assisted LASIK (FS-LASIK) Patients and methods: In a
prospective, comparative, non-randomized, consecutive case series patients with
moderate to high myopia and/or astigmatism underwent corneal refractive surgery.
Patients either received standard FS-LASIK or SMILE. Preoperatively and up to 3
months postoperatively data were analyzed including tomography with Pentacam HR,
Goldmann tonometry, non-contact tonometry, and deformability of the cornea using
an ultra-high-speed camera (Corvis ST). Data were analyzed and p < 0.05 was
considered as statistically significant (t-test). RESULTS: Seventy-three patients
(128 eyes) were treated. Forty-eight eyes of 29 patients underwent FS-LASIK and
80 eyes of 44 patients underwent SMILE. Preoperative spherical equivalent (SE)
was -3.23 +/- 1.64 D (FS-LASIK group) and -4.83 +/- 1.63 D (SMILE group) (p <
0.0001). Almost all parameters obtained by Corvis ST between preoperative and
postoperative measurements showed significant changes after refractive surgery.
Significant changes were seen between both groups postoperatively. However,
matched pair subgroup analysis (n = 69; 26 eyes FS-LASIK; 43 eyes SMILE) of eyes
with initially equal pachymetry, intraocular pressure, SE, and difference of pre-
to postoperative pachymetry (p>0.05), showed no significant changes in parameters
measured with Corvis ST (p>0.05). CONCLUSIONS: Corneal biomechanical parameters
measured preoperatively with Corvis ST showed significant differences
postoperatively in total and in both groups. In subgroup analysis with homogenous
groups, FS-LASIK showed no significant changes in biomechanical data measured
with Corvis ST compared with SMILE.
PMID- 26554610
TI - Complementation between polymerase- and exonuclease-deficient mitochondrial DNA
polymerase mutants in genomically engineered flies.
AB - Replication errors are the main cause of mitochondrial DNA (mtDNA) mutations and
a compelling approach to decrease mutation levels would therefore be to increase
the fidelity of the catalytic subunit (POLgammaA) of the mtDNA polymerase. Here
we genomically engineer the tamas locus, encoding fly POLgammaA, and introduce
alleles expressing exonuclease- (exo(-)) and polymerase-deficient (pol(-))
POLgammaA versions. The exo(-) mutant leads to accumulation of point mutations
and linear deletions of mtDNA, whereas pol(-) mutants cause mtDNA depletion. The
mutant tamas alleles are developmentally lethal but can complement each other in
trans resulting in viable flies with clonally expanded mtDNA mutations.
Reconstitution of human mtDNA replication in vitro confirms that replication is a
highly dynamic process where POLgammaA goes on and off the template to allow
complementation during proofreading and elongation. The created fly models are
valuable tools to study germ line transmission of mtDNA and the pathophysiology
of POLgammaA mutation disease.
PMID- 26554612
TI - Understanding the role of the news media in HPV vaccine uptake in the United
States: Synthesis and commentary.
AB - Vaccination rates for the human papillomavirus (HPV) vaccine fall below targets
and only 2 states and the District of Columbia require the vaccine for middle
school-age children. Messages conveyed through news media-to parents, providers,
policymakers, and the general public-may contribute to sluggish vaccination rates
and policy action. In this commentary, we review the findings from 13 published
studies of news media coverage of the HPV vaccine in the United States since FDA
licensure in 2006. We find 2 important themes in news coverage: a rising focus on
political controversy and a consistent emphasis on the vaccine as for girls, even
beyond the point when the vaccine was recommended for boys. These political and
gendered messages have consequences for public understanding of the vaccine.
Future research should continue to monitor news media depictions of the HPV
vaccine to assess whether political controversy will remain a pronounced theme of
coverage or whether the media ultimately depict the vaccine as a routine public
health service.
PMID- 26554613
TI - Ultrasound evaluation of the stomach and gastric emptying in pregnant women at
term: a case-control study.
AB - BACKGROUND: This study aims to assess the rate of gastric emptying in pregnant
women in the third trimester scheduled for elective caesarean section (CS), in
view to highlight the aspiration risk. METHODS: A prospective case-control study,
with the approval of the Ethics Committee, was performed. At term pregnant women
(group A) scheduled for CS and volunteer controls were recruited (group B). The
ultrasonographic measurement of the antral area was performed, after a
standardized meal, with a Convex probe. The antrum was displayed in the sagittal
or parasagittal projections in the right upper quadrant, medial to the mid
clavicular line. The diameters of the antrum were measured and then the antral
area was calculated. The measurements were conducted at 10 (T1), 90 (T2) and 240
(T3) minutes from the meal. Anthropometric data were collected for each patient.
RESULTS: In group B, antrum distention was observed already after 10 minutes from
the meal, and then a gradual decrease in the antral area until 4 hours after the
meal was registered. On the contrary, in group A (at term pregnant) there was an
initial smaller increase of antral area, followed by a greater increase that
lasts up to 90 minutes, and finally a delayed and smaller reduction. CONCLUSIONS:
In pregnant women at term, the stomach does not seem to be able to expand
immediately after the meal. The transit of food is completed later than in not
pregnant women. The ultrasound of the stomach allows identifying delays gastric
emptying and highlighting patients at risk of aspiration.
PMID- 26554614
TI - Closed-loop anesthesia.
AB - Automated anesthesia which may offer to the physician time to control hemodynamic
and to supervise neurological outcome and which may offer to the patient safety
and quality was until recently consider as a holy grail. But this field of
research is now increasing in every component of general anesthesia (hypnosis,
nociception, neuromuscular blockade) and literature describes some successful
algorithms - single or multi closed-loop controller. The aim of these devices is
to control a predefined target and to continuously titrate anesthetics whatever
the patients' co morbidities and surgical events to reach this target. Literature
contains many randomized trials comparing manual and automated anesthesia and
shows feasibility and safety of this system. Automation could quickly concern
other aspects of anesthesia as fluid management and this review proposes an
overview of closed-loop systems in anesthesia.
PMID- 26554615
TI - Pathophysiological role of cytoglobin, the fourth globin in mammals, in liver
diseases.
AB - Cytoglobin (Cygb), a stellate cell-specific globin, has recently drawn attention
due to its association with liver fibrosis and cancer. In human and rodent
livers, Cygb is expressed only in stellate cells and can be utilized as a marker
to distinguish stellate cells from hepatic fibroblast-derived myofibroblasts.
Loss of Cygb accelerates liver fibrosis and cancer development despite its
etiology in mouse models of chronic liver injury. This review discusses the
current perception of the distribution, regulation and function of Cygb with
regard to liver diseases, with an emphasis on its role in tumorigenesis. Further
investigation of Cygb may shed new light on the biology of organ carcinogenesis.
PMID- 26554616
TI - Retrospective Analysis of Protein- and Carbohydrate-Focused Diets Combined with
Exercise on Metabolic Syndrome Prevalence in Overweight and Obese Women.
AB - BACKGROUND: To examine the effect of protein-focused (PRO, 1.14 g/kg/day) and
carbohydrate-focused (CHO, ~2.2 g/kg/day) diets (~1600 kcals) combined with 10
weeks of circuit exercise training in sedentary overweight/obese women (N = 661,
age 46 +/- 11 years) on metabolic syndrome (MetS). METHODS: We retrospectively
analyzed eight exercise training studies performed from 2002-2014. Primary
(MetS), secondary (MetS z-scores and individual MetS components), and tertiary
outcomes [body mass index (BMI) by WHO cut points] were analyzed using chi
square, GLM, and McNemar's tests. RESULTS: Both groups experienced significant
weight loss, improvements in fitness, and reductions in MetS prevalence from
baseline to follow-up (PRO: 49% to 42%, CHO: 42% to 36%, both P < 0.01). MetS z
score improvement (~66.5%) was similar for both groups with no significant
between-group differences noted. There were also no significant differences for
individual component features between groups for the following: waist
circumference (-0.28 +/- 0.02 vs. -0.28 +/- 0.025 cm, P = 0.97), glucose (-0.07
+/- 0.03 vs. -0.08 +/- 0.04 mM, P = 0.87), triglycerides (-0.16 +/- 0.04 vs.
0.09 +/- 0.04 mM, P = 0.20), high-density lipoprotein cholesterol (-0.21 +/- 0.03
vs. -0.19 +/- 0.04 mM, P = 0.68), and systolic BP (-0.16 +/- 0.4 vs. -0.24 +/-
0.05 mmHg, P = 0.26). Diastolic BP showed a minor advantage for the PRO group (
0.14 +/- 0.05 vs. -0.30 +/- 0.05 mmHg P = 0.02). When stratified by BMI, those
with morbid obesity did not show a significant improvement in MetS while
following a PRO-focused diet; however, caution is warranted given the exploratory
nature of this analysis. CONCLUSIONS: Our findings suggest that a low-moderate
calorie diet partitioned for CHO and PRO preference is equally effective when
combined with a structured exercise program for reducing the prevalence of MetS
prevalence in overweight/obese women.
PMID- 26554617
TI - In vitro antimicrobial susceptibility of Helicobacter pylori to nine antibiotics
currently used in Central Italy.
AB - OBJECTIVE: Helicobacter pylori expresses an increased resistance in respect to
antimicrobials currently used in therapy. The aim of this study was to evaluate
the antimicrobial profiles of H. pylori isolates to nine conventional antibiotics
used in a Central Region (Abruzzo) of Italy. MATERIALS AND METHODS: Biopsies were
taken from antrum and fundus of 112 adult and 3 children with Urea Breath Test
positive with dyspeptic symptoms and analyzed for H. pylori culture and
antibacterial activity. Antimicrobial susceptibility tests were performed for
clarithromycin, metronidazole, levofloxacin, moxifloxacin, ciprofloxacin,
tetracycline, amoxicillin, ampicillin, and rifabutin by a modified agar dilution
susceptibility test. RESULTS: Bacterial culture was successful in 100 out of 115
patients. Helicobacter pylori strains were isolated from 98 antrum and 83 fundus
samples. The rate of recovery of H. pylori strains was 90.50% (181/200). The
percentages of resistance were as follows: clarithromycin 72.44% antrum, 72.28%
fundus; metronidazole 34.69% antrum, 42.16% fundus; levofloxacin 42.85% antrum,
53.01% fundus; moxifloxacin 37.35% antrum, 46.57% fundus; ciprofloxacin 39.47%
antrum, 44.28% fundus; tetracycline 2.63% antrum, 2.85% fundus; amoxicillin 1.02%
antrum, 1.20% fundus; ampicillin 0% antrum and fundus and rifabutin 0% antrum,
1.20% fundus. A total of 35 subjects harbored multi-resistant strains.
CONCLUSIONS: This study underlines the high rate of resistance to clarithromycin,
metronidazole and quinolones, which may reflect an overuse of them. Culture and
susceptibility test, should be performed to prevent the emergence of multi
resistance and to assess an efficacious regimen.
PMID- 26554618
TI - Histologic prognostic markers in stage IIA colorectal cancer: a comparative
study.
AB - OBJECTIVE: pTNM stage IIA colorectal cancer (CRC) is not currently submitted to
any adjuvant treatment due to its good prognosis. Nevertheless, a percentage of
cases unexpectedly recur. The aim of this study was to assess and compare the
prognostic value and inter-observer agreement of a novel histological grading
system based on the counting of poorly differentiated clusters (PDC) of cancer
cells and that of conventional histological grade, lymphatic, venous and
perineural invasion (LVI, VI, PNI), tumour budding (TB) and tumor border
configuration in stage IIA CRC. MATERIALS AND METHODS: the afore mentioned
histological parameters were assessed in 82 stage IIA CRCs. Inter-observer
agreement and correlation with tumour relapse were analyzed by using Fleiss
Cohen's weighted K statistics, Fisher exact test and Chi-squared test. The Mantel
Cox log-rank test was applied to assess the strength of association with disease
free interval (DFI). RESULTS: inter-observer agreement was very good/good in the
assessment of PDC presence and grade, while it was moderate at best in the
evaluation of the other parameters. The presence of PDC, high PDC grade, LVI and
TB were significantly associated with disease progression (p < 0.0001; p =
0.0012; p = 0.0308; p = 0.0002) and shorter DFI (p = 0.0001; p < 0.0001; p =
0.0129; p = 0.0008). PDC presence (p < 0.0001) and TB (p = 0.012) were
independent prognostic factors in multivariate analysis. CONCLUSIONS: our
findings suggest that the assessment of PDC may be useful to stratify patients
with stage IIA CRC for recurrence risk, and to identify high risk patients who
could benefit from adjuvant chemotherapy.
PMID- 26554619
TI - The role of routinely given hyoscine-N-butylbromide in colonoscopy: a double
blind, randomized, placebo-controlled, clinical trial.
AB - OBJECTIVE: Hyoscine-N-butylbromide (HBB) has been proposed to ease colonoscopy
and improve mucosal visualization, yet the results from previous studies are
conflicting. In our prospective, double-blind, placebo-controlled, randomized
study we aimed at evaluating whether routine administration of HBB, before and
during colonoscopy, ease the procedure or increase the detection rate for polyps.
MATERIAL AND METHODS: One hundred fifty outpatients scheduled for an elective
colonoscopy were randomized to receive intravenous injections of either 10 mg
hyoscine-N-butylbromide or saline before insertion and at cecum. Patient
tolerance and technical ease of colonoscopy were evaluated by visual analogue
scale (VAS). Procedure times were recorded. Number of detected polyps per patient
was evaluated as well. Heart rate was monitored with a pulse oximetry. RESULTS:
HBB did not improve patient tolerance or technically ease the procedure as
evaluated by VAS. However, HBB led to faster ileal intubation (1.5 vs 2.0 min, p
< 0.001) and shorter total procedure time (22.0 vs 24.0 min, p = 0.03). Patients
who received HBB also needed less often external abdominal pressure (48.6 vs
66.7%, p = 0.03). HBB did not improve polyp detection rate (0.89 vs 0.91, p =
0.90). HBB induced a significant rise in heart rate (p < 0.001) and more often
tachycardia (17.6 vs 0%, p < 0.001). CONCLUSIONS: Routine administration of HBB
before and during colonoscopy yields only limited improvement in the technical
performance of the examination compromised by high incidence of tachycardia.
PMID- 26554620
TI - An emerging issue in differential diagnosis of diarrhea: sprue-like enteropathy
associated with olmesartan.
PMID- 26554621
TI - Delayed neuroprotection against cerebral ischemia reperfusion injury: putative
role of BDNF and GSK-3beta.
AB - AIM: Numerous studies have demonstrated the possible neuroprotective role of
lithium treatment against neurological disorders. However, the role of lithium in
delayed phase of neuronal death against focal ischemia has not been explored.
Therefore, the present study was designed to investigate the effect and molecular
mechanisms of post-lithium treatment against cerebral ischemic reperfusion (I/R)
injury and associated cognitive deficits in rats. METHODS: I/R injury was induced
by right middle cerebral artery occlusion and lithium (40 and 60 mg/kg) were
given intraperitoneally, 24 h after the insult and continued for 1 week with 24-h
interval. Using Lasser Doppler, cerebral blood flow was monitored before, during
and after MCAO induction. Besides behavioral, biochemical, and histological
evaluation, levels of tumor necrosis factor alpha (TNF-alpha) and brain-derived
neurotrophic factor (BDNF) were also estimated. RESULTS: I/R injury resulted in
significant elevation of neurological deficits, oxidative stress,
neuroinflammation, and cognitive impairments. We found that lithium injection, 24
h after I/R-injury continued for 1 week, dose dependently prevented behavioral
abnormality and cognitive impairments. Moreover, lithium attenuated the levels of
oxidative stress and pro-inflammatory-cytokines TNF-alpha level. Further, lithium
treatments significantly reduced neuronal damage and augmented healthy neuronal
count and improved neuronal density in hippocampus. These neuroprotective effects
of delayed lithium treatment were associated with upregulation of neurotrophic
factor BDNF levels. CONCLUSION: Delayed lithium treatment provides
neuroprotection against cerebral I/R injury and associated cognitive deficits by
upregulating BDNF expression that opens a new avenue to treat I/R injury even
after active cell death.
PMID- 26554622
TI - An effective analytic method for detecting tissue-specific genes in RNA-seq
experiments.
AB - AIM: To develop an analytic method for identifying tissue-specific (TS) genes
from RNA-seq data. MATERIALS & METHODS: Based on a negative binomial
distribution, we develop a statistical method containing consecutive procedures
incorporating data variability from replicates in each tissue. RESULTS:
Simulations show that our approach can effectively identify at least 94% of the
truly TS genes if the sample size is 3 and at least 84% of the TS genes detected
by our method are truly TS genes. We illustrated the utility of our method in an
in-house RNA-seq project and produced sensible results. CONCLUSION: Our approach
not only directly works on discrete data but also naturally incorporates data
variability. It works effectively for detecting TS genes.
PMID- 26554623
TI - Things I Wish I Knew about Nursing Homes Before Working There.
PMID- 26554624
TI - A Case of Miliary Tuberculosis Presenting with Whitlow of the Thumb.
PMID- 26554625
TI - EDITOR'S STATEMENT.
PMID- 26554626
TI - Effect of Subchronic Intravenous Morphine Infusion and Naloxone-Precipitated
Morphine Withdrawal on P-gp and Bcrp at the Rat Blood-Brain Barrier.
AB - Chronic morphine regimen increases P-glycoprotein (P-gp) and breast cancer
resistance protein (Bcrp) expressions at the rat blood-brain barrier (BBB) but
what drives this effect is poorly understood. The objective of this study is to
assess subchronic continuous morphine infusion and naloxone-precipitated morphine
withdrawal effects on P-gp/Bcrp contents and activities at the rat BBB. Rats were
treated either with (i) a continuous i.v. morphine for 120 h, (ii) escalating
morphine dosing (10-40 mg/kg, i.p., 5 days), (iii) a chronic morphine regimen (10
mg/kg s.c., 5 days) followed by a withdrawal period (2 days) and treatment for 3
additional days. Animal behavior was assessed after naloxone-precipitated
withdrawal (1 mg/kg, s.c.). P-gp/Bcrp expressions and activities were determined
in brain microvessels by qRT-PCR, Western blot, UHPLC-MS/MS, and in situ brain
perfusion of P-gp or Bcrp substrates. Results show continuous i.v. morphine did
not change P-gp/Bcrp protein levels in rat brain microvessels, whereas naloxone
precipitated withdrawal after escalating or chronic morphine dose regimen
increased Mdr1a and Bcrp mRNA levels by 1.4-fold and 2.4-fold, respectively.
Conversely, P-gp/Bcrp protein expressions remained unchanged after naloxone
administration, and brain uptake of [3H]-verapamil (P-gp) and [3H]-mitoxantrone
(Bcrp) was not altered. The study concludes subchronic morphine infusion and
naloxone-precipitated morphine withdrawal have poor effect on P-gp/Bcrp levels at
the rat BBB.
PMID- 26554628
TI - Boundary Disturbances Revisited: An Application With an Apperception Test.
AB - A Rorschach study of boundary disturbance and thought disorder by Blatt and
Ritzler ( 1974 ) was replicated using the newly developed Picture Projection Test
(PPT), a modern alternative to the Thematic Apperception Test (TAT). Twenty-five
individuals with pathological Rorschach records were compared to 25 individuals
with nonpathological Rorschachs. A coding system for the PPT was devised using
concepts from the Blatt and Ritzler study and Rorschach thought disorder
variables from the Comprehensive System (Exner, 2003 ). The pathological group
showed significantly more boundary disturbance on the PPT. Only one Contamination
(the most severe manifestation of boundary disturbance and thought disorder)
appeared in the pathological group. The nonpathological group had no
Contamination responses. It is recommended that future researchers repeat the
study with a psychotic group compared to a nonpsychotic group.
PMID- 26554627
TI - A Screenable In Vivo Assay for Mitochondrial Modulators Using Transgenic
Bioluminescent Caenorhabditis elegans.
AB - The multicellular model organism Caenorhabditis elegans is a small nematode of
approximately 1 mm in size in adulthood that is genetically and experimentally
tractable. It is economical and easy to culture and dispense in liquid medium
which makes it well suited for medium-throughput screening. We have previously
validated the use of transgenic luciferase expressing C. elegans strains to
provide rapid in vivo assessment of the nematode's ATP levels.(1-3) Here we
present the required materials and procedure to carry out bioassays with the
bioluminescent C. elegans strains PE254 or PE255 (or any of their derivative
strains). The protocol allows for in vivo detection of sublethal effects of drugs
that may identify mitochondrial toxicity, as well as for in vivo detection of
potential beneficial drug effects. Representative results are provided for the
chemicals paraquat, rotenone, oxaloacetate and for four firefly luciferase
inhibitory compounds. The methodology can be scaled up to provide a platform for
screening drug libraries for compounds capable of modulating mitochondrial
function. Pre-clinical evaluation of drug toxicity is often carried out on
immortalized cancerous human cell lines which derive ATP mostly from glycolysis
and are often tolerant of mitochondrial toxicants.(4,5) In contrast, C. elegans
depends on oxidative phosphorylation to sustain development into adulthood,
drawing a parallel with humans and providing a unique opportunity for compound
evaluation in the physiological context of a whole live multicellular organism.
PMID- 26554629
TI - Race- and Sex-Specific Incidence Rates and Predictors of Total Knee Arthroplasty:
Seven-Year Data From the Osteoarthritis Initiative.
AB - OBJECTIVE: To determine race- and sex-specific rates of total knee arthroplasty
(TKA) and to document independent effects of demographic factors on TKA incidence
in a population with radiographically confirmed osteoarthritis (OA). METHODS: We
used data from the Osteoarthritis Initiative, a US-based, multicenter
longitudinal study of knee OA. We selected subjects with radiographic symptomatic
OA at baseline and determined TKA incidence rates (ratio of TKAs to time at risk
for TKA) over 84 months of followup. We used multivariable Poisson regression to
identify independent associations between demographic factors and TKA
utilization. RESULTS: During the study period there were 223 TKAs among 1,915
subjects for an incidence of 1.9% (95% confidence interval [95% CI] 1.7-2.2%).
The overall rate was 1.9% (95% CI 1.5-2.3%) in men versus 2.0% (95% CI 1.7-2.3%)
in women, and 2.2% (95% CI 1.9-2.6%) in whites versus 1.0% (95% CI 0.7-1.5%) in
nonwhites. We observed a statistically significant interaction between sex and
age (stratified at <65 and >=65 years at end of followup), wherein male sex was
associated with decreased risk of TKA for younger participants (relative risk
[RR] 0.32) but not for older participants. Nonwhite race was associated with a
decreased risk of TKA for both younger (RR 0.32) and older (RR 0.43)
participants. CONCLUSION: Our finding that nonwhites were less likely to undergo
TKA than whites in adjusted analyses confirms racial differences observed in
population-based studies and underscores the need for interventions to address
lower use of TKA among nonwhites with OA.
PMID- 26554630
TI - Tolerability, Safety, and Quality of Life with Tapentadol Prolonged Release (PR)
Compared with Oxycodone/Naloxone PR in Patients with Severe Chronic Low Back Pain
with a Neuropathic Component: A Randomized, Controlled, Open-label, Phase 3b/4
Trial.
AB - OBJECTIVE: To evaluate tolerability, safety, and quality-of-life outcomes in non
opioid-pretreated patients with severe chronic low back pain with a neuropathic
component receiving tapentadol PR vs. oxycodone/naloxone PR. METHODS: Eligible
patients (average pain intensity [numerical rating scale] >= 6; painDETECT
positive/unclear ratings) were randomized to twice-daily tapentadol PR 50 mg or
oxycodone/naloxone PR 10 mg/5 mg. After a 21-day titration (maximum twice-daily
doses: tapentadol PR 250 mg, or oxycodone/naloxone PR 40 mg/20 mg plus oxycodone
PR 10 mg), target doses were continued for 9 weeks. Change in the Patient
Assessment of Constipation Symptoms (PAC-SYM) total score from baseline to final
evaluation was a primary endpoint. RESULTS: For the primary tolerability-related
endpoint, the 97.5% exact repeated confidence interval for tapentadol PR minus
oxycodone/naloxone PR for the PAC-SYM total score was [-0.259, 0.121], showing
noninferiority (upper limit < 0.7). Incidences of constipation and vomiting were
significantly lower with tapentadol PR than oxycodone/naloxone PR (P <= 0.045).
Confirmatory superiority based on formal noninferiority was shown for the primary
effectiveness endpoint (change from baseline to final evaluation in pain
intensity) for tapentadol PR vs. oxycodone/naloxone PR (presented separately).
Improvements in the Short Form-12 physical component summary and EuroQol-5
Dimension health status index and health state assessment were significantly
greater with tapentadol PR vs. oxycodone/naloxone PR (P <= 0.024). CONCLUSIONS:
Tapentadol PR had a minimal impact on bowel function (noninferior to
oxycodone/naloxone PR) and, along with superior effectiveness (presented
separately), was associated with significantly lower incidences of constipation
and vomiting and significant improvements in quality-of-life measures vs.
oxycodone/naloxone PR.
PMID- 26554631
TI - Short Stature and Access to Lung Transplantation in the United States. A Cohort
Study.
AB - RATIONALE: Anecdotally, short lung transplant candidates suffer from long waiting
times and higher rates of death on the waiting list compared with taller
candidates. OBJECTIVES: To examine the relationship between lung transplant
candidate height and waiting list outcomes. METHODS: We conducted a retrospective
cohort study of 13,346 adults placed on the lung transplant waiting list in the
United States between 2005 and 2011. Multivariable-adjusted competing risk
survival models were used to examine associations between candidate height and
outcomes of interest. The primary outcome was the time until lung transplantation
censored at 1 year. MEASUREMENTS AND MAIN RESULTS: The unadjusted rate of lung
transplantation was 94.5 per 100 person-years among candidates of short stature
(<162 cm) and 202.0 per 100 person-years among candidates of average stature (170
176.5 cm). After controlling for potential confounders, short stature was
associated with a 34% (95% confidence interval [CI], 29-39%) lower rate of
transplantation compared with average stature. Short stature was also associated
with a 62% (95% CI, 24-96%) higher rate of death or removal because of clinical
deterioration and a 42% (95% CI, 10-85%) higher rate of respiratory failure while
awaiting lung transplantation. CONCLUSIONS: Short stature is associated with a
lower rate of lung transplantation and higher rates of death and respiratory
failure while awaiting transplantation. Efforts to ameliorate this disparity
could include earlier referral and listing of shorter candidates, surgical
downsizing of substantially oversized allografts for shorter candidates, and/or
changes to allocation policy that account for candidate height.
PMID- 26554632
TI - The Rexinoids LG100268 and LG101506 Inhibit Inflammation and Suppress Lung
Carcinogenesis in A/J Mice.
AB - LG101506 was originally synthesized to overcome some of the undesirable side
effects of rexinoids. We compared the anticarcinogenic action of LG101506 and
LG100268 and for the first time showed that both drugs are useful for prevention
of lung cancer in A/J mice. These molecules markedly reduced tumor number, tumor
size, and total tumor burden, when chronically administered to A/J mice that had
been initiated with the mutagenic carcinogen, vinyl carbamate. Moreover, LG100268
synergized with the histone deacetylase inhibitor, vorinostat, for prevention of
experimental lung cancer and enhanced the effect of carboplatin/paclitaxel for
treatment of experimental lung cancer. Both rexinoids diminished the percentage
of high-grade, highly malignant adenocarcinomas found at autopsy. In cell culture
studies, the rexinoids exhibited potent anti-inflammatory properties at nanoMolar
concentrations. These drugs suppressed the ability of lipopolysaccharide to
stimulate the synthesis and secretion of nitric oxide and inflammatory cytokines
and chemokines, such as IL6, IL1beta, CXCL2, and CSF3, in macrophage-like
RAW264.7 cells. The present results suggest that LG100268, LG101506, or a related
rexinoid may have useful clinical applications in the field of oncology.
PMID- 26554633
TI - Acrolein Levels in e-Cigarettes-Letter.
PMID- 26554634
TI - Concentrations of levonorgestrel and ethinylestradiol in wastewater effluents: Is
the progestin also cause for concern?
AB - Synthetic hormones have been widely reported in treated sewage effluents, and
consequently receiving aquatic environments. Ethinylestradiol (EE2) is a potent
synthetic estrogen commonly used in conjunction with levonorgestrel in oral
contraceptive pills. Both EE2 and levonorgestrel have been identified in the
aquatic environment, but although there is a significant amount of literature on
EE2, there is much less information on levonorgestrel. Using Australian
prescription data as well as excretion and predicted wastewater removal rates,
the concentrations of EE2 and levonorgestrel in Australian wastewater were
calculated at 0.1 ng/L to 0.5 ng/L and 0.2 ng/L to 0.6 ng/L, respectively. Both
compounds were analyzed in treated wastewater and surface water grab samples from
3 Southeast Queensland, Australia sites. The predicted no-effect concentration
(PNEC) for EE2 of 0.1 ng/L was exceeded at most sites, with EE2 concentrations up
to 2 ng/L in treated effluent, albeit quickly diluted to 0.1 ng/L to 0.2 ng/L in
the receiving environment. A provisional PNEC for levonorgestrel of 0.1 ng/L
derived in the present study was slightly lower than predicted effluent
concentrations of 0.2 ng/L to 0.6 ng/L, indicating a potential risk of endocrine
related effects in exposed aquatic species. The detection limit for
levonorgestrel in the present study was 2.5 ng/L, and all samples were below
detection limit. The present study's results suggest that improvements in
analytical capabilities for levonorgestrel are warranted to more accurately
quantify the risk of this compound in the receiving environment. Environ Toxicol
Chem 2016;35:1378-1385. (c) 2015 SETAC.
PMID- 26554635
TI - Restraint of Trophoblast Invasion of the Uterus by Decorin: Role in Pre
eclampsia.
AB - Decorin (DCN) is a leucine-rich, TGF-beta binding proteoglycan produced by
mesenchymal cells including chondrocytes, dermal fibroblasts, and uterine
decidual cells. It exerts multiple physiological functions including collagen
fibrillogenesis, myogenesis, angiostasis, and restraining placental invasiveness.
We discovered that decidua-derived DCN restrains proliferation, migration, and
invasion of extravillous trophoblast (EVT) cells of the human placenta in a TGF
beta-independent manner. These functions were differentially mediated by binding
of DCN to multiple tyrosine kinase receptors (TKR) including EGFR, IGFR1, and
VEGFR2. DCN blocked VEGFR-2 dependent EVT cell migration and endovascular
differentiation by inhibiting P38MAPK and ERK1/2 pathways.We identified the avid
VEGFR2 binding site in DCN protein as a 12 amino acids (LGTNPLKSSGIE) span in the
Leucine-rich-repeat (LRR) 5 region of domain III. A single amino acid mutation
(substitution of K to A) of DCN at this site abrogated VEGFR-2- dependent DCN
actions. Also, DCN mRNA expression, measured with in situ hybridization, was
selectively upregulated in decidual cells in placentas from mothers suffering
from pre-eclampsia (PE), whereas the expression levels remained unchanged in
chorionic villus mesenchymal cells. This difference between PE and control
placentas was present at all gestational ages, indicating the pathogenic role of
DCN in PE. We hypothesize that increased blood DCN levels could be a candidate
biomarker for PE.
PMID- 26554636
TI - Predictors affecting breast self-examination practice among undergraduate female
students in Klang Valley, Malaysia.
AB - AIM: Breast cancer is the most common cancer among women worldwide, including
Malaysia. In developing countries, predictors affecting breast self-examination
(BSE) practice are different. This cross-sectional study was conducted to
determine the prevalence of BSE practice and the predictors affecting BSE
practice among undergraduate female students in Klang Valley, Malaysia. MATERIAL
AND METHODS: A cross-sectional study was conducted among 820 female undergraduate
students to assess the BSE performance and related determinants of BSE practice
in Klang Valley, Malaysia. Data were collected via a self-administered structured
questionnaire that was developed for this study. RESULTS: The mean age of the
respondents was 21.7 +/- 1.2 years old. Most of them were single (96.8%), Malay
(91.9%) and 19.6% of the participants performed BSE regularly. Multivariate
logistic regression modeling revealed that BSE performance was more likely among
women who have checked their breast with a doctor (odds ratio = 2.04, P = 0.00),
and women who have personal history of breast disease (odds ratio = 4.43, P =
0.03). CONCLUSION: The findings showed a low BSE practice rate among young
Malaysian women. Hence, the community's breast health awareness is needed to
improve breast cancer prevention among young Malaysian women.
PMID- 26554637
TI - Derivation and External Validation of a Prediction Rule for Five-Year Mortality
in Patients With Early Diffuse Cutaneous Systemic Sclerosis.
AB - OBJECTIVE: Although diffuse cutaneous systemic sclerosis (dcSSc) is associated
with a reduction in life expectancy, there are no validated prognostic models for
determining 5-year mortality in patients with dcSSc. The objective of this study
was to derive and validate a rule for predicting 5-year mortality in patients
with early dcSSc. METHODS: We studied an inception cohort of 388 US Caucasian
patients with early dcSSc (<2 years from the appearance of the first symptom).
Predefined baseline variables were analyzed in a stepwise logistic regression
model in order to identify factors independently associated with 5-year all-cause
mortality. We rounded the beta weights to the nearest integer and summed the
points assigned to each variable in order to stratify patients into low-risk (<0
points), moderate-risk (1-2 points), and high-risk (>=3 points) groups. We then
applied this rule to an external validation cohort of 144 Caucasian patients with
early dcSSc from the Royal Free Hospital cohort and compared stratum-specific 5
year mortality. RESULTS: Six independent predictors (rounded beta weight)
comprised the model: age at first visit (points allotted: -1, 0, or 1), male sex
(points allotted: 0 or 1), tendon friction rubs (points allotted: 0 or 1),
gastrointestinal involvement (points allotted: 0 or 1), RNA polymerase III
antibodies (points allotted: 0 or 1), and anemia (points allotted: 0 or 1). The 3
level risk stratification model performed well, with no significant differences
between the US derivation cohort and the UK validation cohort. CONCLUSION: We
derived and externally validated, in US and UK cohorts, an easy-to-use 6-variable
prediction rule that assigns low-risk, moderate-risk, and high-risk categories
for 5-year mortality in patients with early dcSSc. Only history, physical
examination, and basic laboratory assessments are required.
PMID- 26554638
TI - Integrating theory into disturbance interaction experiments to better inform
ecosystem management.
AB - Managing multiple, interacting disturbances is a key challenge to biodiversity
conservation, and one that will only increase as global change drivers continue
to alter disturbance regimes. Theoretical studies have highlighted the importance
of a mechanistic understanding of stressor interactions for improving the
prediction and management of interactive effects. However, many conservation
studies are not designed or interpreted in the context of theory and instead
focus on case-specific management questions. This is a problem as it means that
few studies test the relationships highlighted in theoretical models as being
important for ecological management. We explore the extent of this problem among
studies of interacting disturbances by reviewing recent experimental studies of
the interaction between fire and grazing in terrestrial ecosystems. Interactions
between fire and grazing can occur via a number of pathways; one disturbance can
modify the other's likelihood, intensity or spatial distribution, or one
disturbance can alter the other's impacts on individual organisms. The strength
of such interactions will vary depending on disturbance attributes (e.g. size or
intensity), and this variation is likely to be nonlinear. We show that few
experiments testing fire-grazing interactions are able to identify the
mechanistic pathway driving an observed interaction, and most are unable to
detect nonlinear effects. We demonstrate how these limitations compromise the
ability of experimental studies to effectively inform ecological management. We
propose a series of adjustments to the design of disturbance interaction
experiments that would enable tests of key theoretical pathways and provide the
deeper ecological understanding necessary for effective management. Such
considerations are relevant to studies of a broad range of ecological
interactions and are critical to informing the management of disturbance regimes
in the context of accelerating global change.
PMID- 26554639
TI - The reality of cancer treatment in a developing country: the effects of delayed
TKI treatment on survival, cytogenetic and molecular responses in chronic myeloid
leukaemia patients.
AB - Cancer patients in developing and low-income countries have limited access to
target therapies. For example, tyrosine kinase inhibitor (TKI) therapy for
chronic myeloid leukaemia patients (CML) is often delayed. In Bosnia, 16% of
patients received immediate TKI treatment (<3 months of diagnosis), while 66% of
patients received therapy after a median 14-month wait period. To assess the
effect of delayed treatment on outcome, three patient groups were studied
according to the time they received TKI treatment (0-5 months, 6-12 months and
>13 months delay). The primary endpoints were complete cytogenetic (CCyR) and
major molecular response (MMR) at 12 months. At 12 months of therapy, CCyR and
MMR rates on imatinib decreased significantly: CCyR was achieved in 67% of
patients in the immediate imatinib treatment group, 18% of patients in 6-12
months group and 15% of patients in >13 months wait group. MMR rates at 12 months
occurred in 10% of patients with immediate treatment, 6% of those in 6-12 months
group and 0% of patients in >13 months wait group. However, CCyR and MMR rates in
patients on nilotinib were not associated with duration of treatment delay. Our
data suggests that the deleterious effect of a prolonged TKI therapy delay may be
ameliorated by the more active TKI nilotinib.
PMID- 26554640
TI - Insights from Cardiac Mechanics after Three Decades from Successfully Repaired
Aortic Coarctation.
AB - BACKGROUND AND AIMS: Patients who underwent a successful repair of the aortic
coarctation show chronic hyperdynamic state and normal left ventricular (LV)
geometry; however, there are few data regarding the LV systolic function in the
long term. Accordingly, we assessed LV systolic mechanics and factors associated
with LV systolic dysfunction (LVSD) in patients with repaired CoA. METHODS:
Clinical and echocardiographic data from 19 repaired CoA were analyzed 28 +/- 13
years after surgery. Stress-corrected midwall shortening (sc-MS) and mitral
annular peak systolic velocity (S') were analyzed as indexes of LV
circumferential and longitudinal systolic function, respectively.
Echocardiographic data of CoA patients were compared with 19 patients matched for
age and hypertension and 38 healthy controls. Sc-MS was considered impaired if
<89%, S' if <8.5 cm/s (10th percentiles of healthy controls, respectively).
RESULTS: There were no statistical differences between study groups in LV
volumes, mass and geometry. LV ejection fraction and Sc-MS were similar in all
groups, however, CoA group had a significantly lower peak S' in comparison with
matched and healthy controls (7.1 +/- 1.3, 10.3 +/- 1.9, and 11.1 +/- 1.5,
respectively; all P < 0.001). Prevalence of longitudinal LVSD defined as low S'
was 84% in CoA, 13% in matched, and 5% in healthy control group (all P<0.05).
Multivariate logistic regression analysis revealed that low peak S' was
independently related to higher E/E' ratio and the presence of CoA. CONCLUSIONS:
Patients who underwent a successful repair of CoA commonly show asymptomatic
longitudinal LVSD associated with worse LV diastolic function in the long-term
follow-up.
PMID- 26554641
TI - The Ontology of Determination: From Descartes to Spinoza.
AB - This paper argues that Spinoza's notions of "conatus" and "power of acting" are
derived by means of generalization from the notions of "force of motion" and
"force of determination" that Spinoza discussed in his Principles of Cartesian
Philosophy to account for interactions among bodies (impacts) on the basis of
their degrees of contrariety. I argue that in the Ethics, Spinoza's ontology
entails that interactions must always be accounted for in terms of degrees of
"agreement or disagreement in nature" among interacting things. The notion of
"power of acting" is used to express the extent to which a thing's conatus is
aided or restrained by external causes on the basis of its degree of agreement or
disagreement in nature with them. "Power of acting" generalizes the same approach
and method of resolution at the basis of the notion of "force of determination"
in order to account for causal interactions not only among the simplest bodies
but also among more complex individuals.
PMID- 26554642
TI - Polemics in Public: Poncelet, Gergonne, Plucker, and the Duality Controversy.
AB - A plagiarism charge in 1827 sparked a public controversy centered between Jean
Victor Poncelet (1788-1867) and Joseph-Diez Gergonne (1771-1859) over the origin
and applications of the principle of duality in geometry. Over the next three
years and through the pages of various journals, monographs, letters, reviews,
reports, and footnotes, vitriol between the antagonists increased as their
potential publicity grew. While the historical literature offers valuable
resources toward understanding the development, content, and applications of
geometric duality, the hostile nature of the exchange seems to have deterred an
in-depth textual study of the explicitly polemical writings. We argue that the
necessary collective endeavor of beginning and ending this controversy
constitutes a case study in the circulation of geometry. In particular, we
consider how the duality controversy functioned as a medium of communicating new
fundamental principles to a wider audience of practitioners.
PMID- 26554643
TI - Keeping a House for Science: Sofia Kristensson as Matriarch and Gatekeeper at
Kristineberg Zoological Station as a Scientific Household, 1877-1889.
AB - Field research stations are households as a result of allegoric notions of the
scientific family, and because they fulfill the purpose of a home in the field in
a literal sense. They meet the practical and physical need for bed and board, as
well as the emotional and intellectual need for social cohesion. I argue that
this, in combination with local gender identity, opened the door for a woman of
lower social strata, the daughter of a fisherman, to take upon herself the role
as station household matriarch, thus gaining an integral role within an inner
circle of influential scientists. Secondly, I argue that locally employed members
of the research station were valued primarily for their social skills. For the
sake of ensuring necessary conditions for scientific work, being abrasive was
just as important as being agreeable.
PMID- 26554644
TI - The Boussinesq Debate: Reversibility, Instability, and Free Will.
AB - In 1877, a young mathematician named Joseph Boussinesq presented a memoire to the
Academie des sciences which demonstrated that some differential equations may
have more than one solution. Boussinesq linked this fact to indeterminism and to
a possible solution to the free will versus determinism debate. Boussinesq's main
interest was to reconcile his philosophical and religious views with science by
showing that matter and motion do not suffice to explain all there is in the
world. His argument received mixed criticism that addressed both his
philosophical views and the scientific content of his work, pointing to the
physical "realisticness" of multiple solutions. While Boussinesq proved to be
able to face the philosophical criticism, the scientific objections became a
serious problem, thus slowly moving the focus of the debate from the
philosophical plane to the scientific one. This change of perspective implied a
wide discussion on topics such as instability, the sensitivity to initial
conditions, and the conservation of energy. The Boussinesq debate is an example
of a philosophically motivated debate that transforms into a scientific one, an
example of the influence of philosophy on the development of science.
PMID- 26554645
TI - Volterra, Fascism, and France.
AB - My contribution focuses on two aspects strictly related each other. On one hand,
the progressive marginalization of Volterra from Italian scientific and political
life after the rise of Fascism - because of his public anti-Fascist stance, both
as a senator and as a professor - until his definitive exclusion on racial
grounds in 1938. On the other hand, the reactions of his French colleagues and
friends to this ostracism, and the support he received from them. As it emerges
from several sources (Volterra's correspondence, institutional documentation,
conference proceedings, etc.), it was mainly thanks to their support that he was
able to escape the complete isolation and the "civil death" to which the regime
condemned many of its adversaries.
PMID- 26554646
TI - Models of Temporal Discounting 1937-2000: An Interdisciplinary Exchange between
Economics and Psychology.
AB - Today's models of temporal discounting are the result of multiple
interdisciplinary exchanges between psychology and economics. Although these
exchanges did not result in an integrated discipline, they had important effects
on all disciplines involved. The paper describes these exchanges from the 1930s
onwards, focusing on two episodes in particular: an attempted synthesis by
psychiatrist George Ainslie and others in the 1970s; and the attempted
application of this new discounting model by a generation of economists and
psychologists in the 1980s, which ultimately ended in the diversity of
measurements disappointment. I draw four main conclusions. First, multiple
notions of temporal discounting must be conceptually distinguished. Second,
behavioral economics is not an integration or unification of psychology and
economics. Third, the analysis identifies some central disciplinary markers that
distinguish modeling strategies in economics and psychology. Finally, it offers a
case of interdisciplinary success that does not fit the currently dominant
account of interdisciplinarity as integration.
PMID- 26554647
TI - Gastrointestinal, influenza-like illness and dermatological complaints following
exposure to floodwater: a cross-sectional survey in The Netherlands.
AB - Extreme rainfall events may cause pluvial flooding, increasing the transmission
of several waterborne pathogens. However, the risk of experiencing clinically
overt infections following exposure to pluvial floodwater is poorly estimated. A
retrospective cross-sectional survey was performed to quantify the occurrence of
self-reported gastrointestinal, influenza-like illness (ILI) and dermatological
complaints, and the frequency of visits to the general practitioner (GP), during
a 4-week observation period following pluvial flooding at seven locations in The
Netherlands. Questionnaires were sent to 817 flooded households, 149 (17%) of
which returned the questionnaire reporting information for 199 participants.
Contact with floodwater was significantly associated with increased occurrence of
gastrointestinal [odds ratio (OR 4.44)], ILI (OR 2.75) and dermatological (OR
6.67) complaints, and GP visits (OR 2.72). Having hand contact with floodwater
was associated with gastrointestinal and dermatological complaints, whereas ILI
complaints were associated with being engaged in post-flooding cleaning
operations and having walked/cycled through floodwater. This study shows that
floodwater-associated diseases occur in urban settings following extreme rainfall
events in a high-income country. As pluvial floods are expected to escalate in
the future due to global climate change, further research is warranted to
determine the disease burden of pluvial flooding and to assess the effect of
different interventions, including raising awareness among stakeholders.
PMID- 26554648
TI - Overexpression of major CDKN3 transcripts is associated with poor survival in
lung adenocarcinoma.
AB - BACKGROUND: The cyclin-dependent kinase inhibitor 3 (CDKN3) has been perceived as
a tumour suppressor. Paradoxically, CDKN3 is often overexpressed in human cancer.
It was unclear if CDKN3 overexpression is linked to alternative splicing variants
or mutations that produce dominant-negative CDKN3. METHODS: We analysed CDKN3
expression and its association with patient survival in three cohorts of lung
adenocarcinoma. We also examined CDKN3 mutations in the Cancer Genome Atlas
(TCGA) and the Moffitt Cancer Center's Total Cancer Care (TCC) projects. CDKN3
transcripts were further analysed in a panel of cell lines and lung
adenocarcinoma tissues. CDKN3 mRNA and protein levels in different cell cycle
phases were examined. RESULTS: CDKN3 is overexpressed in non small cell lung
cancer. High CDKN3 expression is associated with poor overall survival in lung
adenocarcinoma. Two CDKN3 transcripts were detected in all samples. These CDKN3
transcripts represent the full length CDKN3 mRNA and a normal transcript lacking
exon 2, which encodes an out of frame 23-amino acid peptide with little homology
to CDKN3. CDKN3 mutations were found to be very rare. CDKN3 mRNA and protein were
elevated during the mitosis phase of cell cycle. CONCLUSIONS: CDKN3
overexpression is prognostic of poor overall survival in lung adenocarcinoma.
CDKN3 overexpression in lung adenocarcinoma is not attributed to alternative
splicing or mutation but is likely due to increased mitotic activity, arguing
against CDKN3 as a tumour suppressor.
PMID- 26554649
TI - Phase I trial of EpCAM-targeting immunotoxin MOC31PE, alone and in combination
with cyclosporin.
AB - BACKGROUND: A phase I trial was performed to determine the maximum tolerated dose
(MTD), safety, pharmacokinetics and immunogenicity of the anti-EpCAM immunotoxin
(IT) MOC31PE in cancer patients. An important part of the study was to
investigate whether the addition of Sandimmune (cyclosporin, CsA) suppressed the
development of anti-IT antibodies. METHODS: Patients with EpCAM-positive
metastatic disease were eligible for treatment with intravenous MOC31PE using a
modified Fibonacci dose escalation sequence. Maximum tolerated dose was first
established without, then with intravenously administered CsA. RESULTS: Sixty
three patients were treated with MOC31PE in doses ranging from 0.5 to 8 MUg kg(
1). Maximum tolerated dose was 8 MUg kg(-1) for MOC31PE alone, and 6.5 MUg kg(-1)
when combined with CsA. The dose-limiting adverse event was reversible liver
toxicity. No radiological complete or partial responses were observed, whereas
stable disease was seen in 36% of the patients receiving MOC31PE only. The
pharmacokinetic profile of MOC31PE was characterised by linear kinetics and with
a half-life of ~3 h. The addition of CsA delayed the generation of anti-IT
antibodies. CONCLUSIONS: Intravenous infusion of MOC31PE can safely be
administered to cancer patients. Immune suppression with CsA delays the
development of anti-MOC31PE antibodies. The antitumour effect of MOC31PE warrants
further evaluation in EpCAM-positive metastatic disease.
PMID- 26554650
TI - Age as an independent prognostic factor for survival of localised synovial
sarcoma patients.
AB - BACKGROUND: We performed a retrospective nationwide study to explore age as a
prognostic factor in synovial sarcoma patients. METHODS: Data on 613 synovial
sarcoma patients were obtained from the Netherlands Cancer Registry. The
prognostic relevance of age groups (children, adolescent and young adults (AYAs),
adults, and elderly) was estimated by Kaplan-Meier survival curves and
multivariable Cox-proportional hazards modelling. RESULTS: A total of 461
patients had localised disease at diagnosis. The 5-year overall survival (OS) was
89.3+/-4.6%, 73.0+/-3.8%, 54.7+/-3.6%, and 43.0+/-7.0% in children (n=54), AYAs
(n=148), adults (n=204), and elderly (n=55), respectively. Treatment modalities
had no significant effect on survival in the univariable analysis. Multivariable
analysis identified age at diagnosis, tumour localisation, and tumour size as
significant factors affecting OS. Both tumour localisation and size were equally
distributed over the age groups. CONCLUSIONS: We show that outcome of synovial
sarcoma patients significantly decreases with age regardless of primary tumour
site, size, and treatment.
PMID- 26554651
TI - A phase I combination dose-escalation study of eribulin mesylate and gemcitabine
in patients with advanced solid tumours: a study of the Princess Margaret
Consortium.
AB - BACKGROUND: Eribulin mesylate is a synthetic microtubule inhibitor that showed
cytotoxic synergy in combination with gemcitabine preclinically. This combination
was assessed in a Phase I dose-finding trial in patients diagnosed with advanced
solid tumours who had received up to two prior chemotherapy regimens for
metastatic disease (CP cohort). METHODS: Dose escalation was performed in a 3+3
design to identify the recommended phase II dose (RP2D). Two additional expansion
cohorts in women with gynaecologic cancers at the RP2D (G), and further dose
escalation of metastatic chemotherapy-naive patients (CN), were evaluated.
RESULTS: 45 patients were treated: 21 (CP), 10 (G) and 14 (CN). The initial
combination of eribulin and gemcitabine was administered on days 1, 8, and 15 of
a 28-day cycle; however, due to 2 out of 6 dose-limiting haematological
toxicities at the first dose level, a reduced dose-intense schedule was assessed.
The RP2D was defined at 1.0 mg m(-2) eribulin and 1000 mg m(-2) gemcitabine day 1
and 8 q3 weeks. No other significant toxicities were observed in the G expansion
cohort. Neutropenia prevented further dose escalation in the CN cohort. Objective
responses were seen in all three cohorts - 2/21 (CP), 1/10 (G) and 2/14 (CN).
CONCLUSIONS: The combination of eribulin and gemcitabine was well tolerated at
the RP2D.
PMID- 26554653
TI - Magnesium intake and incidence of pancreatic cancer: the VITamins and Lifestyle
study.
AB - BACKGROUND: Studies document that magnesium is inversely associated with the risk
of diabetes, which is a risk factor of pancreatic cancer. However, studies on the
direct association of magnesium with pancreatic cancer are few and findings are
inconclusive. In this study, we aimed to investigate the longitudinal association
between magnesium intake and pancreatic cancer incidence in a large prospective
cohort study. METHOD: A cohort of 66,806 men and women aged 50-76 years at
baseline who participated in the VITamins And Lifestyle (VITAL) study was
followed from 2000 to 2008. Multivariable-adjusted Cox regression models were
used to estimate hazard ratios (HRs) and 95% confidence intervals (CIs) of
pancreatic cancer incidence by magnesium intake categories. RESULT: During an
average of 6.8-year follow-up, 151 participants developed pancreatic cancer.
Compared with those who met the recommended dietary allowance (RDA) for magnesium
intake, the multivariable-adjusted HRs (95% CIs) for pancreatic cancer were 1.42
(0.91, 2.21) for those with magnesium intake in the range of 75-99% RDA and 1.76
(1.04, 2.96) for those with magnesium intake <75% RDA. Every 100 mg per day
decrement in magnesium intake was associated with a 24% increase in the incidence
of pancreatic cancer (HR: 1.24; 95% CI: 1.02, 1.50; P(trend)=0.03). The observed
inverse associations appeared not to be appreciably modified by age, gender, body
mass index, and non-steroidal anti-inflammatory drug use but appeared to be
limited to those taking magnesium supplementation (from multivitamins or
individual supplement). CONCLUSIONS: Findings from this prospective cohort study
indicate that magnesium intake may be beneficial in terms of primary prevention
of pancreatic cancer.
PMID- 26554652
TI - Complications of hyperglycaemia with PI3K-AKT-mTOR inhibitors in patients with
advanced solid tumours on Phase I clinical trials.
AB - BACKGROUND: PI3K-AKT-mTOR inhibitors (PAMi) are promising anticancer treatments.
Hyperglycaemia is a mechanism-based toxicity of these agents and is becoming
increasingly important with their use in larger numbers of patients. METHODS:
Retrospective case-control study comparing incidence and severity of
hyperglycaemia (all grades) between a case group of 387 patients treated on 18
phase I clinical trials with PAMi (78 patients with PI3Ki, 138 with mTORi, 144
with AKTi and 27 with PI3K/mTORi) and a control group of 109 patients treated on
10 phase I clinical trials with agents not directly targeting the PAM pathway.
Diabetic patients were excluded in both groups. RESULTS: The incidence of
hyperglycaemia was not significantly different between cases and controls (86.6%
vs 80.7%, respectively, P=0.129). However, high grade (grade 3-4) hyperglycaemia
was more frequent in the PAMi group than in controls (6.7% vs 0%, respectively,
P=0.005). The incidence of grade 3-4 hyperglycaemia was greater with AKT and
multikinase inhibitors compared with other PAMi (P<0.001). All patients with high
grade hyperglycaemia received antihyperglycemic treatment and none developed
severe metabolic complications (diabetic ketoacidosis or hyperosmolar
hyperglycemic nonketotic state). High-grade hyperglycaemia was the cause of
permanent PAMi discontinuation in nine patients. CONCLUSIONS: PI3K-AKT-mTOR
inhibitors are associated with small (6.7%) but statistically significant
increased risk of high-grade hyperglycaemia compared with non-PAM targeting
agents. However, PAMi-induced hyperglycaemia was not found to be associated with
severe metabolic complications in this non-diabetic population of patients with
advanced cancers.
PMID- 26554654
TI - Diagnosis of pathological complete response to neoadjuvant chemotherapy in breast
cancer by minimal invasive biopsy techniques.
AB - BACKGROUND: Neoadjuvant chemotherapy (NACT) is widely used as an efficient breast
cancer treatment. Ideally, a pathological complete response (pCR) can be
achieved. Up to date, there is no reliable way of predicting a pCR. For the first
time, we explore the ability of minimal invasive biopsy (MIB) techniques to
diagnose pCR in patients with clinical complete response (cCR) to NACT in this
study. This question is of high clinical relevance because a reliable pCR
prediction could have direct implications for clinical practice. METHODS: In all,
164 patients were included in this review-board approved, multicenter pooled
analysis of prospectively assembled data. Core-cut (CC)-MIB or vacuum-assisted
(VAB)-MIB were performed after NACT and before surgery. Negative predictive
values (NPV) and false-negative rates (FNR) to predict a pCR in surgical specimen
(diagnose pCR through MIB) were the main outcome measures. RESULTS: Pathological
complete response in surgical specimen was diagnosed in 93 (56.7%) cases of the
whole cohort. The NPV of the MIB diagnosis of pCR was 71.3% (95% CI: (63.3%;
79.3%)). The FNR was 49.3% (95% CI: (40.4%; 58.2%)). Existence of a clip marker
tended to improve the NPV (odds ratio 1.98; 95% CI: (0.81; 4.85)). None of the
mammographically guided VABs (n=16) was false-negative (FNR 0%, NPV 100%).
CONCLUSIONS: Overall accuracy of MIB diagnosis of pCR was insufficient to suggest
changing clinical practice. However, subgroup analyses (mammographically guided
VABs) suggest a potential capacity of MIB techniques to precisely diagnose pCR
after NACT. Representativity of MIB could be a crucial factor to be focused on in
further analyses.
PMID- 26554656
TI - The presence of lymphovascular and perineural infiltration after neoadjuvant
therapy and oesophagectomy identifies patients at high risk for recurrence.
AB - BACKGROUND: In patients treated for oesophageal cancer the importance of
lymphovascular and perineural invasion (PNI) after neoadjuvant therapy has yet to
be established. The aim of this study was to assess the incidence and prognostic
significance of these factors in a consecutive series of patients with cancer of
the oesophagus or gastro-oesophageal junction (GOJ) who underwent neoadjuvant
therapy followed by oesophagectomy. METHODS: Clinical and pathology results from
patients with potentially curable adenocarcinoma, or squamous cell carcinoma of
the oesophagus or GOJ were reviewed. Patients were treated with neoadjuvant
chemotherapy or chemoradiation followed by transthoracic oesophagectomy and two
field lymphadenectomy. The presence of venous invasion (VI), lymph vessel
invasion (LI) and perineural invasion (PNI) were correlated with clinical
outcomes. RESULTS: A total of 396 patients underwent oesophagectomy after
neoadjuvant therapy for oesophageal cancer. Venous invasion was identified in 150
(38%) of patients, LI in 203 (51%) patients and PNI in 204 (52%) patients. In
all, 123 (31%) patients had no evidence of either VI, LI or PNI. A total of 96
(24%) had a combination of two factors and 94 (24%) had all three factors. The
presence of VI, LI and PNI was significantly related to tumour stage (P=0.001).
Median overall survival was 170.8 months when all three factors were absent, 44.0
months when one factor was present, 27.1 months when two factors were present and
16.0 months when all were present. Multivariate analyses revealed VI, LI and PNI
or a combination of these factors were independent predictors of prognosis.
CONCLUSIONS: In oesophageal cancer patients treated with neoadjuvant therapy
followed by oesophagectomy the presence of VI, LI and PNI has an important
prognostic impact and may identify patients at high risk of recurrence who would
benefit from adjuvant therapies.
PMID- 26554655
TI - Reproductive factors and epithelial ovarian cancer survival in the EPIC cohort
study.
AB - BACKGROUND: Reproductive factors influence the risk of developing epithelial
ovarian cancer (EOC), but little is known about their association with survival.
We tested whether prediagnostic reproductive factors influenced EOC-specific
survival among 1025 invasive EOC cases identified in the European Prospective
Investigation into Cancer and Nutrition (EPIC) study, which included 521,330
total participants (approximately 370,000 women) aged 25-70 years at recruitment
from 1992 to 2000. METHODS: Information on reproductive characteristics was
collected at recruitment. Cox proportional hazards regression models were used to
estimate hazard ratios (HRs) and 95% confidence intervals (CIs), and
multivariable models were adjusted for age and year of diagnosis, body mass
index, tumour stage, smoking status and stratified by study centre. RESULTS:
After a mean follow-up of 3.6 years (+/-3.2 s.d.) following EOC diagnosis, 511
(49.9%) of the 1025 women died from EOC. We observed a suggestive survival
advantage in menopausal hormone therapy (MHT) users (ever vs never use, HR=0.80,
95% CI=0.62-1.03) and a significant survival benefit in long-term MHT users (?5
years use vs never use, HR=0.70, 95% CI=0.50-0.99, P(trend)=0.04). We observed
similar results for MHT use when restricting to serous cases. Other reproductive
factors, including parity, breastfeeding, oral contraceptive use and age at
menarche or menopause, were not associated with EOC-specific mortality risk.
CONCLUSIONS: Further studies are warranted to investigate the possible
improvement in EOC survival in MHT users.
PMID- 26554657
TI - Prognostic importance of CDK4/6-specific activity as a predictive marker for
recurrence in patients with endometrial cancer, with or without adjuvant
chemotherapy.
AB - BACKGROUND: Pathologically low-risk endometrial cancer patients do not receive
postoperative treatment; however, 10-15% of these patients show recurrence with
poor prognosis. We evaluated the clinical importance of cyclin-dependent kinase
4/6 (CDK4/6) activity, and its significance as a novel biomarker for the
prognosis and chemo-sensitivity of endometrioid endometrial carcinoma (EEC).
METHODS: Cyclin-dependent kinase 4/6 expression and enzyme activity in 109 tumour
samples from patients with EEC were examined with a cell-cycle profiling (C2P)
assay. CDK4/6-specific activity (CDK4/6SA) was determined, and its relationship
with clinicopathological factors and expression of Ki-67 was analysed. RESULTS:
CDK4/6-specific activity was significantly correlated with Ki-67 (P=0.035), but
not with any other clinicopathological characteristics. CDK4/6SA was
significantly higher (P=0.002) in pathologically low-risk patients (not receiving
adjuvant chemotherapy, n=74) than in intermediate- or high-risk patients
(receiving adjuvant chemotherapy, n=35). In addition, patients with high CDK4/6SA
(>3.0) showed significantly (P=0.024) shorter progression-free survival (PFS)
than those with low CDK4/6SA (<3.0). Although Ki-67 expression itself was not a
marker for prognosis, the combination of high CDK4/6SA and high Ki-67 expression
(>15%) was robustly associated with shorter PFS (P=0.015), and this combination
was an independent poor prognostic factor in the low-risk group. Inversely, in
the intermediate-/high-risk group, patients with high CDK4/6SA had a tendency of
a more favourable prognosis compared with patients with low CDK4/6SA (P=0.063).
CONCLUSIONS: CDK4/6-specific activity can be used as a biomarker to predict
prognosis and, possibly, chemo-sensitivity. The combination of Ki-67 expression
might strengthen the clinical usefulness of CDK4/6SA as a biomarker.
PMID- 26554658
TI - Prognostic value of interleukin-6 and interleukin-6 receptor in organ-confined
clear-cell renal cell carcinoma: a 5-year conditional cancer-specific survival
analysis.
AB - BACKGROUND: Interleukin-6 (IL-6) is the major cytokine that induces
transcriptional acute and chronic inflammation responses, and was recently
incorporated as a recurrence prognostication signature for localised clear-cell
renal cell carcinoma (ccRCC). As the prognostic efficacy of initial risk factors
may ebb during long-term practice, we aim to report conditional cancer-specific
survival (CCSS) of RCC patients and evaluate the impact of IL-6 as well as its
receptor (IL-6R) to offer more relevant prognostic information accounting for
elapsing time. METHODS: We enrolled 180 histologically proven localised ccRCC
patients who underwent nephrectomy between 2001 and 2004 with available
pathologic information. Five-year CCSS was determined and stratified by future
prognostic factors. Constant Cox regression analysis and Harrell's concordance
index were used to indicate the predictive accuracy of established models.
RESULTS: The 5-year CCSS of organ-confined ccRCC patients with both IL-6- and IL
6R-positive expression was 52% at year 2 after surgery, which was close to
locally advanced patients (48%, P=0.564) and was significantly poorer than organ
confined patients with IL-6- or IL-6R-negative expression (89%, P<0.001).
Multivariate analyses proved IL-6 and IL-6R as independent predictors after
adjusting for demographic factors. Concordance index of pT-IL-6-IL-6R risk
stratification was markedly higher compared with the stage, size, grade and
necrosis prognostic model (0.724 vs 0.669, P=0.002) or UCLA Integrated Staging
System (0.724 vs 0.642, P=0.007) in organ-confined ccRCC population during the
first 5 years. CONCLUSIONS: Combined IL-6 and IL-6R coexpression emerges as an
independent early-stage immunologic prognostic factor for organ-confined ccRCC
patients.
PMID- 26554659
TI - A Bio-Acoustic Levitational (BAL) Assembly Method for Engineering of
Multilayered, 3D Brain-Like Constructs, Using Human Embryonic Stem Cell Derived
Neuro-Progenitors.
AB - A bio-acoustic levitational assembly method for engineering of multilayered, 3D
brainlike constructs is presented. Acoustic radiation forces are used to levitate
neuroprogenitors derived from human embryonic stem cells in 3D multilayered
fibrin tissue constructs. The neuro-progenitor cells are subsequently
differentiated in neural cells, resulting in a 3D neuronal construct with inter
and intralayer neurite elongations.
PMID- 26554660
TI - The Spectrum of Interstitial Lung Disease in Connective Tissue Disease.
AB - Interstitial lung disease (ILD) is a common cause of morbidity and mortality in
patients with connective tissue disease (CTD). In a minority of patients the ILD
may be the presenting (or only) manifestation of an underlying CTD. Diagnosis of
CTD-related ILD relies on a multidisciplinary team including pulmonologists,
pathologists, radiologists, and rheumatologists, as the imaging and pathologic
findings may be indistinguishable from idiopathic interstitial pneumonias.
Moreover, many patients with ILD are suspected of having an underlying CTD but do
not meet all of the necessary criteria for a specific disorder. This article
provides a pattern-based approach to the imaging of CTD-related ILD and also
reviews relevant clinical, pathologic, and serologic data that radiologists
should be familiar with as part of a multidisciplinary team.
PMID- 26554661
TI - The Cardiovascular Trial of the Testosterone Trials: rationale, design, and
baseline data of a clinical trial using computed tomographic imaging to assess
the progression of coronary atherosclerosis.
AB - BACKGROUND: Data from prior studies have yielded inconsistent results on the
association of serum testosterone levels with the risk for cardiovascular
disease. There are no clinical trial data on the effects of testosterone
replacement therapy on plaque progression. OBJECTIVE: We designed a study to
investigate the effect of testosterone therapy on coronary artery plaque
progression using serial coronary computed tomographic angiography (CCTA). In
this paper, we describe the study design, methods, and characteristics of the
study population. METHODS: The Cardiovascular Trial of the Testosterone Trials
(TTrials; NCT00799617) is a double-blind, placebo-controlled trial of 1 year of
testosterone therapy in men 65 years or older with clinical manifestations of
androgen deficiency and unequivocally low serum testosterone concentrations (<275
ng/dl). CCTA performed at baseline and after 12 months of therapy will determine
the effects of testosterone on the progression of the total volume of
noncalcified plaques. All scans are evaluated at a central reading center by an
investigator blinded to treatment assignment. RESULTS: A total of 165 men were
enrolled. The average age is 71.1 years, and the average BMI is 30.7. About 9% of
men had a history of myocardial infarction, 6% angina, and 10% coronary artery
revascularization. A majority reported hypertension and/or high cholesterol;
31.8% reported diabetes. Total noncalcified plaque at baseline showed a slight
but nonsignificant trend toward lower plaque volume with higher serum
testosterone concentrations (P=0.12). CONCLUSION: The Cardiovascular Trial will
test the hypothesis that testosterone therapy inhibits coronary plaque
progression, as assessed by serial CCTA.
PMID- 26554663
TI - Multimodality imaging of spontaneous coronary artery dissection: case studies of
the Massachusetts General Hospital.
PMID- 26554662
TI - Quantitative analysis of the side-branch orifice after bifurcation stenting using
en-face processing of OCT images: a comparison between Xience V and Resolute
Integrity stents.
AB - OBJECTIVE: Methods for intravascular assessment of the side-branch (SB) orifice
after stenting are not readily available. The aim of this study was to assess the
utility of an en-face projection processing for optical coherence tomography
(OCT) images for SB evaluation. METHODS: Measurements of the SB orifice obtained
using en-face OCT images were validated using a phantom model. Linear regression
modeling was applied to estimated area measurements made on the en-face images.
The SB orifice was then analyzed in 88 patients with bifurcation lesions treated
with either Xience V (everolimus-eluting stent) or Resolute Integrity
[zotarolimus-eluting stent (ZES)]. The SB orifice area (A) and the area
obstructed by struts (B) were calculated, and the %open area was evaluated as (A
B)/A*100. RESULTS: Linear regression modeling demonstrated that the observed
departures of the intercept and slope were not significantly different from 0 (
0.12 +/- 0.22, P=0.59) and 1 (1.01 +/- 0.06, R(2)=0.88, P=0.87), respectively. In
cases without SB dilatation, the %open area was significantly larger in the
everolimus-eluting stent group (n=25) than in the ZES group [n=32; 89.2% (83.7
91.3) vs. 84.3% (78.9-87.8), P=0.04]. A significant difference in %open area
between cases with and those without SB dilatation was demonstrated in the ZES
group [91.4% (86.1-94.0) vs. 84.3% (78.9-87.8), P=0.04]. CONCLUSION: The accuracy
of SB orifice measurement on an en-face OCT image was validated using a phantom
model. This novel approach enables quantitative evaluation of the differences in
SB orifice area free from struts among different stent types and different
treatment strategies in vivo.
PMID- 26554664
TI - Stimuli-free programmable drug release for combination chemo-therapy.
AB - Combinational chemotherapy capable of targeted delivery and programmable multi
drug release leads to enhanced drug efficacy, and is highly desired for cancer
treatment. However, effective approaches for achieving both features in a single
treatment are limited. In the present work, we demonstrated programmed delivery
of both chemotherapeutic and immunotherapeutic agents with tumor cell targeting
capability by using SiO2 based self-decomposable nanoparticulate systems. The
programmable drug delivery is realized by manipulating drug loading
configurations instead of relying on external stimuli. Both in vitro and in vivo
results showed specific drug binding to FAT1-expressing colon cancer cells. The
loaded dual drugs were demonstrated to be delivered in a sequential manner with
specific time intervals between their peak releases, which maximize the
synergistic effect of the chemotherapeutics. These features led to significantly
enhanced drug efficacy and reduced system toxicity. The tumor weight decreased by
1/350, together with a moderate increase in rats' body weight, which were
observed when adopting the dual drug loaded nanoparticles, as compared to those
of the control groups. The present system provides a simple and feasible method
for the design of targeting and combination chemotherapy with programmed drug
release.
PMID- 26554665
TI - A case of severe osteomalacia caused by Tubulointerstitial nephritis with Fanconi
syndrome in asymptomotic primary biliary cirrhosis.
AB - BACKGROUND: Primary biliary cirrhosis (PBC) is an immune-mediated chronic
cholestatic liver disease, characterized by increased concentrations of serum IgM
and the presence of circulating anti-mitochondrial antibodies. Although bone
diseases such as osteoporosis or osteodystrophy are commonly associated with PBC,
osteomalacia which is caused by abnormal vitamin D metabolism, mineralization
defects, and phosphate deficiency has not been recognized as a complication of
PBC. CASE PRESENTATION: We report the case of a 49-year-old Japanese woman who
complained of multiple fractures. Hypophosphatemic osteomalacia was diagnosed
from a low serum phosphorus level, 1,25-dihydroxyvitamin D3 level, high levels of
bone specific alkaline phosphatase and the findings of bone scintigraphy,
although a bone biopsy was not performed. Twenty four hour urine demonstrated a
low renal fractional tubular reabsorption of phosphate, increased fractional
excretion of uric acid and generalized aminoaciduria. An intravenous bicarbonate
loading test suggested the presence of proximal renal tubular acidosis (RTA).
These biochemical data indicated Fanconi syndrome with proximal RTA. A kidney
biopsy demonstrated the features of tubulointerstitial nephritis (TIN). The
patient was also suspected as having primary biliary cirrhosis (PBC) because of
high levels of alkaline phosphatase, IgM and the presence of anti-mitochondrial
M2 antibody, though biochemical liver function was normal. Sequential liver
biopsy was compatible with PBC and the diagnosis of PBC was definite. After
administration of 1,25 dihydroxyvitamin D3, neutral potassium phosphate, sodium
bicarbonate for osteomalacia and subsequent predonizolone for TIN, symptoms of
fractures were relieved and renal function including Fanconi syndrome was
ameliorated. CONCLUSION: In this case, asymptomatic PBC was shown to induce TIN
with Fanconi syndrome with dysregulation of electrolytes and vitamin D
metabolism, which in turn led to osteomalacia with multiple fractures.
Osteomalacia has not been recognized as a result of the renal involvement of PBC.
PBC and its rare complication of TIN with Fanconi syndrome should be considered
in adult patients with unexplained osteomalacia even in the absence of liver
dysfunction.
PMID- 26554666
TI - Understanding adverse drug reactions in package leaflets - an exploratory survey
among health care professionals.
AB - BACKGROUND: Current German or UK package leaflets do not contain an explicit
notice that the listing of side effects does not imply that they are caused by
the drug. Causal interpretations by patients and lay people are frequently
observed. The authors examined whether health professionals understand that there
is not necessarily a causal relation between drug intake and the frequency of
side effects and whether adding placebo comparison improves understanding.
METHODS: Exploratory survey consisting of eight assessments, each containing 2-6
survey items, and focus groups with one survey sample using questionnaires on
adverse reactions in standard package leaflets and modified package leaflets
supplemented with placebo comparison. Participants were convenience samples of
379 health professionals including 153 physicians (80 gynaecologists, 124
diabetes experts - physicians, nurses, and others, 39 medical students in their
last year at university, 49 first year health science and education students with
completed vocational training and professional experience in various health care
professions and 87 pharmacists/pharmacy students). They were asked to rate how
often the different adverse reactions listed were caused by drug intake. All
surveys were carried out within university seminars and postgraduate lectures
from April 2014 to June 2015 in Germany. Response rate was 86 % or higher.
RESULTS: Without placebo comparison, the majority of participants responded that
the drug causes adverse reactions with the frequency given in the package leaflet
or even more often (95 % of health science students, 100 % of medical students,
60 to 80 % of physicians and 66 % of pharmacists/pharmacy students). Simply
adding placebo comparison in a table did not prevent misunderstanding. Analysis
of focus groups with health science students supported the lack of understanding.
CONCLUSIONS: In the present surveys, health professionals had major difficulties
understanding frequency information on side effects in package leaflets. The
great majority erroneously implied a causal relation between drug intake and the
frequency of side effects, even though most side effects listed are symptoms
commonly experienced in daily life.
PMID- 26554667
TI - New Phloroglucinol Derivatives from the Fruit Tree Syzygium jambos and Their
Cytotoxic and Antioxidant Activities.
AB - Seven new phloroglucinol derivatives (1-7) were isolated from the fruit tree
Syzygium jambos together with four known triterpenoids (8-11) and two known
flavones (12 and 13). According to the spectroscopic analyses (infrared,
electrospray ionization mass spectrometry (ESIMS), high-resolution ESIMS, 1D and
2D nuclear magnetic resonance), the structures of compounds 1-7 were elucidated
as jambone A (1), jambone B (2), jambone C (3), jambone D (4), jambone E (5),
jambone F (6), and jambone G (7). All the isolates were determined for their
cytotoxic activities on melanoma cells by 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide assay, and compounds 10 and 11 showed potent
activities. Moreover, compounds 1, 2, 4-7, 12, and 13 exhibited weak antioxidant
activities under ferric-reducing antioxidant power and 2,2-diphenyl-1
picryhydrazyl radical-scavenging assays.
PMID- 26554669
TI - Observation of unusual slow-relaxation of the magnetisation in a Gd-EDTA chelate.
AB - A Gadolinium EDTA chelate displays characteristic isotropic behaviour common of
Gd(III) complexes under zero applied magnetic field, and anisotropic behaviour
arising from dipolar coupling and weak spin-phonon coupling under an applied
magnetic field. This surprising magnetic behaviour for Gd(III) is investigated
using SQUID magnetometry and rationalized through theoretical calculations.
PMID- 26554668
TI - Diagnositic value of pelvic enthesitis on MRI of the sacroiliac joints in
enthesitis related arthritis.
AB - BACKGROUND: To determine the prevalence and diagnostic value of pelvic enthesitis
on MRI of the sacroiliac (SI) joints in enthesitis related arthritis (ERA).
METHODS: We retrospectively studied 143 patients aged 6-18 years old who
underwent MRI of the SI joints for clinically suspected sacroiliitis between 2006
2014. Patients were diagnosed with ERA according to the International League of
Associations for Rheumatology (ILAR) criteria. All MRI studies were reassessed
for the presence of pelvic enthesitis, which was correlated to the presence of
sacroiliitis on MRI and to the final clinical diagnosis. The added value for
detection of pelvic enthesitis and fulfilment of criteria for the diagnosis of
ERA was studied. RESULTS: Pelvic enthesitis was seen in 23 of 143 (16 %)
patients. The most commonly affected sites were the entheses around the hip (35 %
of affected entheses) and the retroarticular interosseous ligaments (32 % of
affected entheses). MRI showed pelvic enthesitis in 21 % of patients with ERA and
in 13 % of patients without ERA. Pelvic enthesitis was seen on MRI in 7/51 (14 %)
patients with clinically evident enthesitis, and 16/92 (17 %) patients without
clinically evident enthesitis. In 7 of 11 ERA-negative patients without clinical
enthesitis but with pelvic enthesitis on MRI, the ILAR criteria could have been
fulfilled, if pelvic enthesitis on MRI was included in the criteria. There is a
high correlation between pelvic enthesitis and sacroiliitis, with sacroiliitis
present in 17/23 (74 %) patients with pelvic enthesitis. CONCLUSIONS: Pelvic
enthesitis may be present in children with or without clinically evident
peripheral enthesitis. There is a high correlation between pelvic enthesitis and
sacroiliitis on MRI of the sacroiliac joints in children. As pelvic enthesitis
indicates active inflammation, it may play a role in assessment of the
inflammatory status. Therefore, it should be carefully sought and noted by
radiologists examining MRI of the sacroiliac joints in children.
PMID- 26554670
TI - The effect of Transcranial Direct Current Stimulation in addition to Tinnitus
Retraining Therapy for treatment of chronic tinnitus patients: a study protocol
for a double-blind controlled randomised trial.
AB - BACKGROUND: Currently, there still is no treatment that eliminates tinnitus in
all patients. Recent studies have shown that Tinnitus Retraining Therapy (TRT)
significantly improves quality of life for tinnitus patients. Also, several
studies have reported that transcranial Direct Current Stimulation (tDCS) has a
positive effect on attention, working memory, long-term memory and other
cognitive processes. The aim of this randomised placebo-controlled double-blind
study is to evaluate the added effect of tDCS to TRT in chronic tinnitus
patients. To our knowledge, this is the first study to combine both methods.
METHODS: Patients with chronic, non-pulsatile tinnitus will be randomised in two
treatment groups: TRT and real tDCS versus TRT and sham tDCS. Evaluations will
take place at baseline before therapy starts, at the end of the TRT and 3 months
after therapy starts. The Tinnitus Functional Index will be used as the primary
outcome measurement. Secondary outcome measurements will be the Visual Analogue
Scale of Loudness, Hospital Anxiety and Depression Scale (HADS), Hyperacusis
Questionnaire, psychoacoustic measurements and Event-related potential (ERP).
DISCUSSION: To our knowledge this is the first study to combine TRT and tDCS. The
objective is to evaluate whether tDCS can provide faster and/or more relief from
the annoyance experienced in chronic tinnitus patients' daily lives. The
advantage of the study is that it is double-blind and placebo-controlled. TRIAL
REGISTRATION: The present study protocol was registered on 31 October 2014 at
Clinicaltrials.gov: NCT02285803 .
PMID- 26554671
TI - Effects of Interface Layers and Domain Walls on the Ferroelectric-Resistive
Switching Behavior of Au/BiFeO3/La0.6Sr0.4MnO3 Heterostructures.
AB - The electric field effects on the electric and magnetic properties in
multiferroic heterostructures are important for not only understanding the
mechanisms of certain novel physical phenomena occurring at heterointerfaces but
also offering a route for promising spintronic applications. Using the
Au/BiFeO3/La0.6Sr0.4MnO3 (Au/BFO/LSMO) multiferroic heterostructure as a model
system, we investigated the ferroelectric-resistive switching (RS) behaviors of
the heterostructure. Via the manipulation of the BFO ferroelectric polarizations,
the nonvolatile tristate of RS is observed, which is closely related to the
Au/BFO and BFO/LSMO interface layers and the highly conducting BFO domain walls
(DWs). More interestingly, according to the magnetic field dependence of the RS
behavior, the negative magnetoresistance effect of the third resistance state,
corresponding to the abnormal current peak in current-pulse voltage hysteresis
near the electric coercive field, is also observed at room temperature, which
mainly arises from the possible oxygen vacancy accumulation and Fe ion valence
variation in the DWs.
PMID- 26554672
TI - Tolerance of Intrinsic Defects in PbS Quantum Dots.
AB - Colloidal quantum dots exhibit various defects and deviations from ideal
structures due to kinetic processes, although their band gap frequently remains
open and clean. In this Letter, we computationally investigate intrinsic defects
in a real-size PbS quantum dot passivated with realistic Cl-ligands. We show that
the colloidal intrinsic defects are ionic in nature. Unlike previous
computational results, we find that even nonideal, atomically nonstoichiometric
quantum dots have a clean band gap without in-gap-states provided that quantum
dots satisfy electronic stoichiometry.
PMID- 26554673
TI - Echocardiographic and Blood Pressure Characteristics of First-Year Collegiate
American-Style Football Players.
AB - Echocardiographic (echo) and blood pressure (BP) reference values may help
identify athletes at cardiovascular risk, yet benchmarks are inadequate for
collegiate American-style football (ASF) players. Our purpose was to describe
echo characteristics and BP values in collegiate ASF athletes compared with
normal. First-year players (n = 80, age = 18 +/- 1 years, height = 186 +/- 7 cm,
weight = 100.1 +/- 22.0 kg, body mass index = 28.7 +/- 5.0), body surface area
[BSA] = 2.24 +/- 0.25; percentage fat = 16.5 +/- 9.7%) were measured for systolic
and diastolic BP, and underwent echo procedures by a certified sonographer. Data
analyses included simple statistics, Pearson r, frequencies in normal ranges, and
t test; alpha = 0.05. Selected echo measurements (and indexed by BSA) were: left
ventricular (LV) internal diameter diastole = 5.3 +/- 0.5 cm (2.4 +/- 0.3); left
atrial diameter = 3.9 +/- 0.5 cm (1.8 +/- 0.2): LV end-diastolic volume = 138 +/-
30 ml (62 +/- 11); septal wall thickness = 1.0 +/- 0.2 cm (0.5 +/- 0.1); LV
posterior wall thickness = 1.0 +/- 0.1 cm (0.5 +/- 0.1), LV mass = 212 +/- 46 g
(95 +/- 18); and relative wall thickness = 0.39 +/- 0.07. Correlations between
BSA and echo variables were significant (r = 0.26 to 0.50). Indexing by BSA
reduced percentages above reference ranges from 36% to 7%. Septal wall thickness
index was significantly greater in black (0.5 +/- 0.1) than nonblack (0.4 +/-
0.1) athletes. Fifty-nine athletes were hypertensive or prehypertensive, and
diastolic BP was significantly greater in black (76 +/- 10 mm Hg) compared with
nonblack athletes (71 +/- 8 mm Hg). ASF athletes demonstrated LV wall thicknesses
and cavity sizes consistent with sport-training hypertrophy but which were
unremarkable when indexed by BSA. Ethnicity generally did not influence echo
variables. No ASF players were identified with cardiac dysfunction or disease.
PMID- 26554674
TI - [Nutrition and health in the era of globalisation].
PMID- 26554675
TI - [Nutrition and health: the recipe of Italian epidemiology].
PMID- 26554676
TI - [Historic origins of the mediterranean diet: the Seven Countries Study of
Cardiovascular Diseases].
PMID- 26554677
TI - [Food and cancer prognosis].
PMID- 26554678
TI - [A look at the future: trades, climate change, and nutrition in Asia].
PMID- 26554679
TI - [Pesticides, food and health].
PMID- 26554680
TI - [Reduction of meat consumption and greenhouse gas emissions associated with
health benefits in Italy].
AB - BACKGROUND: the reduction in red meat consumption has been proposed as one of the
climate change mitigation policies associated to health benefits. In the
developed world, red meat consumption is above the recommended intake level.
OBJECTIVES: the aim is to evaluate health benefits, in term of mortality decline,
associated to different bovine meat consumption reduction scenarios and the
potential reduction in greenhouse gas (GHG) emissions. DESIGN: meat consumption
in Italy has been estimated using the Italian National Food Consumption Survey
INRAN-SCAI (2005-2006) and the Multipurpose survey on household (2012) of the
Italian National Institute for Statistics. Colorectal cancer and stoke mortality
data are derived from the national survey on causes of death in 2012. Bovine meat
consumption risk function has been retrieved from systematic literature reviews.
Mean meat consumption in Italy is equal to 770 grams/week; gender and
geographical variations exist: 69 per cent of the adult population are habitual
bovine meat consumers; males have an average intake of over 400 grams/week in all
areas of Italy (with the exception of the South), while females have lower
intakes (360 grams per week), with higher consumption in the North-West (427 gr)
and lower in the South of Italy. Four scenarios of reduction of bovine meat
consumption (20%, 40%, 50% e 70%, respectively) have been evaluated and the
number of avoidable deaths by gender and area of residence have been estimated.
GHG emissions attributed to bovine meat adult consumption have been estimated to
be to 10 gigagrams CO2-eq. RESULTS: from low to high reduction scenario, the
percentage of avoidable deaths ranged from 2.1% to 6.5% for colorectal cancer and
from 1.6% to 5.6% for stroke. Health benefits were greatest for males and for
people living in the North-Western regions of Italy. CONCLUSIONS: in Italy, in
order to adhere to bovine meat consumption recommendations and to respect EU GHG
emission reduction targets, scenarios between 50% and 70% need to be adopted.
PMID- 26554681
TI - [Dietary habits and social differences: the experience of EPIC-Italy].
AB - OBJECTIVES: to investigate the impact of socioeconomic status on dietary habits
in Italy. DESIGN: large Italian multicentric prospective cohort study. SETTING
AND PARTICIPANTS: more than 45,000 subjects recruited between 1993 and 1998 in
five Italian centres (Turin, Varese, Florence, Naples, and Ragusa). Dietary
habits, educational level, and other characteristics were collected at baseline
using standardised questionnaires. MAIN OUTCOME MEASURES: dietary habits
collected for the EPIC study, grouped by food type and summarised by a
Mediterranean dietary index. RESULTS: we observed differences in dietary habits
and in lifestyle habits by tertile of educational level. Principally, we noticed
a positive association between higher education and healthy dietary habits
(reduction in intake of processed meat, bread and rice, sweet drinks; increase in
intake of fruit and vegetables, yoghurt, fish, olive oil, and tea). CONCLUSION: a
relationship between educational level and dietary habits is confirmed also in
Italy, even if differences due to gender and residence area are present. This
study shows an important role of dietary habits in health inequalities of the
population with lower socioeconomic status.
PMID- 26554682
TI - [Health inequalities and nutrition in Italy during crisis times].
AB - OBJECTIVES: to describe systematically unhealthy patterns in nutrition
behaviours, with a special focus on the impact of social, gender, geographical,
and age inequalities on diet; to evaluate the potential impact of economic crisis
on healthy nutrition choices and on health inequalities. DESIGN: cross sectional
study within national surveys. SETTING AND PARTICIPANTS: population >=20 years,
from representative samples of the Italian population in official national
multipurpose surveys, in the periods 2005-2007 and 2009-2012. MAIN OUTCOME
MEASURES: prevalence, population attributable fraction (PAF), and relative time
variation between periods. RESULTS: wide differences on the prevalence of
nutrition healthy behaviour have been found according to social position (low
educated have higher consumption of meat, carbohydrates, salty food, higher
breakfast skipping rates as well as lower consumption of fish), geographical area
(Northern regions have higher consumption of meat, carbohydrates and fats,
whereas Southern ones have lower consumption of fruit and vegetables, higher
obesity, and overweight rates). Economic crises seems to have had an impact on
nutrition (reduction of meat, fruit and vegetable consumption, increase on snack
and legumes frequencies, less fish, and meat presence on diet), but lower than
expected. Besides, if long period trends seem to increase health inequalities on
nutrition, crisis seems to have had an opposite effect. CONCLUSION: unhealthy
patterns seem to be present in Italian food behaviour and long time trends appear
to increase them, as illustrated by the spread of obesity and overweight.
Nevertheless, Mediterranean diet does not seem to be too much at risk. Economic
crisis has been frequently recognized as a determinant of nutrition patterns
worsening, but it has had different impacts. Furthermore, health inequalities
could be decreased in crisis times.
PMID- 26554683
TI - [Dietary habits and cancer: the experience of EPIC-Italy].
AB - OBJECTIVES: to investigate hypothesised relationships between diet and cancer by
assessing diet as a whole, in the Italian cohort EPIC. DESIGN: multicentric
prospective study. SETTING AND PARTICIPANTS: 47,749 volunteers were recruited
between 1993 and 1998 in the centres of Varese and Turin (Northern Italy),
Florence (Central Italy), Naples and Ragusa (Southern Italy). Information on diet
and lifestyle were collected through validated questionnaires. Anthropometric
measurements were taken and biological samples collected using standardised
protocols. MAIN OUTCOME MEASURES: follow-up was carried out by accessing regional
cancer and mortality registries, hospital discharge records, and by telephone
inquiries (only for Naples). After a median follow-up of 11 years, 879 incident
cases of breast cancer, 421 cases of colorectal cancer, and 152 deaths were
identified. Multivariate Cox regression models were used to estimate risks in
relation to dietary characteristics. RESULTS: the "Olive oil & Salad" dietary
pattern, characterised by high consumption of raw vegetables and olive oil, was
associated with a lower risk of overall mortality in the elderly. Adherence to a
Mediterranean diet rich in vegetables and fruit was associated with reduced risk
of colon cancer. Consumption of high-glycemic carbohydrates was associated with
higher incidence of breast cancer and colorectal cancer. Reduced risk of colon
cancer was also found in regular consumers of yoghurt. CONCLUSIONS: the accuracy
and comprehensiveness of EPIC-Italy data made it possible to investigate both
individual dietary components and dietary habits as a whole, to thereby provide
Italians with dietary and lifestyle advice that will help them to remain healthy.
PMID- 26554684
TI - [Dietary habits and cardiovascular disease: the experience of EPIC Italian
collaboration].
AB - OBJECTIVES: to report and evaluate the evidence produced by the EPIC Italian
collaboration (EPICOR Project) on the dietary determinants of cardiovascular
diseases in Italy. DESIGN: prospective study carried out in a large Italian
population, composed by cohorts recruited in Northern, Central and Southern
Italy. SETTING AND PARTICIPANTS: data on dietary habits collected at the baseline
observation through standardised questionnaires on 47,749 free-living adults at
the time of the recruitment of the study (1993-1998). MAIN OUTCOME MEASURES:
major coronary and cerebrovascular events (acute coronary syndrome, PTCA, CABG,
ischemic and haemorrhagic stroke, TEA of supraortic vessels) identified at follow
up. The longitudinal analyses here reported have measured risks through the use
of multivariate Cox regression models, adjusted for potential confounders.
RESULTS: the longitudinal analyses of EPICOR indicate that Mediterranean-oriented
dietary habits, measured through specific indicators and the consumption of
various typical food, are able to reduce coronary and cerebrovascular risks, and
that this protection is possible even nowadays, although many changes in diet
have occurred in the last decades in Italy. Habitual consumption of plant origin
products, including all foods with low glycemic index, is an advantage for
cardiovascular risk. CONCLUSIONS: the EPICOR Project is the largest, long-lasting
Italian study on the relationship between diet and cardiovascular diseases. It is
also the study with the greater number of observed variables. Its results point
out the importance to support preventive programmes and industrial policies able
to favour a dietary style inspired to the Italian Mediterranean tradition.
PMID- 26554685
TI - [Alcohol consumption and epithelial cancer risk in the EPIC-Italy cohort].
AB - OBJECTIVES: to evaluate the association between baseline and lifetime alcohol
consumption and the risk of epithelial cancer (all types) in the Italian cohort
of the European Prospective Investigation into Cancer and nutrition (EPIC) study.
DESIGN: prospective study carried out in a large Italian population. SETTING AND
PARTICIPANTS: detailed information on the consumption of alcoholic beverages at
baseline and over lifetime collected at enrolment into the EPIC study (1993-1998)
by standardised questionnaires for 44,477 healthy adults. MAIN OUTCOMES MEASURES:
2,640 incident epithelial cancers identified during a mean follow-up of 11.4
years. Multivariate Cox proportional hazard models adjusted for several potential
confounders were used to calculate hazard ratios (HR) and corresponding 95%
confidence intervals (CI). RESULTS: lifetime alcohol consumption (p for trend
=0.005) was associated with epithelial cancer risk in the whole cohort. This
effect was more evident in women (p =0.049) and in current smokers (p =0.012).
Alcohol consumption at baseline was associated with the epithelial cancer risk in
women (p for trend =0.01) and current smokers (p for trend =0.02). A significant
interaction between alcohol consumption and smoke duration (p =0.015 for
baseline; p =0.006 for lifetime) was identified. CONCLUSIONS: in this large
Italian population, alcohol consumption, particularly lifetime, is a significant
risk factor for the development of epithelial cancers. This effect appears to be
modulated by smoking habits.
PMID- 26554686
TI - [Determinants of eating behaviour: the contribution of twin studies].
AB - This review includes relevant twin studies conducted on eating habits and
preferences, and on endophenotypes of disordered eating behaviour in general
population, non-clinical settings. The twin study design is presented, along with
its assumptions and possible applications in aetiological and public health
epidemiology. Subsequently, the strategy for the search of the scientific
literature and the exclusion criteria are reported. Then, the analysis of the
studies included in this review is performed, with a brief description of
targeted outcomes, twin model used, sample characteristics and findings. Finally,
key messages emerging from the review are highlighted, emphasizing their value
for bridging the current gaps in the understanding of determinants of eating
behaviour and their mode of action.
PMID- 26554687
TI - [Integrating cancer screening and primary prevention: a review].
AB - Cancer screening may represent an ideal setting for promoting healthy lifestyle.
We conducted a literature review of intervention studies of primary prevention
interventions implemented in the context of established screening programmes. We
identified 11 trials, 3 of which conducted in Italy. A positive impact of these
interventions in favouring the adoption of cancer protective dietary behaviours
was observed in all studies. A limited impact was reported for physical activity,
while no effect could be observed for interventions aimed to promote smoking
cessation. Long term maintenance of the observed behavioural changes and the
sustainability overtime of these interventions within population-based programmes
should be assessed. To enhance their effectiveness, these health education
programmes should include multiple strategies, integrating and combining models
of individual, social, and environmental change.
PMID- 26554688
TI - [Interventions for healthy diet promotion in Italy].
AB - OBJECTIVES: to describe the prevention interventions conducted by the Regional
health services to promote a healthy diet. MATERIAL AND METHODS: the database
ProSA, which contains prevention interventions conducted by some Italian regions,
has been analysed. Programmes identified as "Diet - physical activity" were
selected, and the ones that had the promotion of healthy diet in unselected
populations as objective were included. Then, the programmes were analysed and
described. RESULTS: a total of 87 programmes were included, 23 of which are
certainly conducted during 2013-14. 91% are school-based, particularly targeted
to middle schools, and 37% are based on classroom lessons. No programme made any
reference to specific evidence, while 11% of them cited scientific generic but
relevant papers. 15% of the programmes described some evaluation process, but
only 1% has proposed a study design with controls. Limiting the analysis to the
region that contributed with the largest amount of recorded programmes, it can be
estimated that programmes does not reached more than 0.03% of the general
population, and 4% of the school population. CONCLUSIONS: in Italy, there is not
a survey system to collect preventive interventions conducted by regional health
authorities. The analysis of ProSA, an experimental system, allows us to
highlight that the promotion of a healthy diet is based on interventions
targeting only the school population and referring to scientific evidence in a
very limited way. Moreover, they seem to cover very small part of the target
population.
PMID- 26554689
TI - [Eating behaviours of italian adults: results of the Osservatorio epidemiologico
cardiovascolare/Health Examination Survey].
AB - OBJECTIVES: to describe eating behaviours of the Italian adult population
collected by the Osservatorio Epidemiologico Cardiovascolare/Health Examination
Survey during 2008- 2012. DESIGN: cross-sectional survey conducted in all Italian
regions; random samples of the general population, stratified by age and sex, in
23 municipalities, 220 persons every 1.5 million people. SETTING AND
PARTICIPANTS: 9,111 persons examined, aged 25-79 years; participation rate of
53%; data of 8,462 persons were used in this analysis. MAIN OUTCOME MEASURES:
lifestyles, risk factors, and high risk conditions were measured. Eating
behaviours were assessed by the EPIC questionnaire, which includes principal
foods, portions, and eating patterns; principal health eating behaviours and
nutrients were described by macroareas; sodium and potassium intake were measured
also by 24h urine collection; data were compared to the Mediterranean model
described in the Sixties nutritional survey carried out in Nicotera, a
municipality of Calabria Region, Southern Italy. RESULTS: the eating behaviours
are healthy only in a part of the population: 30% have adequate intake of
vegetables and fish; only 10% use cakes/sweets/desserts once a week as
recommended. Energy intake from saturated fat and sugars are high. Compared to
the Sixties Mediterranean model, consumption of cereals, potatoes, and legumes
are reduced by half, while meats, cheeses, milk, and in particular sweets are
more than doubled. CONCLUSIONS: taking into account the distribution of risk
factors and high risk conditions as overweight/obesity, which affects almost 75%
of the adult population, physical inactivity (30-40%), hypertension (50%),
hypercholesterolemia (35%), and diabetes (7-11%), community actions for improving
diet in the population are urgent. Education of the population is also needed to
reduce portions and salt intake, and to use less olive oil and wine given their
high caloric values, as recommended by the modern Mediterranean diet.
PMID- 26554691
TI - [Breastfeeding: health, prevention, and environment].
AB - Recently, a great deal of research in the field of neuroscience and human
microbiome indicates the primal period (from preconceptional up to the early
years of a child's life) as crucial to the future of the individual, opening new
scenarios for the understanding of the processes underlying the human health. In
recent decades, the social representation of infant feeding moved in fact from
the normality of breastfeeding to the normal use of artificial formulas and
bottle-feeding. Even the scientific thinking and the research production have
been influenced by this phenomenon. In fact, a clear dominance of studies aimed
to show the benefits of breast milk compared to formula milk rather than the
risks of the latter compared to the biological norm of breastfeeding. Mother milk
affects infant health also through his/her microbiome. Microbial colonisation
startes during intrauterine life and continues through the vaginal canal at
birth, during skin to skin contact immediately after birth, with colostrum and
breastfeeding. The microbial exposure of infants delivered by the mother
influences the development of the child microbiota, by programming his/her future
health. However, rewriting the biological normality implies also a health
professional paradigm shift such as departing from the systematic separation
mother-child at birth, sticking at fixed schedules for breastfeeding time and
duration, as it still happens in many birth centres. Breastfeeding has economic
implications and the increase of its prevalence is associated with significant
reduction of avoidable hospital admissions and medical care costs, both for the
child and for the mother. Success in breastfeeding is the result of complex
social interactions and not simply of an individual choice. However, any
successful strategy must be oriented to the mother empowerment. Therefore, health
professionals and community stakeholders have to learn and practice the health
promotion approach, particularly avoiding prescribing appropriate breastfeeding
behaviours to the mothers, but emphasizing her needs and preferences and her
values system.
PMID- 26554692
TI - [Breastfeeding in the first months of life: data from the "Piccolipiu" cohorts].
PMID- 26554690
TI - [Dietary behaviour of children attending primary school in Italy found by the
surveillance system "OKkio alla salute"].
AB - OBJECTIVES: to describe the dietary behaviour of children attending primary
school and the school activities which promote healthy dietary habits. DESIGN OF
THE STUDY: surveillance system with biannual prevalence studies. SETTING AND
PARTICIPANTS: the fourth round of data collection of the surveillance system
OKkio alla SALUTE took place in 2014, promoted and financed by the Ministry of
Health and coordinated by the National Institute of Health in collaborations with
all regions. 2,408 schools, 48,426 children and 50,638 parents participated.
Stratified cluster sampling (with third grade classes as units) was used;
information was collected using questionnaires completed by children, parents,
teachers and head-teachers. OUTCOME MEASURES: consumption of breakfast, mid
morning snack, fruit and vegetables, sweetened and gassy drinks; school
initiatives to promote healthy dietary habits. RESULTS: 31% of children have an
adequate breakfast and 8% skip this meal; 52% consume an energy-dense mid-morning
snack; 25% do not eat fruit and vegetables daily; 41% drink sweetened/gassy
beverages daily. The unhealthy dietary habits are more common among children who
have less educated parents or live in the South (more deprived area of the
Country). Data show an improvement in the period 2008-2014, except in the
consumption of fruit and vegetables. 74% of the schools include nutritional
education in the curriculum, 66% have started initiatives of healthy dietary
habits and 55% distribute healthy food; 35% involve parents in their initiatives.
In the schools of the South nutritional education and involvement of parents are
more frequent, while the distribution of healthy food and refectories are less
common. CONCLUSIONS: the high frequency of unhealthy dietary behaviour and their
geographic and social inequalities show that there is a great potential for
improvement. Schools are very involved in initiatives of promotion, but they need
more support from the institutions and involvement of the families.
PMID- 26554693
TI - [Motivational interviewing to treat overweight children and their parents in
paediatrician's medical office: good results, but one year is not sufficient].
PMID- 26554694
TI - [European nutrition policy: regulatory dynamics and trajectories].
AB - In the light of the relationship between health and nutrition, this paper focuses
on the policy strategy designed by the European institutions with regard to
nutrition. Starting from the analysis of the World Declaration for Nutrition
adopted by FAO and WHO in 1992, the main European regulatory measures adopted on
the issue are analysed and some reflections are offered about their normative
status (hard and soft law), as well as on some problematic aspects and
implications. Moreover, the contribution focuses on two major European strategies
in regulating nutrition, namely the health-in-all-policies and whole-of
government approaches, characterized by the combination of heterogeneous legal
instruments together with the coexistence of actions undertaken by public and
private actors. The framework thus conceived - though noteworthy and commendable
for several reasons - turns out to be problematic in achieving the desired goals:
health protection and citizens' well-being.
PMID- 26554695
TI - [The DianaWeb project and EpiChange].
PMID- 26554696
TI - [DianaWeb: a demonstration project to improve breast cancer prognosis through
lifestyles].
AB - In the field of cancer prevention, the public ask to be involved more actively in
scientific research and in the production of knowledge. This is leading to an
increase of participatory projects in the field of epidemiology. Community-based
participatory research (CBPR) has received considerable attention in the past 15
years; it is becoming a recognized and important approach in addressing health
disparities in cancer prevention. The increasing accessibility of new methods of
comparison, discussion and information allows to link a large number of people.
The project DianaWeb was born in 2015 at the Department of Predictive Medicine
and Prevention of the National Cancer Institute, Milan. This CBPR involves women
with diagnosis of breast cancer (BC). DianaWeb communications are based on an
interactive online platform developed "ad hoc" (www.dianaweb.org). With very few
exceptions, all communication between participants and research team will be on
the web. The recruitment is done through Internet, hospitals, physicians, media
and word of mouth. Women can join the project independently, under the control of
researchers and the aim of the study is to assess whether healthy eating and
regular physical activity can improve the quality of life and increase survival
rates in women with diagnosis of BC. About 50,000 Italian women with a diagnosis
of BC with or without metastasis, local recurrence or second cancers; with in
situ or invasive cancer, whatever the disease stage at diagnosis, whatever
histological diagnosis, whatever the time elapsed since diagnosis should be
recruited in the DianaWeb project. The volunteers are asked to send clinical
information about their condition from diagnosis onwards, on their weight and
other anthropometric measures, lifestyles and nutrition through online
questionnaires. Moreover, the women enrolled in the study, after login, can
access evidence-based information and results obtained during the project
(individual and whole community data). Volunteers can also contribute to the
growth of knowledge about lifestyles to be adopted by sharing recipes, movement
strategies, how to manage the change in daily practice, which will be judged by
the researchers to verify the compliance with the recommendations provided before
networking.
PMID- 26554698
TI - Can Acute Kidney Injury Be Considered a Clinical Quality Measure.
AB - Quality indicators are measurements of healthcare outcome, process, or structure
that can be used as tools to measure the quality of care and identify
opportunities for improvement. Acute kidney injury (AKI) has many characteristics
that make it a potential target for quality indicator development. It is common,
associated with a high risk of adverse outcomes, and there are reports of gaps in
the quality of care in several clinical settings despite publication of evidence
based guidelines. Substantial work has already been undertaken to develop quality
measures related to AKI following percutaneous coronary interventions and major
surgical procedures. This paper reviews the current literature that has addressed
issues of prevention or management of AKI as outcome, process, or structure
quality indicators in these clinical settings. Several current controversies
about the appropriateness of such indicators related to AKI are identified.
Further research to strengthen the evidence-base supporting prevention and
management initiatives for AKI across all relevant clinical settings is needed to
clarify the role of AKI as a target for clinical quality indicators.
PMID- 26554697
TI - Demonstration of Hole Transport and Voltage Equilibration in Self-Assembled pi
Conjugated Peptide Nanostructures Using Field-Effect Transistor Architectures.
AB - pi-Conjugated peptide materials are attractive for bioelectronics due to their
unique photophysical characteristics, biofunctional interfaces, and
processability under aqueous conditions. In order to be relevant for electrical
applications, these types of materials must be able to support the passage of
current and the transmission of applied voltages. Presented herein is an
investigation of both the current and voltage transmission activities of one
dimensional pi-conjugated peptide nanostructures. Observations of the
nanostructures as both semiconducting and gate layers in organic field-effect
transistors (OFETs) were made, and the effect of systematic changes in amino acid
composition on the semiconducting/conducting functionality of the nanostructures
was investigated. These molecular variations directly impacted the hole mobility
values observed for the nanomaterial active layers over 3 orders of magnitude
(~0.02 to 5 * 10(-5) cm(2) V(-1) s(-1)) when the nanostructures had
quaterthiophene cores and the assembled peptide materials spanned source and
drain electrodes. Peptides without the quaterthiophene core were used as controls
and did not show field-effect currents, verifying that the transport properties
of the nanostructures rely on the semiconducting behavior of the pi-electron core
and not just ionic rearrangements. We also showed that the nanomaterials could
act as gate electrodes and assessed the effect of varying the gate dielectric
layer thickness in devices where the conventional organic semiconductor pentacene
spanned the source and drain electrodes in a top-contact OFET, showing an optimum
performance with 35-40 nm dielectric thickness. This study shows that these
peptides that self-assemble in aqueous environments can be used successfully to
transmit electronic signals over biologically relevant distances.
PMID- 26554699
TI - Hyaluronic Acid Modified Tantalum Oxide Nanoparticles Conjugating Doxorubicin for
Targeted Cancer Theranostics.
AB - Theranostic tantalum oxide nanoparticles (TaOxNPs) of about 40 nm were
successfully developed by conjugating functional molecules including polyethylene
glycol (PEG), near-infrared (NIR) fluorescent dye, doxorubicin (DOX), and
hyaluronic acid (HA) onto the surface of the nanoparticles (TaOx@Cy7-DOX-PEG-HA
NPs) for actively targeting delivery, pH-responsive drug release, and NIR
fluorescence/X-ray CT bimodal imaging. The obtained nanoagent exhibits good
biocompatibility, high cumulative release rate in the acidic microenvironments,
long blood circulation time, and superior tumor-targeting ability. Both in vitro
and in vivo experiments show that it can serve as an excellent contrast agent to
simultaneously enhance fluorescence imaging and CT imaging greatly. Most
importantly, such a nanoagent could enhance the therapeutic efficacy of the tumor
greatly and the tumor growth inhibition was evaluated to be 87.5%. In a word,
multifunctional TaOx@Cy7-DOX-PEG-HA NPs can serve as a theranostic nanomedicine
for fluorescence/X-ray CT bimodal imaging, remote-controlled therapeutics,
enabling personalized detection, and treatment of cancer with high efficacy.
PMID- 26554700
TI - Variable electronic properties of lateral phosphorene-graphene heterostructures.
AB - Phosphorene and graphene have a tiny lattice mismatch along the armchair
direction, which can result in an atomically sharp in-plane interface. The
electronic properties of the lateral heterostructures of phosphorene/graphene are
investigated by the first-principles method. Here, we demonstrate that the
electronic properties of this type of heterostructure can be highly tunable by
the quantum size effects and the externally applied electric field (Eext). At
strong Eext, Dirac Fermions can be developed with Fermi velocities around one
order smaller than that of graphene. Undoped and hydrogen doped configurations
demonstrate three drastically different electronic phases, which reveal the
strongly tunable potential of this type of heterostructure. Graphene is a
naturally better electrode for phosphorene. The transport properties of two-probe
devices of graphene/phosphorene/graphene exhibit tunnelling transport
characteristics. Given these results, it is expected that in-plane
heterostructures of phosphorene/graphene will present abundant opportunities for
applications in optoelectronic and electronic devices.
PMID- 26554701
TI - Patients' need for information provision and perceived participation in decision
making in doctor-patient consultation: Micro-cultural differences between French-
and Italian-speaking Switzerland.
AB - OBJECTIVES: To explore micro-cultural differences in patients' need for
information provision, perceived participation in decision making, and related
concepts during the doctor-patient consultation between French- and Italian
speaking patients in Switzerland. METHODS: In 2012, 153 French- and 120 Italian
speaking patients with chronic low back pain (cLBP) were surveyed on their need
for information provision, perceived participation in decision making, cLBP
knowledge, psychological empowerment, and trust in their doctor. T-tests and
regression analyses with interaction terms were performed. RESULTS: Results show
that French- and Italian-speaking patients significantly differed in their
participation in decision making, with French-speaking patients reporting higher
involvement. Need for information provision was related to empowerment among
French- and to trust among Italian-speaking patients. For participation in
decision making, trust was the only related concept among French-, and cLBP
knowledge among Italian-speaking patients. Significant interaction terms indicate
a moderation of micro-cultural background. CONCLUSION: Findings point towards
differences in the relationships between individual patient characteristics (i.e.
knowledge, empowerment) and relational doctor-patient characteristics (i.e.
trust) and patients' need for information provision and participation in decision
making between French- and Italian-speaking patients in Switzerland. PRACTICE
IMPLICATIONS: Doctors should be aware of these differences when dealing with
patients of different micro-cultural backgrounds.
PMID- 26554702
TI - Development of novel magnetic solid phase extraction materials based on
Fe3O4/SiO2/poly(acrylamide-N,N'-methylene bisacrylamide)-Pluronic L64 composite
microspheres and their application to the enrichment of natamycin.
AB - Novel magnetic adsorbents based on Fe3O4/SiO2/poly(acrylamide-N,N'-methylene
bisacrylamide) magnetic microspheres modified with non-ionic triblock copolymer
surfactant were successfully prepared as a magnetic solid phase extraction
adsorbent for the determination of trace natamycin in jam samples. The adsorbent
was characterized by scanning electron microscopy, transmission electron
microscopy, Fourier transformed infrared spectroscopy, vibrating sample
magnetometer, and X-ray diffractometer analysis, confirming that Pluronic L64 was
effectively functionalized on the magnetic materials. Various experimental
parameters affecting the extraction capacity were investigated including
adsorbent amount, extraction time, desorption time, sample pH, and ionic
strength. For recovery evaluations, the jam samples were spiked at two
concentration levels of 100 and 200MUgkg(-1) of natamycin and the recovery values
were in the range of 78.8-93.4%. The relative standard deviations (RSD) for the
recoveries were less than 3.5%. The novel magnetic solid phase extraction method
provided several advantages, such as simplicity, low environmental impact,
convenient extraction procedure, and short analysis time when used for natamycin
analysis.
PMID- 26554703
TI - Correction: A Multicenter, Open-Label, Controlled Phase II Study to Evaluate
Safety and Immunogenicity of MVA Smallpox Vaccine (IMVAMUNE) in 18-40 Year Old
Subjects with Diagnosed Atopic Dermatitis.
PMID- 26554704
TI - From Sensory Signals to Modality-Independent Conceptual Representations: A
Probabilistic Language of Thought Approach.
AB - People learn modality-independent, conceptual representations from modality
specific sensory signals. Here, we hypothesize that any system that accomplishes
this feat will include three components: a representational language for
characterizing modality-independent representations, a set of sensory-specific
forward models for mapping from modality-independent representations to sensory
signals, and an inference algorithm for inverting forward models-that is, an
algorithm for using sensory signals to infer modality-independent
representations. To evaluate this hypothesis, we instantiate it in the form of a
computational model that learns object shape representations from visual and/or
haptic signals. The model uses a probabilistic grammar to characterize modality
independent representations of object shape, uses a computer graphics toolkit and
a human hand simulator to map from object representations to visual and haptic
features, respectively, and uses a Bayesian inference algorithm to infer modality
independent object representations from visual and/or haptic signals. Simulation
results show that the model infers identical object representations when an
object is viewed, grasped, or both. That is, the model's percepts are modality
invariant. We also report the results of an experiment in which different
subjects rated the similarity of pairs of objects in different sensory
conditions, and show that the model provides a very accurate account of subjects'
ratings. Conceptually, this research significantly contributes to our
understanding of modality invariance, an important type of perceptual constancy,
by demonstrating how modality-independent representations can be acquired and
used. Methodologically, it provides an important contribution to cognitive
modeling, particularly an emerging probabilistic language-of-thought approach, by
showing how symbolic and statistical approaches can be combined in order to
understand aspects of human perception.
PMID- 26554705
TI - Contact angles and wettability of ionic liquids on polar and non-polar surfaces.
AB - Many applications involving ionic liquids (ILs) require the knowledge of their
interfacial behaviour, such as wettability and adhesion. In this context, herein,
two approaches were combined aiming at understanding the impact of the IL
chemical structures on their wettability on both polar and non-polar surfaces,
namely: (i) the experimental determination of the contact angles of a broad range
of ILs (covering a wide number of anions of variable polarity, cations, and
cation alkyl side chain lengths) on polar and non-polar solid substrates (glass,
Al-plate, and poly-(tetrafluoroethylene) (PTFE)); and (ii) the correlation of the
experimental contact angles with the cation-anion pair interaction energies
generated by the Conductor-like Screening Model for Real Solvents (COSMO-RS). The
combined results reveal that the hydrogen-bond basicity of ILs, and thus the IL
anion, plays a major role through their wettability on both polar and non-polar
surfaces. The increase of the IL hydrogen-bond accepting ability leads to an
improved wettability of more polar surfaces (lower contact angles) while the
opposite trend is observed on non-polar surfaces. The cation nature and alkyl
side chain lengths have however a smaller impact on the wetting ability of ILs.
Linear correlations were found between the experimental contact angles and the
cation-anion hydrogen-bonding and cation ring energies, estimated using COSMO-RS,
suggesting that these features primarily control the wetting ability of ILs.
Furthermore, two-descriptor correlations are proposed here to predict the contact
angles of a wide variety of ILs on glass, Al-plate, and PTFE surfaces. A new
extended list is provided for the contact angles of ILs on three surfaces, which
can be used as a priori information to choose appropriate ILs before a given
application.
PMID- 26554706
TI - Micronized Copper Wood Preservatives: Efficacy of Ion, Nano, and Bulk Copper
against the Brown Rot Fungus Rhodonia placenta.
AB - Recently introduced micronized copper (MC) formulations, consisting of a
nanosized fraction of basic copper (Cu) carbonate (CuCO3.Cu(OH)2) nanoparticles
(NPs), were introduced to the market for wood protection. Cu NPs may presumably
be more effective against wood-destroying fungi than bulk or ionic Cu compounds.
In particular, Cu- tolerant wood-destroying fungi may not recognize NPs, which
may penetrate into fungal cell walls and membranes and exert their impact. The
objective of this study was to assess if MC wood preservative formulations have a
superior efficacy against Cu-tolerant wood-destroying fungi due to nano effects
than conventional Cu biocides. After screening a range of wood-destroying fungi
for their resistance to Cu, we investigated fungal growth of the Cu-tolerant
fungus Rhodonia placenta in solid and liquid media and on wood treated with MC
azole (MCA). In liquid cultures we evaluated the fungal response to ion, nano and
bulk Cu distinguishing the ionic and particle effects by means of the Cu2+
chelator ammonium tetrathiomolybdate (TTM) and measuring fungal biomass, oxalic
acid production and laccase activity of R. placenta. Our results do not support
the presence of particular nano effects of MCA against R. placenta that would
account for an increased antifungal efficacy, but provide evidence that attribute
the main effectiveness of MCA to azoles.
PMID- 26554707
TI - Predicting Optimal Outcomes in Cognitive Therapy or Interpersonal Psychotherapy
for Depressed Individuals Using the Personalized Advantage Index Approach.
AB - INTRODUCTION: Although psychotherapies for depression produce equivalent
outcomes, individual patients respond differently to different therapies.
Predictors of outcome have been identified in the context of randomized trials,
but this information has not been used to predict which treatment works best for
the depressed individual. In this paper, we aim to replicate a recently developed
treatment selection method, using data from an RCT comparing the effects of
cognitive therapy (CT) and interpersonal psychotherapy (IPT). METHODS: 134
depressed patients completed the pre- and post-treatment BDI-II assessment.
First, we identified baseline predictors and moderators. Second, individual
treatment recommendations were generated by combining the identified predictors
and moderators in an algorithm that produces the Personalized Advantage Index
(PAI), a measure of the predicted advantage in one therapy compared to the other,
using standard regression analyses and the leave-one-out cross-validation
approach. RESULTS: We found five predictors (gender, employment status, anxiety,
personality disorder and quality of life) and six moderators (somatic complaints,
cognitive problems, paranoid symptoms, interpersonal self-sacrificing,
attributional style and number of life events) of treatment outcome. The mean
average PAI value was 8.9 BDI points, and 63% of the sample was predicted to have
a clinically meaningful advantage in one of the therapies. Those who were
randomized to their predicted optimal treatment (either CT or IPT) had an
observed mean end-BDI of 11.8, while those who received their predicted non
optimal treatment had an end-BDI of 17.8 (effect size for the difference = 0.51).
DISCUSSION: Depressed patients who were randomized to their predicted optimal
treatment fared much better than those randomized to their predicted non-optimal
treatment. The PAI provides a great opportunity for formal decision-making to
improve individual patient outcomes in depression. Although the utility of the
PAI approach will need to be evaluated in prospective research, this study
promotes the development of a treatment selection approach that can be used in
regular mental health care, advancing the goals of personalized medicine.
PMID- 26554708
TI - High-Throughput Profiling of Caenorhabditis elegans Starvation-Responsive
microRNAs.
AB - MicroRNAs (miRNAs) are non-coding RNAs of ~22 nucleotides in length that regulate
gene expression by interfering with the stability and translation of mRNAs. Their
expression is regulated during development, under a wide variety of stress
conditions and in several pathological processes. In nature, animals often face
feast or famine conditions. We observed that subjecting early L4 larvae from
Caenorhabditis elegans to a 12-hr starvation period produced worms that are
thinner and shorter than well-fed animals, with a decreased lipid accumulation,
diminished progeny, reduced gonad size, and an increased lifespan. Our objective
was to identify which of the 302 known miRNAs of C. elegans changed their
expression under starvation conditions as compared to well-fed worms by means of
deep sequencing in early L4 larvae. Our results indicate that 13 miRNAs (miR-34
3p, the family of miR-35-3p to miR-41-3p, miR-39-5p, miR-41-5p, miR-240-5p, miR
246-3p and miR-4813-5p) were upregulated, while 2 miRNAs (let-7-3p and miR-85-5p)
were downregulated in 12-hr starved vs. well-fed early L4 larvae. Some of the
predicted targets of the miRNAs that changed their expression in starvation
conditions are involved in metabolic or developmental process. In particular,
miRNAs of the miR-35 family were upregulated 6-20 fold upon starvation.
Additionally, we showed that the expression of gld-1, important in oogenesis, a
validated target of miR-35-3p, was downregulated when the expression of miR-35-3p
was upregulated. The expression of another reported target, the cell cycle
regulator lin-23, was unchanged during starvation. This study represents a
starting point for a more comprehensive understanding of the role of miRNAs
during starvation in C. elegans.
PMID- 26554709
TI - Age- and Gender Dependent Liver Fat Content in a Healthy Normal BMI Population as
Quantified by Fat-Water Separating DIXON MR Imaging.
AB - OBJECTIVES: To establish age- and sex-dependent values of magnetic resonance (MR)
liver fat-signal fraction (FSF) in healthy volunteers with normal body-mass index
(BMI). METHODS: 2-point mDIXON sequences (repetition time/echo time,
4.2msec/1.2msec, 3.1msec) at 3.0 Tesla MR were acquired in 80 healthy volunteers
with normal BMI (18.2 to 25.7 kg/m2) between 20 and 62 years (10 men/10 women per
decade). FSF was measured in 5 liver segments (segment II, III, VI, VII, VIII)
based on mean signal intensities in regions of interest placed on mDIXON-based
water and fat images. Multivariate general linear models were used to test for
significant differences between BMI-corrected FSF among age subgroups. Pearson
and Spearman correlations between FSF and several body measures were calculated.
RESULTS: Mean FSF (%) +/- standard deviations significantly differed between
women (3.91 +/- 1.10) and men (4.69 +/- 1.38) and varied with age for women/men
(p-value: 0.002/0.027): 3.05 +/- 0.49/3.74 +/- 0.60 (age group 20-29), 3.75 +/-
0.66/4.99 +/- 1.30 (30-39), 4.76 +/- 1.16/5.25 +/- 1.97 (40-49) and 4.09 +/-
1.26/4.79 +/- 0.93 (50-62). FSF differences among age subgroups were significant
for women only (p = 0.003). CONCLUSIONS: MR-based liver fat content is higher in
men and peaks in the fifth decade for both genders.
PMID- 26554710
TI - Incidence and Effects of Polypharmacy on Clinical Outcome among Patients Aged
80+: A Five-Year Follow-Up Study.
AB - OBJECTIVES: Polypharmacy is a problem of growing interest in geriatrics with the
increase in drug consumption in recent years, is defined according to the WHO
criteria as the, ''concurrent use of five or more different prescription
medication". We investigated the clinical characteristics of polypharmacy and
identified the effects of polypharmacy on clinical outcome among patients aged
80+ admitted to Chinese PLA general hospital. METHODS: Older men aged >=80 years
(n = 1562) were included in this study. The included participants attended a
structured clinical examination and an interview carried out by a geriatrician
and trained nurses. A follow-up survey in 2014 was carried out on survivors in
the same way as in 2009. The clinical outcome measured were adverse drug
reactions, falls, frailty, disability, cognitive impairment, mortality. The
association between polypharmacy and clinical outcome was assessed by logistic
regression. RESULTS: The mean (range) age of the included participants was 85.2
(80-104) years. Medication exposure was reported by 100% of the population. Mean
number of medications reported in this population was 9.56+/-5.68. The prevalence
of polypharmacy (>=6 medications) in the present study was 70%. At the time of
the follow-up survey, an increase in the number of taken medicines had occurred
among half of the survivors. The risk of different outcomes in relation to number
of medications rises significantly, the odds ratios were 1.21 (95% confidence
interval [CI]1.17-1.28) for adverse drug reactions, 1.18 (95% CI 1.10-1.26) for
falls, 1.16 (95% CI 1.09-1.24) for disability, and 1.19 (95% CI 1.12-1.23) for
mortality. There was no association between increasing number of medications and
cognitive impairment. CONCLUSIONS: Our study demonstrates that polypharmacy is
very common in the very old patients, and observed that number of medications was
a factor associated with difference clinical outcome independently of the age,
type of medications prescribed and accompanied comorbidities.
PMID- 26554711
TI - Identifying Causal Risk Factors for Violence among Discharged Patients.
AB - BACKGROUND: Structured Professional Judgement (SPJ) is routinely administered in
mental health and criminal justice settings but cannot identify violence risk
above moderate accuracy. There is no current evidence that violence can be
prevented using SPJ. This may be explained by routine application of predictive
instead of causal statistical models when standardising SPJ instruments. METHODS:
We carried out a prospective cohort study of 409 male and female patients
discharged from medium secure services in England and Wales to the community.
Measures were taken at baseline (pre-discharge), 6 and 12 months post-discharge
using the Historical, Clinical and Risk-20 items version 3 (HCR-20v3) and
Structural Assessment of Protective Factors (SAPROF). Information on violence was
obtained via the McArthur community violence instrument and the Police National
Computer. RESULTS: In a lagged model, HCR-20v3 and SAPROF items were poor
predictors of violence. Eight items of the HCR-20v3 and 4 SAPROF items did not
predict violent behaviour better than chance. In re-analyses considering temporal
proximity of risk/ protective factors (exposure) on violence (outcome), risk was
elevated due to violent ideation (OR 6.98, 95% CI 13.85-12.65, P<0.001),
instability (OR 5.41, 95% CI 3.44-8.50, P<0.001), and poor coping/ stress (OR
8.35, 95% CI 4.21-16.57, P<0.001). All 3 risk factors were explanatory variables
which drove the association with violent outcome. Self-control (OR 0.13, 95% CI
0.08-0.24, P<0.001) conveyed protective effects and explained the association of
other protective factors with violence. CONCLUSIONS: Using two standardised SPJ
instruments, predictive (lagged) methods could not identify risk and protective
factors which must be targeted in interventions for discharged patients with
severe mental illness. Predictive methods should be abandoned if the aim is to
progress from risk assessment to effective risk management and replaced by
methods which identify factors causally associated with violence.
PMID- 26554712
TI - Monomethylarsonous Acid (MMAIII) Has an Adverse Effect on the Innate Immune
Response of Human Bronchial Epithelial Cells to Pseudomonas aeruginosa.
AB - Arsenic is the number one contaminant of concern with regard to human health
according to the World Health Organization. Epidemiological studies on Asian and
South American populations have linked arsenic exposure with an increased
incidence of lung disease, including pneumonia, and chronic obstructive pulmonary
disease, both of which are associated with bacterial infection. However, little
is known about the effects of low dose arsenic exposure, or the contributions of
organic arsenic to the innate immune response to bacterial infection. This study
examined the effects on Pseudomonas aeruginosa (P. aeruginosa) induced cytokine
secretion by human bronchial epithelial cells (HBEC) by inorganic sodium arsenite
(iAsIII) and two major metabolites, monomethylarsonous acid (MMAIII) and
dimethylarsenic acid (DMAV), at concentrations relevant to the U.S. POPULATION:
Neither iAsIII nor DMAV altered P. aeruginosa induced cytokine secretion. By
contrast, MMAIII increased P. aeruginosa induced secretion of IL-8, IL-6 and
CXCL2. A combination of iAsIII, MMAIII and DMAV (10 pbb total) reduced IL-8 and
CXCL1 secretion. These data demonstrate for the first time that exposure to
MMAIII alone, and a combination of iAsIII, MMAIII and DMAV at levels relevant to
the U.S. may have negative effects on the innate immune response of human
bronchial epithelial cells to P. aeruginosa.
PMID- 26554713
TI - Transcriptomic Analysis of Induced Pluripotent Stem Cells Derived from Patients
with Bipolar Disorder from an Old Order Amish Pedigree.
AB - Fibroblasts from patients with Type I bipolar disorder (BPD) and their unaffected
siblings were obtained from an Old Order Amish pedigree with a high incidence of
BPD and reprogrammed to induced pluripotent stem cells (iPSCs). Established iPSCs
were subsequently differentiated into neuroprogenitors (NPs) and then to neurons.
Transcriptomic microarray analysis was conducted on RNA samples from iPSCs, NPs
and neurons matured in culture for either 2 weeks (termed early neurons, E) or 4
weeks (termed late neurons, L). Global RNA profiling indicated that BPD and
control iPSCs differentiated into NPs and neurons at a similar rate, enabling
studies of differentially expressed genes in neurons from controls and BPD cases.
Significant disease-associated differences in gene expression were observed only
in L neurons. Specifically, 328 genes were differentially expressed between BPD
and control L neurons including GAD1, glutamate decarboxylase 1 (2.5 fold) and
SCN4B, the voltage gated type IV sodium channel beta subunit (-14.6 fold).
Quantitative RT-PCR confirmed the up-regulation of GAD1 in BPD compared to
control L neurons. Gene Ontology, GeneGo and Ingenuity Pathway Analysis of
differentially regulated genes in L neurons suggest that alterations in RNA
biosynthesis and metabolism, protein trafficking as well as receptor signaling
pathways may play an important role in the pathophysiology of BPD.
PMID- 26554714
TI - Plasma levels of trimethylamine-N-oxide are confounded by impaired kidney
function and poor metabolic control.
AB - BACKGROUND: After ingestion of phosphatidylcholine, l-carnitine or betaine,
trimethylamine-N-oxide (TMAO) is formed by gut microbiota and liver enzymes.
Elevated TMAO plasma levels were associated with increased cardiovascular risk
and other diseases. Also betaine and choline itself were recently associated with
increased cardiovascular risk. METHODS: A newly developed LC-HRMS method was
applied to measure the plasma concentrations of TMAO, betaine and choline in a
cohort of 339 patients undergoing coronary angiography for the evaluation of
suspected coronary artery disease. RESULTS: Betaine concentrations in males were
significantly higher than in females (42.0 vs. 35.9 MUmol/L; p < 0.001). Plasma
concentrations of TMAO but not of betaine or choline were higher in patients with
diabetes compared to euglycemic patients (2.39 vs. 0.980 MUmol/L; p = 0.001) as
well as in patients with metabolic syndrome as compared to patients without
metabolic syndrome (2.37 vs. 1.43 MUmol/L; p = 0.002). Plasma concentrations of
TMAO or choline increased significantly with decreasing renal function
(Spearman's rho: -0.281; p < 0.001). However, plasma levels of TMAO or betaine
were associated with neither a history of myocardial infarction nor the
angiographically assessed presence of coronary heart disease, nor incident
cardiovascular events during 8 years of follow-up. Plasma levels of choline were
significantly lower in patients with a history of acute myocardial infarction as
compared to those without such history (10.0 vs. 10.8 MUmol/L; p = 0.045).
CONCLUSIONS: Plasma levels of TMAO are confounded by impaired kidney function and
poor metabolic control but are not associated with the history, presence or
incidence of symptoms or events of coronary heart disease.
PMID- 26554715
TI - The association of circulating 25-hydroxyvitamin D concentration with peripheral
arterial disease: A meta-analysis of observational studies.
AB - BACKGROUND AND AIMS: The association of vitamin D deficiency with cardiovascular
disease is controversial. The present meta-analysis was performed to examine if
circulating levels of 25-hydroxyvitamin D [25(OH)D] were lower in patients with
peripheral artery disease (PAD) when compared to non-PAD controls. METHODS: A
comprehensive database search was conducted in Web of science, Scopus, PubMed,
EMBASE and The Cochrane Library to identify observational studies reporting
25(OH)D concentrations in PAD patients and non-PAD participants. Data extraction
and study quality assessments were conducted independently. A random-effects
model was used to meta-analyse extracted data and generate standardized mean
differences (SMDs) in circulating 25(OH)D levels between PAD patients and non-PAD
controls. Subgroup analyses were conducted focussing on patients presenting with
intermittent claudication (IC) and critical limb ischaemia (CLI). RESULTS: Six
case-control studies assessing 6418 individuals fulfilled the inclusion criteria.
Two studies were considered to be of moderate methodological quality and four
were considered to be of high quality. A meta-analysis of data from 1217 PAD
patients and 5201 non-PAD participants showed that circulating 25(OH)D
concentrations were lower in PAD patients compared with non-PAD participants (SMD
= -0.32, 95% CI: -0.58, -0.05; P = 0.02). Subgroup analyses showed that 25(OH)D
levels were significantly lower among PAD patients with CLI, but not IC, when
compared to non-PAD controls (SMD = -1.29, 95% CI: -1.66, -0.91; P < 0.001 and
SMD = -0.01, 95% CI: -0.15, 0.13; P=0.88, respectively). CONCLUSIONS: This meta
analysis suggests that low levels of circulating 25(OH)D are associated with PAD
presence, particularly in patients presenting with CLI. These data suggest the
possibility that vitamin D insufficiency may contribute to the development of
more advanced PAD although this remains to be confirmed.
PMID- 26554716
TI - Performance of an improperly sized and stretched-out loose-fitting powered air
purifying respirator: Manikin-based study.
AB - The objective of this study was to investigate the protection level offered by a
Powered Air-Purifying Respirator (PAPR) equipped with an improperly sized or
stretched-out loose-fitting facepiece using constant and cyclic flow conditions.
Improperly sized PAPR facepieces of two models as well as a stretched-out
facepiece were tested. These facepieces were examined in two versions: with and
without exhaust holes. Loose-fitting facepieces (size "large") were donned on a
small manikin headform and challenged with sodium chloride (NaCl) aerosol
particles in an exposure chamber. Four cyclic flows with mean inspiratory flows
(MIFs) of 30, 55, 85, and 135 L/min were applied using an electromechanical
Breathing Recording and Simulation System (BRSS). The manikin Fit Factor (mFF)
was determined as the ratio of aerosol concentrations outside (Cout) to inside
(Cin) of the facepiece, measured with a P-Trak condensation particle counter
(CPC). Results showed that the mFF decreased exponentially with increasing MIF.
The mFF values of the stretched-out facepiece were significantly lower than those
obtained for the undamaged ones. Facepiece type and MIF were found to
significantly affect the performance of the loose-fitting PAPR. The effect of the
exhaust holes was less pronounced and depended on the facepiece type. It was
concluded that an improperly sized facepiece might potentially offer relatively
low protection (mFF < 250) at high to strenuous workloads. The testing was also
performed at a constant inhalation flow to explore the mechanism of the particle
facepiece interaction. Results obtained with cyclic flow pattern were consistent
with the data generated when testing the loose-fitting PAPR under constant flow
conditions. The time-weighted average values of mFF calculated from the
measurements conducted under the constant flow regime were capable of predicting
the protection under cyclic flow regime. The findings suggest that program
administrators need to equip employees with properly sized facepieces and remove
stretched-out ones from workplace. Manufacturers should emphasize the importance
of proper sizing with their user instructions.
PMID- 26554717
TI - Probabilistic environmental risk assessment of five nanomaterials (nano-TiO2,
nano-Ag, nano-ZnO, CNT, and fullerenes).
AB - The environmental risks of five engineered nanomaterials (nano-TiO2, nano-Ag,
nano-ZnO, CNT, and fullerenes) were quantified in water, soils, and sediments
using probabilistic Species Sensitivity Distributions (pSSDs) and probabilistic
predicted environmental concentrations (PECs). For water and soil, enough
ecotoxicological endpoints were found for a full risk characterization (between
17 and 73 data points per nanomaterial for water and between 4 and 20 for soil)
whereas for sediments, the data availability was not sufficient. Predicted No
Effect Concentrations (PNECs) were obtained from the pSSD and used to calculate
risk characterization ratios (PEC/PNEC). For most materials and environmental
compartments, exposure and effect concentrations were separated by several orders
of magnitude. Nano-ZnO in freshwaters and nano-TiO2 in soils were the
combinations where the risk characterization ratio was closest to one, meaning
that these are compartment/ENM combinations to be studied in more depth with the
highest priority. The probabilistic risk quantification allows us to consider the
large variability of observed effects in different ecotoxicological studies and
the uncertainty in modeled exposure concentrations. The risk characterization
results presented in this work allows for a more focused investigation of
environmental risks of nanomaterials by consideration of material/compartment
combinations where the highest probability for effects with predicted
environmental concentrations is likely.
PMID- 26554718
TI - QQ-SNV: single nucleotide variant detection at low frequency by comparing the
quality quantiles.
AB - BACKGROUND: Next generation sequencing enables studying heterogeneous populations
of viral infections. When the sequencing is done at high coverage depth ("deep
sequencing"), low frequency variants can be detected. Here we present QQ-SNV
(http://sourceforge.net/projects/qqsnv), a logistic regression classifier model
developed for the Illumina sequencing platforms that uses the quantiles of the
quality scores, to distinguish true single nucleotide variants from sequencing
errors based on the estimated SNV probability. To train the model, we created a
dataset of an in silico mixture of five HIV-1 plasmids. Testing of our method in
comparison to the existing methods LoFreq, ShoRAH, and V-Phaser 2 was performed
on two HIV and four HCV plasmid mixture datasets and one influenza H1N1 clinical
dataset. RESULTS: For default application of QQ-SNV, variants were called using a
SNV probability cutoff of 0.5 (QQ-SNV(D)). To improve the sensitivity we used a
SNV probability cutoff of 0.0001 (QQ-SNV(HS)). To also increase specificity, SNVs
called were overruled when their frequency was below the 80(th) percentile
calculated on the distribution of error frequencies (QQ-SNV(HS-P80)). When
comparing QQ-SNV versus the other methods on the plasmid mixture test sets, QQ
SNV(D) performed similarly to the existing approaches. QQ-SNV(HS) was more
sensitive on all test sets but with more false positives. QQ-SNV(HS-P80) was
found to be the most accurate method over all test sets by balancing sensitivity
and specificity. When applied to a paired-end HCV sequencing study, with lowest
spiked-in true frequency of 0.5%, QQ-SNV(HS-P80) revealed a sensitivity of 100%
(vs. 40-60% for the existing methods) and a specificity of 100% (vs. 98.0-99.7%
for the existing methods). In addition, QQ-SNV required the least overall
computation time to process the test sets. Finally, when testing on a clinical
sample, four putative true variants with frequency below 0.5% were consistently
detected by QQ-SNV(HS-P80) from different generations of Illumina sequencers.
CONCLUSIONS: We developed and successfully evaluated a novel method, called QQ
SNV, for highly efficient single nucleotide variant calling on Illumina deep
sequencing virology data.
PMID- 26554719
TI - Distribution and molecular characteristics of Vibrio cholerae O1 El Tor isolates
recovered in Guangdong Province, China, 1961-2013.
AB - China's Guangdong Province is located along the same latitude as Kolkata, India
and Dhaka, Bangladesh, and is also considered a source of epidemic cholera.
However, molecular description and the genetic relationships between Vibrio
cholerae O1 El Tor isolates in Guangdong remain unclear. In this study, 381
clinical V. cholerae O1 isolates recovered from cholera cases presenting in
Guangdong between 1961 and 2013 were investigated by PCR, amplicon sequencing and
pulsed-field gel electrophoresis (PFGE). During this time frame, four distinct
epidemic periods (1-4) were observed based on the different dominant serotype
leading its epidemic, correspond to years; or time periods from/to 1961-1969,
1978-1989, 1990-2000, 2001-2013, respectively. Molecular analysis of
representative isolates indicated that a single dominating clone was associated
with each epidemic stage. All isolates from periods 1 and 2 carried the typical
El Tor ctxB; this allele was displaced by classical ctxB beginning in 1993.
However all isolates carried the El Tor-specific toxin-coregulated pili subunit A
(tcpA). Isolates were grouped into five clusters on the basis of Not I enzyme
digested PFGE, and the first four clusters were associated with specific periods,
cluster I (period 1), II (period 3), III (period 2) and IV (period 4),
respectively. While cluster V consisted of isolates from all four epidemic
periods, but was most heterogeneous in appearance. Our data indicate genetic
variations that shape the relationship among emerging isolates of V. cholerae O1
in Guangdong Province contribute to the 7th global pandemic.
PMID- 26554720
TI - Circulating Progenitor Cells and Childhood Cardiovascular Disease.
AB - Circulating progenitor cells have been extensively studied in the context of
heart disease in adults. In these patients, they have been demonstrated to be
markers of myocardial injury and recovery as well as potential therapeutic
agents. However, studies in children are much more limited. Here we review
current knowledge pertaining to circulating progenitor cells in the context of
childhood cardiovascular disease. Priorities for further research are also
highlighted.
PMID- 26554721
TI - Inhibition of murine breast cancer growth and metastasis by survivin-targeted
siRNA using disulfide cross-linked linear PEI.
AB - Biodegradable disulfide-containing polyethyleneimine (PEI) derivatives showed
great potential as siRNA vectors for the treatment of cancer due to the reduction
sensitive property. In this study, we developed and characterized a hyperbranched
disulfide cross-linked PEI (lPEI-SS) based on linear PEI (lPEI) by ring-opening
reaction of propylene sulfide. We evaluated the efficiency of lPEI-SS as a siRNA
vector in vitro with luciferase reporter gene system, and investigated the anti
tumor efficacy of survivin-targeted siRNA (siRNA(sur)) on 4T1 murine breast
cancer model using lPEI-SS synthesized here. Results from cytotoxicity and
hemolysis assay proved that lPEI-SS showed favorable cell and blood
compatibility. lPEI-SS/siRNA polyplexes prepared under the optimized condition
were compact spherical particles with the average size of 229.0nm and zeta
potential of 42.67mV. Cellular uptake of lPEI-SS/siRNA polyplexes was
significantly improved due to the higher branching degree of lPEI-SS over the
parent lPEI. lPEI-SS/siRNA(sur) exhibited great anti-proliferation effect on 4T1
cell line, which was found to be caused by the induction of apoptosis. Most
importantly, results of tumor volume, tumor weight and histological observation
demonstrated that lPEI-SS/siRNA(sur) polyplexes effectively inhibited the tumor
growth and metastasis of 4T1 murine breast cancer model.
PMID- 26554724
TI - Cerebral mast cells contribute to postoperative cognitive dysfunction by
promoting blood brain barrier disruption.
AB - Trauma induced neuroinflammation plays a key role in the development of
postoperative cognitive dysfunction (POCD). The blood-brain barrier (BBB), a
highly specialized endothelial layer, is exquisitely sensitive to inflammatory
insults, which can result in numerous neurocognitive syndromes. While brain mast
cells are the "first responder" in the injury, the functional interactions
between mast cells and the BBB remain poorly understood. Our results demonstrate
that tibial fracture surgery can induce cognitive impairment relating to an
inflammatory response and destabilization of the BBB. Disodium cromoglycate
(cromolyn)--which acts as a mast cell stabilizer--inhibited this effect.
Specifically, cromolyn resulted in ameliorated cognitive ability, decrease of
inflammatory cytokines and increase of BBB stability. Taken together, these
results suggest that activated mast cells contributed to central nervous system
inflammation and cognitive dysfunction by promoting BBB disruption, and
interactions between mast cells and the BBB could constitute a new and unique
therapeutic target for POCD.
PMID- 26554725
TI - Induction of depression-related behaviors by reactivation of chronic Toxoplasma
gondii infection in mice.
AB - Although Toxoplasma gondii (T. gondii) infection is relevant to many psychiatric
disorders, the fundamental mechanisms of its neurobiological correlation with
depression are poorly understood. Here, we show that reactivation of chronic
infection by an immunosuppressive regimen caused induction of depressive-like
behaviors without obvious sickness symptoms. However, the depression-related
behaviors in T. gondii-infected mice, specifically, reduced sucrose preference
and increased immobility in the forced-swim test were observed at the
reactivation stage, but not in the chronic infection. Interestingly, reactivation
of T. gondii was associated with production of interferon-gamma and activation of
brain indoleamine 2, 3-dioxygenase, which converts tryptophan to kynurenine and
makes it unavailable for serotonin synthesis. Furthermore, serotonin turnover to
its major metabolite, 5-hydroxyindoleacetic acid, was also enhanced at the
reactivation stage. Thus, enhanced tryptophan catabolic shunt and serotonin
turnover may be implicated in development of depressive-like behaviors in mice
with reactivated T. gondii.
PMID- 26554726
TI - Reduction in 50-kHz call-numbers and suppression of tickling-associated positive
affective behaviour after lesioning of the lateral hypothalamic parvafox nucleus
in rats.
AB - The parvafox nucleus is located ventrolaterally in the lateral hypothalamic area
(LHA). Its core and shell are composed of neurons expressing the calcium-binding
protein parvalbumin (PV) and the transcription factor Foxb1, respectively. Given
the known functions of the LHA and that the parvafox nucleus receives afferents
from the lateral orbitofrontal cortex and projects to the periaqueductal gray
matter, a functional role of this entity in the expression of positive emotions
has been postulated. The purpose of the present study was to ascertain whether
the deletion of neurons in the parvafox nucleus influenced the tickling-induced
50-kHz calls, which are thought to reflect positive affective states, in rats. To
this end, tickling of the animals (heterospecific play) was combined with
intracerebral injections of the excitotoxin kainic acid into the parvafox
nucleus. The most pronounced surgery-associated reduction in 50-kHz call-numbers
was observed in the group of rats in which, on the basis of PV-immunoreactive
cell counts in the parvafox nucleus, bilateral lesions had been successfully
produced. Two other parameters that were implemented to quantify positive
affective behaviour, namely, an approach towards and a following of the hand of
the tickling experimenter, were likewise most markedly suppressed in the group of
rats with bilaterally successful lesions. Furthermore, positive correlations were
found between each of the investigated parameters. Our data afford evidence that
the parvafox nucleus plays a role in the production of 50-kHz calls in rats, and,
more generally, in the expression of positive emotions.
PMID- 26554723
TI - Hard to swallow: Developmental biological insights into pediatric dysphagia.
AB - Pediatric dysphagia-feeding and swallowing difficulties that begin at birth, last
throughout childhood, and continue into maturity--is one of the most common,
least understood complications in children with developmental disorders. We argue
that a major cause of pediatric dysphagia is altered hindbrain patterning during
pre-natal development. Such changes can compromise craniofacial structures
including oropharyngeal muscles and skeletal elements as well as motor and
sensory circuits necessary for normal feeding and swallowing. Animal models of
developmental disorders that include pediatric dysphagia in their phenotypic
spectrum can provide mechanistic insight into pathogenesis of feeding and
swallowing difficulties. A fairly common human genetic developmental disorder,
DiGeorge/22q11.2 Deletion Syndrome (22q11DS) includes a substantial incidence of
pediatric dysphagia in its phenotypic spectrum. Infant mice carrying a parallel
deletion to 22q11DS patients have feeding and swallowing difficulties that
approximate those seen in pediatric dysphagia. Altered hindbrain patterning,
craniofacial malformations, and changes in cranial nerve growth prefigure these
difficulties. Thus, in addition to craniofacial and pharyngeal anomalies that
arise independently of altered neural development, pediatric dysphagia may result
from disrupted hindbrain patterning and its impact on peripheral and central
neural circuit development critical for feeding and swallowing. The mechanisms
that disrupt hindbrain patterning and circuitry may provide a foundation to
develop novel therapeutic approaches for improved clinical management of
pediatric dysphagia.
PMID- 26554728
TI - Cerebrospinal fluid-derived circulating tumour DNA better represents the genomic
alterations of brain tumours than plasma.
AB - Cell-free circulating tumour DNA (ctDNA) in plasma has been shown to be
informative of the genomic alterations present in tumours and has been used to
monitor tumour progression and response to treatments. However, patients with
brain tumours do not present with or present with low amounts of ctDNA in plasma
precluding the genomic characterization of brain cancer through plasma ctDNA.
Here we show that ctDNA derived from central nervous system tumours is more
abundantly present in the cerebrospinal fluid (CSF) than in plasma. Massively
parallel sequencing of CSF ctDNA more comprehensively characterizes the genomic
alterations of brain tumours than plasma, allowing the identification of
actionable brain tumour somatic mutations. We show that CSF ctDNA levels
longitudinally fluctuate in time and follow the changes in brain tumour burden
providing biomarkers to monitor brain malignancies. Moreover, CSF ctDNA is shown
to facilitate and complement the diagnosis of leptomeningeal carcinomatosis.
PMID- 26554729
TI - Evaluation of the Ocular Tolerance of Three Tacrolimus Topical Pharmaceutical
Preparations by Bovine Corneal Opacity and Permeability Test.
AB - PURPOSE: Tacrolimus ocular preparations are commonly employed in autoimmune or
inflammatory ocular disorders. However, currently there are not yet approved
ocular formulations. Tacrolimus ocular side effects have been reported in
clinical use, so the evaluation of different pharmaceutical preparations is
mandatory. In this study, the local corneal tolerance and safety profile of three
common tacrolimus 0.03% pharmaceutical preparations were evaluated. MATERIAL AND
METHODS: Corneal irritation and permeability of tacrolimus preparations were
evaluated with the bovine corneal opacity and permeability (BCOP) test.
Complementary corneal hematoxylin/eosin and immunohistochemistry staining for
tight junctions and adherent junctions E-cadherin, VE-cadherin and zonula
occludens-1 were examined and scored to evaluate and to confirm corneal
disruption and irritation scores obtained with the BCOP method. RESULTS:
Commercial brand ointment (Protopic(r)), topical compounded eye ointment
(pharmacy elaboration) and tacrolimus suspension eye drops (elaborated from
parenteral prograf(r)) were tested as potential ocular preparations to be used in
clinics. Tacrolimus preparations hereby studied do not alter the opacity and
permeability of the bovine cornea by more than three units, measured by the In
Vitro Irritancy Score, neither affected the immunohistochemical parameters,
composite score or transepithelial electrical resistance. CONCLUSIONS: Tacrolimus
preparations studied can be safely applied as a topical ocular treatment.
PMID- 26554730
TI - Thalassobius abyssi sp. nov., a marine bacterium isolated from cold-seep
sediment.
AB - A novel marine bacterial strain, designated JAMH 043T, was isolated from cold
seep sediment in Sagami Bay, Japan. Cells were Gram-stain-negative, rod-shaped,
non-motile and aerobic chemo-organotrophs. The isolate grew optimally at 25
degrees C, at pH 7.0-7.5 and with 3 % (w/v) NaCl. The major respiratory quinone
was ubiquinone-10 (Q-10). The predominant fatty acid was C18 : 1omega7c. On the
basis of 16S rRNA gene sequence analysis, the isolated strain was closely
affiliated to members of the genus Thalassobius in the class Alphaproteobacteria,
and 16S rRNA gene sequence similarity of the novel isolate with the type strain
of its closest related species, Thalassobius aestuarii JC2049T, was 98.4 %. The
DNA G+C content of the novel strain was 58.0 mol%. The hybridization values for
DNA-DNA relatedness between strain JAMH 043T and reference strains belonging to
the genus Thalassobius were less than 14.1 +/- 2.2 %. Based on differences in
taxonomic characteristics, the isolated strain represents a novel species of the
genus Thalassobius, for which the name Thalassobius abyssi sp. nov. is proposed.
The type strain is JAMH 043T ( = JCM 30900T = DSM 100673T).
PMID- 26554731
TI - HPV vaccination for MSM: Synthesis of the evidence and recommendations from the
Quebec Immunization Committee.
AB - Diseases associated with the human papilloma virus (HPV) are particularly common
among men who have sex with men (MSM). Unlike heterosexual men, MSM do not
benefit from the herd protection provided by the vaccination of girls. In this
review, we synthesize the available evidence on HPV vaccination for MSM. We also
present the recommendations of the Quebec Immunization Committee (CIQ) in this
regard, which are: to provide targeted vaccination for MSM up to 26 years of age
and in-school vaccination of preadolescent boys since this is the best approach
to take to have a real impact on the burden related to HPV in the MSM population
and to provide direct protection for all men.
PMID- 26554732
TI - Development of prognostic model for predicting survival after retrograde
placement of ureteral stent in advanced gastrointestinal cancer patients and its
evaluation by decision curve analysis.
AB - BACKGROUND: The aim of this study was to determine risk factors for survival
after retrograde placement of ureteral stents and develop a prognostic model for
advanced gastrointestinal tract (GIT: esophagus, stomach, colon and rectum)
cancer patients. METHODS: We examined the clinical records of 122 patients who
underwent retrograde placement of a ureteral stent against malignant extrinsic
ureteral obstruction. A prediction model for survival after stenting was
developed. We compared its clinical usefulness with our previous model based on
the results from nephrostomy cases by decision curve analysis. RESULTS: Median
follow-up period was 201 days (8-1490) and 97 deaths occurred. The 1-year
survival rate in this cohort was 29%. Based on multivariate analysis, primary
site of colon origin, absence of retroperitoneal lymph node metastasis and serum
albumin >3g/dL were significantly associated with a prolonged survival time. To
develop a prognostic model, we divided the patients into 3 risk groups of
favorable: 0-1 factors (N.=53), intermediate: 2 risk factors (N.=54), and poor: 3
risk factors (N.=15). There were significant differences in the survival profiles
of these 3 risk groups (P<0.0001). Decision curve analyses revealed that the
current model has a superior net benefit than our previous model for most of the
examined probabilities. CONCLUSIONS: We have developed a novel prognostic model
for GIT cancer patients who were treated with retrograde placement of a ureteral
stent. The current model should help urologists and medical oncologists to
predict survival in cases of malignant extrinsic ureteral obstruction.
PMID- 26554733
TI - Modality and dosing of acute renal replacement therapy.
AB - Acute renal replacement therapy (ARRT) is today routinely applied in critically
ill patients with acute kidney injury. Nevertheless, differently from other
therapies in the acute care setting which have specific posology, duration of
treatment, serum through and peak levels and clearly predetermined continuous or
intermittent way of administration, ARRT may appear difficult to dose, prescribe,
deliver and monitor. Additionally, current literature has provided controversial
results about many aspects of ARRT administration. This review will focus on the
definition of dialytic dose, extensively detailing different dimensions of ARRT
delivery: as a matter of fact, the provision of a dialytic session to a
critically ill patient should not be limited to the simplistic mathematical
calculation of an exact dose. Adequacy of ARRT implies the concomitant
consideration of more complex issues such as timing, modality and techniques of
ARRT delivery, anticoagulation and substitution fluids choice, membrane
selection, monitor accuracy, the role of fluid overload and other patients'
comorbidities. The capacity of clinicians of considering all these aspects,
adapting the different dimensions of dose to the actual patients' needs, might be
the fundamental missing element in the pathway towards significant outcome
improvements of critically ill AKI patients needing ARRT.
PMID- 26554734
TI - Reduced Susceptibility to Xanthomonas citri in Transgenic Citrus Expressing the
FLS2 Receptor From Nicotiana benthamiana.
AB - Overexpression of plant pattern-recognition receptors by genetic engineering
provides a novel approach to enhance plant immunity and broad-spectrum disease
resistance. Citrus canker disease associated with Xanthomonas citri is one of the
most important diseases damaging citrus production worldwide. In this study, we
cloned the FLS2 gene from Nicotiana benthamiana cDNA and inserted it into the
binary vector pBinPlus/ARS to transform Hamlin sweet orange and Carrizo citrange.
Transgene presence was confirmed by polymerase chain reaction (PCR) and gene
expression of NbFLS2 was compared by reverse transcription quantitative PCR.
Reactive oxygen species (ROS) production in response to flg22Xcc was detected in
transgenic Hamlin but not in nontransformed controls. Low or no ROS production
was detected from nontransformed Hamlin seedlings challenged with flg22Xcc.
Transgenic plants highly expressing NbFLS2 were selected and were evaluated for
resistance to canker incited by X. citri 3213. Our results showed that the
integration and expression of the NbFLS2 gene in citrus can increase canker
resistance and defense-associated gene expression when challenged with X. citri.
These results suggest that canker-susceptible Citrus genotypes lack strong basal
defense induced by X. citri flagellin and the resistance of these genotypes can
be enhanced by transgenic expression of the flagellin receptor from a resistant
species.
PMID- 26554735
TI - A Fungal Effector With Host Nuclear Localization and DNA-Binding Properties Is
Required for Maize Anthracnose Development.
AB - Plant pathogens have the capacity to manipulate the host immune system through
the secretion of effectors. We identified 27 putative effector proteins encoded
in the genome of the maize anthracnose pathogen Colletotrichum graminicola that
are likely to target the host's nucleus, as they simultaneously contain sequence
signatures for secretion and nuclear localization. We functionally characterized
one protein, identified as CgEP1. This protein is synthesized during the early
stages of disease development and is necessary for anthracnose development in
maize leaves, stems, and roots. Genetic, molecular, and biochemical studies
confirmed that this effector targets the host's nucleus and defines a novel class
of double-stranded DNA-binding protein. We show that CgEP1 arose from a gene
duplication in an ancestor of a lineage of monocot-infecting Colletotrichum spp.
and has undergone an intense evolution process, with evidence for episodes of
positive selection. We detected CgEP1 homologs in several species of a grass
infecting lineage of Colletotrichum spp., suggesting that its function may be
conserved across a large number of anthracnose pathogens. Our results demonstrate
that effectors targeted to the host nucleus may be key elements for disease
development and aid in the understanding of the genetic basis of anthracnose
development in maize plants.
PMID- 26554736
TI - Pseudomonas syringae pv. tomato OxyR Is Required for Virulence in Tomato and
Arabidopsis.
AB - Reactive oxygen species (ROS) have been shown to have a crucial role in plant
defense responses and signaling pathways. In addition, ROS also have direct
toxicity against pathogens. However, the molecular mechanisms of plant ROS in the
direct effects against pathogens is still unclear. To investigate the function of
plant ROS in the interactions of plant and bacterial pathogens, we focused on
oxyR, encoding an oxidative stress-regulated transcription factor in Pseudomonas
syringae pv. tomato DC3000 (DC3000), and generated an DeltaoxyR mutant. The
DC3000 DeltaoxyR mutant showed high sensitivity to oxidative stress in comparison
with wild type and the complemented line. The host plants of DC3000, including
tomato and Arabidopsis inoculated with the DeltaoxyR mutant, clearly showed
reduced disease symptoms as well as reduced bacterial populations. Expression
profiles of DC3000 genes revealed that OxyR could regulate the expression of
genes encoding ROS-detoxifying enzymes, including catalases (KatB and KatG), in
response to ROS. We also demonstrated that the expression of katB could be
regulated by OxyR during the infection of DC3000 in Arabidopsis. These results
suggest that OxyR has an important role in the virulence of DC3000 by regulating
the expression of genes related to oxidative stress.
PMID- 26554737
TI - A tertiary experience of ileal-ureter substitution: Contemporary indications and
outcomes.
AB - OBJECTIVE: Complex ureteric stricture disease in contemporary practice is
typically related to prior pelvic surgery, radiotherapy, or complicated, repeated
retrograde stone surgery, although outcomes in this group have not been well
studied. The aim of this study was to report medium-term outcomes with ileal
ureter substitution for complex ureteric stricture disease. MATERIALS AND
METHODS: All patients who had undergone ureteric reconstructive surgery using
small bowel over a 5 year period between 2010 and 2015 were identified from the
theatre database and their case notes reviewed. Data were collected on aetiology
of ureteric stricture, prior surgery or radiotherapy, baseline renal function and
comorbidity. Postoperative complications were recorded using the Clavien-Dindo
classification, and overall outcome and need for further intervention were
documented. RESULTS: Nine patients underwent ileal-ureter substitution for
complex ureteric stricture disease over this period, with four having bilateral
ileal interpositions. Median age was 48 years (38-62 years) with a median follow
up of 17 months (1-40 months). Simple untailored ileal segments and refluxing
anastomoses were used in all cases. One case of anastomotic leak and restricture
required reintervention, but all others had favourable outcomes with no stricture
and no requirement for further intervention. Two patients reported recurrent
cystitis following surgery but there was no deterioration in renal function in
any patient, with no metabolic complications reported. CONCLUSION: Ileal-ureter
substitution surgery is a valuable option for selected patients with complex,
difficult-to-treat ureteric defects that cannot be bridged by other methods.
Simple onlay techniques do not seem to affect renal or metabolic function.
Avoiding the extra complexity of tailored and tunnelled anastomoses may reduce
the potential morbidity and reintervention rate in patients with challenging
surgical fields.
PMID- 26554738
TI - First Detection of the -27 (A > G) (HBB: c.-77A > G) Mutation of the beta-Globin
Gene in a Chinese Family.
AB - We present the first description of a novel beta-thalassemia (beta-thal) mutation
in a Chinese family. This mutation is located at -27 of the TATA box in the
promoter of the beta-globin gene (HBB: c.-77A > G) and is likely associated with
a phenotype of beta(+)-thalassemia (beta(+)-thal).
PMID- 26554739
TI - Cost-effectiveness analysis of genotyping for HLA-B*5801 and an enhanced safety
program in gout patients starting allopurinol in Singapore.
AB - AIMS: Allopurinol is an efficacious urate-lowering therapy (ULT), but is
associated with rare serious adverse drug reactions of Stevens-Johnson syndrome
(SJS) and toxic epidermal necrolysis (TEN), with higher risk among HLA-B*5801
carriers. We assessed the cost-effectiveness of HLA-B*5801 testing, an enhanced
safety program or strategies with both components. METHODS: The analysis adopted
a health systems perspective and considered Singaporean patients with chronic
gout, over a lifetime horizon, using allopurinol or probenecid. The model
incorporated SJS/TEN and gout treatment outcomes, allele frequencies, drug prices
and other medical costs. RESULTS: Based on cost-effectiveness threshold of
US$50,000 per quality-adjusted life year, HLA-B*5801-guided ULT selection or
enhanced safety program was not cost effective. Avoidance of ULTs was the least
preferred strategy as uncontrolled gout leads to lower quality-adjusted life
years and higher costs. CONCLUSION: The analysis underscores the need for
biomarkers with higher positive predictive value for SJS/TEN, less expensive
genetic tests or safety programs, or more effective gout drugs. .
PMID- 26554740
TI - Cleansing of Body and Spirit.
PMID- 26554741
TI - 10-Gingerol inhibits proliferation and invasion of MDA-MB-231 breast cancer cells
through suppression of Akt and p38MAPK activity.
AB - In the present study, we investigated the roles and molecular mechanism of 10
gingerol, a phenolic compound isolated from Zingiber officinale, in regulating
cell proliferation and invasion of MDA-MB-231 breast cancer cells. 10-gingerol
treatment inhibited cell proliferation through downregulation of cell cycle
regulatory proteins such as cyclin-dependent kinases and cyclins, and subsequent
induction of G1 phase arrest. In addition, 10-gingerol treatment blocked cell
invasion in response to mitogenic stimulation. These antitumor activities of 10
gingerol were mediated through inactivation of Akt and p38MAPK activity, and
suppression of epidermal growth factor receptor expression. Collectively, these
findings demonstrate the pharmacological roles of 10-gingerol in regulating
breast cancer cell growth and progression, and suggest further evaluation and
development as a potential therapeutic agent for the prevention and treatment of
breast cancer.
PMID- 26554742
TI - Selection of an actinobacteria mixed culture for chlordane remediation. Pesticide
effects on microbial morphology and bioemulsifier production.
AB - Chlordane bioremediation using actinobacteria mixed culture is an attractive
clean-up technique. Their ability to produce bioemulsifiers could increase the
bioavailability of this pesticide. In order to select a defined actinobacteria
mixed culture for chlordane remediation, compatibility assays were performed
among six Streptomyces strains. The strains did not show growth inhibition, and
they were assayed for chlordane removal, either as pure or as mixed cultures. In
pure cultures, all of the strains showed specific dechlorination activity (1.42
24.20 EU mg(-1)) and chlordane removal abilities (91.3-95.5%). The specific
dechlorination activity was mainly improved with cultures of three or four
microorganisms. The mixed culture consisting of Streptomyces sp. A2-A5-A13 was
selected. Their ability to produce bioemulsifiers in the presence of glucose or
chlordane was tested, but no significant differences were observed (p > 0.05).
However, the stability of the emulsions formed was linked to the carbon source
used. Only in chlordane presence the emulsions retained 100% of their initial
height. Finally, the selected consortium showed a high degree of sporulation in
the pesticide presence. This is the first study on the effects that chlordane
exerts on microbe morphology and emulsifier production for a defined mixed
culture of Streptomyces with ability to remediate the pesticide.
PMID- 26554743
TI - Insight of In Vitro Small-Interfering RNA Release From Chitosan Nanoparticles
Under Enzymolysis With Forster Resonance Energy Transfer Analysis.
AB - Small-interfering RNA (siRNA)-mediated gene silencing with the aid of chitosan
(CS)-based carriers has shown efficient and reliable outcome in vitro, but the
gene silencing efficiency in vivo is still limited. It is of great importance to
balance the protection and release of siRNA from nanoparticles (NPs) so as to
achieve high efficiency. However, siRNA release profile from CS/siRNA NPs has
been rarely concerned. Here, Forster resonance energy transfer technique was
adopted for in vitro investigation of siRNA release from CS NPs in lysozyme
contained buffer. The results clearly showed that siRNA molecules experienced a
fast and short release phase under lysozyme competition to both CS and siRNA, and
then a slow and long release under lysozyme degradation on CS. Moreover, lysozyme
competition played more important role than enzymolysis on trigging siRNA
release. This preliminary study of siRNA release is the first step to get insight
of in vivo siRNA release mechanism from CS/siRNA NPs, which will be helpful to
adjust the design of CS/siRNA NPs for balancing the protection and release of
siRNA molecules.
PMID- 26554744
TI - A Reproducible Computerized Method for Quantitation of Capillary Density using
Nailfold Capillaroscopy.
AB - Capillaroscopy is a non-invasive, efficient, relatively inexpensive and easy to
learn methodology for directly visualizing the microcirculation. The
capillaroscopy technique can provide insight into a patient's microvascular
health, leading to a variety of potentially valuable dermatologic,
ophthalmologic, rheumatologic and cardiovascular clinical applications. In
addition, tumor growth may be dependent on angiogenesis, which can be quantitated
by measuring microvessel density within the tumor. However, there is currently
little to no standardization of techniques, and only one publication to date
reports the reliability of a currently available, complex computer based
algorithms for quantitating capillaroscopy data.(1) This paper describes a new,
simpler, reliable, standardized capillary counting algorithm for quantitating
nailfold capillaroscopy data. A simple, reproducible computerized capillaroscopy
algorithm such as this would facilitate more widespread use of the technique
among researchers and clinicians. Many researchers currently analyze
capillaroscopy images by hand, promoting user fatigue and subjectivity of the
results. This paper describes a novel, easy-to-use automated image processing
algorithm in addition to a reproducible, semi-automated counting algorithm. This
algorithm enables analysis of images in minutes while reducing subjectivity; only
a minimal amount of training time (in our experience, less than 1 hr) is needed
to learn the technique.
PMID- 26554745
TI - Analysis of Complete Remission in Systemic Lupus Erythematosus Patients Over a 32
Year Period.
AB - OBJECTIVE: Systemic lupus erythematosus (SLE) is characterized by an
unpredictable and fluctuating course. Although various methods have been
developed to measure disease activity, there is still a lack of consensus about
the optimal criteria for SLE remission. The principal aim of our study was to
identify the number of lupus patients achieving a complete remission (implying
that for 3 years there were no clinical or serologic features and no treatment
with steroids and immunosuppressive drugs) in a single cohort of patients
followed for a period of up to 32 years. In addition, we have identified patients
in clinical but not serologic remission (known as serologically active,
clinically quiescent disease [SACQ]) and vice versa. We were particularly
interested to determine the factors associated with complete remission. METHODS:
Eligible patients were followed up in the University College Hospital Lupus
cohort from January 1978 until December 2010 for a period of at least 3 years.
Complete remission was defined as a period of at least 3 years with clinical
inactivity (British Isles Lupus Assessment Group scores of C, D, or E only) and
laboratory remission (no antibodies to double-stranded DNA and normal complement
C3 levels), and being off-treatment with corticosteroids and immunosuppressants.
Antimalarial and nonsteroidal antiinflammatory drugs were allowed. RESULTS: Of
624 lupus patients at our hospital, a total of 532 patients met the strict
inclusion criteria for the study. Of these 532 patients, 77 patients (14.5%)
achieved complete remission for at least 3 years, and 23 (4.3%) achieved complete
remission for a minimum period of 10 years. Ten of these 77 patients were
subsequently lost to followup, and, interestingly, flares occurred subsequently
in 15 of the 67 remaining patients (22.4%). Three patients relapsed after the
tenth year of remission. Forty-five patients (8.5%) fulfilled the requirement for
SACQ, and 66 patients (12.4%) achieved only serologic remission. CONCLUSION: Our
study indicated that 14.5% of lupus patients achieved a complete remission for 3
years. However, flares may continue to occur beyond 10 years of remission. Long
term followup of SLE is therefore mandatory.
PMID- 26554746
TI - Vitamin K antagonists' use and fracture risk: results from a systematic review
and meta-analysis: reply.
PMID- 26554747
TI - Regulation of skeletal muscle capillary growth in exercise and disease.
AB - Capillaries, which are the smallest and most abundant type of blood vessel, form
the primary site of gas, nutrient, and waste transfer between the vascular and
tissue compartments. Skeletal muscle exhibits the capacity to generate new
capillaries (angiogenesis) as an adaptation to exercise training, thus ensuring
that the heightened metabolic demand of the active muscle is matched by an
improved capacity for distribution of gases, nutrients, and waste products. This
review summarizes the current understanding of the regulation of skeletal muscle
capillary growth. The multi-step process of angiogenesis is coordinated through
the integration of a diverse array of signals associated with hypoxic, metabolic,
hemodynamic, and mechanical stresses within the active muscle. The contributions
of metabolic and mechanical factors to the modulation of key pro- and anti
angiogenic molecules are discussed within the context of responses to a single
aerobic exercise bout and short-term and long-term training. Finally, the
paradoxical lack of angiogenesis in peripheral artery disease and diabetes and
the implications for disease progression and muscle health are discussed. Future
studies that emphasize an integrated analysis of the mechanisms that control
skeletal muscle capillary growth will enable development of targeted exercise
programs that effectively promote angiogenesis in healthy individuals and in
patient populations.
PMID- 26554748
TI - A Highly Reactive Seven-Coordinate Osmium(V) Oxo Complex:
[Os(V)(O)(qpy)(pic)Cl](2+).
AB - Seven-coordinate ruthenium oxo species have been proposed as active intermediates
in catalytic water oxidation by a number of highly active ruthenium catalysts,
however such species have yet to be isolated. Reported herein is the first
example of a seven-coordinate group 8 metal-oxo species,
[Os(V)(O)(qpy)(pic)Cl](2+) (qpy = 2,2':6',2'':6'',2'''-quaterpyridine, pic = 4
picoline). The X-ray crystal structure of this complex shows that it has a
distorted pentagonal bipyramidal geometry with an Os=O distance of 1.7375 A. This
oxo species undergoes facile O-atom and H-atom-transfer reactions with various
organic substrates. Notably it can abstract H atoms from alkylaromatics with C-H
bond dissociation energy as high as 90 kcal mol(-1). This work suggests that
highly active oxidants may be designed based on group 8 seven-coordinate metal
oxo species.
PMID- 26554749
TI - Intrapartum Synthetic Oxytocin and Its Effects on Maternal Well-Being at 2 Months
Postpartum.
AB - BACKGROUND: Synthetic oxytocin (synOT) is commonly used in labor management to
induce and augment labor, and to prevent postpartum hemorrhage. However, its long
term consequences for maternal health and behavior are largely understudied. We
examined the relationship between synOT and maternal oxytocin levels,
breastfeeding, and maternal mental health at 2 months postpartum. METHODS: Women
were recruited during pregnancy or within 48 hours of giving birth through
obstetric practices and hospitals. A total of 386 women were visited in their
homes at 2 months postpartum, where they completed questionnaires assessing
breastfeeding, depression, anxiety, posttraumatic stress, and somatization.
Oxytocin levels were obtained from blood samples and synOT dosage information was
gathered from hospital charts. RESULTS: Intrapartum synOT dose was positively
correlated with endogenous oxytocin levels at 2 months postpartum. Women who were
exclusively breastfeeding at 2 months postpartum had received significantly less
synOT compared with their nonexclusively breastfeeding counterparts. Higher synOT
dose was associated with greater depressive, anxious, and somatization symptoms.
SynOT dose was not associated with perinatal posttraumatic stress. CONCLUSIONS:
The widespread use of synOT in managed labor warrants caution, as the influence
of synOT on a new mother's well-being is evident at 2 months postpartum.
PMID- 26554750
TI - Prenatal triptan exposure and parent-reported early childhood neurodevelopmental
outcomes: an application of propensity score calibration to adjust for unmeasured
confounding by migraine severity.
AB - PURPOSE: Triptan medications are serotonin agonists used to treat migraine, a
chronic pain condition highly prevalent in women of reproductive age. Data on the
safety of triptans during pregnancy are scant. We sought to quantify the
association of prenatal triptan exposure on neurodevelopment in 3-year-old
children. METHODS: Using data from the Norwegian Mother and Child Cohort Study,
we used propensity score matching to examine associations between prenatal
triptan exposure and psychomotor function, communication, and temperament. We
used an external validation study to perform propensity calibration to adjust
effect estimates for confounders unmeasured in the main study (migraine severity,
type, and maternal attitudes towards medication use). RESULTS: We identified 4204
women who reported migraine headache at baseline, of which 375 (8.9%) reported
using a triptan greater than or equal to once during pregnancy. Children with
prenatal triptan exposure had 1.37-fold greater unadjusted odds of fine motor
problems (95% confidence interval (CI): 1.06-1.77), which decreased after
propensity score matching (odds ratio (OR): 1.29, 95%CI 0.97-1.73) and was
further attenuated after calibration (OR: 1.25, 95%CI 0.89-1.74). We observed no
increased risk for gross motor or communication problems, and no differences in
temperament. Adjustment for migraine severity using propensity score calibration
had a moderate impact on effect estimates, with percent changes ranging from 2.4%
to 50%. CONCLUSIONS: Prenatal triptan exposure was not associated with
psychomotor function, communication problems, or temperament in 3-year-old
children. Adjustment for migraine severity reduced effect estimates and should be
considered in future studies of the safety of triptans during pregnancy.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26554751
TI - Patterns of recurrence and survival after abdominal versus laparoscopic/robotic
radical hysterectomy in patients with early cervical cancer.
AB - AIM: This study investigates the pattern of disease recurrence and identifies the
clinicopathologic prognostic factors for patients with International Federation
of Gynecology and Obstetrics (FIGO) stage IB and IIA cervical carcinoma treated
with laparoscopic/robotic radical hysterectomy (LRH/RRH). METHODS: We conducted a
retrospective analysis of 128 patients with FIGO stage IB and IIA cervical
cancer. Preoperative examination did not uncover definitive evidence of
parametrial invasion or lymph node metastasis in any of the patients; therefore,
all patients underwent LRH/RRH with retroperitoneal lymphadenectomy between April
2006 and December 2013. Sites of disease recurrence and all possible
clinicopathologic factors related to the risk of disease recurrence were
determined. RESULTS: Multivariate analysis demonstrated that laparoscopic
intracorporeal colpotomy (P < 0.041, odds ratio 7.038, 95% confidence interval
1.059-15.183) represented a strong prognostic factor related to disease
recurrence. We categorized the minimally invasive surgery group into LRH through
vaginal colpotomy (LRH-VC; 79 patients) and LRH/RRH through intracorporeal
colpotomy (LRH/RRH-IC; 49 patients) according to the colpotomic approaches.
Disease recurrence was higher in the LRH/RRH-IC group than in the LRH-VC group
(16.3% vs 5.1%, P = 0.057), with five patients in the LRH/RRH-IC group
experiencing intraperitoneal spreads. CONCLUSIONS: Total laparoscopic/robotic
intracorporeal colpotomy under CO2 pneumoperitoneum may carry a risk of positive
vaginal cuff margin, as well as intraperitoneal tumor spreads in patients with
early-stage cervical cancer treated with LRH/RRH.
PMID- 26554753
TI - A cross-biome synthesis of soil respiration and its determinants under simulated
precipitation changes.
AB - Soil respiration (Rs) is the second-largest terrestrial carbon (C) flux. Although
Rs has been extensively studied across a broad range of biomes, there is
surprisingly little consensus on how the spatiotemporal patterns of Rs will be
altered in a warming climate with changing precipitation regimes. Here, we
present a global synthesis Rs data from studies that have manipulated
precipitation in the field by collating studies from 113 increased precipitation
treatments, 91 decreased precipitation treatments, and 14 prolonged drought
treatments. Our meta-analysis indicated that when the increased precipitation
treatments were normalized to 28% above the ambient level, the soil moisture, Rs,
and the temperature sensitivity (Q10) values increased by an average of 17%, 16%,
and 6%, respectively, and the soil temperature decreased by -1.3%. The greatest
increases in Rs and Q10 were observed in arid areas, and the stimulation rates
decreased with increases in climate humidity. When the decreased precipitation
treatments were normalized to 28% below the ambient level, the soil moisture and
Rs values decreased by an average of -14% and -17%, respectively, and the soil
temperature and Q10 values were not altered. The reductions in soil moisture
tended to be greater in more humid areas. Prolonged drought without alterations
in the amount of precipitation reduced the soil moisture and Rs by -12% and -6%,
respectively, but did not alter Q10. Overall, our synthesis suggests that soil
moisture and Rs tend to be more sensitive to increased precipitation in more arid
areas and more responsive to decreased precipitation in more humid areas. The
responses of Rs and Q10 were predominantly driven by precipitation-induced
changes in the soil moisture, whereas changes in the soil temperature had limited
impacts. Finally, our synthesis of prolonged drought experiments also emphasizes
the importance of the timing and frequency of precipitation events on ecosystem C
cycles. Given these findings, we urge future studies to focus on manipulating the
frequency, intensity, and seasonality of precipitation with an aim to improving
our ability to predict and model feedback between Rs and climate change.
PMID- 26554752
TI - Antibodies to Porphyromonas gingivalis Indicate Interaction Between Oral
Infection, Smoking, and Risk Genes in Rheumatoid Arthritis Etiology.
AB - OBJECTIVE: To investigate the role of the periodontal pathogen Porphyromonas
gingivalis in the etiology of rheumatoid arthritis (RA) by analyzing the antibody
response to the P gingivalis virulence factor arginine gingipain type B (RgpB) in
relation to anti-citrullinated protein antibodies (ACPAs), smoking, and HLA-DRB1
shared epitope (SE) alleles in patients with periodontitis, patients with RA, and
controls. METHODS: Anti-RgpB IgG was measured by enzyme-linked immunosorbent
assay in 65 periodontitis patients and 59 controls without periodontitis, and in
1,974 RA patients and 377 controls without RA from the Swedish population-based
case-control Epidemiological Investigation of Rheumatoid Arthritis (EIRA) study.
Autoantibody status, smoking habits, and genetic data were retrieved from the
EIRA database. Differences in antibody levels were examined using the Mann
Whitney U test. Unconditional logistic regression was used to calculate odds
ratios (ORs) with 95% confidence intervals (95% CIs) for the association of anti
RgpB IgG with different subsets of RA patients. RESULTS: Anti-RgpB antibody
levels were significantly elevated in periodontitis patients compared to controls
without periodontitis, in RA patients compared to controls without RA, and in
ACPA-positive RA patients compared to ACPA-negative RA patients. There was a
significant association between anti-RgpB IgG and RA (OR 2.96 [95% CI 2.00,
4.37]), which was even stronger than the association between smoking and RA (OR
1.37 [95% CI 1.07, 1.74]), and in ACPA-positive RA there were interactions
between anti-RgpB antibodies and both smoking and the HLA-DRB1 SE. CONCLUSION:
Our study suggests that the previously reported link between periodontitis and RA
could be accounted for by P gingivalis infection, and we conclude that P
gingivalis is a credible candidate for triggering and/or driving autoimmunity and
autoimmune disease in a subset of RA patients.
PMID- 26554755
TI - Lymphopenia in Patients with Single-ventricle Heart Disease after the Fontan
Operation.
AB - BACKGROUND: Lymphopenia is common in patients with Fontan circulation and no
history of protein-losing enteropathy, but this phenomenon has not been
significantly described in the literature. METHODS: We retrospectively identified
patients with Fontan circulation who underwent catheterization between January
2003 and January 2013 at our center. Patients who had complete blood count with
differential drawn within 12 months of the catheterization were included.
Patients were excluded if complete blood count with differential was drawn in
setting of possible infection or if there was history of protein-losing
enteropathy (PLE). Possible associations between patient characteristics and
absolute lymphocyte count (ALC) were examined. RESULTS: Fifteen patients were
included. The median age at catheterization was 10.2 years (3.8-26.9) and median
time from Fontan operation was 6.5 years (0.7-22.1). Twelve (80%) patients had
undergone extracardiac Fontan and 9 (60%) had fenestration placed. The median
time between complete blood count with differential and catheterization was 2
days (0-346). The median inferior vena cava (IVC) pressure was 13 mm Hg (7-20).
The median ALC was 1.5 * 10(3) /MUL (0.8-4.5). Four patients (26.7%) met criteria
for lymphopenia with ALC < lower limit of normal and 7 (46.7%) patients had an
ALC <= lower limit of normal. ALC was not associated with any hemodynamic
variables but was associated with platelet count (rho = 0.5, P = .04), total
white blood cell count (rho = 0.8, P <= .001), and absolute monocyte count (0.7,
P = .002). CONCLUSIONS: In a cohort of patients with Fontan circulation and no
history of protein-losing enteropathy who underwent catheterization, lymphopenia
was common and positively associated with low platelet count. Thrombocytopenia
has been shown to correlate with the degree of hepatic fibrosis in those with
Fontan and, thus, hepatic fibrosis may underlie lymphopenia in these patients.
PMID- 26554754
TI - Relation between the level of lymph node metastasis and survival in locally
advanced head and neck squamous cell carcinoma.
AB - BACKGROUND: The current head and neck squamous cell carcinoma (HNSCC) staging
system may not capture the full prognostic implications of regional lymph node
involvement. This study investigated the impact of the level of lymph node
metastasis (LNM) on survival. METHODS: The Surveillance, Epidemiology, and End
Results registry was queried for oral cavity (OC), oropharynx (OP), larynx (LAR),
and hypopharynx (HP) HNSCC. A multivariate Cox proportional hazards model was
used to evaluate whether the level of LNM was an independent prognostic factor.
Site-specific recursive-partitioning analysis was performed to classify patients
into different risk groups. RESULTS: In all, 14,499 patients, including OC (n =
2463), OP (n = 8567), LAR (n = 2332), and HP patients (n = 1137), were analyzed.
Both the American Joint Committee on Cancer (AJCC) N classification and the level
of LNM showed significant effects on overall survival (OS) in patients with OC,
OP, or LAR HNSCC but not in patients with HP HNSCC. In patients with N2 disease,
the AJCC subclassification (N2a, N2b, or N2c) was significantly associated with
the OS of patients with OP and LAR HNSCC but not with the OS of patients with OC
or HP HNSCC, whereas the level of LNM (primary, secondary, or tertiary) was
significantly associated with the OS of patients with OC, OP, and LAR HNSCC but
not HP HNSCC. With recursive-partitioning analysis, a simple, primary site
specific prognostic tool integrating the AJCC T and N classifications and the
level of LNM was designed, and it could be easily used by health care providers
in clinic. CONCLUSIONS: The level of LNM is an independent prognostic factor for
patients with locally advanced HNSCC and could add to the prognostic value of
AJCC T and N classifications in patients with locally advanced HNSCC.
PMID- 26554756
TI - Disease burden of congenital cytomegalovirus infection at school entry age: study
design, participation rate and birth prevalence.
AB - Congenital cytomegalovirus infection (cCMV) may lead to symptoms at birth and
long-term consequences. We present a nationwide, retrospective cohort study on
the outcome of cCMV up to age 6 years. For this study we identified cCMV, using
polymerase chain reaction, by analysing dried blood spots, which are taken
shortly after birth for neonatal screening. The group of children with cCMV were
compared to a group of children who were cCMV negative at birth. Data were
collected about their health and development up to age 6 years. Parents of 73 693
children were invited to participate, and 32 486 (44.1%) gave informed consent
for testing of their child's dried blood spot for CMV. Of the 31 484 dried blood
spots tested, 156 (0.5%) were positive for cCMV. Of these, four (2.6%) children
had been diagnosed with cCMV prior to this study. This unique retrospective
nationwide study permits the estimation of long-term sequelae of cCMV up to the
age of 6 years. The birth prevalence of cCMV in this study was 0.5%, which is in
line with prior estimates. Most (97.4%) children with cCMV had not been diagnosed
earlier, indicating under-diagnosis of cCMV.
PMID- 26554757
TI - Caffeine intake is related to successful weight loss maintenance.
AB - The effect of caffeine intake on weight loss maintenance has not been examined in
humans. We compared the daily consumption of coffee and caffeinated beverages
between 494 weight loss maintainers and 2129 individuals from the general
population controlling for sociodemographic variables, body mass index and
physical activity level. Weight loss maintainers reported to consume
significantly more cups of coffee and caffeinated beverages compared with the
participants in the general population sample. Thus, consumption of caffeinated
beverages might support weight loss maintenance. Further studies should
investigate possible mechanisms.
PMID- 26554759
TI - Exploring the Nanoscale: Fifteen Years of Tip-Enhanced Raman Spectroscopy.
AB - Spectroscopic methods with high spatial resolution are essential to understand
the physical and chemical properties of nanoscale materials including biological
and chemical materials. Tip-enhanced Raman spectroscopy (TERS) is a combination
of surface-enhanced Raman spectroscopy (SERS) and scanning probe microscopy
(SPM), which can provide high-resolution topographic and spectral information
simultaneously below the diffraction limit of light. Even examples of sub
nanometer resolution have been demonstrated. This review intends to give an
introduction to TERS, focusing on its basic principle and the experimental setup,
the strengths followed by recent applications, developments, and perspectives in
this field.
PMID- 26554758
TI - The effect of moderate alcohol consumption on biomarkers of inflammation and
hemostatic factors in postmenopausal women.
AB - BACKGROUND/OBJECTIVES: Inflammation and hemostasis contribute to the etiology of
cardiovascular disease. We previously demonstrated that moderate alcohol
consumption (1-2 drinks/day) may decrease risk for cardiovascular disease because
of an improved lipid profile. In addition to these beneficial changes, the
alcohol-mediated reduction in risk may be through its effect on inflammation and
hemostasis. The objective of the study was to evaluate the effect of moderate
alcohol consumption on biomarkers of inflammation and hemostasis in
postmenopausal women. SUBJECTS/METHODS: As part of a controlled diet study, 53
postmenopausal women each consumed a weight-maintaining diet plus 0, 15 and 30
g/day of alcohol for 8 weeks, in a randomized crossover design. The controlled
diet contained 15%, 53% and 32% of energy from protein, carbohydrate and fat,
respectively. RESULTS: Soluble intercellular adhesion molecule-1 decreased by 5%
(P<0.05) with consumption of both 15 and 30 g of alcohol. Fibrinogen
concentrations decreased by 4% and 6% (P<0.05) after consumption of 15 and 30 g
alcohol, respectively. Fibrin D-dimer decreased by 24% (P<0.05) after consumption
of 30 g of alcohol. Plasminogen activator inhibitor-1 (PAI-1) concentrations were
increased 27 and 54% (P<0.05) after consumption of 15 and 30 g of alcohol. Plasma
high-sensitivity C-reactive protein, interleukin-6 and factor VII coagulant
activity did not change with alcohol consumption. CONCLUSIONS: These data suggest
that moderate alcohol consumption may have beneficial effects on inflammation and
hemostasis in postmenopausal women, and this may be somewhat mitigated by an
increase in PAI-1.
PMID- 26554760
TI - Bioinspired Hydroxyapatite/Poly(methyl methacrylate) Composite with a Nacre
Mimetic Architecture by a Bidirectional Freezing Method.
AB - Using a bidirectional freezing technique, combined with uniaxial pressing and in
situ polymerization, "nacre-mimetic" hydroxyapatite/poly(methyl methacrylate)
(PMMA) composites are developed by processing large-scale aligned lamellar
ceramic scaffolds. Structural and mechanical characterization shows "brick-and
mortar" structures, akin to nacre, with interesting combinations of strength,
stiffness, and work of fracture, which provide a pathway to making strong and
tough lightweight materials.
PMID- 26554761
TI - Mitogen-Activated Protein Kinase Kinase 4 Gene Polymorphism and Cancer Risk.
AB - A number of epidemiological studies have assessed the association of -1304T > G
polymorphism in the MKK4 gene and risk of cancer, but the results lack of
statistical power due to the limited subjects used in these studies. This study
was devised to identify the genetic effects of the -1304T > G polymorphism on
cancer risk in a large meta-analysis.Eligible studies were identified by
searching both Chinese and English databases. General as well as subgroup
analyses were performed for 8 independent case-control publications with a total
of 4623 cases and 5256 cancer-free controls. Odds ratios (ORs) and 95% confidence
intervals (CIs) were used to estimate the association.Overall, this meta-analysis
showed that the association between the -1304T > G polymorphism and cancer risk
was statistically significant (GG vs TT: OR = 0.63, 95% CI, 0.52-0.75; GG + TG vs
TT: OR = 0.85, 95% CI, 0.79-0.91; GG vs TG + TT: OR = 0.67, 95% CI, 0.56-0.80; G
vs T: OR = 0.82, 95% CI, 0.77-0.88; TG vs TT: OR = 0.86, 95% CI, 0.79-0.93).Our
meta-analysis reveals that the presence of the -1304T > G polymorphism is likely
to decrease risk of cancer. Future larger studies are necessary to validate the
current finding.
PMID- 26554762
TI - Predictive Value of Serum miR-10b, miR-29c, and miR-205 as Promising Biomarkers
in Esophageal Squamous Cell Carcinoma Screening.
AB - Esophageal squamous cell carcinoma (ESCC) is a leading cause of cancer-related
deaths worldwide. The high mortality of ESCC is mainly due to late diagnosis.
Current detection methods have their own weakness, including high costs and
invasive procedures. MicroRNA assays are shown to have great potential to be
accurate and noninvasive methods for ESCC screening. In this study, we selected 3
microRNAs, miR-10b, miR-29c, and miR-205, to assess their diagnostic value in
ESCC screening. Fifty ESCC patients and 50 healthy controls are recruited in our
study. Blood samples are collected from the total 100 participants. MicroRNAs
were extracted from serum and quantified by qRT-PCR, which their relative
expressions were normalized by internal control, U6 snRNA. Statistical analyses
were conducted to compare microRNAs level as well as other clinical
characteristics between 2 groups. The levels of serum miR-29c and miR-205 were
significantly downregulated in ESCC patients compared with healthy volunteers. In
contrast, ESCC patients appeared to have a higher level of miR-10b than healthy
controls. ROC curve analyses revealed that the AUC value for miR-10b, miR-29c,
and miR-205 were 0.85 (95% CI: 0.79-0.93; sensitivity = 76%; specificity = 84%),
0.72 (95% CI: 0.62-0.82; sensitivity = 68%; specificity = 68%), and 0.72 (95% CI:
0.62-0.83; sensitivity = 70%; specificity = 64%), respectively, suggesting that
miR-10b, miR-29c, and miR-205 have great potential to be noninvasive screening
tools for ESCC detection.
PMID- 26554764
TI - Application of Optical Coherence Tomography and Contrast Sensitivity Test for
Observing Fundus Changes of Patients With Pregnancy-Induced Hypertension
Syndrome.
AB - This study was aimed to investigate the fundus changes of patients with pregnancy
induced hypertension syndrome (PIHS) using optical coherence tomography (OCT)
technology and contrast sensitivity (CS) tests.Ninety-eight patients with PIHS
underwent routine eye examinations including vision correction, fundus
examination, OCT, and CS tests. The CS test was performed at low, medium, and
high frequency, respectively. Moreover, the difference in CS tests between 2
groups was analyzed by independent-samples T test. The Kruskal-Wallis rank sum
test and linear regression model were used to detect the correlation of OCT with
CS, respectively. Meanwhile Satterthwaite approximate T test was adopted for
pairwise comparisons after nonparametric analysis of variance.The OCT test
revealed that 56.76% of the examined eyes showed shallow retinal detachment in
the macula lutea and around the optic disk. The differences in CS at each spatial
frequency between the case and control group were statistically significant (P <
0.01). Besides, OCT manifestations were associated with CS at each spacial
frequency including 1.5, 3, 6, 12, and 18 frequency (P < 0.01). And patients with
abnormal manifestations of OCT showed lower CS at each spacial frequency than
those without abnormal OCT manifestations. What's more the OCT manifestation 1
showed the greatest impact on CS at each spacial frequency.The results showed
that abnormal OCT manifestations were correlated with CS in PIHS. OCT and CS
tests might be valuable methods in observing fundus changes for PIHS patients.
PMID- 26554763
TI - Which Biomarker is the Best for Predicting Mortality in Incident Peritoneal
Dialysis Patients: NT-ProBNP, Cardiac TnT, or hsCRP?: A Prospective Observational
Study.
AB - Although numerous previous studies have explored various biomarkers for their
ability to predict mortality in end-stage renal disease (ESRD) patients, these
studies have been limited by retrospective analyses, mostly prevalent dialysis
patients, and the measurement of only 1 or 2 biomarkers. This prospective study
was aimed to evaluate the association between 3 biomarkers and mortality in
incident 335 ESRD patients starting continuous ambulatory peritoneal dialysis
(CAPD) in Korea. According to the baseline NT-proBNP, cTnT, and hsCRP levels, the
patients were stratified into tertiles, and cardiovascular (CV) and all-cause
mortalities were compared. Additionally, time-dependent ROC curves were
constructed, and the net reclassification index (NRI) and integrated
discrimination improvement (IDI) of the models with various biomarkers were
calculated. We found the upper tertile of NT-proBNP was significantly associated
with increased risk of both CV and all-cause mortalities. However, the upper
tertile of hsCRP was significantly related only to the high risk of all-cause
mortality even after adjustment for age, sex, and white blood cell counts.
Moreover, NT-proBNP had the highest predictive power for CV mortality, whereas
hsCRP was the best prognostic marker for all-cause mortality among these
biomarkers. In conclusions, NT-proBNP is a more significant prognostic factor for
CV mortality than cTnT and hsCRP, whereas hsCRP is a more significant predictor
than NT-proBNP and cTnT for all-cause mortality in incident peritoneal dialysis
patients.
PMID- 26554765
TI - Surgical Therapy of Cervical Spine Fracture in Patients With Ankylosing
Spondylitis.
AB - The present study aimed to explore surgical treatments and assess the effects
based on the features of cervical spine fracture in patients with ankylosing
spondylitis (AS) and to summarize the experiences in perioperative management.
Retrospective analysis was performed in 25 AS patients with cervical spine
fracture treated in our hospital from January 2011 to December 2013. The patients
were divided according to fracture segments, including 4 cases at C4 to C5, 8
cases at C5 to C6, and 13 cases at C6 to C7. Among them, 12 belonged to I type, 5
to II type, and 8 to III type based on the improved classification method for AS
cervical spine fracture. The Subaxial Cervical Spine Injury Classification score
for these patients was 7.2 +/- 1.3, and the assessment of their neurological
function states showed 6 patients (24%) were in American Spinal Injury
Association (ASIA) A grade, 1 (4%) in ASIA B grade, 3 (12%) in ASIA C grade, 12
(48%) in ASIA D grade, and 3 (12%) in ASIA E grade. Surgical methods contained
simple anterior approach alone, posterior approach alone, and combined posterior
anterior or anterior-posterior approach. The average duration of patients'
hospital stay was 38.6 +/- 37.6, and the first surgical methods were as follows:
anterior approach alone on 6 cases, posterior surgery alone on 9 cases, and
combined posterior-anterior or anterior-posterior approach on 10 patients. The
median segments of fixation and fusion were 4.1 +/- 1.4 sections. Thirteen
patients developed complications. During 2 to 36 months of postoperative follow
up, 1 patient died of respiratory failure caused by pulmonary infections 2 months
after leaving hospital. At the end of the follow-up, bone graft fusion was
achieved in the rest of patients, and obvious looseness or migration of internal
fixation was not observed. In addition, the preoperative neurological injury in
12 patients (54.5%) was also alleviated in different levels. AS cervical spine
fracture, an unstable fracture, should be treated with operation, and
satisfactory effects will be achieved after the individualized surgical treatment
according to the improved classification method for AS cervical spine fracture.
PMID- 26554766
TI - Efficacy and Safety of Denosumab in Postmenopausal Women With Osteoporosis: A
Meta-Analysis.
AB - The purpose of this study was to perform a meta-analysis to examine the efficacy
and safety of denosumab in postmenopausal women with osteoporosis.Medline,
Cochrane Library, EMBASE, and Google Scholar databases were searched until
October 30, 2014 using combinations of the following search terms: osteoporosis,
postmenopause, postmenopausal, women, denosumab. The primary outcome was bone
mineral density (BMD) change, and secondary outcomes were change in the bone
turnover markers beta-isomerized carboxy-terminal cross-linking telopeptide of
type I collagen (CTX) and serum procollagen type I amino-terminal propeptide
(P1NP), and adverse events.Patients treated with denosumab had significantly
increased BMD of the lumbar spine (7.58%), total hip (4.86%), and distal third of
the radius (2.92%) than those treated with placebo (all, P < 0.001). Patients
treated with denosumab had a significant decrease of CTX (-66.16%) and P1NP (
64.65%) as compared with those treated with placebo (both, P < 0.001). Adverse
events were similar between the 2 groups (pooled odds ratio = 1.04, P =
0.625).Denosumab increases BMD and decreases markers of bone turnover in
postmenopausal women with osteoporosis, and is not associated with significant
side-effects.
PMID- 26554767
TI - Case Reports on the Differentiation of Malignant and Benign Intratracheal Lesions
by 18F-FDG PET/CT.
AB - Malignant tracheal tumors (primary and secondary) are rare and benign tumors of
the tracheobronchial tree are also rare. Few reports have been issued on the F
fluorodeoxyglucose (F-FDG) positron emission tomography (PET) findings of
tracheal tumors or benign nontumorous tracheal lesions, which have been mainly
studied by computed tomography (CT). The author reports 2 cases of intratracheal
lesions with quite different F-FDG PET/CT findings. The first case was of a 73
year-old woman with colon cancer treated by hemicolectomy and subsequent adjuvant
chemotherapy. Follow-up F-FDG PET/CT after 6 years revealed a hypermetabolic
fungating mass (SUVmax: 5.8) in the distal trachea and biopsy confirmed
intratracheal metastasis. The second case involved a 61-year-old man with tongue
cancer who underwent mouth floor mass excision and right supraomohyoid neck
dissection with submental flap reconstruction. Tracheal lesion was incidentally
found during a F-FDG PET/CT follow-up study conducted 1 year later. A benign
intratracheal condition with low FDG uptake (SUVmax: 1.2) and the lesion was not
visualized by neck CT 4 months later. F-FDG PET/CT uptake was helpful in
differentiating benign and malignant intratracheal lesions.
PMID- 26554768
TI - New Trends in Acute Management of Colonic Diverticular Bleeding: A Systematic
Review.
AB - Colonic diverticular disease is the most common cause of lower gastrointestinal
bleeding. In the past, this condition was usually managed with urgent colectomy.
Recently, the development of endoscopy and interventional radiology has led to a
change in the management of colonic diverticular bleeding.The aim of this
systematic review is to define the best treatment for colonic diverticular
bleeding.A systematic bibliographic research was performed on the online
databases for studies (randomized controlled trials [RCTs], observational trials,
case series, and case reports) published between 2005 and 2014, concerning
patients admitted with a diagnosis of diverticular bleeding according to the
PRISMA methodology.The outcomes of interest were: diagnosis of diverticulosis as
source of bleeding; incidence of self-limiting diverticular bleeding; management
of non self-limiting bleeding (endoscopy, angiography, surgery); and recurrent
diverticular bleeding.Fourteen studies were retrieved for analysis. No RCTs were
found. Eleven non-randomized clinical controlled trials (NRCCTs) were included in
this systematic review. In all studies, the definitive diagnosis of diverticular
bleeding was always made by urgent colonoscopy. The colonic diverticular bleeding
stopped spontaneously in over 80% of the patients, but a re-bleeding was not
rare. Recently, interventional endoscopy and angiography became the first-line
approach, thus relegating emergency colectomy to patients presenting with
hemodynamic instability or as a second-line treatment after failure or
complications of hemostasis with less invasive treatments.Colonoscopy is
effective to diagnose diverticular bleeding. Nowadays, interventional endoscopy
and angiographic treatment have gained a leading role and colectomy should only
be entertained in case of failure of the former.
PMID- 26554769
TI - Combination Measles-Mumps-Rubella-Varicella Vaccine in Healthy Children: A
Systematic Review and Meta-analysis of Immunogenicity and Safety.
AB - A combined measles-mumps-rubella-varicella (MMRV) vaccine is expected to
facilitate universal immunization against these 4 diseases. This study was
undertaken to synthesize current research findings of the immunogenicity and
safety of MMRV in healthy children.We searched PubMed, Embase, BIOSIS Previews,
Web of Science, Cochrane Library, and other databases through September 9, 2014.
Eligible randomized controlled trials (RCTs) were selected and collected
independently by 2 reviewers. Meta-analysis was conducted using Stata 12.0 and
RevMan 5.3.Twenty-four RCTs were included in qualitative synthesis. Nineteen RCTs
compared single MMRV dose with measles-mumps-rubella vaccine with or without
varicella vaccine (MMR + V/MMR). Similar seroconversion rates of these 4 viruses
were found between comparison groups. There were comparable geometric mean titers
(GMTs) against mumps and varicella viruses between MMRV group and MMR + V/MMR
group. MMRV group achieved enhanced immune response to measles component, with
GMT ratio of 1.66 (95% confidence interval [CI] 1.48, 1.86; P < 0.001) for MMRV
versus MMR and 1.62 (95% CI 1.51, 1.70; P < 0.001) for MMRV versus MMR + V.
Meanwhile, immune response to rubella component in MMRV group was slightly
reduced, GMT ratios were 0.81 (95% CI 0.78, 0.85; P < 0.001) and 0.79 (95% CI
0.76, 0.83; P < 0.001), respectively. Well tolerated safety profiles were
demonstrated except higher incidence of fever (relative risks 1.12-1.60) and
measles/rubella-like rash (relative risks 1.44-1.45) in MMRV groups.MMRV had
comparable immunogenicity and overall safety profiles to MMR + V/MMR in healthy
children based on current evidence.
PMID- 26554770
TI - The Incidence of Deep Vein Thrombosis in Asian Patients With Chronic Obstructive
Pulmonary Disease.
AB - Most studies have focused on the prevalence of deep vein thrombosis (DVT) and
pulmonary embolism in patients with chronic obstructive pulmonary disease (COPD)
and acute exacerbation in Caucasian populations. DVT is supposedly less likely to
occur among Asians than Caucasians, and the primary purpose of this study was to
determine the actual incidence of DVT in patients with COPD in Asian
populations.We enrolled patients over the age of 40 with a diagnosis of COPD
(International Classification of Diseases, Ninth Revision [ICD-9]: 490-492, 496;
A-code: A323 and A325) between 1998 and 2008. The index date was the date of
first-time COPD diagnosis. We excluded the patients who had been diagnosed with
COPD and DVT (The International Classification of Diseases, Ninth Revision,
Clinical Modification [ICD-9-CM]: 453.8) before index date. The control group was
frequency-matched according to age (3-year stratum), sex, and the year of
admission, at a 2:1 ratio. Patients were followed from index date to when either
a diagnosis of DVT was made, death occurred, December 31, 2009 was reached, or
when the patients withdrew from the National Health Insurance program.The overall
incidence rate of DVT was 18.78 per 10,000 person-years in patients with COPD,
and the adjusted hazard ration of DVT in patients with COPD was 1.38 (95%
confidence interval 1.06-1.80), which was greater than patients without COPD
after adjusting for age, sex, atrial fibrillation, hypertension, diabetes,
hyperlipidemia, cerebrovascular accident, congestive heart failure, lower leg
fracture or surgery, and cancer.Asian patients with COPD had a higher incidence
of DVT than non-COPD patients.
PMID- 26554771
TI - Correlation of GLUT9 Polymorphisms With Gout Risk.
AB - Single nucleotide polymorphisms (SNPs) at the glucose transporter 9 (GLUT9) locus
are clearly related to uric acid concentrations previously identified as a major
cause of gout. Due to the important function of various SNPs, we hypothesized
that the common GLUT9 polymorphisms (rs16890979, rs6855911, and rs7442295) are
associated with gout risk. The purpose of this investigation was to test the
hypothesis.Gout risk was estimated by calculating odds ratios and 95% confidence
intervals (ORs and 95% CIs). Either the fixed- or the random-effect model was
used for OR calculations. Subgroup analyses were carried out by ethnicity for
rs16890979 and by gender for all SNPs.We analyzed a total of 8 studies involving
2525 subjects for rs16890979, 2654 for rs6855911, and 2637 for rs7442295. A
significantly declined risk was suggested in the meta-analyses of rs16890979
under dominant model (OR = 0.44, 95% CI = 0.34-0.58) and heterozygote model (OR =
0.44, 95% CI = 0.33-0.59). The OR was 0.41 under allele frequency model (OR =
0.41, 95% CI = 0.33-0.53). Significantly declined risk in relation to rs16890979
was also found among Asians. Similarly decreased risk was revealed for rs7442295,
both in total samples and in males. However, the meta-analysis of rs6855911
revealed no significant associations.These data seem to support the hypothesis
that the risk of gout may be associated with GLUT9 rs16890979 and rs7442295.
PMID- 26554772
TI - Differing Clinical Courses and Prognoses in Patients With Gastric Neuroendocrine
Tumors Based on the 2010-WHO Classification Scheme.
AB - The aim of this study is to test the prognostic accuracy of the 2010-WHO
classification for postsurgery survival in nonmetastatic gastric neuroendocrine
tumor (NET) cases. Whether the 2010-WHO classification of NETs can predict
relapse after surgical resection has not yet been established.We selected 175
nonmetastatic gastric NET patients at Asan Medical Center, Seoul, Korea between
1996 and 2013. All tumors were classified using the WHO-2010 scheme.Among 175
patients with gastric NETs, we diagnosed 39 cases as WHO grade 1, 13 cases as
grade 2, 66 cases as grade 3 (neuroendocrine carcinomas; NECs), and 57 cases as
mixed with adenocarcinoma. Patients with grade 3 had a lower relapse-free
survival (RFS) and overall survival (OS) than those with WHO grade 1/2 and had a
lower OS than patients with mixed type tumors. Patients with grade 1/2 had a
better OS than patients with mixed type. There was no significant difference in
RFS and OS between small and large cell type lesions. Among WHO grade 1/2
patients with <=1 cm sized lesions, none exhibited lympho-vascular, perineural,
mucosal, or submucosal invasion, and we detected no lymph node metastases or
recurrences.Our findings strongly suggest that WHO grade 3 behaves more
aggressively than adenocarcinoma. Additionally, the survival of cases with large
and small cell NEC was similar. Among WHO grade 1/2 patients who had <=1 cm
lesions, none exhibited lympho-vascular, perineural, mucosal, or submucosal
invasion and all could be treated by endoscopic resection or minimally invasive
surgery without node dissection.
PMID- 26554773
TI - Children With Intracranial Arachnoid Cysts: Classification and Treatment.
AB - We performed a dynamic study of arachnoid cysts (ACs) using magnetic resonance
cisternography (MRC) and proposed a classification of ACs.Twenty-three suitable
patients in our hospital entered into this study according to our inclusion
criteria. MRC images were collected in all the subjects at 1 and 24 hours after
the administration of intrathecal gadolinium-diethylenetriamine penta-acetic acid
(Gd-DTPA). We allocate the enrolled patients into 2 groups, MRC group and surgery
group. The MRC results were considered before treatment in 1 group (MRC group, 13
patients), whereas another group was surgically treated without considering the
MRC results (surgery group, 10 patients). We calculated the enhanced area of cyst
using modified MacDonald Criteria from the images and measured the surrounding
subarachnoid area as the reference.We found that it was practically useful to
quantify 3 types of ACs, complete communicating, incomplete communicating, and
noncommunicating, according to MRC results in this study. All the subjects in
both groups are closely observed before the treatment and the follow-up using the
MRI examination. In the surgery group, 5 patients were found that the area of
cysts shrank in the follow-up stage. However, there was no significant difference
in the percentage shrinkage area between the 2 groups.We concluded that MRC with
Gd-DTPA as a contrast agent is of significant clinical value for the diagnosis
and treatment of children with intracranial ACs. This classification based on
dynamic MRC is useful for making surgical recommendations.
PMID- 26554774
TI - Early Enteral Feeding After Living Donor Liver Transplantation Prevents
Infectious Complications: A Prospective Pilot Study.
AB - Infectious complications, including bacteria, virus, and fungus, often occur
after liver transplantation and are the most frequent causes of in-hospital
mortality. The current study prospectively analyze the effect of early enteral
feeding in patients after living donor liver transplantation (LDLT)Between
January 2013 and August 2013, 36 patients underwent LDLT. These patients were
randomly assigned to receive enteral formula via nasointestinal feeding tubes
[enteral feeding (EN) group, n = 17] or maintenance on intravenous fluid until
oral diets were initiated (control group, n = 19). All patients completed the
study.The pretransplant and perioperative characteristics of patients did not
differ between the 2 groups. The incidence of bacterial infection was
significantly lower in the EN group (29.4%) than in the control group (63.2%) (P
= 0.043). In addition, the incidence of bile duct complications in the EN group
was lower than in the control group (5.9% versus 31.6%, P = 0.041). Multivariate
analysis showed that early enteral feeding was closely associated with bacterial
infections (odds ratio, 0.178; P = 0.041). There was no statistically significant
difference in nutritional status between the 2 groups. There were no cases of in
hospital mortality.Early enteral feeding after LDLT prevents posttransplant
bacterial infection, suggesting the possibility of a reduction of in-hospital
mortality as a result of decreased infectious complications.
PMID- 26554775
TI - Diagnostic Accuracy of Procalcitonin for Predicting Blood Culture Results in
Patients With Suspected Bloodstream Infection: An Observational Study of 35,343
Consecutive Patients (A STROBE-Compliant Article).
AB - Previous studies have suggested that procalcitonin is a reliable marker for
predicting bacteremia. However, these studies have had relatively small sample
sizes or focused on a single clinical entity. The primary endpoint of this study
was to investigate the diagnostic accuracy of procalcitonin for predicting or
excluding clinically relevant pathogen categories in patients with suspected
bloodstream infections. The secondary endpoint was to look for organisms
significantly associated with internationally validated procalcitonin intervals.
We performed a cross-sectional study that included 35,343 consecutive patients
who underwent concomitant procalcitonin assays and blood cultures for suspected
bloodstream infections. Biochemical and microbiological data were systematically
collected in an electronic database and extracted for purposes of this study.
Depending on blood culture results, patients were classified into 1 of the 5
following groups: negative blood culture, Gram-positive bacteremia, Gram-negative
bacteremia, fungi, and potential contaminants found in blood cultures (PCBCs).
The highest procalcitonin concentration was observed in patients with blood
cultures growing Gram-negative bacteria (median 2.2 ng/mL [IQR 0.6-12.2]), and
the lowest procalcitonin concentration was observed in patients with negative
blood cultures (median 0.3 ng/mL [IQR 0.1-1.1]). With optimal thresholds ranging
from <=0.4 to <=0.75 ng/mL, procalcitonin had a high diagnostic accuracy for
excluding all pathogen categories with the following negative predictive values:
Gram-negative bacteria (98.9%) (including enterobacteria [99.2%], nonfermenting
Gram-negative bacilli [99.7%], and anaerobic bacteria [99.9%]), Gram-positive
bacteria (98.4%), and fungi (99.6%). A procalcitonin concentration >=10 ng/mL was
associated with a high risk of Gram-negative (odds ratio 5.98; 95% CI, 5.20-6.88)
or Gram-positive (odds ratio 3.64; 95% CI, 3.11-4.26) bacteremia but dramatically
reduced the risk of PCBCs or fungemia. In this large real-life setting experience
with more than 35,000 patients, procalcitonin was highly effective at excluding
bloodstream infections regardless of pathogen categories. The results from our
study are limited by its cross-sectional design and deserve to be validated in
prospective longitudinal studies.
PMID- 26554776
TI - Paternal Hemizygosity in 11p15 in Mole-like Conceptuses: Two Case Reports.
AB - Hydatidiform mole is an abnormal human pregnancy characterized by the fetus being
absent or nonviable, and the chorionic villi being vesicular and with
trophoblastic hyperplasia. Most often, the mole phenotype is seen in conceptuses
with an excess of paternally inherited genome set(s) relative to maternally
inherited genome set(s), suggesting that the phenotype is caused by an excess of
genome with a paternal imprinting pattern. However, it is unknown if correct
parental origin of every imprinted gene is crucial for normal early
differentiation or if abnormal parental imprinting of only one, or some, gene(s)
can cause the mole phenotype.Two conceptuses included in the Danish Mole Project
stood out since they presented with vesicular chorionic villi and without signs
of fetal differentiation, and had apparently biparental diploid genomes, and no
mutations in NLRP7 or KHDC3L were detected in the mothers. These conceptuses were
subjected to a centralized histopathological revision and their genetic
complements were scrutinized using fluorescence in situ hybridization, and DNA
marker and array comparative genomic hybridization analyses. Both conceptuses
showed dysmorphic chorionic villi with some similarities to hydatidiform moles;
however, no definite florid trophoblast hyperplasia was observed. Both
conceptuses showed paternal hemizygosity of 11pter-11p15.4, most likely in
nonmosaic state.Our findings suggest that the product of one (or a few)
maternally expressed gene(s) on the tip of chromosome 11 is necessary for normal
early embryonic differentiation. However, since the present two cases did not
exhibit all features of hydatidiform moles, it is likely that abnormal parental
imprinting of genes in other regions contribute to the phenotype of a
hydatidiform mole.
PMID- 26554777
TI - Relationship Between Urinary Cross-Linked N-Telopeptide of Type-I Collagen and
Heel Stiffness Index Measured by Quantitative Ultrasound in Middle-Aged and
Elderly Men.
AB - The aim of the present study was to investigate the age-related patterns and the
relationship between levels of urinary cross-linked N-telopeptide of type-I
collagen (NTx) and heel stiffness index measured by quantitative ultrasound (QUS)
in men with a special reference to age groups of aged 40 to 59 years and >=60
years.A total of 379 men participated in this study. Heel stiffness index (bone
mass) was measured by QUS. Spot urine samples were collected, and urinary NTx was
measured. The values were corrected for creatinine (Cre) concentration.Stiffness
index was significantly lower in men aged >=60 years compared with men aged 40 to
59 years (P < 0.0001). There was no significant difference of Log (NTx/Cre) by 10
year age groups. Multiple regression analysis showed that higher level of urinary
NTx/Cre was significantly correlated with lower stiffness index after adjusting
for age and body mass index in men aged >=60 years, but not in men aged 40 to 59
years.Higher rates of bone resorption were associated with lower stiffness index
only in elderly men. Our results may indicate a different mechanism of low bone
mass among different age groups.
PMID- 26554778
TI - Pulmonary Hypertension Complicating Fibrosing Mediastinitis.
AB - Fibrosing mediastinitis is caused by a proliferation of fibrous tissue in the
mediastinum with encasement of mediastinal viscera and compression of mediastinal
bronchovascular structures. Pulmonary hypertension (PH) is a severe complication
of fibrosing mediastinitis caused by extrinsic compression of the pulmonary
arteries and/or veins.We have conducted a retrospective observational study
reviewing clinical, functional, hemodynamic, radiological characteristics, and
outcome of 27 consecutive cases of PH associated with fibrosing mediastinitis
diagnosed between 2003 and 2014 at the French Referral Centre for PH.Fourteen men
and 13 women with a median age of 60 years (range 18-84) had PH confirmed on
right heart catheterization. The causes of fibrosing mediastinitis were
sarcoidosis (n = 13), tuberculosis-infection confirmed or suspected (n = 9),
mediastinal irradiation (n = 2), and idiopathic (n = 3). Sixteen patients (59%)
were in NYHA functional class III and IV. Right heart catheterization confirmed
moderate to severe PH with a median mean pulmonary artery pressure of 42 mm Hg
(range 27-90) and a median cardiac index of 2.8 L/min/m (range 1.6-4.3).
Precapillary PH was found in 22 patients, postcapillary PH in 2, and combined
postcapillary and precapillary PH in 3. Severe extrinsic compression of pulmonary
arteries (>60% reduction in diameter) was evidenced in 2, 8, and 12 patients at
the main, lobar, or segmental levels, respectively. Fourteen patients had at
least one severe pulmonary venous compression with associated pleural effusion in
6 of them. PAH therapy was initiated in 7 patients and corticosteroid therapy
(0.5-1 mg/kg/day) was initiated in 3 patients with sarcoidosis, with 9 other
being already on low-dose corticosteroids. At 1-year follow-up, 3 patients had
died and among the 21 patients evaluated, 3 deteriorated, 14 were stable, and
only 4 patients with sarcoidosis improved (4 receiving corticosteroids and 1
receiving corticosteroids and PAH therapy). Survival was 88%, 73%, and 56% at 1,
3, and 5 years, respectively.We found no clear clinical improvement with the use
of specific PAH therapy. Corticosteroid therapy may be associated with clinical
improvement, in some patients with fibrosing mediastinitis due to sarcoidosis.
Although never performed for this indication, lung transplantation may be
proposed in eligible patients with severe PH and fibrosing mediastinitis.
PMID- 26554779
TI - Visit-to-Visit Glucose Variability Predicts the Development of End-Stage Renal
Disease in Type 2 Diabetes: 10-Year Follow-Up of Taiwan Diabetes Study.
AB - The purpose of this study was to examine the association of glucose variability
using coefficient of variation of fasting plasma glucose (FPG-CV) and coefficient
of variation of glycated hemoglobin (HbA1c-CV) to end-stage renal disease (ESRD)
in 31,841 Chinese patients with type 2 diabetes.Patients with type 2 diabetes
enrolled in National Diabetes Care Management Program, aged ?30 years, and free
of ESRD (n = 31,841) in January 1, 2002 to December 31, 2004 were included.
Extended Cox proportional hazards regression models with competing risk of all
cause mortality were used to evaluate risk factors on ESRD incidence. Patients
were followed till 2012.After a median follow-up period of 8.23 years, 1642
patients developed ESRD, giving a crude incidence rate of 6.27/1000 person-years
(6.36 for men, 6.19 for women). After the multivariate adjustment, both FPG-CV
and HbA1c-CV were independent predictors of ESRD with corresponding hazard ratios
of 1.20 (95% confidence interval [CI] 1.01, 1.41), 1.24 (95% CI 1.05, 1.46) in
HbA1c-CV from fourth to fifth quintile and 1.23 (95% CI 1.03, 1.47) in FPG-CV
from fifth quintile.One-year visit-to-visit glucose variability expressed by FPG
CV and HbA1c-CV predicted development of ESRD in patients with type 2 diabetes,
suggesting therapeutic strategies toward a goal to minimize glucose fluctuation.
PMID- 26554780
TI - COX-2 Promotes Migration and Invasion by the Side Population of Cancer Stem Cell
Like Hepatocellular Carcinoma Cells.
AB - Cancer stem cells (CSCs) are thought to be responsible for tumor relapse and
metastasis due to their abilities to self-renew, differentiate, and give rise to
new tumors. Cyclooxygenase-2 (COX-2) is highly expressed in several kinds of
CSCs, and it helps promote stem cell renewal, proliferation, and radioresistance.
Whether and how COX-2 contributes to CSC migration and invasion is unclear. In
this study, COX-2 was overexpressed in the CSC-like side population (SP) of the
human hepatocellular carcinoma (HCC) cell line HCCLM3. COX-2 overexpression
significantly enhanced migration and invasion of SP cells, while reducing
expression of metastasis-related proteins PDCD4 and PTEN. Treating SP cells with
the selective COX-2 inhibitor celecoxib down-regulated COX-2 and caused a dose
dependent reduction in cell migration and invasion, which was associated with up
regulation of PDCD4 and PTEN. These results suggest that COX-2 exerts pro
metastatic effects on SP cells, and that these effects are mediated at least
partly through regulation of PDCD4 and PTEN expression. These results further
suggest that celecoxib may be a promising anti-metastatic agent to reduce
migration and invasion by hepatic CSCs.
PMID- 26554781
TI - Does Bowel Preparation for Colonoscopy Affect Cognitive Function?
AB - Colonoscopy is a common procedure used in the diagnosis and treatment of a range
of bowel disorders. Prior preparation involving potent laxatives is a necessary
stage to ensure adequate visualization of the bowel wall. It is known that the
sedatives given to most patients during the colonoscopy cause a temporary
impairment in cognitive function; however, the potential for bowel preparation to
affect cognitive function has not previously been investigated. To assess the
effect of bowel preparation for colonoscopy on cognitive function. This was a
prospective, nonrandomized controlled study of cognitive function in patients who
had bowel preparation for colonoscopy compared with those having gastroscopy and
therefore no bowel preparation. Cognitive function was assessed using the
Modified Mini Mental State Examination (MMMSE) and selected tests from the
Cambridge Neuropsychological Test Automated Battery. Individual test scores and
changes between initial and subsequent tests were compared between the groups.
Age, gender, and weight were also compared. Forty-three colonoscopy and 25
gastroscopy patients were recruited. The 2 groups were similar for age and
gender; however, patients having gastroscopy were heavier. MMMSE scores for
colonoscopy and gastroscopy groups, respectively, were 28.6 and 29.5 (P = 0.24)
at baseline, 28.7 and 29.8 (P = 0.32) at test 2, 28.1 and 28.5 (P = 0.76) at test
3. Motor screening scores for colonoscopy and gastroscopy groups, respectively,
were 349.3 and 354.1 (P = 0.97) at baseline, 307.5 and 199.7 (P = 0.06) at test
2, 212.0 and 183.2 (P = 0.33) at test 3. Spatial working memory scores for
colonoscopy and gastroscopy groups, respectively, were 14.4 and 6.7 (P = 0.29) at
baseline, 9.7 and 4.3 (P = 0.27) at test 2, 10 and 4.5 (P = 0.33) at test 3.
Digit Symbol Substitution Test scores for colonoscopy and gastroscopy groups,
respectively, were 36.3 and 37.8 (P = 0.84) at baseline, 36.4 and 40.0 (P = 0.59)
at test 2, 38.6 and 40.8 (P = 0.76) at test 3.This study did not find evidence of
cognitive impairment resulting from administration of bowel preparation before
colonoscopy.
PMID- 26554782
TI - The Clinical Relevance of Psammoma Body and Hashimoto Thyroiditis in Papillary
Thyroid Carcinoma: A Large Case-control Study.
AB - This study aims to investigate the impact of psammoma body (PB) on papillary
thyroid carcinoma (PTC), and evaluate the association among PB, Hashimoto
thyroiditis (HT), and other clinicopathologic characteristics in PTC patients.We
conducted a retrospective case-control study involving 1052 PTC patients who
underwent total thyroidectomy or lobectomy with lymph node dissection.Psammoma
body was observed in 324 out of 1052 PTC (30.8%) patients. Ultrasonographic (US)
calcification (P < 0.001), multifocality of the tumor (P = 0.047), lymph node
metastasis (LNM) (P < 0.001), HT (P < 0.001), and Primary tumor (T), Regional
lymph nodes (N), Distant metastasis (M) staging (P = 0.001) were significantly
related to the presence of PB. The presence of PB was significantly associated
with US microcalcification (P < 0.001). In the subgroup with HT, compared with
the patients without PB, the patients with PB exhibited a higher frequency of
central LNM (54.7% vs 32.1%; P < 0.001) and US microcalcification (94.7% vs
38.8%; P < 0.001), as well as smaller tumors (0.9 +/- 0.6 vs 1.3 +/- 0.9 cm; P <
0.001). In the subgroup without HT, the patients with PB displayed a higher
incidence of lateral LNM (25.8% vs 14.6%; P < 0.001), US microcalcification
(87.3% vs 52.5%; P < 0.001), and extrathyroidal extension (47.2% vs 34.8%; P =
0.001), as well as larger tumors (1.3 +/- 0.9 vs 1.0 +/- 0.8 cm; P < 0.001) than
without PB. Moreover, in the subgroup with PB, the PTC patients with HT showed a
higher LNM (77.9% vs 57.2%; P < 0.001) and a lower frequency of extrathyroidal
extension (20.0% vs 47.2%; P < 0.001) than without HT.Psammoma body is a useful
predictor of aggressive tumor behavior in PTC patients. HT with PB shows more
aggressive behaviors than non-HT with PB in PTC patients.
PMID- 26554783
TI - Air Pollution and the Risk of Cardiac Defects: A Population-Based Case-Control
Study.
AB - Previous epidemiologic studies have assessed the role of the exposure to ambient
air pollution in the development of cardiac birth defects, but they have provided
somewhat inconsistent results. To assess the associations between exposure to
ambient air pollutants and the risk of cardiac defects, a population-based case
control study was conducted using 1087 cases of cardiac defects and a random
sample of 10,870 controls from 1,533,748 Taiwanese newborns in 2001 to
2007.Logistic regression was performed to calculate odds ratios for 10 ppb
increases in O3 and 10 MUg/m increases in PM10. In addition, we compared the risk
of cardiac defects in 4 categories-high exposure (>75th percentile); medium
exposure (75th to 50th percentile); low exposure (<50th-25th percentile);
reference (<25th percentile) based on the distribution of each pollutant. The
risks of ventricular septal defects (VSD), atrial septal defects (ASD), and
patent ductus arteriosus (PDA) were associated with 10 ppb increases in O3
exposure during the first 3 gestational months among term and preterm babies. In
comparison between high PM10 exposure and reference category, there were
statistically significant elevations in the effect estimates of ASD for all and
terms births. In addition, there was a negative or weak association between SO2,
NO2, CO, and cardiac defects.The study proved that exposure to outdoor air O3 and
PM10 during the first trimester of gestation may increase the risk of VSD, ASD,
and PDA.
PMID- 26554784
TI - The Distribution and the Fibrotic Role of Elevated Inflammatory Th17 Cells in
Patients With Primary Biliary Cirrhosis.
AB - T helper (Th) 17 cells were reported to have the property of proinflammation and
profibrosis. We first investigate the levels of Th17 cells in primary biliary
cirrhosis (PBC) patients, and then explore their distribution and fibrotic role
in the disease.We compared the circulating Th17 and hepatic interleukin (IL)-17
positive cells between patients and healthy controls (HCs) at different disease
stages by flow cytometry and immunohistochemistry, respectively. The levels of
chemokine (c-c motif) ligand (CCL) 20 were then measured. For exploration of the
reason why Th17 cells increased, CD4CD161 populations were sorted and cultured
with IL-23 and IL-1beta to analyze their proliferation and IL-17 secretions. The
serum IL-23 and IL-1beta were tested by enzyme-linked immunosorbent assay. The
proliferation and expressions of alpha-smooth muscle actin and IL-8 of hepatic
stellate cells (HSCs) were identified after stimulated by different
concentrations of IL-17.Circulating and hepatic Th17 cells were elevated in PBC
patients compared with HCs. Early PBC patients presented with more Th17 cells in
periphery blood and less in the liver than advanced PBC patients. Accordingly,
the levels of both serum and hepatic CCL20 for Th17 cells were higher, especially
in those with advanced disease. The progenitor of Th17, CD4CD161 cell was
increased in PBC. Moreover, the percentage of Th17 cells was positively related
with CD4CD161 cell. After stimulation with IL-23 and IL-1beta which were improved
in PBC patients, CD4CD161 cells from PBC patients expressed more IL-17, although
their proliferation were not different between 2 groups. IL-17 can promote the
proliferation of HSCs at a dose-dependent method, and also increase the IL-8
expression in a dose/time-dependent way. Anti-IL-17 can neutralize the above
reactions.CD4CD161 cells are a source of increased Th17 in PBC patients. With
disease progression, Th17 population decreased in the circulation, accompanied by
greater accumulation in the liver, which is regulated by CCL20 in advanced
patients. IL-17 may be involved in the process of PBC fibrosis.
PMID- 26554785
TI - A Case Report: The Diagnosis and Therapeutic Evaluation for a Rare Disease of
Langerhans Cell Histiocytosis Involving Thyroid.
AB - Langerhans cell histiocytosis (LCH) involving the thyroid gland is extremely
rare. Currently, the diagnosis and therapeutic evaluation for LCH involving
thyroid is a challenge.We reported a rare case of LCH involving thyroid,
presenting as painless thyroid goiters, and successfully performed positron
emission tomography/computed tomography (PET/CT) to make an accurate diagnosis
and therapeutic evaluation for LCH.Although the histology or cytology is the
golden standard for the diagnosis of LCH involving thyroid, the PET/CT should be
keep in mind when LCH involving thyroid with inconclusive cytologic results.
During the treatment of LCH, PET/CT can be performed to assess the therapeutic
effect and select the most effective and reliable treatment for LCH.
PMID- 26554786
TI - False-positive Uptake on Positron Emission Tomography/Computed Tomography
Immediately After Lung Biopsy: A Case Report.
AB - 18F-fluorodeoxyglucose positron emission tomography (18F-FDG-PET) is an evolving
tool in the field of oncology. 18F-fluorodeoxyglucose, however, is not a specific
tool for malignant tumor that it may also accumulate in benign processes. To
avoid false-positive interpretation of 18F-FDG-PET/computed tomography (CT),
having knowledge of the potential pitfalls is important.The authors present a
case of a patient with a lung mass who underwent fluoroscopy-guided transthoracic
lung biopsy followed by 18F-FDG-PET/CT scan with a 4-hour interval between biopsy
and scanning. Abnormally increased FDG uptake in the mass and pleural effusion
was detected. Pathologic examination of the specimen, however, revealed only
fibrous tissues with chronic inflammatory cells. On performing CT imaging, 1
month later, the mass and effusion had spontaneously resolved without
treatment.Our findings suggest that PET/CT performed immediately following
invasive procedures can result in false-positive results and thus mislead
diagnosis. Therefore, the interval and order, in which PET/CT and invasive
procedures are performed, should be carefully considered in oncologic work-up.
PMID- 26554787
TI - Clinical and Ultrasonographic Evaluation of Lower-extremity Vein Thrombosis in
Behcet Syndrome: An Observational Study.
AB - Vascular involvement can be seen in up to 40% of patients with Behcet syndrome
(BS), the lower-extremity vein thrombosis (LEVT) being the most common type. The
aim of the current study was to compare venous Doppler findings and clinical
features between BS patients with LEVT and control patients diagnosed as having
LEVT due to other causes.All consecutive 78 patients (71 men, 7 women; mean age
38.6 +/- 10.3 years) with LEVT due to BS and 50 control patients (29 men, 21
women; mean age 42.0 +/- 12.5 years) who had LEVT due to other causes, or
idiopathic, were studied with the help of a Doppler ultrasonography after a
detailed clinical examination. Patterns of venous disease were identified by
cluster analyses. Clinical features of chronic venous disease were assessed using
2 classification systems. Venous claudication was also assessed.Patients with BS
were more likely to be men, had significantly earlier age of onset of thrombosis,
and were treated mainly with immunosuppressives and less frequently with
anticoagulants. Furthermore, they had significantly more bilateral involvement,
less complete recanalization, and more frequent collateral formation. While
control patients had a disorganized pattern of venous involvement, BS patients
had a contiguous and symmetric pattern, involving all deep and superficial veins
of the lower extremities, with less affinity for crural veins. Clinical
assessment, as measured by the 2 classification systems, also indicated a more
severe disease among the BS patients. In line, 51% of the BS patients suffered
from severe post-thrombotic syndrome (PTS) and 32% from venous claudication,
whereas these were present in 8% and 12%, respectively, among the controls. Among
BS patients, a longer duration of thrombosis, bilateral femoral vein involvement,
and using no anticoagulation along with immunosuppressive treatment when first
diagnosed were found to be associated independently with severe PTS.Lower
extremity vein thrombosis associated with BS, when compared to LEVT due to other
causes, had distinctive demographic and ultrasonographic characteristics, and had
clinically a more severe disease course.
PMID- 26554788
TI - Immunologic Monitoring of T-Lymphocyte Subsets and Hla-Dr-Positive Monocytes in
Kidney Transplant Recipients: A Prospective, Observational Cohort Study.
AB - The clinical significance of circulating T-lymphocyte subsets and human leukocyte
antigen (HLA)-DR-positive monocytes in the peripheral blood of kidney transplant
recipients (KTRs) remains unclear. We examined the efficacy of enumerating these
cells for the immunologic monitoring of KTRs.Blood samples were obtained before
transplantation, 2 weeks after transplantation and at diagnosis, and 2 weeks
after treating biopsy-proven acute cellular rejection and cytomegalovirus (CMV)
infection. Serial flow cytometric analysis was performed using peripheral blood
obtained from 123 patients to identify the frequencies of HLA-DR, CD3, CD4, CD8,
and CD25 T-lymphocytes and HLA-DR-positive monocytes.Frequencies of CD4CD25/CD4 T
cells, CD8CD25/CD8 T cells, and HLA-DR-positive monocytes were significantly
lower at 2 weeks after transplantation than before transplantation (all P <
0.001). This decrease was not correlated with clinical parameters. The frequency
of CD4CD25/CD4 T cells was significantly higher in KTRs with acute rejection than
in KTRs at 2 weeks after transplantation (9.10% [range 4.30-25.6%] vs 5.10%
[range 0.10-33.3%]; P = 0.024). However, no significant differences were observed
between stable KTRs and KTRs with CMV infection. Analysis of the receiver
operating characteristic curve adjusted by covariates showed that acute rejection
could be predicted with 75.0% sensitivity and 68.4% specificity by setting the
cutoff value of CD4CD25/CD4 T cell frequency as 5.8%.Circulating T-lymphocyte and
monocyte subsets showed significant and consistent changes in their frequencies
after immunosuppression. Of the various immune cells examined, circulating levels
of CD4CD25 T cells might be a useful noninvasive immunologic indicator for
detecting acute rejection.
PMID- 26554789
TI - Treatment Outcomes From a Specialist Model for Treating Tobacco Use Disorder in a
Medical Center.
AB - Cigarette smoking causes premature mortality and multiple morbidity; stop smoking
improves health. Higher rates of smoking cessation can be achieved through more
intensive treatment, consisting of medication and extended counseling of
patients, but there are challenges to integrating these interventions into
healthcare delivery systems. A care model using a master-level counselor trained
as a tobacco treatment specialist (TTS) to deliver behavioral intervention,
teamed with a supervising physician/prescriber, affords an opportunity to
integrate more intensive tobacco dependence treatment into hospitals, clinics,
and other medical systems. This article analyzes treatment outcomes and
predictors of abstinence for cigarette smokers being treated using the TTS
physician team in a large outpatient clinic over a 7-year period.This is an
observational study of a large cohort of cigarette smokers treated for tobacco
dependence at a medical center. Patients referred by the primary healthcare team
for a TTS consult received a standard assessment and personalized treatment
planning guided by a workbook. Medication and behavioral plans were developed
collaboratively with each patient. Six months after the initial assessment, a
telephone call was made to ascertain a 7-day period of self-reported abstinence.
The univariate association of each baseline patient characteristic with self
reported tobacco abstinence at 6 months was evaluated using the chi-squared test.
In addition, a multiple logistic regression analysis was performed with self
reported tobacco abstinence as the dependent variable and all baseline
characteristics included as explanatory variables.Over a period of 7 years (2005
2011), 6824 cigarette smokers who provided general research authorization were
seen for treatment. The 6-month self-reported abstinence rate was 28.1% (95%
confidence interval: 27.7-30.1). The patients most likely to report abstinence
were less dependent, more motivated to quit, and did not have a past year
diagnosis of depression or alcoholism.Predictable patient characteristics such as
level of dependence did predict abstinence, but all patient groups achieved
comparable abstinence outcomes. While this study has limitations inherent in a
single-center retrospective cohort study, it does suggest that the TTS model is
an effective way to integrate more intensive tobacco dependence treatment into
outpatient settings.
PMID- 26554790
TI - Serum Phosphorylated Neurofilament-Heavy Chain, a Potential Biomarker, is
Associated With Peripheral Neuropathy in Patients With Type 2 Diabetes.
AB - Neurofilament (NF), one of the major axonal cytoskeletal proteins, plays a
critical role in degenerative diseases in both the central and the peripheral
nervous systems. The aim of this study is to explore the relationship between
serum phosphorylated neurofilament-heavy chain (pNF-H) and diabetic peripheral
neuropathy (DPN) in patients with type 2 diabetes.Serum pNF-H concentrations were
measured by ELISA in hospitalized patients with and without DPN (n = 118). DPN
was assessed by clinical symptoms, signs, and electromyography.Compared with the
non-DPN group (311.98 [189.59-634.12] pg/mL), the confirmed group (605.99 [281.17
1332.78] pg/mL) patients had the higher serum pNF-H levels (P = 0.007). DPN was
significantly correlated with C-peptide (r = -0.269), total cholesterol (TC) (r =
0.185), and pNF-H (r = 0.258). Serum pNF-H levels were independently associated
with DPN (P = 0.004), even after adjusting for age, sex, duration of diabetes,
fasting plasma glucose, glycosylated hemoglobin A1c, TC, C-peptide, urinary
albuminto/creatinine ratio, and estimated glomerular filtration rate. Compared
with pNF-H quartile 1 (referent), patients in quartile 3 (odds ratio [OR], 3.977;
95% confidence interval [CI], 1.243-12.728; P = 0.021) and quartile 4 (OR,
10.488; 95% CI, 3.020-34.429; P = 0.000) had the higher risk of DPN after
adjusting for the confounders.Serum pNF-H levels might be associated with the
DPN, and the correlationship between serum pNF-H and DPN should be further
studied.
PMID- 26554791
TI - Does an Obesity Paradox Really Exist After Cardiovascular Intervention?: A
Systematic Review and Meta-Analysis of Randomized Controlled Trials and
Observational Studies.
AB - Several studies have shown the existence of an obesity paradox after Percutaneous
Coronary Intervention (PCI). However, other studies have shown its absence. This
study sought to perform a systematic review and meta-analysis of studies
comparing the mortality risk between high body mass index patients and normal
weight patients after PCI.We have searched PubMed, Embase, and Chinese medical
journal for randomized controlled trials (RCTs) and observational studies
published between the year 2000 and 2015 by typing the keywords "percutaneous
coronary intervention" and "obesity paradox." The main outcome was "all-cause
mortality". RevMan 5.3 software was used to calculate the risk ratio (RR) with
95% confidence interval (CI) to express the pooled effect on discontinuous
variables.Twenty-two studies have been included in this meta-analysis consisting
of a total of 242,377 patients with 73,143 normal weight patients, 103,608
overweight, and 65,626 obese patients. Younger age, higher cardiovascular risk
factors and the intensive use of medications have mainly been observed among
obese patients followed by overweight and normal weight patients respectively. In
hospital, 12 months and >= 1 year (long-term) mortality risks were significantly
lower in the overweight and obese groups with (RR: 0.67; 95% CI: 0.63-0.72, P <
0.00001) and (RR: 0.60; 95% CI: 0.56-0.65, P < 0.00001) respectively in the in
hospital follow-up (RR: 0.62; 95% CI: 0.55-0.71 and 0.57; 95% CI: 0.52-0.63, P <
0.00001) at 12 months, and (RR: 0.70; 95% CI: 0.64-0.76; P < 0.00001) and (RR:
0.80; 95% CI: 0.71-0.91, P = 0.0006) respectively for the long-term follow-up
after PCI.This "obesity paradox" does exist after PCI. The mortality in
overweight and obese patients is really significantly lower compared to the
normal weight patients. However, the exact reasons for this phenomenon need
further exploration and research in the future.
PMID- 26554792
TI - Impaired Aerobic Endurance and Muscular Strength in Substance Use Disorder
Patients: Implications for Health and Premature Death.
AB - Although substance use disorder (SUD) patients are documented to have an inactive
lifestyle, which is associated with cardiovascular disease, other lifestyle
related diseases and premature death, evidence regarding their aerobic endurance
and muscular strength is limited. Therefore, the authors aimed to evaluate
directly assessed maximal oxygen consumption, walking efficiency, as well as
maximal strength in a group of SUD patients.A total of 44 SUD patients in
residential treatment, 31 men (31 +/- 8 years) and 13 women (34 +/- 10 years),
were included and completed the physical testing. The patients were compared with
an age- and sex-matched reference group.Male and female SUD patients exhibited a
maximal oxygen consumption of 44.6 +/- 6.2 and 33.8 +/- 6.6 mL. min kg,
respectively. This was significantly lower than the reference group, 15% (P =
0.03) for men and 25% (P = 0.001) for women. In addition, the SUD patients had a
13% significantly reduced walking efficiency (P = 0.02), compared with healthy
controls. The impairments in aerobic endurance were accompanied by significant
reductions in maximal strength of 30% (P = 0.001) and 33% (P = 0.01) for men and
women, respectively. In combination, these results imply that SUD patients have
impaired endurance and muscular strength compared with what is typically observed
in the population, and consequently suffer a higher risk of developing
cardiovascular and other lifestyle-related diseases and early death. Effective
physical exercise should be advocated as an essential part of the clinical
practice of SUD treatment to improve the patient's health and consequently reduce
the costs because of the high use of emergency departments, hospital, and medical
care.
PMID- 26554793
TI - Atorvastatin Treatment for Carotid Intima-Media Thickness in Chinese Patients
With Type 2 Diabetes: A Meta-Analysis.
AB - Impact of atorvastatin on carotid intima-media thickness (CIMT) in patients with
type 2 diabetes is still debating.The aim of our study is to investigate
atorvastatin as adjuvant treatment on CIMT in Chinese patients with type 2
diabetes by conducting a meta-analysis based on the randomized controlled trials
(RCTs).A systematic search of electronic database of the Pubmed, EMBASE, Cochrane
Library, VIP database, China National Knowledge Infrastructure, and Wangfang up
to January 2015 was conducted. Randomized controlled trials (RCTs) comparing
atorvastatin adjuvant treatment to the hypoglycemic therapies or high-dose
atorvastatin versus low-dose atorvastatin therapies for patients with type 2
diabetes were selected.A total of 14 RCTs involving 1345 patients were included.
Adjuvant treatment with atorvastatin was associated with a significant reduction
in CIMT (weighted mean difference [WMD] = -0.17 mm; 95% confidence interval [CI]
0.22 to -0.12). Compared with the low-dose atorvastatin, high-dose atorvastatin
treatment was associated with a significant reduction in CIMT (WMD = -0.17 mm;
95% CI: -0.32 to -0.02). Adjuvant treatment with atorvastatin reduced serum total
cholesterol, triglyceride, low-density lipoproteins, and high sensitivity C
reactive protein levels. However, atorvastatin had no significant impact on blood
glucose levels.This meta-analysis demonstrated that treatment with atorvastatin
significantly reduced CIMT in Chinese patients with type 2 diabetes. Moreover,
high-dose atorvastatin appeared to have additional benefits in reducing CIMT than
the low-dose atorvastatin.
PMID- 26554794
TI - Validation Study of the Spanish Version of the Disability Assessment for Dementia
Scale.
AB - The aim of this study was to determine reliability and validity of the Spanish
version of the Disability Assessment for Dementia Scale (DAD-E) in the following
areas: content, response process, internal structure, and relation to other
constructs.We designed a cross-sectional observational study. The DAD-E was
administered to 132 participants diagnosed with mild cognitive decline, prodromal
Alzheimer disease, Alzheimer disease, or no cognitive decline. For the
reliability study, we performed analyses of internal consistency, test-retest,
and equivalent measures. To study validity, we performed item analysis, principal
components analysis, and correlations with other measures.The sample was composed
of 37 healthy participants (28%) and 95 patients (72%). In the total scale,
Cronbach alpha was 0.963, intraclass correlation coefficient in the test-retest
analysis was 0.983 (95% CI [95% confidence interval] = 0.969-0.991), and the
analysis for equivalent measures was 0.949 (95% CI = 0.897-0.975). Out of the 40
items, we found that 37 presented a correlation index with the total score above
0.40. The principal components analysis suggests that 61.7% of the variance is
explained by a single component that groups all scores on Activities of Daily
Living. The DAD total score presents correlations with Barthel's Index of 0.882
(P = 0.000) and with Lawton and Brodie's Index of 0.877 (P = 0.000) and with the
Mini Mental State Examination of 0.679 (P = 0.000).The DAD-E is a reliable and
valid instrument to assess functional disability in people with cognitive decline
in Spanish population.
PMID- 26554795
TI - Alteration of Heart Rate Variability in People With Bowel Preparation Before
Colonoscopy.
AB - In current health examination setting, people frequently undergo heart rate
variability (HRV) analysis and colonoscopy on the same day. However, it remains
unclear whether the bowel preparation before colonoscopy affects HRV. This study
aimed to evaluate the association between HRV and bowel preparation.We conducted
a cross-sectional observational study of 1755 people from January 2012 to
December 2013 in Taipei, Taiwan. The participants, aged 45 to 65 years, received
health examinations that included a physical examination, blood tests, and an HRV
analysis. Among these people, 1099 additionally received a colonoscopy on the
same day and underwent bowel preparation 1 day before the colonoscopy. The
association between HRV and bowel preparation was derived by a multivariable
linear regression with adjusted confounding factors.Bowel preparation was
associated with a lower standard deviation of the normal-to-normal intervals
(SDNN), the root mean square of the successive differences (RMSSD), low-frequency
power (LF), and high-frequency power (HF) (all P < 0.0001). After adjusting
confounding factors, bowel preparation remained correlated with lower SDNN,
RMSSD, LF, and HF (all P < 0.0001). Higher serum phosphorus and lower serum
potassium levels were noted in the bowel preparation group (P < 0.0001), and an
association between lower HRV and higher serum phosphorus and lower serum
potassium levels was only noted in the bowel preparation group.Bowel preparation
was significantly associated with lower HRV. The underlying mechanism may be
related to an electrolyte imbalance. Cautions may be needed when interpreting HRV
reports for people receiving bowel preparations before colonoscopy.
PMID- 26554796
TI - Bilateral Shoulder Dysfunction Related to the Lung Resection Area After
Thoracotomy.
AB - This study aimed to investigate the mobility, pain, and disability of the
shoulders after different pulmonary surgical procedures.It is a cross-sectional
prospective study. A total of 38 patients who underwent lung surgery via
thoracotomy (mean age = 57 +/- 10 years) were evaluated in the preoperative
period, and first and second postoperative days were assessed for range of motion
of shoulder; pain intensity; and application of the Shoulder Pain and Disability
Index questionnaire. This study compared the 3 days of evaluation, and the
subgroups according to the resection area (biopsy/nodulectomy, lung segmentectomy
and lobectomy).There was a decrease of flexion (153 degrees +/- 16 degrees -98
degrees +/- 23 degrees ), abduction (151 degrees +/- 20 degrees -126 degrees
+/- 38 degrees ), and increased Shoulder Pain and Disability Index (2.4-44.3) in
the shoulder ipsilateral to surgery from the preoperative to the first
postoperative day (P < 0.05). There was a greater loss of ipsilateral flexion and
abduction in the lobectomy subgroup (P < 0.05), and decreased abduction of the
contralateral shoulder in the lung segmentectomy and lobectomy subgroups (P <
0.05).After pulmonary surgery, there is bilateral impairment in shoulder range of
motion, with greater limitation on ipsilateral shoulder, and larger resections.
PMID- 26554797
TI - Value of Magnifying Endoscopy With Narrow-Band Imaging and Confocal Laser
Endomicroscopy in Detecting Gastric Cancerous Lesions.
AB - Although the respective potentials of magnifying endoscopy with narrow-band
imaging (ME-NBI) and confocal laser endomicroscopy (CLE) in predicting gastric
cancer has been well documented, there is a lack of studies in comparing the
value and diagnostic strategy of these 2 modalities. Our primary aim is to
investigate whether CLE is superior to ME-NBI for differentiation between gastric
cancerous and noncancerous lesions. A secondary aim is to propose an applicable
clinical strategy.We conducted a diagnostic accuracy study involving patients
with suspected gastric superficial cancerous lesions. White light endoscopy, ME
NBI, and CLE were performed diagnostic accuracy, sensitivity, specificity,
positive predictive value, and negative predictive value between ME-NBI and CLE
were assessed, as well as agreements between ME-NBI/CLE and histopathology.This
study involved 86 gastric lesions in 82 consecutive patients who underwent white
light endoscopy, ME-NBI, and CLE before biopsy. The accuracy, sensitivity, and
specificity for ME-NBI were 93.75%, 91.67%, and 95.45%, compared with 91.86%,
90%, and 93.48%, respectively, for CLE, for discrimination cancerous/noncancerous
lesion (all P > 0.05). For undifferentiated/differentiated adenocarcinoma, CLE
had a numerically but not statistically significantly higher accuracy than ME-NBI
(81.25% vs 73.33%, P = 0.46). Agreements between ME-NBI/CLE and histopathology
were near perfect (ME-NBI, kappa = 0.87; CLE, kappa = 0.84).CLE is not superior
to ME-NBI for discriminating gastric cancerous from noncancerous lesions.
Endoscopist could make an optimal choice according to the specific indication and
advantages of ME-NBI and CLE in daily practices.
PMID- 26554798
TI - Renal Denervation: A Potential Novel Treatment for Type 2 Diabetes Mellitus?
AB - Type 2 diabetes mellitus (T2DM) is a group of metabolic diseases of multiple
etiologies. Although great progress has been made, researchers are still working
on the pathogenesis of T2DM and how to best use the treatments available. Aside
from several novel pharmacological approaches, catheter-based sympathetic renal
denervation (RDN) has gained a significant role in resistant hypertension, as
well as improvements in glycemic control in T2DM.In this article, we will
summarize herein the role sympathetic activation plays in the progression of T2DM
and review the recent clinical RDN experience in glucose metabolism.We performed
systematic review in online databases, including PubMed, EmBase, and Web of
Science, from inception until 2015.Studies were included if a statistical
relationship was investigated between RDN and T2DM.The quality of each included
study was assessed by Newcastle-Ottawa scale score. To synthesize these studies,
a random-effects model or a fixed-effects model was applied as appropriate. Then,
we calculated heterogeneity, performed sensitivity analysis, tested publication
bias, and did meta-regression analysis. Finally, we identified 4 eligible
articles.In most studies, RDN achieved via novel catheter-based approach using
radiofrequency energy has gained a significant role in resistant hypertension, as
well as improvements in glycemic control in T2DM. But the DREAMS-Study showed
that RDN did not change median insulin sensitivity nor systemic sympathetic
activity.Firstly, the current published studies lacked a proper control group,
along with the sample capacity was small. Also, data obtained in the subgroups of
diabetic patients were not separately analyzed and the follow-up period was very
short. In addition, a reduction in blood pressure accounts for the improvements
in glucose metabolism and insulin resistance cannot be excluded.If the favorable
result of better glucose metabolism is confirmed in large-scale, randomized
studies, RDN may emerge as a novel therapeutic option for patients with T2DM.
PMID- 26554800
TI - Comparison Between Posterior Short-segment Instrumentation Combined With Lateral
approach Interbody Fusion and Traditional Wide-open Anterior-Posterior Surgery
for the Treatment of Thoracolumbar Fractures.
AB - The aim of the study was to compare the radiographic and clinical outcomes
between posterior short-segment pedicle instrumentation combined with lateral
approach interbody fusion and traditional anterior-posterior (AP) surgery for the
treatment of thoracolumbar fractures.Lateral-approach interbody fusion has
achieved satisfactory results for thoracic and lumbar degenerative disease.
However, few studies have focused on the use of this technique for the treatment
of thoracolumbar fractures.Inclusion and exclusion criteria were established. All
patients who meet the above criteria were prospectively treated by posterior
short-segment instrumentation and secondary-staged minimally invasive lateral
approach interbody fusion, and classified as group A. A historical group of
patients who were treated by traditional wide-open AP approach was used as a
control group and classified as group B. The radiological and clinical outcomes
were compared between the 2 groups.There were 12 patients in group A and 18
patients in group B. The mean operative time and intraoperative blood loss of
anterior reconstruction were significantly higher in group B than those in group
A (127.1 +/- 21.7 vs 197.5 +/- 47.7 min, P < 0.01; 185.8 +/- 62.3 vs 495 +/-
347.4 mL, P < 0.01). Two of the 12 (16.7%) patients in group A experienced 2
surgical complications: 1 (8.3%) major and 1 (8.3%) minor. Six of the 18 (33%)
patients in group B experienced 9 surgical complications: 3 (16.7%) major and 6
(33.3%) minor. There was no significant difference between the 2 groups regarding
loss of correction (4.3 +/- 2.1 vs 4.2 +/- 2.4, P = 0.89) and neurological
function at final follow-up (P = 0.77). In both groups, no case of
instrumentation failure, pseudarthrosis, or nonunion was noted.Compared with the
wide-open AP surgery, posterior short-segment pedicle instrumentation, combined
with minimally invasive lateral-approach interbody fusion, can achieve similar
clinical results with significant less operative time, blood loss, and surgical
complication. This procedure seems to be a reasonable treatment option for
selective patients with thoracolumbar fractures.
PMID- 26554801
TI - The Difference of Clinical Characteristics Between Patients With Exon 19 Deletion
and Those With L858R Mutation in Nonsmall Cell Lung Cancer.
AB - Recent studies have demonstrated that exon 19 deletion (19 Del) and exon 21 L858R
mutation (L858R) are 2 different types of sensitive epidermal growth factor
receptor (EGFR) mutations in nonsmall cell lung cancer (NSCLC). However, whether
there are some differences between those 2 groups in baseline clinical
characteristics is still unclear.We enrolled consecutive 1271 NSCLC patients
detected with either 19 Del or L858R and collected their baseline clinical
characteristics including age, sex, comorbidity, smoking and drinking status,
body mass index (BMI), TNM stage, histologic type, differentiation, tumor maximum
diameter (TMD), and CEA level. chi test and multivariate logistic regression
analysis were used to compare the difference.We found a higher percentage of 19
Del in younger patients group (< = 50 yr) than L858R (P < 0.001) through chi
test. Besides, patients with 19 Del have higher risk of lymph node metastasis (P
< 0.001). However, there were no significant differences in other items of
clinical characteristics between 19 Del and L858R. Multivariate analysis showed
similar significant results. Subgroup analysis in different age groups (10 yr as
an interval) and N stages (stratified by N0, N1, N2, and N3) also indicated above
mentioned trends.NSCLC patients with 19 Del are more likely to be young and have
lymphatic metastasis than those with L858R. Age and N stage might be considered
in predicting EGFR mutation type in NSCLC.
PMID- 26554799
TI - Cardiometabolic Risk Profiles in Patients With Impaired Fasting Glucose and/or
Hemoglobin A1c 5.7% to 6.4%: Evidence for a Gradient According to Diagnostic
Criteria: The PREDAPS Study.
AB - It has been suggested that the early detection of individuals with prediabetes
can help prevent cardiovascular diseases. The purpose of the current study was to
examine the cardiometabolic risk profile in patients with prediabetes according
to fasting plasma glucose (FPG) and/or hemoglobin A1c (HbA1c) criteria.Cross
sectional analysis from the 2022 patients in the Cohort study in Primary Health
Care on the Evolution of Patients with Prediabetes (PREDAPS Study) was developed.
Four glycemic status groups were defined based on American Diabetes Association
criteria. Information about cardiovascular risk factors-body mass index, waist
circumference, blood pressure, cholesterol, triglycerides, uric acid, gamma
glutamyltransferase, glomerular filtration-and metabolic syndrome components were
analyzed. Mean values of clinical and biochemical characteristics and frequencies
of metabolic syndrome were estimated adjusting by age, sex, educational level,
and family history of diabetes.A linear trend (P < 0.001) was observed in most of
the cardiovascular risk factors and in all components of metabolic syndrome.
Normoglycemic individuals had the best values, individuals with both criteria of
prediabetes had the worst, and individuals with only one-HbA1c or FPG-criterion
had an intermediate position. Metabolic syndrome was present in 15.0% (95%
confidence interval: 12.6-17.4), 59.5% (54.0-64.9), 62.0% (56.0-68.0), and 76.2%
(72.8-79.6) of individuals classified in normoglycemia, isolated HbA1c, isolated
FPG, and both criteria groups, respectively.In conclusion, individuals with
prediabetes, especially those with both criteria, have worse cardiometabolic risk
profile than normoglycemic individuals. These results suggest the need to use
both criteria in the clinical practice to identify those individuals with the
highest cardiovascular risk, in order to offer them special attention with
intensive lifestyle intervention programs.
PMID- 26554802
TI - Predictive Symptoms and Signs of Laboratory-confirmed Influenza: A Prospective
Surveillance Study of Two Metropolitan Areas in Taiwan.
AB - Influenza infection poses annual threats and leads to significant morbidity and
mortality. Early diagnosis is the key to successful treatment. Laboratory-based
diagnosis has various limitations. Diagnosis based on symptoms or signs is still
indispensable in clinical practice. We investigated the symptoms or signs
associated with laboratory-confirmed influenza.A prospective study across 2
influenza seasons was performed from June 2010 to June 2012 at 2 branches (Taipei
and Lin-Kou) of Chang Gung Memorial Hospital. Patients who visited outpatient
clinics with suspected acute respiratory tract infection were sampled by throat
swab or nasopharyngeal swab. RT-PCR and/or virus culture were used as a reference
standard. We used logistic regression to identify the symptoms or signs
associated with laboratory-confirmed influenza infection. We also evaluated the
performance metrics of different influenza-like illness used in Taiwan, the USA,
and WHO.A total of 158 patients were included in the study. The prevalence of
influenza infection was 45% (71/158). Fever, cough, rhinorrhea, sneezing, and
nasal congestion were significant predictors for influenza infection. Whereas
fever + cough had a best sensitivity (86%; confidence interval [CI] 76%-93%),
fever + cough and sneezing had a best specificity (77%; CI 62%-88%). Different
case definitions of influenza-like illness had comparable accuracy in sensitivity
and specificity.Clinical diagnosis based on symptoms and signs is useful for
allocating resources, identifying those who may benefit from early antiviral
therapy and providing valuable information for surveillance purpose.
PMID- 26554803
TI - Wider Perioperative Glycemic Fluctuations Increase Risk of Postoperative Acute
Kidney Injury: A Prospective Cohort Study.
AB - Acute kidney injury (AKI) is a common complication after cardiac surgery. Recent
studies have revealed emerging associations between the magnitude of acute
glycemic fluctuations and intensive care unit (ICU) mortality rates. However, the
effect of acute glycemic fluctuations on the development of postoperative AKI
remains unclear. Thus, we aim to investigate the effect of the magnitude of acute
perioperative glycemic fluctuations on the incidence of postoperative AKI.We
conducted a prospective cohort study by prospectively obtaining data from all
patients who underwent elective coronary artery bypass grafting in a tertiary
heart institution from 2009 to 2011. The magnitude of the difference between the
highest and lowest perioperative glucose levels within 48 hr was calculated as a
measure of perioperative glycemic fluctuation. Patients were divided into 4
groups for analysis based on the magnitude of perioperative glycemic fluctuation
A: 0 to 2 mmol/L; B: >2 to 4 mmol/L; C: >4 to 6 mmol/L; and D: >6 mmol/L. We
analyzed the incidence of postoperative AKI, ICU mortality and ICU length of stay
as primary and secondary outcomes, respectively. Both univariate and multivariate
analyses were used.We analyzed data from 1386 patients. The overall incidence of
AKI was 29.9% and increased with wider glycemic fluctuation. The incidence of AKI
was statistically highest in Group D (38.3%), followed by Groups C (28.6%), B
(21.7%), and A (17.4%), respectively (P[REPLACEMENT CHARACTER]=[REPLACEMENT
CHARACTER]0.001). A similar trend was observed among both diabetics and
nondiabetics (P[REPLACEMENT CHARACTER]=[REPLACEMENT CHARACTER]0.001 and
P[REPLACEMENT CHARACTER]=[REPLACEMENT CHARACTER]0.002, respectively).
Multivariate logistic regression showed the magnitude of perioperative glycemic
fluctuations to be an independent risk factor in the development of AKI (P <
0.001, odds ratio 1.180, 95% confidence interval 1.116-1.247). ICU length of stay
was statistically highest in Group D (58.3[REPLACEMENT CHARACTER]hr) compared
with Groups C (44.5[REPLACEMENT CHARACTER]hr), B (37.3[REPLACEMENT CHARACTER]hr),
and A (32.8[REPLACEMENT CHARACTER]hr, P[REPLACEMENT CHARACTER]=[REPLACEMENT
CHARACTER]0.003). ICU mortality rate was comparable among all 4 groups
(P[REPLACEMENT CHARACTER]=[REPLACEMENT CHARACTER]0.172).Wide acute perioperative
glycemic fluctuations should be avoided as they are associated with a
significantly increased risk of AKI and ICU length of stay in both the diabetics
and the nondiabetics.
PMID- 26554804
TI - Clinical Characteristics and Risk Factor Analysis for Lower-Extremity Amputations
in Diabetic Patients With Foot Ulcer Complicated by Necrotizing Fasciitis.
AB - Patients with diabetes are at a higher risk of having diabetic foot ulcers (DFUs)
or necrotizing fasciitis (NF). The present study aims to examine the clinical
characteristics and associated risk factors for lower-extremity amputation (LEA)
in patients with DFU complicated by NF.We retrospectively reviewed patients
treated at a major diabetic foot center in Taiwan between 2009 and 2014. Of the
2265 cases 110 had lower-extremity NF. Limb preservation outcomes were classified
as major LEA, minor LEA, or limb-preserved. Clinical characteristics, laboratory
data, and bacterial culture results were collected for analysis.Of the 110
patients with NF, 100 had concomitant DFUs (NF with DFU) and the remaining 10 had
no DFU (NF without DFU). None of the NF patients without DFU died nor had their
leg amputated. Two NF patients with DFU died of complications. The amputation
rate in the surviving 98 NF patients with DFU was 72.4% (46.9% minor LEA and
25.5% major LEA). Seventy percent of the NF patients without DFU had
monomicrobial infections (60% with Streptococcus species), and 81.4% NF patients
with DFU had polymicrobial infections. Anaerobic organisms were identified in 66%
of the NF patients with DFU. Multinomial logistic regression analysis revealed an
association between high-grade Wagner wound classification (Wagner 4 and Wagner
5) and LEA (adjusted odds ratio [aOR] = 21.856, 95% confidence interval [95% CI]
= 1.625-203.947, P = 0.02 and aOR = 20.094, 95% CI = 1.968-205.216, P = 0.01 for
major and minor LEA, respectively) for NF patients with DFU. In addition, a lower
serum albumin level was associated with major LEA (OR = 0.066, P = 0.002).In
summary, once DFUs were complicated by NF, the risk of amputation increased.
Empirical treatment for NF patients with DFU should cover polymicrobial
infections, including anaerobic organisms. The high-grade wound classification
and low serum albumin level were associated with LEA.
PMID- 26554805
TI - Demonstrating a Conceptual Framework to Provide Efficient Wound Management
Service for a Wound Care Center in a Tertiary Hospital.
AB - Although the benefits of wound care services and multidisciplinary team care have
been well elaborated on in the literature, there is a gap in the actual practice
of wound care and the establishment of an efficient referral system. The
conceptual framework for establishing efficient wound management services
requires elucidation.A wound care center was established in a tertiary hospital
in 2010, staffed by an integrated multidisciplinary team including plastic
surgeons, a full-time coordinator, a physical therapist, occupational therapists,
and other physician specialists. Referral patients were efficiently managed
following a conceptual framework for wound care. This efficient wound management
service consists of 3 steps: patient entry and onsite immediate wound
debridement, wound re-evaluation, and individual wound bed preparation plan.
Wound conditions were documented annually over 4 consecutive years.From January
2011 to December 2014, 1103 patients were recruited from outpatient clinics or
inpatient consultations for the 3-step wound management service. Of these, 62% of
patients achieved healing or improvement in wounds, 13% of patients experienced
no change, and 25% of patients failed to follow-up. The outcome of wound
treatment varied by wound type. Sixty-nine percent of diabetic foot ulcer
patients were significantly healed or improved. In contrast, pressure ulcers were
the most poorly healed wound type, with only 55% of patients achieving
significantly healed or improved wounds.The 3-step wound management service in
the wound care center efficiently provided onsite screening, timely debridement,
and multidisciplinary team care. Patients could schedule appointments instead of
waiting indefinitely for care. Further wound condition follow-up, education, and
prevention were also continually provided.
PMID- 26554806
TI - Clinical Outcomes and Complications of Endoscopic Submucosal Dissection for
Superficial Gastric Neoplasms in the Elderly.
AB - The number of elderly people with superficial gastric neoplasms is increasing,
but the clinical outcome of endoscopic submucosal dissection (ESD) for treating
elderly people with superficial gastric neoplasms remains unclear. We aimed to
compare the efficacy and safety of ESD for patients with early gastric cancer
(EGC) and precancerous lesions in elderly (>=75 years of age) and nonelderly (<75
years of age) patients.From October 2005 to December 2014, 83 consecutive
patients with EGC and precancerous lesions (86 lesions) who were treated using
ESD in our hospital were retrospectively reviewed. There were 44 lesions in 42
elderly patients who were at least 75-years old. The following parameters were
compared between the 2 groups: preexisting comorbidities, performance status
(PS), lesion inclusion criteria, lesion characteristics, treatment outcomes,
surgery time, duration of hospitalization, complications, and intraoperative
hemodynamic changes.Elderly patients had significantly higher preexisting
comorbidity rates (90.9% vs 59.5%, P = 0.001), expanded lesion criteria rates
(43.2% vs 19.0%, P = 0.016), and lower best PS rates (38.6% vs 81.0%, P < 0.001)
than nonelderly patients. Lesion characteristics were similar in the 2 groups.
The elderly had higher intraoperative hypotension rates (47.7% vs 21.4%, P =
0.011) and oxygen desaturation rates (9.1% vs 0.0%, P = 0.045) than nonelderly
patients. In addition, the elderly also had a longer surgery time (107.0 +/- 51.4
vs 91.5 +/- 66.2 minutes, P = 0.049) and duration of hospitalization (7.5 +/- 3.8
vs 5.9 +/- 2.0 days, P = 0.016) than nonelderly patients. There were no
differences in the prevalence rates of en-bloc resection, complete resection,
bleeding, perforation, pneumonia, or intraabdominal free air between the 2
groups.Although elderly patients who underwent ESD for superficial gastric
neoplasms had an increasing risk of intraoperative hypotension and oxygen
desaturation, all patients were treated appropriately without postoperative
sequelae. ESD is a safe and feasible intervention for elderly patients who have
more comorbidity, a worse PS and more expanded lesions.
PMID- 26554807
TI - Physical Activity, Study Sitting Time, Leisure Sitting Time, and Sleep Time Are
Differently Associated With Obesity in Korean Adolescents: A Population-Based
Study.
AB - Low physical activity, long leisure sitting time, and short sleep time are risk
factors for obesity, but the association with study sitting time is unknown. The
objective of this study was to evaluate the association between these factors and
obesity.We analyzed the association between physical activity, study sitting
time, leisure sitting time, and sleep time and subject weight (underweight,
healthy weight, overweight, and obese), using data from a large population-based
survey, the 2013 Korea Youth Risk Behavior Web-based Survey. Data from 53,769
participants were analyzed using multinomial logistic regression analyses with
complex sampling. Age, sex, region of residence, economic level, smoking, stress
level, physical activity, sitting time for study, sitting time for leisure, and
sleep time were adjusted as the confounders.Low physical activity (adjusted odds
ratios [AORs] = 1.03, 1.12) and long leisure sitting time (AORs = 1.15, 1.32)
were positively associated with overweight and obese. Low physical activity (AOR
= 1.33) and long leisure sitting time (AOR = 1.12) were also associated with
underweight. Study sitting time was negatively associated with underweight (AOR =
0.86) but was unrelated to overweight (AOR = 0.97, 95% confidence interval [CI] =
0.91-1.03) and obese (AOR = 0.94, 95% CI = 0.84-1.04). Sleep time (<6 hours; >=6
hours, <7 hours; >=7 hours, <8 hours) was adversely associated with underweight
(AORs = 0.67, 0.79, and 0.88) but positively associated with overweight (AORs =
1.19, 1.17, and 1.08) and obese (AORs = 1.33, 1.36, and 1.30) in a dose-response
relationship.In adolescents, increasing physical activity, decreasing leisure
sitting time, and obtaining sufficient sleep would be beneficial in maintaining a
healthy weight. However, study sitting time was not associated with overweight or
obese.
PMID- 26554808
TI - Prediction of Central Nervous System Relapse of Diffuse Large B-Cell Lymphoma
Using Pretherapeutic [18F]2-Fluoro-2-Deoxyglucose (FDG) Positron Emission
Tomography/Computed Tomography.
AB - Central nervous system (CNS) relapse of diffuse large B-cell lymphoma (DLBCL) is
a rare complication, but has a poor prognosis with unknown pathophysiology.
Recent trials of CNS prophylaxis have shown to be ineffective, despite patient's
selection using several known clinical risk factors. In this study, the authors
evaluated the value of pretreatment [F]2-Fluoro-2-deoxyglucose positron emission
tomography in predicting CNS relapse in DLBCL patients.The authors analyzed 180
pathologically confirmed DLBCL patients, retrospectively. Patients underwent [F]2
Fluoro-2-deoxyglucose positron emission tomography/computed tomography before
first line rituximab to cyclophosphamide, doxorubicin, vincristine, and
prednisone therapy. Clinical characteristics were evaluated and total lesion
glycolysis (TLG) with a threshold margin of 50% was calculated.Among age, sex,
Ann Arbor stage, International Prognostic Index, revised International Prognostic
Index, high serum lactate dehydrogenase level, presence of B symptoms, bulky
disease (>=10 cm), extranodal lesion involvement, bone marrow involvement, high
metabolic tumor volume ( >450 mL), and high TLG50 (>2000), the high TLG50 was the
only significant prognostic factor for predicting CNS relapse in a multivariate
analysis (P = 0.04). Kaplan-Meir survival analysis between high TLG50 (>2000) and
low TLG50 (<=2000) groups revealed significantly different mean progression free
survival (PFS) of 1317.2 +/- 134.3 days and 1968.6 +/- 18.3 days, respectively (P
< 0.001).High TLG50 on [F]2-Fluoro-2-deoxyglucose positron emission
tomography/computed tomography is the most significant predictor of CNS relapse
in un-treated DLBCL patients.
PMID- 26554809
TI - Traumatic Vertebral Fractures and Concomitant Fractures of the Rib in Southwest
China, 2001 to 2010: An Observational Study.
AB - To our knowledge, the clinical characteristics of traumatic vertebral fractures
and concomitant fractures of the rib (TVF-RF) have not been described in previous
studies.To investigate the clinical characteristics of patients managed for TVF
RF. A retrospective study of 3142 patients who presented with traumatic vertebral
fractures was performed. Two hundred twenty-six patients (7.2%) suffered from TVF
RF.Incidence rate ratios were then calculated with respect to the level of injury
to the spine, the ASIA classification of neurological deficits and age.There were
171 male (75.7%) and 55 female (24.3%) patients with a mean age of 43.8 years.
The most common mechanisms were falls from high heights in 81 cases and road
traffic crashes in 67 cases. Right-sided rib injury occurred in 106 cases, left
sided injury occurred in 76 cases, and bilateral injury occurred in 44 cases. The
most frequent location of the rib fractures was from the fourth rib to the ninth
rib (70.3%, 510/725). Initial pulmonary complications (IPC) after trauma occurred
in 116 cases (51.3%). The mortality rate for the entire group was 1.3% (3/226).
The patients with thoracic vertebral fractures and neurological deficits had a
higher frequency of multiple rib fractures and IPC than the other patients (P <
0.05). With the increased number of rib fractures, the frequency of IPC and mean
intensive care unit (ICU) length of stay also increased.The rates of
complications for patients with rib fractures were significantly different from
those without rib fractures. We should pay much attention to the patients who
presented with thoracic vertebral fractures and neurological deficits for
minimizing further complications and mortality in such patients who had a higher
frequency of multiple rib fractures and IPC than the other patients.
PMID- 26554810
TI - Nurses' Own Recordkeeping: The Nursing Minimum Data Set Revisited.
AB - There is no consistent, standardized, concise method for nurses to record
information about their patients and clients that is conducive to store,
retrieve, and use in patient and client care; to improve professional self
development; and to use in collaboration with patients and clients, their
families, other nurses, doctors, hospitals, and health systems. Nurses gauge the
health status of their patients and clients every day and are now in a position
both to record their impressions for their own use and to share them with
colleagues who care for the same patients and clients. What is now needed is a
way to record these clinical impressions within an authoritative format that is
related to the depth and breadth of the clinical literature related to nursing
and the needs of the patients and clients nurses serve. The International Council
of Nurses' Nurse-Patient Summary is proposed here to fill the gulf between
narrative nurses' notes, proprietary and widely varying electronic health record
systems, and information from nurses about their patiens and clients human needs.
The International Council of Nurses' Nurse-Patient Summary could replace nursing
diagnosis items in the Nursing Minimum Data Set and serve as a substitute for the
World Health Organization's International Classification of Function, Disability
and Health, a seldom used instrument derived from the International Council of
Nurses' Basic Principles of Nursing Care.
PMID- 26554811
TI - Correction: Nitrogen-fluorine co-doped titania inverse opals for enhanced solar
light driven photocatalysis.
AB - Correction for 'Nitrogen-fluorine co-doped titania inverse opals for enhanced
solar light driven photocatalysis' by T. K. Rahul et al., Nanoscale, 2015, 7,
18259-18270.
PMID- 26554812
TI - Making SENSE--Sustained Effort Network for treatment of Status Epilepticus as a
multicenter prospective registry.
AB - BACKGROUND: Evidence regarding the different treatment options of status
epilepticus (SE) in adults is scarce. Large randomized trials cover only one
treatment at early stage and suggest the superiority of benzodiazepines over
placebo, of intravenous lorazepam over intravenous diazepam or over intravenous
phenytoin alone, and of intramuscular midazolam over intravenous lorazepam.
However, many patients will not be treated successfully with the first treatment
step. A large randomized trial covering the treatment of established status
(ESETT) has just been funded recently by the NIH and will not start before 2015,
with expected results in 2018; a trial on the treatment of refractory status with
general anesthetics was terminated early due to insufficient recruitment.
Therefore, a prospective multicenter observational registry was set up; this may
help in clinical decision-making until results from randomized trials are
available. METHODS/DESIGN: SENSE is a prospective, multicenter registry for
patients treated for SE. The primary objective is to document patient
characteristics, treatment modalities and in-house outcome of consecutive adults
admitted for SE treatment in each of the participating centres and to identify
predictors of outcome. Pre-treatment, treatment-related and outcome variables are
documented systematically. To allow for meaningful multivariate analysis in the
patient subgroups with refractory SE, a cohort size of 1000 patients is targeted.
DISCUSSION: The results of the study will provide information about risks and
benefits of specific treatment steps in different patient groups with SE at
different points of time. Thus, it will support clinical decision-making and,
furthermore, it will be helpful in the planning of treatment trials. TRIAL
REGISTRATION: DRKS00000725.
PMID- 26554813
TI - What are the similarities and differences in structure and function among the
three main models of community health centers in China: a systematic review.
AB - BACKGROUND: There are three major models of primary care providers (Community
Health Centers, CHCs) in China, i.e., government managed, hospital managed and
privately owned CHCs. We performed a systematic review of structures and health
care delivery patterns of the three models of CHCs. METHODS: Studies from
relevant English and Chinese databases for the period of 1997-2011 were searched.
Two independent researchers extracted data from the eligible studies using a
standardized abstraction form. Methodological quality of included articles was
assessed with the Mixed Methods Appraisal Tool (MMAT). RESULTS: A total of 13
studies was included in the final analysis. Compared with the other two models,
private CHCs had a smaller health workforce and lower share of government funding
in their total revenues. Private CHCs also had fewer training opportunities, were
less recognized by health insurance schemes and tended to provide primary care
services of poor quality. Hospital managed CHCs attracted patients through their
higher quality of clinical care, while private CHCs attracted users through
convenience and medical equipment. CONCLUSIONS: Our study suggested that
government and hospital managed CHCs were more competent and provided better
primary care than privately owned CHCs. Further studies are warranted to
comprehensively compare performances among different models of CHCs.
PMID- 26554814
TI - Quantifying Geometric Strain at the PbS QD-TiO2 Anode Interface and Its Effect on
Electronic Structures.
AB - Quantum dots (QDs) show promise as the absorber in nanostructured thin film solar
cells, but achieving high device efficiencies requires surface treatments to
minimize interfacial recombination. In this work, lead sulfide (PbS) QDs are
grown on a mesoporous TiO2 film with a crystalline TiO2 surface, versus one
coated with an amorphous TiO2 layer by atomic layer deposition (ALD). These
mesoporous TiO2 films sensitized with PbS QDs are characterized by X-ray and
electron diffraction, as well as X-ray absorption spectroscopy (XAS) in order to
link XAS features with structural distortions in the PbS QDs. The XAS features
are further analyzed with quantum simulations to probe the geometric and
electronic structure of the PbS QD-TiO2 interface. We show that the anatase TiO2
surface structure induces PbS bond angle distortions, which increases the energy
gap of the PbS QDs at the interface.
PMID- 26554815
TI - New insights into in vitro amyloidogenic properties of human serum albumin
suggest considerations for therapeutic precautions.
AB - Amyloid aggregates display striking features of detergent stability and self
seeding. Human serum albumin (HSA), a preferred drug-carrier molecule, can also
aggregate in vitro. So far, key amyloid properties of stability against ionic
detergents and self-seeding, are unclear for HSA aggregates. Precautions against
amyloid contamination would be required if HSA aggregates were self-seeding.
Here, we show that HSA aggregates display detergent sarkosyl stability and have
self-seeding potential. HSA dimer is preferable for clinical applications due to
its longer retention in circulation and lesser oedema owing to its larger
molecular size. Here, HSA was homodimerized via free cysteine-34, without any
potentially immunogenic cross-linkers that are usually pre-requisite for
homodimerization. Alike the monomer, HSA dimers also aggregated as amyloid,
necessitating precautions while using for therapeutics.
PMID- 26554816
TI - Filamin A interacts with the coactivator MKL1 to promote the activity of the
transcription factor SRF and cell migration.
AB - Megakaryoblastic leukemia 1 (MKL1) is a coactivator of serum response factor
(SRF) that promotes the expression of genes associated with cell proliferation,
motility, adhesion, and differentiation-processes that also involve dynamic
cytoskeletal changes in the cell. MKL1 is inactive when bound to monomeric
globular actin (G-actin), but signals that activate the small guanosine
triphosphatase RhoA cause actin polymerization and MKL1 dissociation from G
actin. We found a new mechanism of MKL1 activation that is mediated through its
binding to filamin A (FLNA), a protein that binds filamentous actin (F-actin).
The interaction of FLNA and MKL1 was required for the expression of MKL1 target
genes in primary fibroblasts, melanoma, mammary and hepatocellular carcinoma
cells. We identified the regions of interaction between MKL1 and FLNA, and cells
expressing an MKL1 mutant that was unable to bind FLNA exhibited impaired cell
migration and reduced expression of MKL1-SRF target genes. Induction and
repression of MKL1-SRF target genes correlated with increased or decreased MKL1
FLNA interaction, respectively. Lysophosphatidic acid-induced RhoA activation in
primary human fibroblasts promoted the association of endogenous MKL1 with FLNA,
whereas exposure to an actin polymerization inhibitor dissociated MKL1 from FLNA
and decreased MKL1-SRF target gene expression in melanoma cells. Thus, FLNA
functions as a positive cellular transducer linking actin polymerization to MKL1
SRF activity, counteracting the known repressive complex of MKL1 and monomeric G
actin.
PMID- 26554817
TI - Activation of mTORC1 in skeletal muscle regulates whole-body metabolism through
FGF21.
AB - Skeletal muscle is the largest organ, comprising 40% of the total body lean mass,
and affects whole-body metabolism in multiple ways. We investigated the signaling
pathways involved in this process using TSCmKO mice, which have a skeletal muscle
specific depletion of TSC1 (tuberous sclerosis complex 1). This deficiency
results in the constitutive activation of mammalian target of rapamycin complex 1
(mTORC1), which enhances cell growth by promoting protein synthesis. TSCmKO mice
were lean, with increased insulin sensitivity, as well as changes in white and
brown adipose tissue and liver indicative of increased fatty acid oxidation.
These differences were due to increased plasma concentrations of fibroblast
growth factor 21 (FGF21), a hormone that stimulates glucose uptake and fatty acid
oxidation. The skeletal muscle of TSCmKO mice released FGF21 because of mTORC1
triggered endoplasmic reticulum (ER) stress and activation of a pathway involving
PERK (protein kinase RNA-like ER kinase), eIF2alpha (eukaryotic translation
initiation factor 2alpha), and ATF4 (activating transcription factor 4).
Treatment of TSCmKO mice with a chemical chaperone that alleviates ER stress
reduced FGF21 production in muscle and increased body weight. Moreover, injection
of function-blocking antibodies directed against FGF21 largely normalized the
metabolic phenotype of the mice. Thus, sustained activation of mTORC1 signaling
in skeletal muscle regulated whole-body metabolism through the induction of
FGF21, which, over the long term, caused severe lipodystrophy.
PMID- 26554818
TI - Instructive roles for cytokine-receptor binding parameters in determining
signaling and functional potency.
AB - Cytokines dimerize cell surface receptors to activate signaling and regulate many
facets of the immune response. Many cytokines have pleiotropic effects, inducing
a spectrum of redundant and distinct effects on different cell types. This
pleiotropy has hampered cytokine-based therapies, and the high doses required for
treatment often lead to off-target effects, highlighting the need for a more
detailed understanding of the parameters controlling cytokine-induced signaling
and bioactivities. Using the prototypical cytokine interleukin-13 (IL-13), we
explored the interrelationships between receptor binding and a wide range of
downstream cellular responses. We applied structure-based engineering to generate
IL-13 variants that covered a spectrum of binding strengths for the receptor
subunit IL-13Ralpha1. Engineered IL-13 variants representing a broad range of
affinities for the receptor exhibited similar potencies in stimulating the
phosphorylation of STAT6 (signal transducer and activator of transcription 6).
Delays in the phosphorylation and nuclear translocation of STAT6 were only
apparent for those IL-13 variants with markedly reduced affinities for the
receptor. From these data, we developed a mechanistic model that quantitatively
reproduced the kinetics of STAT6 phosphorylation for the entire spectrum of
binding affinities. Receptor endocytosis played a key role in modulating STAT6
activation, whereas the lifetime of receptor-ligand complexes at the plasma
membrane determined the potency of the variant for inducing more distal
responses. This complex interrelationship between extracellular ligand binding
and receptor function provides the foundation for new mechanism-based strategies
that determine the optimal cytokine dose to enhance therapeutic efficacy.
PMID- 26554820
TI - Overcoming Film Quality Issues for Conjugated Polymers Doped with F4TCNQ by
Solution Sequential Processing: Hall Effect, Structural, and Optical
Measurements.
AB - We demonstrate that solution-sequential processing (SqP) can yield heavily doped
pristine-quality films when used to infiltrate the molecular dopant 2,3,5,6
tetrafluoro-7,7,8,8-tetracyanoquinodimethane (F4TCNQ) into pure poly(3
hexylthiophene) (P3HT) polymer layers. Profilometry measurements show that the
SqP method produces doped films with essentially the same surface roughness as
pristine films, and 2-D grazing-incidence wide-angle X-ray scattering (GIWAXS)
confirms that SqP preserves both the size and orientation of the pristine
polymer's crystallites. Unlike traditional blend-cast F4TCNQ/P3HT doped films,
our sequentially processed layers have tunable and reproducible conductivities
reaching as high as 5.5 S/cm even when measured over macroscopic (>1 cm)
distances. The high conductivity and superb film quality allow for meaningful
Hall effect measurements, which reveal p-type conduction and carrier
concentrations tunable from 10(16) to 10(20) cm(-3) and hole mobilities ranging
from ~0.003 to 0.02 cm(2) V(-1) s(-1) at room temperature over the doping levels
examined.
PMID- 26554821
TI - Autoimmune hepatitis as a presenting manifestation of mixed connective tissue
disease in a child. Case report and review of the literature.
AB - BACKGROUND: Liver disease is rare in the course of mixed connective tissue
disease. Most commonly liver steatosis or elevated liver function tests are
reported and only a few cases of mixed connective tissue disease associated with
autoimmune hepatitis were described. CASE PRESENTATION: We report a case of an 11
year old boy with hepatitis on admission to the hospital and symptoms and signs
of mixed connective tissue disease. Autoimmune hepatitis has been confirmed by
liver biopsy. CONCLUSION: To the best of our knowledge this is the youngest
patient with autoimmune hepatitis as a presenting manifestation of mixed
connective tissue disease.
PMID- 26554819
TI - The protein arginine methyltransferase PRMT5 promotes D2-like dopamine receptor
signaling.
AB - Protein arginine methylation regulates diverse functions of eukaryotic cells,
including gene expression, the DNA damage response, and circadian rhythms. We
showed that arginine residues within the third intracellular loop of the human D2
dopamine receptor, which are conserved in the DOP-3 receptor in the nematode
Caenorhabditis elegans, were methylated by protein arginine methyltransferase 5
(PRMT5). By mutating these arginine residues, we further showed that their
methylation enhanced the D2 receptor-mediated inhibition of cyclic adenosine
monophosphate (cAMP) signaling in cultured human embryonic kidney (HEK) 293T
cells. Analysis of prmt-5-deficient worms indicated that methylation promoted the
dopamine-mediated modulation of chemosensory and locomotory behaviors in C.
elegans through the DOP-3 receptor. In addition to delineating a previously
uncharacterized means of regulating GPCR (heterotrimeric guanine nucleotide
binding protein-coupled receptor) signaling, these findings may lead to the
development of a new class of pharmacological therapies that modulate GPCR
signaling by changing the methylation status of these key proteins.
PMID- 26554823
TI - High-resolution Manometry Findings in Patients After Sclerotherapy for Esophageal
Varices.
AB - BACKGROUND/AIMS: Endoscopic therapy for esophageal varices may lead to esophageal
dysmotility. High-resolution manometry is probably the more adequate tool to
measure esophageal motility in these patients. This study aimed to evaluate
esophageal motility using high resolution manometry following eradication of
esophageal varices by endoscopic sclerotherapy. METHODS: We studied 21 patients
(11 women, age 52 [45-59] years). All patients underwent eradication of
esophageal varices with endoscopic sclerotherapy and subsequent high resolution
manometry. RESULTS: A significant percentage of defective lower esophageal
sphincter (basal pressure 14.3 [8.0-20.0] mmHg; 43% hypertonic) and
hypocontractility (distal esophageal amplitude 50 [31-64] mmHg; proximal
esophageal amplitude 40 [31-61] mmHg; distal contractile integral 617 [403-920]
mmHg.sec.cm; 48% ineffective) was noticed. Lower sphincter basal pressure and
esophageal amplitude correlated inversely with the number of sessions (P <
0.001). No manometric parameter correlated with symptoms or interval between last
endoscopy and manometry. CONCLUSIONS: Esophageal motility after endoscopic
sclerotherapy is characterized by: (1) defective lower sphincter and (2)
defective and hypotensive peristalsis. Esophageal dysmotility is associated to an
increased number of endoscopic sessions, but manometric parameters do not predict
symptoms.
PMID- 26554822
TI - All that is blood is not schistosomiasis: experiences with reagent strip testing
for urogenital schistosomiasis with special consideration to very-low prevalence
settings.
AB - BACKGROUND: Reagent strip testing for microhaematuria has long been used for
community diagnosis of Schistosoma haematobium. Sensitivities and specificities
are reasonable, and hence, microhaematuria can serve as a proxy for S.
haematobium infection. However, assessment of test performance in the context of
the underlying S. haematobium prevalence is rare and test parameters other than
sensitivity and specificity have been neglected. METHODS: Data about the
association between microhaematuria and urine filtration results from three
studies were compared and put into context with findings from a recent Cochrane
review. Data were stratified by S. haematobium prevalence to identify prevalence
related differences in test performance. Kappa agreement and regression models
were employed to compare data for different S. haematobium prevalence categories.
RESULTS: We found a "background" prevalence of microhaematuria (13 %, on average)
which does not seem to be associated with schistosomiasis in most settings,
irrespective of the prevalence of S. haematobium. This background level of
microhaematuria might be due to cases missed with urine filtration, or
alternative causes apart from S. haematobium. Especially in very-low prevalence
settings, positive results for microhaematuria likely give an inaccurate picture
of the extent of S. haematobium, whereas negative results are a sound indicator
for the absence of infection. CONCLUSIONS: Reagent strip testing for
microhaematuria remains a good proxy for urogenital schistosomiasis, but
implications of test results and scope of application differ depending on the
setting in which reagent strips are employed. In very-low prevalence settings,
microhaematuria is an unstable proxy for urogenital schistosomiasis and treatment
decision should not be based on reagent strip test results alone. Our findings
underscore the need for highly accurate diagnostic tools for settings targeted
for elimination of urogenital schistosomiasis.
PMID- 26554824
TI - Juvenile idiopathic arthritis and rheumatoid arthritis: bacterial diversity in
temporomandibular joint synovial fluid in comparison with immunological and
clinical findings.
AB - Temporomandibular joint (TMJ) involvement in juvenile idiopathic arthritis (JIA)
occurs in up to 80% of affected children. The purpose of this study was to
investigate the presence of bacterial DNA in synovial fluid, and to compare this
with clinical and immunological findings in children with JIA, adults with
persistent JIA, and adults with rheumatoid arthritis, in order to detect whether
bacteria contribute to inflammation in TMJ arthritis. Synovial fluid and skin
swab samples were collected from 30 patients (54 TMJs). Bacterial detection was
performed using 16S rRNA pyrosequencing. Bacterial DNA was detected in 31 TMJs
(57%) in 19 patients (63%). A positive statistically significant correlation was
registered between bacterial DNA detected in TMJ synovial fluid and the following
factors: total protein concentration in synovial fluid, interleukin 1beta, tumour
necrosis factor alpha, adrenocorticotropic hormone, and adiponectin, as well as
the duration of the general medical disease. Fourteen different bacterial species
were detected in synovial fluid. Bacterial DNA in TMJ synovial fluid without
contamination was detected in more than 50% of the patients. Studies are needed
to evaluate the consequences of this bacterial DNA in synovial fluid with regard
to TMJ arthritis.
PMID- 26554825
TI - Characterisation of the Oxygenation Response to Inspired Oxygen Adjustments in
Preterm Infants.
AB - BACKGROUND: Oxygen saturation (SpO2) targeting in the preterm infant may be
improved with a better understanding of the SpO2 responses to changes in inspired
oxygen (FiO2). OBJECTIVE: We investigated the first-order FiO2-SpO2 relationship,
aiming to quantify the parameters governing that relationship, the influences on
these parameters and their variability. METHODS: In recordings of FiO2 and SpO2
from preterm infants on continuous positive airway pressure and supplemental
oxygen, we identified unique FiO2 adjustments and mapped the subsequent SpO2
responses. For responses identified as first-order, the delay, time constant and
gain parameters were determined. Clinical and physiological predictors of these
parameters were sought in regression analysis, and intra- and inter-subject
variability was evaluated. RESULTS: In 3,788 h of available data from 47 infants
at 31 (28-33) post-menstrual weeks [median (interquartile range)], we identified
993 unique FiO2 adjustments followed by a first-order SpO2 response. All response
parameters differed between FiO2 increments and decrements, with increments
having a shorter delay, longer time constant and higher gain [2.9 (1.7-4.8) vs.
1.3 (0.58-2.6), p < 0.05]. Gain was also higher in less mature infants and in the
setting of recent SpO2 instability, and was diminished with increasing severity
of lung dysfunction. Intra-subject variability in all parameters was prominent.
CONCLUSIONS: First-order SpO2 responses show variable gain, influenced by the
direction of FiO2 adjustment and the severity of lung disease, as well as
substantial intra-subject parameter variability. These findings should be taken
into account in adjustment of FiO2 for SpO2 targeting in preterm infants.
PMID- 26554826
TI - Evaluation of procalcitonin postmortem levels in some models of death: An
experimental study.
AB - Post-mortem determination of biochemical parameters, especially for obscure
cases, has been recognized useful in diagnosis of the underlying causes of death.
Procalcitonin (PCT) is known to rise in a response to any proinflammatory
stimulus. The present study aims to estimate postmortem PCT levels in serum and
kidney, liver, brain; and whether it is similar in different causes of death
models (trauma, drowning and freezing) models or not. The study was performed on
60 male rabbits. Rabbits were divided into four different death induced models
(15 rabbit each): trauma, infection, drowning and freezing models. At the end of
the study, all rabbits were sacrificed; blood samples, kidneys, livers and brains
were collected. PCT was measured using ELISA assay. Results showed highly
significant increase in PCT levels in all tested samples in different models of
death. The infection induced model showed the highest levels in all tested
samples compared to other groups mainly in liver; followed by trauma model and
drowning model which were increased mainly in brain's samples. The least model
which showed increased PCT levels was the freezing model mainly in liver samples.
Post Hoc multiple comparisons test showed significant differences between groups
in most of liver, brain and kidney samples, while PCT serum blood samples were
significant only between trauma and infection groups. It was concluded that PCT
can differentiate between sepsis and non-sepsis related deaths and that organs
like liver, kidney and brain PCT levels could be an alternative to serum PCT for
the diagnosis of postmortem sepsis.
PMID- 26554827
TI - p73 and IGF1R Regulate Emergence of Aggressive Cancer Stem-like Features via miR
885-5p Control.
AB - Cancer stem-like cells (CSC) have been proposed to promote cancer progression by
initiating tumor growth at distant sites, suggesting that stem-like cell features
can support metastatic efficiency. Here, we demonstrate that oncogenic DNp73, a
dominant-negative variant of the tumor-suppressor p73, confers cancer cells with
enhanced stem-like properties. DNp73 overexpression in noninvasive melanoma and
lung cancer cells increased anchorage-independent growth and elevated the
expression of the pluripotency factors CD133, Nanog, and Oct4. Conversely, DNp73
depletion in metastatic cells downregulated stemness genes, attenuated sphere
formation and reduced the tumor-initiating capability of spheroids in tumor
xenograft models. Mechanistic investigations indicated that DNp73 acted by
attenuating expression of miR-885-5p, a direct regulator of the IGF1 receptor
(IGF1R) responsible for stemness marker expression. Modulating this pathway was
sufficient to enhance chemosensitivity, overcoming DNp73-mediated drug
resistance. Clinically, we established a correlation between low p73 function and
high IGF1R/CD133/Nanog/Oct4 levels in melanoma specimens that associated with
reduced patient survival. Our work shows how DNp73 promotes cancer stem-like
features and provides a mechanistic rationale to target the DNp73-IGF1R cascade
as a therapeutic strategy to eradicate CSC.
PMID- 26554828
TI - Gender-Specific Molecular and Clinical Features Underlie Malignant Pleural
Mesothelioma.
AB - Malignant pleural mesothelioma (MPM) is an aggressive cancer that occurs more
frequently in men, but is associated with longer survival in women. Insight into
the survival advantage of female patients may advance the molecular understanding
of MPM and identify therapeutic interventions that will improve the prognosis for
all MPM patients. In this study, we performed whole-genome sequencing of tumor
specimens from 10 MPM patients and matched control samples to identify potential
driver mutations underlying MPM. We identified molecular differences associated
with gender and histology. Specifically, single-nucleotide variants of BAP1 were
observed in 21% of cases, with lower mutation rates observed in sarcomatoid MPM
(P < 0.001). Chromosome 22q loss was more frequently associated with the
epithelioid than that nonepitheliod histology (P = 0.037), whereas CDKN2A
deletions occurred more frequently in nonepithelioid subtypes among men (P =
0.021) and were correlated with shorter overall survival for the entire cohort (P
= 0.002) and for men (P = 0.012). Furthermore, women were more likely to harbor
TP53 mutations (P = 0.004). Novel mutations were found in genes associated with
the integrin-linked kinase pathway, including MYH9 and RHOA. Moreover, expression
levels of BAP1, MYH9, and RHOA were significantly higher in nonepithelioid
tumors, and were associated with significant reduction in survival of the entire
cohort and across gender subgroups. Collectively, our findings indicate that
diverse mechanisms highly related to gender and histology appear to drive MPM.
PMID- 26554829
TI - Imaging, Biodistribution, and Dosimetry of Radionuclide-Labeled PD-L1 Antibody in
an Immunocompetent Mouse Model of Breast Cancer.
AB - The programmed cell death ligand 1 (PD-L1) participates in an immune checkpoint
system involved in preventing autoimmunity. PD-L1 is expressed on tumor cells,
tumor-associated macrophages, and other cells in the tumor microenvironment. Anti
PD-L1 antibodies are active against a variety of cancers, and combined anti-PD-L1
therapy with external beam radiotherapy has been shown to increase therapeutic
efficacy. PD-L1 expression status is an important indicator of prognosis and
therapy responsiveness, but methods to precisely capture the dynamics of PD-L1
expression in the tumor microenvironment are still limited. In this study, we
developed a murine anti-PD-L1 antibody conjugated to the radionuclide Indium-111
((111)In) for imaging and biodistribution studies in an immune-intact mouse model
of breast cancer. The distribution of (111)In-DTPA-anti-PD-L1 in tumors as well
as the spleen, liver, thymus, heart, and lungs peaked 72 hours after injection.
Coinjection of labeled and 100-fold unlabeled antibody significantly reduced
spleen uptake at 24 hours, indicating that an excess of unlabeled antibody
effectively blocked PD-L1 sites in the spleen, thus shifting the concentration of
(111)In-DTPA-anti-PD-L1 into the blood stream and potentially increasing tumor
uptake. Clearance of (111)In-DTPA-anti-PD-L1 from all organs occurred at 144
hours. Moreover, dosimetry calculations revealed that radionuclide-labeled anti
PD-L1 antibody yielded tolerable projected marrow doses, further supporting its
use for radiopharmaceutical therapy. Taken together, these studies demonstrate
the feasibility of using anti-PD-L1 antibody for radionuclide imaging and
radioimmunotherapy and highlight a new opportunity to optimize and monitor the
efficacy of immune checkpoint inhibition therapy.
PMID- 26554830
TI - Combined MYC Activation and Pten Loss Are Sufficient to Create Genomic
Instability and Lethal Metastatic Prostate Cancer.
AB - Genetic instability, a hallmark feature of human cancers including prostatic
adenocarcinomas, is considered a driver of metastasis. Somatic copy number
alterations (CNA) are found in most aggressive primary human prostate cancers,
and the overall number of such changes is increased in metastases. Chromosome
10q23 deletions, encompassing PTEN, and amplification of 8q24, harboring MYC, are
frequently observed, and the presence of both together portends a high risk of
prostate cancer-specific mortality. In extant genetically engineered mouse
prostate cancer models (GEMM), isolated MYC overexpression or targeted Pten loss
can each produce early prostate adenocarcinomas, but are not sufficient to induce
genetic instability or metastases with high penetrance. Although a previous study
showed that combining Pten loss with focal MYC overexpression in a small fraction
of prostatic epithelial cells exhibits cooperativity in GEMMs, additional
targeted Tp53 disruption was required for formation of metastases. We
hypothesized that driving combined MYC overexpression and Pten loss using
recently characterized Hoxb13 transcriptional control elements that are active in
prostate luminal epithelial cells would induce the development of genomic
instability and aggressive disease with metastatic potential. Neoplastic lesions
that developed with either MYC activation alone (Hoxb13-MYC) or Pten loss alone
(Hoxb13-Cre?Pten(Fl/Fl)) failed to progress beyond prostatic intraepithelial
neoplasia and did not harbor genomic CNAs. By contrast, mice with both
alterations (Hoxb13-MYC?Hoxb13-Cre?Pten(Fl/Fl), hereafter, BMPC mice) developed
lethal adenocarcinoma with distant metastases and widespread genome CNAs that
were independent of forced disruption of Tp53 and telomere shortening. BMPC
cancers lacked neuroendocrine or sarcomatoid differentiation, features uncommon
in human disease but common in other models of prostate cancer that metastasize.
These data show that combined MYC activation and Pten loss driven by the Hoxb13
regulatory locus synergize to induce genomic instability and aggressive prostate
cancer that phenocopies the human disease at the histologic and genomic levels.
PMID- 26554831
TI - Differential Regulation of 6- and 7-Transmembrane Helix Variants of MU-Opioid
Receptor in Response to Morphine Stimulation.
AB - The pharmacological effect of opioids originates, at the cellular level, by their
interaction with the MU-opioid receptor (mOR) resulting in the regulation of
voltage-gated Ca2+ channels and inwardly rectifying K+ channels that ultimately
modulate the synaptic transmission. Recently, an alternative six trans-membrane
helix isoform of mOR, (6TM-mOR) has been identified, but its function and
signaling are still largely unknown. Here, we present the structural and
functional mechanisms of 6TM-mOR signaling activity upon binding to morphine. Our
data suggest that despite the similarity of binding modes of the alternative 6TM
mOR and the dominant seven trans-membrane helix variant (7TM-mOR), the
interaction with morphine generates different dynamic responses in the two
receptors, thus, promoting the activation of different mOR-specific signaling
pathways. We characterize a series of 6TM-mOR-specific cellular responses, and
observed that they are significantly different from those for 7TM-mOR. Morphine
stimulation of 6TM-mOR does not promote a cellular cAMP response, while it
increases the intracellular Ca2+ concentration and reduces the cellular K+
conductance. Our findings indicate that 6TM-mOR has a unique contribution to the
cellular opioid responses. Therefore, it should be considered as a relevant
target for the development of novel pharmacological tools and medical protocols
involving the use of opioids.
PMID- 26554833
TI - Quantitative Pedagogy: A Digital Two Player Game to Examine Communicative
Competence.
AB - Inner concepts are much richer than the words that describe them. Our general
objective is to inquire what are the best procedures to communicate conceptual
knowledge. We construct a simplified and controlled setup emulating important
variables of pedagogy amenable to quantitative analysis. To this aim, we designed
a game inspired in Chinese Whispers, to investigate which attributes of a
description affect its capacity to faithfully convey an image. This is a two
player game: an emitter and a receiver. The emitter was shown a simple geometric
figure and was asked to describe it in words. He was informed that this
description would be passed to the receiver who had to replicate the drawing from
this description. We capitalized on vast data obtained from an android app to
quantify the effect of different aspects of a description on communication
precision. We show that descriptions more effectively communicate an image when
they are coherent and when they are procedural. Instead, the creativity, the use
of metaphors and the use of mathematical concepts do not affect its fidelity.
PMID- 26554832
TI - Venous Thrombosis Risk after Cast Immobilization of the Lower Extremity:
Derivation and Validation of a Clinical Prediction Score, L-TRiP(cast), in Three
Population-Based Case-Control Studies.
AB - BACKGROUND: Guidelines and clinical practice vary considerably with respect to
thrombosis prophylaxis during plaster cast immobilization of the lower extremity.
Identifying patients at high risk for the development of venous thromboembolism
(VTE) would provide a basis for considering individual thromboprophylaxis use and
planning treatment studies. The aims of this study were (1) to investigate the
predictive value of genetic and environmental risk factors, levels of coagulation
factors, and other biomarkers for the occurrence of VTE after cast immobilization
of the lower extremity and (2) to develop a clinical prediction tool for the
prediction of VTE in plaster cast patients. METHODS AND FINDINGS: We used data
from a large population-based case-control study (MEGA study, 4,446 cases with
VTE, 6,118 controls without) designed to identify risk factors for a first VTE.
Cases were recruited from six anticoagulation clinics in the Netherlands between
1999 and 2004; controls were their partners or individuals identified via random
digit dialing. Identification of predictor variables to be included in the model
was based on reported associations in the literature or on a relative risk (odds
ratio) > 1.2 and p <= 0.25 in the univariate analysis of all participants. Using
multivariate logistic regression, a full prediction model was created. In
addition to the full model (all variables), a restricted model (minimum number of
predictors with a maximum predictive value) and a clinical model (environmental
risk factors only, no blood draw or assays required) were created. To determine
the discriminatory power in patients with cast immobilization (n = 230), the area
under the curve (AUC) was calculated by means of a receiver operating
characteristic. Validation was performed in two other case-control studies of the
etiology of VTE: (1) the THE-VTE study, a two-center, population-based case
control study (conducted in Leiden, the Netherlands, and Cambridge, United
Kingdom) with 784 cases and 523 controls included between March 2003 and December
2008 and (2) the Milan study, a population-based case-control study with 2,117
cases and 2,088 controls selected between December 1993 and December 2010 at the
Thrombosis Center, Fondazione IRCCS Ca' Granda-Ospedale Maggiore Policlinico,
Milan, Italy. The full model consisted of 32 predictors, including three genetic
factors and six biomarkers. For this model, an AUC of 0.85 (95% CI 0.77-0.92) was
found in individuals with plaster cast immobilization of the lower extremity. The
AUC for the restricted model (containing 11 predictors, including two genetic
factors and one biomarker) was 0.84 (95% CI 0.77-0.92). The clinical model
(consisting of 14 environmental predictors) resulted in an AUC of 0.77 (95% CI
0.66-0.87). The clinical model was converted into a risk score, the L-TRiP(cast)
score (Leiden-Thrombosis Risk Prediction for patients with cast immobilization
score), which showed an AUC of 0.76 (95% CI 0.66-0.86). Validation in the THE-VTE
study data resulted in an AUC of 0.77 (95% CI 0.58-0.96) for the L-TRiP(cast)
score. Validation in the Milan study resulted in an AUC of 0.93 (95% CI 0.86
1.00) for the full model, an AUC of 0.92 (95% CI 0.76-0.87) for the restricted
model, and an AUC of 0.96 (95% CI 0.92-0.99) for the clinical model. The L
TRiP(cast) score resulted in an AUC of 0.95 (95% CI 0.91-0.99). Major limitations
of this study were that information on thromboprophylaxis was not available for
patients who had plaster cast immobilization of the lower extremity and that
blood was drawn 3 mo after the thrombotic event. CONCLUSIONS: These results show
that information on environmental risk factors, coagulation factors, and genetic
determinants in patients with plaster casts leads to high accuracy in the
prediction of VTE risk. In daily practice, the clinical model may be the
preferred model as its factors are most easy to determine, while the model still
has good predictive performance. These results may provide guidance for
thromboprophylaxis and form the basis for a management study.
PMID- 26554834
TI - Missense Mutations in LRP5 Associated with High Bone Mass Protect the Mouse
Skeleton from Disuse- and Ovariectomy-Induced Osteopenia.
AB - The low density lipoprotein receptor-related protein-5 (LRP5), a co-receptor in
the Wnt signaling pathway, modulates bone mass in humans and in mice. Lrp5 knock
out mice have severely impaired responsiveness to mechanical stimulation whereas
Lrp5 gain-of-function knock-in and transgenic mice have enhanced responsiveness
to mechanical stimulation. Those observations highlight the importance of Lrp5
protein in bone cell mechanotransduction. It is unclear if and how high bone mass
causing (HBM) point mutations in Lrp5 alter the bone-wasting effects of
mechanical disuse. To address this issue we explored the skeletal effects of
mechanical disuse using two models, tail suspension and Botulinum toxin-induced
muscle paralysis, in two different Lrp5 HBM knock-in mouse models. A separate
experiment employing estrogen withdrawal-induced bone loss by ovariectomy was
also conducted as a control. Both disuse stimuli induced significant bone loss in
WT mice, but Lrp5 A214V and G171V were partially or fully protected from the bone
loss that normally results from disuse. Trabecular bone parameters among HBM mice
were significantly affected by disuse in both models, but these data are
consistent with DEXA data showing a failure to continue growing in HBM mice,
rather than a loss of pre-existing bone. Ovariectomy in Lrp5 HBM mice resulted in
similar protection from catabolism as was observed for the disuse experiments. In
conclusion, the Lrp5 HBM alleles offer significant protection from the resorptive
effects of disuse and from estrogen withdrawal, and consequently, present a
potential mechanism to mimic with pharmaceutical intervention to protect against
various bone-wasting stimuli.
PMID- 26554835
TI - Genotoxicity and Cytotoxicity Evaluation of the Neolignan Analogue 2-(4
Nitrophenoxy)-1Phenylethanone and its Protective Effect Against DNA Damage.
AB - Neolignans are secondary metabolites found in various groups of Angiosperms. They
belong to a class of natural compounds with great diversity of chemical
structures and pharmacological activities. These compounds are formed by linking
two phenylpropanoid units. Several compounds that have ability to prevent genetic
damage have been isolated from plants, and can be used to prevent or delay the
development of tumor cells. Genetic toxicology evaluation is widely used in risk
assessment of new drugs in preclinical screening tests. In this study, we
evaluated the genotoxicity and cytotoxicity of the neolignan analogue 2-(4
nitrophenoxy)-1-phenylethanone (4NF) and its protective effect against DNA damage
using the mouse bone marrow micronucleus test and the comet assay in mouse
peripheral blood. Our results showed that this neolignan analogue had no
genotoxic activity and was able to reduce induced damage both in mouse bone
marrow and peripheral blood. Although the neolignan analogue 4NF was cytotoxic,
it reduced cyclophosphamide-induced cytotoxicity. In conclusion, it showed no
genotoxic action, but exhibited cytotoxic, antigenotoxic, and anticytotoxic
activities.
PMID- 26554836
TI - Food Composition Database Format and Structure: A User Focused Approach.
AB - This study aimed to investigate the needs of Australian food composition database
user's regarding database format and relate this to the format of databases
available globally. Three semi structured synchronous online focus groups (M = 3,
F = 11) and n = 6 female key informant interviews were recorded. Beliefs
surrounding the use, training, understanding, benefits and limitations of food
composition data and databases were explored. Verbatim transcriptions underwent
preliminary coding followed by thematic analysis with NVivo qualitative analysis
software to extract the final themes. Schematic analysis was applied to the final
themes related to database format. Desktop analysis also examined the format of
six key globally available databases. 24 dominant themes were established, of
which five related to format; database use, food classification, framework,
accessibility and availability, and data derivation. Desktop analysis revealed
that food classification systems varied considerably between databases. Microsoft
Excel was a common file format used in all databases, and available software
varied between countries. User's also recognised that food composition databases
format should ideally be designed specifically for the intended use, have a user
friendly food classification system, incorporate accurate data with clear
explanation of data derivation and feature user input. However, such databases
are limited by data availability and resources. Further exploration of data
sharing options should be considered. Furthermore, user's understanding of food
composition data and databases limitations is inherent to the correct application
of non-specific databases. Therefore, further exploration of user FCDB training
should also be considered.
PMID- 26554838
TI - Particulate pollutant source evaluation using an inverse method under steady
state conditions.
AB - This article presents a method that enables the generation rate from one or /more
particle sources to be estimated, using far-field concentration measurements. The
method is made up of two distinct steps; a calibration phase, followed by an
estimation phase. The calibration phase makes it possible to create a transfer
relationship between a known source ("reference source") and the measurement of
the far-field concentration. The second step consists of estimating unknown
source generation rates by inverting the transfer relationship and using
measurements of far-field concentrations resulting from these unknown sources. In
addition, this article presents a technique to improve the positioning of the
sensors in the room in which the sources are situated. A numerical study using
computational fluid dynamics was first conducted to theoretically validate the
estimation method and assist with choosing the sensor positions in the
experimental rig. The study established that, with ideal sensors, the difference
between the real and estimated generation rates can be accurate to within 0.1%.
The method was then deployed on an experimental case. The results confirmed that
it is possible to estimate an isolated source. However, the quality of the
estimation deteriorated when the source to be estimated was significantly
different from the reference source, from an aerodynamic perspective.
PMID- 26554837
TI - Natural Guided Genome Engineering Reveals Transcriptional Regulators Controlling
Quorum-Sensing Signal Degradation.
AB - Quorum-quenching (QQ) are natural or engineered processes disrupting the quorum
sensing (QS) signalling which controls virulence and persistence (e.g. biofilm)
in numerous bacteria. QQ involves different enzymes including lactonases,
amidases, oxidases and reductases which degrade the QS molecules such as N
acylhomoserine lactones (NAHL). Rhodococcus erythropolis known to efficiently
degrade NAHL is proposed as a biocontrol agent and a reservoir of QQ-enzymes for
biotechnology. In R. erythropolis, regulation of QQ-enzymes remains unclear. In
this work, we performed genome engineering on R. erythropolis, which is
recalcitrant to reverse genetics, in order to investigate regulation of QQ
enzymes at a molecular and structural level with the aim to improve the QQ
activity. Deep-sequencing of the R. erythropolis enhanced variants allowed
identification of a punctual mutation in a key-transcriptional factor QsdR
(Quorum sensing degradation Regulation) which regulates the sole QQ-lactonase
QsdA identified so far. Using biophysical and structural studies on QsdR, we
demonstrate that QQ activity can be improved by modifying the regulation of QQ
enzymes degrading QS signal. This modification requiring the change of only one
amino-acid in a transcriptional factor leads to an enhanced R. erythropolis in
which the QS-signal degradation pathway is strongly activated.
PMID- 26554840
TI - Effects of nano-TiO2 on perfluorooctanesulfonate bioaccumulation in fishes living
in different water layers: Implications for enhanced risk of
perfluorooctanesulfonate.
AB - Nano-titanium dioxide (nano-TiO2) is one of the most universal engineered nano
materials while perfluorooctanesulfonate (PFOS) is a typical new persistent
organic pollutant. They are widely used and present in aquatic environment. In
this study, a novel semi-static multilayer microcosm was setup to investigate the
impacts of nano-TiO2 on PFOS bioaccumulation in fish species [Danio rerio (D.
rerio), Ctenopharyngodon idella (C. idella), Hypostomus plecostomus (H.
plecostomus)] living in different vertical layers. As a result of aggregation and
deposition, the concentration of TiO2 increased from upper to bottom layers in
the water column. Concomitantly, due to adsorption of PFOS on the nano-TiO2
particles, PFOS also displayed an increasing trend from upper to bottom layer.
Owing to ingestion of the TiO2-PFOS complexes, more PFOS was taken-up by fish.
With the aid of intestinal fluid, PFOS was readily released from TiO2 particles
and absorbed by fish. As a result, accumulation of PFOS in whole fish was
facilitated and the bioaccumulation factors of PFOS in D. rerio, C. idella and H.
plecostomus were 3.01, 2.42 and 1.11 times of that in the groups without TiO2.
However, TiO2 aggregates were too large to penetrate biological membranes to
participate body circulation, and no significant accumulation of TiO2 was
observed in fish muscle. The results suggested that the ecological risk of PFOS
could be enhanced due to the presence of nano-TiO2 in water.
PMID- 26554839
TI - Predictors of Study Attrition in a Randomized Controlled Trial Evaluating a
Perinatal Home-Visiting Program with Mothers with Psychosocial Vulnerabilities.
AB - OBJECTIVE: Randomised controlled trials evaluating perinatal home-visiting
programs are frequently confronted with the problem of high attrition rates. The
aim of the present study is to identify predictors of study attrition in a trial
evaluating a perinatal home-visiting program in France. MATERIALS AND METHODS:
CAPEDP is a French randomized trial comparing a perinatal home-visiting program
using psychologists versus usual care (N = 440). The first assessment was at
inclusion into the trial at the 27th week of pregnancy and the final assessment
when the child reached the age of two. Attrition rates were calculated at 3 and
24 months postpartum. Stepwise logistic regression was used to identify
predictors of early (between inclusion and 3 months postpartum) and later
(between 3 and 24 months postpartum) attrition among social, psychological and
parenting factors. RESULTS: Attrition rates were 17% and 63% at 3 and 24 months
respectively. At 24 months, there was significantly more attrition in the control
arm (70.6%) compared to the intervention arm (55.2%). Five independent predictors
of early attrition were identified: having already had an abortion; having
greater attachment insecurity as measured with the Vulnerable Attachment Style
Questionnaire (VASQ); having lower global severity of psychiatric symptoms as
assessed with the Symptom Check-List (SCL-90) at inclusion, being neither
currently employed nor studying; and declaring no tobacco consumption during
pregnancy. Being randomized into the control arm, having undergone early parental
loss before age 11 and having lower global severity of psychiatric symptoms (SCL
90) at 3 months postpartum were the only variables associated with later
attrition. CONCLUSION: This study provides key information for identifying
mothers who may require specific support to avoid study attrition in trials
evaluating a home-visiting program.
PMID- 26554841
TI - Proteome Differences in Placenta and Endometrium between Normal and Intrauterine
Growth Restricted Pig Fetuses.
AB - Uteroplacental tissue plays a key role in substance exchanges between maternal
and fetal circulation, and, therefore, in the growth and development of fetuses.
In this study, proteomics and western blotting were applied to investigate the
changes of proteome in the placenta and endometrium of normal and intrauterine
growth restriction (IUGR) porcine fetuses during mid to late pregnancy (D60, 90,
and 110 of gestation). Our results showed that proteins participating in cell
structure, energy metabolism, stress response, cell turnover, as well as
transport and metabolism of nutrients were differentially expressed in placenta
and endometrium between normal and IUGR fetuses. Analysis of functions of these
proteins suggests reductions in ATP production and nutrients transport, increases
in oxidative stress and apoptosis, and impairment of cell metabolism in IUGR
fetuses. Collectively, our findings aid in understanding of the mechanisms
responsible for uteroplacental dysfunction in IUGR fetus, and are expected to
provide new strategies to reduce fetal growth restriction in pigs and other
mammals.
PMID- 26554842
TI - An In-Depth Examination of Perceptions of Physical Activity in Regularly Active
and Insufficiently Active Older African American Women: A Participatory Approach.
AB - Despite considerable research and programmatic efforts to alleviate racial/ethnic
disparities in physical activity (PA), disparities in PA among older minorities
and major racial ethnic groups persist. This study explored perceptions of PA
among regularly active (RA) and insufficiently active (IA) older African American
women (AAW) and the factors that influence (positively and negatively) their
physical participation in their socio-cultural environment. A total of 20 AAW
aged 60 to 80 years participated in a cross-sectional mixed-methods study (i.e.,
qualitative and quantitative) employing participatory research approaches (i.e.,
photoelicitation) along with an objective assessment of PA. Nine women were
considered RA and 11 IA according to current PA recommendations. RA and IA women
held two major beliefs about the nature of PA (i.e., PA as a broadly defined
construct that goes beyond traditional exercise routines; and PA and exercise are
synonymous and can be used interchangeably) and had a good understanding of its
benefits. Participants in both groups did not know about the importance of PA
intensity for health benefits. Barriers and facilitator of PA were found to be
similar among RA and IA participants. Special attention should be paid to
providing access to no or low cost opportunities for PA participation in safe
environments.
PMID- 26554844
TI - Deficiency of respiratory chain complex I in Hashimoto thyroiditis.
AB - Oncocytic cells (OCs) are characterized by an accumulation of mitochondria and
their occurrence in the thyroid gland of patients with Hashimoto thyroiditis (HT)
is well known. However, their properties and functional relevance are poorly
understood. We investigated OC lesions (n=212) in the thyroid of 12 HT patients.
Loss of complex I protein was observed in oncocytic lesions of each of the
patients. In addition to isolated complex I deficiency, 25% of oncocytic lesions
showed combined deficiency of complex I and IV. Thus, we demonstrate for the
first time a defect of respiratory chain complex I in OCs of HT patients.
PMID- 26554843
TI - Easy to learn, hard to suppress: The impact of learned stimulus-outcome
associations on subsequent action control.
AB - The inhibition of impulsive response tendencies that conflict with goal-directed
action is a key component of executive control. An emerging literature reveals
that the proficiency of inhibitory control is modulated by expected or unexpected
opportunities to earn reward or avoid punishment. However, less is known about
how inhibitory control is impacted by the processing of task-irrelevant stimulus
information that has been associated previously with particular outcomes (reward
or punishment) or response tendencies (action or inaction). We hypothesized that
stimulus features associated with particular action-valence tendencies, even
though task irrelevant, would modulate inhibitory control processes. Participants
first learned associations between stimulus features (color), actions, and
outcomes using an action-valence learning task that orthogonalizes action
(action, inaction) and valence (reward, punishment). Next, these stimulus
features were embedded in a Simon task as a task-irrelevant stimulus attribute.
We analyzed the effects of action-valence associations on the Simon task by means
of distributional analysis to reveal the temporal dynamics. Learning patterns
replicated previously reported biases; inherent, Pavlovian-like mappings (action
reward, inaction-punishment avoidance) were easier to learn than mappings
conflicting with these biases (action-punishment avoidance, inaction-reward).
More importantly, results from two experiments demonstrated that the easier to
learn, Pavlovian-like action-valence associations interfered with the proficiency
of inhibiting impulsive actions in the Simon task. Processing conflicting
associations led to more proficient inhibitory control of impulsive actions,
similar to Simon trials without any association. Fast impulsive errors were
reduced for trials associated with punishment in comparison to reward trials or
trials without any valence association. These findings provide insight into the
temporal dynamics of task irrelevant information associated with action and
valence modulating cognitive control. We discuss putative mechanisms that might
explain these interactions.
PMID- 26554845
TI - Neural mechanisms of hypnosis and meditation.
AB - Hypnosis has been an elusive concept for science for a long time. However, the
explosive advances in neuroscience in the last few decades have provided a
"bridge of understanding" between classical neurophysiological studies and
psychophysiological studies. These studies have shed new light on the neural
basis of the hypnotic experience. Furthermore, an ambitious new area of research
is focusing on mapping the core processes of psychotherapy and the
neurobiology/underlying them. Hypnosis research offers powerful techniques to
isolate psychological processes in ways that allow their neural bases to be
mapped. The Hypnotic Brain can serve as a way to tap neurocognitive questions and
our cognitive assays can in turn shed new light on the neural bases of hypnosis.
This cross-talk should enhance research and clinical applications. An increasing
body of evidence provides insight in the neural mechanisms of the Meditative
Brain. Discrete meditative styles are likely to target different neurodynamic
patterns. Recent findings emphasize increased attentional resources activating
the attentional and salience networks with coherent perception. Cognitive and
emotional equanimity gives rise to an eudaimonic state, made of calm, resilience
and stability, readiness to express compassion and empathy, a main goal of
Buddhist practices. Structural changes in gray matter of key areas of the brain
involved in learning processes suggest that these skills can be learned through
practice. Hypnosis and Meditation represent two important, historical and
influential landmarks of Western and Eastern civilization and culture
respectively. Neuroscience has beginning to provide a better understanding of the
mechanisms of both Hypnotic and Meditative Brain, outlining similarities but also
differences between the two states and processes. It is important not to view
either the Eastern or the Western system as superior to the other. Cross
fertilization of the ancient Eastern meditation techniques presented with Western
modern clinical hypnosis will hopefully result in each enriching the other.
PMID- 26554847
TI - Diminution of miR-340-5p levels is responsible for increased expression of ABCB5
in melanoma cells under oxygen-deprived conditions.
AB - Melanoma is usually highly refractory to chemotherapy. This resistance to
treatment is mainly due to high heterogeneity and plasticity of melanoma cells
strictly connected to changes in tumor microenvironment. Hypoxia can drastically
alter cancer biology. Solid tumor cells under hypoxia gain stem-like features,
they are more invasive and drug-resistant than their normoxic counterparts. These
effects could be mediated by changes in miRNA expression under hypoxia. MiRNAs
are small non-coding RNA molecules that can negatively control gene expression.
In the present study using microarray technology we evaluated the expression of
miRNAs in melanoma cells derived from nodular melanoma and grown under normoxic
and hypoxic conditions. Using R environment for statistical analysis we found
that 70 miRNAs were differentially-expressed, and 16 of them were significantly
down-regulated in melanoma cells grown in hypoxic conditions compared to cells
grown in normoxia. We intended to find transcripts whose expression is increased
due to down-regulation of selected miRNAs. Bioinformatics analysis revealed that
increased levels of HIF-2alpha, ABCB5, OCT4, SOX2 and ZEB1 in different melanoma
populations under hypoxia could be a result of significant down-regulation of miR
340-5p. Inhibition of miR-340-5p confirmed that this miRNA negatively influences
the expression of ABCB5. This is the first study showing the relationship between
miR-340-5p and expression of ABCB5, a transmembrane transporter involved in drug
resistance considered as a marker of melanoma stem-like cells.
PMID- 26554846
TI - A census of alpha-helical membrane proteins in double-stranded DNA viruses
infecting bacteria and archaea.
AB - BACKGROUND: Viruses are the most abundant and genetically diverse biological
entities on earth, yet the repertoire of viral proteins remains poorly explored.
As the number of sequenced virus genomes grows into the thousands, and the number
of viral proteins into the hundreds of thousands, we report a systematic
computational analysis of the point of first-contact between viruses and their
hosts, namely viral transmembrane (TM) proteins. RESULTS: The complement of alpha
helical TM proteins in double-stranded DNA viruses infecting bacteria and archaea
reveals large-scale trends that differ from those of their hosts. Viruses
typically encode a substantially lower fraction of TM proteins than archaea or
bacteria, with the notable exception of viruses with virions containing a lipid
component such as a lipid envelope, internal lipid core, or inner membrane
vesicle. Compared to bacteriophages, archaeal viruses are substantially enriched
in membrane proteins. However, this feature is not always stable throughout the
evolution of a viral lineage; for example, TM proteins are not part of the common
heritage shared between Lipothrixviridae and Rudiviridae. In contrast to bacteria
and archaea, viruses almost completely lack proteins with complicated membrane
topologies composed of more than 4 TM segments, with the few detected exceptions
being obvious cases of relatively recent horizontal transfer from the host.
CONCLUSIONS: The dramatic differences between the membrane proteomes of cells and
viruses stem from the fact that viruses do not depend on essential membranes for
energy transformation, ion homeostasis, nutrient transport and signaling.
PMID- 26554848
TI - Cardiac stem cells transplantation enhances the expression of connexin 43 via the
ANG II/AT1R/TGF-beta1 signaling pathway in a rat model of myocardial infarction.
AB - BACKGROUND: In this study, we hypothesized that CSCs mediated the expression of
Cx43 after transplantation post MI via the ANG II/AT1R/TGF-beta1 signaling
pathway. METHODS: Myocardial infarction (MI) was induced in twenty male Sprague
Dawley rats. The rats were randomized into two groups and were then received the
injection of 5 * 10(6) CSCs labeled with PKH26 in phosphate buffer solution (PBS)
or equal PBS alone into the infarct anterior ventricular free wall two weeks
after MI. Six weeks later, relevant signaling molecules involved were all
examined. RESULTS: In the CSCs group, an increased expression of Cx43 could be
observed in different zones of the left ventricle (P<0.01). There was a
significant reduction of the angiotensin II (ANG II) level in plasma and
different regions of the left ventricular cardiac tissues (P<0.05; P<0.01). The
angiotensin II type I receptor (AT1R) was decreased accompanied with an enhanced
expression of angiotensin II type II receptor (AT2R) (P<0.01). Transforming
growth factor beta-1(TGF-beta1) was downregulated (P<0.01). The expression of
mothers against decapentaplegic homolog (SMAD) proteins including SMAD2 and SMAD3
was attenuated whereas SMAD7 was elevated (P<0.01, P<0.01, P<0.05). In addition,
the expression of mitogen-activated protein kinases (MAPKs) including
extracellular kinases 1/2 (ERK1/2) and p38 was also found to be reduced (P<0.01).
CONCLUSION: CSCs transplantation could enhance the level of Cx43 after MI. They
might function through intervening the ANGII/AT1R/TGF-beta1 signaling pathway to
regulate the expression of Cx43.
PMID- 26554850
TI - Tumor microenvironment (TME)-driven immune suppression in B cell malignancy.
AB - Immune checkpoint blockade antibodies and immunomodulatory drugs can unleash anti
tumor T cell immunity and mediate durable cancer regressions. However, only a
fraction of patients currently respond to immunotherapy. Lymphoid malignancies
are known to have clinically exploitable immune sensitivity and their intrinsic
lymphoid tumor-microenvironment (TME) should make them natural targets for
immunotherapy. However, accumulating evidence is showing that malignant cells
engage in novel associations/interdependencies with reprogrammed immune and
stromal cells in the TME that provide crucial contributions to the licencing of
tumour progression and immune evasion (suppression of antitumor immune
responses). In this review, we outline TME-driven contributions to the licencing
of immune evasion mechanisms including the expression and activity of the immune
checkpoint network, focussing on two types of B cell malignancy: indolent chronic
lymphocytic leukemia (CLL) and aggressive diffuse large B-cell lymphoma (DLBCL).
We also highlight recent therapeutic strategies to re-educate the TME to have
anti-tumorigenic effects. This article is part of a Special Issue entitled: Tumor
Microenvironment Regulation of Cancer Cell Survival, Metastasis, Inflammation,
and Immune Surveillance edited by Peter Ruvolo and Gregg L. Semenza.
PMID- 26554849
TI - Sequence-function correlations and dynamics of ERG isoforms. ERG8 is the black
sheep of the family.
AB - The transcription factor ERG is known to have divergent roles. On one hand, it
acts as differentiation factor of endothelial cells. On the other hand, it has
pathological roles in various cancers. Genomic analyses of the ERG gene show that
it gives rise to several isoforms. However, functional differences between these
isoforms, representing potential reasons for distinct effects in diverse cell
types have not been addressed in detail so far. We set out to investigate the
major protein isoforms and found that ERG8 contains a unique C-terminus. This
isoform, when expressed as GFP-fusion protein, localized mainly to the cytosol,
whereas the other major isoforms (ERG1-4) were predominantly nuclear. Using site
directed mutagenesis and laser scanning microscopy of live cells, we could
identify nuclear localization (NLS) and nuclear export sequences (NES). These
analyses indicated that ERG8 lacks a classical NLS and the DNA-binding domain,
but holds an additional NES within its distinctive C-terminus. All the tested
isoforms were shuttling between nucleus and cytosol and showed a high degree of
mobility. ERG's 1 to 4 were transcriptionally active on ERG-promoter elements
whereas ERG8 was inactive, which is in line with the absence of a DNA-binding
domain. Fluorescence resonance energy transfer (FRET) microscopy revealed that
ERG8 can bind to the transcriptionally active ERG's. Knockdown of ERG8 in
endothelial cells resulted in upregulation of endogenous ERG-transcriptional
activity implying ERG8 as an inhibitor of the active ERG isoforms. Quantitative
PCR revealed a different ratio of active ERG's to ERG8 in cancer- versus non
transformed cells.
PMID- 26554853
TI - Supplemental Peri-Operative Oxygen and Incision Site Infection after Surgery for
Perforated Peptic Ulcer: A Randomized, Double-Blind Monocentric Trial.
AB - BACKGROUND: The clinical role of hyperoxia for preventing surgical site infection
(SSI) remains uncertain because randomized controlled trials on this topic have
reported disparate results. One of the principal reasons for this outcome may be
that prior trials have entered heterogeneous populations of patients and a
variety of procedures. The aim of our study was to assess the influence of
hyperoxygenation on SSI using a homogeneous study population. METHODS: From
January 2004 to April 2013, we studied, in a randomized trial, 239 patients, who
underwent open surgery for perforated peptic ulcer (PPU). The surgical procedure
was performed through an upper abdominal midline incision, and closure of PPU was
achieved by suture alone or in combination with an omental patch. Patients were
assigned randomly to an oxygen/air mixture with a fraction of inspired oxygen
(FiO2) of 30% (n = 120) or 80% (n = 119). Administration was commenced after
induction of anesthesia and maintained for 6 hours after surgery. RESULTS: The
overall incision infection rate was 38.4% (92 of 239): 61 patients (50.8%) had an
infection in the 30% FiO2 group and 31 (26%) in the 80% FiO2 group (p < 0.05).
The risk of SSI was 48% lower in the 80% FiO2 group (relative risk 0.51; 95%
confidence interval [CI] 0.28-1.08) vs 30% FiO2. CONCLUSIONS: Supplemental 80%
FiO2 during and for 6 h after open surgery for PPU, which reduces post-operative
SSI, should be considered part of ongoing quality improvement activities related
to surgical care, with few risks to the patient and little associated cost.
PMID- 26554854
TI - Optimization of MRI-based scoring scales of brain injury severity in children
with unilateral cerebral palsy.
AB - BACKGROUND: Several scoring systems for measuring brain injury severity have been
developed to standardize the classification of MRI results, which allows for the
prediction of functional outcomes to help plan effective interventions for
children with cerebral palsy. OBJECTIVE: The aim of this study is to use
statistical techniques to optimize the clinical utility of a recently proposed
template-based scoring method by weighting individual anatomical scores of
injury, while maintaining its simplicity by retaining only a subset of scored
anatomical regions. MATERIALS AND METHODS: Seventy-six children with unilateral
cerebral palsy were evaluated in terms of upper limb motor function using the
Assisting Hand Assessment measure and injuries visible on MRI using a
semiquantitative approach. This cohort included 52 children with periventricular
white matter injury and 24 with cortical and deep gray matter injuries. A subset
of the template-derived cerebral regions was selected using a data-driven region
selection algorithm. Linear regression was performed using this subset, with
interaction effects excluded. RESULTS: Linear regression improved multiple
correlations between MRI-based and Assisting Hand Assessment scores for both
periventricular white matter (R squared increased to 0.45 from 0, P < 0.0001) and
cortical and deep gray matter (0.84 from 0.44, P < 0.0001) cohorts. In both
cohorts, the data-driven approach retained fewer than 8 of the 40 template
derived anatomical regions. CONCLUSION: The equal or better prediction of the
clinically meaningful Assisting Hand Assessment measure using fewer anatomical
regions highlights the potential of these developments to enable enhanced
quantification of injury and prediction of patient motor outcome, while
maintaining the clinical expediency of the scoring approach.
PMID- 26554856
TI - Sponge-like nanoporous single crystals of gold.
AB - Single crystals in nature often demonstrate fascinating intricate porous
morphologies rather than classical faceted surfaces. We attempt to grow such
crystals, drawing inspiration from biogenic porous single crystals. Here we show
that nanoporous single crystals of gold can be grown with no need for any
elaborate fabrication steps. These crystals are found to grow following
solidification of a eutectic composition melt that forms as a result of the
dewetting of nanometric thin films. We also present a kinetic model that shows
how this nano-porous single-crystalline structure can be obtained, and which
allows the potential size of the porous single crystal to be predicted. Retaining
their single-crystalline nature is due to the fact that the full crystallization
process is faster than the average period between two subsequent nucleation
events. Our findings clearly demonstrate that it is possible to form single
crystalline nano porous metal crystals in a controlled manner.
PMID- 26554855
TI - Ultrasound-guided fine-needle aspiration biopsy of pediatric thyroid nodules.
AB - BACKGROUND: The role of US-guided fine-needle aspiration biopsy (US-FNAB) of
thyroid nodules is not well-established in children. OBJECTIVE: To
retrospectively assess the utility of US-FNAB of pediatric thyroid nodules.
MATERIALS AND METHODS: We reviewed Department of Radiology records to identify
children who underwent US-FNAB of the thyroid between 2005 and 2013. Two board
certified pediatric radiologists reviewed pre-procedural thyroid US exams and
documented findings by consensus. We recorded cytopathology findings and compared
them to surgical pathology diagnoses if the nodule was resected. We also recorded
demographic information, use of sedation or general anesthesia, and presence of
on-site cytopathological feedback. The Student's t-test was used to compare
continuous data; the Fisher exact test was used to compare proportions. RESULTS:
US-FNAB was conducted on a total of 86 thyroid nodules in 70 children; 56 were
girls (80%). Seventy-eight of the 86 (90.7%) US-FNAB procedures were diagnostic;
69/78 (88.5%) diagnostic specimens were benign (including six indeterminate
follicular lesions that were proved at surgery to be benign) and 9/78 (11.5%)
were malignant/suspicious for malignancy (all proved to be papillary carcinomas).
There was no difference in size of benign vs. malignant lesions (P = 0.82) or
diagnostic vs. non-diagnostic lesions (P = 0.87). Gender (P = 0.19), use of
sedation/general anesthesia (P = 0.99), and presence of onsite cytopathological
feedback (P = 0.99) did not affect diagnostic adequacy. Microcalcifications (P <
0.0001; odds ratio [OR] = 113.7) and coarse calcifications (P = 0.03; OR = 19.4)
were associated with malignancy. Diagnoses at cytopathology and surgical
pathology were concordant in 27/29 (93.1%) nodules; no US-FNAB procedure yielded
false-positive or false-negative results for malignancy. CONCLUSION: US-FNAB of
pediatric thyroid nodules is feasible, allows diagnostic cytopathological
evaluation, and correlates with surgical pathology results in resected nodules.
PMID- 26554857
TI - Evaluation of Adenovirus-Mediated Down-Regulation of Connective Tissue Growth
Factor on Postoperative Wound Healing After Experimental Glaucoma Surgery.
AB - PURPOSE: This study was aimed to determine whether adenovirus-mediated down
regulation of connective tissue growth factor (CTGF) can modulate postoperative
scarring in a rabbit receiving simplified glaucoma surgery. METHODS: In vitro
studies were performed using a replication-deficient recombinant adenovirus that
transcribes a small interfering RNA (siRNA) specific to the CTGF gene under the
control of the modified CMV promoter. Primary tenon cells from a New Zealand
White rabbit were transduced with 10-100 plaque-forming units (pfu) per cell of
the viral vector. Seventy-two hours later, CTGF expression was analyzed by
Western blot analysis. In vivo studies were conducted using 10 New Zealand White
rabbits, which underwent simplified glaucoma surgery and received a postoperative
subconjunctival injection of 5 ul suspension of adenovirus carrying shRNA for
CTGF (2 * 10(11) pfu/ml) in the right eye, and the same amount of null virus in
the left eye. Eyes were enucleated 5 d after the surgery, and immunohistochemical
and histological examinations of the surgical outcome were performed. RESULTS:
Western blot analysis showed that CTGF was depleted to less than 10% of its
original level in cells transduced with the adenovirus expressing CTGF-specific
siRNA. This demonstrates RNA interference (RNAi)-mediated CTGF inactivation in
vitro. Immunohistochemical analysis also showed that CTGF was significantly
depleted in eyes transduced with the adenovirus expressing CTGF siRNA. This
demonstrates RNAi-mediated CTGF inactivation in vivo. In addition, less scar
tissue was observed on histological evaluation in the transduced eyes,
demonstrating that inhibition of CTGF expression can modulate the wound healing
process after surgery. CONCLUSIONS: Down-regulation of CTGF is effective in
inhibiting postoperative scarring in vivo. This suggests that RNAi with CTGF
siRNA may potentially pave the road for a novel therapeutic strategy to improve
glaucoma surgery results.
PMID- 26554858
TI - Flow Analysis of Amino Acids by Using a Newly Developed Aminoacyl-tRNA Synthetase
Immobilized, Small Reactor Column-Based Assay.
AB - Abnormal concentrations of amino acids in blood and urine can be indicative of
several diseases, including cancer and diabetes. Therefore, analyses that examine
amino acid concentrations are useful for the diagnosis of such diseases. In this
study, we developed an enzyme-immobilized, small reactor column for flow analysis
of amino acid concentrations. For the recognition of asparagine and lysine,
asparaginyl-tRNA synthetase and lysyl-tRNA synthase were immobilized onto
microparticles, respectively, and coupled with coloration reagents for
spectrophotometric detection. This assay has some advantages in the analytical
field, such as the ability to detect small amounts of analyte, allowing for the
use of a small reaction volume, and ensuring a rapid and efficient reaction rate.
This approach provided selective quantitation of up to 480 MUM of asparagine and
lysine in 200 mM Tris-HCl buffer (pH 8.0).
PMID- 26554859
TI - Levels of physical activity, motivation and barriers to participation in
university students.
AB - BACKGROUND: Grounded in self-determination theory and trans-theoretical model
applied to exercise, the aim of this study was to analyse the existing
relationships between physical activity (PA) carried out by university students,
perceived barriers to PA, motivation to PA and stages of change. METHODS: 901
Spanish students took part in the study (408 men, 493 women; mean age 22.59+/
3.59), who completed the Behavioural Regulation in Exercise Questionnaire (BREQ
3), Scale of Barriers to PA, Stages of Change and the International Physical
Activity Questionnaire - Short Form (IPAQ-SF). RESULTS: Noteworthy among the
findings is the positive relationship between the more autonomous regulation
forms, especially integrated regulation, and the moderate to vigorous PA (MVPA)
levels. However, barriers to participatrion are negatively related to PA levels
and the more self-determined forms of motivation. Finally, students in action and
maintenance stages, and those who comply with the recommendations on PA present
higher values in the more self-determined motivation forms and lower values in
barriers to participation in PA. CONCLUSIONS: The study shows the importance of
addressing the analysis of variables associated with engagement in PA in the
university population to develop healthy policies and intervention programmes
that can establish a series of healthy and more active habits in the youth-adult
stage. The appropriateness of promoting more self-determined motivation forms is
highlighted, especially integrated regulation, to have an impact on higher levels
of MVPA.
PMID- 26554860
TI - New score based on early repolarization in precordial leads for detection of left
ventricular hypertrophy in young soccer players.
PMID- 26554861
TI - Polydimethylsiloxane films doped with NdFeB powder: magnetic characterization and
potential applications in biomedical engineering and microrobotics.
AB - This work reports the fabrication, magnetic characterization and controlled
navigation of film-shaped microrobots consisting of a polydimethylsiloxane-NdFeB
powder composite material. The fabrication process relies on spin-coating
deposition, powder orientation and permanent magnetization. Films with different
powder concentrations (10 %, 30 %, 50 % and 70 % w/w) were fabricated and
characterized in terms of magnetic properties and magnetic navigation
performances (by exploiting an electromagnet-based platform). Standardized data
are provided, thus enabling the exploitation of these composite materials in a
wide range of applications, from MEMS/microrobot development to biomedical
systems. Finally, the possibility to microfabricate free-standing polymeric
structures and the biocompatibility of the proposed composite materials is
demonstrated.
PMID- 26554862
TI - Clinical, Hematological and Molecular Analysis of Homozygous Hb E (HBB: c.79G >
A) in the Indian Population.
AB - Homozygous Hb E [beta26(B8)Glu->Lys; HBB: c.79G > A] is a clinically mild disease
with no significant symptoms. Very few studies are available on clinical
variability in Hb E disorders. We report the profile of a series of homozygous Hb
E patients in the Indian population. We analyzed various genetic factors that
contribute to the heterogeneity in the phenotype of homozygous Hb E patients.
Analysis of these parameters further enhances our understanding of the Hb E
syndrome.
PMID- 26554863
TI - Molecular determinants of chemotherapy resistance in ovarian cancer.
PMID- 26554864
TI - Testudinibacter aquarius gen. nov., sp. nov., a member of the family
Pasteurellaceae isolated from the oral cavity of freshwater turtles.
AB - A total of 13 Pasteurellaceae isolates from healthy freshwater turtles were
characterized by genotypic and phenotypic tests. Phylogenetic analysis of partial
16S rRNA and rpoB gene sequences showed that the isolates investigated formed a
monophyletic group. The closest related species based on 16S rRNA gene sequencing
was Chelonobacter oris CCUG 55632T with 94.4 % similarity and the closest related
species based on rpoB gene sequence comparison was [Pasteurella] testudinis CCUG
19802T with 91.5 % similarity. All the investigated isolates exhibited phenotypic
characteristics of the family Pasteurellaceae. However, they could be separated
from existing genera of the Pasteurellaceae by the following test results:
indole, ornithine decarboxylase and Voges-Proskauer positive; and methyl red,
urease and PNPG (alpha-glucosidase) negative. No X- or V-factor requirement was
observed. A zone of beta-haemolysis surrounded the colonies after 24 h of
incubation on bovine blood agar at 37 degrees C. Acid was produced from l
arabinose, dulcitol, d-mannitol, sucrose and trehalose. Representative strain
ELNT2xT had a fatty acid profile that was characteristic for members of the
Pasteurellaceae. ELNT2xT expressed only one respiratory quinone, ubiquinone-8
(100 %). The DNA G+C content of strain ELNT2xT was 42.8 mol%. On the basis of
both phylogenetic and phenotypic evidence, it is proposed that the strains should
be classified as representatives of a novel species of a new genus,
Testudinibacter aquarius gen. nov., sp. nov. The type strain of Testudinibacter
aquarius is ELNT2xT ( = CCUG 65146T = DSM 28140T), which was isolated from the
oral cavity of a captive eastern long-necked turtle (Chelodina longicollis) in
Denmark in 2012.
PMID- 26554865
TI - Waiting for an Answer: Hospice Care and Novel Immunotherapy.
PMID- 26554866
TI - MiR-506 functions as a tumor suppressor in glioma by targeting STAT3.
AB - MicroRNA-506 (miR-506) has been reported to act as a tumor suppressive or an
oncogenic miRNA in different types of tumors. However, the roles and underlying
molecular mechanism of miR-506 in glioma remain unclear. In the present study, we
performed quantitative PCR to investigate the level of miR-506 in 36 pairs of
glioma tumor and matched adjacent tissues, and found that miR-506 was
downregulated in the glioma tumors compared to the expression in the adjacent
normal tissues. Furthermore, a functional assay found that ectopic expression of
miR-506 in glioma cells markedly suppressed cell proliferation, colony formation,
migration and invasion, and suppressed tumor growth in vivo. Moreover, signal
transducer and activator of transcription 3 (STAT3) was identified as a direct
target of miR-506. Western blot assay showed that overexpression of miR-506 not
only induced changes in STAT3 expression but also altered expression of its
downstream genes, including, Bcl2, cyclin D1 and matrix metalloproteinase 2 (MMP
2), in the human glioma cells. In addition, STAT3 mRNA expression was increased
in the glioma tissues, and was inversely correlated with miR-506. Importantly,
overexpression of STAT3 in glioma cells attenuated the suppressive effects of miR
506 on cell proliferation, colony formation, migration and invasion. These
results showed that miR-506 functions as a tumor suppressor in glioma by
targeting STAT3, suggesting that miR-506 may serve as a potential target in the
treatment of human glioma.
PMID- 26554867
TI - A regulatory gene network related to the porcine umami taste receptor
(TAS1R1/TAS1R3).
AB - Taste perception plays an important role in the mediation of food choices in
mammals. The first porcine taste receptor genes identified, sequenced and
characterized, TAS1R1 and TAS1R3, were related to the dimeric receptor for umami
taste. However, little is known about their regulatory network. The objective of
this study was to unfold the genetic network involved in porcine umami taste
perception. We performed a meta-analysis of 20 gene expression studies spanning
480 porcine microarray chips and screened 328 taste-related genes by selective
mining steps among the available 12,320 genes. A porcine umami taste-specific
regulatory network was constructed based on the normalized coexpression data of
the 328 genes across 27 tissues. From the network, we revealed the 'taste module'
and identified a coexpression cluster for the umami taste according to the first
connector with the TAS1R1/TAS1R3 genes. Our findings identify several taste
related regulatory genes and extend previous genetic background of porcine umami
taste.
PMID- 26554868
TI - Synergistic Skin Penetration Enhancer and Nanoemulsion Formulations Promote the
Human Epidermal Permeation of Caffeine and Naproxen.
AB - We examined the extent of skin permeation enhancement of the hydrophilic drug
caffeine and lipophilic drug naproxen applied in nanoemulsions incorporating skin
penetration enhancers. Infinite doses of fully characterized oil-in-water
nanoemulsions containing the skin penetration enhancers oleic acid or eucalyptol
as oil phases and caffeine (3%) or naproxen (2%) were applied to human epidermal
membranes in Franz diffusion cells, along with aqueous control solutions.
Caffeine and naproxen fluxes were determined over 8 h. Solute solubility in the
formulations and in the stratum corneum (SC), as well as the uptake of product
components into the SC were measured. The nanoemulsions significantly enhanced
the skin penetration of caffeine and naproxen, compared to aqueous control
solutions. Caffeine maximum flux enhancement was associated with a synergistic
increase in both caffeine SC solubility and skin diffusivity, whereas a
formulation-increased solubility in the SC was the dominant determinant for
increased naproxen fluxes. Enhancements in SC solubility were related to the
uptake of the formulation excipients containing the active compounds into the SC.
Enhanced skin penetration in these systems is largely driven by uptake of
formulation excipients containing the active compounds into the SC with impacts
on SC solubility and diffusivity.
PMID- 26554869
TI - Link Between Positive Clinician-Conveyed Expectations of Treatment Effect and
Pain Reduction in Knee Osteoarthritis, Mediated by Patient Self-Efficacy.
AB - OBJECTIVE: A prior knee osteoarthritis (OA) trial found that provider-conveyed
expectations for treatment success were associated with pain improvement. We
hypothesized this relationship was mediated by patient self-efficacy, since
expectations of improvement may enhance one's ability to control health
behaviors, and therefore health. Our aim was to examine whether self-efficacy was
a mediator of the relationship observed in this trial. METHODS: A secondary
analysis of a 3-arm (traditional acupuncture, sham acupuncture, and wait list)
trial for knee OA was conducted. Those in the acupuncture groups were equally
randomized to acupuncturists trained to communicate a high or neutral expectation
of treatment success (e.g., using language conveying high or unclear likelihood
that acupuncture would reduce knee pain). A modified Arthritis Self-Efficacy
Questionnaire and the Western Ontario and McMaster Universities Osteoarthritis
Index (WOMAC) pain subscale were administered. Linear regression analyses were
used to examine whether patient self-efficacy mediated the relationship between
provider communication style and knee pain at 3 months. RESULTS: High-expectation
provider communication was associated with patient self-efficacy, beta
coefficient of 0.14 (95% confidence interval [95% CI] 0.01, 0.28). Self-efficacy
was associated with WOMAC pain, beta coefficient of -9.29 (95% CI -11.11, -7.47),
while controlling for the provider communication style. The indirect effect a * b
of -1.36 for high versus neutral expectation (bootstrap 95% CI -2.80, -0.15; does
not include 0), supports the conclusion that patient self-efficacy mediates the
relationship between provider-communicated expectations of treatment effects and
knee pain. CONCLUSION: Our findings suggest that clinician-conveyed expectations
can enhance the benefit of treatments targeting knee OA symptoms, mediated by
improved patient self-efficacy.
PMID- 26554870
TI - Cell Labeling and Targeting with Superparamagnetic Iron Oxide Nanoparticles.
AB - Targeted delivery of cells and therapeutic agents would benefit a wide range of
biomedical applications by concentrating the therapeutic effect at the target
site while minimizing deleterious effects to off-target sites. Magnetic cell
targeting is an efficient, safe, and straightforward delivery technique.
Superparamagnetic iron oxide nanoparticles (SPION) are biodegradable,
biocompatible, and can be endocytosed into cells to render them responsive to
magnetic fields. The synthesis process involves creating magnetite (Fe3O4)
nanoparticles followed by high-speed emulsification to form a poly(lactic-co
glycolic acid) (PLGA) coating. The PLGA-magnetite SPIONs are approximately 120 nm
in diameter including the approximately 10 nm diameter magnetite core. When
placed in culture medium, SPIONs are naturally endocytosed by cells and stored as
small clusters within cytoplasmic endosomes. These particles impart sufficient
magnetic mass to the cells to allow for targeting within magnetic fields.
Numerous cell sorting and targeting applications are enabled by rendering various
cell types responsive to magnetic fields. SPIONs have a variety of other
biomedical applications as well including use as a medical imaging contrast
agent, targeted drug or gene delivery, diagnostic assays, and generation of local
hyperthermia for tumor therapy or tissue soldering.
PMID- 26554871
TI - Congenital thrombocytopenia in a neonate with an interstitial microdeletion of
3q26.2q26.31.
AB - Interstitial deletions encompassing the 3q26.2 region are rare. Only one case
report was published this far describing a patient with an interstitial deletion
of 3q26.2 (involving the MDS1-EVI1 complex (MECOM)) and congenital
thrombocytopenia. In this report we describe a case of a neonate with congenital
thrombocytopenia and a constitutional 4.52 Mb deletion of 3q26.2q26.31 including
TERC and the first 2 exons of MECOM, involving MDS1 but not EVI1. The deletion
was demonstrated by array-CGH on lymphocytes. Our report confirms that congenital
thrombocytopenia can be due to a constitutional deletion of 3q26.2 involving
MECOM. We suggest that in case of unexplained neonatal thrombocytopenia, with
even just slight facial dysmorphism, DNA microarray on peripheral blood should be
considered early in the diagnostic work-up.
PMID- 26554872
TI - Identifying Different Types of Catalysts for CO2 Reduction by Ethane through Dry
Reforming and Oxidative Dehydrogenation.
AB - The recent shale gas boom combined with the requirement to reduce atmospheric CO2
have created an opportunity for using both raw materials (shale gas and CO2 ) in
a single process. Shale gas is primarily made up of methane, but ethane comprises
about 10 % and reserves are underutilized. Two routes have been investigated by
combining ethane decomposition with CO2 reduction to produce products of higher
value. The first reaction is ethane dry reforming which produces synthesis gas
(CO+H2 ). The second route is oxidative dehydrogenation which produces ethylene
using CO2 as a soft oxidant. The results of this study indicate that the Pt/CeO2
catalyst shows promise for the production of synthesis gas, while Mo2 C-based
materials preserve the C?C bond of ethane to produce ethylene. These findings are
supported by density functional theory (DFT) calculations and X-ray absorption
near-edge spectroscopy (XANES) characterization of the catalysts under in situ
reaction conditions.
PMID- 26554873
TI - Acculturation and Intention to Breastfeed among a Population of Predominantly
Puerto Rican Women.
AB - BACKGROUND: Latinas have high overall breastfeeding initiation rates, yet Puerto
Ricans have among the lowest exclusive breastfeeding rates. This study sought to
determine if acculturation was associated with intent to breastfeed in a
predominantly Puerto Rican population. METHODS: A cohort of Latina women were
enrolled in Proyecto Buena Salud, and provided information on infant feeding
intent (n = 1,323). Acculturation was assessed via the Psychological
Acculturation Scale (PAS), language preference, and generation in the United
States. RESULTS: Increasing acculturation as measured by English language
preference (aOR 0.61 [95% CI 0.42-0.88]) and second or third generation in the
United States (aOR 0.70 [95% CI 0.52-0.95)] was inversely associated with odds of
intending to exclusively breastfeed. Similarly, women with higher levels of
acculturation as measured by the PAS (aOR 0.67 [95% CI 0.45-0.99]), English
language preference (aOR 0.48 [95% CI 0.33-0.70]) and second or third generation
in the United States (aOR 0.42 [95% CI 0.31-0.58]) were less likely to report
intent to combination feed as compared with women with lower acculturation.
CONCLUSIONS: Acculturation was inversely associated with intent to exclusively
breastfeed and intent to combination feed in this predominantly Puerto Rican
sample.
PMID- 26554874
TI - Regulatory anticipation of postmarket safety problems for novel medicines
approved by the EMA between 2001 and 2010: a cross-sectional study.
AB - PURPOSE: The aim of the study is to describe preapproval safety concerns
expressed by the European Medicines Agency (EMA) following regulatory review and
to compare those concerns with subsequent issuance of postmarket safety
communications. METHODS: All novel medicines approved by the EMA through the
centralized authorization procedure from 2001 to 2010 were included. Preapproval
safety concerns were identified through examination of information related to
regulatory review publicly available on the EMA's website. Relevant postmarket
safety events were identified through Dear Healthcare Professional Communications
(DHPCs), including those resulting in a withdrawal, issued by at least one of
four leading national regulators of the European Union. RESULTS: Among the 184
novel medicines included, the EMA had expressed at least one preapproval safety
concern for 110 (59.8%) of them. Then, at least one safety communication was
issued for 53 (28.8%) medicines within the postmarket period of study, totaling
90 DHPCs and 5 withdrawals. Overall, these 95 DHPCs and withdrawals were
pertaining to 66 different clinical safety events. The EMA had expressed a
preapproval concern consistent with the postmarket safety event for 22.7% (15 of
66). The rate of issuance of a postmarket safety communication was not
statistically different between medicines with or without any preapproval safety
concern (31.8% vs. 24%, p = 0.25). CONCLUSIONS: Preapproval safety concerns are
frequently expressed by the EMA following regulatory review. However, when
comparing postmarket safety communications with prior concerns, anticipation was
low. Our findings emphasize the need to systematically conduct postmarket studies
dedicated to safety evaluation. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26554875
TI - Role and mechanism of insulin-like growth factor 2 on the proliferation of human
trophoblasts in vitro.
AB - AIM: To study the effect and relevant molecular mechanisms of insulin-like growth
factor 2 (IGF2) on the proliferative activity of first trimester human
trophoblasts in vitro. MATERIALS AND METHODS: Extravillous cytotrophoblasts
(EVCTs) were isolated and cultured. Cells were cultured with IGF2 at different
concentrations and the proliferative activity was measured using methyl thiazolyl
tretrazolium assay. LY294002, a specific inhibitor of the phosphatidylinositol 3
kinase (PI3K), was used as an indirect indicator of the possible involvement of
the PI3K signal pathway. We tested the apoptosis rate using flow cytometry
technology influenced by IGF2 with or without LY294002. The effects of IGF2 on
phosphorylation of key cell signaling proteins (protein kinase B [AKT] and
phosphorylated AKT) in EVCTs were examined by western blot analysis with or
without LY294002. RESULTS: There was a significant difference between the IGF2
group above 10 nM and the control group (P < 0.05). LY294002 (10 MUM) not only
inhibited the proliferative activity of EVCT, but also significantly restrained
the effect on EVCTs (P < 0.05). In vitro data proved that the apoptosis rate
decreased when IGF2 was added (P < 0.05), but increased when inhibited by
LY294002 (P < 0.05). After incubation with IGF2, AKT phosphorylation increased
compared to incubation without IGF2 treatment (P < 0.05). LY294002 activation
reduced the IGF2-induced effects (P < 0.05). CONCLUSIONS: Our data suggest that
IGF2 enhances EVCT proliferation and inhibits apoptosis. The PI3K/AKT pathway is
an important signaling pathway in the proliferative activity of EVCTs on early
human pregnancy in vitro.
PMID- 26554876
TI - When Is Osteonecrosis Not Osteonecrosis?: Adjudication of Reported Serious
Adverse Joint Events in the Tanezumab Clinical Development Program.
AB - OBJECTIVE: Tanezumab, a monoclonal antibody against nerve growth factor, has
demonstrated efficacy in clinical trials of chronic pain in osteoarthritis (OA)
and chronic low back pain. Unexpected adverse events (AEs) described as
osteonecrosis (ON) occurred during tanezumab development, leading the US Food and
Drug Administration to impose a partial clinical hold for all indications except
cancer pain. A blinded Adjudication Committee (AC) including orthopedic surgeons,
rheumatologists, and an orthopedic pathologist reviewed and adjudicated joint
related AEs in the tanezumab clinical program. METHODS: The AC adjudicated all
reported cases of ON as well as cases of total joint replacements (TJRs) not
reported as ON for which radiographs obtained within 9 months of the surgery were
available. The AC prespecified categories for joint safety events including
primary ON, worsening OA (rapid progression of OA [RPOA], normal progression of
OA, insufficient information to distinguish between rapid and normal progression
of OA), other, or insufficient information to distinguish between primary ON and
worsening OA or another diagnosis. RESULTS: The AC reviewed events in 249 of 386
patients with an investigator-reported AE of ON and/or a TJR. Two events were
adjudicated as primary ON, 200 events were adjudicated as worsening OA (68 of
which were classified as RPOA), 29 events had another diagnosis, 11 had
insufficient information to distinguish primary ON from worsening OA, and 7 did
not have committee member consensus. CONCLUSION: Despite initial reports,
tanezumab treatment was not associated with an increase in ON but was associated
with an increase in RPOA. Higher doses of tanezumab, tanezumab administered with
nonsteroidal antiinflammatory drugs, and preexisting subchondral insufficiency
fractures were risk factors for RPOA in this cohort.
PMID- 26554877
TI - Climate change and larval transport in the ocean: fractional effects from
physical and physiological factors.
AB - Changes in larval import, export, and self-seeding will affect the resilience of
coral reef ecosystems. Climate change will alter the ocean currents that
transport larvae and also increase sea surface temperatures (SST), hastening
development, and shortening larval durations. Here, we use transport simulations
to estimate future larval connectivity due to: (1) physical transport of larvae
from altered circulation alone, and (2) the combined effects of altered currents
plus physiological response to warming. Virtual larvae from islands throughout
Micronesia were moved according to present-day and future ocean circulation
models. The Hybrid Coordinate Ocean Model (HYCOM) spanning 2004-2012 represented
present-day currents. For future currents, we altered HYCOM using analysis from
the National Center for Atmospheric Research Community Earth System Model,
version 1-Biogeochemistry, Representative Concentration Pathway 8.5 experiment.
Based on the NCAR model, regional SST is estimated to rise 2.74 degrees C which
corresponds to a ~17% decline in larval duration for some taxa. This reduction
was the basis for a separate set of simulations. Results predict an increase in
self-seeding in 100 years such that 62-76% of islands experienced increased self
seeding, there was an average domainwide increase of ~1-3% points in self
seeding, and increases of up to 25% points for several individual islands. When
changed currents alone were considered, approximately half (i.e., random) of all
island pairs experienced decreased connectivity but when reduced PLD was added as
an effect, ~65% of connections were weakened. Orientation of archipelagos
relative to currents determined the directional bias in connectivity changes.
There was no universal relationship between climate change and connectivity
applicable to all taxa and settings. Islands that presently export large numbers
of larvae but that also maintain or enhance this role into the future should be
the focus of conservation measures that promote long-term resilience of larval
supply.
PMID- 26554878
TI - Transparency in a Pediatric Quality Improvement Collaborative: A Passionate
Journey by NPC-QIC Clinicians and Parents.
AB - Transparency-sharing data or information about outcomes, processes, protocols,
and practices-may be the most powerful driver of health care improvement. In this
special article, the development and growth of transparency within the National
Pediatric Cardiology Quality Improvement Collaborative is described. The National
Pediatric Cardiology Quality Improvement Collaborative transparency journey is
guided by equal numbers of clinicians and parents of children with congenital
heart disease working together in a Transparency Work Group. Activities are
organized around four interrelated levels of transparency (individual,
organizational, collaborative, and system), each with a specified purpose and
aim. A number of Transparency Work Group recommendations have been
operationalized. Aggregate collaborative performance is now reported on the
public-facing web site. Specific information that the Transparency Work Group
recommends centers provide to parents has been developed and published. Almost
half of National Pediatric Cardiology Quality Improvement Collaborative centers
participated in a pilot of transparently sharing their outcomes achieved with one
another. Individual centers have also begun successfully implementing recommended
transparency activities. Despite progress, barriers to full transparency persist,
including health care organization concerns about potential negative effects of
disclosure on reputation and finances, and lack of reliable definitions, data,
and reporting standards for fair comparisons of centers. The National Pediatric
Cardiology Quality Improvement Collaborative's transparency efforts have been a
journey that continues, not a single goal or destination. Balanced participation
of clinicians and parents has been a critical element of the collaborative's
success on this issue. Plans are in place to guide implementation of additional
transparency recommendations across all four levels, including extension of the
activities beyond the collaborative to support transparency efforts in national
cardiology and cardiac surgery societies.
PMID- 26554879
TI - Genotype distribution characteristics of high-risk human papillomaviruses in
women from Shanghai, China.
AB - High-risk human papillomaviruses (HPVs) are highly prevalent worldwide, and HPV
genotype distribution varies regionally. Molecular surveys of HPVs are important
for effective HPV control and prevention. Fifteen high-risk HPV strains (16, 18,
31, 33, 35, 39, 45, 51, 52, 53, 56, 58, 59, 66, 68) and six low-risk HPV strains
(HPV6, 11, 42, 43, 44, CP8304) were detected by cervical cytology from 10 501
subjects. High-risk HPVs, low-risk HPVs, and both high- and low-risk HPVs were
detected in 14.5%, 2.8%, and 2.4% of cases, respectively. Of 1782 subjects with
high-risk HPV infection, 75.5%, 18.1%, and 6.4% were infected with one, two, and
?3 strains of high-risk HPVs, respectively. HPV52, HPV16, and HPV58 were the top
three most dominant high-risk HPV genotypes in our population with positivity
rates of 23.0%, 17.7% and 16.9%, respectively. Multiple infection was common,
with significantly higher co-infection rates of HPV58/HPV33 (12.9%) and
HPV58/HPV52 (11.3%). Further data comparisons showed that HPV genotype
distribution varied markedly between domestic and international regions. In
conclusion, a monolithic vaccination strategy is obviously impractical, and
regional HPV surveillance is essential to optimize current HPV control and
prevention.
PMID- 26554880
TI - The Italian genome reflects the history of Europe and the Mediterranean basin.
AB - Recent scientific literature has highlighted the relevance of population genetic
studies both for disease association mapping in admixed populations and for
understanding the history of human migrations. Deeper insight into the history of
the Italian population is critical for understanding the peopling of Europe.
Because of its crucial position at the centre of the Mediterranean basin, the
Italian peninsula has experienced a complex history of colonization and migration
whose genetic signatures are still present in contemporary Italians. In this
study, we investigated genomic variation in the Italian population using 2.5
million single-nucleotide polymorphisms in a sample of more than 300 unrelated
Italian subjects with well-defined geographical origins. We combined several
analytical approaches to interpret genome-wide data on 1272 individuals from
European, Middle Eastern, and North African populations. We detected three major
ancestral components contributing different proportions across the Italian
peninsula, and signatures of continuous gene flow within Italy, which have
produced remarkable genetic variability among contemporary Italians. In addition,
we have extracted novel details about the Italian population's ancestry,
identifying the genetic signatures of major historical events in Europe and the
Mediterranean basin from the Neolithic (e.g., peopling of Sardinia) to recent
times (e.g., 'barbarian invasion' of Northern and Central Italy). These results
are valuable for further genetic, epidemiological and forensic studies in Italy
and in Europe.
PMID- 26554881
TI - Big Data in medical research and EU data protection law: challenges to the
consent or anonymise approach.
AB - Medical research is increasingly becoming data-intensive; sensitive data are
being re-used, linked and analysed on an unprecedented scale. The current EU data
protection law reform has led to an intense debate about its potential effect on
this processing of data in medical research. To contribute to this evolving
debate, this paper reviews how the dominant 'consent or anonymise approach' is
challenged in a data-intensive medical research context, and discusses possible
ways forwards within the EU legal framework on data protection. A large part of
the debate in literature focuses on the acceptability of adapting consent or
anonymisation mechanisms to overcome the challenges within these approaches. We
however believe that the search for ways forward within the consent or anonymise
paradigm will become increasingly difficult. Therefore, we underline the
necessity of an appropriate research exemption from consent for the use of
sensitive personal data in medical research to take account of all legitimate
interests. The appropriate conditions of such a research exemption are however
subject to debate, and we expect that there will be minimal harmonisation of
these conditions in the forthcoming EU Data Protection Regulation. Further
deliberation is required to determine when a shift away from consent as a legal
basis is necessary and proportional in a data-intensive medical research context,
and what safeguards should be put in place when such a research exemption from
consent is provided.
PMID- 26554882
TI - Red Blood Cell Count Automation Using Microscopic Hyperspectral Imaging
Technology.
AB - Red blood cell counts have been proven to be one of the most frequently performed
blood tests and are valuable for early diagnosis of some diseases. This paper
describes an automated red blood cell counting method based on microscopic
hyperspectral imaging technology. Unlike the light microscopy-based red blood
count methods, a combined spatial and spectral algorithm is proposed to identify
red blood cells by integrating active contour models and automated two
dimensional k-means with spectral angle mapper algorithm. Experimental results
show that the proposed algorithm has better performance than spatial based
algorithm because the new algorithm can jointly use the spatial and spectral
information of blood cells.
PMID- 26554883
TI - Effect of intravaginal dehydroepiandrosterone treatment on the endometrium:
should androstenediol be a concern?
PMID- 26554884
TI - Effect of isolated vitamin D supplementation on the rate of falls and postural
balance in postmenopausal women fallers: a randomized, double-blind, placebo
controlled trial.
AB - OBJECTIVE: To evaluate the effect of isolated vitamin D supplementation (VITD) on
the rate of falls and postural balance in postmenopausal women fallers. METHODS:
In this double-blind, placebo-controlled trial, 160 Brazilian younger
postmenopausal women were randomized into two groups: VITD group, vitamin D3
supplementation 1,000 IU/day/orally (n = 80) and placebo group (n = 80). Women
with amenorrhea at least 12 months, age 50 to 65 years, and a history of falls
(previous 12 months) were included. Those with neurological or musculoskeletal
disorders, vestibulopathies, drugs use that could affect balance and osteoporosis
were excluded. The intervention time was 9 months. Postural balance was assessed
by stabilometry (computerized force platform) and investigation on the
occurrence/recurrence of falls was performed by interviews. The plasma
concentration of 25-hydroxyvitamin D [25(OH)D] was measured by high-performance
liquid chromatography. Statistical analysis was achieved by intention-to-treat,
using analysis of variance, Student's t test, Tukey test, chi-square, and
logistic regression. RESULTS: After 9 months, mean values of 25(OH)D increased
from 15.0 +/- 7.5 ng/mL to 27.5 +/- 10.4 ng/mL (+45.4%) in the VITD group, and
decreased from 16.9 +/- 6.7 ng/mL to 13.8 +/- 6.0 ng/mL (-18.5%) in the placebo
group (P < 0.001). The occurrence of falls was higher in the placebo group
(+46.3%) with an adjusted risk of 1.95 (95% confidence interval [CI] 1.23-3.08)
times more likely to fall and 2.80 (95% CI 1.43-5.50) times higher for recurrent
falls compared to the VITD group (P < 0.001). There was reduction in body sway by
stabilometry, with lower amplitude of antero-posterior (-35.5%) and latero
lateral (-37.0%) oscillation, only in the VITD group (P < 0.001). CONCLUSIONS: In
Brazilian postmenopausal women fallers, isolated vitamin D supplementation for 9
months resulted in a lower incidence of falls and improvement in postural
balance.
PMID- 26554885
TI - How I diagnose and treat neutropenia.
AB - PURPOSE OF REVIEW: Neutropenia absolute neutrophil count (ANC) less than 1.5 *
10(9)/l is a common hematological finding, and severe neutropenia, that is, ANC
less than 0.5 * 10(9)/l is a well known risk factor for susceptibility to
bacterial infections. This review provides a succinct clinical approach to the
diagnosis and treatment of neutropenia with specific recommendations on the
treatment of severe chronic neutropenia with the myeloid growth factor,
granulocyte colony-stimulating factor (G-CSF). RECENT FINDINGS: Experts agree
that patients with acute febrile neutropenia should be treated with antibiotics
and that patients at high risk of severe neutropenia (>20% risk) after
myelosuppressive chemotherapy should be treated prophylactically with a myeloid
growth factor, usually G-CSF. The diversity of causes and consequences of chronic
neutropenia make the diagnosis and management of these patients more complicated.
SUMMARY: The review provides a stepwise approach to neutropenia focusing first on
reaching a provisional diagnosis and treatment plan then steps to a final
diagnosis. It also provides specific recommendations on the treatment of severe
chronic neutropenia with G-CSF.
PMID- 26554886
TI - Update on biosimilars of granulocyte colony-stimulating factor - when no news is
good news.
AB - PURPOSE OF REVIEW: With the approval of the first biosimilar granulocyte colony
stimulating factor (G-CSF), biosimilars - copies of therapeutic biologicals whose
patent protection has expired - have finally reached the US healthcare market.
Its advent is an occasion for a closer look at recent insights into biosimilar G
CSF and an attempt at prognosticating the future (future role) of biosimilars in
general. RECENT FINDINGS: Recent literature regarding biosimilar G-CSF orbits
significantly around patient access and effects on healthcare expenditure. The
advent of biosimilar G-CSF has induced unexpectedly large price reductions for
short-acting G-CSF. On the clinical side, little excitement is tangible, probably
appropriately so, since clinical data indicate nothing short of biological
similarity. Although formal clinical trials are few, the plethora of case series
and historic comparisons which have come forth offer reassurance about the
appropriateness of the regulators' assessment of biosimilar G-CSF as indeed in
all respects biologically similar to the originator. SUMMARY: All evidence points
to an overwhelming similarity of originator and biosimilar G-CSF in all
indications. Overall clinical acceptance, albeit possibly significantly dictated
by economic pressures, is good. Price reductions exceed predictions and may
jeopardize the economic viability of biosimilar programs. A concurrent shift
towards long-acting G-CSF ('biobetters') is observed in Europe.
PMID- 26554887
TI - Interferon regulatory factor 8 and the regulation of neutrophil, monocyte, and
dendritic cell production.
AB - PURPOSE OF REVIEW: Interferon regulatory factor 8 (IRF8) is a transcription
factor that plays central roles in the regulation of myeloid cell fate. In both
mice and humans, IRF8 is required for the differentiation of most monocyte and
dendritic cell subsets, but suppresses neutrophil production. IRF8 mutations can
cause immunodeficiency, and the dysregulated differentiation that underlies
myeloid leukemia has been attributed in part to reduced IRF8 expression. In this
review we discuss recent studies that have revealed molecular mechanisms
underlying the regulation of myelopoiesis by IRF8, which cooperates with other
transcription factors to control the initiation of gene expression programs that
define the development of specific myeloid cell subsets. RECENT FINDINGS: It is
now clear that IRF8 regulates cell fate choice by both promoting
monocyte/dendritic cell differentiation and suppressing neutrophil
differentiation. Recent studies have shown that it collaborates with PU.1 to
promote monocyte gene expression (in part via induction of Kruppel-like factor
4), associates with Batf3 to induce CD8alpha conventional dendritic cell
differentiation via autoregulation of its own expression, and restricts
neutrophil gene expression by disrupting the binding of c/EBPalpha to target
genes. SUMMARY: These studies have emphasized the importance of IRF8 in the
regulation of myelopoiesis and are revealing novel therapeutic targets.
PMID- 26554888
TI - Unexpected role of inflammatory signaling in hematopoietic stem cell development:
its role beyond inflammation.
AB - PURPOSE OF REVIEW: Inflammatory signaling under pathological conditions like
infection and inflammation has been extensively studied. Whether inflammatory
signaling plays a role in physiology and development remains elusive. The review
summarizes recent advances in inflammatory signaling with particular focus on how
distinct inflammatory signaling regulates hematopoietic stem cell (HSC)
development. Understanding the underlying mechanism of inflammatory signaling on
HSC development may help to generate and/or expand a large number of functional
HSCs for clinical application. RECENT FINDINGS: Like the hematopoietic
progenitors, HSCs can be the first responders to infection. An unexpected
observation is that genes involved in innate immunity and inflammatory signaling
are enriched in emerging HSCs and their niche during embryogenesis. Thus,
inflammatory signaling may also play a role in HSC development in the absence of
infection and inflammation. SUMMARY: Inflammatory signaling is not only an
important regulator of HSCs in response to infection, but also plays a previously
unrecognized role in HSC development in the absence of infection and
inflammation. The baseline inflammatory signaling can be activated to promote HSC
development in cell autonomous and noncell autonomous manners. However, direct
response of HSCs to inflammatory stimuli is not always advantageous and excessive
chronic signaling can have negative effects on HSC regulation and function.
PMID- 26554889
TI - Plerixafor for stem cell mobilization: the current status.
AB - PURPOSE OF REVIEW: Nowadays, plerixafor is approved for patients who fail to
mobilize sufficient CD34+ cells for an autologous stem cell transplantation.
Plerixafor is effective in the majority of these patients, who otherwise could
not be treated adequately. We discussed in this review the current status of the
optimal use of plerixafor in different clinical diagnoses and settings. RECENT
FINDINGS: Plerixafor seems to be more effective in patients with multiple myeloma
than in lymphoma. Even patients who had very low circulating CD34+ cells before
administration of plerixafor have an important benefit. Several strategies in
different clinical settings showed an effective response after administration of
plerixafor, without the superiority of one strategy. Plerixafor is well tolerated
with acceptable toxicity; however, it is an expensive drug. SUMMARY: Plerixafor
is an effective drug in patients who fail to mobilize with conventional strategy.
No strategy seems superior for the optimal use of plerixafor. More studies
focusing on the kinetics and cost-effectiveness are needed.
PMID- 26554890
TI - Update on granulocyte transfusions: accumulation of promising data, but still
lack of decisive evidence.
AB - PURPOSE OF REVIEW: Inconsistent results regarding the clinical efficacy of
granulocyte transfusions for the treatment or prophylaxis of life-threatening
infections in neutropenic patients have been attributed to insufficient number of
transfused neutrophils. Since the introduction of granulocyte colony-stimulating
factor (G-CSF) to the granulocyte mobilization regimen in the 1990s, the number
of transfused cells significantly increased, which directly translated to a
significant increase in absolute neutrophil counts in the transfused patients.
RECENT FINDINGS: For therapeutic granulocyte transfusions, neither of the two
randomized controlled studies in the G-CSF era could demonstrate a clear clinical
benefit. However, a number of small studies or case series have suggested its
clinical efficacy, including one that demonstrated the clinical response against
drug-resistant invasive fusariosis. For prophylactic granulocyte transfusions,
there have been scarce reports in the G-CSF era. A pulmonary reaction is the most
significant adverse event after granulocyte transfusions, although its reported
frequency varies among studies. SUMMARY: Despite the expectation that the
increased number of transfused neutrophils enables the clear demonstration of the
clinical benefit, the role of therapeutic granulocyte transfusions remains
controversial. Future directions may include: identifying the patient population
who would benefit most from granulocyte transfusions; minimizing the risk of
adverse events by identifying the risk factors and the prevention methods; and
finding a way to prove the clinical benefit of granulocyte transfusions in
therapeutic and prophylactic settings.
PMID- 26554891
TI - Sensing and translation of pathogen signals into demand-adapted myelopoiesis.
AB - PURPOSE OF REVIEW: During severe systemic infection, steady-state hematopoiesis
is switched to demand-adapted myelopoiesis, leading to increased myeloid
progenitor proliferation and, depending on the context and type of pathogen,
enhanced granulocytic or monocytic differentiation, respectively. We will review
the recent advances in understanding direct and indirect mechanisms by which
different pathogen signals are detected and subsequently translated into demand
adapted myelopoiesis. RECENT FINDINGS: Enhanced myeloid progenitor proliferation
and neutrophil differentiation following infection with prototypic Gram-negative
bacterium Escherichia coli is mediated by granulocyte colony-stimulating factor,
and reactive oxygen species released from endothelial cells and mature myeloid
cells, respectively. Furthermore, hematopoietic stem and progenitor cells
directly sense pathogen signals via Toll-like receptors and contribute to
emergency granulopoiesis via release and subsequent autocrine and paracrine
action of myelopoietic cytokines including IL-6. Moreover, emergency
monocytopoiesis upon viral infection depends on T cell-derived IFNgamma and
release of IL-6 from bone marrow stromal cells. SUMMARY: A complex picture is
evolving in which various hematopoietic and nonhematopoietic cell types interact
with the hematopoietic system in an intricate manner to shape an appropriate
hematopoietic response to specific infectious stimuli.
PMID- 26554892
TI - Langerhans cell origin and regulation.
AB - PURPOSE OF REVIEW: This article summarizes recent research on the ontogeny of
Langerhans cells and regulation of their homeostasis in quiescent and inflamed
conditions. RECENT FINDINGS: Langerhans cells originate prenatally and may endure
throughout life, independently of bone marrow-derived precursors. Fate-mapping
experiments have recently resolved the relative contribution of primitive yolk
sac and fetal liver hematopoiesis to the initial formation of Langerhans cells.
In postnatal life, local self-renewal restores Langerhans cell numbers following
chronic or low-grade inflammatory insults. However, severe inflammation recruits
de-novo bone marrow-derived precursors in two waves; a transient population of
classical monocytes followed by uncharacterized myeloid precursors that form a
stable self-renewing Langerhans cell network as inflammation subsides. Human
CD1c+ dendritic cells have Langerhans cell potential in vitro, raising the
possibility that dendritic cell progenitors provide the second wave. Langerhans
cell development depends upon transforming growth factor beta receptor signaling
with distinct pathways active during differentiation and homeostasis. Langerhans
cell survival is mediated by multiple pathways including mechanistic target of
rapamycin and extracellular signal-regulated kinase signaling, mechanisms that
become highly relevant in Langerhans cell neoplasia. SUMMARY: The study of
Langerhans cells continues to provide novel and unexpected insights into the
origin and regulation of myeloid cell populations. The melding of macrophage and
dendritic cell biology, shaped by a unique habitat, is a special feature of
Langerhans cells.
PMID- 26554894
TI - Filling the void: allogeneic myeloid cells for transplantation.
AB - PURPOSE OF REVIEW: The success of allogeneic haematopoietic stem and progenitor
cell (HSPC) transplantations remains inconsistent. Umbilical cord blood (UCB) is
a promising source of HSPCs for transplantation, but low cell yield hampers its
widespread use. Multiple strategies are being developed to manipulate UCB to
either increase HSPC content or enhance bone marrow homing upon transfusion.
RECENT FINDINGS: Several ex-vivo manipulation protocols have increased
engraftment success in recent phase I/II clinical trials. Additionally, by
exploiting knowledge of the transcriptome, mature cells were dedifferentiated
into induced haematopoietic stem cells capable of self-renewal and reconstitution
of haematopoiesis in vivo. SUMMARY: UCB is a more readily available source of
allogeneic transplant material compared with bone marrow and mobilized peripheral
blood. However, the number of HSPCs in a graft is correlated to the rate and
success of engraftment and UCB grafts typically contain 10 times less cells
compared with bone marrow or mobilized peripheral blood grafts that contain
around 1 * 108 CD34+ cells. Recently, research efforts have focused on increasing
UCB engrafting cells in addition to the methods to accelerate engraftment or to
provide transient protection and support until engraftment succeeds.
PMID- 26554893
TI - Regulation of tissue infiltration by neutrophils: role of integrin alpha3beta1
and other factors.
AB - PURPOSE OF REVIEW: Neutrophils have traditionally been viewed in the context of
acute infection and inflammation forming the first line of defense against
invading pathogens. Neutrophil trafficking to the site of inflammation requires
adhesion and transmigration through blood vessels, which is orchestrated by
adhesion molecules, such as beta2 and beta1-integrins, chemokines, and cytokines.
The review focuses on recent advances in understanding the regulators of
neutrophil recruitment during inflammation in both acute and chronic settings.
RECENT FINDINGS: Recent findings suggest that besides the established pathways of
selectin or chemokine-mediated integrin activation, signaling by distinct Toll
like receptors (TLRs) (especially TLR2, TLR4, and TLR5) can activate integrin
dependent neutrophil adhesion. Moreover, the integrin alpha3beta1 has been
vitally implicated as a new player in neutrophil recruitment and TLR-mediated
responses in septic inflammation. Furthermore, several endogenous inhibitory
mechanisms of leukocyte recruitment have been identified, including the secreted
molecules Del-1, PTX3, and GDF-15, which block distinct steps of the leukocyte
adhesion cascade, as well as novel regulatory signaling pathways, involving the
protein kinase AKT1 and IFN-lambda2/IL-28A. SUMMARY: The leukocyte adhesion
cascade is a tightly regulated process, subjected to both positive and negative
regulators. Dysregulation of this process and hence neutrophil recruitment can
lead to the development of inflammatory and autoimmune diseases.
PMID- 26554895
TI - Frustrated Lewis Pair Chemistry Derived from Bulky Allenyl and Propargyl
Phosphanes.
AB - The dimesitylpropargylphosphanes mes2 P-CH2 -C=C-R 6 a (R=H), 6 b (R=CH3 ), 6 c
(R=SiMe3 ) and the allene mes2 P-C(CH3 )=C=CH2 (8) were reacted with Piers'
borane, HB(C6 F5 )2 . Compound 6 a gave mes2 PCH2 CH=CH(B(C6 F5 )2 ] (9 a). In
contrast, addition of HB(C6 F5 )2 to 6 b and 6 c gave mixtures of 9 b (R=CH3 )
and 9 c (R=SiMe3 ) with the regioisomers mes2 P-CH2 -C[B(C6 F5 )2 ]=CRH 2 b
(R=CH3 ) and 2 c (R=SiMe3 ), respectively. Compounds 2 b,c underwent rapid
phosphane/borane (P/B) frustrated Lewis pair (FLP) reactions under mild
conditions. Compound 2 c reacted with nitric oxide (NO) to give the persistent
FLP NO radical 11. The systems 2 b,c cleaved dihydrogen at room temperature to
give the respective phosphonium/hydridoborate products 13 b,c. Compound 13 c
transferred the H(+) /H(-) pair to a small series of enamines. Compound 13 c was
also a metal-free catalyst (5 mol %) for the hydrogenation of the enamines. The
allene 8 reacted with B(C6 F5 )3 to give the zwitterionic phosphonium/borate 17.
The -PPh2 -substituted mes2 P-propargyl system 6 d underwent a typical 1,2-P/B
addition reaction to the C=C triple bond to form the phosphetium/borate
zwitterion 20. Several products were characterized by X-ray diffraction.
PMID- 26554896
TI - On-chip automation of cell-free protein synthesis: new opportunities due to a
novel reaction mode.
AB - Many pharmaceuticals are proteins or their development is based on proteins. Cell
free protein synthesis (CFPS) is an innovative alternative to conventional cell
based systems which enables the production of proteins with complex and even new
characteristics. However, the short lifetime, low protein production and
expensive reagent costs are still limitations of CFPS. Novel automated
microfluidic systems might allow continuous, controllable and resource conserving
CFPS. The presented microfluidic TRITT platform (TRITT for Transcription - RNA
Immobilization & Transfer - Translation) addresses the individual biochemical
requirements of the transcription and the translation step of CFPS in separate
compartments, and combines the reaction steps by quasi-continuous transfer of RNA
templates to enable automated CFPS. In detail, specific RNA templates with 5' and
3' hairpin structures for stabilization against nucleases were immobilized during
in vitro transcription by newly designed and optimized hybridization
oligonucleotides coupled to magnetizable particles. Transcription compatibility
and reusability for immobilization of these functionalized particles was
successfully proven. mRNA transfer was realized on-chip by magnetic actuated
particle transfer, RNA elution and fluid flow to the in vitro translation
compartment. The applicability of the microfluidic TRITT platform for the
production of the cytotoxic protein Pierisin with simultaneous incorporation of a
non-canonical amino acid for fluorescence labeling was demonstrated. The new
reaction mode (TRITT mode) is a modified linked mode that fulfills the
precondition for an automated modular reactor system. By continual transfer of
new mRNA, the novel procedure overcomes problems caused by nuclease digestion and
hydrolysis of mRNA during TL in standard CFPS reactions.
PMID- 26554897
TI - Spin Coherence and Dephasing of Localized Electrons in Monolayer MoS2.
AB - We report a systematic study of coherent spin precession and spin dephasing in
electron-doped monolayer MoS2. Using time-resolved Kerr rotation spectroscopy and
applied in-plane magnetic fields, a nanosecond time scale Larmor spin precession
signal commensurate with g-factor |g0| ? 1.86 is observed in several different
MoS2 samples grown by chemical vapor deposition. The dephasing rate of this
oscillatory signal increases linearly with magnetic field, suggesting that the
coherence arises from a subensemble of localized electron spins having an
inhomogeneously broadened distribution of g-factors, g0 + Deltag. In contrast to
g0, Deltag is sample-dependent and ranges from 0.042 to 0.115.
PMID- 26554898
TI - Solid-State and Solution Structures of Glycinimine-Derived Lithium Enolates.
AB - A combination of crystallographic, spectroscopic, and computational studies was
applied to study the structures of lithium enolates derived from glycinimines of
benzophenone and (+)-camphor. The solvents examined included toluene and toluene
containing various concentrations of tetrahydrofuran, N,N,N',N'
tetramethylethylenediamine (TMEDA), (R,R)-N,N,N',N'-tetramethylcyclohexanediamine
[(R,R)-TMCDA], and (S,S)-N,N,N',N'-tetramethylcyclohexanediamine [(S,S)-TMCDA].
Crystal structures show chelated monomers, symmetric disolvated dimers, S4
symmetric tetramers, and both S6- and D3d-symmetric hexamers. (6)Li NMR
spectroscopic studies in conjunction with the method of continuous variations
show how these species distribute in solution. Density functional theory
computations offer insights into experimentally elusive details.
PMID- 26554900
TI - Proteogenomic Discovery of a Small, Novel Protein in Yeast Reveals a Strategy for
the Detection of Unannotated Short Open Reading Frames.
AB - In recent years, proteomic data have contributed to genome annotation efforts,
most notably in humans and mice, and spawned a field termed "proteogenomics".
Yeast, in contrast with higher eukaryotes, has a small genome, which has lent
itself to simpler ORF prediction. Despite this, continual advances in mass
spectrometry suggest that proteomics should be able to improve genome annotation
even in this well-characterized species. Here we applied a proteogenomics
workflow to yeast to identify novel protein-coding genes. Specific databases were
generated, from intergenic regions of the genome, which were then queried with
MS/MS data. This suggested the existence of several putative novel ORFs of <100
codons, one of which we chose to validate. Synthetic peptides, RNA-Seq analysis,
and evidence of evolutionary conservation allowed for the unequivocal definition
of a new protein of 78 amino acids encoded on chromosome X, which we dub YJR107C
A. It encodes a new type of domain, which ab initio modeling suggests as
predominantly alpha-helical. We show that this gene is nonessential for growth;
however, deletion increases sensitivity to osmotic stress. Finally, from the
above discovery process, we discuss a generalizable strategy for the
identification of short ORFs and small proteins, many of which are likely to be
undiscovered.
PMID- 26554899
TI - Generation of an expandable intermediate mesoderm restricted progenitor cell line
from human pluripotent stem cells.
AB - The field of tissue engineering entered a new era with the development of human
pluripotent stem cells (hPSCs), which are capable of unlimited expansion whilst
retaining the potential to differentiate into all mature cell populations.
However, these cells harbor significant risks, including tumor formation upon
transplantation. One way to mitigate this risk is to develop expandable
progenitor cell populations with restricted differentiation potential. Here, we
used a cellular microarray technology to identify a defined and optimized culture
condition that supports the derivation and propagation of a cell population with
mesodermal properties. This cell population, referred to as intermediate
mesodermal progenitor (IMP) cells, is capable of unlimited expansion, lacks tumor
formation potential, and, upon appropriate stimulation, readily acquires
properties of a sub-population of kidney cells. Interestingly, IMP cells fail to
differentiate into other mesodermally-derived tissues, including blood and heart,
suggesting that these cells are restricted to an intermediate mesodermal fate.
PMID- 26554901
TI - Intimate partner violence and psychosocial health, a cross-sectional study in a
pregnant population.
AB - BACKGROUND: The objective of this paper is to explore whether IPV 12 months
before and/or during pregnancy is associated with poor psychosocial health.
METHODS: From June 2010 to October 2012, a cross-sectional study was conducted in
11 antenatal care clinics in Belgium. Consenting pregnant women were asked to
complete a questionnaire on socio-demographics, psychosocial health and violence
in a separate room. Overall, 2586 women were invited to participate and we were
able to use data from 1894 women (73.2%) for analysis. Ethical clearance was
obtained in all participating hospitals. RESULTS: We found a significant
correlation between IPV and poor psychosocial health: within the group of women
who reported IPV, 53.2% (n = 118) had poor psychosocial health, as compared to
21% (n = 286) in the group of women who did not report IPV (P < 0.001). Lower
psychosocial health scores were associated with increased odds of reporting IPV
(aOR 1.55; 95% CI 1.39-1.72), with adjustments made for the language in which the
questionnaire was filled out, civil/marital status, education and age. In other
words, a decrease of 10 points on the psychosocial health scale (total of 140)
increased the odds of reporting IPV by 55 %. When accounting for the 6
psychosocial health subscales, the analysis revealed that all subscales
(depression, anxiety, self-esteem, mastery, worry and stress) are strongly
correlated to reporting IPV. However, when accounting for all subscales
simultaneously in a logistic regression model, only depression (aOR 0.87; 95 % CI
0.84-0.91) and stress (aOR 0.85; 95 % CI 0.77-095) remained significantly
associated with IPV. The association between overall psychosocial health and IPV
remained significant after adjusting for socio-demographic status. CONCLUSION:
Our research corroborated that IPV and psychosocial health are strongly
associated. Due to the limitations of our study design, we believe that future
research is needed to deepen understanding of the multitude of factors involved
in the complex interactions between IPV and psychosocial health.
PMID- 26554903
TI - The RNA Stem-Loop to G-Quadruplex Equilibrium Controls Mature MicroRNA Production
inside the Cell.
AB - The biological role of the existence of overlapping structures in RNA is possible
yet remains very unexplored. G-Rich tracts of RNA form G-quadruplexes, while GC
rich sequences prefer stem-loop structures. The equilibrium between alternate
structures within RNA may occur and influence its functionality. We tested the
equilibrium between G-quadruplex and stem-loop structure in RNA and its effect on
biological processes using pre-miRNA as a model system. Dicer enzyme recognizes
canonical stem-loop structures in pre-miRNA to produce mature miRNAs. Deviation
from stem-loop leads to deregulated mature miRNA levels, providing readout of the
existence of an alternate structure per se G-quadruplex-mediated structural
interference in miRNA maturation. In vitro analysis using beacon and Dicer
cleavage assays indicated that mature miRNA levels depend on relative amounts of
K(+) and Mg(2+) ions, suggesting an ion-dependent structural shift. Further in
cellulo studies with and without TmPyP4 (RNA G-quadruplex destabilizer)
demonstrated that miRNA biogenesis is modulated by G-quadruplex to stem-loop
equilibrium in a subset of pre-miRNAs. Our combined analysis thus provides
evidence of the formation of noncanonical G-quadruplexes in competition with
canonical stem-loop structure inside the cell and its effect on miRNA maturation
in a comprehensive manner.
PMID- 26554902
TI - Renal medullary (pro)renin receptor contributes to angiotensin II-induced
hypertension in rats via activation of the local renin-angiotensin system.
AB - BACKGROUND: (Pro)renin receptor (PRR) is a new component of the renin-angiotensin
system and regulates renin activity in vitro. Within the kidney, PRR is highly
expressed in the renal medulla where its expression is induced by angiotensin II
infusion. The objective of the present study was to test a potential role of
renal medullary PRR during angiotensin II-induced hypertension. METHODS: A rat
AngII infusion model (100 ng/kg/min) combined with renal intramedullary infusion
of PRO20, a specific inhibitor of PRR, was builded. And the intravenous PRO20
infusion serve as control. Mean arterial pressure was recorded by radiotelemetry
for one week. Further analysis of kidney injury, inflammation, biochemical
indices and protein localization were performed in vivo or in vitro. RESULTS:
Radiotelemetry demonstrated that AngII infusion elevated the mean arteria
pressure from 108 +/- 5.8 to 164.7 +/- 6.2 mmHg. Mean arterial pressure decreased
to 128.6 +/- 5.8 mmHg (P < 0.05) after intramedullary infusion of PRO20, but was
only modestly affected by intravenous PRO20 infusion. Indices of kidney injury,
including proteinuria, glomerulosclerosis, and interstitial fibrosis,
inflammation, and increased renal medullary and urinary renin activity following
angiotensin II infusion were all remarkably attenuated by intramedullary PRO20
infusion. Following one week of angiotensin II infusion, increased PRR
immunoreactivity was found in vascular smooth muscle cells. In cultured rat
vascular smooth muscle cells, angiotensin II induced parallel increases in
soluble PRR and renin activity, and the latter was significantly reduced by
PRO20. CONCLUSION: Renal medullary PRR mediates angiotensin II-induced
hypertension, likely by amplifying the local renin response.
PMID- 26554904
TI - Therapeutic drug monitoring of antimicrobials.
AB - As pathology services become more centralized and automated, the measurement of
therapeutic antimicrobial drugs concentrations is increasingly performed in
clinical biochemistry or 'blood science' laboratories. This review outlines key
groups of antimicrobial agents: aminoglycosides, glycopeptides, antifungal agents
and antituberculosis agents, their role in managing infectious diseases, and the
reasons why serum concentration measurement is important.
PMID- 26554905
TI - Pathways towards Precision Medicine in Cancer Management Using Genomic
Information.
PMID- 26554906
TI - Clonality: A New Marker for Gastric Cancer Survival.
PMID- 26554908
TI - Integrate Omics Data and Molecular Dynamics Simulations toward Better
Understanding of Human 14-3-3 Interactomes and Better Drugs for Cancer Therapy.
AB - The 14-3-3 protein family is among the most extensively studied, yet still
largely mysterious protein families in mammals to date. As they are well
recognized for their roles in apoptosis, cell cycle regulation, and proliferation
in healthy cells, aberrant 14-3-3 expression has unsurprisingly emerged as
instrumental in the development of many cancers and in prognosis. Interestingly,
while the seven known 14-3-3 isoforms in humans have many similar functions
across cell types, evidence of isoform-specific functions and localization has
been observed in both healthy and diseased cells. The strikingly high similarity
among 14-3-3 isoforms has made it difficult to delineate isoform-specific
functions and for isoform-specific targeting. Here, we review our knowledge of 14
3-3 interactome(s) generated by high-throughput techniques, bioinformatics,
structural genomics and chemical genomics and point out that integrating the
information with molecular dynamics (MD) simulations may bring us new opportunity
to the design of isoform-specific inhibitors, which can not only be used as
powerful research tools for delineating distinct interactomes of individual 14-3
3 isoforms, but also can serve as potential new anti-cancer drugs that
selectively target aberrant 14-3-3 isoform.
PMID- 26554907
TI - Oncogenic Signaling Adaptor Proteins.
AB - Signal transduction pathways activated by receptor tyrosine kinases (RTK) play a
critical role in many aspects of cell function. Adaptor proteins serve an
important scaffolding function that facilitates key signaling transduction events
downstream of RTKs. Recent work integrating both structural and functional
genomic approaches has identified several adaptor proteins as new oncogenes. In
this review, we focus on the discovery, structure and function, and therapeutic
implication of three of these adaptor oncogenes, CRKL, GAB2, and FRS2. Each of
the three genes is recurrently amplified in lung adenocarcinoma or ovarian
cancer, and is essential to cancer cell lines that harbor such amplification.
Overexpression of each gene is able to transform immortalized human cell lines in
in vitro or in vivo models. These observations identify adaptor protein as a
distinct class of oncogenes and potential therapeutic targets.
PMID- 26554909
TI - Genetic Polymorphism, Telomere Biology and Non-Small Lung Cancer Risk.
AB - Recent genome-wide association studies (GWAS) have identified a number of
chromosomal regions associated with the risk of lung cancer. Of these regions,
single-nucleotide polymorphisms (SNPs), especially rs2736100 located in the
telomerase reverse transcriptase (TERT) gene show unique and significant
association with non-small cell lung cancer (NSCLC) in a few subpopulations
including women, nonsmokers, East Asians and those with adenocarcinoma. Recent
studies have also linked rs2736100 with a longer telomere length and lung cancer
risk. In this review, we seek to summarize the relationship between these factors
and to further link the underlying telomere biology to lung cancer etiology. We
conclude that genetic alleles combined with environmental (e.g., less-smoking)
and physiological factors (gender and age) that confer longer telomere length are
strong risk factors for NSCLC. This linkage may be particularly relevant in lung
adenocarcinoma driven by epidermal growth factor receptor (EGFR) mutations, as
these mutations have also been strongly linked to female gender, less-smoking
history, adenocarcinoma histology and East Asian ethnicity. By establishing this
connection, a strong argument is made for further investigating of the
involvement of these entities during the tumorigenesis of NSCLC.
PMID- 26554910
TI - MicroRNA Signaling Pathway Network in Pancreatic Ductal Adenocarcinoma.
AB - Pancreatic ductal adenocarcinoma (PDAC) is considered to be the most lethal and
aggressive malignancy with high mortality and poor prognosis. Their responses to
current multimodal therapeutic regimens are limited. It is urgently needed to
identify the molecular mechanism underlying pancreatic oncogenesis. Twelve core
signaling cascades have been established critical in PDAC tumorigenesis by
governing a wide variety of cellular processes. MicroRNAs (miRNAs) are aberrantly
expressed in different types of tumors and play pivotal roles as post
transcriptional regulators of gene expression. Here, we will describe how miRNAs
regulate different signaling pathways that contribute to pancreatic oncogenesis
and progression.
PMID- 26554911
TI - Integrative Analyses of Lung Squamous Cell Carcinoma in Ten Chinese Patients with
Transcriptome Sequencing.
AB - Few effective therapies have been developed for the treatment of lung squamous
cell carcinoma (SQCC), in part due to a lack of understanding regarding the
mechanisms underlying the initiation and development of this disease. Whole
transcriptome sequencing not only provides insight into the expression of all
transcribed genes, but offers an efficient approach for identifying genetic
variations, including gene fusions, mutations and alternative splicing. In this
study, we performed whole transcriptome sequencing of 10 patients with stage IIIA
lung SQCC, and discovered a large number of single nucleotide variants (SNVs;
mean of 12.2 SNVs/Mb), with C>T/G>A and A>G/T>C transitions being the most
frequently observed. Additionally, a total of 132 gene fusions were identified
based upon TopHat alignments, 70.5% (93/132) of which occurred as a result of
intra-chromosomal rearrangements. Based on the number of supporting reads for
each fusion, we further validated 20 of the 26 top gene fusions by RT-PCR and
Sanger sequencing. Taken together, these data provide an in-depth view of
transcriptional alterations in lung SQCC patients, and may be useful for
identification of new therapeutic targets.
PMID- 26554912
TI - Genetic Evidence for XPC-KRAS Interactions During Lung Cancer Development.
AB - Lung cancer causes more deaths than breast, colorectal and prostate cancers
combined. Despite major advances in targeted therapy in a subset of lung
adenocarcinomas, the overall 5-year survival rate for lung cancer worldwide has
not significantly changed for the last few decades. DNA repair deficiency is
known to contribute to lung cancer development. In fact, human polymorphisms in
DNA repair genes such as xeroderma pigmentosum group C (XPC) are highly
associated with lung cancer incidence. However, the direct genetic evidence for
the role of XPC for lung cancer development is still lacking. Mutations of the
Kirsten rat sarcoma viral oncogene homolog (Kras) or its downstream effector
genes occur in almost all lung cancer cells, and there are a number of mouse
models for lung cancer with these mutations. Using activated Kras, Kras(LA1), as
a driver for lung cancer development in mice, we showed for the first time that
mice with Kras(LA1) and Xpc knockout had worst outcomes in lung cancer
development, and this phenotype was associated with accumulated DNA damage. Using
cultured cells, we demonstrated that induced expression of oncogenic KRAS(G12V)
led to increased levels of reactive oxygen species (ROS) as well as DNA damage,
and both can be suppressed by anti-oxidants. Our results suggest that XPC may
help repair DNA damage caused by KRAS-mediated production of ROS.
PMID- 26554913
TI - Increased BST2 expression during simian immunodeficiency virus infection is not a
determinant of disease progression in rhesus monkeys.
AB - BACKGROUND: Bone marrow stromal cell antigen 2 (BST2), also known as tetherin,
HM1.24 or CD317 represents a type 2 integral membrane protein, which has been
described to restrict the production of some enveloped viruses by inhibiting the
virus release from the cell surface. This innate antiviral mechanism is
counteracted by the HIV-1 viral factor Vpu, targeting BST2 for cellular
degradation. Since antiviral BST2 activity has been mainly confirmed by in vitro
data, we investigated its role in vivo on the disease progression using the
SIV/macaque model for AIDS. We determined BST2 expression in PBMC and leukocyte
subsets of uninfected and SIV-infected rhesus macaques by real-time PCR and flow
cytometry and correlated it with disease progression and viral load. RESULTS:
Compared to pre-infection levels, we found increased BST2 expression in PBMC,
purified CD4(+) lymphocytes and CD14(+) monocytes of SIV-infected animals, which
correlated with viral load. Highest BST2 levels were found in progressors and
lowest levels comparable to uninfected macaques were observed in long-term non
progressors (LTNPs). During acute viremia, BST2 mRNA increased in parallel with
MX1, a prototype interferon-stimulated gene. This association was maintained
during the whole disease course. CONCLUSION: The detected relationship between
BST2 expression and viral load as well as with MX1 indicate a common regulation
by the interferon response and suggest rather limited influence of BST2 in vivo
on the disease outcome.
PMID- 26554914
TI - A phylogeny of Cichlidogyrus spp. (Monogenea, Dactylogyridea) clarifies a host
switch between fish families and reveals an adaptive component to attachment
organ morphology of this parasite genus.
AB - BACKGROUND: Parasite switches to new host species are of fundamental scientific
interest and may be considered an important speciation mechanism. For numerous
monogenean fish parasites, infecting different hosts is associated with
morphological adaptations, in particular of the attachment organ (haptor).
However, haptoral morphology in Cichlidogyrus spp. (Monogenea, Dactylogyridea),
parasites of African cichlids, has been mainly linked to phylogenetic rather than
to host constraints. Here we determined the position of Cichlidogyrus amieti, a
parasite of species of Aphyosemion (Cyprinodontiformes, Nothobranchiidae) in the
phylogeny of its congeners in order to infer its origin and assess the
morphological changes associated with host-switching events. METHODS: The DNA of
specimens of C. amieti isolated from Aphyosemion cameronense in Cameroon was
sequenced and analyzed together with that of Cichlidogyrus spp. from cichlid
hosts. In order to highlight the influence of the lateral transfer of C. amieti
on the haptoral sclerotised parts we performed a Principal Component Analysis
(PCA) to compare the attachment organ structure of C. amieti to that of congeners
infecting cichlids. RESULTS: Cichlidogyrus amieti was found to be nested within a
strongly supported clade of species described from Hemichromis spp. (i.e. C.
longicirrus and C. dracolemma). This clade is located at a derived position of
the tree, suggesting that C. amieti transferred from cichlids to
Cyprinodontiformes and not inversely. The morphological similarity between
features of their copulatory organs suggested that C. amieti shares a recent
ancestor with C. dracolemma. It also indicates that in this case, these organs do
not seem subjected to strong divergent selection pressure. On the other hand,
there are substantial differences in haptoral morphology between C. amieti and
all of its closely related congeners described from Hemichromis spp..
CONCLUSIONS: Our study provides new evidence supporting the hypothesis of the
adaptive nature of haptor morphology. It demonstrates this adaptive component for
the first time within Cichlidogyrus, the attachment organs of which were usually
considered to be mainly phylogenetically constrained.
PMID- 26554915
TI - Autoimmunity in picornavirus infections.
AB - Enteroviruses are small, non-enveloped, positive-sense single-strand RNA viruses,
and are ubiquitously found throughout the world. These viruses usually cause
asymptomatic or mild febrile illnesses, but have a propensity to induce severe
diseases including type 1 diabetes and pancreatitis, paralysis and
neuroinflammatory disease, myocarditis, or hepatitis. This pathogenicity may
result from induction of autoimmunity to organ-specific antigens. While
enterovirus-triggered autoimmunity can arise from multiple mechanisms including
antigenic mimicry and release of sequestered antigens, the recent demonstration
of T cells expressing dual T cell receptors arising as a natural consequence of
Theiler's virus infection is the first demonstration of this autoimmune
mechanism.
PMID- 26554917
TI - Transferability of the coarse-grained potentials for trans-1,4-polybutadiene.
AB - In this paper, we evaluate the transferability of the coarse-grained (CG) force
field (FF) of trans-1,4-polybutadiene which was built via a combined structure
based and thermodynamic quantity-based CG method at 413 K and 1 atm by
systematically examining CG simulated structural and thermodynamic properties
against the underlying atomistic simulation results at different temperatures.
Interestingly, the derived CG force field exhibits good "state-point
transferability" to some extent. For example, when applying this CG FF to the
nearby state point (e.g., amorphous phase at 500 K), the resulting local
conformation statistics, chain size, and local packing properties as well as
density values for the CG models closely match the atomistic simulated data. When
further applying this CG force field to the crystalline state at 300 K, the
structural and thermodynamic properties of the crystalline phase formed for these
CG and atomistic MD simulations still match within a certain level of accuracy.
Furthermore, the CG torsion potential has a dual effect: for the amorphous state,
the presence of an intramolecular energy barrier against rotation improves the
capability of CG models to more precisely reproduce the structural properties,
while in the crystalline state this CG torsion barrier suppresses the formation
of the more fully stretched chain with a higher trans content. As a result, in
the crystalline phase the CG model chains without torsion potentials possess a
more stretched chain conformation, pack more efficiently, and have a higher
crystallinity degree than its counterpart with CG torsion potentials as well as
its underlying atomistic model. However, the dual effect of CG torsion potentials
does not mean that we have to use different dihedral parameters to describe
different state points. Both CG FFs, one with and another without torsion
potentials, are able to represent the melt and the crystalline states. Overall,
the phase and its structural consistency between the CG and atomistic models over
other state points (e.g., crystalline phase) for which CG FFs were not explicitly
parameterized very encouraging such that the combined structure-based and
thermodynamic quantity-based CG method can be used to derive an optimized CG FF
for multi-scale simulation of polymer systems under different thermodynamic
conditions.
PMID- 26554916
TI - Small Intestinal Bacterial Overgrowth in Patients with Refractory Functional
Gastrointestinal Disorders.
AB - BACKGROUND/AIMS: Small intestinal bacterial overgrowth (SIBO) is considered to be
involved in the pathogenesis of functional gastrointestinal disorders (FGID).
However, the prevalence and clinical conditions of SIBO in patients with FGID
remain to be fully elucidated. Here, we examined the frequency of SIBO in
patients with refractory FGID. METHODS: We prospectively enrolled patients with
refractory FGID based on Rome III criteria. A glucose hydrogen breath test (GHBT)
was performed using a gas analyzer after an overnight fast, with breath hydrogen
concentration measured at baseline and every 15 minutes after administration of
glucose for a total of 3 hours. A peak hydrogen value >= 10 ppm above the basal
value between 60 and 120 minutes after administration of glucose was diagnosed as
SIBO. RESULTS: A total of 38 FGID patients, including 11 with functional
dyspepsia (FD), 10 with irritable bowel syndrome (IBS), and 17 with overlapping
with FD and IBS, were enrolled. Of those, 2 (5.3%) were diagnosed with SIBO (one
patient diagnosed with FD; the other with overlapping FD and IBS). Their symptoms
were clearly improved and breath hydrogen levels decreased to normal following
levofloxacin administration for 7 days. CONCLUSIONS: Two patients initially
diagnosed with FD and IBS were also diagnosed with SIBO as assessed by GHBT.
Although the frequency of SIBO is low among patients with FGID, it may be
important to be aware of SIBO as differential diagnosis when examining patients
with refractory gastrointestinal symptoms, especially bloating, as a part of
routine clinical care.
PMID- 26554918
TI - Metamorphopsia: An Overlooked Visual Symptom.
AB - Metamorphopsia is a common symptom in different macular disorders. Micropsia and
macropsia are special types of metamorphopsia. Recent theories suggest that both
retinal and cortical mechanisms are involved in the development and changes of
metamorphopsia. Different functional tests have been proposed for the evaluation
of metamorphopsia: from the Amsler grid to the hand-held mobile devices for home
monitoring. This review addresses some new insights into the pathophysiology of
metamorphopsia and different available tests for the evaluation of this symptom
in most common macular disorders. The importance of quantification of
metamorphopsia in macular diseases is confirmed by the most recent therapeutic
approaches.
PMID- 26554919
TI - Comparative Prevalence of Immune Evasion Complex Genes Associated with beta
Hemolysin Converting Bacteriophages in MRSA ST5 Isolates from Swine, Swine
Facilities, Humans with Swine Contact, and Humans with No Swine Contact.
AB - Livestock associated methicillin-resistant Staphylococcus aureus (LA-MRSA) draws
concern from the public health community because in some countries these
organisms may represent the largest reservoir of MRSA outside hospital settings.
Recent studies indicate LA-MRSA strains from swine are more genetically diverse
than the first reported sequence type ST398. In the US, a diverse population of
LA-MRSA is found including organisms of the ST398, ST9, and ST5 lineages.
Occurrence of ST5 MRSA in swine is of particular concern since ST5 is among the
most prevalent lineages causing clinical infections in humans. The prominence of
ST5 in clinical disease is believed to result from acquisition of bacteriophages
containing virulence or host-adapted genes including the immune-evasion cluster
(IEC) genes carried by beta-hemolysin converting bacteriophages, whose absence in
LA-MRSA ST398 is thought to contribute to reduced rates of human infection and
transmission associated with this lineage. The goal of this study was to
investigate the prevalence of IEC genes associated with beta-hemolysin converting
bacteriophages in MRSA ST5 isolates obtained from agricultural sources, including
swine, swine facilities, and humans with short- or long-term swine exposure. To
gain a broader perspective, the prevalence of these genes in LA-MRSA ST5 strains
was compared to the prevalence in clinical MRSA ST5 strains from humans with no
known exposure to swine. IEC genes were not present in any of the tested MRSA ST5
strains from agricultural sources and the beta-hemolysin gene was intact in these
strains, indicating the bacteriophage's absence. In contrast, the prevalence of
the beta-hemolysin converting bacteriophage in MRSA ST5 strains from humans with
no exposure to swine was 90.4%. The absence of beta-hemolysin converting
bacteriophage in LA-MRSA ST5 isolates is consistent with previous reports
evaluating ST398 strains and provides genetic evidence indicating LA-MRSA ST5
isolates may harbor a reduced capacity to cause severe disease in immunocompetent
humans.
PMID- 26554920
TI - The Heritability of Breast Cancer among Women in the Nordic Twin Study of Cancer.
AB - BACKGROUND: Family history is an established risk factor for breast cancer.
Although some important genetic factors have been identified, the extent to which
familial risk can be attributed to genetic factors versus common environment
remains unclear. METHODS: We estimated the familial concordance and heritability
of breast cancer among 21,054 monozygotic and 30,939 dizygotic female twin pairs
from the Nordic Twin Study of Cancer, the largest twin study of cancer in the
world. We accounted for left-censoring, right-censoring, as well as the competing
risk of death. RESULTS: From 1943 through 2010, 3,933 twins were diagnosed with
breast cancer. The cumulative lifetime incidence of breast cancer taking
competing risk of death into account was 8.1% for both zygosities, although the
cumulative risk for twins whose co-twins had breast cancer was 28% among
monozygotic and 20% among dizygotic twins. The heritability of liability to
breast cancer was 31% [95% confidence interval (CI), 10%-51%] and the common
environmental component was 16% (95% CI, 10%-32%). For premenopausal breast
cancer these estimates were 27% and 12%, respectively, and for postmenopausal
breast cancer 22% and 16%, respectively. The relative contributions of genetic
and environmental factors were constant between ages 50 and 96. Our results are
compatible with the Peto-Mack hypothesis. CONCLUSION: Our findings indicate that
familial factors explain almost half of the variation in liability to develop
breast cancer, and results were similar for pre- and postmenopausal breast cancer
IMPACT: We estimate heritability of breast cancer, taking until now ignored
sources of bias into account.
PMID- 26554921
TI - Detection and Genetic Characteristics of H9N2 Avian Influenza Viruses from Live
Poultry Markets in Hunan Province, China.
AB - H9N2 avian influenza viruses (AIVs) are highly prevalent and of low pathogenicity
in domestic poultry. These viruses show a high genetic compatibility with other
subtypes of AIVs and have been involved in the genesis of H5N1, H7N9 and H10N8
viruses causing severe infection in humans. The first case of human infection
with H9N2 viruses in Hunan province of China have been confirmed in November 2013
and identified that H9N2 viruses from live poultry markets (LPMs) near the
patient's house could be the source of infection. However, the prevalence,
distribution and genetic characteristics of H9N2 viruses in LPMs all over the
province are not clear. We collected and tested 3943 environmental samples from
380 LPMs covering all 122 counties/districts of Hunan province from February to
April, 2014. A total of 618 (15.7%) samples were H9 subtype positive and 200
(52.6%) markets in 98 (80.3%) counties/districts were contaminated with H9
subtype AIVs. We sequenced the entire coding sequences of the genomes of eleven
H9N2 isolates from environmental samples. Phylogenetic analysis showed that the
gene sequences of the H9N2 AIVs exhibited high homology (94.3%-100%). All eleven
viruses were in a same branch in the phylogenetic trees and belonged to a same
genotype. No gene reassortment had been found. Molecular analysis demonstrated
that all the viruses had typical molecular characteristics of contemporary avian
H9N2 influenza viruses. Continued surveillance of AIVs in LPMs is warranted for
identification of further viral evolution and novel reassortants with pandemic
potential.
PMID- 26554922
TI - Dispersal of Engineered Male Aedes aegypti Mosquitoes.
AB - BACKGROUND: Aedes aegypti, the principal vector of dengue fever, have been
genetically engineered for use in a sterile insect control programme. To improve
our understanding of the dispersal ecology of mosquitoes and to inform
appropriate release strategies of 'genetically sterile' male Aedes aegypti
detailed knowledge of the dispersal ability of the released insects is needed.
METHODOLOGY/PRINCIPAL FINDINGS: The dispersal ability of released 'genetically
sterile' male Aedes aegypti at a field site in Brazil has been estimated.
Dispersal kernels embedded within a generalized linear model framework were used
to analyse data collected from three large scale mark release recapture studies.
The methodology has been applied to previously published dispersal data to
compare the dispersal ability of 'genetically sterile' male Aedes aegypti in
contrasting environments. We parameterised dispersal kernels and estimated the
mean distance travelled for insects in Brazil: 52.8 m (95% CI: 49.9 m, 56.8 m)
and Malaysia: 58.0 m (95% CI: 51.1 m, 71.0 m). CONCLUSIONS/SIGNIFICANCE: Our
results provide specific, detailed estimates of the dispersal characteristics of
released 'genetically sterile' male Aedes aegypti in the field. The comparative
analysis indicates that despite differing environments and recapture rates, key
features of the insects' dispersal kernels are conserved across the two studies.
The results can be used to inform both risk assessments and release programmes
using 'genetically sterile' male Aedes aegypti.
PMID- 26554923
TI - Meta-Analysis and Cost Comparison of Empirical versus Pre-Emptive Antifungal
Strategies in Hematologic Malignancy Patients with High-Risk Febrile Neutropenia.
AB - BACKGROUND: Invasive fungal disease (IFD) causes significant morbidity and
mortality in hematologic malignancy patients with high-risk febrile neutropenia
(FN). These patients therefore often receive empirical antifungal therapy.
Diagnostic test-guided pre-emptive antifungal therapy has been evaluated as an
alternative treatment strategy in these patients. METHODS: We conducted an
electronic search for literature comparing empirical versus pre-emptive
antifungal strategies in FN among adult hematologic malignancy patients. We
systematically reviewed 9 studies, including randomized-controlled trials, cohort
studies, and feasibility studies. Random and fixed-effect models were used to
generate pooled relative risk estimates of IFD detection, IFD-related mortality,
overall mortality, and rates and duration of antifungal therapy. Heterogeneity
was measured via Cochran's Q test, I2 statistic, and between study tau2.
Incorporating these parameters and direct costs of drugs and diagnostic testing,
we constructed a comparative costing model for the two strategies. We conducted
probabilistic sensitivity analysis on pooled estimates and one-way sensitivity
analyses on other key parameters with uncertain estimates. RESULTS: Nine
published studies met inclusion criteria. Compared to empirical antifungal
therapy, pre-emptive strategies were associated with significantly lower
antifungal exposure (RR 0.48, 95% CI 0.27-0.85) and duration without an increase
in IFD-related mortality (RR 0.82, 95% CI 0.36-1.87) or overall mortality (RR
0.95, 95% CI 0.46-1.99). The pre-emptive strategy cost $324 less (95% credible
interval -$291.88 to $418.65 pre-emptive compared to empirical) than the
empirical approach per FN episode. However, the cost difference was influenced by
relatively small changes in costs of antifungal therapy and diagnostic testing.
CONCLUSIONS: Compared to empirical antifungal therapy, pre-emptive antifungal
therapy in patients with high-risk FN may decrease antifungal use without
increasing mortality. We demonstrate a state of economic equipoise between
empirical and diagnostic-directed pre-emptive antifungal treatment strategies,
influenced by small changes in cost of antifungal therapy and diagnostic testing,
in the current literature. This work emphasizes the need for optimization of
existing fungal diagnostic strategies, development of more efficient diagnostic
strategies, and less toxic and more cost-effective antifungals.
PMID- 26554924
TI - Macroalgal Composition Determines the Structure of Benthic Assemblages Colonizing
Fragmented Habitats.
AB - Understanding the consequences of fragmentation of coastal habitats is an
important topic of discussion in marine ecology. Research on the effects of
fragmentation has revealed complex and context-dependent biotic responses, which
prevent generalizations across different habitats or study organisms. The effects
of fragmentation in marine environments have been rarely investigated across
heterogeneous habitats, since most studies have focused on a single type of
habitat or patch. In this study, we assessed the effects of different levels of
fragmentation (i.e. decreasing size of patches without overall habitat loss). We
measured these effects using assemblages of macro-invertebrates colonizing
representative morphological groups of intertidal macroalgae (e.g. encrusting,
turf and canopy-forming algae). For this purpose, we constructed artificial
assemblages with different combinations of morphological groups and increasing
levels of fragmentation by manipulating the amount of bare rock or the spatial
arrangement of different species in mixed assemblages. In general, our results
showed that 1) fragmentation did not significantly affect the assemblages of
macroinvertebrates; 2) at greater levels of fragmentation, there were greater
numbers of species in mixed algal assemblages, suggesting that higher habitat
complexity promotes species colonization. Our results suggest that predicting the
consequences of fragmentation in heterogeneous habitats is dependent on the type
and diversity of morphological groups making up those habitats.
PMID- 26554925
TI - Respiratory protection for firefighters--Evaluation of CBRN canisters for use
during overhaul II: In mask analyte sampling with integrated dynamic breathing
machine.
AB - According to the National Fire Protection Association there were 487,500
structural fires in the U.S. in 2013. After visible flames are extinguished
firefighters begin the overhaul stage where remaining hot spots are identified
and further extinguished. During overhaul, a significant amount of potentially
hazardous chemicals can remain in the ambient environment. Previous research
suggests that the use of air purifying respirators fitted with chemical,
biological, radiological, and nuclear (CBRN) canisters may reduce occupational
exposure. This study used large scale burns of representative structural
materials to perform side-by-side, filtering, and service-life evaluations of
commercially available CBRN filters using two head forms fitted with full-face
respirators and a dynamic breathing machine. Three types of CBRN canisters and
one non-CBRN cartridge were challenged in repetitive post-fire environments.
Tests were conducted with two different breathing volumes and rates for two
sampling durations (0-15 min and 0-60 min). Fifty-five different chemicals were
selected for evaluation and results indicate that 10 of the 55 chemicals were
present in the post-fire overhaul ambient environment. Acetaldehyde and
formaldehyde were found to be the only two chemicals detected post filter but
were effectively filtered to below ACGIH TLVs. Counter to our prior published
work using continuous flow filter evaluation, this study indicates that,
regardless of brand, CBRN filters were effective at reducing concentrations of
post-fire ambient chemicals to below occupational exposure limits. However,
caution should be applied when using CBRN filters as the ambient formaldehyde
level in the current study was 8.9 times lower than during the previous work.
PMID- 26554927
TI - Cerium oxide nanoparticles induce oxidative stress in the sediment-dwelling
amphipod Corophium volutator.
AB - Cerium oxide nanoparticles (CeO2 NPs) exhibit fast valence exchange between
Ce(IV) and Ce(III) associated with oxygen storage and both pro and antioxidant
activities have been reported in laboratory models. The reactivity of CeO2 NPs
once they are released into the aquatic environment is virtually unknown, but
this is important to determine for assessing their environmental risk. Here, we
show that amphipods (Corophium volutator) grown in marine sediments containing
CeO2 NPs showed a significant increase in oxidative damage compared to those
grown in sediments without NPs and those containing large-sized (bulk) CeO2
particles. There was no exposure effect on survival, but significant increases in
single-strand DNA breaks, lipid peroxidation and superoxide dismutase activity
were observed after a 10-day exposure to 12.5 mg L(-1) CeO2. Characterisation of
the CeO2 NPs dispersed in deionised or saline exposure waters revealed that more
radicals were produced by CeO2 NPs compared with bulk CeO2. Electron energy loss
spectroscopy (EELS) analysis revealed that both CeO2 NPs were predominantly
Ce(III) in saline waters compared to deionised waters where they were
predominantly Ce(IV). In both types of medium, the bulk CeO2 consisted mainly of
Ce(IV). These results support a model whereby redox cycling of CeO2 NPs between
Ce(III) and Ce(IV) is enhanced in saline waters, leading to sublethal oxidative
damage to tissues in our test organism.
PMID- 26554926
TI - Ran Involved in the Development and Reproduction Is a Potential Target for RNA
Interference-Based Pest Management in Nilaparvata lugens.
AB - Ran (RanGTPase) in insects participates in the 20-hydroxyecdysone signal
transduction pathway in which downstream genes, FTZ-F1, Kruppel-homolog 1 (Kr-h1)
and vitellogenin, are involved. A putative Ran gene (NlRan) was cloned from
Nilaparvata lugens, a destructive phloem-feeding pest of rice. NlRan has the
typical Ran primary structure features that are conserved in insects. NlRan
showed higher mRNA abundance immediately after molting and peaked in newly
emerged female adults. Among the examined tissues ovary had the highest
transcript level, followed by fat body, midgut and integument, and legs. Three
days after dsNlRan injection the NlRan mRNA abundance in the third-, fourth-, and
fifth-instar nymphs was decreased by 94.3%, 98.4% and 97.0%, respectively. NlFTZ
F1 expression levels in treated third- and fourth-instar nymphs were reduced by
89.3% and 23.8%, respectively. In contrast, NlKr-h1 mRNA levels were up-regulated
by 67.5 and 1.5 folds, respectively. NlRan knockdown significantly decreased the
body weights, delayed development, and killed >85% of the nymphs at day seven.
Two apparent phenotypic defects were observed: (1) Extended body form, and failed
to molt; (2) The cuticle at the notum was split open but cannot completely shed
off. The newly emerged female adults from dsNlRan injected fifth-instar nymphs
showed lower levels of NlRan and vitellogenin, lower weight gain and honeydew
excretion comparing with the blank control, and no offspring. Those results
suggest that NlRan encodes a functional protein that was involved in development
and reproduction. The study established proof of concept that NlRan could serve
as a target for dsRNA-based pesticides for N. lugens control.
PMID- 26554928
TI - Critical Parameters of the In Vitro Method of Vascular Smooth Muscle Cell
Calcification.
AB - BACKGROUND: Vascular calcification (VC) is primarily studied using cultures of
vascular smooth muscle cells. However, the use of very different protocols and
extreme conditions can provide findings unrelated to VC. In this work we aimed to
determine the critical experimental parameters that affect calcification in vitro
and to determine the relevance to calcification in vivo. EXPERIMENTAL PROCEDURES
AND RESULTS: Rat VSMC calcification in vitro was studied using different
concentrations of fetal calf serum, calcium, and phosphate, in different types of
culture media, and using various volumes and rates of change. The bicarbonate
content of the media critically affected pH and resulted in supersaturation,
depending on the concentration of Ca2+ and Pi. Such supersaturation is a
consequence of the high dependence of bicarbonate buffers on CO2 vapor pressure
and bicarbonate concentration at pHs above 7.40. Such buffer systems cause
considerable pH variations as a result of minor experimental changes. The
variations are more critical for DMEM and are negligible when the bicarbonate
concentration is reduced to 1/4. Particle nucleation and growth were observed by
dynamic light scattering and electron microscopy. Using 2mM Pi, particles of
~200nm were observed at 24 hours in MEM and at 1 hour in DMEM. These nuclei grew
over time, were deposited in the cells, and caused osteogene expression or cell
death, depending on the precipitation rate. TEM observations showed that the
initial precipitate was amorphous calcium phosphate (ACP), which converts into
hydroxyapatite over time. In blood, the scenario is different, because
supersaturation is avoided by a tightly controlled pH of 7.4, which prevents the
formation of PO43--containing ACP. CONCLUSIONS: The precipitation of ACP in vitro
is unrelated to VC in vivo. The model needs to be refined through controlled pH
and the use of additional procalcifying agents other than Pi in order to
reproduce calcium phosphate deposition in vivo.
PMID- 26554930
TI - The Performance of the Four Anaerobic Blood Culture Bottles BacT/ALERT-FN, -FN
Plus, BACTEC-Plus and -Lytic in Detection of Anaerobic Bacteria and
Identification by Direct MALDI-TOF MS.
AB - Detection and identification of anaerobic bacteria in blood cultures (BC) is a
well-recognized challenge in clinical microbiology. We studied 100 clinical
anaerobic BC isolates to evaluate the performance of BacT/ALERT-FN, -FN Plus
(BioMerieux), BACTEC-Plus and -Lytic (Becton Dickinson BioSciences) BC bottles in
detection and time to detection (TTD) of anaerobic bacteria. BACTEC Lytic had
higher detection rate (94/100, 94%) than BacT/ALERT FN Plus (80/100, 80%)
(p<0.01) in the studied material. There was no significant difference in
detection of anaerobic bacteria among the remaining bottle types. The 67
anaerobic bacteria that signalled positive in all four bottle types were analyzed
to compare the time to detection (TTD) and isolates were directly identified by
MALDI-TOF MS. There was a significant difference in TTD among the four bottle
types (p<0.0001). The shortest median TTD was 18 h in BACTEC Lytic followed by
BacT/ALERT FN (23.5 h), BACTEC Plus (27 h) and finally BacT/ALERT FN Plus (38 h)
bottles. In contrast, MALDI-TOF MS performed similarly in all bottle types with
accurate identification in 51/67 (76%) BacT/ALERT FN, 51/67 (76%) BacT/ALERT FN
Plus, 53/67 (79%) BACTEC Plus and 50/67 (75%) BACTEC Lytic bottles. In
conclusion, BACTEC Lytic bottles have significantly better detection rates and
shorter TTD compared to the three other bottle types. The anaerobic BC bottles
are equally suitable for direct MALDI-TOF MS for rapid and reliable
identification of common anaerobic bacteria. Further clinical studies are
warranted to investigate the performance of anaerobic BC bottles in detection of
anaerobic bacteria and identification by direct MALDI-TOF MS.
PMID- 26554929
TI - Antidepressant- and Anxiolytic-Like Effects of New Dual 5-HT1A and 5-HT7
Antagonists in Animal Models.
AB - The aim of this study was to further characterize pharmacological properties of
two phenylpiperazine derivatives: 1-{2-[2-(2,6-dimethlphenoxy)ethoxy]ethyl}-4-(2
methoxyphenyl)piperazynine hydrochloride (HBK-14) and 2-[2-(2-chloro-6
methylphenoxy)ethoxy]ethyl-4-(2- methoxyphenyl)piperazynine dihydrochloride (HBK
15) in radioligand binding and functional in vitro assays as well as in vivo
models. Antidepressant-like properties were investigated in the forced swim test
(FST) in mice and rats. Anxiolytic-like activity was evaluated in the four-plate
test in mice and elevated plus maze test (EPM) in rats. Imipramine and
escitalopram were used as reference drugs in the FST, and diazepam was used as a
standard anxiolytic drug in animal models of anxiety. Our results indicate that
HBK-14 and HBK-15 possess high or moderate affinity for serotonergic 5-HT2,
adrenergic alpha1, and dopaminergic D2 receptors as well as being full 5-HT1A and
5-HT7 receptor antagonists. We also present their potent antidepressant-like
activity (HBK-14-FST mice: 2.5 and 5 mg/kg; FST rats: 5 mg/kg) and (HBK-15-FST
mice: 1.25, 2.5 and 5 mg/kg; FST rats: 1.25 and 2.5 mg/kg). We show that HBK-14
(four-plate test: 2.5 and 5 mg/kg; EPM: 2.5 mg/kg) and HBK-15 (four-plate test:
2.5 and 5 mg/kg; EPM: 5 mg/kg) possess anxiolytic-like properties. Among the two,
HBK-15 has stronger antidepressant-like properties, and HBK-14 displays greater
anxiolytic-like activity. Lastly, we demonstrate the involvement of serotonergic
system, particularly 5-HT1A receptor, in the antidepressant- and anxiolytic-like
actions of investigated compounds.
PMID- 26554931
TI - Role of extracellular vesicles in autoimmune diseases.
AB - Extracellular vesicles (EVs) consist of exosomes released upon fusion of
multivesicular bodies with the cell plasma membrane and microparticles shed
directly from the cell membrane of many cell types. EVs can mediate cell-cell
communication and are involved in many processes including inflammation, immune
signaling, angiogenesis, stress response, senescence, proliferation, and cell
differentiation. Accumulating evidence reveals that EVs act in the establishment,
maintenance and modulation of autoimmune processes among several others involved
in cancer and cardiovascular complications. EVs could also present biomedical
applications, as disease biomarkers and therapeutic targets or agents for drug
delivery.
PMID- 26554932
TI - Impact of a multidisciplinary approach in enteropathic spondyloarthritis
patients.
AB - Spondyloarthritis (SpA) and inflammatory bowel disease (IBD) are chronic
autoinflammatory diseases that partially share the genetic predisposition and the
unchecked inflammatory response linking the gut to the joints. The coexistence of
both conditions in patients and the increased cross-risk ratios between SpA and
IBD strongly suggest a shared pathophysiology. The prevalence of Enteropathic
related Spondyloarthritis (ESpA) in IBD patients shows a wide variation and may
be underestimated. It is well accepted that the management of joint pain requires
rheumatological expertise in conjunction with gastroenterologist assessment. In
this view, we aimed at assessing, in a prospective study performed in a combined
Gastro-Intestinal and Rheumatologic "GI-Rhe" clinic: (1) the prevalence of ESpA
and other rheumatologic diseases in IBD patients with joint pain; (2) the
features of the ESpA population; and (3) the diagnostic delay and the potential
impact of the combined assessment. From November 2012 to December 2014, IBD
patients with joint pain referring to a dedicated rheumatologist by the IBD
dedicated gastroenterologist were enrolled. Clinical and biochemical evaluations,
joint involvement and disease activity assessment, diagnostic delay, and
treatment were recorded. IBD patients (n=269) with joint pain were jointly
assessed in the "GI-Rhe" Unit. A diagnosis of ESpA was made in 50.5% of IBD
patients with joint pain. ESpA patients showed a peripheral involvement in 53% of
cases, axial in 20.6% and peripheral and axial in 26.4% of cases. ESpA patients
had a higher prevalence of other autoimmune extra-intestinal manifestations and
received more anti-TNF treatment compared with IBD patients. A mean diagnostic
delay of 5.2 years was revealed in ESpA patients. Patients with joint disease
onset in the 2002-2012 decade had reduced diagnostic delay compared with those
with onset in the 1980-1990 and 1991-2001 decades. Diagnostic delay was further
reduced for patients with joint onset in the last two years in conjunction with
the establishment of the GI-Rhe clinic. Multidisciplinary approach improved
management of rheumatic disorders in IBD patients allowing a more comprehensive
care.
PMID- 26554933
TI - Autoimmune progesterone dermatitis: Update and insights.
AB - Autoimmune progesterone dermatitis (APD) is rare autoimmune hypersensitivity
reaction to the fluctuations of endogenous progesterone during a woman's
menstrual cycle. It has a wide spectrum of clinical presentations including
urticaria, eczema and vesiculobullous eruptions. The disease course depends on
treatment modality. The pathogenic mechanisms of APD remain to be elucidated.
OBJECTIVE: We aim to review the literature on APD and evaluate the different
theories of pathogenesis and treatments for this condition. METHODS: A review of
the English literature on APD was performed using PubMed, EMBASE and MEDLINE.
RESULTS: 89 patients are included in this review. Initial symptom development in
association with the menstrual cycle was reported in 65 (73%) patients. In some
patients, it occurred shortly after hormone therapy (8.9%) or in relation to a
pregnancy (14.6%). Associated factors were not defined in three patients (3.4%).
Nearly 45% had a history of exposure to exogenous progesterone. Diagnosis of APD
was usually confirmed with an intradermal progesterone sensitivity test. The goal
of treatment was to suppress progesterone secretion through anovulation. Some
cases were controlled with oral contraceptives or conjugated estrogen, while some
patients had complete resolution post-hysterectomy. CONCLUSION: The wide spectrum
of clinical presentations, histology, and response to therapy would suggest that
there are multiple subsets in APD. The increase in the levels of progesterone may
also influence the clinical profile and the corresponding immunological response.
Further research on the pathogenesis of APD is required to provide a satisfactory
treatment modality.
PMID- 26554934
TI - TLX: An elusive receptor.
AB - TLX (tailless receptor) is a member of the nuclear receptor superfamily and
belongs to a class of nuclear receptors for which no endogenous or synthetic
ligands have yet been identified. TLX is a promising therapeutic target in
neurological disorders and brain tumors. Thus, regulatory ligands for TLX need to
be identified to complete the validation of TLX as a useful target and would
serve as chemical probes to pursue the study of this receptor in disease models.
It has recently been proved that TLX is druggable. However, to identify potent
and specific TLX ligands with desirable biological activity, a deeper
understanding of where ligands bind, how they alter TLX conformation and of the
mechanism by which TLX mediates the transcription of its target genes is needed.
While TLX is in the process of escaping from orphanhood, future ligand design
needs to progress in parallel with improved understanding of (i) the binding
cavity or surfaces to target with small molecules on the TLX ligand binding
domain and (ii) the nature of the TLX coregulators in particular cell and disease
contexts. Both of these topics are discussed in this review.
PMID- 26554935
TI - Vitamin D levels and breast cancer characteristics: Findings in patients from
Saudi Arabia.
AB - Inverse relationship between vitamin D status and risk of breast cancer has been
previously reported in the literature. We conducted this study to determine the
association between vitamin D levels and breast cancer characteristics in
patients from Saudi Arabia. Newly diagnosed breast cancer patients (N=406) were
recruited. Serum levels of 25-hydroxyvitamin D [25 (OH) D] were measured at
baseline. A significantly higher percentage of patients with triple negative
status (18%) had 25 (OH) D levels <=25nmol/L, compared to only 8% with 25 (OH) D
levels >25nmol/L (p=0.009). Patients with 25 (OH) D levels <=25nmol/L were 2.54
times more likely to present with triple negative status compared to those with
25 (OH) D levels >25nmol/L (p=0.02). Our findings suggest an association between
low 25 (OH) D levels and increased risk of triple negative breast cancer.
PMID- 26554936
TI - Post-hoc analysis of vitamin D status and reduced risk of preterm birth in two
vitamin D pregnancy cohorts compared with South Carolina March of Dimes 2009-2011
rates.
AB - BACKGROUND: Two vitamin D pregnancy supplementation trials were recently
undertaken in South Carolina: The NICHD (n=346) and Thrasher Research Fund (TRF,
n=163) studies. The findings suggest increased dosages of supplemental vitamin D
were associated with improved health outcomes of both mother and newborn,
including risk of preterm birth (<37 weeks gestation). How that risk was
associated with 25(OH)D serum concentration, a better indicator of vitamin D
status than dosage, by race/ethnic group and the potential impact in the
community was not previously explored. While a recent IOM report suggested a
concentration of 20 ng/mL should be targeted, more recent work suggests optimal
conversion of 25(OH)D-1,25(OH)2D takes place at 40 ng/mL in pregnant women.
OBJECTIVE: Post-hoc analysis of the relationship between 25(OH)D concentration
and preterm birth rates in the NICHD and TRF studies with comparison to
Charleston County, South Carolina March of Dimes (CC-MOD) published rates of
preterm birth to assess potential risk reduction in the community. METHODS: Using
the combined cohort datasets (n=509), preterm birth rates both for the overall
population and for the subpopulations achieving 25(OH)D concentrations of <=20
ng/mL, >20 to <40 ng/mL, and >=40 ng/mL were calculated; subpopulations broken
down by race/ethnicity were also examined. Log-binomial regression was used to
test if an association between 25(OH)D serum concentration and preterm birth was
present when adjusted for covariates; locally weighted regression (LOESS) was
used to explore the relationship between 25(OH)D concentration and gestational
age (weeks) at delivery in more detail. These rates were compared with 2009-2011
CC-MOD data to assess potential risk reductions in preterm birth. RESULTS: Women
with serum 25(OH)D concentrations >=40 ng/mL (n=233) had a 57% lower risk of
preterm birth compared to those with concentrations <=20 ng/mL [n=82; RR=0.43,
95% confidence interval (CI)=0.22,0.83]; this lower risk was essentially
unchanged after adjusting for covariates (RR=0.41, 95% CI=0.20,0.86). The fitted
LOESS curve shows gestation week at birth initially rising steadily with
increasing 25(OH)D and then plateauing at ~40 ng/mL. Broken down by
race/ethnicity, there was a 79% lower risk of preterm birth among Hispanic women
with 25(OH)D concentrations >=40 ng/mL (n=92) compared to those with 25(OH)D
concentrations <=20 ng/mL (n=29; RR=0.21, 95% CI=0.06,0.69) and a 45% lower risk
among Black women (n=52 and n=50; RR=0.55, 95% CI=0.17,1.76). There were too few
white women with low 25(OH)D concentrations for assessment (n=3). Differences by
race/ethnicity were not statistically significant with 25(OH)D included as a
covariate. Compared to the CC-MOD reference group, women with serum
concentrations >=40 ng/mL in the combined cohort had a 46% lower rate of preterm
birth overall (n=233, p=0.004) with a 66% lower rate among Hispanic women (n=92,
p=0.01) and a 58% lower rate among black women (n=52, p=0.04). CONCLUSIONS: In
this post-hoc analysis, achieving a 25(OH)D serum concentration >=40 ng/mL
significantly decreased the risk of preterm birth compared to <=20 ng/mL. These
findings suggest the importance of raising 25(OH)D levels substantially above 20
ng/mL; reaching 40 ng/mL during pregnancy would reduce the risk of preterm birth
and achieve the maximal production of the active hormone.
PMID- 26554937
TI - Triptolide Inhibited Cytotoxicity of Differentiated PC12 Cells Induced by Amyloid
Beta25-35 via the Autophagy Pathway.
AB - Evidence shows that an abnormal deposition of amyloid beta-peptide25-35 (Abeta25
35) was the primary cause of the pathogenesis of Alzheimer's disease (AD). And
the elimination of Abeta25-35 is considered an important target for the treatment
of AD. Triptolide (TP), isolated from Tripterygium wilfordii Hook.f. (TWHF), has
been shown to possess a broad spectrum of biological profiles, including
neurotrophic and neuroprotective effects. In our study investigating the effect
and potential mechanism of triptolide on cytotoxicity of differentiated rat
pheochromocytoma cell line (the PC12 cell line is often used as a neuronal
developmental model) induced by Amyloid-Beta25-35 (Abeta25-35), we used 3-(4, 5
dimethylthiazol-2-yl)-2, 5- diphenyltetrazolium bromide (MTT) assay, flow
cytometry, Western blot, and acridine orange staining to detect whether
triptolide could inhibit Abeta25-35-induced cell apoptosis. We focused on the
potential role of the autophagy pathway in Abeta25-35-treated differentiated PC12
cells. Our experiments show that cell viability is significantly decreased, and
the apoptosis increased in Abeta25-35-treated differentiated PC12 cells.
Meanwhile, Abeta25-35 treatment increased the expression of microtubule
associated protein light chain 3 II (LC3 II), which indicates an activation of
autophagy. However, triptolide could protect differentiated PC12 cells against
Abeta25-35-induced cytotoxicity and attenuate Abeta25-35-induced differentiated
PC12 cell apoptosis. Triptolide could also suppress the level of autophagy. In
order to assess the effect of autophagy on the protective effects of triptolide
in differentiated PC12 cells treated with Abeta25-35, we used 3-Methyladenine (3
MA, an autophagy inhibitor) and rapamycin (an autophagy activator). MTT assay
showed that 3-MA elevated cell viability compared with the Abeta25-35-treated
group and rapamycin inhibits the protection of triptolide. These results suggest
that triptolide will repair the neurological damage in AD caused by deposition of
Abeta25-35 via the autophagy pathway, all of which may provide an exciting view
of the potential application of triptolide or TWHF as a future research for AD.
PMID- 26554938
TI - Inhibition of TGFbeta cell signaling for limbal explant culture in serumless,
defined xeno-free conditions.
AB - Outgrowths of limbal epithelium by explant culture are used to treat limbal stem
cell deficiency (LSCD). The explant culture medium is always complemented with
serum, a complex solution which includes TGFbeta. Since TGFbeta is a cytostatic
effector for epithelial proliferation we examined its effect on these cultures.
Limbal biopsies were set on explant culture in DMEM/F12 with 5 ng/ml EGF and
cholera toxin (ChT), ITS, and 5% FBS, henceforth SHEM or a) SHEMSB=SHEM plus
SB431542 an inhibitor of TGFbeta signaling; b) sfSHEM = SHEM with FBS replaced by
0.05% Albumax II; and c) sfSHEMSB and sfSHEMA83 = sfSHEM plus, respectively,
SB431542 or A-83-01, another TGFbeta inhibitor. After the initial outgrowths
reached 3 cm in diameter, the limbal biopsies were serially transferred up to six
times onto new inserts. Biopsy explant outgrowths were trypsinized and cell
yield, morphology and stem-cell related JC-1 exclusion (IOVS, 52:4330) were
determined by flow cytometry. Cells we plated at low density seeding to compare
relative clonal proliferative activity. The expression of three proteins whose
levels are associated with growth and differentiation states, Krt3, connexin 43
and p63 were determined by immunohistology and/or Western blot. Cell yield in
rabbit, relative to SHEM (in %) were, SHEMSB, 104 +/- 13 (p > 0.95); sfSHEM: 5 +/
3; and sfSHEMSB, 94 +/- 18 (p > 0.95). Cell size and morphology, JC1 dye
exclusion, Krt3, p63 and connexin 43 content, proliferation efficiency and the
preservation of extended proliferative potential of the serially cultured
biopsies were similar for SHEM, SHEMSB and sfSHEMSB. The only differences
observed where reduced expression of Krt3 and increased preservation of p63 in
the FBS-free medium. Removal of EGF from sfSHEMSB reduced yield by 92 +/- 6% (p <
0.05). Removal of Albumax and ChT to establish a xeno-free medium caused a small,
non-statistical decrease in growth rates. Equivalent results were observed in a
preliminary experiment in human. These results suggest that in the absence serum
endogenously generated TGFbeta act as an autocrine cytostatic agent and that
TGFbeta inhibitors allow explant culture in xeno-free, chemically defined medium.
Furthermore, the pro-growth effect of serum in limbal explant cultures may result
exclusively from neutralization of the TGFbeta cytostatic effect.
PMID- 26554939
TI - The effects of the apoE4 genotype on the developing mouse retina.
AB - Apolipoprotein E4 (apoE4), the most prevalent genetic risk factor for Alzheimer's
disease (AD), is associated with neuronal and vascular impairments. The retina,
which is as an extension of the central nervous system (CNS), is a particularly
suitable model for studying developmental and functional aspects of the neuronal
and vascular systems. This study investigates the apoE4-dependent developmental
effects on the retinal vasculature and neuronal systems and on the levels of apoE
and the vascular endothelial growth factor (VEGF) in the retina. This was
performed utilizing retinas of 4, 7, 12, and of 120-day-old human-apoE4-targeted
replacement mice and of corresponding mice that express the AD benign isoform,
apoE3. The results obtained revealed retinal vascular pathology in the apoE4
mice, which started on the early post-natal days. This includes transient
increase in vascular branching, and vascular buds which are round vascular
elements representing sprouting or retracting vessels. These effects peaked and
ended during the neonatal period. Examination of the synaptic system utilizing
the pre-synaptic marker synaptophysin revealed a significant decrease of retinal
synaptic density in the apoE4 mice, which was detectable by post-natal day 12
(P12). These morphological changes are associated with neonatal age-dependent
elevation in the apoE levels in both apoE3 and apoE4 retinas which is more
profound in the apoE4 mice and a corresponding increase in VEGF levels, which is
less profound in the apoE4 mice. Additionally, we observed lower levels of
retinal VEGF in the apoE4 mice compared to the apoE3 mice retinas on P12. These
results show that apoE4 has a transient vascular effect during retinal
development that ends in the neonatal period, which is accompanied by a synaptic
effect that begins at the end of the neonatal period. These findings show that
the apoE4 genotype can have distinct developmental effects on both the retinal
vasculature and on neurons and suggest that the vascular effects of apoE4 may be
related to reduced levels of VEGF.
PMID- 26554940
TI - Assessing the performance of novel software Strain Solution on automated
discrimination of Escherichia coli serotypes and their mixtures using matrix
assisted laser desorption ionization-time of flight mass spectrometry.
AB - O157, O26, and O111 are the most important O serogroups of enterohemorrhagic
Escherichia coli worldwide. Recently we reported a strategy for discriminating
these serotypes from the others using matrix-assisted laser desorption ionization
time of flight mass spectrometry (MALDI-TOF MS) based on the S10-spc-alpha operon
gene-encoded ribosomal protein mass spectrum (S10-GERMS) method. To realize the
fully automated identification of microorganisms at species- or serotype-level
with the concept of S10-GERMS method, novel software named Strain Solution for
MALDI-TOF MS was developed. In this study, the Strain Solution was evaluated with
a total of 45 E. coli isolates including O26, O91, O103, O111, O115, O121, O128,
O145, O157, O159, and untyped serotypes. The Strain Solution could accurately
discriminate 92% (11/12) of O157 strains, 100% (13/13) of O26 and O111 strains
from the others with three biomarkers in an automated manner. In addition, this
software could identify 2 different E. coli strains (K-12 as a non-O157
representative and O157) in mixed samples. The results suggest that Strain
Solution will be useful for species- or serotype-level classification of
microorganisms in the fields of food safety and diagnostics.
PMID- 26554941
TI - Selection of fluorescent DNA dyes for real-time LAMP with portable and simple
optics.
AB - Loop-mediated isothermal amplification (LAMP) is increasingly used for point-of
care nucleic acid based diagnostics. LAMP can be monitored in real-time by
measuring the increase in fluorescence of DNA binding dyes. However, there is
little information comparing the effect of various fluorescent dyes on signal to
noise ratio (SNR) or threshold time (Tt). This information is critical for
implementation with field deployable diagnostic tools that require small, low
power consumption, robust, and inexpensive optical components with reagent saving
low volume reactions. In this study, SNR and Tt during real-time LAMP was
evaluated with eleven fluorescent dyes. Of all dyes tested, SYTO-82, SYTO-84, and
SYTOX Orange resulted in the shortest Tt, and SYTO-81 had the widest range of
working concentrations. The optimized protocol detected 10 genome copies of
Mycobacterium tuberculosis in less than 10 min, 10 copies of Giardia intestinalis
in ~20 min, and 10 copies of Staphylococcus aureus or Salmonella enterica in less
than 15 min. Results demonstrate that reaction efficiency depends on both dye
type and concentration and the selected polymerase. The optimized protocol was
evaluated in the Gene-ZTM device, a hand-held battery operated platform
characterized via simple and low cost optics, and a multiple assay microfluidic
chip with micron volume reaction wells. Compared to the more conventional
intercalating dye (SYBR Green), reliable amplification was only observed in the
Gene-ZTM when using higher concentrations of SYTO-81.
PMID- 26554942
TI - Identification of the differential expression of serum microRNA in type 2
diabetes.
AB - The identification of disease-specific alterations in miRNA expression and the
ability to detect miRNAs in serum furnish the basis for identified potential
research value. This study was aimed to characterize the expression of miRNAs in
the serum samples from people with type 2 diabetes mellitus (T2DM) and healthy
individuals in order to detect the differential expression of miRNAs in T2DM. In
total, 582 participants were recruited. Microarray-based miRNA expression
profiles were screened in pooled serum samples from two groups (T2DM and healthy
control). The candidates' miRNAs were validated by reverse transcription
quantitative real-time polymerase chain reaction (RT-qPCR). Five significantly
different serum miRNAs were identified in T2DM patients (hsa-miR-320d, hsa-miR
4534, hsa-miR-3960, hsa-miR-451a, and hsa-miR-572) compared to those in the serum
of healthy controls. This study provided evidence that serum miRNAs had
differential expressions between healthy controls and T2DM patients. These five
differential expression miRNAs might be of help for subsequent study in T2DM.
PMID- 26554944
TI - Hybrid graphene plasmonic waveguide modulators.
AB - The unique optical and electronic properties of graphene make possible the
fabrication of novel optoelectronic devices. One of the most exciting graphene
characteristics is the tunability by gating which allows one to realize active
optical devices. While several types of graphene-based photonic modulators have
already been demonstrated, the potential of combining the versatility of graphene
with subwavelength field confinement of plasmonic waveguides remains largely
unexplored. Here we report fabrication and study of hybrid graphene-plasmonic
waveguide modulators. We consider several types of modulators and identify the
most promising one for telecom applications. The modulator working at the telecom
range is demonstrated, showing a modulation depth of >0.03 dB MUm(-1) at low
gating voltages for an active device area of just 10 MUm(2), characteristics
which are already comparable to those of silicon-based waveguide modulators while
retaining the benefit of further device miniaturization. Our proof-of-concept
results pave the way towards on-chip realization of efficient graphene-based
active plasmonic waveguide devices for optical communications.
PMID- 26554945
TI - Defining the Relationships Among Retinal Function, Layer Thickness and Visual
Behavior During Oxidative Stress-Induced Retinal Degeneration.
AB - PURPOSE: The purpose of this study was to identify how changes in retinal
structure and function correlate with visual deficits during increasing amounts
of retinal degeneration. MATERIALS AND METHODS: Retinal degeneration was induced
in adult mice by subretinal injections of paraquat (PQ) (0.2-1 mM). Retinal
anatomy and photoreceptor layer thickness were quantified by histology and
optical coherence tomography (OCT), retinal function was measured using
electroretinography (ERG), and visual behavior were measured by optokinetic
tracking, at 1 to 3 week post-injury. RESULTS: Photoreceptor layer structure,
function and visual behavior declined at a linear rate over time following PQ
induced degeneration, with the correlations between outcome measures being lowest
at mild injury levels and increasing with injury severity. Overall reductions in
visual acuity were highly correlated with declines in retinal thickness (r(2) =
0.78) and function (r(2) = 0.67) and retinal thickness correlated with
photoreceptor function (r(2) = 0.72). ERG a-wave scotopic amplitudes showed a
stronger correspondence to retinal structure and visual behavior than b-waves.
CONCLUSIONS: Measurements of photoreceptor loss at the structural and functional
levels showed good correspondence with degeneration-associated changes in visual
behavior after oxidative stress injury. The results provide new insight about the
relative kinetics of measurements of retinal degeneration induced by oxidative
stress, which could guide the choice of optimal outcome measurements for other
retinal diseases.
PMID- 26554946
TI - Development of Inhibitors of Protein-protein Interactions through REPLACE:
Application to the Design and Development Non-ATP Competitive CDK Inhibitors.
AB - REPLACE is a unique strategy developed to more effectively target protein-protein
interactions (PPIs). It aims to expand available drug target space by providing
improved methodology for the identification of inhibitors for such binding sites
and which represent the majority of potential drug targets. The main goal of this
paper is to provide a methodological overview of the use and application of the
REPLACE strategy which involves computational and synthetic chemistry approaches.
REPLACE is exemplified through its application to the development of non-ATP
competitive cyclin dependent kinases (CDK) inhibitors as anti-tumor therapeutics.
CDKs are frequently deregulated in cancer and hence are considered as important
targets for drug development. Inhibition of CDK2/cyclin A in S phase has been
reported to promote selective apoptosis of cancer cells in a p53 independent
manner through the E2F1 pathway. Targeting the protein-protein interaction at the
cyclin binding groove (CBG) is an approach which will allow the specific
inhibition of cell cycle over transcriptional CDKs. The CBG is recognized by a
consensus sequence derived from CDK substrates and tumor suppressor proteins
termed the cyclin binding motif (CBM). The CBM has previously been optimized to
an octapeptide from p21Waf (HAKRRIF) and then further truncated to a pentapeptide
retaining sufficient activity (RRLIF). Peptides in general are not cell
permeable, are metabolically unstable and therefore the REPLACE (REplacement with
Partial Ligand Alternatives through Computational Enrichment) strategy has been
applied in order to generate more drug-like inhibitors. The strategy begins with
the design of Fragment ligated inhibitory peptides (FLIPs) that selectively
inhibit cell cycle CDK/cyclin complexes. FLIPs were generated by iteratively
replacing residues of HAKRRLIF/RRLIF with fragment like small molecules (capping
groups), starting from the N-terminus (Ncaps), followed by replacement on the C
terminus. These compounds are starting points for the generation of non-ATP
competitive CDK inhibitors as anti-tumor therapeutics.
PMID- 26554947
TI - The adductor magnus "mini-hamstring": MRI appearance and potential pitfalls.
AB - OBJECTIVE: To examine the anatomic MRI characteristics of the adductor magnus
mini hamstring (AMMH) and explore its involvement in cases of hamstring avulsion.
MATERIALS AND METHODS: An IRB-approved retrospective review of patients
undergoing "hamstring protocol" MRI between March 2009 and June 2014 was
performed. Two musculoskeletal radiologists recorded multiple AMMH anatomic
characteristics and involvement in cases of hamstring avulsion. RESULTS: Seventy
six AMMHs were analyzed in 66 patients [35 females and 31 males, mean age 49.3 +/
15.2 years (range 17-81)]. Eleven percent of AMMHs were poorly visualized, 51 %
visualized, and 37 % well visualized. Seven percent demonstrated round, 73 %
ovoid, and 21 % flat/lenticular tendon morphologies. Most (88 %) demonstrated
typical origins. Average cross-sectional area (CSA) was 22.4 +/- 10.6 mm2 (range
6-56), diameter was 7.2 +/- 2.5 mm (range 2.9-15), medial distance from the
semimembranosus tendon was 7.5 +/- 2.5 mm (range 3-14), and tendon length was 6.8
+/- 3.3 cm (range 1.2-14.1). There was no gender difference in AMMH anatomic
measurements or correlation between age and CSA or diameter. Of 17 complete
hamstring avulsion cases, the AMMH was intact in 13, partially torn in 3, and
completely torn in 1. CONCLUSION: The AMMH is a constant finding with variable
anatomic characteristics. It is visualized or well visualized by MRI in 88 % of
cases and is a sizable tendon located in close proximity to the semimembranosus
tendon. Because it is uncommonly completely torn (6 %) in cases of complete
hamstring avulsion, radiologists should be aware of its presence and appearance
to avoid diagnostic confusion.
PMID- 26554948
TI - Postpartum sacral insufficiency fractures.
AB - Postpartum sacral insufficiency fracture is an uncommon occurrence that is often
under-diagnosed because its symptoms of low back, buttock and groin pains may
initially be attributed to physiologic biomechanical changes caused by pregnancy
or to intervertebral disc disease. We present a case of bilateral sacral
insufficiency fractures in a 37-year-old postpartum woman with osteopenic bone
mineral density confirmed by dual energy X-ray absorptiometry. The symptoms were
initially suspected to be of discogenic cause, and the fractures were
incidentally appreciated at the edge of a lumbar spine magnetic resonance image.
Therefore, it is important to keep in mind this potential diagnosis when
examining imaging studies of postpartum patients. For women who present other
risk factors of osteoporosis, imaging of the entire sacrum should be part of the
imaging studies. If sacral stress fractures are diagnosed, further evaluation for
bone mineral density and underlying metabolic bone disease is recommended.
PMID- 26554949
TI - Selenium Deficiency Deteriorate the Inflammation of S. aureus Infection via
Regulating NF-kappaB and PPAR-gamma in Mammary Gland of Mice.
AB - Selenium (Se) is an essential micronutrient contributing to a strong immune
system for the prevention of infections and diseases in humans and animals.
Dietary Se regulates the immune status and mediates anti-inflammatory action.
Mastitis is an inflammation in the mammary gland typically induced through the
major pathogen S. aureus. The aim of the present study was to determine the
regulating effect of Se on S. aureus-induced inflammation using a mouse mastitis
model. Immunofluorescence staining was used to detect histopathological injury.
ELISA was used to detect cytokine expression, while protein and mRNA levels were
analyzed through Western blotting and qPCR analysis, respectively. The results
showed that Se deficiency increased inflammatory lesions in individuals with S.
aureus infection in the mammary gland. The NO levels showed a significant
increase in Se-deficient mice with S. aureus mastitis. Se deficiency accelerated
the production of pro-inflammatory factors and reduced IL-10 expression.
Furthermore, the results of the present study showed that the regulating effect
of Se on S. aureus-induced mastitis was associated with the NF-kappaB pathway.
Indeed, Se deficiency suppressed PPAR-gamma activity and promoted NF-kappaB
pathway activation. Thus, Se supplementation could improve the effect on PPAR
gamma and NF-kappaB. These results suggest that Se deficiency could aggravate the
inflammatory injury resulting from S. aureus-induced mastitis. Moreover, the
results of the present study contribute to the development of new prevention or
treatment methods for S. aureus-induced mastitis and other infectious diseases.
PMID- 26554950
TI - The Protective Effects of Different Sources of Maternal Selenium on Oxidative
Stressed Chick Embryo Liver.
AB - The experiment was conducted to investigate the protective effects of different
sources of maternal selenium (Se) on oxidative stressed chick embryo. A total of
270 Lingnan Yellow broiler breeders were randomly allocated into three treatments
with five replicates for 18 birds each. Breeders were fed with basal diet (BD)
including 0.04 mg/kg Se or BD supplemented with sodium selenite (SS) or
selenomethionine (SM) at a level of 0.15 mg Se/kg. The rearing experiment lasted
for 8 weeks after an 8-week pre-test. Twenty eggs were collected from each
replicate during the last 10-day, then incubated in a commercial incubator. On
embryonic 17th, fertile eggs were transferred into 39.5 degrees C temperature
stimulation for 6 h. Afterward, five eggs were randomly selected from each
replicate for collecting chick embryo sample. The results showed that Se
supplementation in the diet of breeders resulted in lower reactive oxygen species
(ROS), heat shock protein 70 (HSP70), malondialdehyde (MDA), carbonyl and 8
hydroxydeoxyguanosine (8-OHdG) concentrations and higher glutathione peroxidase
(GPx), total superoxide dismutase (T-SOD), and catalase (CAT) activities in heat
stress treated chick embryo (P < 0.05), and ROS, MDA, carbonyl, 8-OHdG
concentrations in SM treatment were lower than those in SS treatment (P < 0.05).
Se supplementation elevated cellular glutathione peroxidase (GPx1) mRNA level and
activity, cytoplasmic thioredoxin reductase (TrxR1) activity and selenoprotein P
(SelP) mRNA and protein level (P < 0.05), and maternal SM showed a higher value
than maternal SS in upregulating GPx1, TrxR1, and SelP mRNA levels as well as
GPx1 and TrxR1 activities or SelP protein level (P < 0.05). This study indicated
that maternal Se can enhance antioxidative capacity and reduce ROS concentration
and oxidative damage by upregulating the expression of antioxidative
selenoprotein, and maternal SM is superior to SS in heat stress treated chick
embryo.
PMID- 26554951
TI - Toxicity of Nano-Titanium Dioxide (TiO2-NP) Through Various Routes of Exposure: a
Review.
AB - Nano-titanium dioxide (TiO2) is one of the most commonly used materials being
synthesized for use as one of the top five nanoparticles. Due to the extensive
application of TiO2 nanoparticles and their inclusion in many commercial
products, the increased exposure of human beings to nanoparticles is possible.
This exposure could be routed via dermal penetration, inhalation and oral
ingestion or intravenous injection. Therefore, regular evaluation of their
potential toxicity and distribution in the bodies of exposed individuals is
essential. Keeping in view the potential health hazards of TiO2 nanoparticles for
humans, we reviewed the research articles about studies performed on rats or
other mammals as animal models. Most of these studies utilized the dermal or skin
and the pulmonary exposures as the primary routes of toxicity. It was interesting
that only very few studies revealed that the TiO2 nanoparticles could penetrate
through the skin and translocate to other tissues, while many other studies
demonstrated that no penetration or translocation could happen through the skin.
Conversely, the TiO2 nanoparticles that entered through the pulmonary route were
translocated to the brain or the systemic circulation from where these reached
other organs like the kidney, liver, etc. In most studies, TiO2 nanoparticles
appeared to have caused oxidative stress, histopathological alterations,
carcinogenesis, genotoxicity and immune disruption. Therefore, the use of such
materials in humans must be either avoided or strictly managed to minimise risks
for human health in various situations.
PMID- 26554952
TI - So much work to do to raise our game on health.
PMID- 26554953
TI - Unions call for clarity following dispute over junior doctors' pay.
PMID- 26554955
TI - Benefits of 'taste therapy' outlined.
PMID- 26554954
TI - Poignant year for nursing as RCN remembers heroic sacrifices.
PMID- 26554956
TI - 'Safe' staffing law for Wales expected by early 2016.
PMID- 26554957
TI - 'Women are more realistic than men about dementia'.
PMID- 26554958
TI - International comparison of health systems casts UK in a dismal light.
PMID- 26554959
TI - Activists fight Trade Union Bill.
PMID- 26554960
TI - Safety alert issued on the use of hoists.
PMID- 26554963
TI - Student raises L 10,000 after pioneering surgery.
PMID- 26554961
TI - RCN Wales director calls for close monitoring of 'ambitious targets'.
PMID- 26554964
TI - Report reveals 85% of paediatric ICUs have staffing levels shortfall.
PMID- 26554965
TI - Learning disability nurses prepare to face the community challenge.
PMID- 26554971
TI - Dementia and frailty.
PMID- 26554972
TI - Testing times for BRCA gene carriers.
PMID- 26554985
TI - Big White Wall app.
PMID- 26554973
TI - A helping hand for diabetes care.
PMID- 26554986
TI - Don't complicate the nurse's role in delivering medicines to patients.
PMID- 26554987
TI - Achievements in dementia care can be celebrated--and shared.
PMID- 26554988
TI - Your chance to have a say on the future of nursing education.
PMID- 26554989
TI - Proposed changes mean reducing skills, not raising the bar.
PMID- 26554990
TI - Nominate your everyday heroes in health care or the community.
PMID- 26554993
TI - Fit for purpose--and for my revalidation requirements.
PMID- 26554995
TI - How to assess deteriorating patients.
PMID- 26554996
TI - Person-centred communication in dementia care.
AB - Communication involves the exchange of information between at least two people, a
giver and a receiver. If left unaddressed, communication difficulties may have a
profound effect on quality of life and quality of care. Poor communication may
result in high levels of anxiety and depression for the person living with
dementia. Communication breakdown contributes to high rates of depression in
spouses of people living with dementia and is a major problem for family and
carers. Positive and supportive communication is essential to ensure good quality
dementia care. A person-centred approach focuses on supporting a person to use
and retain their skills and abilities. Person-centred communication involves a
commitment to including the perspective of the person living with dementia, and
an understanding of who the person is, their life history and preferences.
PMID- 26554997
TI - Assessing fitness to detain in police custody.
AB - This article outlines the role of the custody nurse in assessing an individual's
fitness to be detained. It addresses all aspects of the assessment, including
consent, responsibilities and the structure of the clinical examination. It
explores ways to ensure that the detainee's rights and welfare are maintained and
their healthcare needs are met. It offers guidance on preparing a care plan for
detained individuals that the police can implement.
PMID- 26554998
TI - Managing patients with encephalitis.
AB - This article provides an overview of encephalitis and addresses its diagnosis,
some of the common presenting signs and symptoms, and the different aspects of
nursing care required for these patients. In particular, it addresses how to
explain encephalitis to the patient's relatives, the rehabilitation needs of
these patients, and important aspects of discharge planning. Tests that are
necessary for diagnosis in patients with suspected encephalitis and the
importance of these are explained.
PMID- 26554999
TI - Surgical outcomes.
PMID- 26555000
TI - It's better together.
PMID- 26555001
TI - Why I now take the global view.
PMID- 26555003
TI - From student days to your first shift in charge.
PMID- 26555004
TI - Decisional Balance: Alcohol Decisional Balance Intervention for Heavy Drinking
Undergraduates.
AB - BACKGROUND: This study evaluated a decisional balance intervention among heavy
drinking undergraduates and compared a nonweighted decisional balance proportion
(DBP; Collins, Carey, & Otto, 2009) to a participant-weighted DBP with weights
based on relative importance of items. We expected: (1) the intervention to
decrease drinking compared to control; (2) the weighted intervention to be more
effective compared to the nonweighted or control in reducing drinking; and (3)
intervention efficacy to be moderated by initial DBP. METHOD: Participants (N =
162, Mean age = 24.37, SD = 6.81, 27% male) were randomly assigned to an alcohol
intervention wherein they were either asked to assign weights of importance to
pros and cons (weighted intervention), or not (nonweighted intervention), or to
control. Participants completed web-based questionnaires at baseline and again
during a one month follow-up assessment. RESULTS: Consistent with expectations,
the nonweighted intervention was associated with reduced follow-up weekly
drinking, and the weighted intervention was associated with reductions in
drinking frequency. Results further indicated that initial decisional balance did
not moderate intervention efficacy. DISCUSSION: Findings suggest that the
decisional balance procedure can reduce drinking but there was not compelling
evidence for the addition of weights. This study lays the groundwork for
enhancing future interventions by increasing empirical knowledge of the role
motivation plays in heavy alcohol use.
PMID- 26555005
TI - Nanoparticle-mediated radiofrequency capacitive hyperthermia: A phantom study
with magnetic resonance thermometry.
AB - In hyperthermia, focusing heat generation on tumour tissues and precisely
monitoring the temperature around the tumour region is important. To focus heat
generation in radiofrequency (RF) capacitive heating, magnetic nanoparticles
suspended in sodium carboxymethyl cellulose (CMC) solution were used, based on
the hypothesis that the nanoparticle suspension would elevate electrical
conductivity and RF current density at the nanoparticle-populated region. A
tissue-mimicking phantom with compartments with and without nanoparticles was
made for RF capacitive heating experiments. An FDTD model of the phantom was
developed to simulate temperature increases at the phantom. To monitor
temperature inside the phantom, MR thermometry was performed intermittently
during RF heating inside a 3Tesla MRI magnet bore. FDTD simulation on the phantom
model was performed in two steps: electromagnetic simulation to compute specific
absorption rate and thermal simulation to compute temperature changes.
Experimental temperature maps were similar to simulated temperature maps,
demonstrating that nanoparticle-populated regions drew more heat than background
regions. Nanoparticle-mediated RF heating could mitigate concerns about normal
tissue death during RF capacitive hyperthermia.
PMID- 26555006
TI - PTEN and PI3K/AKT in non-small-cell lung cancer.
AB - Non-small-cell lung cancer (NSCLC) is the leading cause of cancer deaths
worldwide. In the last years, the identification of activating EGFR mutations,
conferring increased sensitivity and disease response to tyrosine kinase
inhibitors, has changed the prospect of NSCLC patients. The PTEN/PI3K/AKT pathway
regulates multiple cellular functions, including cell growth, differentiation,
proliferation, survival, motility, invasion and intracellular trafficking.
Alterations in this pathway, mainly PTEN inactivation, have been associated with
resistance to EGFR-tyrosine kinase inhibitor therapy and lower survival in NSCLC
patients. In this review, we will briefly discuss the main PTEN/PI3K/AKT pathway
alterations found in NSCLC, as well as the cell processes regulated by
PTEN/PI3K/AKT leading to tumorigenesis.
PMID- 26555007
TI - Imposex in the dogwhelk (Nucella lapillus): 22-year monitoring around England and
Wales.
AB - Six imposex surveys in the dogwhelk (Nucella lapillus) have been conducted over
the past two decades to assess legislation effectiveness controlling the use of
tri-butyl tin (TBT) by the maritime shipping industry. This study firstly
analysed the results of the 2014 survey and secondly carried out a trend
assessment of the same 88 sampled sites between 1997 and 2014 of which 65 showed
statistically significant reductions. To highlight the magnitude of change, the
Vas Deferens Sequence stages (VDS) of the same 56 sites sampled in 1997 and 2010
showed that the Vas Deferens Sequence Index (VDSI) reduced statistically
significantly from 2.89 and 0.42, respectively. These data confirm that the
legislation enacted, latterly through the International Maritime Organization
(IMO) during 2003 to 2008, has been effective in progressively reducing the
impact of TBT in the marine environment. Nevertheless, the 2014 results show that
two of 18 sampled sites (Gurnard Bay and St. Mawes) are still above the
Ecotoxicological Assessment Criteria (EAC) derived for TBT (VDSI <= 2).
PMID- 26555008
TI - Copper and cadmium in bottom sediments dredged from Wyscigi Pond, Warsaw, Poland-
contamination and bioaccumulation study.
AB - This research covered an evaluation of the copper and cadmium concentrations in
bottom sediments dredged from one of the ponds in Warsaw. The samples of
sediments, soil, and plants were analyzed in terms of Cu and Cd content. The
research concerned the heap of dredged bottom sediments from Wyscigi Pond,
Warsaw, Poland. Two boreholes were made to obtain sediment cores with depths of A
162.5 cm and B 190.0 cm. The cores were divided into 10 sub-samples with a
thickness of about 15-20 cm. A control sample of soil was taken from the horse
racecourse several hundred meters away from the heap. The vegetation was sampled
directly from the heap. The predominating plants were tested: Urtica dioica,
Glechoma hederacea, Euonymus verrucosus, and Drepanocladus aduncus. A control
sample of U. dioica taken outside of the heap was also tested. The commercial
PHYTOTOXKIT microbiotest was applied to evaluate the influence of heavy metal
contaminated sediments (used as soil) on germination and growth of the chosen
test plants. The analyses of cadmium and copper concentrations revealed that the
metal concentration in sediments was diverse at different depths of sampling,
probably reflecting their concentration in stored layers of sediments. Moreover,
the metal content in core A was four to five times lower than that in core B,
which reveals heterogeneity of the sediments in the tested heap. In core A, the
copper concentration ranged from 4.7 to 13.4 mg/kg d.w. (average 8.06 +/- 0.71
mg/kg d.w.), while in core B, it ranged from 9.2 to 82.1 mg/kg d.w. (average
38.56 +/- 2.6 mg/kg d.w.). One of the results of the heavy metal presence in
soils is their bioaccumulation in plants. Comparing plant growth, more intensive
growth of roots was observed in the case of plants growing on the control
(reference) soil than those growing on sediments. The intensive development of
both primary and lateral roots was noticed. During this early growth, metal
accumulation in plants occurred.
PMID- 26555009
TI - Human health risks due to heavy metals through consumption of wild mushrooms from
Macheke forest, Rail Block forest and Muganyi communal lands in Zimbabwe.
AB - The levels and sources of toxic heavy metals in Amanita loosii (AL) and
Cantharellus floridulus (CF) mushrooms and their substrates were studied in some
parts of Zimbabwe, Rail Block forest (mining town), Macheke forest (commercial
farming), and Muganyi communal lands. The mushrooms and their associated soils
were acid digested prior to Al, Pb, and Zn determination by inductively coupled
plasma optical emission spectroscopy. The transfer factors, mushrooms-soil metal
correlation coefficients, daily intake rates, weekly intake rates, and target
hazard quotients were calculated for each metal. The concentration of Zn, Al and
Pb in mushrooms ranged from 1.045 +/- 0.028 to 7.568 +/- 0.322, 0.025 +/- 0.001
to 0.654 +/- 0.005, and a maximum of 5.78 +/- 0.31 mg/kg, respectively, in all
the three sampling areas. The mean heavy metal concentrations among the three
sampling areas decreased as follows: Rail Block forest (mining town) > Macheke
forest (commercial farming) > Muganyi communal lands for the concentrations in
both mushrooms and total concentration in their substrates. C. floridulus
accumulated higher concentrations of Al, Zn, and Pb than A. loosii at each site
under study. Zn in both AL and CF (Muganyi communal lands) and Pb in AL (Rail
Block forest) were absorbed only from the soils, while other sources of
contamination were involved elsewhere. The consumption of 300 g of fresh A.
loosii and C. floridulus per day by children less than 16 kg harvested from Rail
Block forest would cause health problems, while mushrooms from Macheke Forest and
Muganyi communal lands were found to be safe for human consumption. Due to non
biodegradability and bioaccumulation abilities of heavy metals, people are
discouraged to consume A. loosii and C. floridulus from Rail Block forest for
they have significant levels of heavy metals compared to those from Macheke
forest and Muganyi communal lands.
PMID- 26555010
TI - Time to Death after Terminal Withdrawal of Mechanical Ventilation: Specific
Respiratory and Physiologic Parameters May Inform Physician Predictions.
AB - BACKGROUND: Discussions about withdrawal of life-sustaining therapies often
include family members of critically ill patients. These conversations should
address essential components of the dying process, including expected time to
death after withdrawal. OBJECTIVES: The study objective was to aid physician
communication about the dying process by identifying predictors of time to death
after terminal withdrawal of mechanical ventilation. METHODS: We conducted an
observational analysis from a single-center, before-after evaluation of an
intervention to improve palliative care. We studied 330 patients who died after
terminal withdrawal of mechanical ventilation. Predictors included patient
demographics, laboratory, respiratory, and physiologic variables, and medication
use. RESULTS: The median time to death for the entire cohort was 0.58 hours
(interquartile range (IQR) 0.22-2.25 hours) after withdrawal of mechanical
ventilation. Using Cox regression, independent predictors of shorter time to
death included higher positive end-expiratory pressure (per 1 cm H2O hazard ratio
[HR], 1.07; 95% CI 1.04-1.11); higher static pressure (per 1 cm H2O HR, 1.03; 95%
CI 1.01-1.04); extubation prior to death (HR, 1.41; 95% CI 1.06-1.86); and
presence of diabetes (HR, 1.75; 95% CI 1.25-2.44). Higher noninvasive mean
arterial pressure predicted longer time to death (per 1 mmHg HR, 0.98; 95% CI
0.97-0.99). CONCLUSIONS: Comorbid illness and key respiratory and physiologic
parameters may inform physician predictions of time to death after withdrawal of
mechanical ventilation. An understanding of the predictors of time to death may
facilitate discussions with family members of dying patients and improve
communication about end-of-life care.
PMID- 26555011
TI - Sugar-feeding status alters biting midge photoattraction.
AB - The biting midge Culicoides sonorensis Wirth and Jones (Diptera: Ceratopogonidae)
transmits pathogens to both livestock and wildlife. Biting midge surveillance
relies heavily on light traps for collection; however, little is known about the
light spectra preferences of C. sonorensis midges. A light assay arena was
constructed and light-emitting diodes (LEDs) of various light spectra were used
as light sources to evaluate midge photoattraction. A comparison of responses to
light spectra indicated the highest proportions of C. sonorensis were attracted
to ultraviolet (UV) light and that midges differentiated 10-nm differences in
wavelength. Stronger intensities of UV light resulted in greater attraction.
Midges exhibited both sugar-seeking and escape behaviours under different
conditions of sugar supplementation before and during the experiment. These
behaviours occurred with lights of 355 nm and 365 nm in wavelength. Based on the
results of this study, the attraction of C. sonorensis to light traps can be
improved through the use of bright LEDs at 355 nm or 365 nm.
PMID- 26555012
TI - BMP9/p38 MAPK is essential for the antiproliferative effect of resveratrol on
human colon cancer.
AB - Colon cancer is one of the most common malignancies of the digestive system.
Although more effective therapeutic strategies have been developed in the last
decades, there is still a great clinical need to explore new treatment regimens
for colon cancer due to the undesirable prognosis. In the present study, we
investigated the anticancer activity of resveratrol (Res) in human colon cancer
cells, and the possible mechanism underlying this effect. We employed crystal
violet staining, flow cytometry and western blotting to test the
antiproliferation- and apoptosis-inducing effects of Res in LoVo cells. A
xenograft tumor model was also introduced to confirm the in vivo anticancer
effect of Res. Using PCR, western blotting, a recombinant adenovirus and a
specific inhibitor of p38 MAPK or bone morphogenetic protein receptor (BMPR) to
explore the possible molecular mechanisms. We found that Res markedly inhibited
the proliferation and promoted the apoptosis of LoVo cells, and suppressed the in
vivo tumor growth of colon cancer. Res substantially upregulated the expression
of bone morphogenetic protein 9 (BMP9). Exogenous expression of BMP9 enhanced the
anticancer effect of Res in LoVo cells, while BMP9 knockdown partly reduced this
activity. Res increased the activation of p38 MAPK, which was enhanced by the
exogenous expression of BMP9. The anticancer activity of Res, or Res combined
with BMP9, was reduced partly by the p38 MAPK inhibitor. The BMPR inhibitor
almost abolished the Res-induced activation of p38 MAPK, and attenuated the
antiproliferative effect of Res in the LoVo cells. Our findings strongly suggest
that the anticancer effect of Res in human colon cancer cells may be partly
mediated by upregulation of BMP9 to activate p38 MAPK in a BMPR-dependent manner.
PMID- 26555013
TI - Cost-Effectiveness Model for Evaluating New Diagnostic Tests in the Evaluation of
Patients With Inflammatory Arthritis at Risk of Having Rheumatoid Arthritis.
AB - OBJECTIVE: New opportunities have emerged for early diagnosis with the arrival of
new technologies that assess the impact of genomics, proteomics, metabolomics,
and cytomics on rheumatoid arthritis (RA) risk. This early health technology
assessment study assesses the short-term cost effectiveness of 4 add-on
diagnostic tests in early inflammatory arthritis patients at risk of RA. METHODS:
We modeled 4 diagnostic add-on tests to the American College of
Rheumatology/European League Against Rheumatism 2010 RA classification criteria,
covering the first year after diagnosis, using Rotterdam Early Arthritis Cohort
data. Sensitivity, specificity, and costs were assigned to the magnetic resonance
imaging of hands and feet (sensitivity 0.90, specificity 0.60, cost ?756),
interleukin-6 (IL-6) serum level test (sensitivity 0.70, specificity 0.53, cost
?50), B cell-related gene expression (sensitivity 0.60, specificity 0.90, cost
?150), and gene assay for RA (sensitivity 0.40, specificity 0.85, cost ?750),
based on literature and expert opinion. Outcomes were evaluated using the
unweighted diagnostic net benefit (UDNB) and the incremental cost-effectiveness
ratio (ICER) in all patients (n = 552), intermediate-risk patients (n = 263), and
seronegative patients (n = 329). RESULTS: The highest UDNB was found when using
the B cell assay in intermediate-risk patients (43%, ICER ?5,314), while the IL-6
test in seronegative patients resulted in the lowest UDNB (-11.4%, ICER ?7,650).
If a threshold of ?20,000 is applied, the B cell assay would be preferred over
the other alternatives, with a 78% probability of being cost effective for
intermediate-risk patients, 57% for all patients, and 73% for seronegative
patients. CONCLUSION: Diagnostic add-on tests favoring specificity over
sensitivity with a headroom less than ?370 per test are cost effective, with the
largest diagnostic benefit occurring in intermediate-risk patients.
PMID- 26555014
TI - Early Viral Entry Assays for the Identification and Evaluation of Antiviral
Compounds.
AB - Cell-based systems are useful for discovering antiviral agents. Dissecting the
viral life cycle, particularly the early entry stages, allows a mechanistic
approach to identify and evaluate antiviral agents that target specific steps of
the viral entry. In this report, the methods of examining viral inactivation,
viral attachment, and viral entry/fusion as antiviral assays for such purposes
are described, using hepatitis C virus as a model. These assays should be useful
for discovering novel antagonists/inhibitors to early viral entry and help expand
the scope of candidate antiviral agents for further drug development.
PMID- 26555016
TI - The NIH Big Data to Knowledge (BD2K) initiative.
PMID- 26555017
TI - Center of excellence for mobile sensor data-to-knowledge (MD2K).
AB - Mobile sensor data-to-knowledge (MD2K) was chosen as one of 11 Big Data Centers
of Excellence by the National Institutes of Health, as part of its Big Data-to
Knowledge initiative. MD2K is developing innovative tools to streamline the
collection, integration, management, visualization, analysis, and interpretation
of health data generated by mobile and wearable sensors. The goal of the big data
solutions being developed by MD2K is to reliably quantify physical, biological,
behavioral, social, and environmental factors that contribute to health and
disease risk. The research conducted by MD2K is targeted at improving health
through early detection of adverse health events and by facilitating prevention.
MD2K will make its tools, software, and training materials widely available and
will also organize workshops and seminars to encourage their use by researchers
and clinicians.
PMID- 26555019
TI - Moving beyond the physician's EHR.
PMID- 26555020
TI - A2 /A2 B to B Renal Transplantation: Past, Present, and Future Directions.
AB - One component of the new national kidney allocation system (KAS) in the United
States that was implemented on December 4, 2014, was the allocation of kidneys
from A2 and A2 B (A, non-A1 and AB, non-A1 B) deceased donors into blood group B
candidates (A2 /A2 B -> B). In so far as this is an important component of the
new KAS that has the potential to further increase the access to transplantation
for blood group B candidates on the waiting list, most of whom are minority
candidates, we will review the body of evidence and historical perspectives that
led to its inclusion in the new KAS. This review will also describe prospects for
more widespread use of A2 /A2 B -> B transplantation and a novel mechanism of
humoral immunosuppression in B patients before and after transplantation with an
A2 or A2 B kidney.
PMID- 26555018
TI - Trends in biomedical informatics: automated topic analysis of JAMIA articles.
AB - Biomedical Informatics is a growing interdisciplinary field in which research
topics and citation trends have been evolving rapidly in recent years. To analyze
these data in a fast, reproducible manner, automation of certain processes is
needed. JAMIA is a "generalist" journal for biomedical informatics. Its articles
reflect the wide range of topics in informatics. In this study, we retrieved
Medical Subject Headings (MeSH) terms and citations of JAMIA articles published
between 2009 and 2014. We use tensors (i.e., multidimensional arrays) to
represent the interaction among topics, time and citations, and applied tensor
decomposition to automate the analysis. The trends represented by tensors were
then carefully interpreted and the results were compared with previous findings
based on manual topic analysis. A list of most cited JAMIA articles, their
topics, and publication trends over recent years is presented. The analyses
confirmed previous studies and showed that, from 2012 to 2014, the number of
articles related to MeSH terms Methods, Organization & Administration, and
Algorithms increased significantly both in number of publications and citations.
Citation trends varied widely by topic, with Natural Language Processing having a
large number of citations in particular years, and Medical Record Systems,
Computerized remaining a very popular topic in all years.
PMID- 26555021
TI - Degradation of host ubiquitin E3 ligase Itch by human cytomegalovirus UL42.
AB - Human cytomegalovirus (HCMV) UL42 is classified as a CMV-specific but function
unknown gene. According to its amino acid sequence, UL42 has a C-terminal
hydrophobic domain predicted to be a transmembrane domain and two PPxY (PY)
motifs in its N terminus, but no N-terminal signal peptide. These features
resemble those of herpes simplex virus (HSV) UL56 and varicella-zoster virus
ORF0. HCMV UL42 interacts with Itch, a member of the Nedd4 family of ubiquitin E3
ligases, through its PY motifs as observed in HSV UL56. HCMV UL42 was partially
colocalized with the trans-Golgi network and cytoplasmic vesicles in transfected
fibroblasts. Itch was colocalized with HCMV UL42 and accumulated in a fine
speckled pattern in the cytoplasm. UL42 induced the ubiquitination and
degradation of Itch in HCMV-infected fibroblasts, and was partially colocalized
with p62, a ubiquitin-binding protein, and CD63, a marker of lysosome and
multivesicular bodies. The electrophoretic pattern of Itch was altered by
infection with HCMV and the amount of Itch was increased by the deletion of UL42.
Our findings suggest that the regulatory function of the Nedd4 E3 ligase family
and the structural features of HCMV UL42 are conserved characteristics in
herpesviruses.
PMID- 26555022
TI - Event-related potential correlates of processing alcohol-related pictures in
young adult binge drinkers.
AB - BACKGROUND: Alcohol-dependent individuals exhibit dissociable event-related
potential (ERP) responses to alcohol-related cues. Fewer studies have examined if
similar effects can be found in non-dependent young adults who binge drink.
OBJECTIVES: To delineate the neurocognitive correlates of inhibiting behavioral
responses to alcoholic and non-alcoholic beverage cues in social drinkers with
differing numbers of binge episodes and instances of intoxication. METHODS: ERP
data were acquired while 50 participants performed a Go/No-go paradigm consisting
of shapes and pictures of alcoholic and non-alcoholic beverages. Behavioral and
self-report data were also collected. RESULTS: We grouped participants into those
with: 0 binge episodes, 1 <= 5 binge episodes, and >= 8 episodes. Across
participants, alcohol images elicited reduced N200 components and a more positive
late slow-wave than non-alcoholic images. While the group with the higher number
of binge episodes exhibited altered N100 amplitudes and both groups of binge
drinkers exhibited minor differences in P300 topography, these effects were not
specifically related to processing alcohol images. However, participants with
greater instances of intoxication exhibited an enhanced N200 to alcoholic stimuli
compared to individuals with fewer instances of intoxication. This effect was
correlated with subjective ratings of alcoholic beverage images. CONCLUSIONS:
These data suggest that binge drinking, particularly more severe binge drinking,
is associated with functional modifications of cortical systems related to
attentional control. Further, the data suggest that young adult social drinkers
with riskier patterns of alcohol use (greater instances of intoxication) require
the allocation of increased cortical resources to successfully inhibit responses
to alcohol-related cues.
PMID- 26555023
TI - Cobalt-Catalyzed Alkenylzincation of Unfunctionalized Alkynes.
AB - While transition metal catalyzed addition reactions of arylmetal reagents to
unfunctionalized alkynes have been extensively developed in the last decade,
analogous reactions using alkenylmetal reagents remain rare regardless of their
potential utility for the synthesis of unsymmetrical 1,3-dienes. Reported herein
is the development of a cobalt/diphosphine catalyst which promotes efficient and
stereoselective addition of alkenylzinc reagents to unfunctionalized internal
alkynes. The resulting dienylzinc species serve as versatile intermediates for
further synthetic transformations.
PMID- 26555024
TI - How Long Is Safe? Setting the Cutoff for Uncomplicated Third Stage Length: A
Retrospective Case-Control Study.
AB - OBJECTIVE: The aim of our study was to determine the optimal time for manual
placental removal in an uncomplicated third stage while taking into consideration
the risk for blood transfusion. Risk factors for postpartum blood transfusions
were studied. METHODS: Computerized data of all vaginal deliveries at our labor
and delivery unit from 2010 to 2014 were obtained. Cases of complete and
spontaneous placental separation up to 60 minutes into the third stage of labor
were extracted for analysis. Patient demographics, obstetrical history, delivery
course, and outcome were assessed as well as blood product requirements during
the postpartum period. Receiver-operating curves (ROC) for prediction of blood
transfusion during the third stage were calculated and risk factors were
assessed. RESULTS: 31,226 vaginal deliveries occurred during the study period and
28,586 deliveries culminated with complete and spontaneous placental separation,
25,160 of which met inclusion criteria. Independent risk factors for blood
transfusions were primiparity, longer second and third stage length, labor
induction, and maternal intrapartum fever. ROC curves showed that the optimal
cutoff for the prediction of blood transfusions was 17 minutes into the third
stage of labor. Waiting more than 30 minutes for placental separation increases
the risk for blood transfusion more than threefold. CONCLUSIONS: A third stage
longer than 17 minutes is associated with an increased risk for blood transfusion
postpartum. After more than 30 minutes, the risk for blood transfusions increases
more than threefold.
PMID- 26555026
TI - Editorial: Pain Relief in Osteoarthritis: The Potential for a Perfect Storm.
PMID- 26555025
TI - Validation of methods for assessing cardiovascular disease using electronic
health data in a cohort of Veterans with diabetes.
AB - BACKGROUND: Electronic health data are routinely used to conduct studies of
cardiovascular disease in the setting of the Veterans Health Administration (VA).
Previous studies have estimated the positive predictive value (PPV) of
International Classification of Disease, Ninth Revision (ICD-9) codes for acute
myocardial infarction (MI), but the sensitivity of these codes for all true
events and the accuracy of coding algorithms for prevalent disease status at
baseline are largely unknown. METHODS: We randomly sampled 180 Veterans from the
VA Puget Sound Health Care System who initiated diabetes treatment. The full
electronic medical record was reviewed to identify prevalent conditions at
baseline and acute MI events during follow-up. The accuracy of various coding
algorithms was assessed. RESULTS: Algorithms for previous acute events at
baseline had high PPV (previous MI: 97%; previous stroke: 81%) but low
sensitivity (previous MI: 38%; previous stroke: 52%). Algorithms for chronic
conditions at baseline had high PPV (heart failure: 72%; coronary heart disease
[CHD]: 85%) and high sensitivity (heart failure: 90%, CHD: 84%). For current
smoking status at baseline, ICD-9 codes with pharmacy data had a PPV of 77% and
sensitivity of 73%. The coding algorithm for acute MI events during follow-up had
high PPV (80%) and sensitivity (89%). CONCLUSIONS: ICD-9 codes for acute MI
events during follow-up had high PPV and sensitivity. The sensitivity of ICD-9
codes for previous acute events at baseline was low, but a composite variable for
baseline CHD had good accuracy.
PMID- 26555027
TI - Circulating and myometrial markers of oxidative stress in pregnant women with
fetal growth restriction.
AB - AIM: The objective of this study was to identify the relationship between fetal
growth restriction (FGR) and oxidative stress. The mechanisms that protect
against oxidative stress in the local microenvironment were investigated by
comparing the activities of the markers, both in the circulation and myometrium.
MATERIALS AND METHODS: Myometrial tissue and serum levels of malondialdehyde
(MDA), xanthine oxidase (XO), catalase (CAT) and superoxide dismutase (SOD)
markers were measured in 20 FGR and 20 healthy pregnancies. RESULTS: The mean
duration of gestation at delivery was shorter (P = 0003) and the mean birthweight
was lower P < 0001) in the FGR study group compared with the control group, as
expected. While MDA and CAT concentrations were higher in the serum (P < 0.02 and
P < 0.01, respectively), but lower in the myometrial samples (P < 0.01) in the
FGR versus the control group, XO and myometrial SOD values were comparable in
both groups. CONCLUSIONS: Although our data demonstrated that FGR is associated
with oxidative stress, the exact role and mechanism of the oxidant and
antioxidant imbalance is obscure. We speculate that despite limited local
synthesis of CAT, effective and efficient removal of MDA in the uterine
environment explains high MDA and CAT serum concentrations in women with FGR.
Alternatively, a well-functioning myometrial system could rescue the fetus from
reactive oxygen species, as demonstrated by lowered MDA and depleted CAT
resulting from hyperconsumption. Elevated serum MDA and CAT levels in the serum
may reflect the 'spillover' of these markers from the uterus to the circulation.
PMID- 26555028
TI - Diagnostic Accuracy of Aortic Root Cross-sectional Area/Height Ratio in Children
and Young Adults with Marfan and Loeys-Dietz Syndrome.
AB - BACKGROUND: Accurate quantification of aortic dilatation is critical in children
with syndromes associated with thoracic aortic aneurysm, yet classification of
normality is difficult. Current methods of normalization use body surface area to
account for growth, despite a nonlinear relationship of body surface area to
aortic root dimensions. In contrast, height has a linear relationship with aortic
root dimensions in normal children, is simple to measure and requires no
secondary calculation. We evaluated the diagnostic accuracy of an height-based
aortic root-indexing method, aortic root cross-sectional area/height ratio (AHr),
in children with Marfan and Loeys-Dietz syndromes. METHODS: A cohort of 54
children with Marfan or Loeys-Dietz syndromes, aged 3 months to 17 years, were
evaluated with a transthoracic echocardiogram. AHr was measured in diastole at
sinuses of Valsalva (SoV) and proximal ascending aorta (pAA) in a group of normal
subjects matched for age and body surface area and normal values were provided.
AHr values were recorded for patients and compared with z-scores results obtained
with Gautier's and Campens's nomograms. RESULTS: AHr values in the group of
normal subjects were 2.6 +/- 0.6 at SoV and 2 +/- 0.5 at pAA. Categorization of z
scores and AHr showed good correspondence between AHr and Gautier's method (P =
.341 at SoV and .185 at pAA) and AHr and Campens method (P =.465 at SoV and 0.110
at pAA). CONCLUSIONS: There was a good correspondence of AHr results with two
different z-scores. AHr is a simple to use and valid option to quantify aortic
root dilatation in pediatric patients.
PMID- 26555030
TI - Flexible synthesis of polyfunctionalised 3-fluoropyrroles.
AB - An efficient and selective approach for the synthesis of polyfunctionalised 3
fluoropyrroles has been developed starting from commercial aldehydes. The
methodology is concise, efficient and allows for the modular and systematic
assembly of polysubstituted 3-fluoropyrroles. This synthesis provides an
alternative and highly convergent strategy for the generation of these chemically
and biologically important units.
PMID- 26555029
TI - Cerebral palsy after neonatal encephalopathy: do neonates with suspected asphyxia
have worse outcomes?
AB - AIM: We sought to investigate how brain injury and severity, and neurological
subtype of cerebral palsy (CP) differed in term-born children with CP after
neonatal encephalopathy, between those with suspected birth asphyxia and those
without. METHOD: Using the Canadian CP Registry, which included 1001 children,
those with CP born at >= 36 wks after moderate or severe neonatal encephalopathy,
were dichotomized according to the presence or absence of suspected birth
asphyxia. Gross Motor Function Classification System (GMFCS) scores, neurological
subtypes, comorbidities, and magnetic resonance imaging findings were compared.
RESULTS: Of the 147 term-born children with CP (82 males, 65 females; median age
37 months, interquartile range [IQR] 26-52.5) who after moderate or severe
neonatal encephalopathy had the required outcome data, 61 (41%) met criteria for
suspected birth asphyxia. They had a higher frequency of non-ambulatory GMFCS
status (odds ratio [OR] 3.4, 95% confidence interval [CI] 1.72-6.8), spastic
quadriplegia (OR 2.8, 95% CI 1.4-5.6), non-verbal communication skills impairment
(OR 4.2, 95% CI 2.0-8.6), isolated deep grey matter injury (OR 4.1, 95% CI 1.8
9.5), a lower frequency of spastic hemiplegia (OR 0.17, 95% CI 0.07-0.42), focal
injury (OR 0.20; 95% CI 0.04-0.93), and more comorbidities (p=0.017) than those
who did not meet criteria. INTERPRETATION: Term-born children who develop CP
after neonatal encephalopathy with suspected birth asphyxia have a greater burden
of disability than those without suspected birth asphyxia.
PMID- 26555031
TI - Risk of idiopathic normal pressure hydrocephalus in older inpatients with
schizophrenia.
AB - BACKGROUND: The mean age of inpatients with schizophrenia has gradually increased
in Japan and the risk of fracture in older schizophrenia patients is elevated.
One possible cause may be idiopathic normal pressure hydrocephalus (iNPH). The
present study aimed to evaluate the prevalence and symptoms of iNPH in older
inpatients with schizophrenia. METHODS: We prospectively examined older
inpatients with schizophrenia (N = 21, mean age = 70.5 +/- 5.9) in a psychiatric
ward. We evaluated iNPH symptoms using the idiopathic Normal-Pressure
Hydrocephalus Grading Scale (iNPHGS), Timed Up-and-Go test (TUG), Gait Status
Scale (GSS), Mini-Mental State Examination (MMSE), and Neuropsychiatric Inventory
(NPI). We also evaluated symptoms of schizophrenia using the Brief Psychiatric
Rating Scale (BPRS) and Drug-Induced Extrapyramidal Symptoms Scale (DIEPSS). We
conducted cerebrospinal fluid (CSF) tap tests for patients with possible-iNPH.
RESULTS: In total, three (14.3%) patients were diagnosed with possible iNPH: age,
GS-Gait, GS-Cognition, TUG, 10-meter walking test, GSS, and DIEPSS were
significantly increased in these compared to patients without iNPH; however, GS
Urine, MMSE, NPI, and BPRS did not differ significantly. Probable iNPH was
diagnosed for two (9.5%) patients because of positive CSF tap tests. CONCLUSION:
The prevalence of possible and probable iNPH in older patients with schizophrenia
was much higher than that reported for older people without mental illness. Of
the symptoms evaluated with the tests employed, only gait disturbances,
particularly walking speed, distinguished schizophrenia patients with iNPH. These
findings suggest that we should pay more attention to the possibility of iNPH in
older patients with schizophrenia.
PMID- 26555033
TI - Serotonergic antidepressants decrease hedonic signals but leave learning signals
in the nucleus accumbens unaffected.
AB - Investigating the effects of serotonergic antidepressants on neural correlates of
visual erotic stimulation revealed decreased reactivity within the dopaminergic
reward network along with decreased subjective sexual functioning compared with
placebo. However, a global dampening of the reward system under serotonergic
drugs is not intuitive considering clinical observations of their beneficial
effects in the treatment of depression. Particularly, learning signals as coded
in prediction error processing within the dopaminergic reward system can be
assumed to be rather enhanced as antidepressant drugs have been demonstrated to
facilitate the efficacy of psychotherapeutic interventions relying on learning
processes. Within the same study sample, we now explored the effects of
serotonergic and dopaminergic/noradrenergic antidepressants on prediction error
signals compared with placebo by functional MRI. A total of 17 healthy male
participants (mean age: 25.4 years) were investigated under the administration of
paroxetine, bupropion and placebo for 7 days each within a randomized, double
blind, within-subject cross-over design. During functional MRI, we used an
established monetary incentive task to explore neural prediction error signals
within the bilateral nucleus accumbens as region of interest within the
dopaminergic reward system. In contrast to diminished neural activations and
subjective sexual functioning under the serotonergic agent paroxetine under
visual erotic stimulation, we revealed unaffected or even enhanced neural
prediction error processing within the nucleus accumbens under this
antidepressant along with unaffected behavioural processing. Our study provides
evidence that serotonergic antidepressants facilitate prediction error signalling
and may support suggestions of beneficial effects of these agents on reinforced
learning as an essential element in behavioural psychotherapy.
PMID- 26555032
TI - Multiple genomic signatures of selection in goats and sheep indigenous to a hot
arid environment.
AB - Goats and sheep are versatile domesticates that have been integrated into diverse
environments and production systems. Natural and artificial selection have shaped
the variation in the two species, but natural selection has played the major role
among indigenous flocks. To investigate signals of natural selection, we analyzed
genotype data generated using the caprine and ovine 50K SNP BeadChips from Barki
goats and sheep that are indigenous to a hot arid environment in Egypt's Coastal
Zone of the Western Desert. We identify several candidate regions under selection
that spanned 119 genes. A majority of the genes were involved in multiple
signaling and signal transduction pathways in a wide variety of cellular and
biochemical processes. In particular, selection signatures spanning several genes
that directly or indirectly influenced traits for adaptation to hot arid
environments, such as thermo-tolerance (melanogenesis) (FGF2, GNAI3, PLCB1), body
size and development (BMP2, BMP4, GJA3, GJB2), energy and digestive metabolism
(MYH, TRHDE, ALDH1A3), and nervous and autoimmune response (GRIA1, IL2, IL7,
IL21, IL1R1) were identified. We also identified eight common candidate genes
under selection in the two species and a shared selection signature that spanned
a conserved syntenic segment to bovine chromosome 12 on caprine and ovine
chromosomes 12 and 10, respectively, providing, most likely, the evidence for
selection in a common environment in two different but closely related species.
Our study highlights the importance of indigenous livestock as model organisms
for investigating selection sweeps and genome-wide association mapping.
PMID- 26555034
TI - A novel dual-glucagon-like peptide-1 and glucose-dependent insulinotropic
polypeptide receptor agonist is neuroprotective in transient focal cerebral
ischemia in the rat.
AB - Glucagon-like peptide-1 (GLP-1) and glucose-dependent insulinotropic polypeptide
(GIP) receptor agonists have been shown to be neuroprotective in previous studies
in animal models of Alzheimer's or Parkinson's disease. Recently, novel dual-GLP
1/GIP receptor agonists that activate both receptors (DA) were developed to treat
diabetes. We tested the protective effects of a novel potent DA against middle
cerebral artery occlusion injury in rats and compared it with a potent GLP-1
analog, Val(8)-GLP-1(glu-PAL). Animals were evaluated for neurologic deficit
score, infarct volume, and immunohistochemical analyses of the brain at several
time points after ischemia. The Val(8)-GLP-1(glu-PAL)-treated and DA-treated
groups showed significantly reduced scores of neurological dysfunction, cerebral
infarction size, and percentage of TUNEL-positive apoptotic neurons. Furthermore,
the expression of the apoptosis marker Bax, the inflammation marker iNOS, and the
survival marker Bcl-2 was significantly increased. The DA-treated group was
better protected against neurodegeneration than the Val(8)-GLP-1(glu-PAL) group,
and the scores of neurological dysfunction, cerebral infarction size, and
expression of Bcl-2 were higher, whereas the percentage of TUNEL-positive neurons
and the levels of Bax and iNOS were lower in the DA group. DA treatment reduced
the infarct volume and improved the functional deficit. It also suppressed the
inflammatory response and cell apoptosis after reperfusion. In conclusion, the
novel GIP and GLP-1 dual-receptor agonist is more neuroprotective than a GLP-1
receptor agonist in key biomarkers of neuronal degeneration.
PMID- 26555035
TI - Possibility of a sex-specific role for a genetic variant in FRMPD4 in
schizophrenia, but not cognitive function.
AB - The neurotransmitter disturbances responsible for cognitive dysfunction in
schizophrenia are hypothesized to originate with alterations in postsynaptic
scaffold proteins. We have recently reported that protein levels of FRMPD4, a
multiscaffolding protein that modulates both Homer1 and postsynaptic density
protein 95 activity, is altered in the schizophrenia postmortem brain, in regions
involved in cognition. Here, we set out to investigate whether genetic variation
in FRMPD4 is associated with cognitive function in people with schizophrenia. We
selected and examined a novel single nucleotide polymorphism, rs5979717
(positioned in the noncoding 3' untranslated region of FRMPD4 and potentially
influencing protein expression), for its association with schizophrenia and nine
measures of cognitive function, using age-matched and sex-matched samples from
268 schizophrenia cases and 268 healthy controls. Brain samples from 20
schizophrenia patients and 20 healthy controls were additionally genotyped to
study the influence of this variant on protein expression of FRMPD4. Allelic
distribution of rs5979717 was associated with schizophrenia in females (chi=4.52,
P=0.030). No effects of rs5979717 were observed on cognitive performance, nor an
influence of rs5979717 genotypes on the expression of FRMPD4 proteins in
postmortem brain samples. These data provide initial support for a sex-specific
role for common variation in rs5979717 in schizophrenia, which now warrants
further investigation.
PMID- 26555037
TI - WSe2 Light-Emitting Tunneling Transistors with Enhanced Brightness at Room
Temperature.
AB - Monolayers of molybdenum and tungsten dichalcogenides are direct bandgap
semiconductors, which makes them promising for optoelectronic applications. In
particular, van der Waals heterostructures consisting of monolayers of MoS2
sandwiched between atomically thin hexagonal boron nitride (hBN) and graphene
electrodes allows one to obtain light emitting quantum wells (LEQWs) with low
temperature external quantum efficiency (EQE) of 1%. However, the EQE of MoS2-
and MoSe2-based LEQWs shows behavior common for many other materials: it
decreases fast from cryogenic conditions to room temperature, undermining their
practical applications. Here we compare MoSe2 and WSe2 LEQWs. We show that the
EQE of WSe2 devices grows with temperature, with room temperature EQE reaching
5%, which is 250* more than the previous best performance of MoS2 and MoSe2
quantum wells in ambient conditions. We attribute such different temperature
dependences to the inverted sign of spin-orbit splitting of conduction band
states in tungsten and molybdenum dichalcogenides, which makes the lowest-energy
exciton in WSe2 dark.
PMID- 26555036
TI - Phosphorylation of a splice variant of collapsin response mediator protein 2 in
the nucleus of tumour cells links cyclin dependent kinase-5 to oncogenesis.
AB - BACKGROUND: Cyclin-dependent protein kinase-5 (CDK5) is an unusual member of the
CDK family as it is not cell cycle regulated. However many of its substrates have
roles in cell growth and oncogenesis, raising the possibility that CDK5
modulation could have therapeutic benefit. In order to establish whether changes
in CDK5 activity are associated with oncogenesis one could quantify
phosphorylation of CDK5 targets in disease tissue in comparison to appropriate
controls. However the identity of physiological and pathophysiological CDK5
substrates remains the subject of debate, making the choice of CDK5 activity
biomarkers difficult. METHODS: Here we use in vitro and in cell phosphorylation
assays to identify novel features of CDK5 target sequence determinants that
confer enhanced CDK5 selectivity, providing means to select substrate biomarkers
of CDK5 activity with more confidence. We then characterize tools for the best
CDK5 substrate we identified to monitor its phosphorylation in human tissue and
use these to interrogate human tumour arrays. RESULTS: The close proximity of
Arg/Lys amino acids and a proline two residues N-terminal to the phosphorylated
residue both improve recognition of the substrate by CDK5. In contrast the
presence of a proline two residues C-terminal to the target residue dramatically
reduces phosphorylation rate. Serine-522 of Collapsin Response Mediator-2 (CRMP2)
is a validated CDK5 substrate with many of these structural criteria. We generate
and characterise phosphospecific antibodies to Ser522 and show that
phosphorylation appears in human tumours (lung, breast, and lymphoma) in stark
contrast to surrounding non-neoplastic tissue. In lung cancer the anti-phospho
Ser522 signal is positive in squamous cell carcinoma more frequently than
adenocarcinoma. Finally we demonstrate that it is a specific and unusual splice
variant of CRMP2 (CRMP2A) that is phosphorylated in tumour cells. CONCLUSIONS:
For the first time this data associates altered CDK5 substrate phosphorylation
with oncogenesis in some but not all tumour types, implicating altered CDK5
activity in aspects of pathogenesis. These data identify a novel oncogenic
mechanism where CDK5 activation induces CRMP2A phosphorylation in the nuclei of
tumour cells.
PMID- 26555038
TI - Bacterial prostatitis.
AB - PURPOSE OF REVIEW: The review provides the infectious disease community with a
urologic perspective on bacterial prostatitis. Specifically, the article briefly
reviews the categorization of prostatitis by type and provides a distillation of
new findings published on bacterial prostatitis over the past year. It also
highlights key points from the established literature. RECENT FINDINGS: Cross
sectional prostate imaging is becoming more common and may lead to more
incidental diagnoses of acute bacterial prostatitis. As drug resistance remains
problematic in this condition, the reemergence of older antibiotics such as
fosfomycin, has proven beneficial. With regard to chronic bacterial prostatitis,
no clear clinical risk factors emerged in a large epidemiological study. However,
bacterial biofilm formation has been associated with more severe cases. Surgery
has a limited role in bacterial prostatitis and should be reserved for draining
of a prostatic abscess or the removal of infected prostatic stones. SUMMARY:
Prostatitis remains a common and bothersome clinical condition. Antibiotic
therapy remains the basis of treatment for both acute and chronic bacterial
prostatitis. Further research into improving prostatitis treatment is indicated.
PMID- 26555040
TI - New players in the preventive treatment of migraine.
AB - Migraine is a common, chronic disorder of the brain causing much disability, as
well as personal, familial and societal impact. Several oral preventive agents
are available in different countries for the prevention of migraine, but none
have performed better than 50% improvement in 50% of patients in a clinical
trial. Additionally, each has various possible adverse events making their
tolerability less than optimal. Recently, three monoclonal antibodies targeting
the calcitonin gene-related peptide (CGRP) ligand (LY2951742, ALD403 and TEV
48125) and one targeting the CGRP receptor (AMG 334) have completed phase 2
trials, and the results have been reported. These early results show them all to
be somewhat more effective than placebo, with no serious adverse events. Three
have been studied for episodic migraine, and only TEV-48125 has been studied for
both high frequency episodic and chronic migraine. Moreover, preliminary data
suggests that neurostimulation is effective in migraine treatment, including
stimulation of the sphenopalatine ganglion, transcutaneous supraorbital and
supratrochlear nerve, and transcutaneous vagus nerve. In this article, these
innovative therapies will be reviewed.
PMID- 26555039
TI - Maintaining lung health with longstanding HIV.
AB - PURPOSE OF REVIEW: Human immunodeficiency virus (HIV) is now managed as a chronic
disease. Non-infectious pulmonary conditions have replaced infection as the
biggest threat to lung health, particularly as HIV cohorts age, but there is no
consensus on how best to maintain long-term lung health. We review the
epidemiology and pathogenesis of chronic obstructive pulmonary disease (COPD),
pulmonary arterial hypertension (PAH), and lung cancer in HIV-seropositive
individuals. RECENT FINDINGS: Diagnoses of COPD are now up to 50% more prevalent
in HIV-seropositive individuals than HIV-uninfected controls, and prospective
pulmonary function studies find significant impairment in 7% to more than 50% of
HIV-seropositive individuals. The prevalence of HIV-PAH is 0.2-0.5%, and lung
cancer is two to three times more prevalent in HIV-seropositive individuals.
Although host factors such as age and smoking have a role, HIV is an independent
contributor to the pathogenesis of COPD, PAH, and lung cancer. Chronic
inflammation, immune senescence, oxidative stress, and direct effects of viral
proteins are all potential pathogenetic mechanisms. Despite their prevalence, non
infectious lung diseases remain underrecognized and evidence for effective
screening strategies in HIV-seropositive individuals is limited. SUMMARY: COPD,
PAH, and lung cancer are a growing threat to lung health in the highly active
antiretroviral therapy era necessitating early recognition.
PMID- 26555041
TI - Development of a Highly Potent D2/D3 Agonist and a Partial Agonist from Structure
Activity Relationship Study of N(6)-(2-(4-(1H-Indol-5-yl)piperazin-1-yl)ethyl)
N(6)-propyl-4,5,6,7-tetrahydrobenzo[d]thiazole-2,6-diamine Analogues: Implication
in the Treatment of Parkinson's Disease.
AB - Our structure-activity relationship studies with N(6)-(2-(4-(1H-indol-5
yl)piperazin-1-yl)ethyl)-N(6)-propyl-4,5,6,7-tetrahydrobenzo[d]thiazole-2,6
diamine derivatives led to development of a lead compound (-)-21a which exhibited
very high affinity (Ki, D2 = 16.4 nM, D3 = 1.15 nM) and full agonist activity
(EC50 (GTPgammaS); D2 = 3.23 and D3 = 1.41 nM) at both D2 and D3 receptors. A
partial agonist molecule (-)-34 (EC50 (GTPgammaS); D2 = 21.6 (Emax = 27%) and D3
= 10.9 nM) was also identified. In a Parkinson's disease (PD) animal model, (-)
21a was highly efficacious in reversing hypolocomotion in reserpinized rats with
a long duration of action, indicating its potential as an anti-PD drug. Compound
(-)-34 was also able to elevate locomotor activity in the above PD animal model
significantly, implying its potential application in PD therapy. Furthermore, (-)
21a was shown to be neuroprotective in protecting neuronal PC12 from toxicity of
6-OHDA. This report, therefore, underpins the notion that a multifunctional drug
like (-)-21a might have the potential not only to ameliorate motor dysfunction in
PD patients but also to modify disease progression by protecting DA neurons from
progressive degeneration.
PMID- 26555043
TI - Lewis Acid Binding and Transfer as a Versatile Experimental Gauge of the Lewis
Basicity of Fe(0) , Ru(0) , and Pt(0) Complexes.
AB - A number of zerovalent ruthenium tri- and tetracarbonyl complexes of the form
[Ru(CO)5-n Ln] (n=1, 2) with neutral phosphine or N-heterocyclic carbene donor
ligands have been treated with the Lewis acids GaCl3 and Ag(+) to form a range of
metal-only Lewis pairs (MOLPs). The spectroscopic and structural parameters of
the adducts are compared to each other and to related iron carbonyl based MOLPs.
The Lewis basicity of the original Ru(0) complexes is gauged by transfer
experiments, as well as through the degree of pyramidization of the bound GaCl3
units and the Ru-M bond lengths. The work shows the benefits of the MOLP concept
as one of the few direct experimental gauges of metal basicity, and one that can
allow comparisons between metal complexes with different metal centers and ligand
sets.
PMID- 26555042
TI - Cytoplasmic Dynein Antagonists with Improved Potency and Isoform Selectivity.
AB - Cytoplasmic dyneins 1 and 2 are related members of the AAA+ superfamily (ATPases
associated with diverse cellular activities) that function as the predominant
minus-end-directed microtubule motors in eukaryotic cells. Dynein 1 controls
mitotic spindle assembly, organelle movement, axonal transport, and other
cytosolic, microtubule-guided processes, whereas dynein 2 mediates retrograde
trafficking within motile and primary cilia. Small-molecule inhibitors are
important tools for investigating motor protein-dependent mechanisms, and
ciliobrevins were recently discovered as the first dynein-specific chemical
antagonists. Here, we demonstrate that ciliobrevins directly target the heavy
chains of both dynein isoforms and explore the structure-activity landscape of
these inhibitors in vitro and in cells. In addition to identifying chemical
motifs that are essential for dynein blockade, we have discovered analogs with
increased potency and dynein 2 selectivity. These antagonists effectively disrupt
Hedgehog signaling, intraflagellar transport, and ciliogenesis, making them
useful probes of these and other cytoplasmic dynein 2-dependent cellular
processes.
PMID- 26555044
TI - Transformation of Step-Growth Polymerization into Living Chain-Growth
Polymerization.
PMID- 26555045
TI - Out of the Mouth of Minnows.
AB - The skeleton articulates at specialized junctions, or joints. Although many
factors that specify joints are known, how these different mechanisms are
integrated to define the joint remains unclear. In this issue of Developmental
Cell, Askary et al. (2015) utilize zebrafish to identify genetic regulatory
mechanisms of joint specification and differentiation.
PMID- 26555046
TI - Linking Nutrients to Growth through a Positive Feedback Loop.
AB - In this issue of Developmental Cell, Okamoto and Nishimura (2015) identify a
positive feedback loop between neuronal cells that maintains insulin signaling
and growth under restricted nutritional conditions.
PMID- 26555047
TI - Different Species Choose Their Own Paths to Pluripotency.
AB - Pluripotency is well defined functionally but ambiguously defined at the
molecular level. In this issue of Developmental Cell, Boroviak and colleagues
(2015) use a multi-species approach to differentiate between fundamental features
of pluripotency in mammals and those that exhibit evolutionary plasticity.
PMID- 26555050
TI - Signaling from Glia and Cholinergic Neurons Controls Nutrient-Dependent
Production of an Insulin-like Peptide for Drosophila Body Growth.
AB - The insulin-like peptide (ILP) family plays key biological roles in the control
of body growth. Although the functions of ILPs are well understood, the
mechanisms by which organisms sense their nutrient status and thereby control ILP
production remain largely unknown. Here, we show that signaling relay and
feedback mechanisms control the nutrient-dependent expression of Drosophila ILP5
(Dilp5). The expression of dilp5 in brain insulin-producing cells (IPCs) is
negatively regulated by the transcription factor FoxO. Glia-derived Dilp6
remotely regulates the FoxO activity in IPCs, primarily through Jeb secreted by
cholinergic neurons. Dilp6 production by surface glia is amplified by cellular
response to circulating Dilps derived from IPCs, in concert with amino acid
signals. The induction of dilp5 is critical for sustaining body growth under
restricted food conditions. These results provide a molecular framework that
explains how the production of an endocrine hormone in a specific tissue is
coordinated with environmental conditions.
PMID- 26555049
TI - An Engineered Minimal WASP-Myosin Fusion Protein Reveals Essential Functions for
Endocytosis.
AB - Actin polymerization powers membrane deformation during many processes, including
clathrin-mediated endocytosis (CME). During CME in yeast, actin polymerization is
triggered and coordinated by a six-protein WASP/Myosin complex that includes
WASP, class I myosins (Myo3 and Myo5), WIP (Vrp1), and two other proteins. We
show that a single engineered protein can replace this entire complex while still
supporting CME. This engineered protein reveals that the WASP/Myosin complex has
four essential activities: recruitment to endocytic sites, anchorage to the
plasma membrane, Arp2/3 activation, and transient actin filament binding by the
motor domain. The requirement for both membrane and F-actin binding reveals that
myosin-mediated coupling between actin filaments and the base of endocytic sites
is essential for allowing actin polymerization to drive membrane invagination.
PMID- 26555048
TI - E Proteins and ID Proteins: Helix-Loop-Helix Partners in Development and Disease.
AB - The basic Helix-Loop-Helix (bHLH) proteins represent a well-known class of
transcriptional regulators. Many bHLH proteins act as heterodimers with members
of a class of ubiquitous partners, the E proteins. A widely expressed class of
inhibitory heterodimer partners-the Inhibitor of DNA-binding (ID) proteins-also
exists. Genetic and molecular analyses in humans and in knockout mice implicate E
proteins and ID proteins in a wide variety of diseases, belying the notion that
they are non-specific partner proteins. Here, we explore relationships of E
proteins and ID proteins to a variety of disease processes and highlight gaps in
knowledge of disease mechanisms.
PMID- 26555051
TI - Integration of Light and Photoperiodic Signaling in Transcriptional Nuclear Foci.
AB - Light regulates major plant developmental transitions by orchestrating a series
of nuclear events. This study uncovers the molecular function of the natural
variant, TZP (Tandem Zinc-finger-Plus3), as a signal integrator of light and
photoperiodic pathways in transcriptional nuclear foci. We report that TZP acts
as a positive regulator of photoperiodic flowering via physical interactions with
the red-light receptor phytochrome B (phyB). We demonstrate that TZP localizes in
dynamic nuclear domains regulated by light quality and photoperiod. This study
shows that phyB is indispensable not only for localizing TZP to transcriptionally
active nuclear photobodies, but also for recruiting TZP on the promoter of the
floral inducer FLOWERING LOCUS T (FT). Our findings signify a unique
transcriptional regulatory role to the highly enigmatic plant nuclear
photobodies, where TZP directly activates FT gene expression and promotes
flowering.
PMID- 26555053
TI - Polarization of PI3K Activity Initiated by Ooplasmic Segregation Guides Nuclear
Migration in the Mesendoderm.
AB - Asymmetric localization of RNA is a widely observed mechanism of cell
polarization. Using embryos of the ascidian, Halocynthia roretzi, we previously
showed that mesoderm and endoderm fates are separated by localization of mRNA
encoding a transcription factor, Not, to the future mesoderm-side cytoplasm of
the mesendoderm cell through asymmetric positioning of the nucleus. Here, we
investigated the mechanism that defines the direction of the nuclear migration.
We show that localization of PtdIns(3,4,5)P3 to the future mesoderm region
determines the direction of nuclear migration. Localization of PtdIns(3,4,5)P3
was dependent on the localization of PI3Kalpha to the future mesoderm region.
PI3Kalpha was first localized at the 1-cell stage by the ooplasmic movement.
Activity of localized PI3Kalpha at the 4-cell stage was required for the
localization of PI3Kalpha up to the nuclear migration. Our results provide the
scaffold for understanding the chain of causality leading to the separation of
germ layer fates.
PMID- 26555052
TI - FGF-Regulated ETV Transcription Factors Control FGF-SHH Feedback Loop in Lung
Branching.
AB - The mammalian lung forms its elaborate tree-like structure following a largely
stereotypical branching sequence. While a number of genes have been identified to
play essential roles in lung branching, what coordinates the choice between
branch growth and new branch formation has not been elucidated. Here we show that
loss of FGF-activated transcription factor genes, Etv4 and Etv5 (collectively
Etv), led to prolonged branch tip growth and delayed new branch formation.
Unexpectedly, this phenotype is more similar to mutants with increased rather
than decreased FGF activity. Indeed, an increased Fgf10 expression is observed,
and reducing Fgf10 dosage can attenuate the Etv mutant phenotype. Further
evidence indicates that ETV inhibits Fgf10 via directly promoting Shh expression.
SHH in turn inhibits local Fgf10 expression and redirects growth, thereby
initiating new branches. Together, our findings establish ETV as a key node in
the FGF-ETV-SHH inhibitory feedback loop that dictates branching periodicity.
PMID- 26555054
TI - Global Analysis of mRNA, Translation, and Protein Localization: Local Translation
Is a Key Regulator of Cell Protrusions.
AB - Polarization of cells into a protrusive front and a retracting cell body is the
hallmark of mesenchymal-like cell migration. Many mRNAs are localized to
protrusions, but it is unclear to what degree mRNA localization contributes
toward protrusion formation. We performed global quantitative analysis of the
distributions of mRNAs, proteins, and translation rates between protrusions and
the cell body by RNA sequencing (RNA-seq) and quantitative proteomics. Our
results reveal local translation as a key determinant of protein localization to
protrusions. Accordingly, inhibition of local translation destabilizes
protrusions and inhibits mesenchymal-like morphology. Interestingly, many mRNAs
localized to protrusions are translationally repressed. Specific cis-regulatory
elements within mRNA UTRs define whether mRNAs are locally translated or
repressed. Finally, RNAi screening of RNA-binding proteins (RBPs) enriched in
protrusions revealed trans-regulators of localized translation that are
functionally important for protrusions. We propose that by deciphering the
localized mRNA UTR code, these proteins regulate protrusion stability and
mesenchymal-like morphology.
PMID- 26555055
TI - Iroquois Proteins Promote Skeletal Joint Formation by Maintaining Chondrocytes in
an Immature State.
AB - An early event in skeletal joint development is the specification of articular
chondrocytes at the joint surface. Articular chondrocytes are distinct in
producing lower levels of cartilage matrix and not being replaced by bone, yet
how they acquire these properties remains poorly understood. Here, we show that
two members of the Iroquois transcriptional repressor family, Irx7 and Irx5a,
function to block chondrocyte maturation at the developing hyoid joint of
zebrafish. These Irx factors suppress the production of cartilage matrix at the
joint in part by preventing the activation of a col2a1a enhancer by Sox9a.
Further, both zebrafish Irx7 and mouse IRX1 are able to repress cartilage matrix
production in a murine chondrogenic cell line. Iroquois proteins may therefore
have a conserved role in keeping chondrocytes in an immature state, with the
lower levels of cartilage matrix produced by these immature cells contributing to
joint flexibility.
PMID- 26555058
TI - Non-Sequential Spectral Acquisitions and Data Reconstruction to Remove Time
Dependent Effects from X-Ray Spectra.
AB - X-ray spectroscopy techniques may require prolonged exposure of a sample to an
electron beam to generate X-rays. With typical spectroscopic methods the measured
signal is acquired while varying the independent parameter in a systematic way,
for example, stepping a photon detector in a series of energy steps from one end
of the range of interest to the other incrementally or varying the energy of the
incoming excitation incrementally. This can be a time-consuming process when
signal strength is low, and if the sample is affected by prolonged beam exposure
it could potentially change the shape and position of the obtained X-ray
spectrum. Hydrocarbon contamination is of particular concern in electron beam
instruments because of the interaction between the hydrocarbons and the electron
beam at the point of impact on the sample surface. The authors suggest that
hydrocarbon contamination does affect the generated spectra and present methods
for removing this (and other) time-dependent artifacts for low-voltage X-rays.
The software is freely available as a compiled Windows executable and as source
code (http://geoscience.wisc.edu/geoscience/?p=16417).
PMID- 26555057
TI - On the Relationship of Protein and mRNA Dynamics in Vertebrate Embryonic
Development.
AB - A biochemical explanation of development from the fertilized egg to the adult
requires an understanding of the proteins and RNAs expressed over time during
embryogenesis. We present a comprehensive characterization of protein and mRNA
dynamics across early development in Xenopus. Surprisingly, we find that most
protein levels change little and duplicated genes are expressed similarly. While
the correlation between protein and mRNA levels is poor, a mass action kinetics
model parameterized using protein synthesis and degradation rates regresses
protein dynamics to RNA dynamics, corrected for initial protein concentration.
This study provides detailed data for absolute levels of ~10,000 proteins and
~28,000 transcripts via a convenient web portal, a rich resource for
developmental biologists. It underscores the lasting impact of maternal dowry,
finds surprisingly few cases where degradation alone drives a change in protein
level, and highlights the importance of transcription in shaping the dynamics of
the embryonic proteome.
PMID- 26555056
TI - Lineage-Specific Profiling Delineates the Emergence and Progression of Naive
Pluripotency in Mammalian Embryogenesis.
AB - Naive pluripotency is manifest in the preimplantation mammalian embryo. Here we
determine transcriptome dynamics of mouse development from the eight-cell stage
to postimplantation using lineage-specific RNA sequencing. This method combines
high sensitivity and reporter-based fate assignment to acquire the full spectrum
of gene expression from discrete embryonic cell types. We define expression
modules indicative of developmental state and temporal regulatory patterns
marking the establishment and dissolution of naive pluripotency in vivo. Analysis
of embryonic stem cells and diapaused embryos reveals near-complete conservation
of the core transcriptional circuitry operative in the preimplantation epiblast.
Comparison to inner cell masses of marmoset primate blastocysts identifies a
similar complement of pluripotency factors but use of alternative signaling
pathways. Embryo culture experiments further indicate that marmoset embryos
utilize WNT signaling during early lineage segregation, unlike rodents. These
findings support a conserved transcription factor foundation for naive
pluripotency while revealing species-specific regulatory features of lineage
segregation.
PMID- 26555060
TI - A case of syphilitic anal condylomata lata mimicking malignancy.
AB - INTRODUCTION: Condylata lata in secondary syphilis is well known presentation and
needs to be considered in differential diagnosis of perianal lesions. In England
between 2013 and 2014 the overall incidence of infectious syphilis increased by
33% and is mainly seen in men who have sex with men. PRESENTATION OF CASE: We
report the management of a 49-years-old Caucasian homosexual man with perianal
lesions that were suspicious of malignancy. After biopsies, colonoscopy, staging
with computed tomography, magnetic resonance imaging and syphilis serology anal
cancer was excluded and a diagnosis of syphilis was confirmed. He was referred to
the sexual health clinic for the appropriate investigations and treatment.
DISCUSSION: This case highlights the consideration of treatable infectious
syphilis pathology. The main differential diagnosis of perianal growths to
consider is condylomata acuminata (warts caused by human papillomavirus), anal
cancer, syphilis, chancroid, haemorrhoids, tuberculosis and lymphogranuloma
venereum. To differentiate a biopsy is needed for histopathological examination.
A dense plasma cell infiltrate and numerous spirochetes visualised by
immunostaining confirms condylomata lata. CONCLUSION: In UK, it is important for
colorectal surgeons to be aware of syphilitic condylomata lata and consider this
when dealing with perianal lesions. It is advisable to refer patients suspected
of or diagnosed with syphilis to sexual health clinics to help improve outcome.
In sexual health clinics additional investigations and treatment are available in
addition to partner notification and follow-up can be offered.
PMID- 26555059
TI - Impact of area under the concentration-time curve to minimum inhibitory
concentration ratio on vancomycin treatment outcomes in methicillin-resistant
Staphylococcus aureus bacteraemia.
AB - There have been few clinical studies on the association between the vancomycin 24
h area under the concentration-time curve (AUC24) to minimum inhibitory
concentration (MIC) ratio and vancomycin treatment outcomes in methicillin
resistant Staphylococcus aureus (MRSA) infections. To examine this association
and to establish a suitable cut-off value for AUC24/MIC, a multicentre
prospective observational study was conducted in patients with MRSA bacteraemia.
Data were collected on all patients aged >=18 years with MRSA bacteraemia treated
with vancomycin for >=72 h without dialysis. The MIC was determined by broth
microdilution (BMD) and Etest. Treatment failure was defined as (i) 30-day
mortality, (ii) persistent bacteraemia (>=7 days) and (iii) recurrence (<=30 days
after completion of therapy). AUC24 was estimated by a Bayesian approach based on
individual vancomycin concentrations. The AUC24/MIC cut-off value for
differentiating treatment success and failure was calculated by Classification
and Regression Tree (CART) analysis. In total, 117 patients were enrolled, among
which vancomycin treatment failure occurred in 38 (32.5%). In univariate
analysis, high vancomycin MIC and low trough levels were unrelated to treatment
outcomes. In the CART analysis, low vancomycin AUC24/MIC [<392.7 (BMD) and <397.2
(Etest)] was associated with treatment failure. In multivariate analysis, low
AUC24/MIC was a risk factor for treatment failure [adjusted odds ratio
(aOR)=3.50, 95% confidence interval (CI) 1.39-8.82 by BMD; aOR=5.61, 95% CI 2.07
15.24 by Etest]. AUC24/MIC is associated with vancomycin treatment outcomes in
MRSA bacteraemia, and seeking individualised AUC24/MIC ratios above target (>400)
may improve treatment outcomes.
PMID- 26555061
TI - Dermoid cyst of the pancreas: A rare cystic neoplasm.
AB - INTRODUCTION: Dermoid cyst of the pancreas, also called cystic teratoma, is a
benign, well-differentiated, and extremely rare germ cell neoplasm. Preoperative
diagnosis is challenging since there are no definitive preoperative diagnostic
tests or pathognomonic findings. PRESENTATION OF CASE: We report a case of a 54
year-old male who presented with an incidentally detected pancreatic cystic mass
at the tail of the pancreas. Computerized tomography revealed a benign cystic
mass such as oligocystic serous cystadenoma or a hemorrhagic cyst. However, a
high CEA level from EUS guided aspirated fluid suggested mucinous cystic
neoplasm. After laparoscopic spleen-preserving distal pancreatectomy, the final
diagnosis was confirmed as a dermoid cyst of the pancreas. CONCLUSION: Despite
the benign nature of the dermoid cyst, complete surgical resection is mostly
inevitable due to the difficulty of preoperative diagnosis as in the present
case.
PMID- 26555062
TI - Surgical management of Stenson's duct injury by using double J stent urethral
catheter.
AB - BACKGROUND: Parotid duct or gland injury can be caused by assault with a knife,
bottle, electrical-saw, road traffic accident, or rarely gunshot and fractures of
the facial skeleton. The injury can be in the form of laceration, ductal
exposure, total cutting, or crushing of the duct. These conditions are difficult
to diagnose because of complex anatomy and variable forms of the injury. A
successful management of parotid duct injuries depends on early diagnosis and
appropriate intervention; improper surgery may lead to complications such as
sialocele or salivary fistula CASE REPORT: A 27-years-old man was presented to
the maxillofacial unit, complaining of bleeding over the right side of his face
after accidental exposure to a chain-saw three hours before admission. On
examination, a 6cm deep lacerated wound was found over the right buccal area,
suspecting facial nerve-buccal branch and parotid duct injury. Under general
anesthesia the parotid duct injury diagnosed, microsurgical anastomosis of the
cut-ends of the parotid duct performed using the double J catheter. Sutures and
JJ stent removed seven and twenty postoperative days respectively. After a proper
supportive treatment a complete healing of the duct was obtained with normal
amount of saliva. CONCLUSIONS: Herein, we described an easy yet efficient
technique in management of parotid duct injury using a JJ stent which is often
used for urethra. We think that use of JJ stent is a valuable technique to be
used in the diagnosis and surgical repair of the parotid duct during traumatic
facial and/or parotid injuries.
PMID- 26555063
TI - Expanding availability of safe abortion services through private sector
accreditation: a case study of the Yukti Yojana program in Bihar, India.
AB - BACKGROUND: Recognizing the need to increase access to safe abortion services to
reduce maternal mortality and morbidity, the state government of Bihar, India
introduced an innovative mechanism of accrediting private health care facilities.
The program, Yukti Yojana ('a scheme for solution'), accredits eligible health
facilities and supports them in providing abortion-related services free of
charge to rural and low-income urban women. This paper describes implementation
of Yukti Yojana. METHODS: A descriptive analysis of abortion services provided
under the Yukti Yojana program was conducted using four data sources: 1)
assessment of accredited facilities over 6 months; 2) induced and incomplete
abortion service registers; 3) client exit interviews and associated direct
observation of client-provider interaction for a sample of accredited facilities;
and 4) in-depth interviews with providers and key stakeholders responsible for
providing or influencing abortion services. These analyses assessed
characteristics of women receiving abortion services, quality of care and client
satisfaction, and barriers and facilitating factors of a successful accreditation
process. RESULTS: Forty-nine private facilities were accredited during the first
two years of the program, and 84 % had begun providing abortion services, in all
27,724 women were served. Overall, 53 % of beneficiaries reported holding a
"Below Poverty Line" card, while 71 % had low living standard. The majority of
women (n = 569) reported satisfaction (90 %) with their care, while 68 %
perceived good quality of services. Having a government-led initiative was
considered a key element of success, while stringent requirements for site
approval, long waiting time for accreditation, complicated and delayed
reimbursement process and low reimbursement fees for abortion services were
identified as barriers to implementation. CONCLUSIONS: Yukti Yojana provides a
model for successfully involving private OB/GYNs and general physicians to
deliver safe abortion services to poor women on a large scale and offers
additional evidence that public-private partnerships can be used to ensure
availability of high-quality maternal health services to women in low-income
countries. Private facility accreditation also offers a promising solution to the
limited availability of safe abortion services in low resource settings such as
Bihar, India.
PMID- 26555064
TI - Hepatitis C, disease and its management: a cost-effectiveness analysis of the new
generation oral protease inhibitors.
AB - BACKGROUND: Hepatitis C, caused by a single-stranded RNA virus, has become a
global health problem. Infecting millions of individuals in the United States
alone, chronic HCV infection can lead to devastating medical problems including
cirrhosis and hepatocellular carcinoma. These problems create millions of dollars
in health-care costs for treatment and management. This study determines the cost
effectiveness of hepatitis C treatment with the new generation of oral protease
inhibitors. METHODS: A Markov model was constructed to simulate the progression
of genotype-1 chronic hepatitis C disease in a cohort of 50-year-old patients. A
decision tree, along with the Markov model, was then used to determine duration
of disease, treatment success, progression of disease and mortality. At the end
of each stage in the model, the cost and quality-adjusted life years (QALY) were
summed for each individual. These were then used to calculate the overall cost
effectiveness ratio (CER) using QALY as the unit of effectiveness. Four treatment
options were modelled: sofosbuvir with pegylated interferon-alpha and ribavirin
(SOF/PEG-IFN+RBV), sofosbuvir with ribavirin (SOF/RBV), simeprevir with pegylated
interferon-alpha and ribavirin (SMV/PEG-IFN+RBV) and simeprevir with sofosbuvir
(SMV/SOF). RESULTS: SOF/PEG-IFN+RBV yielded a CER ratio of $6,796.22/QALY,
SMV/PEG-IFN+RBV of $7,642.60/QALY and SMV/SOF of $8,959.11/QALY. SOF/RBV had a
higher CER of $16,295.30/QALY. It is important to note however that SMV/SOF had
the highest QALY at 19.08. CONCLUSIONS: After consideration of quality of life,
treatment regimens and treatment side effects, the SMV/SOF regimen yields
acceptable cost-effectiveness ratios with high QALY.
PMID- 26555065
TI - Sex-biased severity of sarcoptic mange at the same biological cost in a sexually
dimorphic ungulate.
AB - BACKGROUND: In sexually dimorphic species, male susceptibility to parasite
infection and mortality is frequently higher than in females. The Iberian ibex
(Capra pyrenaica) is a sexually dimorphic mountain ungulate endemic to the
Iberian Peninsula commonly affected by sarcoptic mange, a chronic catabolic skin
disease caused by Sarcoptes scabiei. Since 1992, sarcoptic mange affects the
Iberian ibex population of the Sierra Nevada Natural Space (SNNS). This study
aims at exploring whether mange severity, in terms of prevalence and its effects
on body condition, is male-biased in Iberian ibex. FINDINGS: One thousand and
seventy-one adult Iberian ibexes (439 females and 632 males) were randomly shot
harvested in the SNNS from May 1995 to February 2008. Sarcoptic mange stage was
classified as healthy, mildly infected or severely infected. Sex-biased
prevalence of severe mange was evaluated by a Chi-square test whereas the
interaction between mange severity and sex on body condition was assessed by
additive models. Among scabietic individuals, the prevalence of severely affected
males was 1.29 times higher than in females. On the other hand, both sexes were
not able to take profit of a higher availability of seasonal food resources when
sarcoptic, particularly in the severe stages. CONCLUSIONS: Sarcoptic mange
severity is male-biased in Iberian ibex, though not mange effects on body
condition. Behavioural, immunological and physiological characteristics of males
may contribute to this partial sex-biased susceptibility to sarcoptic mange.
PMID- 26555066
TI - pi-Topology and spin alignment in the photo-excited states of phenylanthracene-t
butylnitroxide radicals.
AB - We have studied the relationship between the pi-topology and the photo-excited
high-spin states of pi-conjugated spin systems, 9-anthracen-(3-phenyl-t
butylnitroxide) radical (1m) and 9-anthracen-(4-phenyl-t-butylnitroxide) radical
(1p) systems, by time-resolved ESR and transient absorption spectroscopies. For
the meta-isomer, 1m, the excited quartet high-spin state (S = 3/2) was observed,
while for the para-isomer, 1p, only a weak signal of the doublet state (S = 1/2)
was detected. For the quartet state of 1m, the g value and fine-structure
parameters have been determined to be g = 2.005, D = 0.0250 cm(-1), and E = ~0.0
cm(-1). The mechanism of intramolecular spin alignment and the role of spin
polarization in the excited states have been discussed based on the spin density
distribution calculated by ab initio molecular orbital calculations.
PMID- 26555067
TI - Relevance of Retinal Thickness Changes in the OCT Inner and Outer Rings to
Predict Progression to Clinical Macular Edema: An Attempt of Composite Grading of
Macular Edema.
AB - PURPOSE: To characterize the relevance of macular thickness changes in the inner
and outer rings in the progression of macular edema in eyes/patients with
diabetes type 2. METHODS: A total of 374 type 2 diabetic patients with mild
nonproliferative diabetic retinopathy (ETDRS levels 20-35) were included in a 12
month prospective observational study to identify retinopathy progression.
Retinal thickness analyses were performed in 194 eyes/patients using Cirrus SD-
OCT and 166 eyes/patients using Spectralis SD-OCT. The DRCR.net classification of
subclinical and clinical macular edema was used. A composite grading of macular
edema is proposed in this study. RESULTS: A total of 317 eyes/patients completed
the study. SD-OCT identified clinical macular edema in 24 eyes/patients (6.7%)
and subclinical macular edema in 104 eyes/patients (28.9%) at baseline. Increased
thickness of the central subfield is the best predictor for the development of
clinical macular edema, with 85.7% sensitivity and 71.9% specificity (OR: 2.57,
95% CI: 0.82-7.99). However, the involvement of the inner and outer rings is a
cumulative predictor of progression to clinical macular edema (OR: 8.69, 95% CI:
2.85-26.52). CONCLUSIONS: A composite OCT grading of macular edema taking into
account the retinal thickness changes in the inner and outer macular rings offers
a simple way to characterize macular edema, with added clinical value.
PMID- 26555068
TI - Field Evaluation of Malaria Microscopy, Rapid Malaria Tests and Loop-Mediated
Isothermal Amplification in a Rural Hospital in South Western Ethiopia.
AB - BACKGROUND: In up to one third of the hospitals in some rural areas of Africa,
laboratory services in malaria diagnosis are limited to microscopy by thin film,
as no capability to perform thick film exists (gold standard in terms of
sensitivity for malaria diagnosis). A new rapid molecular malaria diagnostic test
called Loop-mediated isothermal DNA amplification (LAMP) has been recently
validated in clinical trials showing exceptional sensitivity and specificity
features. It could be a reliable diagnostic tool to be implemented without
special equipment or training. OBJECTIVE: The objective of this proof of concept
study was to confirm the feasibility of using LAMP technique for diagnosis of
malaria in a rural Ethiopian hospital with limited resources.
METHODOLOGY/PRINCIPAL FINDINGS: This study was carried out in Gambo General
Hospital, West Arsi Province (Ethiopia), from November 1st to December 31st 2013.
A total of 162 patients with a non-focal febrile syndrome were investigated. The
diagnostic capability (sensitivity, specificity, positive predictive and negative
predictive values) of rapid malaria tests and microscopy by thin film was
evaluated in comparison with LAMP. Eleven (6.79%) out of the 162 patients with
fever and suspected malaria, tested positive for LAMP, 3 (1.85%) for rapid
malaria tests and none of the eleven cases was detected by thin film microscopy.
CONCLUSIONS/SIGNIFICANCE: LAMP can be performed in basic rural laboratories
without the need for specialized infrastructure and it may set a reliable tool
for malaria control to detect a low level parasitemia.
PMID- 26555070
TI - Parasitological, Hematological and Biochemical Characteristics of a Model of
Hyper-microfilariaemic Loiasis (Loa loa) in the Baboon (Papio anubis).
AB - BACKGROUND: Loiasis, a filarial infection caused by Loa loa usually thought to
cause relatively minor morbidity, can cause serious and often fatal reactions in
patients carrying very high levels of circulating Loa loa microfilariae (mf)
following administration of microfilaricidal drugs. An experimental model of this
condition would greatly aid the definition of the optimal management of this
important clinical presentation. METHODOLOGY/PRINCIPLE FINDINGS: Fifteen baboons
(Papio anubis) were infected with 600 infective larvae (L3) isolated from
Chrysops vector flies. Animals were observed for any clinical changes; blood
samples were collected every 1-2 months for 22 months, and analysed for
parasitological, hematological and biochemical profiles using standard
techniques. All animals became patent but remained clinically normal throughout
the study. The parasitological pre-patent period was between 4-8 months, with a
majority (60%) of animals becoming patent by 5 months post infection (MPI); all
animals were patent by 8 MPI. Microfilarial loads increased steadily in all
animals and reached a peak at 18 MPI. By 10 MPI >70% of animals had mf >8,000
mf/mL, and at 18 MPI >70% of animals had mf >30,000 mf/mL with 50% of these
animals with mf >50,000 mf/mL. Absolute eosinophil, creatinine, Ca2+ and K+
levels were generally above normal values (NV). Positive associations were seen
between microfilariaemia and eosinophilia, Hb, Ca2+, and gamma-GT values, whilst
significant negative associations were seen between microfilariaemia and
potassium, glucose and mononuclear leukocyte levels. CONCLUSIONS: Infection of
splenectomised baboons with L. loa can induce levels of circulating
microfilariae, and corresponding haematological profiles, which parallel those
seen in those humans in danger of the severe post-microfilariacide clinical
responses. Utilization of this experimental model could contribute to the
improved management of the loiasis related adverse responses in humans.
PMID- 26555071
TI - Modeling Soil Organic Carbon at Regional Scale by Combining Multi-Spectral Images
with Laboratory Spectra.
AB - There is a great challenge in combining soil proximal spectra and remote sensing
spectra to improve the accuracy of soil organic carbon (SOC) models. This is
primarily because mixing of spectral data from different sources and technologies
to improve soil models is still in its infancy. The first objective of this study
was to integrate information of SOC derived from visible near-infrared
reflectance (Vis-NIR) spectra in the laboratory with remote sensing (RS) images
to improve predictions of topsoil SOC in the Skjern river catchment, Denmark. The
second objective was to improve SOC prediction results by separately modeling
uplands and wetlands. A total of 328 topsoil samples were collected and analyzed
for SOC. Satellite Pour l'Observation de la Terre (SPOT5), Landsat Data
Continuity Mission (Landsat 8) images, laboratory Vis-NIR and other ancillary
environmental data including terrain parameters and soil maps were compiled to
predict topsoil SOC using Cubist regression and Bayesian kriging. The results
showed that the model developed from RS data, ancillary environmental data and
laboratory spectral data yielded a lower root mean square error (RMSE) (2.8%) and
higher R2 (0.59) than the model developed from only RS data and ancillary
environmental data (RMSE: 3.6%, R2: 0.46). Plant-available water (PAW) was the
most important predictor for all the models because of its close relationship
with soil organic matter content. Moreover, vegetation indices, such as the
Normalized Difference Vegetation Index (NDVI) and Enhanced Vegetation Index
(EVI), were very important predictors in SOC spatial models. Furthermore, the
'upland model' was able to more accurately predict SOC compared with the 'upland
& wetland model'. However, the separately calibrated 'upland and wetland model'
did not improve the prediction accuracy for wetland sites, since it was not
possible to adequately discriminate the vegetation in the RS summer images. We
conclude that laboratory Vis-NIR spectroscopy adds critical information that
significantly improves the prediction accuracy of SOC compared to using RS data
alone. We recommend the incorporation of laboratory spectra with RS data and
other environmental data to improve soil spatial modeling and digital soil
mapping (DSM).
PMID- 26555069
TI - Neurological Response to cART vs. cART plus Integrase Inhibitor and CCR5
Antagonist Initiated during Acute HIV.
AB - OBJECTIVE: To compare central nervous system (CNS) outcomes in participants
treated during acute HIV infection with standard combination antiretroviral
therapy (cART) vs. cART plus integrase inhibitor and CCR5 antagonist (cART+).
DESIGN: 24-week randomized open-label prospective evaluation. METHOD:
Participants were evaluated then randomized to initiate cART (efavirenz,
tenofovir, and either emtricitabine or lamivudine) vs. cART+ (cART plus
raltegravir and maraviroc) during acute HIV and re-evaluated at 4, 12 and 24
weeks. We examined plasma and CSF cytokines, HIV RNA levels, neurological and
neuropsychological findings, and brain MRS across groups and compared to healthy
controls. RESULTS: At baseline, 62 participants were in Fiebig stages I-V.
Randomized groups were similar for mean age (27 vs. 25, p = 0.137), gender (each
94% male), plasma log10 HIV RNA (5.4 vs. 5.6, p = 0.382), CSF log10 HIV RNA (2.35
vs. 3.31, p = 0.561), and estimated duration of HIV (18 vs. 17 days, p = 0.546).
Randomized arms did not differ at 24 weeks by any CNS outcome. Combining arms,
all measures concurrent with antiretroviral treatment improved, for example,
neuropsychological testing (mean NPZ-4 of -0.408 vs. 0.245, p<0.001) and
inflammatory markers by MRS (e.g. mean frontal white matter (FWM) choline of 2.92
vs. 2.84, p = 0.045) at baseline and week 24, respectively. Plasma neopterin
(p<0.001) and interferon gamma-induced protein 10 (IP-10) (p = 0.007) remained
elevated in participants compared to controls but no statistically significant
differences were seen in CSF cytokines compared to controls, despite individual
variability among the HIV-infected group. CONCLUSIONS: A 24-week course of cART+
improved CNS related outcomes, but was not associated with measurable differences
compared to standard cART.
PMID- 26555072
TI - Depth-of-Focus Correction in Single-Molecule Data Allows Analysis of 3D Diffusion
of the Glucocorticoid Receptor in the Nucleus.
AB - Single-molecule imaging of proteins in a 2D environment like membranes has been
frequently used to extract diffusive properties of multiple fractions of
receptors. In a 3D environment the apparent fractions however change with
observation time due to the movements of molecules out of the depth-of-field of
the microscope. Here we developed a mathematical framework that allowed us to
correct for the change in fraction size due to the limited detection volume in 3D
single-molecule imaging. We applied our findings on the mobility of activated
glucocorticoid receptors in the cell nucleus, and found a freely diffusing
fraction of 0.49+/-0.02. Our analysis further showed that interchange between
this mobile fraction and an immobile fraction does not occur on time scales
shorter than 150 ms.
PMID- 26555073
TI - Network Physiology: How Organ Systems Dynamically Interact.
AB - We systematically study how diverse physiologic systems in the human organism
dynamically interact and collectively behave to produce distinct physiologic
states and functions. This is a fundamental question in the new interdisciplinary
field of Network Physiology, and has not been previously explored. Introducing
the novel concept of Time Delay Stability (TDS), we develop a computational
approach to identify and quantify networks of physiologic interactions from long
term continuous, multi-channel physiological recordings. We also develop a
physiologically-motivated visualization framework to map networks of dynamical
organ interactions to graphical objects encoded with information about the
coupling strength of network links quantified using the TDS measure. Applying a
system-wide integrative approach, we identify distinct patterns in the network
structure of organ interactions, as well as the frequency bands through which
these interactions are mediated. We establish first maps representing physiologic
organ network interactions and discover basic rules underlying the complex
hierarchical reorganization in physiologic networks with transitions across
physiologic states. Our findings demonstrate a direct association between network
topology and physiologic function, and provide new insights into understanding
how health and distinct physiologic states emerge from networked interactions
among nonlinear multi-component complex systems. The presented here
investigations are initial steps in building a first atlas of dynamic
interactions among organ systems.
PMID- 26555074
TI - Landscape Diversity and Crop Vigor Influence Biological Control of the Western
Grape Leafhopper (E. elegantula Osborn) in Vineyards.
AB - This study evaluated how the proportional area of natural habitat surrounding a
vineyard (i.e. landscape diversity) worked in conjunction with crop vigor,
cultivar and rootstock selection to influence biological control of the western
grape leafhopper (Erythroneura elegantula Osborn). The key natural enemies of E.
elegantula are Anagrus erythroneurae S. Trjapitzin & Chiappini and A. daanei
Triapitsyn, both of which are likely impacted by changes in landscape diversity
due to their reliance on non-crop habitat to successfully overwinter.
Additionally, E. elegantula is sensitive to changes in host plant quality which
may influence densities on specific cultivars, rootstocks and/or vines with
increased vigor. From 2010-2013, data were collected on natural enemy and
leafhopper densities, pest parasitism rates and vine vigor from multiple
vineyards that represented a continuum of landscape diversity. Early in the
season, vineyards in more diverse landscapes had higher Anagrus spp. densities
and lower E. elegantula densities, which led to increased parasitism of E.
elegantula. Although late season densities of E. elegantula tended to be lower in
vineyards with higher early season parasitism rates and lower total petiole
nitrogen content, they were also affected by rootstock and cultivar. While
diverse landscapes can support higher natural enemy populations, which can lead
to increased biological control, leafhopper densities also appear to be mediated
by cultivar, rootstock and vine vigor.
PMID- 26555075
TI - The Retinoblastoma Tumor Suppressor Transcriptionally Represses Pak1 in
Osteoblasts.
AB - We previously characterized the retinoblastoma tumor suppressor protein (Rb) as a
regulator of adherens junction assembly and cell-to-cell adhesion in osteoblasts.
This is a novel function since Rb is predominantly known as a cell cycle
repressor. Herein, we characterized the molecular mechanisms by which Rb performs
this function, hypothesizing that Rb controls the activity of known regulators of
adherens junction assembly. We found that Rb represses the expression of the p21
activated protein kinase (Pak1), an effector of the small Rho GTPase Rac1. Rac1
is a well-known regulator of adherens junction assembly whose increased activity
in cancer is linked to perturbations of intercellular adhesion. Using nuclear run
on and luciferase reporter transcription assays, we found that Pak1 repression by
Rb is transcriptional, without affecting Pak1 mRNA and protein stability. Pak1
promoter bioinformatics showed multiple E2F1 binding sites within 155 base pairs
of the transcriptional start site, and a Pak1-promoter region containing these
E2F sites is susceptible to transcriptional inhibition by Rb. Chromatin
immunoprecipitations showed that an Rb-E2F complex binds to the region of the
Pak1 promoter containing the E2F1 binding sites, suggesting that Pak1 is an E2F
target and that the repressive effect of Rb on Pak1 involves blocking the trans
activating capacity of E2F. A bioinformatics analysis showed elevated Pak1
expression in several solid tumors relative to adjacent normal tissue, with both
Pak1 and E2F increased relative to normal tissue in breast cancer, supporting a
cancer etiology for Pak1 up-regulation. Therefore, we propose that by repressing
Pak1 expression, Rb prevents Rac1 hyperactivity usually associated with cancer
and related to cytoskeletal derangements that disrupt cell adhesion, consequently
enhancing cancer cell migratory capacity. This de-regulation of cell adhesion due
to Rb loss could be part of the molecular events associated with cancer
progression and metastasis.
PMID- 26555076
TI - Complete Genomic and Lysis-Cassette Characterization of the Novel Phage,
KBNP1315, which Infects Avian Pathogenic Escherichia coli (APEC).
AB - Avian pathogenic Escherichia coli (APEC) is a major pathogen that causes avian
colibacillosis and is associated with severe economic losses in the chicken
farming industry. Here, bacteriophage KBNP1315, infecting APEC strain KBP1315,
was genomically and functionally characterized. The evolutionary relationships of
KBNP1315 were analyzed at the genomic level using gene (protein)-sharing
networks, the Markov clustering (MCL) algorithm, and comparative genomics. Our
network analysis showed that KBNP1315 was connected to 30 members of the
Autographivirinae subfamily, which comprises the SP6-, T7-, P60-, phiKMV-, GAP227
and KP34-related groups. Network decomposition suggested that KBNP1315 belongs
to the SP6-like phages, but our comparison of putative encoded proteins revealed
that key proteins of KBNP1315, including the tail spike protein and endolysin,
had relative low levels of amino acid sequence similarity with other members of
the SP6-like phages. Thus KBNP1315 may only be distantly related to the SP6-like
phages, and (based on the difference in endolysin) its lysis mechanism may differ
from theirs. To characterize the lytic functions of the holin and endolysin
proteins from KBNP1315, we expressed these proteins individually or
simultaneously in E. coli BL21 (DE3) competent cell. Interestingly, the expressed
endolysin was secreted into the periplasm and caused a high degree of host cell
lysis that was dose-dependently delayed/blocked by NaN3-mediated inhibition of
the SecA pathway. The expressed holin triggered only a moderate inhibition of
cell growth, whereas coexpression of holin and endolysin enhanced the lytic
effect of endolysin. Together, these results revealed that KBNP1315 appears to
use a pin-holin/signal-arrest-release (SAR) endolysin pathway to trigger host
cell lysis.
PMID- 26555077
TI - Do Patients Want to Die at Home? A Systematic Review of the UK Literature,
Focused on Missing Preferences for Place of Death.
AB - BACKGROUND: End-of-life care policy has a focus on enabling patients to die in
their preferred place; this is believed for most to be home. This review assesses
patient preferences for place of death examining: the extent of unreported
preferences, the importance of patient factors (place of care and health
diagnosis) and who reports preferences. METHODS AND FINDINGS: Systematic
literature review of 7 electronic databases, grey literature, backwards citations
from included studies and Palliative Medicine hand search. Included studies
published between 2000-2015, reporting original, quantifiable results of adult UK
preferences for place of death. Of 10826 articles reviewed, 61 met the inclusion
criteria. Summary charts present preferences for place of death by health
diagnosis, where patients were asked and who reported the preference. These
charts are recalculated to include 'missing data,' the views of those whose
preferences were not asked, expressed or reported or absent in studies. Missing
data were common. Across all health conditions when missing data were excluded
the majority preference was for home: when missing data were included, it was not
known what proportion of patients with cancer, non-cancer or multiple conditions
preferred home. Patients, family proxies and public all expressed a majority
preference for home when missing data were excluded: when included, it was not
known what proportion of patients or family proxies preferred home. Where
patients wished to die was related to where they were asked their preference.
Missing data calculations are limited to 'reported' data. CONCLUSIONS: It is
unknown what proportion of patients prefers to die at home or elsewhere. Reported
preferences for place of death often exclude the views of those with no
preference or not asked: when 'missing data' are included, they supress the
proportion of preferences for all locations. Caution should be exercised if
asserting that most patients prefer to die at home.
PMID- 26555078
TI - Human papillomavirus and its clinical relevance in oesophageal squamous cell
carcinoma in a Kurdish population in the west of Iran.
AB - Background The aetiological role of Human Papillomavirus (HPV) in oesophageal
squamous cell carcinoma (ESCC) was evaluated by assessment of the presence and
status of HPV DNA in a Kurdish population in the west of Iran. Methods One
hundred and three paraffin-embedded ESCC tissue samples, diagnosed between 2007
2013, were included in the study. DNA was extracted and then HPV presence and
genotypes were determined by PCR and INNO-LiPA genotyping, respectively. Results
HPV DNA was detected in 11/103 (10.7%) of ESCCs. HPV-18 and HPV-16 genotypes were
determined in five and six samples, respectively. Co-infection of HPV-6 was only
found with HPV-18 in two cases. There were no statistically significant
distinctions between HPV-positive and HPV-negative cases with regard to clinical
and pathologic findings. Conclusion The present study indicates that, among a
group of Kurdish people in two provinces in the west of Iran, as a low-risk ESCC
area, HPV could be one of the risk factors, although in a small proportion of the
patients.
PMID- 26555079
TI - Anxiety, attention, and decision making: The moderating role of heart rate
variability.
AB - The current exploratory research examined whether high frequency heart rate
variability (HF-HRV) modulates the association between anxiety and (1) executive
attentional control during situations involving neutral stimuli, in which the
distractor stimuli are in conflict with the target stimulus, and (2) risk
aversion in decision making. Forty-five participants (21 with low and 24 with
high trait-anxiety) performed a modified version of the Attention Network Test to
measure attentional control, and the Balloon Analog Risk Task to measure risk
aversion. HF-HRV was recorded during a rest period before completion of the
tasks. Results showed that individuals with high anxiety and low HF-HRV have
worse attentional control in the face of conflicting information as well as
greater risk aversion, in comparison with individuals with both high anxiety and
high HF-HRV or low anxiety (regardless of HF-HRV). HF-HRV was positively
associated with attentional control and negatively associated with risk aversion.
Furthermore, a strong negative association was observed between attentional
control and risk aversion. These results suggest that HF-HRV modulates the
influence of anxiety on both attentional control to neutral stimuli, and risk
aversion in decision making. Greater HF-HRV appears to fulfill a protective role
in highly anxious individuals. The associations observed also suggest that
executive control of attention plays a relevant role in decision making. These
results support the relevance of the autonomic nervous system in sustained
cognition and are in accordance with theories in which vagal-mediated heart rate
variability is taken as an indicator of prefrontal cortex inhibitory influences.
PMID- 26555080
TI - Absence of mutations in HCRT, HCRTR1 and HCRTR2 in patients with ROHHAD.
AB - BACKGROUND AND OBJECTIVES: Rapid-onset obesity with hypothalamic dysfunction,
hypoventilation, and autonomic dysregulation (ROHHAD) is a rare pediatric disease
of unknown cause. Here, in response to a recent case report describing a ROHHAD
patient who suffered from secondary narcolepsy confirmed by an absence of
hypocretin-1 in the cerebrospinal fluid, we consider whether the ROHHAD phenotype
is owing to one or more mutations in genes specific to hypocretin protein
signalling. METHODS: DNA samples from 16 ROHHAD patients were analyzed using a
combination of next-generation and Sanger sequencing to identify exonic sequence
variations in three genes: HCRT, HCRTR1, and HCRTR2. RESULTS: No rare or novel
mutations were identified in the exons of HCRT, HCRTR1, or HCRTR2 genes in a set
of 16 ROHHAD patients. CONCLUSIONS: ROHHAD is highly unlikely to be caused by
mutations in the exons of the genes for hypocretin and its two receptors.
PMID- 26555081
TI - Effect of lung resection on pleuro-pulmonary mechanics and fluid balance.
AB - The aim of the study was to determine in human patients the effect of lung
resection on lung compliance and on pleuro-pulmonary fluid balance. Pre and post
operative values of compliance were measured in anesthetized patients undergoing
resection for lung cancer (N=11) through double-lumen bronchial intubation. Lung
compliance was measured for 10-12 cm H2O increase in alveolar pressure from 5 cm
H2O PEEP in control and repeated after resection. No air leak was assessed and
pleural fluid was collected during hospital stay. A significant negative
correlation (r(2)=0.68) was found between compliance at 10 min and resected mass.
Based on the pre-operative estimated lung weight, the decrease in compliance
following lung resection exceeded by 10-15% that expected from resected mass.
Significant negative relationships were found by relating pleural fluid drainage
flow to the remaining lung mass and to post-operative lung compliance. Following
lung re-expansion, data suggest a causative relationship between the decrease in
compliance and the perturbation in pleuro-pulmonary fluid balance.
PMID- 26555083
TI - Breast metastasis from melanoma mimicking inflammatory breast cancer.
PMID- 26555085
TI - Maximum rates of climate change are systematically underestimated in the
geological record.
AB - Recently observed rates of environmental change are typically much higher than
those inferred for the geological past. At the same time, the magnitudes of
ancient changes were often substantially greater than those established in recent
history. The most pertinent disparity, however, between recent and geological
rates is the timespan over which the rates are measured, which typically differ
by several orders of magnitude. Here we show that rates of marked temperature
changes inferred from proxy data in Earth history scale with measurement timespan
as an approximate power law across nearly six orders of magnitude (10(2) to
>10(7) years). This scaling reveals how climate signals measured in the
geological record alias transient variability, even during the most pronounced
climatic perturbations of the Phanerozoic. Our findings indicate that the true
attainable pace of climate change on timescales of greatest societal relevance is
underestimated in geological archives.
PMID- 26555084
TI - Instrumentation of Near-term Fetal Sheep for Multivariate Chronic Non
anesthetized Recordings.
AB - The chronically instrumented pregnant sheep has been used as a model of human
fetal development and responses to pathophysiologic stimuli such as endotoxins,
bacteria, umbilical cord occlusions, hypoxia and various pharmacological
treatments. The life-saving clinical practices of glucocorticoid treatment in
fetuses at risk of premature birth and the therapeutic hypothermia have been
developed in this model. This is due to the unique amenability of the non
anesthetized fetal sheep to the surgical placement and maintenance of catheters
and electrodes, allowing repetitive blood sampling, substance injection,
recording of bioelectrical activity, application of electric stimulation and in
vivo organ imaging. Here we describe the surgical instrumentation procedure
required to achieve a stable chronically instrumented non-anesthetized fetal
sheep model including characterization of the post-operative recovery from blood
gas, metabolic and inflammation standpoints.
PMID- 26555086
TI - Analytical ultracentrifugation: A versatile tool for the characterisation of
macromolecular complexes in solution.
AB - Analytical ultracentrifugation, an early technique developed for characterizing
quantitatively the solution properties of macromolecules, remains a powerful aid
to structural biologists in their quest to understand the formation of
biologically important protein complexes at the molecular level. Treatment of the
basic tenets of the sedimentation velocity and sedimentation equilibrium variants
of analytical ultracentrifugation is followed by considerations of the roles that
it, in conjunction with other physicochemical procedures, has played in resolving
problems encountered in the delineation of complex formation for three biological
systems - the cytoplasmic dynein complex, mitogen-activated protein kinase (ERK2)
self-interaction, and the terminal catalytic complex in selenocysteine synthesis.
PMID- 26555087
TI - USP10 Expression in Normal Adrenal Gland and Various Adrenal Tumors.
AB - Ubiquitin-specific protease 10 (USP10), a novel deubiquitinating enzyme, is
associated with androgen receptor transcriptional activity and pathological
processes of tumor. However, information between USP10 and the adrenal gland is
limited. In particular, the role of USP10 in adrenal tumors has not been
elucidated yet. This study aims to investigate the expression of USP10 in the
human normal adrenal gland and various adrenal tumors. Tissue samples were
obtained from 30 adrenocortical adenomas, nine adrenocortical adenocarcinomas,
and 20 pheochromocytomas following laparoscopic surgery. Twenty normal adrenal
glands were obtained from kidney surgical resection conducted due to renal cell
carcinomas. USP10 expression was investigated on protein levels using
immunohistochemistry and on mRNA levels using bioinformatics analysis in the Gene
Expression Omnibus (GEO) Datasets. In the 20 cases of normal adrenal glands
analyzed, USP10 protein was constantly expressed in situ in the cortex of the
adrenal glands, but in the medulla of the gland, only the sustentacular cells
were detected positive. In adrenal tumors, detectable levels of USP10 protein
were found in 100 % (30/30) adrenocortical adenomas, 88.89 % (8/9) adrenocortical
carcinomas, and 10 % (2/20) pheochromocytomas. Bioinformatics analysis did not
show a significant difference in USP10 messenger RNA (mRNA) expression between
adrenal tumors and normal adrenal gland tissues. A positive USP10 immunoreaction
can be useful in distinguishing adrenal cortical tumors from pheochromocytoma.
PMID- 26555088
TI - Anti-high mobility group box 1 antibody exerts neuroprotection in a rat model of
Parkinson's disease.
AB - The high mobility group box-1 (HMGB1) exists as an architectural nuclear protein
in the normal state, but displays an inflammatory cytokine-like activity in the
extracellular space under pathological condition. Inflammation in the
pathogenesis of Parkinson's disease (PD) has been documented. In this study, we
investigated the involvement of HMGB1 in the pathology and the neuroprotective
effects of neutralizing anti-HMGB1 monoclonal antibody (mAb) on an animal model
of PD. Adult female Sprague-Dawley rats were initially injected with 6
hydroxydopmaine (6-OHDA, 20 MUg/4 MUl) into the right striatum, then anti-HMGB1
mAb (1 mg/kg), or control mAb was intravenously administered immediately, at 6
and 24 h after 6-OHDA injection. The treatment with anti-HMGB1 mAb significantly
preserved dopaminergic neurons in substantia nigra pars compacta and dopaminergic
terminals inherent in the striatum, and attenuated PD behavioral symptoms
compared to the control mAb-treated group. HMGB1 was retained in the nucleus of
neurons and astrocytes by inhibiting the proinflammation-induced oxidative stress
in the anti-HMGB1 mAb-treated group, whereas HMGB1 translocation was observed in
neurons at 1 day and astrocytes at 7 days after 6-OHDA injection in the control
mAb-treated group. Anti-HMGB1 mAb inhibited the activation of microglia,
disruption of blood-brain-barrier (BBB), and the expression of inflammation
cytokines such as IL-1beta and IL-6. These results suggested that HMGB1 released
from neurons and astrocytes was at least partly involved in the mechanism and
pathway of degeneration of dopaminergic neurons induced by 6-OHDA exposure.
Intravenous administration of anti-HMGB1 mAb stands as a novel therapy for PD
possibly acting through the suppression of neuroinflammation and the attenuation
of disruption of BBB associated with the disease.
PMID- 26555089
TI - A Systematic Review of Loneliness and Smoking: Small Effects, Big Implications.
AB - BACKGROUND: Research supports an association between smoking and negative affect.
Loneliness is a negative affective state experienced when a person perceives
themselves as socially isolated and is associated with poor health behaviors and
increased morbidity and early mortality. OBJECTIVES: In this article, we
systematically review the literature on loneliness and smoking and suggest
potential theoretical and methodological implications. METHODS: PubMed and
PsycINFO were systematically searched for articles that assessed the statistical
association between loneliness and smoking. Articles that met study inclusion
criteria were reviewed. RESULTS: Twenty-five studies met inclusion criteria. Ten
studies were conducted with nationally representative samples. Twelve studies
assessed loneliness using a version of the UCLA Loneliness Scale and nine used a
one-item measure of loneliness. Seventeen studies assessed smoking with a binary
smoking status variable. Fourteen of the studies were conducted with adults and
11 with adolescents. Half of the reviewed studies reported a statistically
significant association between loneliness and smoking. Of the studies with
significant results, all but one study found that higher loneliness scores were
associated with being a smoker. CONCLUSIONS/IMPORTANCE: Loneliness and smoking
are likely associated, however, half of the studies reviewed did not report
significant associations. Studies conducted with larger sample sizes, such as
those that used nationally representative samples, were more likely to have
statistically significant findings. Future studies should focus on using large,
longitudinal cohorts, using measures that capture different aspects of loneliness
and smoking, and exploring mediators and moderators of the association between
loneliness and smoking.
PMID- 26555090
TI - New insight into the phosphorylation-regulated intranuclear localization of human
cytomegalovirus pUL69 mediated by cyclin-dependent kinases (CDKs) and viral CDK
orthologue pUL97.
AB - Cyclin-dependent kinases (CDKs) are multifaceted regulators involved in the
replication of human cytomegalovirus. Recently, we demonstrated an interaction of
CDK9-cyclin T1 as well as viral CDK orthologue pUL97 with the viral regulator
pUL69, thereby leading to pUL69-activating phosphorylation. Here, we demonstrate
that colocalization and direct pUL69-cyclin T1 interaction is independent of
viral strains and host cell types. In vitro phosphorylation of pUL69 by CDK9 or
pUL97 did not occur in a single site-specific manner, but at multiple sites. The
previously described fine-speckled nuclear aggregation of pUL69 was assigned to
the late phase of viral replication. CDK inhibitors, including a novel inhibitor
of the CDK-activating kinase CDK7, massively intensified this fine-speckled
accumulation. Interestingly, we also observed spontaneous pUL69 accumulation in
the absence of inhibitors at a lower frequency. These findings provide new
insight into pUL69 kinase interregulation and emphasize the importance of pUL69
phosphorylation for correct intranuclear localization.
PMID- 26555092
TI - Somatic loss of function mutations in neurofibromin 1 and MYC associated factor X
genes identified by exome-wide sequencing in a wild-type GIST case.
AB - BACKGROUND: Approximately 10-15 % of gastrointestinal stromal tumors (GISTs) lack
gain of function mutations in the KIT and platelet-derived growth factor receptor
alpha (PDGFRA) genes. An alternate mechanism of oncogenesis through loss of
function of the succinate-dehydrogenase (SDH) enzyme complex has been identified
for a subset of these "wild type" GISTs. METHODS: Paired tumor and normal DNA
from an SDH-intact wild-type GIST case was subjected to whole exome sequencing to
identify the pathogenic mechanism(s) in this tumor. Selected findings were
further investigated in panels of GIST tumors through Sanger DNA sequencing,
quantitative real-time PCR, and immunohistochemical approaches. RESULTS: A
hemizygous frameshift mutation (p.His2261Leufs*4), in the neurofibromin 1 (NF1)
gene was identified in the patient's GIST; however, no germline NF1 mutation was
found. A somatic frameshift mutation (p.Lys54Argfs*31) in the MYC associated
factor X (MAX) gene was also identified. Immunohistochemical analysis for MAX on
a large panel of GISTs identified loss of MAX expression in the MAX-mutated GIST
and in a subset of mainly KIT-mutated tumors. CONCLUSION: This study suggests
that inactivating NF1 mutations outside the context of neurofibromatosis may be
the oncogenic mechanism for a subset of sporadic GIST. In addition, loss of
function mutation of the MAX gene was identified for the first time in GIST, and
a broader role for MAX in GIST progression was suggested.
PMID- 26555082
TI - Does posterior cingulate hypometabolism result from disconnection or local
pathology across preclinical and clinical stages of Alzheimer's disease?
AB - PURPOSE: Posterior cingulate cortex (PCC) hypometabolism as measured by FDG PET
is an indicator of Alzheimer's disease (AD) in prodromal stages, such as in mild
cognitive impairment (MCI), and has been found to be closely associated with
hippocampus atrophy in AD dementia. We studied the effects of local and remote
atrophy and of local amyloid load on the PCC metabolic signal in patients with
different preclinical and clinical stages of AD. METHODS: We determined the
volume of the hippocampus and PCC grey matter based on volumetric MRI scans, PCC
amyloid load based on AV45 PET, and PCC metabolism based on FDG PET in 667
subjects participating in the Alzheimer's Disease Neuroimaging Initiative
spanning the range from cognitively normal ageing through prodromal AD to AD
dementia. RESULTS: In cognitively normal individuals and those with early MCI,
PCC hypometabolism was exclusively associated with hippocampus atrophy, whereas
in subjects with late MCI it was associated with both local and remote effects of
atrophy as well as local amyloid load. In subjects with AD dementia, PCC
hypometabolism was exclusively related to local atrophy. CONCLUSION: Our findings
suggest that the effects of remote pathology on PCC hypometabolism decrease and
the effects of local pathology increase from preclinical to clinical stages of
AD, consistent with a progressive disconnection of the PCC from downstream
cortical and subcortical brain regions.
PMID- 26555093
TI - Characterization of mesenchymal stem cells derived from the equine synovial fluid
and membrane.
AB - BACKGROUND: Isolation of mesenchymal stem cells (MSCs) in equines, has been
reported for different tissues including bone marrow, adipose, umbilical cord,
peripheral blood, and yolk sac. In regard to the MSCs derived from synovial fluid
(SF) or membrane (SM), there is data available for humans, dogs, pigs, goats and
horses. Especially in equines, these cells have being considered promising
candidates for articular regeneration. Herein, we established and characterized
MSCs obtained from equine SF and SM. Samples were obtained during arthroscopy and
cultured using MEM (Minimum Essential Medium). MSCs were characterized by
morphology and expression of specific markers for stem cells, pluripotency,
inflammation, and cell cycle. RESULTS: The medium MEM was more effective (97% +/-
2) to maintain both cultures. The cultures were composed by adherent cells with
fibroblast-like shape, which had a growth pattern represented by a sigmoidal
curve. After the expansion, the cells were analyzed by flow cytometry for stem
cells, inflammatory, and cell cycle markers, and both lineages showed significant
expression of CD45, Oct3/4, Nanog, CD105, CD90, CD34, CD117, CD133, TRA-1-81,
VEGF, and LY6a. In contrast, there were differences in the cell cycle phases
between the lineages, which was not observed in relation to the mitochondrial
electrical potential. CONCLUSION: Given the large impact that joint pathology has
on the athletic performance horses, our results suggested that the SF and SM are
promising sources of stem cells with satisfactory characteristics of growth and
gene expression that can be used in equine regenerative medicine.
PMID- 26555096
TI - Controlling the prion propensity of glutamine/asparagine-rich proteins.
AB - The yeast Saccharomyces cerevisiae can harbor a number of distinct prions. Most
of the yeast prion proteins contain a glutamine/asparagine (Q/N) rich region that
drives prion formation. Prion-like domains, defined as regions with high
compositional similarity to yeast prion domains, are common in eukaryotic
proteomes, and mutations in various human proteins containing prion-like domains
have been linked to degenerative diseases, including amyotrophic lateral
sclerosis. Here, we discuss a recent study in which we utilized two strategies to
generate prion activity in non-prion Q/N-rich domains. First, we made targeted
mutations in four non-prion Q/N-rich domains, replacing predicted prion
inhibiting amino acids with prion-promoting amino acids. All four mutants formed
foci when expressed in yeast, and two acquired bona fide prion activity. Prion
activity could be generated with as few as two mutations, suggesting that many
non-prion Q/N-rich proteins may be just a small number of mutations from
acquiring aggregation or prion activity. Second, we created tandem repeats of
short prion-prone segments, and observed length-dependent prion activity. These
studies demonstrate the considerable progress that has been made in understanding
the sequence basis for aggregation of prion and prion-like domains, and suggest
possible mechanisms by which new prion domains could evolve.
PMID- 26555098
TI - Timing of harvest of Phragmites australis (CAV.) Trin. ex Steudel affects
subsequent canopy structure and nutritive value of roughage in subtropical
highland.
AB - In recent decades, constructed wetlands dominated by common reeds [Phragmites
australis (CAV.) Trin. ex Steudel] have been utilized for treating nitrogen-rich
wastewaters. Although plant harvest is a vegetation management in constructed
wetlands for the purpose of improving nutrient removal, harvested biomass has
become a problem in many places. The reed has attracted increasing interest for
its potential as high-quality roughage for ruminants. Therefore, it is crucial to
understand the effect of reed harvest timing on subsequent regrowth,
reconstruction of canopy structure, and nutritive value of regrown biomass for
roughage when defining an appropriate vegetation management in constructed
wetlands. The shoots of common reeds were harvested in January (winter), March
(spring), and May (early summer) in a free-water surface constructed wetland in
southwest China. Harvesting in winter enhanced the shoot regrowth and
concentrations of total digestible nutrients (TDN), probably due to vigorous
translocations of nonstructural carbohydrates from rhizomes. Harvesting in spring
and early summer decreased aboveground biomass, nitrogen (N) standing stock, and
concentrations of TDN. From fifty to 110 days after harvest, the TDN had sharply
declined to values similar to non-harvested stands. Thus, to obtain high-quality
roughage, it is recommended that regrown shoots be harvested again within a year
in the early growing stage after the first harvest in winter.
PMID- 26555099
TI - GIS applied to agriclimatological zoning and agrotoxin residue monitoring in
tomatoes: A case study in Espirito Santo state, Brazil.
AB - Searches related to global warming have provided important insights into the
response of terrestrial ecosystems, but few have examined the impacts on
agricultural crops, particularly those associated with the monitoring of
agrotoxin residues. In this context, the agriclimatological zoning is an
important tool in the planning and consolidation of crops and should be
considered in any initiative that involves such planning. This tool is
particularly important in the analysis of agrotoxin residues and may be applied
by the Program Analysis of Agrotoxin Residues in Food (PARA) created by the
National Health Vigilance Agency of Brazil (ANVISA), which enables greater food
security and contributes to the improvement of human health. The aim of this
study was to elaborate the current and future agriclimatological zoning for the
tomato crop, relating it with the monitoring of samples collected by PARA in
Espirito Santo State, Brazil. The results indicate that a temperature increase of
5 degrees C creates a decrease in apt areas from 37.3% to 4.3%, for a total
reduction of 33 percentage points (-88.5%). It is noted that of the 41 producing
municipalities, only 26 have apt areas greater than 50%, highlighting the
municipalities with apt areas greater than 90%, represented by Mantenopolis
(100%), Guacui (98.5%), Sao Jose do Calcado (97.8%), Irupi (94.4%), Santa Teresa
(92.3%), and Marechal Floriano (91.4%). The veracity of agriclimatological zoning
is proved by a Kendall rank correlation coefficient of 0.876, indicating that the
distribution of the variables of apt areas and productivity are similar at the
significance level of 0.05 with a confidence interval 95%. After validation of
the agriclimatological zoning for the tomato crop, it is recommended that the
PARA should monitor 36 municipalities rather than the current 18, representing an
increase of 100%. The methodology can be adjusted to agricultural crops of other
countries.
PMID- 26555100
TI - Investigating the differences between receptor and dispersion modeling for
concentration prediction and health risk assessment of volatile organic compounds
from petrochemical industrial complexes.
AB - Receptor and dispersion models both provide important information to help
understand the emissions of volatile organic compounds (VOCs) and develop
effective management strategies. In this study, differences between the predicted
concentrations of two models and the associated impacts on the estimated health
risks due to different theories behind two models were investigated. Two
petrochemical industrial complexes in Kaohsiung city of southern Taiwan were
selected as the sites for this comparison. Although the study compares the
approaches by applying the methods to this specific area, the results are
expected to be adopted for other areas or industries. Ninety-nine VOC
concentrations at eight monitoring sites were analyzed, with the effects of
diurnal temperature and seasonal humidity variations being considered. The
Chemical Mass Balance (CMB) receptor model was used for source apportionment,
while the Industrial Source Complex (ISC) dispersion model was used to predict
the VOC concentrations at receptor sites. In the results of receptor modeling,
54% +/- 11% and 49% +/- 20% of the monitored concentrations were contributed by
process emissions in two complexes, whereas the numbers increased to 78% +/- 41%
and 64% +/- 44% in the results of dispersion modeling. Significant differences
were observed between two model predictions (p < 0.05). The receptor model was
more reproducible given the smaller variances of its results. The effect of
seasonal humidity variation on two model predictions was not negligible. Similar
findings were observed given that the cancer and non-cancer risks estimated by
the receptor model were lower but more reproducible. The adverse health risks
estimated by the dispersion model exceeded and were 75.3%-132.4% of the values
estimated by using the monitored data, whereas the percentages were lowered to
the range from 27.4% to 53.8% when the prediction was performed by using the
receptor model. As the results of different models could be significantly
different and affect the final health risk assessment, it is important to
carefully choose an appropriate model for prediction and to evaluate by
monitoring to avoid providing false information for appropriate management.
PMID- 26555101
TI - Flocculated sediments can reduce the size of sediment basin at construction
sites.
AB - Due to stringent water quality regulations on stormwater discharges, there is
increasing interest in chemically-assisted settling of suspended sediments at
construction sites. This study investigated settling characteristics of
flocculated sediment by polyacrylamide (PAM) in a top-loading settling tube.
Studied sediment materials were obtained from construction sites in North
Carolina, USA: Coastal Plain loamy sand (CPLS), Piedmont sandy clay loam (PSCL),
Piedmont silt loam (PSL), and Mountain clay loam (MCL). The four different
sediment suspensions mixed with and without dissolved PAM were introduced to the
top of the column individually. During a 1-h settling period, samples were taken
at 1-m depth from surface at various times and analyzed for total suspended
solids (TSS). Flocculated sediment by PAM greatly increased its settled TSS
fraction up to 95-97% only in 1-min settling period compared to those of
unflocculated sediment (16-72%). The settling improvement by PAM was profound in
the finer-textured soils (PSL and MCL) by increasing their median particle
settling velocity (>2 cm s(-1)) compared to unflocculated counterparts (<1.1 cm
s(-1)). Estimated surface area requirement of sediment basin suggested that the
basins receiving flocculated sediment could be reduced in size (surface area) by
2- to 4-times compared to those receiving unflocculated sediment. Our results
suggests that current sediment basin design could be modified when chemically
assisted settling is implemented, taking up less space and cost in construction
sites.
PMID- 26555091
TI - Human plasma protein N-glycosylation.
AB - Glycosylation is the most abundant and complex protein modification, and can have
a profound structural and functional effect on the conjugate. The oligosaccharide
fraction is recognized to be involved in multiple biological processes, and to
affect proteins physical properties, and has consequentially been labeled a
critical quality attribute of biopharmaceuticals. Additionally, due to recent
advances in analytical methods and analysis software, glycosylation is targeted
in the search for disease biomarkers for early diagnosis and patient
stratification. Biofluids such as saliva, serum or plasma are of great use in
this regard, as they are easily accessible and can provide relevant glycosylation
information. Thus, as the assessment of protein glycosylation is becoming a major
element in clinical and biopharmaceutical research, this review aims to convey
the current state of knowledge on the N-glycosylation of the major plasma
glycoproteins alpha-1-acid glycoprotein, alpha-1-antitrypsin, alpha-1B
glycoprotein, alpha-2-HS-glycoprotein, alpha-2-macroglobulin, antithrombin-III,
apolipoprotein B-100, apolipoprotein D, apolipoprotein F, beta-2-glycoprotein 1,
ceruloplasmin, fibrinogen, immunoglobulin (Ig) A, IgG, IgM, haptoglobin,
hemopexin, histidine-rich glycoprotein, kininogen-1, serotransferrin,
vitronectin, and zinc-alpha-2-glycoprotein. In addition, the less abundant
immunoglobulins D and E are included because of their major relevance in
immunology and biopharmaceutical research. Where available, the glycosylation is
described in a site-specific manner. In the discussion, we put the glycosylation
of individual proteins into perspective and speculate how the individual proteins
may contribute to a total plasma N-glycosylation profile determined at the
released glycan level.
PMID- 26555102
TI - Reply.
PMID- 26555103
TI - Quantification of aging effects upon global knee inflammation by 18F-FDG-PET.
AB - OBJECTIVE: The goal of this study was to quantify aging effects upon the global
knee joint and surrounding capsule and soft tissue inflammation using fluorine-18
fluorodeoxyglucose (18F-FDG) PET imaging. METHODS: This reanalysis of a
prospective study included 64 patients who had undergone 18F-FDG-PET for
evaluation of hip joint prostheses, and whose scans included the knee joints in
the field of view. Mean patient age was 53 years (range: 33-84 years). A fixed
sized three-dimensional region of interest was placed around each knee joint,
paying close attention to exclude the popliteal vessels. 18F-FDG-avid regions in
each knee joint were then segmented using an adaptive contrast-oriented
thresholding method, and metabolically active volume (MAV), mean standardized
uptake value (SUV mean), partial volume-corrected SUV mean (cSUV mean), and
partial volume-corrected mean metabolic volumetric product (cMVP mean = cSUV mean
* MAV) of the segmented regions were calculated. Finally, global knee
inflammation (GKI) for each knee joint was calculated as the sum of cMVP mean in
all segmented regions. Association of GKI with age was assessed with Pearson's
correlation and linear regression methods, and GKI was compared between patients
at different ages - between patients younger than 55 years and those older than
55 years - using the unpaired t-test. RESULTS: The correlation coefficient of GKI
with advancing age was 0.57 (P = 0.02). In the linear regression model,
considering GKI as the dependent variable and age and sex as independent
covariates, the beta coefficient of age was 2.1 (95% confidence interval: 1.1
3.2). For patients aged younger than 55 years versus those aged older than 55
years, the mean GKI was 157 and 190 cm3, respectively (P = 0.01). CONCLUSION:
Through the use of novel quantitative techniques, we were able to calculate GKI
and demonstrate a significant increase in the entity of joint inflammation with
advancing age. As degenerative disease is age-related and inflammation is
implicated in its pathogenesis, our findings further support this association.
These preliminary data suggest that this approach can potentially provide a means
to objectively quantify the degree of inflammation in various joint disorders,
and possibly in other knee degenerative/inflammatory diseases.
PMID- 26555104
TI - Application of Rapid Serologic Tests for Detection of Mycobacterium bovis
Infection in Free-Ranging Warthogs (Phacochoerus africanus)--Implications for
Antemortem Disease Screening.
AB - Warthogs (Phacochoerus africanus) have been implicated as potential maintenance
hosts of Mycobacterium bovis. Our preliminary investigation of bovine
tuberculosis in three warthogs describes pathologic findings and associated
positive serologic results in two infected animals. This demonstrates the
potential use of serodiagnostic tests for M. bovis infection in this species.
PMID- 26555105
TI - Survival of Frog Virus 3 in Freshwater and Sediment from an English Lake.
AB - Ranaviruses can be transmitted by contaminated water and sediment but must retain
infectivity for a sufficient period to reach and infect a susceptible host. To
determine the risk a virus represents once it enters the environment, its
persistence in that environment must be determined. We evaluated the survival of
frog virus 3 (FV3) in water and sediment from an English lake at temperatures of
4, 15, 20, and 30 C over time. The virus survived in both water and sediment;
however, survival times were significantly lower in sediment. The virus lost
infectivity in both matrices with a rise in temperature. In water, time required
for a 90% reduction in virus titer decreased from 34 d at 4 C to 5 d at 30 C. In
sediment, required time for a 90% reduction decreased from 10 d at 4 C to 1 d at
30 C. These results can be used to estimate the persistence of FV3 in the
environment and indicate that the virus could remain infectious in temperate
locations for extended periods during winter.
PMID- 26555106
TI - Prevalence of Circulating Antibodies to Bovine Herpesvirus 1 in Yaks (Bos
grunniens) on the Qinghai-Tibetan Plateau, China.
AB - Bovine Herpesvirus 1 (BoHV-1) causes infections with many clinical signs,
including rhinotracheitis, encephalitis, and genital lesions. The virus occurs
worldwide in bovines, and in recent years, it has been reported in yaks (Bos
grunniens) inhabiting the Tibetan Plateau in China. However, there is little
epidemiologic data describing BoHV-1 infections in China's yak herds. We
conducted a cross-sectional study on the Qinghai-Tibetan Plateau (QTP) in China
July 2011-July 2012 to estimate the prevalence of BoHV-1 antibody in yak herds.
We collected 1,840 serum samples from yaks on the QTP, in Tibet (988 yaks),
Qinghai (475 yaks), and Sichuan (377 yaks) Provinces. Using an enzyme-linked
immunosorbent assay, we found that 381 (38.6%) of the Tibetan samples, 212
(44.6%) of the Qinghai samples, and 105 (27.9%) of the Sichuan samples had
detectable antibodies to BoHV-1. Given that this high prevalence of infection in
yaks could result in heavy economic losses, we suggest that an effective
management program, including vaccination and strategies for infection control,
be developed.
PMID- 26555107
TI - Polymelia and Syndactyly in a Swainson's Hawk (Buteo swainsoni).
AB - A hatch-year Swainson's Hawk (Buteo swainsoni) recovered from Modoc County,
California, US, on 12 August 2012 had malformations of the rear limbs consisting
of bilateral polymelia and syndactyly. We describe the malformations and evaluate
potential causes. Postmortem examination revealed varus rotation of both femurs
and abnormal appendages originating from the distal medial surface of the
tibiotarsi with two nonfunctional digits on the right leg and one digit on the
left leg. There was syndactyly between digits III and IV of both feet. Avian pox
viral dermatitis was present on the skin of the ventral abdomen. A definitive
cause of the skeletal malformations was not identified.
PMID- 26555108
TI - Shot Ingestion by Wintering Female Northern Pintails (Anas acuta) in the Texas
Coastal Plain, 2012-14.
AB - Historically, lead poisoning through lead shot ingestion was one of the largest
health issues affecting waterfowl in North America. Lead shot was banned for use
in waterfowl hunting in the US in 1991 and was banned in Canada in 1997. However,
biologists need to understand how, and if, lead shot remaining in the environment
will continue to impact waterfowl. Our goal was to estimate lead and nontoxic
shot consumption by female Northern Pintails (Anas acuta) wintering along the
Texas coast. We found shot or metal fragments (or both) in the gizzards of 39
(17%) of 227 female Northern Pintails collected along the Texas coast. Of these,
lead shot was found in seven gizzards, steel shot was found in 24 gizzards, and
other metal and fragments were found in 20 gizzards. Some females consumed
multiple shot types. Overall, shot (lead and nontoxic combined) ingestion rates
were similar to those found prior to the lead shot ban in Texas (14%) and
Louisiana (17%); however, lead shot ingestion rates were considerably lower,
suggesting that it is becoming less available over time. All Northern Pintails
that had lead shot in their gizzards were collected from coastal habitats. While
it seems that lead shot ingestion by Northern Pintails has decreased since the
ban was put in place, monitoring lead shot ingestion rates from different regions
will provide insight into its availability in different habitats and under
various environmental conditions.
PMID- 26555109
TI - Low Titers of Canine Distemper Virus Antibody in Wild Fishers (Martes pennanti)
in the Eastern USA.
AB - Canine distemper virus (CDV) infects species in the order Carnivora. Members of
the family Mustelidae are among the species most susceptible to CDV and have a
high mortality rate after infection. Assessing an animal's pathogen or disease
load prior to any reintroduction project is important to help protect the animal
being reintroduced, as well as the wildlife and livestock in the area of
relocation. We screened 58 fishers for CDV antibody prior to their release into
Pennsylvania, US, as part of a reintroduction program. Five of the 58 (9%)
fishers had a weak-positive reaction for CDV antibody at a dilution of 1:16. None
of the fishers exhibited any clinical sign of canine distemper while being held
prior to release.
PMID- 26555110
TI - A NOVEL GAMMAHERPESVIRUS IN NORTHERN FUR SEALS (CALLORHINUS URSINUS) IS CLOSELY
RELATED TO THE CALIFORNIA SEA LION (ZALOPHUS CALIFORNIANUS) CARCINOMA-ASSOCIATED
OTARINE HERPESVIRUS-1.
AB - Otarine herpesvirus 1 (OtHV1) is strongly associated with California sea lion
(CSL, Zalophus californianus) urogenital carcinoma, the most common cancer
documented in marine mammals. In addition to CSL, OtHV1 has also been found in
association with carcinoma in South American fur seals (Arctocephalus australis),
demonstrating it can infect related species. Northern fur seals (NFS, Callorhinus
ursinus) are sympatric with CSL, and copulation between these species has been
observed; yet, there are no reports of urogenital carcinoma in NFS. We describe a
new Otarine herpesvirus found in vaginal swabs from NFS, herein called OtHV4.
Partial sequencing of the polymerase gene and the glycoprotein B gene revealed
OtHV4 is closely related to OtHV1, with 95% homology in the region of polymerase
sequenced, and phylogenetic analyses demonstrate that they are sister taxa. An
OtHV4-specific hydrolysis probe quantitative PCR was developed and validated, and
its use on vaginal swabs revealed 16 of 50 (32%) wild adult female NFS were
positive for OtHV4. The identification of a virus highly similar to the carcinoma
associated OtHV1 in a sympatric species without carcinoma suggests that
comparative genomics of OtHV1 and OtHV4 may identify candidate viral oncogenes.
PMID- 26555111
TI - Avioserpens in the Western Grebe (Aechmophorus occidentalis): A new Host and
Geographic Record for a Dracunculoid Nematode and Implications of Migration and
Climate Change.
AB - We report a new host and geographic range for the dracunculoid nematode
(Avioserpens sp.) in a Western Grebe (Aechmophorus occidentalis) from southern
Arizona, US. This discovery underscores the importance of parasite discovery and
identification in the wildlife rehabilitation setting. Climate change and weather
events affect the migratory spread of unusual parasites.
PMID- 26555112
TI - HERPESVIRUSES INCLUDING NOVEL GAMMAHERPESVIRUSES ARE WIDESPREAD AMONG PHOCID SEAL
SPECIES IN CANADA.
AB - Little is known about herpesviruses in Canadian pinnipeds. We measured prevalence
of antibodies to herpesviruses in the sera from Canadian phocid seals by an
indirect enzyme-linked immunosorbent assay. Wild harbor seals (Phoca vitulina)
and captive harbor seals were positive for antibodies to Phocid herpesvirus 1
(PhoHV-1) at prevalences of 91% and 100%, respectively. Sera from wild hooded
seals (Cystophora cristata), harp seals (Pagophilus groenlandica), and grey seals
(Halichoerus grypus) were positive for antibodies to PhoHV-1 antigenically
related herpesvirus antigens at 73%, 79%, and 96%, respectively. We isolated new
herpesviruses in cell culture from two hunter-harvested ringed seals (Pusa
hispida) in poor body condition from Ulukhaktok, Northwest Territories, Canada;
one lethargic hooded seal from the St. Lawrence Estuary, Quebec, Canada; and one
captive, asymptomatic harp seal from the Magdalen Islands, Quebec. Partial
sequencing of the herpesvirus DNA polymerase gene revealed that all four virus
isolates were closely related to PhoHV-2, a member of the Gammaherpesvirinae
subfamily, with nucleotide similarity ranging between 92.8% and 95.3%. The new
seal herpesviruses were genetically related to other known pinniped
herpesviruses, such as PhoHV-1, Otariid herpesvirus 3, Hawaiian monk (Monachus
schauinslandi) seal herpesvirus, and Phocid herpesvirus 5 with 47-48%, 55%, 77%,
and 70-77% nucleotide similarities, respectively. The harp seal herpesvirus and
both ringed seal herpesviruses were almost identical to each other, whereas the
hooded seal herpesvirus was genetically different from the three others (92.8%
nucleotide similarity), indicating detection of at least two novel seal
herpesviruses. These findings are the first isolation, partial genome sequencing,
and identification of seal gammaherpesviruses in three species of Canadian phocid
seals; two species of which were suspected of exposure to one or more
antigenically related herpesviruses based on serologic analyses.
PMID- 26555113
TI - Potential Vertical Transmission of Winter Ticks (Dermacentor albipictus) from
Moose (Alces americanus) Dams to Neonates.
AB - North American moose (Alces americanus) frequently become infested with winter
ticks (Dermacentor albipictus). During capture of neonatal moose in northeastern
Minnesota, US, in May-June 2013 and 2014, we recovered adult ticks from neonates,
presumably vertically transferred from dams, heretofore, not documented.
Infestations on neonates may have population-level implications.
PMID- 26555114
TI - First Isolation of Streptococcus halichoeri and Streptococcus phocae from a
Steller Sea Lion (Eumetopias jubatus) in South Korea.
AB - Streptococcus species are emerging potential pathogens in marine mammals. We
report the isolation and identification of Streptococcus halichoeri and
Streptococcus phocae in a Steller sea lion (Eumetopias jubatus) in South Korea.
PMID- 26555115
TI - PREVALENCE, PATHOLOGY, AND RISK FACTORS ASSOCIATED WITH STREPTOCOCCUS PHOCAE
INFECTION IN SOUTHERN SEA OTTERS (ENHYDRA LUTRIS NEREIS), 2004-10.
AB - Recent studies have implicated beta-hemolytic streptococci as opportunistic
pathogens of marine mammals, including southern sea otters (Enhydra lutris
nereis), but little is known about their prevalence or pathophysiology. Herein,
we focus on risk factors for sea otter infection by a single beta-hemolytic
streptococcal species, Streptococcus phocae. Streptococcus phocae was first
identified as a marine mammal pathogen in 1994, and the first report in southern
sea otters was in 2009. Its broad host range encompasses fish, pinnipeds,
cetaceans, and mustelids, with S. phocae now recognized as an important pathogen
of marine species worldwide. We assessed risk factors and lesion patterns for S.
phocae infection in southern sea otters. Using archival necropsy data, S. phocae
prevalence was 40.5% in fresh dead otters examined 2004-10. Skin trauma of any
type was identified as a significant risk factor for S. phocae infection. The
risk of infection was similar regardless of the cause and relative severity of
skin trauma, including mating or fight wounds, shark bite, and anthropogenic
trauma. Streptococcus phocae-infected sea otters were also more likely to present
with abscesses or bacterial septicemia. Our findings highlight the importance of
S. phocae as an opportunistic pathogen of sea otters and suggest that the most
likely portal of entry is damaged skin. Even tiny skin breaks appear to
facilitate bacterial colonization, invasion, abscess formation, and systemic
spread. Our data provide important insights for management and care of marine
species.
PMID- 26555116
TI - The dying of the light: crepuscular activity in Culicoides and impact on light
trap efficacy at temperate latitudes.
AB - The light trap is the tool of choice for conducting large-scale Culicoides
(Diptera: Ceratopogonidae) vector surveillance programmes. Its efficacy is in
doubt, however. To assess this, hourly changes in Culicoides activity over the 24
h diel were determined comparatively by way of light trapping and aerial
sweeping, and correlated against light intensity. In the Netherlands, sweeping
around cattle at pasture revealed that, in early summer, Culicoides are active
throughout the diel, and that their abundance peaks during the crepuscular period
and falls to a low during the brightest hours of the day. By contrast, the light
trap was able to accumulate Culicoides only at night (i.e. after illuminance
levels had dropped to 0 lux and midge activity had begun to decline). Although
Culicoides chiopterus and species of the Culicoides obsoletus complex were
similarly abundant around livestock, they differed critically in their hours of
peak activity, being largely diurnal and nocturnal, respectively. This polarity
helps to explain why, routinely, the C. obsoletus complex dominates light trap
collections and C. chiopterus does not. Inability to accumulate Culicoides at
light intensity levels above 0 lux means that, at ever-higher latitudes,
particularly beyond 45 degrees N, the progressive northward lengthening of the
twilight period will have an increasingly adverse impact upon the efficacy of the
light trap as a vector surveillance tool.
PMID- 26555117
TI - The Incidence and Risk Factors for Psoriatic Arthritis in Patients With
Psoriasis: A Prospective Cohort Study.
AB - OBJECTIVE: To estimate the incidence of psoriatic arthritis (PsA) in patients
with psoriasis, and to identify risk factors for its development. METHODS: The
study was designed as a prospective cohort study involving psoriasis patients who
did not have a diagnosis of arthritis at the time of study enrollment.
Information was collected about lifestyle habits, comorbidities, psoriasis
activity, and medications. Patients who developed inflammatory arthritis or
spondylitis were classified as having PsA if they fulfilled the criteria of the
Classification of Psoriatic Arthritis Study group. The annual incidence of PsA
was estimated using an event per person-years analysis. Cox proportional hazards
models, involving fixed and time-dependent explanatory variables, were fitted to
obtain estimates of the relative risk (RR) of the onset of PsA, determined in
multivariate models stratified by sex and controlled for age at onset of
psoriasis. RESULTS: The data obtained from the 464 patients who were followed up
for 8 years were analyzed. A total of 51 patients developed PsA during the 8
years since enrollment. The annual incidence rate of PsA was 2.7 cases (95%
confidence interval 2.1-3.6) per 100 psoriasis patients. The following baseline
variables were associated with the development of PsA in multivariate analysis:
severe psoriasis (RR 5.4, P = 0.006), low level of education (university/college
versus high school incomplete RR 0.22, P = 0.005; high school graduate versus
high school incomplete RR 0.30, P = 0.049), and use of retinoid medications (RR
3.4, P = 0.02). In multivariate models with time-dependent variables, psoriatic
nail pitting (RR 2.5, P = 0.002) and uveitis (RR 31.5, P = 0.0002) were
associated with the development of PsA. CONCLUSION: The incidence of PsA in
patients with psoriasis is higher than previously reported. A severe psoriasis
phenotype, presence of nail pitting, low level of education, and uveitis are
predictive of the development of PsA in patients with psoriasis.
PMID- 26555118
TI - Imaging the Intracellular Trafficking of APP with Photoactivatable GFP.
AB - Beta-amyloid (Abeta) is the major constituent of senile plaques found in the
brains of Alzheimer's disease patients. Abeta is derived from the sequential
cleavage of Amyloid Precursor Protein (APP) by beta and gamma-secretases. Despite
the importance of Abeta to AD pathology, the subcellular localization of these
cleavages is not well established. Work in our laboratory and others implicate
the endosomal/lysosomal system in APP processing after internalization from the
cell surface. However, the intracellular trafficking of APP is relatively
understudied. While cell-surface proteins are amendable to many labeling
techniques, there are no simple methods for following the trafficking of membrane
proteins from the Golgi. To this end, we created APP constructs that were tagged
with photo-activatable GFP (paGFP) at the C-terminus. After synthesis, paGFP has
low basal fluorescence, but it can be stimulated with 413 nm light to produce a
strong, stable green fluorescence. By using the Golgi marker Galactosyl
transferase coupled to Cyan Fluorescent Protein (GalT-CFP) as a target, we are
able to accurately photoactivate APP in the trans-Golgi network. Photo-activated
APP-paGFP can then be followed as it traffics to downstream compartments
identified with fluorescently tagged compartment marker proteins for the early
endosome (Rab5), the late endosome (Rab9) and the lysosome (LAMP1). Furthermore,
using inhibitors to APP processing including chloroquine or the gamma-secretase
inhibitor L685, 458, we are able to perform pulse-chase experiments to examine
the processing of APP in single cells. We find that a large fraction of APP moves
rapidly to the lysosome without appearing at the cell surface, and is then
cleared from the lysosome by secretase-like cleavages. This technique
demonstrates the utility of paGFP for following the trafficking and processing of
intracellular proteins from the Golgi to downstream compartments.
PMID- 26555119
TI - The future of pharmacogenetics in the treatment of heart failure.
AB - Heart failure is a common disease with high levels of morbidity and mortality.
Current treatment comprises beta-blockers, ACE inhibitors, aldosterone
antagonists and diuretics. Variation in clinical response seen in patients begs
the question of whether there is a pharmacogenetic component yet to be
identified. To date, the genes most studied involve the beta-1, beta-2, alpha-2
adrenergic receptors and the renin-angiotensin-aldosterone pathway, mainly
focusing on SNPs. However results have been inconsistent. Genome-wide association
studies and next-generation sequencing are seen as alternative approaches to
discovering genetic variations influencing drug response. Hopefully future
research will lay the foundations for genotype-led drug management in these
patients with the ultimate aim of improving their clinical outcome.
PMID- 26555120
TI - Future of cellular therapies in orthopaedics: Different views, one common
challenge.
PMID- 26555121
TI - The Devil Is in the Details: Achieving Reductions in Global Cardiovascular
Disease Mortality.
PMID- 26555122
TI - Health and Economic Implications of National Treatment Coverage for
Cardiovascular Disease in India: Cost-Effectiveness Analysis.
AB - BACKGROUND: Whether to cover cardiovascular disease costs is an increasingly
pressing question for low- and middle-income countries. We sought to identify the
impact of expanding national insurance to cover primary prevention, secondary
prevention, and tertiary treatment for cardiovascular disease in India. METHODS
AND RESULTS: We incorporated data from coverage experiments into a validated
microsimulation model of myocardial infarction and stroke in India to evaluate
the cost-effectiveness of alternate coverage strategies. Coverage of primary
prevention alone saved 3.6 million disability-adjusted life-years (DALY) per
annum at an incremental cost-effectiveness ratio of $469 per DALY averted when
compared with the status quo of no coverage. Coverage of primary and secondary
preventions was dominated by a strategy of covering primary prevention and
tertiary treatment, which prevented 6.6 million DALYs at an incremental cost
effectiveness ratio of $2241 per DALY averted, when compared with that of primary
prevention alone. The combination of all 3 categories yielded the greatest impact
at an incremental cost per DALY averted of $5588 when compared with coverage of
primary prevention plus tertiary treatment. When compared with the status quo of
no coverage, coverage of all 3 categories of prevention/treatment yielded an
incremental cost-effectiveness ratio of $1331 per DALY averted. In sensitivity
analyses, coverage of primary preventive treatments remained cost-effective even
if adherence and access to therapy were low, but tertiary coverage would require
avoiding unnecessary procedures to remain cost-effective. CONCLUSIONS: Coverage
of all 3 major types of cardiovascular treatment would be expected to have high
impact and reasonable cost-effectiveness in India across a broad spectrum of
access and adherence levels.
PMID- 26555123
TI - Individualized Risk Communication and Outreach for Primary Cardiovascular Disease
Prevention in Community Health Centers: Randomized Trial.
AB - BACKGROUND: Many eligible primary cardiovascular disease prevention candidates
are not treated with statins. Electronic health record data can identify patients
with increased cardiovascular disease risk. METHODS AND RESULTS: We performed a
pragmatic randomized controlled trial at community health centers in 2 states.
Participants were men aged >=35 years and women >=45 years, without
cardiovascular disease or diabetes mellitus, and with a 10-year risk of coronary
heart disease of at least 10%. The intervention group received telephone and
mailed outreach, individualized based on patients' cardiovascular disease risk
and uncontrolled risk factors, provided by lay health workers. Main outcomes
included: documented discussion of medication treatment for cholesterol with a
primary care clinician, receipt of statin prescription within 6 months, and low
density lipoprotein (LDL)-cholesterol repeated and at least 30 mg/dL lower than
baseline within 1 year. Six hundred forty-six participants (328 and 318 in the
intervention and control groups, respectively) were included. At 6 months, 26.8%
of intervention and 11.6% of control patients had discussed cholesterol treatment
with a primary care clinician (odds ratio, 2.79; [95% confidence interval, 2.25
3.46]). Statin prescribing occurred for 10.1% in the intervention group and 6.0%
in the control group (odds ratio, 1.76; [95% confidence interval, 0.90-3.45]).
The cholesterol outcome did not differ, and the majority of patients did not
repeat lipid levels during follow-up. CONCLUSIONS: Risk communication and lay
outreach increased cholesterol treatment discussions with primary care
clinicians. However, most discussions did not result in statin prescribing. For
outreach to be successful, it should be combined with interventions to encourage
clinicians to follow contemporary risk-based cholesterol treatment guidelines.
CLINICAL TRIAL REGISTRATION: URL: http://www.clincialtrials.gov. Unique
identifier: NCT01610609.
PMID- 26555124
TI - Another Piece of the Puzzle: Wait Times Call for Integrated Patient, Provider,
and System Solutions for Cardiac Rehabilitation.
PMID- 26555125
TI - Delays in Referral and Enrolment Are Associated With Mitigated Benefits of
Cardiac Rehabilitation After Coronary Artery Bypass Surgery.
AB - BACKGROUND: Cardiac rehabilitation (CR) is recommended after coronary artery
bypass graft surgery; however, the consequences of longer wait times to start CR
have not been elucidated. METHOD AND RESULTS: Cardiopulmonary, demographic, and
anthropometric assessments were conducted before and after 6 months of CR in
consecutively enrolled patients from January 1995 to October 2012. Wait times
were ascertained from referral forms and charts. Neighborhood characteristics
were ascertained using census data and cross-referencing with patients' home
geographic location. Among 6497 post- coronary artery bypass graft participants,
mean and median total wait time (time from surgery to first exercise session) was
101.1+/-47.9 and 80 days, respectively. In multiple linear regression, correlates
of longer total wait time and the 2 wait-time phases, time from surgery to CR
referral and time from CR referral to first exercise session, were determined.
Factors influencing longer wait times included female sex, greater age, being
employed, less social support, longer drive time to CR, lower neighborhood
socioeconomic status, higher systolic blood pressure, abdominal obesity, and a
complex medical history. After adjusting for correlates of delayed entry, longer
wait time for each of the total and 2 wait-time phases was significantly
associated with less improvement in cardiopulmonary fitness (VO2peak; beta=
0.165, P<0.001), body fat percentage (beta=0.032, P<0.02), resting heart rate
(beta=0.066, P<0.001), and poorer attendance to CR classes (beta=-0.081, P<0.001)
and completion rate (beta=2.741, P<0.001). CONCLUSIONS: Strategies for timely
access to CR at each phase of the process are important given the negative impact
that wait time has on key clinical outcomes. This is relevant because optimizing
VO2peak and attendance to CR has been shown to confer a mortality advantage.
PMID- 26555127
TI - The End of Journals.
PMID- 26555126
TI - Cost-Effectiveness of Screening for Primary Aldosteronism and Subtype Diagnosis
in the Resistant Hypertensive Patients.
AB - BACKGROUND: Primary aldosteronism (PA) is a common and underdiagnosed disease
with significant morbidity potentially cured by surgery. We aim to assess if the
long-term cardiovascular benefits of identifying and treating surgically
correctable PA outweigh the upfront increased costs in patients at the time
patients are diagnosed with resistant hypertension (RH). METHODS AND RESULTS: A
decision-analytic model compares aggregate costs and systolic blood pressure
changes of 6 recommended or implemented diagnostic strategies for PA in a
simulated population of at-risk RH patients. We also evaluate a 7th "treat all"
strategy wherein all patients with RH are treated with a mineralocorticoid
receptor antagonist without further testing at RH diagnosis. Changes in systolic
blood pressure are subsequently converted into gains in quality-adjusted life
years (QALYs) by applying National Health and Nutrition Examination Survey data
on concomitant risk factors to an existing cardiovascular disease simulation
model. QALYs and lifetime costs were then used to calculate incremental cost
effectiveness ratios for the competing strategies. The incremental cost
effectiveness ratio for the strategy of computerized tomography (CT) followed by
adrenal venous sampling (AVS) was $82,000/QALY compared with treat all.
Incremental cost-effectiveness ratios for CT alone and AVS alone were
$200,000/QALY and $492,000/QALY; the other strategies were more costly and less
effective. Integrating differential patient-reported health-related quality of
life adjustments for patients with PA, and incremental cost-effectiveness ratios
for screening patients with CT followed by AVS, CT alone, and AVS alone were
$52,000/QALY, $114,000/QALY, and $269,000/QALY gained. CONCLUSIONS: CT scanning
followed by AVS was a cost-effective strategy to screen for PA among patients
with RH.
PMID- 26555128
TI - Trunk muscle co-activation using functional electrical stimulation modifies
center of pressure fluctuations during quiet sitting by increasing trunk
stiffness.
AB - BACKGROUND: The purpose of this study was to examine the impact of functional
electrical stimulation (FES) induced co-activation of trunk muscles during quiet
sitting. We hypothesized that FES applied to the trunk muscles will increase
trunk stiffness. The objectives of this study were to: 1) compare the center of
pressure (COP) fluctuations during unsupported and FES-assisted quiet sitting -
an experimental study and; 2) investigate how FES influences sitting balance - an
analytical (simulation) study. METHODS: The experimental study involved 15 able
bodied individuals who were seated on an instrumented chair. During the
experiment, COP of the body projected on the seating surface was calculated to
compare sitting stability of participants during unsupported and FES-assisted
quiet sitting. The analytical (simulation) study examined dynamics of quiet
sitting using an inverted pendulum model, representing the body, and a
proportional-derivative (PD) controller, representing the central nervous system
control. This model was used to analyze the relationship between increased trunk
stiffness and COP fluctuations. RESULTS: In the experimental study, the COP
fluctuations showed that: i) the mean velocity, mean frequency and the power
frequency were higher during FES-assisted sitting; ii) the frequency dispersion
for anterior-posterior fluctuations was smaller during FES-assisted sitting; and
iii) the mean distance, range and centroidal frequency did not change during FES
assisted sitting. The analytical (simulation) study showed that increased
mechanical stiffness of the trunk had the same effect on COP fluctuations as the
FES. CONCLUSIONS: The results of this study suggest that FES applied to the key
trunk muscles increases the speed of the COP fluctuations by increasing the trunk
stiffness during quiet sitting.
PMID- 26555129
TI - Correction: synthesis and antibiotic activity of oxazolidinone-catechol
conjugates against Pseudomonas aeruginosa.
AB - Correction for 'Synthesis and antibiotic activity of oxazolidinone-catechol
conjugates against Pseudomonas aeruginosa' by Aurelie Paulen, et al., Org.
Biomol. Chem., 2016, DOI: 10.1039/c5ob01859e.
PMID- 26555131
TI - Serotoninergic antidepressants positively affect platelet ADAM10 expression in
patients with Alzheimer's disease.
AB - BACKGROUND: Studies have demonstrated a decreased platelet ADAM10 expression in
patients with Alzheimer's Disease (AD), classifying this protein as a blood-based
AD biomarker. About 50% of the patients with AD are diagnosed with depression,
which is commonly treated with tricyclic and tetracyclic antidepressants,
monoaminoxidade (MAO) inhibitors and, more preferably, with selective serotonin
reuptake inhibitors (SSRIs). Considering that a large proportion of patients with
AD takes antidepressant medications during the course of the disease we
investigated the influence of this medication on the expression of platelet
ADAM10, which is considered the main alpha-secretase preventing beta-amyloid
(betaA) formation. METHODS: Blood was collected for protein extraction from
platelets. ADAM10 was analyzed by using western blotting and reactive bands were
measured using beta-actin as endogenous control. RESULTS: Platelet ADAM10 protein
expression in patients with AD was positively influenced by serotoninergic
medication. CONCLUSION: More studies on the positive effects of serotonergic
antidepressants on ADAM10 platelet expression should be performed in order to
understand its biological mechanisms and to verify whether these effects are
reflected in the central nervous system. This work represents an important
advance for the study of AD biomarkers, as well as for more effective
pharmacological treatment of patients with AD and associated depression.
PMID- 26555130
TI - Draft genome of the scabies mite.
AB - BACKGROUND: The disease scabies, caused by the ectoparasitic mite, Sarcoptes
scabiei, causes significant morbidity in humans and other mammals worldwide.
However, there is limited data available regarding the molecular basis of host
specificity and host-parasite interactions. Therefore, we sought to produce a
draft genome for S. scabiei and use this to identify molecular markers that will
be useful for phylogenetic population studies and to identify candidate protein
coding genes that are critical to the unique biology of the parasite. METHODS: S.
scabiei var. canis DNA was isolated from living mites and sequenced to ultra-deep
coverage using paired-end technology. Sequence reads were assembled into gapped
contigs using de Bruijn graph based algorithms. The assembled genome was examined
for repetitive elements and gene annotation was performed using ab initio, and
homology-based methods. RESULTS: The draft genome assembly was about 56.2 Mb and
included a mitochondrial genome contig. The predicted proteome contained 10,644
proteins, ~67 % of which appear to have clear orthologs in other species. The
genome also contained more than 140,000 simple sequence repeat loci that may be
useful for population-level studies. The mitochondrial genome contained 13
protein coding loci and 20 transfer RNAs. Hundreds of candidate salivary gland
protein genes were identified by comparing the scabies mite predicted proteome
with sialoproteins and transcripts identified in ticks and other hematophagous
arthropods. These include serpins, ferritins, reprolysins, apyrases and new
members of the macrophage migration inhibitory factor (MIF) gene family. Numerous
other genes coding for salivary proteins, metabolic enzymes, structural proteins,
proteins that are potentially immune modulating, and vaccine candidates were
identified. The genes encoding cysteine and serine protease paralogs as well as
mu-type glutathione S-transferases are represented by gene clusters. S. scabiei
possessed homologs for most of the 33 dust mite allergens. CONCLUSION: The draft
genome is useful for advancing our understanding of the host-parasite
interaction, the biology of the mite and its phylogenetic relationship to other
Acari. The identification of antigen-producing genes, candidate immune modulating
proteins and pathways, and genes responsible for acaricide resistance offers
opportunities for developing new methods for diagnosing, treating and preventing
this disease.
PMID- 26555132
TI - Aneurysm of the Pulmonary Artery, a Systematic Review and Critical Analysis of
Current Literature.
AB - BACKGROUND: Pulmonary artery (PA) aneurysms are rare and their related
complications like dissection or rupture have been so far reported in a few
reports, and a systematic description of the disease is lacking. To identify
patients with PA aneurysm, at high-risk for complications, is critical. We
performed a systematic review of the literature to determine characteristics that
could identify high-risk patients. METHOD: A systematic search strategy was
established and executed in Pubmed, Embase, Cochrane Central Register of
Controlled Trials and Google scholar. Case reports were included if a minimal set
of data were described. RESULTS: After executing the search strategy and
exclusion of non-relevant or duplicate articles, 38 original articles, reviews
and 169 case reports could be included. Articles were classified in high and low
pressure PA aneurysms and subdivided in six groups on basis of the causative
mechanisms. PA dilatation was most common in association with pulmonary
hypertension, but only one dissection was reported in 6 original articles
containing 153 patients. Analysis of the case reports suggests that predictors of
high-risk patients are: pulmonary hypertension in congenital heart disease, fast
PA diameter growth (>2 mm/year), tissue weakness due to infection and possibly
pregnancy especially in combination. Except for 2 cases, PA dissection did not
occur, when the PA diameter was <75 mm and the PA pressure <50 mmHg. CONCLUSION:
High-risk PA aneurysms maybe identified by evaluating: the causative mechanism(s)
for PA dilatation, absolute PA diameter and growth rate and by evaluating the PA
systolic pressure.
PMID- 26555133
TI - ABO-Incompatible Living Kidney Transplants: Evolution of Outcomes and
Immunosuppressive Management.
AB - ABO-incompatible living kidney transplantation (ABO-ILKT) has steadily become
more widespread. However, the optimal immunosuppressive regimen for ABO-ILKT
remains uncertain. We aimed to determine the longitudinal changes in the outcomes
from ABO-ILKT compared with those from ABO-compatible living kidney
transplantation (ABO-CLKT) over the last 25 years. Of 1195 patients who underwent
living kidney transplantations (LKT) at our institute between 1989 and 2013, 1032
including 247 ABO-ILKT and 785 ABO-CLKT cases-were evaluated for graft survival,
patient survival, infectious adverse events, and renal function. The patients
were divided into four groups according to the transplantation era and ABO
compatibility. In the past decade, ABO-ILKT and ABO-CLKT recipients yielded
almost equivalent outcomes with respect to the 9-year graft survival rates, which
were 86.9% and 92.0%, respectively (hazard ratio [HR] 1.38, 95% confidence
interval [CI] 0.59-3.22, p = 0.455). The graft survival rate for ABO-ILKT
conducted between 2005 and 2013 was better than that for ABO-ILKT conducted
between 1998 and 2004 (HR 0.30, 95% CI 0.13-0.72, p = 0.007). ABO-ILKT recipients
showed substantial improvements in the graft survival rate over time. Graft
survival was almost identical over the past decade, regardless of ABO
incompatibility. Currently, ABO-ILKT is an acceptable treatment for patients with
end-stage renal disease.
PMID- 26555135
TI - Analysis of Combinatorial Epigenomic States.
AB - Hundreds of distinct chemical modifications to DNA and histone amino acids have
been described. Regulation exerted by these so-called epigenetic marks is vital
to normal development, stability of cell identity through mitosis, and nongenetic
transmission of traits between generations through meiosis. Loss of this
regulation contributes to many diseases. Evidence indicates epigenetic marks
function in combinations, whereby a given modification has distinct effects on
local genome control, depending on which additional modifications are locally
present. This review summarizes emerging methods for assessing combinatorial
epigenomic states, as well as challenges and opportunities for their refinement.
PMID- 26555134
TI - Time to ART Initiation among Patients Treated for Rifampicin-Resistant
Tuberculosis in Khayelitsha, South Africa: Impact on Mortality and Treatment
Success.
AB - SETTING: Khayelitsha, South Africa, with high burdens of rifampicin-resistant
tuberculosis (RR-TB) and HIV co-infection. OBJECTIVE: To describe time to
antiretroviral treatment (ART) initiation among HIV-infected RR-TB patients
initiating RR-TB treatment and to assess the association between time to ART
initiation and treatment outcomes. DESIGN: A retrospective cohort study of
patients with RR-TB and HIV co-infection not on ART at RR-TB treatment
initiation. RESULTS: Of the 696 RR-TB and HIV-infected patients initiated on RR
TB treatment between 2009 and 2013, 303 (44%) were not on ART when RR-TB
treatment was initiated. The median CD4 cell count was 126 cells/mm3. Overall 257
(85%) patients started ART during RR-TB treatment, 33 (11%) within 2 weeks, 152
(50%) between 2-8 weeks and 72 (24%) after 8 weeks. Of the 46 (15%) who never
started ART, 10 (21%) died or stopped RR-TB treatment within 4 weeks and 16 (37%)
had at least 4 months of RR-TB treatment. Treatment success and mortality during
treatment did not vary by time to ART initiation: treatment success was 41%, 43%,
and 50% among patients who started ART within 2 weeks, between 2-8 weeks, and
after 8 weeks (p = 0.62), while mortality was 21%, 13% and 15% respectively (p =
0.57). Mortality was associated with never receiving ART (adjusted hazard ratio
(aHR) 6.0, CI 2.1-18.1), CD4 count <=100 (aHR 2.1, CI 1.0-4.5), and multidrug
resistant tuberculosis (MDR-TB) with second-line resistance (aHR 2.5, CI 1.1
5.4). CONCLUSIONS: Despite wide variation in time to ART initiation among RR-TB
patients, no differences in mortality or treatment success were observed.
However, a significant proportion of patients did not initiate ART despite
receiving >4 months of RR-TB treatment. Programmatic priorities should focus on
ensuring all patients with RR-TB/HIV co-infection initiate ART regardless of CD4
count, with special attention for patients with CD4 counts <= 100 to initiate ART
as soon as possible after RR-TB treatment initiation.
PMID- 26555136
TI - Methanosarcina Play an Important Role in Anaerobic Co-Digestion of the Seaweed
Ulva lactuca: Taxonomy and Predicted Metabolism of Functional Microbial
Communities.
AB - Macro-algae represent an ideal resource of third generation biofuels, but their
use necessitates a refinement of commonly used anaerobic digestion processes. In
a previous study, contrasting mixes of dairy slurry and the macro-alga Ulva
lactuca were anaerobically digested in mesophilic continuously stirred tank
reactors for 40 weeks. Higher proportions of U. lactuca in the feedstock led to
inhibited digestion and rapid accumulation of volatile fatty acids, requiring a
reduced organic loading rate. In this study, 16S pyrosequencing was employed to
characterise the microbial communities of both the weakest (R1) and strongest
(R6) performing reactors from the previous work as they developed over a 39 and
27-week period respectively. Comparing the reactor communities revealed clear
differences in taxonomy, predicted metabolic orientation and mechanisms of
inhibition, while constrained canonical analysis (CCA) showed ammonia and biogas
yield to be the strongest factors differentiating the two reactor communities.
Significant biomarker taxa and predicted metabolic activities were identified for
viable and failing anaerobic digestion of U. lactuca. Acetoclastic methanogens
were inhibited early in R1 operation, followed by a gradual decline of
hydrogenotrophic methanogens. Near-total loss of methanogens led to an
accumulation of acetic acid that reduced performance of R1, while a slow decline
in biogas yield in R6 could be attributed to inhibition of acetogenic rather than
methanogenic activity. The improved performance of R6 is likely to have been as a
result of the large Methanosarcina population, which enabled rapid removal of
acetic acid, providing favourable conditions for substrate degradation.
PMID- 26555137
TI - Distribution of Plasmids in Distinct Leptospira Pathogenic Species.
AB - Leptospirosis, caused by pathogenic Leptospira, is a worldwide zoonotic
infection. The genus Leptospira includes at least 21 species clustered into three
groups--pathogens, non-pathogens, and intermediates--based on 16S rRNA phylogeny.
Research on Leptospira is difficult due to slow growth and poor transformability
of the pathogens. Recent identification of extrachromosomal elements besides the
two chromosomes in L. interrogans has provided new insight into genome complexity
of the genus Leptospira. The large size, low copy number, and high similarity of
the sequence of these extrachromosomal elements with the chromosomes present
challenges in isolating and detecting them without careful genome assembly. In
this study, two extrachromosomal elements were identified in L. borgpetersenii
serovar Ballum strain 56604 through whole genome assembly combined with S1
nuclease digestion following pulsed-field gel electrophoresis (S1-PFGE) analysis.
Further, extrachromosomal elements in additional 15 Chinese epidemic strains of
Leptospira, comprising L. borgpetersenii, L. weilii, and L. interrogans, were
successfully separated and identified, independent of genome sequence data.
Southern blot hybridization with extrachromosomal element-specific probes,
designated as lcp1, lcp2 and lcp3-rep, further confirmed their occurrences as
extrachromosomal elements. In total, 24 plasmids were detected in 13 out of 15
tested strains, among which 11 can hybridize with the lcp1-rep probe and 11 with
the lcp2-rep probe, whereas two can hybridize with the lcp3-rep probe. None of
them are likely to be species-specific. Blastp search of the lcp1, lcp2, and lcp3
rep genes with a nonredundant protein database of Leptospira species genomes
showed that their homologous sequences are widely distributed among clades of
pathogens but not non-pathogens or intermediates. These results suggest that the
plasmids are widely distributed in Leptospira species, and further elucidation of
their biological significance might contribute to our understanding of biology
and infectivity of pathogenic spirochetes.
PMID- 26555138
TI - Integrating intervention for substance use disorder in a healthcare setting:
practice and outcomes in New York City STD clinics.
AB - OBJECTIVE: This article reports the integration and outcomes of implementing
intervention services for substance use disorder (SUD) in three New York City
public sexually transmitted disease (STD) clinics. METHODS: The screening, brief
intervention, and referral to treatment (SBIRT) service model was implemented in
the STD clinics in 2008. A relational database was developed, which included
screening results, service dispositions, face-to-face interviews with 6-month
follow-ups, and treatment information. RESULTS: From February 2008 to the end of
September 2012, 146,657 STD clinic patients 18 years or older were screened for
current or past substance use disorders; 15,687 received a brief intervention;
954 received referrals to formal substance abuse treatment; 2082 were referred to
substance abuse support services such as Alcoholics Anonymous (AA), and 690 were
referred to mental health, social or HIV awareness services. Intervention
services delivered through SBIRT resulted in improvements in multiple outcomes at
6 month follow-up. Patients who received interventions had reduced SUD risks,
fewer mental health problems, and fewer unprotected sexual contacts. CONCLUSION:
Delivery of SUD services in a public health setting represents a significant
policy and practice change and benefits many individuals whose SUDs might
otherwise be overlooked. Intervention services for substance use disorder were
integrated and highly utilized in the STD setting. Further research needs to
focus on the long-term impact of SUD interventions in the STD setting, their cost
effectiveness, and the extent they are financially sustainable under the new
healthcare law.
PMID- 26555139
TI - Steric Constraints Induced Frustrated Growth of Supramolecular Nanorods in Water.
AB - A unique example of supramolecular polymerisation in water based on monomers with
nanomolar affinities, which yield rod-like materials with extraordinarily high
thermodynamic stability, yet of finite length, is reported. A small library of
charge-neutral dendritic peptide amphiphiles was prepared, with a branched
nonaphenylalanine-based core that was conjugated to hydrophilic dendrons of
variable steric demand. Below a critical size of the dendron, the monomers
assemble into nanorod-like polymers, whereas for larger dendritic side chains
frustrated growth into near isotropic particles is observed. The supramolecular
morphologies observed by electron microscopy, X-ray scattering and diffusion NMR
spectroscopy studies are in agreement with the mechanistic insights obtained from
fitting polymerisation profiles: non-cooperative isodesmic growth leads to
degrees of polymerisation that match the experimentally determined nanorod
contour lengths of close to 70 nm. The reported designs for aqueous self-assembly
into well-defined anisotropic particles has promising potential for biomedical
applications and the development of functional supramolecular biomaterials, with
emerging evidence that anisotropic shapes in carrier design outperform
conventional isotropic materials for targeted imaging and therapy.
PMID- 26555140
TI - Mediation of improvements in sun protective and skin self-examination behaviours:
results from the healthy text study.
AB - OBJECTIVE: Melanoma is on the rise, especially in Caucasian populations exposed
to high ultraviolet radiation such as in Australia. This paper examined the
psychological components facilitating change in skin cancer prevention or early
detection behaviours following a text message intervention. METHODS: The
Queensland-based participants were 18 to 42 years old, from the Healthy Text
study (N = 546). Overall, 512 (94%) participants completed the 12-month follow-up
questionnaires. Following the social cognitive model, potential mediators of skin
self-examination (SSE) and sun protection behaviour change were examined using
stepwise logistic regression models. RESULTS: At 12-month follow-up, odds of
performing an SSE in the past 12 months were mediated by baseline confidence in
finding time to check skin (an outcome expectation), with a change in odds ratio
of 11.9% in the SSE group versus the control group when including the mediator.
Odds of greater than average sun protective habits index at 12-month follow-up
were mediated by (a) an attempt to get a suntan at baseline (an outcome
expectation) and (b) baseline sun protective habits index, with a change in odds
ratio of 10.0% and 11.8%, respectively in the SSE group versus the control group.
CONCLUSIONS: Few of the suspected mediation pathways were confirmed with the
exception of outcome expectations and past behaviours. Future intervention
programmes could use alternative theoretical models to elucidate how improvements
in health behaviours can optimally be facilitated.
PMID- 26555142
TI - Bent Ferroelectric Domain Walls as Reconfigurable Metallic-Like Channels.
AB - Use of ferroelectric domain-walls in future electronics requires that they are
stable, rewritable conducting channels. Here we demonstrate nonthermally
activated metallic-like conduction in nominally uncharged, bent, rewritable
ferroelectric-ferroelastic domain-walls of the ubiquitous ferroelectric
Pb(Zr,Ti)O3 using scanning force microscopy down to a temperature of 4 K. New
walls created at 4 K by pressure exhibit similar robust and intrinsic
conductivity. Atomic resolution electron energy-loss spectroscopy confirms the
conductivity confinement at the wall. This work provides a new concept in "domain
wall nanoelectronics".
PMID- 26555141
TI - Composite Measures of Individual and Area-Level Socio-Economic Status Are
Associated with Visual Impairment in Singapore.
AB - PURPOSE: To investigate the independent relationship of individual- and area
level socio-economic status (SES) with the presence and severity of visual
impairment (VI) in an Asian population. METHODS: Cross-sectional data from 9993
Chinese, Malay and Indian adults aged 40-80 years who participated in the
Singapore Epidemiology of eye Diseases (2004-2011) in Singapore. Based on the
presenting visual acuity (PVA) in the better-seeing eye, VI was categorized into
normal vision (logMAR<=0.30), low vision (logMAR>0.30<1.00), and blindness
(logMAR>=1.00). Any VI was defined as low vision/blindness in the PVA of better
seeing eye. Individual-level low-SES was defined as a composite of primary-level
education, monthly income<2000 SGD and residing in 1 or 2-room public apartment.
An area-level SES was assessed using a socio-economic disadvantage index (SEDI),
created using 12 variables from the 2010 Singapore census. A high SEDI score
indicates a relatively poor SES. Associations between SES measures and presence
and severity of VI were examined using multi-level, mixed-effects logistic and
multinomial regression models. RESULTS: The age-adjusted prevalence of any VI was
19.62% (low vision = 19%, blindness = 0.62%). Both individual- and area-level SES
were positively associated with any VI and low vision after adjusting for
confounders. The odds ratio (95% confidence interval) of any VI was 2.11(1.88
2.37) for low-SES and 1.07(1.02-1.13) per 1 standard deviation increase in SEDI.
When stratified by unilateral/bilateral categories, while low SES showed
significant associations with all categories, SEDI showed a significant
association with bilateral low vision only. The association between low SES and
any VI remained significant among all age, gender and ethnic sub-groups. Although
a consistent positive association was observed between area-level SEDI and any
VI, the associations were significant among participants aged 40-65 years and
male. CONCLUSION: In this community-based sample of Asian adults, both individual
and area-level SES were independently associated with the presence and severity
of VI.
PMID- 26555143
TI - Photoperiod Regulates vgf-Derived Peptide Processing in Siberian Hamsters.
AB - VGF mRNA is induced in specific hypothalamic areas of the Siberian hamster upon
exposure to short photoperiods, which is associated with a seasonal decrease in
appetite and weight loss. Processing of VGF generates multiple bioactive
peptides, so the objective of this study was to determine the profile of the VGF
derived peptides in the brain, pituitary and plasma from Siberian hamsters, and
to establish whether differential processing might occur in the short day lean
state versus long day fat. Antisera against short sequences at the C- or N-
termini of proVGF, as well as against NERP-1, TPGH and TLQP peptides, were used
for analyses of tissues, and both immunohistochemistry and enzyme linked
immunosorbent assay (ELISA) coupled with high-performance liquid (HPLC) or gel
chromatography were carried out. VGF peptide immunoreactivity was found within
cortex cholinergic perikarya, in multiple hypothalamic nuclei, including those
containing vasopressin, and in pituitary gonadotrophs. ELISA revealed that
exposure to short day photoperiod led to a down-regulation of VGF
immunoreactivity in the cortex, and a less pronounced decrease in the
hypothalamus and pituitary, while the plasma VGF levels were not affected by the
photoperiod. HPLC and gel chromatography both confirmed the presence of multiple
VGF-derived peptides in these tissues, while gel chromatography showed the
presence of the VGF precursor in all tissues tested except for the cortex. These
observations are consistent with the view that VGF-derived peptides have
pleiotropic actions related to changing photoperiod, possibly by regulating
cholinergic systems in the cortex, vasopressin hypothalamic pathways, and the
reproductive axis.
PMID- 26555144
TI - Wood Specific Gravity Variations and Biomass of Central African Tree Species: The
Simple Choice of the Outer Wood.
AB - CONTEXT: Wood specific gravity is a key element in tropical forest ecology. It
integrates many aspects of tree mechanical properties and functioning and is an
important predictor of tree biomass. Wood specific gravity varies widely among
and within species and also within individual trees. Notably, contrasted patterns
of radial variation of wood specific gravity have been demonstrated and related
to regeneration guilds (light demanding vs. shade-bearing). However, although
being repeatedly invoked as a potential source of error when estimating the
biomass of trees, both intraspecific and radial variations remain little studied.
In this study we characterized detailed pith-to-bark wood specific gravity
profiles among contrasted species prominently contributing to the biomass of the
forest, i.e., the dominant species, and we quantified the consequences of such
variations on the biomass. METHODS: Radial profiles of wood density at 8%
moisture content were compiled for 14 dominant species in the Democratic Republic
of Congo, adapting a unique 3D X-ray scanning technique at very high spatial
resolution on core samples. Mean wood density estimates were validated by water
displacement measurements. Wood density profiles were converted to wood specific
gravity and linear mixed models were used to decompose the radial variance.
Potential errors in biomass estimation were assessed by comparing the biomass
estimated from the wood specific gravity measured from pith-to-bark profiles,
from global repositories, and from partial information (outer wood or inner
wood). RESULTS: Wood specific gravity profiles from pith-to-bark presented
positive, neutral and negative trends. Positive trends mainly characterized light
demanding species, increasing up to 1.8 g.cm-3 per meter for Piptadeniastrum
africanum, and negative trends characterized shade-bearing species, decreasing up
to 1 g.cm-3 per meter for Strombosia pustulata. The linear mixed model showed the
greater part of wood specific gravity variance was explained by species only
(45%) followed by a redundant part between species and regeneration guilds (36%).
Despite substantial variation in wood specific gravity profiles among species and
regeneration guilds, we found that values from the outer wood were strongly
correlated to values from the whole profile, without any significant bias. In
addition, we found that wood specific gravity from the DRYAD global repository
may strongly differ depending on the species (up to 40% for Dialium
pachyphyllum). MAIN CONCLUSION: Therefore, when estimating forest biomass in
specific sites, we recommend the systematic collection of outer wood samples on
dominant species. This should prevent the main errors in biomass estimations
resulting from wood specific gravity and allow for the collection of new
information to explore the intraspecific variation of mechanical properties of
trees.
PMID- 26555145
TI - Genetic Testing Awareness and Attitudes among Latinos: Exploring Shared
Perceptions and Gender-Based Differences.
AB - BACKGROUND: Latinos, whose views are infrequently examined in genomic research,
may be at risk of missing out on the benefits of genomic medicine. AIMS: To
explore this possibility, we conducted a qualitative study of awareness and
attitudes about genetic testing among Latinos with lower acculturation in New
York City. METHODS: We conducted four focus groups (7 English-speaking men, 5
Spanish-speaking men, 13 English-speaking women and 13 Spanish-speaking women) to
explore factors that influence the adoption of new innovations through the
discussion of genetic testing in general, and a hypothetical vignette describing
a genetic test for skin cancer risk, in particular. RESULTS: Through inductive
thematic text analysis of focus group transcripts, our multidisciplinary team
identified themes within knowledge and attitudes, communication and sources of
information, anticipated responses, factors that may increase adoption, and
barriers to adoption of genetic testing. Specifically, a majority of participants
expressed some degree of uncertainty regarding the purpose of genetic tests and
information these tests provide, rarely discussed genetic testing with others in
their social networks, and expressed concerns about the misuse of and possible
adverse emotional responses to genetic information. However, participants also
expressed high levels of interest in receiving a skin cancer genetic test in
response to the vignette and believed that receiving actionable health
information was a primary reason to consider testing. Gender-based differences in
perceived barriers to testing emerged. CONCLUSIONS: The results highlight beliefs
and barriers that future interventions could target to help ensure that Latinos
have adequate understanding of and access to genomic medicine advances.
PMID- 26555146
TI - Protective effects of ellagic acid and ozone on rat ovaries with an
ischemia/reperfusion injury.
AB - AIM: This study investigated the effects of the antioxidant agents, ozone (O) and
ellagic acid (EA), on ischemia/reperfusion (I/R) injuries developed from an
ovarian torsion-detorsion model. MATERIALS AND METHODS: Arteries in the left
ovaries of rats were clamped for two hours to achieve torsion, and then the
clamps were removed for a two-hour detorsion period. Thirty-five female Sprague
Dawley rats were randomly divided into five groups: control: administered only
with anesthesia, rats were not subjected to torsion-detorsion; I/R: subjected to
torsion and subsequent detorsion, without administering any treatment agent; and
I/R + EA, I/R + O and I/R + O + EA: subjected to torsion and detorsion processes
and administered with EA, O or EA + O at the 75th minute of torsion. The rats
were then sacrificed under general anesthesia and the ovarian tissues were
excised. The tissues were homogenized and levels of glutathione reductase,
catalase, superoxide dismutase and malondialdehyde (MDA) were analyzed. Tissue
damage was evaluated in terms of histopathological parameters, such as
hemorrhage, congestion, edema and inflammation. RESULTS: Antioxidant enzyme
activity and MDA levels in the ovary tissue increased in the I/R group and
decreased in the O, EA and O + EA groups (P < 0.05). Histopathological
examination revealed that tissue damage in the O, EA and O + EA groups decreased
in comparison with the I/R group (P < 0.05). CONCLUSIONS: These biochemical and
histopathological findings suggest that EA and O are effective against ovarian
I/R injury.
PMID- 26555148
TI - Neuromuscular electrical stimulation-assisted gait increases muscle strength and
volume in children with unilateral spastic cerebral palsy.
AB - AIM: To determine if neuromuscular electrical stimulation (NMES) applied to the
ankle dorsiflexors during gait improves muscle volume and strength in children
with unilateral spastic cerebral palsy (CP). METHOD: Thirty-two children (15
females, 17 males; mean age 10y 8mo, age range 5y 5mo-18y 1mo) with unilateral
spastic CP and a Gross Motor Function Classification System of level I or level
II were randomly assigned to either the 8-week daily NMES treatment group or
control group (usual or conventional treatments). Outcomes at week 8 (post-NMES)
and week 14 (carryover) included magnetic resonance imaging for muscle volumes
(tibialis anterior, anterior compartment, and gastrocnemius), strength (hand-held
dynamometry for isometric dorsiflexion strength and heel raises for functional
strength), and clinical measures for lower limb selective motor control. RESULTS:
At week 8, the treatment group demonstrated significantly (p<0.05) increased
muscle volumes for tibialis anterior, anterior compartment, medial and lateral
gastrocnemius, and dorsiflexion strength not only when compared to their baseline
values but also when compared to the control group at week 8. At week 14, both
tibialis anterior and lateral gastrocnemius volumes in the treatment group
remained significantly increased when compared to their baseline values. However,
only lateral gastrocnemius volumes had significantly greater values when compared
to the control group at week 14. There were no between group differences in the
clinical measures for lower limb selective motor control at week 8 and 14.
INTERPRETATION: Eight weeks of daily NMES-assisted gait increases muscle volume
and strength of the stimulated ankle dorsiflexors in children with unilateral
spastic CP. These changes are use-dependent and do not carry over after the 8
week treatment period. Gastrocnemius volume also increased post-treatment with
carryover at week 14.
PMID- 26555147
TI - Polymorphic Variants of SCN1A and EPHX1 Influence Plasma Carbamazepine
Concentration, Metabolism and Pharmacoresistance in a Population of Kosovar
Albanian Epileptic Patients.
AB - AIM: The present study aimed to evaluate the effects of gene variants in key
genes influencing pharmacokinetic and pharmacodynamic of carbamazepine (CBZ) on
the response in patients with epilepsy. MATERIALS & METHODS: Five SNPs in two
candidate genes influencing CBZ transport and metabolism, namely ABCB1 or EPHX1,
and CBZ response SCN1A (sodium channel) were genotyped in 145 epileptic patients
treated with CBZ as monotherapy and 100 age and sex matched healthy controls.
Plasma concentrations of CBZ, carbamazepine-10,11-epoxide (CBZE) and
carbamazepine-10,11-trans dihydrodiol (CBZD) were determined by HPLC-UV-DAD and
adjusted for CBZ dosage/kg of body weight. RESULTS: The presence of the SCN1A
IVS5-91G>A variant allele is associated with increased epilepsy susceptibility.
Furthermore, carriers of the SCN1A IVS5-91G>A variant or of EPHX1 c.337T>C
variant presented significantly lower levels of plasma CBZ compared to carriers
of the common alleles (0.71 +/- 0.28 vs 1.11+/-0.69 MUg/mL per mg/Kg for SCN1A
IVS5-91 AA vs GG and 0.76 +/- 0.16 vs 0.94 +/- 0.49 MUg/mL per mg/Kg for EPHX1
c.337 CC vs TT; P<0.05 for both). Carriers of the EPHX1 c.416A>G showed a reduced
microsomal epoxide hydrolase activity as reflected by a significantly decreased
ratio of CBZD to CBZ (0.13 +/- 0.08 to 0.26 +/- 0.17, p<0.05) also of CBZD to
CBZE (1.74 +/- 1.06 to 3.08 +/- 2.90; P<0.05) and CDRCBZD (0.13 +/- 0.08 vs 0.24
+/- 0.19 MUg/mL per mg/Kg; P<0.05). ABCB1 3455C>T SNP and SCN1A 3148A>G variants
were not associated with significant changes in CBZ pharmacokinetic. Patients
resistant to CBZ treatment showed increased dosage of CBZ (657 +/- 285 vs 489 +/-
231 mg/day; P<0.001) but also increased plasma levels of CBZ (9.84 +/- 4.37 vs
7.41 +/- 3.43 MUg/mL; P<0.001) compared to patients responsive to CBZ treatment.
CBZ resistance was not related to any of the SNPs investigated. CONCLUSIONS: The
SCN1A IVS5-91G>A SNP is associated with susceptibility to epilepsy. SNPs in EPHX1
gene are influencing CBZ metabolism and disposition. CBZ plasma levels are not an
indicator of resistance to the therapy.
PMID- 26555149
TI - A Novel Dynamic Neonatal Blood-Brain Barrier on a Chip.
AB - Studies of neonatal neural pathologies and development of appropriate
therapeutics are hampered by a lack of relevant in vitro models of neonatal blood
brain barrier (BBB). To establish such a model, we have developed a novel blood
brain barrier on a chip (B3C) that comprises a tissue compartment and vascular
channels placed side-by-side mimicking the three-dimensional morphology, size and
flow characteristics of microvessels in vivo. Rat brain endothelial cells (RBEC)
isolated from neonatal rats were seeded in the vascular channels of B3C and
maintained under shear flow conditions, while neonatal rat astrocytes were
cultured under static conditions in the tissue compartment of the B3C. RBEC
formed continuous endothelial lining with a central lumen along the length of the
vascular channels of B3C and exhibited tight junction formation, as measured by
the expression of zonula occludens-1 (ZO-1). ZO-1 expression significantly
increased with shear flow in the vascular channels and with the presence of
astrocyte conditioned medium (ACM) or astrocytes cultured in the tissue
compartment. Consistent with in vivo BBB, B3C allowed endfeet-like astrocyte
endothelial cell interactions through a porous interface that separates the
tissue compartment containing cultured astrocytes from the cultured RBEC in the
vascular channels. The permeability of fluorescent 40 kDa dextran from vascular
channel to the tissue compartment significantly decreased when RBEC were cultured
in the presence of astrocytes or ACM (from 41.0 +/- 0.9 x 10-6 cm/s to 2.9 +/-
1.0 x 10-6 cm/s or 1.1+/-0.4 x 10-6 cm/s, respectively). Measurement of
electrical resistance in B3C further supports that the addition of ACM
significantly improves the barrier function in neonatal RBEC. Moreover, B3C
exhibits significantly improved barrier characteristics compared to the transwell
model and B3C permeability was not significantly different from the in vivo BBB
permeability in neonatal rats. In summary, we developed a first dynamic in vitro
neonatal BBB on a chip (B3C) that closely mimics the in vivo microenvironment,
offers the flexibility of real time analysis, and is suitable for studies of BBB
function as well as screening of novel therapeutics.
PMID- 26555150
TI - Determining optimal threshold for statins prescribing: individualization of
statins treatment for primary prevention of cardiovascular disease.
AB - RATIONALE, AIMS AND OBJECTIVES: The American College of Cardiology and American
Heart Association (ACC/AHA) statin guidelines recommend that people with risk of
cardio-vascular disease (CVD) >=7.5% over 10 years should be treated with
statins. This recommendation ignores individual patient CVD risks and
preferences. We compared the ACC/AHA guidelines to the following management
strategies a) individualized statins treatment based on Framingham Risk Score
(FRS), b) treat none, c) treat all. METHODS: We employed regret-based decision
curve analysis to evaluate the optimal treatment strategy. We used data on 5013
participants from the second generation of the Framingham Heart Study. We
assessed regret of each treatment strategy [treat according to FRS vs. treat none
vs. treat all] as a function of emotionally felt loss of treatment benefits and
incurred treatment harms. We calculated the difference between regret associated
with one strategy compared with the other and expressed it as Net Expected Regret
Difference (NERD). Two strategies are identical if NERD = 0. RESULTS: Treatment
according to ACC/AHA guidelines represents the optimal strategy only if the
patient values avoiding heart disease 12 times more than harms related to
statins. For values of benefit/harms (B/H) <12, treatment according to FRS
represents the optimal strategy. For B/H <3, 'treat none' represents equally
acceptable strategy. Adopting a threshold of 10% recommended by other
professional organizations would decrease over-treatment by more than 60% without
significantly affecting under-treatment. CONCLUSION: Under most realistic
scenarios, individualizing statins treatment, or not recommending statins at all,
represents the optimal strategy for primary prevention of heart disease.
PMID- 26555151
TI - Indocyanine green fluorescence mapping for sentinel lymph node biopsy in early
breast cancer.
AB - INTRODUCTION: A recent feasibility study (ICG-10) has confirmed high sensitivity
of ICG fluorescence mapping for sentinel SLN detection in early breast cancer
with 95% of nodes both blue and fluorescent. This follow-on study has
specifically evaluated a combination of ICG and blue dye for SLN localization.
METHODS: Fifty consecutive patients (49 female; 1 male) with unilateral
clinically node negative invasive (37) and non-invasive (13) breast cancer
underwent SLN biopsy with blue dye and ICG. Median patient age was 48 years and
median invasive tumour size 19 mm for primary surgical patients. All patients had
a normal pre-operative axillary ultrasound. Nodal and procedural detection rates
were calculated for ICG alone and in combination with blue dye. RESULTS: A total
of 87 nodes were retrieved with an average nodal count of 1.8 per patient (range
1-4). Eighty four nodes were blue and fluorescent and 3 fluorescent only. Nodal
detection rates for ICG alone and combined with blue dye were 100% (87/87) and
96% (84/87) respectively. Metastases were present in 18 nodes (all blue and
fluorescent) with 10 patients node positive overall (20%). The procedural
detection rate for blue dye and ICG was 96% (48/50) and 2 patients had
fluorescent only nodes which were deemed sentinel (4%). CONCLUSION: Fluorescent
imaging with ICG is a sensitive, valuable and safe method for SLN biopsy. A
combination of blue dye and ICG is useful dual approach when radioisotope is
unavailable. ICG has the potential to be a sole tracer agent with improved
patient convenience and costs.
PMID- 26555152
TI - Progress in lung cancer: ASCO 2015.
PMID- 26555154
TI - AXL Inhibitors in Cancer: A Medicinal Chemistry Perspective.
AB - Dysregulation of the AXL receptor tyrosine kinase has been associated with many
types of cancer. It has not been until recently, however, that targeting AXL has
come under the spotlight because of ever accumulating evidence of its strong
correlation with poor prognosis and drug resistance. The entry of the first AXL
branded inhibitor in clinical trials in 2013 marked an important milestone for
the clinical validation of AXL as an anticancer target. Nevertheless, to weigh
the current contribution and potential future impact of AXL inhibition in the
clinic, it is fundamental to recognize that several kinase inhibitors approved or
in clinical development have AXL as either a prominent secondary or even the
primary target. Through this review, the chemical and biological properties of
the main inhibitors targeting AXL (either intentionally or unintentionally) will
be discussed, along with the prospects and challenges to translate AXL inhibitors
into a bona fide therapeutic option.
PMID- 26555153
TI - Environmental Polychlorinated Biphenyl Exposure and Breast Cancer Risk: A Meta
Analysis of Observational Studies.
AB - BACKGROUND: Association between polychlorinated biphenyl (PCB) exposure and
breast cancer risk has been widely studied, but the results remain controversial.
We performed a meta-analysis to evaluate the evidences from observational studies
on PCB exposure and breast cancer risk. METHODS: Relevant studies with data on
internal PCB dose were identified from PubMed, EMBASE, CBM and CNKI databases
through November 2014. Multivariable-adjusted odds ratio (OR) with 95% confidence
intervals (CIs) were applied to assess the association between PCB exposure and
breast cancer risk. Heterogeneity test, sensitivity analysis, subgroup analysis
and publication bias test were also performed. To further explore the association
between specific groups of PCB congeners and breast cancer, we examined the PCB
congeners classified, according to their structural, biological and
pharmacokinetics properties, as group I (potentially estrogenic), group II
(potentially anti-estrogenic and immunotoxic, dioxin-like), and group III
(phenobarbital, CYP1A and CYP2B inducers, biologically persistent). RESULTS: Of
660 studies screened, 25 studies which met criteria were selected, involving a
total of 12866 participants (6088 cases and 6778 controls) from eight countries.
The results showed that the risk of breast cancer was associated with group II
(OR = 1.23, 95% CI: 1.08-1.40) and group III (OR = 1.25, 95% CI: 1.09-1.43) PCBs,
but not with group I (OR = 1.10, 95%CI: 0.97-1.24) PCBs or total PCB exposure (OR
= 1.09, 95%CI: 0.97-1.22). CONCLUSIONS: Our meta-analysis based on the selected
studies found group II and group III PCB exposure might contribute to the risk of
breast cancer. More studies in developing countries with higher PCB levels are
needed, as well as studies to explore the relationships between mixtures of
organochlorine compounds and breast cancer risk.
PMID- 26555155
TI - The Biomarkers of Exposure and Effect in Agriculture (BEEA) Study: Rationale,
Design, Methods, and Participant Characteristics.
AB - Agricultural exposures including pesticides, endotoxin, and allergens have been
associated with risk of various cancers and other chronic diseases, although the
biological mechanisms underlying these associations are generally unclear. To
facilitate future molecular epidemiologic investigations, in 2010 the study of
Biomarkers of Exposure and Effect in Agriculture (BEEA) was initiated within the
Agricultural Health Study, a large prospective cohort in Iowa and North Carolina.
Here the design and methodology of BEEA are described and preliminary frequencies
for participant characteristics and current agricultural exposures are reported.
At least 1,600 male farmers over 50 years of age will be enrolled in the BEEA
study. During a home visit, participants are asked to complete a detailed
interview about recent agricultural exposures and provide samples of blood,
urine, and (since 2013) house dust. As of mid-September 2014, in total, 1,233
participants have enrolled. Most of these participants (83%) were still farming
at the time of interview. Among those still farming, the most commonly reported
crops were corn (81%) and soybeans (74%), and the most frequently noted animals
were beef cattle (35%) and hogs (13%). There were 861 (70%) participants who
reported occupational pesticide use in the 12 months prior to interview; among
these participants, the most frequently noted herbicides were glyphosate (83%)
and 2,4-D (72%), and most commonly reported insecticides were malathion (21%),
cyfluthrin (13%), and permethrin (12%). Molecular epidemiologic investigations
within BEEA have the potential to yield important new insights into the
biological mechanisms through which these or other agricultural exposures
influence disease risk.
PMID- 26555157
TI - The impact of imagery rescripting on memory appraisals and core beliefs in social
anxiety disorder.
AB - Negative mental images in social anxiety disorder (SAD) are often rooted in
autobiographical memories of formative, distressing life events. In the present
study, 25 participants with SAD retrieved an idiosyncratic negative mental image
and associated autobiographical memory. Participants were then randomly assigned
either to a single-session of imagery rescripting (IR) targeting the retrieved
autobiographical memory or to a non-intervention control condition (no-IR).
Outcomes were assessed one week later. Compared to control participants, those
who received IR experienced substantial reduction in SAD symptoms accompanied by
more positive and less negative appraisals of their autobiographical memories.
Moreover, IR relative to no-IR participants reported marked shifts in the
content, validity, and accuracy of their memory-derived negative core beliefs
about self and others, but not about the world. Results support the promise of IR
as a stand-alone intervention for SAD and suggest important directions for future
research to enhance our understanding of the cognitive mechanisms that underlie
its effects.
PMID- 26555156
TI - MARCKSL1 exhibits anti-angiogenic effects through suppression of VEGFR-2
dependent Akt/PDK-1/mTOR phosphorylation.
AB - Myristoylated alanine-rich C kinase substrate-like 1 (MARCKSL1) plays a pivotal
role in the regulation of apoptosis and has been shown to maintain antitumor and
metastasis-suppressive properties. In the present study, we examined the effects
of MARCKSL1 as a novel anti-angiogenic agent on the inhibition of angiogenesis
mediated cell migration. MARCKSL1 also reduced vascular endothelial growth factor
(VEGF)-induced human umbilical vein endothelial cell (HUVEC) proliferation, as
well as capillary-like tubular structure formation in vitro. MARCKSL1 disrupted
phosphorylation of vascular endothelial growth factor receptor-2 (VEGFR-2) in
ovarian tumorigenesis. In addition, MARCKSL1 showed potent anti-angiogenic
activity and reduced the levels of VEGF and hypoxia-inducible factor 1alpha (HIF
1alpha) expression, an essential regulator of angiogenesis. Consistently,
MARCKSL1 decreased VEGF-induced phosphorylation of the PI3K/Akt signaling pathway
components, including phosphoinositide-dependent protein kinase 1 (PDK-1),
mammalian target of rapamycin (mTOR), tuberous sclerosis complex 2 (TSC-2), p70
ribosomal protein S6 kinase (p70S6K), and glycogen synthase kinase 3beta (GSK
3beta) protein. Collectively, our results provide evidence for the
physiological/biological function of an endothelial cell system involved in
angiogenesis through suppression of Akt/PDK-1/mTOR phosphorylation by interaction
with VEGFR-2.
PMID- 26555159
TI - Modelling the interaction between danoprevir and mericitabine in the treatment of
chronic HCV infection.
AB - BACKGROUND: Modelling HCV RNA decline kinetics under therapy has proven useful
for characterizing treatment effectiveness. METHODS: Here we model HCV viral
kinetics (VK) in 72 patients given a combination of danoprevir, a protease
inhibitor, and mericitabine, a nucleoside polymerase inhibitor, for 14 days in
the INFORM-1 trial. A biphasic VK model with time-varying danoprevir and
mericitabine effectiveness and Bliss independence for characterizing the
interaction between both drugs provided the best fit to the VK data. RESULTS: The
average final antiviral effectiveness of the drug combination varied between
0.998 for 100 mg three times daily of danoprevir and 500 mg twice daily of
mericitabine and 0.9998 for 600 mg twice daily of danoprevir and 1,000 mg twice
daily of mericitabine. Using the individual parameters estimated from the VK data
collected over 2 weeks, we were not able to reproduce the low sustained
virological response rates obtained in a more recent study where patients were
treated with a combination of mericitabine and ritonavir-boosted danoprevir for
24 weeks. CONCLUSIONS: This suggests that drug-resistant viruses emerge after 2
weeks of treatment and that longer studies are necessary to provide accurate
predictions of longer treatment outcomes.
PMID- 26555160
TI - Detection of mycobacterium avium subsp. paratuberculosis in cheeses from small
ruminants in Tuscany.
AB - Paratuberculosis is an infectious disease which affects mainly domestic and wild
ruminants caused by Mycobacterium avium subsp. paratuberculosis (Map). Map has
been associated with human diseases like Crohn disease, type-1 diabetes,
sarcoidosis, multiple sclerosis and Hashimoto's thyroiditis. The aim of this
study was to determine the level of Map positivity of cheeses produced in Tuscany
(Italy) as an indication of human exposure to the specific pathogen. Sampling was
focused on artisanal cheeses produced without commercial starter culture from raw
sheep or goat milk, on small-scale farms. Samples were tested by quantitative PCR
(qPCR) and culture. Map DNA was detected in 4/7 (57.14%) goat, and in 14/25 (56%)
sheep cheeses by qPCR, whereas cultivation produced a positive result in only one
case. This corresponded to a goat cheese that had also reacted positively by qPCR
and yielded a viable Type S (sheep) strain of Map. The Map load of the tested
samples based on qPCR ranged from 6*10 to 1.8*10(4)Map cells/g of cheese. The
results indicate on average 56.57% and 66.6% positivity of cheese samples and
farms, respectively. Hence, the type of cheeses that were analyzed within the
context of this study seem to constitute a considerable source of human exposure
to Map; although the question remains of whether the Map cells were present in a
viable form, since positive results were almost exclusively recorded by qPCR.
PMID- 26555161
TI - A RAPD based study revealing a previously unreported wide range of mesophilic and
thermophilic spore formers associated with milk powders in China.
AB - Aerobic spore forming bacteria are potential milk powder contaminants and are
viewed as indicators of poor quality. A total of 738 bacteria, including both
mesophilic and thermophilic, isolated from twenty-five powdered milk samples
representative of three types of milk powders in China were analyzed based on the
random amplified polymorphic DNA (RAPD) protocol to provide insight into species
diversity. Bacillus licheniformis was found to be the most prevalent bacterium
with greatest diversity (~43% of the total isolates) followed by Geobacillus
stearothermophilus (~21% of the total isolates). Anoxybacillus flavithermus
represented only 8.5% of the total profiles. Interestingly, actinomycetes
represented a major group of the isolates with the predominance of Laceyella
sacchari followed by Thermoactinomyces vulgaris, altogether comprising of 7.3% of
the total isolates. Out of the nineteen separate bacterial species (except five
unidentified groups) recovered and identified from milk powders, twelve proved to
belong to novel or previously unreported species in milk powders. Assessment and
characterization of the harmful effects caused by this particular micro-flora on
the quality and safety of milk powders will be worth doing in the future.
PMID- 26555162
TI - Evaluation of the use of non-pathogenic porcine circovirus type 1 as a vaccine
delivery virus vector to express antigenic epitopes of porcine reproductive and
respiratory syndrome virus.
AB - We previously demonstrated that the C-terminus of the capsid gene of porcine
circovirus type 2 (PCV2) is an immune reactive epitope displayed on the surface
of virions. Insertion of foreign epitope tags in the C-terminus produced
infectious virions that elicited humoral immune responses against both PCV2
capsid and the inserted epitope tags, whereas mutation in the N terminus impaired
viral replication. Since the non-pathogenic porcine circovirus type 1 (PCV1)
shares similar genomic organization and significant sequence identity with
pathogenic PCV2, in this study we evaluated whether PCV1 can serve as a vaccine
delivery virus vector. Four different antigenic determinants of porcine
reproductive and respiratory syndrome virus (PRRSV) were inserted in the C
terminus of the PCV1 capsid gene, the infectivity and immunogenicity of the
resulting viruses are determined. We showed that an insertion of 12 (PRRSV-GP2
epitope II, PRRSV-GP3 epitope I, and PRRSV-GP5 epitope I), and 14 (PRRSV-GP5
epitope IV) amino acid residues did not affect PCV1 replication. We successfully
rescued and characterized four chimeric PCV1 viruses expressing PRRSV linear
antigenic determinants (GP2 epitope II: aa 40-51, ASPSHVGWWSFA; GP3 epitope I: aa
61-72, QAAAEAYEPGRS; GP5 epitope I: aa 35-46, SSSNLQLIYNLT; and GP5 epitope IV:
aa 187-200, TPVTRVSAEQWGRP). We demonstrated that all chimeric viruses were
stable and infectious in vitro and three chimeric viruses were infectious in
vivo. An immunogenicity study in pigs revealed that PCV1-VR2385EPI chimeric
viruses elicited neutralizing antibodies against PRRSV-VR2385. The results have
important implications for further evaluating PCV1 as a potential vaccine
delivery vector.
PMID- 26555163
TI - Isolation and characterization of a Far-Eastern strain of tick-borne encephalitis
virus in China.
AB - Tick-borne encephalitis virus (TBEV) is a leading cause of human neurological
infection in many parts of Europe and Asia. Although several TBEV isolates have
been reported, current understanding of the biological characteristics of a
Chinese strain is limited. In this study, a Far-Eastern strain of TBEV designated
WH2012 was isolated in northern China. Its genome has been sequenced and found to
be closely related to other Chinese TBEV isolates. Human cell lines of neural
origin exposed to WH2012 showed cytopathic effects and WH2012 replicated most
efficiently in human neuroblastoma cells SK-N-SH. In addition, WH2012 possessed a
pathogenic potential in the mouse model, characterized by inducing a complete
paralysis in the hindlimbs with a fatal outcome. We herein describe the first
data regarding biological properties of TBEV from China. This study may help
future research on pathogenic mechanisms of the neurological disease induced by
TBEV infection in China.
PMID- 26555164
TI - Natural infection of the soil-borne fungus Rosellinia necatrix with novel
mycoviruses under greenhouse conditions.
AB - Fungi are an important component of the soil ecosystem. Mycoviruses have numerous
potential impacts on soil fungi, including phytopathogenic fungal species.
However, the diversity and ecology of mycoviruses in soil fungi is largely
unexplored. Our previous work has shown that the soil-borne phytopathogenic
fungus Rosellinia necatrix was infected with several novel mycoviruses after
growing for 2-3 years in an apple orchard. In this study, we investigated whether
natural infection of R. necatrix with mycoviruses occurs under limited
conditions. Virus-free R. necatrix isolates were grown in a small bucket
containing soil samples for a short time (1.5-4.5 months) under greenhouse
conditions. Screening of dsRNA mycoviruses among 365 retrieved isolates showed
that four, including 6-31, 6-33, 6-35, and 7-11, harbored virus-like dsRNAs.
Molecular characterization of the dsRNAs revealed that three retrieved isolates,
6-31, 6-33, and 6-35 were infected with a novel endornavirus and isolate 7-11 is
infected with a novel partitivirus belonging to the genus Alphapartitivirus.
These novel mycoviruses had no overt biological impact on R. necatrix. Overall,
this study indicates that natural infections of R. necatrix with new mycoviruses
can occur under experimental soil conditions.
PMID- 26555165
TI - Biological characteristics of different epidemic enterovirus 71 strains and their
pathogeneses in neonatal mice and rhesus monkeys.
AB - Hand, foot and mouth disease (HFMD) has been prevalent in China since 2008.
Enterovirus 71 (EV71) is a common causative agent of HFMD, and various strains of
EV71 are prevalent worldwide. The EV71C4 subgenotype is the most endemic strain
in China. However, few studies investigating the biological characteristics and
pathogeneses of different C4 strains have been reported. Therefore, the current
study investigated 19 clinical EV71 strains in neonatal ICR mice and neonatal
rhesus monkeys by comparing pathogenicity; the virulence of different viral
passages, dosages, and routes of infection; and the effects produced by subject
animal age. These 19 clinical EV71 strains, which were of the same subtype,
displayed varying pathogenic effects. Three strains (HE31, 231 and 262) induced
limb paralysis in neonatal ICR mice. In addition, the degree of virulence was
largely dependent upon the dose, route of infection, and number of passages of
the challenge virus, as well as the ages of the infected animals. The present
study provides valuable basic data to enable further research into EV71
pathogenesis and to facilitate the development of new drugs and vaccines.
PMID- 26555166
TI - Canine parvovirus NS1 induced apoptosis involves mitochondria, accumulation of
reactive oxygen species and activation of caspases.
AB - The non-structural protein (NS1) of parvoviruses plays an important role in viral
replication and is thought to be responsible for inducing cell death. However,
the detailed mechanism and the pathways involved in canine parvovirus type 2 NS1
(CPV2.NS1) induced apoptosis are not yet known. In the present study, we report
that expression of CPV2.NS1 in HeLa cells arrests cells in G1 phase of the cell
cycle and the apoptosis is mitochondria mediated as indicated by mitochondrial
depolarization, release of cytochrome-c and activation of caspase 9. Treatment of
cells with caspase 9 inhibitor Z-LEHD-FMK reduced the induction of apoptosis
significantly. We also report that expression of CPV2.NS1 causes accumulation of
reactive oxygen species (ROS) and treatment with an antioxidant reduces the ROS
levels and the extent of apoptosis. Our results provide an insight into the
mechanism of CPV2.NS1 induced apoptosis, which might prove valuable in developing
NS1 protein as an oncolytic agent.
PMID- 26555168
TI - Epigenetic Deficiencies and Replicative Stress: Driving Cancer Cells to an Early
Grave.
AB - Cancer cell-specific synthetic lethal interactions entail promising therapeutic
possibilities. In this issue of Cancer Cell, Pfister et al. describe a synthetic
lethal interaction where cancer cells deficient in H3K36me3 owing to SETD2 loss
of-function mutation are strongly sensitized to inhibition of WEE1, a cell cycle
controlling kinase.
PMID- 26555167
TI - TECPR2 Associated Neuroaxonal Dystrophy in Spanish Water Dogs.
AB - Clinical, pathological and genetic examination revealed an as yet uncharacterized
juvenile-onset neuroaxonal dystrophy (NAD) in Spanish water dogs. Affected dogs
presented with various neurological deficits including gait abnormalities and
behavioral deficits. Histopathology demonstrated spheroid formation accentuated
in the grey matter of the cerebral hemispheres, the cerebellum, the brain stem
and in the sensory pathways of the spinal cord. Iron accumulation was absent.
Ultrastructurally spheroids contained predominantly closely packed vesicles with
a double-layered membrane, which were characterized as autophagosomes using
immunohistochemistry. The family history of the four affected dogs suggested an
autosomal recessive inheritance. SNP genotyping showed a single genomic region of
extended homozygosity of 4.5 Mb in the four cases on CFA 8. Linkage analysis
revealed a maximal parametric LOD score of 2.5 at this region. By whole genome re
sequencing of one affected dog, a perfectly associated, single, non-synonymous
coding variant in the canine tectonin beta-propeller repeat-containing protein 2
(TECPR2) gene affecting a highly conserved region was detected (c.4009C>T or
p.R1337W). This canine NAD form displays etiologic parallels to an inherited
TECPR2 associated type of human hereditary spastic paraparesis (HSP). In contrast
to the canine NAD, the spinal cord lesions in most types of human HSP involve the
sensory and the motor pathways. Furthermore, the canine NAD form reveals
similarities to cases of human NAD defined by widespread spheroid formation
without iron accumulation in the basal ganglia. Thus TECPR2 should also be
considered as candidate gene for human NAD. Immunohistochemistry and the
ultrastructural findings further support the assumption, that TECPR2 regulates
autophagosome accumulation in the autophagic pathways. Consequently, this report
provides the first genetic characterization of juvenile canine NAD, describes the
histopathological features associated with the TECPR2 mutation and provides
evidence to emphasize the association between failure of autophagy and
neurodegeneration.
PMID- 26555169
TI - Sugar Makes Fat by Talking to SCAP.
AB - Elevated blood glucose promotes lipogenesis via activating SREBP transcription
factors. Tumors exhibit increased glucose uptake and lipogenesis, but the
mechanisms controlling this are poorly understood. In this issue of Cancer Cell,
Cheng and colleagues find that glucose activates SREBP by stabilizing SCAP, a
central regulator of the SREBP pathway.
PMID- 26555170
TI - In Search of a Cure for Proteostasis-Addicted Cancer: A AAA Target Revealed.
AB - Tumorigenesis is often associated with an unbalanced protein homeostasis
(proteostasis) network, which sensitizes cancer cells to drugs targeting protein
quality control (PQC) regulators. In this issue of Cancer Cell, Anderson and
colleagues investigated the anti-cancer activity of a new class of inhibitor
against a multi-functional ATPase essential for proteostasis maintenance.
PMID- 26555171
TI - Tumor-Educated Platelets as Liquid Biopsy in Cancer Patients.
AB - Real-time monitoring of changes in cells or cell products released from malignant
lesions into the blood has opened new diagnostic avenues ("liquid biopsy"). In
this issue of Cancer Cell, Best and colleagues describe that tumor-associated
blood platelets provide specific information on the location and molecular
composition of the primary tumor.
PMID- 26555172
TI - Welcoming Treat: Astrocyte-Derived Exosomes Induce PTEN Suppression to Foster
Brain Metastasis.
AB - Metastasis to distant organs depends on pathological crosstalk between tumor
cells and various tissue-specific stromal components. Zhang and colleagues
recently demonstrated that astrocyte-derived exosomal miR-19a reversibly
downregulated PTEN expression in cancer cells, thereby increasing their CCL2
secretion and recruitment of myeloid cell to promote brain metastasis.
PMID- 26555173
TI - Glucose-Mediated N-glycosylation of SCAP Is Essential for SREBP-1 Activation and
Tumor Growth.
AB - Tumorigenesis is associated with increased glucose consumption and lipogenesis,
but how these pathways are interlinked is unclear. Here, we delineate a pathway
in which EGFR signaling, by increasing glucose uptake, promotes N-glycosylation
of sterol regulatory element-binding protein (SREBP) cleavage-activating protein
(SCAP) and consequent activation of SREBP-1, an ER-bound transcription factor
with central roles in lipid metabolism. Glycosylation stabilizes SCAP and reduces
its association with Insig-1, allowing movement of SCAP/SREBP to the Golgi and
consequent proteolytic activation of SREBP. Xenograft studies reveal that
blocking SCAP N-glycosylation ameliorates EGFRvIII-driven glioblastoma growth.
Thus, SCAP acts as key glucose-responsive protein linking oncogenic signaling and
fuel availability to SREBP-dependent lipogenesis. Targeting SCAP N-glycosylation
may provide a promising means of treating malignancies and metabolic diseases.
PMID- 26555174
TI - NEMO Prevents Steatohepatitis and Hepatocellular Carcinoma by Inhibiting RIPK1
Kinase Activity-Mediated Hepatocyte Apoptosis.
AB - IkappaB kinase/nuclear [corrected] factor kappaB (IKK/NF-kappaB) signaling
exhibits important yet opposing functions in hepatocarcinogenesis. Mice lacking
NEMO in liver parenchymal cells (LPC) spontaneously develop steatohepatitis and
hepatocellular carcinoma (HCC) suggesting that NF-kappaB prevents liver disease
and cancer. Here, we show that complete NF-kappaB inhibition by combined LPC
specific ablation of RelA, c-Rel, and RelB did not phenocopy NEMO deficiency, but
constitutively active IKK2-mediated NF-kappaB activation prevented hepatocellular
damage and HCC in NEMO(LPC-KO) mice. Knock-in expression of kinase inactive
receptor-interacting protein kinase 1 (RIPK1) prevented hepatocyte apoptosis and
HCC, while RIPK1 ablation induced TNFR1-associated death domain protein (TRADD)
dependent hepatocyte apoptosis and liver tumors in NEMO(LPC-KO) mice, revealing
distinct kinase-dependent and scaffolding functions of RIPK1. Collectively, these
results show that NEMO prevents hepatocarcinogenesis by inhibiting RIPK1 kinase
activity-driven hepatocyte apoptosis through NF-kappaB-dependent and -independent
functions.
PMID- 26555176
TI - SnapShot: Multiple Myeloma.
AB - Multiple myeloma (MM) is a plasma cell malignancy characterized by a
heterogeneous clinical presentation. Genetic abnormalities are not only key
events in the origin and progression of the disease but are also useful tools for
prognosis, risk stratification, and therapeutic decision making. Although still
incurable, a revolution in the treatment of MM is currently ongoing, leading to a
significant improvement of clinical outcome and survival. To view this SnapShot,
open or download the PDF.
PMID- 26555177
TI - Using Nested Contractions and a Hierarchical Tensor Format To Compute Vibrational
Spectra of Molecules with Seven Atoms.
AB - We propose a method for solving the vibrational Schrodinger equation with which
one can compute hundreds of energy levels of seven-atom molecules using at most a
few gigabytes of memory. It uses nested contractions in conjunction with the
reduced-rank block power method (RRBPM) described in J. Chem. Phys. 2014, 140,
174111. Successive basis contractions are organized into a tree, the nodes of
which are associated with eigenfunctions of reduced-dimension Hamiltonians. The
RRBPM is used recursively to compute eigenfunctions of nodes in bases of products
of reduced-dimension eigenfunctions of nodes with fewer coordinates. The
corresponding vectors are tensors in what is called CP-format. The final wave
functions are therefore represented in a hierarchical CP-format. Computational
efficiency and accuracy are significantly improved by representing the
Hamiltonian in the same hierarchical format as the wave function. We demonstrate
that with this hierarchical RRBPM it is possible to compute energy levels of a 64
D coupled-oscillator model Hamiltonian and also of acetonitrile (CH3CN) and
ethylene oxide (C2H4O), for which we use quartic potentials. The most accurate
acetonitrile calculation uses 139 MB of memory and takes 3.2 h on a single
processor. The most accurate ethylene oxide calculation uses 6.1 GB of memory and
takes 14 d on 63 processors. The hierarchical RRBPM shatters the memory barrier
that impedes the calculation of vibrational spectra.
PMID- 26555175
TI - Targeting the AAA ATPase p97 as an Approach to Treat Cancer through Disruption of
Protein Homeostasis.
AB - p97 is a AAA-ATPase with multiple cellular functions, one of which is critical
regulation of protein homeostasis pathways. We describe the characterization of
CB-5083, a potent, selective, and orally bioavailable inhibitor of p97. Treatment
of tumor cells with CB-5083 leads to accumulation of poly-ubiquitinated proteins,
retention of endoplasmic reticulum-associated degradation (ERAD) substrates, and
generation of irresolvable proteotoxic stress, leading to activation of the
apoptotic arm of the unfolded protein response. In xenograft models, CB-5083
causes modulation of key p97-related pathways, induces apoptosis, and has
antitumor activity in a broad range of both hematological and solid tumor models.
Molecular determinants of CB-5083 activity include expression of genes in the
ERAD pathway, providing a potential strategy for patient selection.
PMID- 26555178
TI - In Vivo and Ex Vivo Approaches to Study Ovarian Cancer Metastatic Colonization of
Milky Spot Structures in Peritoneal Adipose.
AB - High-grade serous ovarian cancer (HGSC), the cause of widespread peritoneal
metastases, continues to have an extremely poor prognosis; fewer than 30% of
women are alive 5 years after diagnosis. The omentum is a preferred site of HGSC
metastasis formation. Despite the clinical importance of this microenvironment,
the contribution of omental adipose tissue to ovarian cancer progression remains
understudied. Omental adipose is unusual in that it contains structures known as
milky spots, which are comprised of B, T, and NK cells, macrophages, and
progenitor cells surrounding dense nests of vasculature. Milky spots play a key
role in the physiologic functions of the omentum, which are required for
peritoneal homeostasis. We have shown that milky spots also promote ovarian
cancer metastatic colonization of peritoneal adipose, a key step in the
development of peritoneal metastases. Here we describe the approaches we
developed to evaluate and quantify milky spots in peritoneal adipose and study
their functional contribution to ovarian cancer cell metastatic colonization of
omental tissues both in vivo and ex vivo. These approaches are generalizable to
additional mouse models and cell lines, thus enabling the study of ovarian cancer
metastasis formation from initial localization of cells to milky spot structures
to the development of widespread peritoneal metastases.
PMID- 26555179
TI - Atomistic electrodynamics simulations of bare and ligand-coated nanoparticles in
the quantum size regime.
AB - The optical properties of metallic nanoparticles with nanometre dimensions
exhibit features that cannot be described by classical electrodynamics. In this
quantum size regime, the near-field properties are significantly modified and
depend strongly on the geometric arrangements. However, simulating realistically
sized systems while retaining the atomistic description remains computationally
intractable for fully quantum mechanical approaches. Here we introduce an
atomistic electrodynamics model where the traditional description of
nanoparticles in terms of a macroscopic homogenous dielectric constant is
replaced by an atomic representation with dielectric properties that depend on
the local chemical environment. This model provides a unified description of bare
and ligand-coated nanoparticles, as well as strongly interacting nanoparticle
dimer systems. The non-local screening owing to an inhomogeneous ligand layer is
shown to drastically modify the near-field properties. This will be important to
consider in optimization of plasmonic nanostructures for near-field spectroscopy
and sensing applications.
PMID- 26555180
TI - The intriguing realm of protein biogenesis: Facing the green co-translational
protein maturation networks.
AB - The ribosome is the cell's protein-making factory, a huge protein-RNA complex,
that is essential to life. Determining the high-resolution structures of the
stable "core" of this factory was among the major breakthroughs of the past
decades, and was awarded the Nobel Prize in 2009. Now that the mysteries of the
ribosome appear to be more traceable, detailed understanding of the mechanisms
that regulate protein synthesis includes not only the well-known steps of
initiation, elongation, and termination but also the less comprehended features
of the co-translational events associated with the maturation of the nascent
chains. The ribosome is a platform for co-translational events affecting the
nascent polypeptide, including protein modifications, folding, targeting to
various cellular compartments for integration into membrane or translocation, and
proteolysis. These events are orchestrated by ribosome-associated protein
biogenesis factors (RPBs), a group of a dozen or more factors that act as the
"welcoming committee" for the nascent chain as it emerges from the ribosome. In
plants these factors have evolved to fit the specificity of different cellular
compartments: cytoplasm, mitochondria and chloroplast. This review focuses on the
current state of knowledge of these factors and their interaction around the exit
tunnel of dedicated ribosomes. Particular attention has been accorded to the
plant system, highlighting the similarities and differences with other organisms.
PMID- 26555181
TI - High-yield soluble expression, purification and characterization of human
steroidogenic acute regulatory protein (StAR) fused to a cleavable Maltose
Binding Protein (MBP).
AB - Steroidogenic acute regulatory protein (StAR) is responsible for the rapid
delivery of cholesterol to mitochondria where the lipid serves as a source for
steroid hormones biosynthesis in adrenals and gonads. Despite many successful
investigations, current understanding of the mechanism of StAR action is far from
being completely clear. StAR was mostly obtained using denaturation/renaturation
or in minor quantities in a soluble form at decreased temperatures that,
presumably, limited the possibilities for its consequent detailed exploration. In
our hands, existing StAR expression constructs could be bacterially expressed
almost exclusively as insoluble forms, even upon decreased expression
temperatures and in specific strains of Escherichia coli, and isolated protein
tended to aggregate and was difficult to handle. To maximize the yield of soluble
protein, optimized StAR sequence encompassing functional domain STARD1 (residues
66-285) was fused to the C-terminus of His-tagged Maltose-Binding Protein (MBP)
with the possibility to cleave off the whole tag by 3C protease. The developed
protocol of expression and purification comprising of a combination of
subtractive immobilized metal affinity chromatography (IMAC) and size-exclusion
chromatography allowed us to obtain up to 25 mg/1 L culture of completely soluble
StAR protein, which was (i) homogenous according to SDS-PAGE, (ii) gave a single
symmetrical peak on a gel-filtration, (iii) showed the characteristic CD spectrum
and (iv) pH-dependent ability to bind a fluorescently-labeled cholesterol
analogue. We conclude that our strategy provides fully soluble and native StAR
protein which in future could be efficiently used for biotechnology and drug
discovery aimed at modulation of steroids production.
PMID- 26555182
TI - Assessment of hot flushes and vaginal dryness among obese women undergoing
bariatric surgery.
AB - OBJECTIVE: Menopausal symptoms are associated with a negative impact on the
quality of life, leading women to seek medical treatment. Obesity has been linked
to higher levels of menopausal symptoms such as hot flushes. This assessment will
explore whether the prevalence and bother of hot flushes and vaginal dryness
change from pre- to post-bariatric surgery among obese midlife women. METHODS:
This study is a longitudinal analysis of data from 69 women (ages 35-72 years)
undergoing bariatric surgery with reported reproductive histories and menopausal
symptoms at preoperative and 6-month postoperative visits. Prevalence of and
degree of bother of hot flushes and vaginal dryness at pre- and post-surgery were
compared using McNemar's test and Wilcoxon signed-rank test. RESULTS: The
reported degree of bother of symptoms associated with hot flushes decreased from
pre- to post-surgery (p < 0.01). There was no significant change in the
prevalence of hot flushes or vaginal dryness in the overall study sample.
CONCLUSIONS: The degree of bother of symptoms associated with hot flushes among
midlife women may decrease after bariatric surgery. These results highlight
important secondary gains, including less bothersome menopausal symptoms, for
women who choose bariatric surgery for weight loss.
PMID- 26555183
TI - Decoupled evolution of floral traits and climatic preferences in a clade of
Neotropical Gesneriaceae.
AB - BACKGROUND: Major factors influencing the phenotypic diversity of a lineage can
be recognized by characterizing the extent and mode of trait evolution between
related species. Here, we compared the evolutionary dynamics of traits associated
with floral morphology and climatic preferences in a clade composed of the genera
Codonanthopsis, Codonanthe and Nematanthus (Gesneriaceae). To test the mode and
specific components that lead to phenotypic diversity in this group, we performed
a Bayesian phylogenetic analysis of combined nuclear and plastid DNA sequences
and modeled the evolution of quantitative traits related to flower shape and size
and to climatic preferences. We propose an alternative approach to display
graphically the complex dynamics of trait evolution along a phylogenetic tree
using a wide range of evolutionary scenarios. RESULTS: Our results demonstrated
heterogeneous trait evolution. Floral shapes displaced into separate regimes
selected by the different pollinator types (hummingbirds versus insects), while
floral size underwent a clade-specific evolution. Rates of evolution were higher
for the clade that is hummingbird pollinated and experienced flower resupination,
compared with species pollinated by bees, suggesting a relevant role of plant
pollinator interactions in lowland rainforest. The evolution of temperature
preferences is best explained by a model with distinct selective regimes between
the Brazilian Atlantic Forest and the other biomes, whereas differentiation along
the precipitation axis was characterized by higher rates, compared with
temperature, and no regime or clade-specific patterns. CONCLUSIONS: Our study
shows different selective regimes and clade-specific patterns in the evolution of
morphological and climatic components during the diversification of Neotropical
species. Our new graphical visualization tool allows the representation of trait
trajectories under parameter-rich models, thus contributing to a better
understanding of complex evolutionary dynamics.
PMID- 26555184
TI - Absorption Features in Soil Spectra Assessment.
AB - From a wide range of techniques appropriate to relate spectra measurements with
soil properties, partial least squares (PLS) regression and support vector
machines (SVM) are most commonly used. This is due to their predictive power and
the availability of software tools. Both represent exclusively statistically
based approaches and, as such, benefit from multiple responses of soil material
in the spectrum. However, physical-based approaches that focus only on a single
spectral feature, such as simple linear regression using selected continuum
removed spectra values as a predictor variable, often provide accurate estimates.
Furthermore, if this approach extends to multiple cases by taking into account
three basic absorption feature parameters (area, width, and depth) of all
occurring features as predictors and subjecting them to best subset selection,
one can achieve even higher prediction accuracy compared with PLS regression.
Here, we attempt to further extend this approach by adding two additional
absorption feature parameters (left and right side area), as they can be
important diagnostic markers, too. As a result, we achieved higher prediction
accuracy compared with PLS regression and SVM for exchangeable soil pH, slightly
higher or comparable for dithionite-citrate and ammonium oxalate extractable Fe
and Mn forms, but slightly worse for oxidizable carbon content. Therefore, we
suggest incorporating the multiple linear regression approach based on absorption
feature parameters into existing working practices.
PMID- 26555185
TI - The effect of an external hip joint stabiliser on gait function after surgery for
tumours located around the circumference of the pelvis: analysis of seven cases
of internal hemipelvectomy or proximal femur resection.
AB - PURPOSE: Limb-sparing resection of malignant pelvic tumours provides the
opportunity for patients to obtain better post-operative mobility. However,
because few studies have examined in detail the gait function of patients
following pelvic tumour resection, the factors affecting gait performance remain
to be clarified. Here, with the laboratory-based computer-assisted gait analysis,
we evaluated these patients' gait objectively and the impact of a hip-stabilising
supporter on gait improvement was simultaneously examined. METHODS: Three
dimensional gait analysis was performed to obtain cross-sectional data for seven
post-operative patients (mean age, 42.7 years; range, 20-61 years) who underwent
various types of resection, including P1/4 internal hemipelvectomy (IH), P1/2/3
IH, and proximal femur resection with prosthetic reconstruction. To assess the
immediate effects of a hip joint stabiliser, we instructed subjects to walk at
their self-selected preferred speed and compared gait parameters with and without
use of the hip stabiliser. RESULTS: At baseline, the average walking speed was
0.75 m/s (95% CI 0.53-0.97). As shown by the intra-subject comparison, the hip
stabiliser increased walking speed in all but one subject, increasing both
temporal and spatial parameters. Ground reaction force of operated limbs
increased for some subjects, while step length increased on at least one side in
all subjects. CONCLUSIONS: Improvement in the gait parameters is indicative of
better control provided by the external hip stabiliser over the affected limb.
Moreover, our findings show the potential of a biomechanical approach to improve
gait function following pelvic tumour resection.
PMID- 26555186
TI - Detritic synovitis can mimic a Propionibacterium periprosthetic infection.
AB - PURPOSE: The purpose of this article is to illustrate that the clinical findings
of detritic synovitis complicating a total shoulder arthroplasty can strongly
resemble those of a 'stealth' periprosthetic shoulder infection with a low
virulence organism such as Propionibacterium. METHODS: We present a review of the
literature and illustrate an example of detritic synovitis following a total
shoulder arthroplasty. RESULTS: The combination of glenoid component loosening
and humeral osteolysis after total shoulder arthroplasty are commonly attributed
to periprosthetic infection with low virulence organisms, such as
Propionibacterium or coagulase negative Staphylococcus. Such a periprosthetic
infection can appear long after the index joint replacement. This article points
out that these same findings may occur with a non-infectious process initiated by
polyethylene, cement or metal debris-detritic synovitis. CONCLUSIONS: At present,
the important differentiation between these two etiologies can only be
ascertained by awaiting the results of cultures obtained at the time of revision
surgery.
PMID- 26555188
TI - Structural basis for an atypical active site of an L-aspartate/glutamate-specific
racemase from Escherichia coli.
AB - We determined the crystal structure of EcL-DER to elucidate protein function and
substrate specificity. Unlike other asp/glu racemases, EcL-DER has an unbalanced
pair of catalytic residues, Thr83/Cys197, at the active site that is crucial for
L- to D-unidirectional racemase activity. EcL-DER exhibited racemase activity for
both L-glutamate and L-aspartate, but had threefold higher activity for L
glutamate. Based on the structure of the EcL-DER(C197S) mutant in complex with L
glutamate, we determined the binding mode of the L-glutamate substrate in EcL-DER
and provide a structural basis for how the protein utilizes L-glutamate as a main
substrate. The unidirectionality, despite an equilibrium constant of unity, can
be understood in terms of the Haldane relationship.
PMID- 26555187
TI - Age- and gender-specific clinical characteristics of acute adult spine fractures
in China.
AB - PURPOSE: The purpose of this study was to investigate the age- and gender
specific clinical characteristics of adult spine fractures in China. METHOD: A
retrospective investigation was performed on consecutive patients with acute
spinal fractures in 83 hospitals of 31 provinces in China between January 2010
and December 2011. All adult patients (>=16 years) with spinal column fracture
were included, while pathologic, old, and periprosthetic fractures were excluded.
Each patient was analysed by reviewing the medical records and initial
radiographs. RESULTS: There were 54,533 adult patients with spinal column
fractures, accounting for 14.57 % of overall fractures. The medium age was 57
years (range 16-100 years). There were 27,466 male patients with medium age of 49
years and 27,067 females with medium age of 63 years. The male to female ratio
was 1.01:1 in study. It was 1.74:1 in patients less than 59 years, and 0.51:1
above 60 years. The most common injury site was L1, which accounted for 33.83 %
of cases. In the AO classification, the 53A and 52A groups comprised 85.53 % of
all fractures. CONCLUSIONS: This study demonstrates the age- and gender-specific
clinical characteristics of adults spine fractures and reveals a significant
relationship among ages, sex, fracture localisation and complexity of spine
fractures. Using the age of patients, the likelihood of sustaining adult spine
fractures is predictable.
PMID- 26555189
TI - MiR-30a attenuates immunosuppressive functions of IL-1beta-elicited mesenchymal
stem cells via targeting TAB3.
AB - Mesenchymal stem cells (MSCs) possess the ability to modulate the immune
response, and their abnormalities are related to several diseases. We previously
reported that miR-30a expression significantly increased in the maternal-fetal
interface during preeclampsia (PE), but the effects of miR-30a on the
immunoregulatory characteristics of MSCs are unclear. In this study, we
determined that miR-30a over-expression inhibited the IL-1beta-elicited
activation of the nuclear factor kappaB (NF-kappaB) and JNK signaling pathways
and the production of IL-6, cyclooxygenase 2 (COX2) and IL-8 by targeting
transforming growth factor-beta-activated kinase 1 binding protein 3 (TAB3) in
MSCs. Moreover, the over-expression of miR-30a also impaired MSCs' anti
inflammatory effects on macrophages. These data demonstrated that miR-30a in MSCs
may participate in the immune dysregulation of the maternal-fetal interface
during PE.
PMID- 26555190
TI - GDNF-Ret signaling in midbrain dopaminergic neurons and its implication for
Parkinson disease.
AB - Glial cell line-derived neurotrophic factor (GDNF) and its canonical receptor Ret
can signal together or independently to fulfill many important functions in the
midbrain dopaminergic (DA) system. While Ret signaling clearly impacts on the
development, maintenance and regeneration of the mesostriatal DA system, the
physiological functions of GDNF for the DA system are still unclear.
Nevertheless, GDNF is still considered to be an excellent candidate to protect
and/or regenerate the mesostriatal DA system in Parkinson disease (PD). Clinical
trials with GDNF on PD patients are, however, so far inconclusive. Here, we
review the current knowledge of GDNF and Ret signaling and function in the
midbrain DA system, and their crosstalk with proteins and signaling pathways
associated with PD.
PMID- 26555192
TI - Murine cytomegalovirus (CMV) infection via the intranasal route offers a robust
model of immunity upon mucosal CMV infection.
AB - Cytomegalovirus (CMV) is a ubiquitous virus, causing the most common congenital
infection in humans, yet a vaccine against this virus is not available.
Experimental studies of immunity against CMV in animal models of infection, such
as the infection of mice with mouse CMV (MCMV), have relied mainly on parenteral
infection protocols, although the virus naturally transmits by mucosal routes via
body fluids. To characterize the biology of infections by mucosal routes, we
compared the kinetics of virus replication, latent viral load and CD8 T-cell
responses in lymphoid organs upon experimental intranasal (targeting the
respiratory tract) and intragastric (targeting the digestive tract) infection
with systemic intraperitoneal infection of two unrelated mouse strains. We
observed that intranasal infection induced robust and long-term virus replication
in the lungs and salivary glands but limited replication in the spleen. CD8 T
cell responses were somewhat weaker than upon intraperitoneal infection but
showed similar kinetic profiles and phenotypes of antigen-specific cells. In
contrast, intragastric infection resulted in abortive or poor virus replication
in all tested organs and poor T-cell responses to the virus, especially at late
times after infection. Consistent with the T-cell kinetics, the MCMV latent load
was high in the lungs but low in the spleen of intranasally infected mice and
lowest in all tested organs upon intragastric infection. In conclusion, we showed
that intranasal but not intragastric infection of mice with MCMV represents a
robust model to study the short- and long-term biology of CMV infection by a
mucosal route.
PMID- 26555191
TI - Role of arginine and lysine in the antimicrobial mechanism of histone-derived
antimicrobial peptides.
AB - Translocation of cell-penetrating peptides is often promoted by increased content
of arginine or other guanidinium groups. However, relatively little research has
considered the role of these functional groups on antimicrobial peptide activity.
This study compared the activity of three histone-derived antimicrobial peptides
buforin II, DesHDAP1, and parasin-with variants that contain only lysine or
arginine cationic residues. These peptides operate via different mechanisms as
parasin causes membrane permeabilization while buforin II and DesHDAP1
translocate into bacteria. For all peptides, antibacterial activity increased
with increased arginine content. Higher arginine content increased
permeabilization for parasin while it improved translocation for buforin II and
DesHDAP1. These observations provide insight into the relative importance of
arginine and lysine in these antimicrobial peptides.
PMID- 26555194
TI - MiRNA profiling of whole trabecular bone: identification of osteoporosis-related
changes in MiRNAs in human hip bones.
AB - BACKGROUND: MicroRNAs (miRNAs) are important regulators of gene expression, with
documented roles in bone metabolism and osteoporosis, suggesting potential
therapeutic targets. Our aim was to identify miRNAs differentially expressed in
fractured vs nonfractured bones. Additionally, we performed a miRNA profiling of
primary osteoblasts to assess the origin of these differentially expressed
miRNAs. METHODS: Total RNA was extracted from (a) fresh femoral neck trabecular
bone from women undergoing hip replacement due to either osteoporotic fracture
(OP group, n = 6) or osteoarthritis in the absence of osteoporosis (Control
group, n = 6), matching the two groups by age and body mass index, and (b)
primary osteoblasts obtained from knee replacement due to osteoarthritis (n = 4).
Samples were hybridized to a microRNA array containing more than 1900 miRNAs.
Principal component analysis (PCA) plots and heat map hierarchical clustering
were performed. For comparison of expression levels, the threshold was set at log
fold change > 1.5 and a p-value < 0.05 (corrected for multiple testing). RESULTS:
Both PCA and heat map analyses showed that the samples clustered according to the
presence or absence of fracture. Overall, 790 and 315 different miRNAs were
detected in fresh bone samples and in primary osteoblasts, respectively, 293 of
which were common to both groups. A subset of 82 miRNAs was differentially
expressed (p < 0.05) between osteoporotic and control osteoarthritic samples. The
eight miRNAs with the lowest p-values (and for which a validated miRNA qPCR assay
was available) were assayed, and two were confirmed: miR-320a and miR-483-5p.
Both were over-expressed in the osteoporotic samples and expressed in primary
osteoblasts. miR-320a is known to target CTNNB1 and predicted to regulate RUNX2
and LEPR, while miR-483-5p down-regulates IGF2. We observed a reduction trend for
this target gene in the osteoporotic bone. CONCLUSIONS: We identified two
osteoblast miRNAs over-expressed in osteoporotic fractures, which opens novel
prospects for research and therapy.
PMID- 26555195
TI - Do you hear the noise? The German matrix sentence test with a fixed noise level
in subjects with normal hearing and hearing impairment.
AB - OBJECTIVE: The aim of this study was to determine the relationship between
hearing loss and speech reception threshold (SRT) in a fixed noise condition
using the German Oldenburg sentence test (OLSA). DESIGN: After training with two
easily-audible lists of the OLSA, SRTs were determined monaurally with headphones
at a fixed noise level of 65 dB SPL using a standard adaptive procedure,
converging to 50% speech intelligibility. STUDY SAMPLE: Data was obtained from
315 ears of 177 subjects with hearing losses ranging from -5 to 90 dB HL pure
tone average (PTA, 0.5, 1, 2, 3 kHz). RESULTS: Two domains were identified with a
linear dependence of SRT on PTA. The SRT increased with a slope of 0.094 +/-
0.006 dB SNR/dB HL (standard deviation (SD) of residuals = 1.17 dB) for PTAs < 47
dB HL and with a slope of 0.811 +/- 0.049 dB SNR/dB HL (SD of residuals = 5.54
dB) for higher PTAs. CONCLUSION: The OLSA can be applied to subjects with a wide
range of hearing losses. With 65 dB SPL fixed noise presentation level the SRT is
determined by listening in noise for PTAs < ~47 dB HL, and above it is determined
by listening in quiet.
PMID- 26555193
TI - SOX4 expression is associated with treatment failure and chemoradioresistance in
oral squamous cell carcinoma.
AB - BACKGROUND: In humans, sex-determining region-Y (SRY) related high-mobility-group
box 4 (SOX4) is linked to development and tumorigenesis. SOX4 is over-expressed
in several cancers and has prognostic significance. This study evaluated whether
SOX4 affects oncogenic behavior and chemoradiotherapy response in head and neck
squamous cell carcinoma (HNSCC) cells, and documented the relationship between
its expression and prognosis in oral squamous cell carcinoma (OSCC). METHODS: We
used small interfering RNA in HNSCC cells to evaluate the effect of SOX4 on cell
proliferation, apoptosis, chemoradiation-induced apoptosis, invasion, and
migration. SOX4 expression in OSCC tissues was investigated by
immunohistochemistry. RESULTS: SOX4 knockdown (KO) decreased cell proliferation
and induced apoptosis by activating caspases-3 and -7, and poly-ADP ribose
polymerase and suppressing X-linked inhibitor of apoptosis protein in HNSCC
cells; it also enhanced radiation/cisplatin-induced apoptosis; and suppressed
tumor cell invasion and migration. Immunostaining showed SOX4 protein was
significantly increased in OSCC tissues compared with adjacent normal mucosa.
SOX4 expression was observed in 51.8 % of 85 OSCC tissues, and was significantly
correlated with treatment failure (P = 0.032) and shorter overall survival (P =
0.036) in patients with OSCC. CONCLUSIONS: SOX4 may contribute to oncogenic
phenotypes of HNSCC cells by promoting cell survival and causing
chemoradioresistance. It could be a potential prognostic marker for OSCC.
PMID- 26555196
TI - Association of low serum 25-hydroxyvitamin D levels with the frailty syndrome in
Mexican community-dwelling elderly.
AB - OBJECTIVE: Since vitamin D is an important regulator of muscle function, the
effect of vitamin D deficiency on frailty syndrome has been recently studied.
This cross-sectional study aimed to determine the association between 25(OH)
vitamin D levels and frailty status in Mexican community-dwelling elderly.
METHODS: Sample of 331 community-dwelling elderly aged 70 or older, a subset of
those included in the "Coyoacan cohort" were included. 25(OH)-vitamin D assay and
frailty status were measured. RESULTS: Mean age was 79.3 years and 54.1% were
women. Those classified as frail were more likely to have lower Mini-Mental State
Examination score (p = 0.015), more disability for instrumental activities of
daily living (p < 0.001) and for activities of daily living (p < 0.001). Serum
25(OH)-vitamin D levels were lower in the frail subgroup when compared with the
non-frail one (p < 0.001). Multivariate logistic regression analyses showed a
significant association between intermediate tertile [odds ratios (OR) = 4.13;
95% confidence intervals (CI) 2.00-8.56] or insufficient tertile (OR = 8.95; 95%
CI 2.41-33.30) of vitamin D levels and frailty even after adjusting for potential
confounders. CONCLUSION: These results suggest that older adults with low 25(OH)
vitamin D levels are associated with the probability to being frail compared with
those with sufficient vitamin D levels.
PMID- 26555197
TI - Polarity-based fractionation in proteomics: hydrophilic interaction vs reversed
phase liquid chromatography.
AB - During recent decades, hydrophilic interaction liquid chromatography (HILIC) ahs
been introduced to fractionate or purify especially polar solutes such as
peptides and proteins while reversed-phase liquid chromatography (RPLC) is also a
common strategy. RPLC is also a common dimension in multidimensional
chromatography. In this study, the potential of HILIC vs RPLC chromatography was
compared for proteome mapping of human peripheral blood mononuclear cell extract.
In HILIC a silica-based stationary phase and for RPLC a C18 column were applied.
Then separated proteins were eluted to an ion trap mass spectrometry system. Our
results showed that the HILIC leads to more proteins being identified in
comparison to RPLC. Among the total 181 identified proteins, 56 and 38 proteins
were fractionated specifically by HILIC and RPLC, respectively. In order to
demonstrate this, the physicochemical properties of identified proteins such as
polarity and hydrophobicity were considered. This analysis indicated that
polarity may play a major role in the HILIC separation of proteins vs RPLC. Using
gene ontology enrichment analysis, it was also observed that differences in
physicochemical properties conform to the cellular compartment and biological
features. Finally, this study highlighted the potential of HILIC and the great
orthogonality of RPLC in gel-free proteomic studies. Copyright (c) 2015 John
Wiley & Sons, Ltd.
PMID- 26555198
TI - Anti-fibrillation propensity of a flavonoid baicalein against the fibrils of hen
egg white lysozyme: potential therapeutics for lysozyme amyloidosis.
AB - More than 20 human diseases involve the fibrillation of a specific
protein/peptide which forms pathological deposits at various sites. Hereditary
lysozyme amyloidosis is a systemic disorder which mostly affects liver, spleen
and kidney. This conformational disorder is featured by lysozyme fibril
formation. In vivo lysozyme fibrillation was simulated under in vitro conditions
using a strong denaturant GdHCl at 3 M concentration. Sharp decline in the ANS
fluorescence intensity compared to the partially unfolded states, almost 20-fold
increase in ThT fluorescence intensity, increase in absorbance at 450 nm
suggesting turbidity, negative ellipticity peak in the far-UVCD at 217 nm, red
shift of 50 nm compared to the native state in Congo red assay and appearance of
a network of long rope-like fibrils in transmission electron microscope (TEM)
analysis suggested HEWL fibrillation. Anti-fibrillation potency of baicalein
against the preformed fibrils of HEWL was investigated following ThT assay in
which there was a dose-dependent decrease in ThT fluorescence intensity compared
to the fibrillar state of HEWL with the maximum effect observed at 150-MUM
baicalein concentration, loss of negative ellipticity peak in the far-UVCD
region, dip in the Rayleigh scattering intensity and absorbance at 350 and 450
nm, respectively, together with a reduction in the density of fibrillar structure
in TEM imaging. Thus, it could be suggested that baicalein could prove to be a
positive therapeutics for hereditary human lysozyme amyloidosis.
PMID- 26555199
TI - Mitigation of non-communicable diseases in developing countries with community
health workers.
AB - Non-communicable diseases (NCDs) are rapidly becoming priorities in developing
countries. While developed countries are more prepared in terms of skilled human
resources for NCD management, developing the required human resources is still a
challenge in developing countries. In this context, mobilizing community health
workers (CHWs) for control of NCDs seems promising. With proper training,
supervision and logistical support, CHWs can participate in the detection and
treatment of hypertension, diabetes, and other priority chronic diseases.
Furthermore, advice and support that CHWs can provide about diet, physical
activity, and other healthy lifestyle habits (such as avoidance of smoking and
excessive alcohol intake) have the potential for contributing importantly to NCD
programs. This paper explores the possibility of involving CHWs in developing
countries for addressing NCDs.
PMID- 26555200
TI - Re: "Quantitative Assessment of Optic Nerve with Diffusion Tensor Imaging in
Patients with Thyroid Ophthalmopathy".
PMID- 26555202
TI - Re: "Stop Blaming the Septum".
PMID- 26555201
TI - Reply re: "Quantitive Assessment of Optic Nerve with Diffusion Tensor Imaging in
Patients with Thyroid Orbitopathy".
PMID- 26555203
TI - Reply re: "Stop Blaming the Septum".
PMID- 26555204
TI - Re: "Electron Microscopic Features of Nasal Mucosa Treated with Topical and
Circumostial Injection of Mitomycin C: Implications in Dacryocystorhinostomy".
PMID- 26555205
TI - Reply re: "Electron Microscopic Features of Nasal Mucosa Treated with Topical and
Circumostial Injection of Mitomycin-C: Implications in Dacryocystorhinostomy".
PMID- 26555206
TI - Re: "Cosmetic Microdroplet Botulinum Toxin A Forehead Lift: A New Treatment
Paradigm".
PMID- 26555207
TI - Reply re: "Cosmetic Microdroplet Botulinum Toxin A Forehead Lift: A New Treatment
Paradigm".
PMID- 26555208
TI - Dacryocystitis and Failure of Dacryocystorhinostomy Associated with Tamsulosin.
PMID- 26555209
TI - A Stitch in Time....
PMID- 26555210
TI - A Technique for Removal of Nasal Silastic Tubing After Lacrimal Surgery.
PMID- 26555211
TI - Infectivity-associated PrP(Sc) and disease duration-associated PrP(Sc) of mouse
BSE prions.
AB - Disease-related prion protein (PrP(Sc)), which is a structural isoform of the
host-encoded cellular prion protein, is thought to be a causative agent of
transmissible spongiform encephalopathies. However, the specific role of PrP(Sc)
in prion pathogenesis and its relationship to infectivity remain controversial. A
time-course study of prion-affected mice was conducted, which showed that the
prion infectivity was not simply proportional to the amount of PrP(Sc) in the
brain. Centrifugation (20,000 *g) of the brain homogenate showed that most of the
PrP(Sc) was precipitated into the pellet, and the supernatant contained only a
slight amount of PrP(Sc). Interestingly, mice inoculated with the obtained
supernatant showed incubation periods that were approximately 15 d longer than
those of mice inoculated with the crude homogenate even though both inocula
contained almost the same infectivity. Our results suggest that a small
population of fine PrP(Sc) may be responsible for prion infectivity and that
large, aggregated PrP(Sc) may contribute to determining prion disease duration.
PMID- 26555212
TI - The use of systems biology and immunological big data to guide vaccine
development.
AB - High-throughput technologies applied to the analysis of vaccine responses are
likely to reveal the mechanisms responsible for vaccine-induced protection, aid
understanding of vaccine safety and help accelerate vaccine development and
clinical trials.
PMID- 26555213
TI - Sexual activity beneficial to cardiac patients.
PMID- 26555215
TI - Correlation Between Changes in Global Knee Structures Assessed by Magnetic
Resonance Imaging and Radiographic Osteoarthritis Changes Over Ten Years in a
Midlife Cohort.
AB - OBJECTIVE: The aim of this study was to describe the correlation between changes
in structural abnormalities assessed on magnetic resonance imaging (MRI) and
change in radiographic osteoarthritis (OA) over 10 years in a midlife cohort.
METHODS: A total of 211 participants (mean age 45 years [range 26-61 years], 57%
female) were studied at baseline, 2 years, and 10 years. Approximately one-half
were adult offspring of subjects who had undergone knee replacement for OA and
the remainders were randomly selected controls. Joint space narrowing (JSN) and
osteophytes were assessed from radiographs, while cartilage volume, cartilage
defects, and meniscal tears/extrusion were assessed from MRI. Spearman ranked
correlation analysis was used to describe the correlation between structural
changes assessed on MRI and radiographs. Only medial tibiofemoral compartment
results are presented, as the lateral compartment had limited change. RESULTS:
Over 10 years, change in meniscal tears showed a moderate independent correlation
with change in both JSN (rho = +0.37, P < 0.01) and osteophytes (rho = +0.31, P <
0.01) in the adjusted analysis. Meniscal extrusion (rho = +0.22, P < 0.01) and
cartilage defects (rho = +0.16, P < 0.04) showed a slightly weaker independent
correlation with JSN in the adjusted analysis, whereas cartilage volume loss
showed no significant correlation with either of the 2 radiographic outcomes.
CONCLUSION: Change in JSN is correlated with change in meniscal tears and, to a
lesser extent, with meniscal extrusion and cartilage defects. In this sample,
change in JSN is a composite measure that does not reflect cartilage volume loss,
prompting the review of the use of JSN as an outcome measure in chondro
protective drug trials.
PMID- 26555216
TI - Selective Ruthenium-Catalyzed Reductive Alkoxylation and Amination of Cyclic
Imides.
AB - Reported herein, for the first time, is the selective ruthenium-catalyzed
reductive alkoxylation and amination of phthalimides/succinimides. Notably, this
novel methodology avoids hydrogenation of the aromatic ring and allows
methoxylation of substituted imides with good to excellent selectivity for one of
the carbonyl groups. The reported method opens the door to the development of new
processes for the selective synthesis of various functionalized N-heterocyclic
compounds. As an example, intramolecular reductive couplings to afford tricyclic
compounds are presented for the first time.
PMID- 26555217
TI - Correction: Quantifying the Elastic Property of Nine Thigh Muscles Using Magnetic
Resonance Elastography.
PMID- 26555214
TI - RNA polymerase II pausing as a context-dependent reader of the genome.
AB - The RNA polymerase II (Pol II) transcribes all mRNA genes in eukaryotes and is
among the most highly regulated enzymes in the cell. The classic model of mRNA
gene regulation involves recruitment of the RNA polymerase to gene promoters in
response to environmental signals. Higher eukaryotes have an additional ability
to generate multiple cell types. This extra level of regulation enables each cell
to interpret the same genome by committing to one of the many possible
transcription programs and executing it in a precise and robust manner. Whereas
multiple mechanisms are implicated in cell type-specific transcriptional
regulation, how one genome can give rise to distinct transcriptional programs and
what mechanisms activate and maintain the appropriate program in each cell
remains unclear. This review focuses on the process of promoter-proximal Pol II
pausing during early transcription elongation as a key step in context-dependent
interpretation of the metazoan genome. We highlight aspects of promoter-proximal
Pol II pausing, including its interplay with epigenetic mechanisms, that may
enable cell type-specific regulation, and emphasize some of the pertinent
questions that remain unanswered and open for investigation.
PMID- 26555218
TI - Single vs multiple independent olive domestications: the jury is (still) out.
PMID- 26555219
TI - Reply to Letter Regarding "Compared Efficacy of Preservation Solutions in Liver
Transplantation: A Long-Term Graft Outcome Study From the European Liver
Transplant Registry".
PMID- 26555220
TI - Reply.
PMID- 26555221
TI - Is Carotid Stenosis in Women a Gender-Related Condition?
AB - BACKGROUND: We set out to study, through ultrasound examinations, the carotid
bifurcation in men and women with/without carotid stenosis to look for anatomical
and electrophysiologic differences. We evaluated other variables to look for
differences that might explain the dissimilar behavior of this disease in the two
sexes and the presence and impact of risk factors. METHODS: We examined 974
subjects aged 25 to 88 years (478 men and 496 women) in whom we considered heart
rate, smoking status, and the presence of hypertension, diabetes,
hypercholesterolemia, and hypertriglyceridemia. Ultrasound examination of the
neck vessels included measurement of intimal medial thickness (IMT), vessel
diameter, and outflow area/inflow area ratio. We established plaque location,
echogenicity and echostructure, and the percentage of stenosis owing to plaque
and measured systolic velocity, flow direction, and the depth of detection of
these parameters. We used the apnea and hyperpnea test to assess cerebrovascular
reactivity. RESULTS: Hypertension and hypercholesterolemia were the most frequent
risk factors. Women had a higher heart rate, whereas men had significantly
greater IMT. The presence of atheromatous plaque was significantly correlated
with age in both sexes, with men having a higher prevalence of carotid plaques.
The sexes differed significantly with regard to plaque location, echogenicity,
echostructure, and intracranial circulation. Women had a slightly higher blood
flow velocity in the intracranial arteries. Risk factors affected plaque
formation and extent more in men than in women. CONCLUSIONS: These findings
suggest that carotid stenosis is a gender-related trait.
PMID- 26555222
TI - Refractory Kaposiform Hemangioendothelioma Associated with the Chromosomal
Translocation t(13;16)(q14;p13.3).
AB - We report a 7-year-old male with a history of recurrent kaposiform
hemangioendothelioma (KHE) in the sacral area and multiple thoracic vertebral
lesions. Tumor karyotyping revealed the balanced translocation
t(13;16)(q14;p13.3). He had mildly decreased platelet counts but never
experienced any episodes of Kasabach-Merritt phenomenon. He was treated with
vincristine for 1 year but improvement was minor. Significant clinical
improvement was seen with sirolimus therapy. To the best of our knowledge, this
is the first report to demonstrate that KHE is associated with a clonal
karyotypic abnormality.
PMID- 26555223
TI - Analysis of Matched Tumor and Normal Profiles Reveals Common Transcriptional and
Epigenetic Signals Shared across Cancer Types.
AB - To identify the transcriptional regulatory changes that are most widespread in
solid tumors, we performed a pan-cancer analysis using over 600 pairs of tumors
and adjacent normal tissues profiled in The Cancer Genome Atlas (TCGA). Frequency
of upregulation was calculated across mRNA expression levels, microRNA expression
levels and CpG methylation sites and is provided here as a resource. Frequent
tumor-associated alterations were identified using a simple statistical approach.
Many of the identified changes were consistent with the increased rate of cell
division in cancer, such as the overexpression of cell cycle genes and
hypermethylation of PRC2 binding sites. However, we also identified proliferation
independent alterations, which highlight novel pathways essential to tumor
formation. Nearly all of the GABA receptors are frequently downregulated, with
the gene encoding the delta subunit (GABRD) strongly upregulated as the notable
exception. Metabolic genes are also frequently downregulated, particularly
alcohol dehydrogenases and others consistent with the decreased role of oxidative
phosphorylation in cancerous cells. Alterations in the composition of GABA
receptors and metabolism may play a key role in the differentiation of cancer
cells, independent of proliferation.
PMID- 26555225
TI - Gender-Related Effect in Oxygenation Dynamics by Using Far-Infrared Intervention
with Near-Infrared Spectroscopy Measurement: A Gender Differences Controlled
Trial.
AB - Many studies have indicated the microcirculation can directly respond to disease
related symptoms. However, the capacity of microcirculation would vary due to the
gender differences. Near-infrared spectroscopy (NIRS) is a noninvasive technique
to monitor tissue oxygenation dynamics. In this study, the far-infrared (FIR)
source was used for physiological intervention of microcirculation. The
experimental results show that the nature difference of oxygenation status exists
between male and female during FIR irradiation. Therefore, we suggest the NIRS
based assessment should be calibrated with the gender-related effect for clinical
diagnosis of peripheral arterial disease.
PMID- 26555224
TI - Uncovering drug-responsive regulatory elements.
AB - Nucleotide changes in gene regulatory elements can have a major effect on
interindividual differences in drug response. For example, by reviewing all
published pharmacogenomic genome-wide association studies, we show here that
96.4% of the associated single nucleotide polymorphisms reside in noncoding
regions. We discuss how sequencing technologies are improving our ability to
identify drug response-associated regulatory elements genome-wide and to annotate
nucleotide variants within them. We highlight specific examples of how nucleotide
changes in these elements can affect drug response and illustrate the techniques
used to find them and functionally characterize them. Finally, we also discuss
challenges in the field of drug-responsive regulatory elements that need to be
considered in order to translate these findings into the clinic.
PMID- 26555226
TI - Subjective memory complaints, depressive symptoms and instrumental activities of
daily living in mild cognitive impairment.
AB - BACKGROUND: The diagnostic relevance of subjective memory complaints (SMCs) in
mild cognitive impairment (MCI) remains to be unresolved. The aim of this study
is to determine clinical correlates of SMCs in MCI. Furthermore, we examined
whether there are the differences due to different aspects of complaints (i.e.
prospective memory (PM) versus retrospective memory (RM) complaints). METHODS: We
examined the cross-sectional associations between SMCs and depressive symptoms,
instrumental activities of daily living (IADL), and cognitive measures in sixty
six individuals with MCI (mean age: 65.7 +/- 8.01 years). The criteria for MCI
included SMCs, objective cognitive impairment, normal general cognitive function,
largely intact functional activities, and absence of dementia. SMCs were assessed
using the Prospective and Retrospective Memory Questionnaire (PRMQ), which
contains 16 items describing everyday memory failure of both PM and RM. RESULTS:
SMC severity (i.e. PRMQ total score) was associated with stronger depressive
symptoms and worse IADL performance. SMCs were not related to cognitive measures.
For PM and RM subscores, both depressive symptoms and IADL were related to the
PRMQ-PM and -RM scores. The main contributors to these PM and RM scores were
depressive symptoms and IADL impairment, respectively. CONCLUSIONS: This study
suggests that SMCs are more associated with depressive symptoms and IADL problems
than with cognitive performance in individuals with MCI. Furthermore, while PM
and RM complaints are related to both depressive symptoms and IADL, the
differences between these main contributors suggest that RM complaints based on
IADL could be more associated with the organically driven pathological features
of MCI.
PMID- 26555227
TI - Measuring TCR-pMHC Binding In Situ using a FRET-based Microscopy Assay.
AB - T-cells are remarkably specific and effective when recognizing antigens in the
form of peptides embedded in MHC molecules (pMHC) on the surface of Antigen
Presenting Cells (APCs). This is despite T-cell antigen receptors (TCRs) exerting
usually a moderate affinity (uM range) to antigen when binding is measured in
vitro(1). In view of the molecular and cellular parameters contributing to T-cell
antigen sensitivity, a microscopy-based methodology has been developed as a means
to monitor TCR-pMHC binding in situ, as it occurs within the synapse of a live T
cell and an artificial and functionalized glass-supported planar lipid bilayer
(SLB), which mimics the cell membrane of an Antigen presenting Cell (APC) (2).
Measurements are based on Forster Resonance Energy Transfer (FRET) between a blue
and red-shifted fluorescent dye attached to the TCR and the pMHC. Because the
efficiency of FRET is inversely proportional to the sixth power of the inter-dye
distance, one can employ FRET signals to visualize synaptic TCR-pMHC binding. The
sensitive of the microscopy approach supports detection of single molecule FRET
events. This allows to determine the affinity and off-rate of synaptic TCR-pMHC
interactions and in turn to interpolate the on-rate of binding. Analogous assays
could be applied to measure other receptor-ligand interactions in their native
environment.
PMID- 26555228
TI - Enhancer of Acetyltransferase Chameau (EAChm) Is a Novel Transcriptional Co
Activator.
AB - Acetylation of nucleosomal histones by diverse histone acetyltransferases (HAT)
plays pivotal roles in many cellular events. Discoveries of novel HATs and HAT
related factors have provided new insights to understand the roles and mechanisms
of histone acetylation. In this study, we identified prominent Histone H3
acetylation activity in vitro and purified its activity, showing that it is
composed of the MYST acetyltransferase Chameau and Enhancer of the
Acetyltransferase Chameau (EAChm) family. EAChm is a negatively charged acidic
protein retaining aspartate and glutamate. Furthermore, we identified that
Chameau and EAChm stimulate transcription in vitro together with purified general
transcription factors. In addition, RNA-seq analysis of Chameu KD and EAChm KD S2
cells suggest that Chameau and EAChm regulate transcription of common genes in
vivo. Our results suggest that EAChm regulates gene transcription in Drosophila
embryos by enhancing Acetyltransferase Chameau activity.
PMID- 26555229
TI - Repeated 6-Hz Corneal Stimulation Progressively Increases FosB/DeltaFosB Levels
in the Lateral Amygdala and Induces Seizure Generalization to the Hippocampus.
AB - Exposure to repetitive seizures is known to promote convulsions which depend on
specific patterns of network activity. We aimed at evaluating the changes in
seizure phenotype and neuronal network activation caused by a modified 6-Hz
corneal stimulation model of psychomotor seizures. Mice received up to 4 sessions
of 6-Hz corneal stimulation with fixed current amplitude of 32 mA and inter
stimulation interval of 72 h. Video-electroencephalography showed that evoked
seizures were characterized by a motor component and a non-motor component.
Seizures always appeared in frontal cortex, but only at the fourth stimulation
they involved the hippocampus, suggesting the establishment of an epileptogenic
process. Duration of seizure non-motor component progressively decreased after
the second session, whereas convulsive seizures remained unchanged. In addition,
a more severe seizure phenotype, consisting of tonic-clonic generalized
convulsions, was predominant after the second session. Immunohistochemistry and
double immunofluorescence experiments revealed a significant increase in neuronal
activity occurring in the lateral amygdala after the fourth session, most likely
due to activity of principal cells. These findings indicate a predominant role of
amygdala in promoting progressively more severe convulsions as well as the late
recruitment of the hippocampus in the seizure spread. We propose that the
repeated 6-Hz corneal stimulation model may be used to investigate some
mechanisms of epileptogenesis and to test putative antiepileptogenic drugs.
PMID- 26555230
TI - Deciphering Depressive Mood in Relapsing-Remitting and Progressive Multiple
Sclerosis and Its Consequence on Quality of Life.
AB - BACKGROUND: Depressive mood and other emotional symptoms are common in multiple
sclerosis (MS). The patient-reported outcome version of the "Echelle d'Humeur
Depressive" (EHD-PRO) aims to differentiate between two dimensions of depressive
mood in people living with MS (PwMS). OBJECTIVES: First, to compare EHD-PRO
assessment and its two dimensions, lack of emotional control and emotional
blunting, between a large sample of healthy controls (HCs) and two samples of
PwMS, relapsing-remitting MS (RRMS) and primary progressive MS (PPMS); and
second, to analyse the relationships between EHD-PRO scores with neurological
disability, cognitive function, fatigue and health-related quality of life (HR
QOL). RESULTS: Regardless of their phenotype, PwMS had significantly higher EHD
PRO scores than HCs. EHD-PRO scores did not differ between the two MS groups. EHD
PRO scores did not correlate with disability and fatigue scores, disease duration
or cognitive z scores. In RRMS, the lack of emotional control was independently
associated with a decrease in HR-QOL. CONCLUSION: The EHD-PRO is able to easily
detect depressive mood and to differentiate between two clinical dimensions,
emotional blunting and lack of emotional control. The scale is sensitive and
seems robust to confounding factors. Lack of emotional control seems to
contribute significantly to altered HR-QOL in RRMS.
PMID- 26555231
TI - Host-Guest Strategy to Reversibly Control a Chloride Carrier Process with
Cyclodextrins.
AB - Herein, we report a reversible modular chloride transport process based on host
guest competitive interactions between an imidazolium-based chloride carrier and
beta-cyclodextrin. We report evidence for the formation of the supramolecular
complex between 1,3-bis(2-(adamantan-1-yl)ethyl)imidazolium bis(trifluorometyl
sulfonyl)imide with two beta-cyclodextrins. Through fluorescence assays in
liposomes and black lipid membrane experiments, we demonstrate that the formation
of the supramolecular complex results in the inhibition of the chloride
transport. We show that the chloride transport process can be entirely restored
in the presence of competitive adamantyl-functionalized guests. This is the first
example of an entirely reversible modular chloride transport process in
phospholipid bilayers involving a mobile carrier transporter and cyclodextrin
supramolecular complex.
PMID- 26555232
TI - Molecular Basis for Histone Acetyltransferase Regulation by Binding Partners,
Associated Domains, and Autoacetylation.
AB - Acetylation is a post-translational modification (PTM) that regulates chromatin
dynamics and function. Dysregulation of acetylation or acetyltransferase activity
has been correlated with several human diseases. Many, if not all, histone
acetyltransferases (HATs) are regulated in part through tethered domains,
association with binding partners, or post-translational modification, including
predominantly acetylation. This review focuses on what is currently understood at
the molecular level of HAT regulation as it occurs via binding partners,
associated domains, and autoacetylation.
PMID- 26555233
TI - The highly alloreactive nature of dual TCR T cells.
AB - PURPOSE OF REVIEW: T cells can mediate allograft rejection and graft-versus-host
disease (GVHD), but are necessary for tolerance and protective immunity.
Identifying T-cell populations differentially responsible for these effects has
been a goal in transplant research. This review describes investigation of a
small subset of T cells naturally predisposed toward alloreactivity, cells
expressing two T-cell receptors (TCRs). RECENT FINDINGS: Rare peripheral T cells
express two alphabetaTCRs. Their impact on T-cell development and function has
been uncertain. Recent work demonstrates an important role for these cells in
mouse models and human hematopoietic stem cell transplant patients with acute
GVHD. Dual receptor T cells are preferentially activated and expanded in vitro
and in vivo by allogeneic stimulation. Genetic elimination of dual TCR expression
results in loss of approximately half of the alloreactive repertoire and impedes
the earliest steps of GVHD. SUMMARY: Identification of dual TCR T cells as
predisposed to alloreactivity provides an opportunity to examine responses
limiting transplantation. Continued investigation will reveal significant
fundamental features of T-cell alloreactivity and important information about the
earliest events determining allograft rejection and self-tolerance.
PMID- 26555234
TI - Post-partum hemoperitoneum: Do not miss false aneurysm as a cause of bleeding.
AB - A 27-year-old woman underwent a first vaginal delivery at our institution. She
returned four days after delivery, presenting with abdominal pain and an
unexplained drop in her hemoglobin value, without external bleeding. The initial
computed tomography abdominal scan revealed an isolated diffuse hemoperitoneum. A
laparoscopy did not find the cause of bleeding. A second computed tomography
scan, performed 15 days later, revealed hemoperitoneum associated with a false
aneurysm of the right uterine artery. Treatment consisted of embolization of the
uterine arteries, with clinical success. False aneurysms are a rare cause of
intra-abdominal non-exteriorized bleeding in late post-partum hemorrhage.
PMID- 26555235
TI - Volume and correlates of objectively measured physical activity and sedentary
time in non-Hodgkin lymphoma survivors.
AB - OBJECTIVES: Few studies have measured the physical activity levels of non-Hodgkin
lymphoma (NHL) survivors, and no previous studies have measured sedentary
behavior levels in this population. This study used accelerometers to measure the
physical activity and sedentary time levels of NHL survivors and examined the
demographic, behavioral, and medical correlates of these behaviors. METHODS: A
total of 156 NHL survivors (mean age = 64 years) completed a questionnaire and
wore an accelerometer for seven consecutive days during waking hours. Descriptive
statistics were used to describe physical activity and sedentary time levels, and
linear regression was used to investigate correlates of these behaviors. RESULTS:
Participants averaged 8.6 h of sedentary time per day (60% of their accelerometer
wear time), 5.3 h of light-intensity physical activity (37%), and 30 min of
moderate-intensity physical activity (3%). Only 12% of the participants accrued
the duration of moderate-vigorous physical activity recommended by physical
activity guidelines (30 min accrued in bouts of at least 10 min). Female
participants, older participants, smokers, and participants with larger waist
circumference had lower levels of moderate-to-vigorous intensity physical
activity. Smokers and participants with larger waist circumference also had
higher sedentary time levels. CONCLUSION: The NHL survivors in this study spent
the majority of their waking hours sedentary, and few met physical activity
guidelines. The results of this study emphasize the need for more research
exploring the health consequences of sedentary behavior and physical inactivity
in NHL survivors. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26555236
TI - Personalised and Precision Medicine in Cancer Clinical Trials: Panacea for
Progress or Pandora's Box?
AB - Cancer clinical trials have been one of the key foundations for significant
advances in oncology. However, there is a clear recognition within the academic,
care delivery and pharmaceutical/biotech communities that our current model of
clinical trial discovery and development is no longer fit for purpose. Delivering
transformative cancer care should increasingly be our mantra, rather than
maintaining the status quo of, at best, the often miniscule incremental benefits
that are observed with many current clinical trials. As we enter the era of
precision medicine for personalised cancer care (precision and personalised
medicine), it is important that we capture and utilise our greater understanding
of the biology of disease to drive innovative approaches in clinical trial design
and implementation that can lead to a step change in cancer care delivery. A
number of advances have been practice changing (e.g. imatinib mesylate in chronic
myeloid leukaemia, Herceptin in erb-B2-positive breast cancer), and increasingly
we are seeing the promise of a number of newer approaches, particularly in
diseases like lung cancer and melanoma. Targeting immune checkpoints has recently
yielded some highly promising results. New algorithms that maximise the
effectiveness of clinical trials, through for example a multi-stage, multi-arm
type design are increasingly gaining traction. However, our enthusiasm for the
undoubted advances that have been achieved are being tempered by a realisation
that these new approaches may have significant cost implications. This article
will address these competing issues, mainly from a European perspective,
highlight the problems and challenges to healthcare systems and suggest potential
solutions that will ensure that the cost/value rubicon is addressed in a way that
allows stakeholders to work together to deliver optimal cost-effective cancer
care, the benefits of which can be transferred directly to our patients.
PMID- 26555237
TI - Cross-Scale Variation in Biodiversity-Environment Links Illustrated by Coastal
Sandflat Communities.
AB - Spatial variation in the composition of communities is the product of many biotic
and environmental interactions. A neglected factor in the analysis of community
distribution patterns is the multi-scale nature of the data, which has
implications for understanding ecological processes and the development of
conservation and environmental management practice. Drawing on recently
established multivariate spatial analyses, we investigate whether including
relationships between spatial structure and abiotic variables enable us to better
discern patterns of species and communities across scales. Data comprised 1200
macrozoobenthic samples collected over an array of distances (30 cm to 1 km) in
three New Zealand harbours, as well as commonly used abiotic variables, such as
sediment characteristics and chlorophyll a concentrations, measured at the same
scales. Moran's eigenvector mapping was used to extract spatial scales at which
communities were structured. Benthic communities, representing primarily
bivalves, polychaetes and crustaceans, were spatially structured at four spatial
scales, i.e. >100 m, 50-100 m, 50-15 m, and < 15 m. A broad selection of abiotic
variables contributed to the large-scale variation, whereas a more limited set
explained part of the fine-scale community structure. Across all scales, less
than 30% of the variation in spatial structure was captured by our analysis. The
large number of species (48) making up the 10 highest species scores based on
redundancy analyses illustrate the variability of species-scale associations. Our
results emphasise that abiotic variables and biodiversity are related at all
scales investigated and stress the importance of assessing the relationship
between environmental variables and the abundance and distribution of biological
assemblages across a range of different scales.
PMID- 26555238
TI - Impacts of a new insurance benefit with capitated provider payment on healthcare
utilization, expenditure and quality of medication prescribing in China.
AB - OBJECTIVES: To assess a new Chinese insurance benefit with capitated provider
payment for common diseases in outpatients. METHODS: Longitudinal health
insurance claims data, health administrative data and primary care facility data
were used to assess trajectories in outpatient visits, inpatient admissions,
expenditure per common disease outpatient (CD/OP) visit and prescribing
indicators over time. We conducted segmented regression analyses of interrupted
time series data to measure changes in level and trend overtime, and cross
sectional comparisons against external standards. RESULTS: The number of total
outpatient visits at 46 primary care facilities (on the CD/OP benefit as of July
2012) increased by 46 895 visits/month (P = 0.004, 95% CI: 15 795-77 994); the
average number of CD/OP visits reached 1.84/year/enrollee in 2012; monthly
inpatient admissions dropped from 6.4 (2009) to 4.3 (2012) per 1000 enrollees;
the median total expenditure per CD/OP visit dropped by CNY 15.40 (P = 0.16, 95%
CI: -36.95~6.15); injectable use dropped by 7.38% (P = 0.03, 95% CI: -14.08%~
0.68%); antibiotic use was not improved. CONCLUSIONS: Zhuhai's new CD/OP benefit
with capitated provider payment has expanded access to primary care, which may
have led to a reduction in expensive specialist inpatient services for CD/OP
benefit enrollees. Cost awareness was likely raised, and rapidly growing
expenditures were contained. Although having been partially improved,
inappropriate prescribing of antibiotics and injectables was still prevalent.
More explicit incentives and specific quality of care targets must be
incorporated into the capitated provider payment to promote scientifically sound
and cost-effective care and treatment.
PMID- 26555240
TI - Fatty acid profiles of four filamentous green algae under varying culture
conditions.
AB - Although benthic filamentous algae are interesting targets for wastewater
treatment and biotechnology, relatively little is known about their biochemical
composition and variation in response to growth conditions. Fatty acid
composition of four benthic filamentous green algae was determined in different
culture conditions. Although the response was partly species-dependent,
increasing culture age, nitrogen deprivation and dark exposure of stationary
phase greatly increased both total fatty acid content (TFA) from 12-35 to 40
173mgg(-1) dry weight (DW) and the relative proportion of polyunsaturated fatty
acids (PUFAs) from 21-58% to 55-87% of TFA, with dark exposure having the
greatest effect. However, the main variation in fatty acid composition was
between species, with Uronema being rich in C16:0 (2.3% of DW), Klebsormidium in
C18:2omega6 (5.4% of DW) and Stigeoclonium in C18:3omega3 (11.1% of DW). This
indicates the potential of the latter two species as potential sources of these
PUFAs.
PMID- 26555239
TI - Functional Characterization of Cnidarian HCN Channels Points to an Early
Evolution of Ih.
AB - HCN channels play a unique role in bilaterian physiology as the only
hyperpolarization-gated cation channels. Their voltage-gating is regulated by
cyclic nucleotides and phosphatidylinositol 4,5-bisphosphate (PIP2). Activation
of HCN channels provides the depolarizing current in response to
hyperpolarization that is critical for intrinsic rhythmicity in neurons and the
sinoatrial node. Additionally, HCN channels regulate dendritic excitability in a
wide variety of neurons. Little is known about the early functional evolution of
HCN channels, but the presence of HCN sequences in basal metazoan phyla and
choanoflagellates, a protozoan sister group to the metazoans, indicate that the
gene family predates metazoan emergence. We functionally characterized two HCN
channel orthologs from Nematostella vectensis (Cnidaria, Anthozoa) to determine
which properties of HCN channels were established prior to the emergence of
bilaterians. We find Nematostella HCN channels share all the major functional
features of bilaterian HCNs, including reversed voltage-dependence, activation by
cAMP and PIP2, and block by extracellular Cs+. Thus bilaterian-like HCN channels
were already present in the common parahoxozoan ancestor of bilaterians and
cnidarians, at a time when the functional diversity of voltage-gated K+ channels
was rapidly expanding. NvHCN1 and NvHCN2 are expressed broadly in planulae and in
both the endoderm and ectoderm of juvenile polyps.
PMID- 26555241
TI - Effect of iron-manganese-sepiolite as heterogeneous Fenton-like catalyst on the
performance and microbial community of anaerobic granular sludge treatment
system.
AB - Both short-term and long-term exposure experiments have been carried out to
investigate the influence of iron (Fe)-manganese (Mn)-sepiolite, as a
heterogeneous Fenton-like catalyst, on the performance and microbial community of
anaerobic granular sludge. During the short-term exposure experiments, chemical
oxygen demand (COD) removal efficiency decreased from 73.1% to 64.1% with the
presence of 100mg/L of catalyst. However, long-term exposure to the catalyst did
not significantly affect the COD removal efficiency (81.8%) as compared to the
control (83.5%). Meanwhile, the absorption peaks of coenzyme F420 in
extracellular polymeric substances (EPS) of sludge samples were remarkable by
excitation-emission matrix (EEM) fluorescence spectra. After long-term exposure,
the presence of the catalyst increased secretions of EPS from 83.7mg/g VSS to
89.1mg/g VSS. Further investigations with high throughput sequencing indicated
that the abundance of Methanosaeta increased from 57.7% to 70.4% after long-term
exposure. In bacterial communities, Proteobacteria, Firmicutes, and Synergistetes
were predominant.
PMID- 26555242
TI - Questionable expression of unstable DQ heterodimer containing HLA-DQA1*01:07.
AB - Human leukocyte antigens (HLA)-DQA1*01:07 was identified as an HLA-DQ blank
specificity that segregated with the serological HLA-A2, -B7, -DR14, -DR52
haplotype, which carried DQB1*05:03. The blank specificity of DQA1*01:07
DQB1*05:03 may be because of lack of reactivity of available typing sera, or
disruption of proper assembly of DQ heterodimer. The cDNA sequence of DQA1*01:07
is nearly identical to DQA1*01:04 except for a variant at position 304, which
results in the replacement of an arginine with a cysteine at 79alpha. To
determine whether the DQA1*01:07 product can be expressed on cell-surface, we co
expressed DQA1*01:07 with various DQB1*05 or *06 alleles in fibroblast cells.
Cell-surface expression of DQ was detectable when DQA1*01:07 was co-expressed
with DQB1*06:04 but undetectable with other DQB1*05 and DQB1*06 alleles,
including DQB1*05:03, to which DQA1*01:07 was encoded in cis. These data suggest
that DQA1*01:07 may act as a phenotypically null allele in the DQA1*01:07
DQB1*05:03 haplotype, while it can be expressed at a low level in the presences
of certain DQB1*06 alleles, such as DQB1*06:04, in trans. Based on the null or
low expression of DQA1*01:07 as shown in the previous and present studies,
DQA1*01:07 has recently been renamed to DQA1*01:07Q, indicating its questionable
expression.
PMID- 26555243
TI - Novel beta-Carboline/Hydroxamic Acid Hybrids Targeting Both Histone Deacetylase
and DNA Display High Anticancer Activity via Regulation of the p53 Signaling
Pathway.
AB - A novel series of hybrids from beta-carboline and hydroxamic acid were designed
and synthesized. Several compounds (5m, 11b-d, and 11h) not only exerted
significant antiproliferation activity against four human colorectal cancer (CRC)
cell lines but also showed histone deacetylase inhibitory effects in vitro. The
most potent compound, 11c, exhibited anticancer potency sevenfold higher than
that of SAHA. 11c triggered more significant cancer cell apoptosis than did SAHA
by cleavage of both PARP and caspase 3 in a dose-dependent manner. Furthermore,
11c simultaneously increased the acetylation of histone H3 and alpha-tubulin,
enhanced expression of DNA damage markers histone H2AX phosphorylation and p-p53
(Ser15), and activated p53 signaling pathway in HCT116 cells. Finally, 11c showed
low acute toxicity in mice and inhibited the growth of implanted human CRC in
mice more potently than did SAHA. Together, 11c possessed potent antitumor
activity and may be a promising candidate for the potential treatment of human
CRC.
PMID- 26555245
TI - Metatranscriptomic insights on gene expression and regulatory controls in
Candidatus Accumulibacter phosphatis.
AB - Previous studies on enhanced biological phosphorus removal (EBPR) have focused on
reconstructing genomic blueprints for the model polyphosphate-accumulating
organism Candidatus Accumulibacter phosphatis. Here, a time series
metatranscriptome generated from enrichment cultures of Accumulibacter was used
to gain insight into anerobic/aerobic metabolism and regulatory mechanisms within
an EBPR cycle. Co-expressed gene clusters were identified displaying ecologically
relevant trends consistent with batch cycle phases. Transcripts displaying
increased abundance during anerobic acetate contact were functionally enriched in
energy production and conversion, including upregulation of both cytoplasmic and
membrane-bound hydrogenases demonstrating the importance of transcriptional
regulation to manage energy and electron flux during anerobic acetate contact. We
hypothesized and demonstrated hydrogen production after anerobic acetate contact,
a previously unknown strategy for Accumulibacter to maintain redox balance. Genes
involved in anerobic glycine utilization were identified and phosphorus release
after anerobic glycine contact demonstrated, suggesting that Accumulibacter
routes diverse carbon sources to acetyl-CoA formation via previously unrecognized
pathways. A comparative genomics analysis of sequences upstream of co-expressed
genes identified two statistically significant putative regulatory motifs. One
palindromic motif was identified upstream of genes involved in PHA synthesis and
acetate activation and is hypothesized to be a phaR binding site, hence
representing a hypothetical PHA modulon. A second motif was identified ~35 base
pairs (bp) upstream of a large and diverse array of genes and hence may represent
a sigma factor binding site. This analysis provides a basis and framework for
further investigations into Accumulibacter metabolism and the reconstruction of
regulatory networks in uncultured organisms.
PMID- 26555244
TI - High Prevalence and High Incidence of Coinfection with Hepatitis B, Hepatitis C,
and Syphilis and Low Rate of Effective Vaccination against Hepatitis B in HIV
Positive Men Who Have Sex with Men with Known Date of HIV Seroconversion in
Germany.
AB - OBJECTIVES: Men who have sex with men (MSM) are at higher risk for coinfection
with hepatitis B virus (HBV), hepatitis C virus (HCV), and syphilis than the
general population. HIV infection and these coinfections accelerate disease
progression reciprocally. This study evaluated the prevalence and incidence of
these coinfections in HIV1-positive MSM in Germany. MATERIALS AND METHODS: As
part of a nationwide, multicenter, prospective cohort study of HIV-infected MSM,
plasma samples collected yearly were screened for HBsAg and antibodies to HBc,
HBs, HCV, and syphilis. Samples with indications of active HBV or HCV infection
were confirmed by polymerase chain reaction. Prevalence and incidence of each
infection and incidence rates per study participant were calculated, and
incidences over 4-year time intervals compared. RESULTS: This study screened
5,445 samples from 1,843 MSM. Median age at HIV seroconversion was 33 years.
Prevalences of active, cleared, and occult HBV, and of active/cleared HCV were
1.7%, 27.1%, 0.2%, and 8.2%, respectively, and 47.5% had been effectively
vaccinated against HBV. Prevalence of antibodies to Treponema pallidum and of
triple or quadruple sexually transmitted infections (STIs) were 39.6% and 18.9%,
respectively. Prevalence of STI, cleared HBV, HBV vaccination, and history of
syphilis differed significantly among age groups. Incidences of HBV, HCV, and
syphilis were 2.51, 1.54, and 4.06 per 100 person-years, respectively. Incidences
of HCV and syphilis increased over time. HCV incidence was significantly higher
in MSM coinfected with syphilis and living in Berlin, and syphilis incidence was
significantly higher for MSM living in Berlin. DISCUSSION: Despite extensive HBV
vaccination campaigns, fewer than 50% of screened MSM were effectively
vaccinated, with a high proportion of HIV-positive MSM coinfected with HBV. High
rates of STI coinfections in HIV-positive MSM and increasing incidences emphasize
the need for better tailored campaigns for HBV vaccination and STI prevention.
PMID- 26555246
TI - Stable and sporadic symbiotic communities of coral and algal holobionts.
AB - Coral and algal holobionts are assemblages of macroorganisms and microorganisms,
including viruses, Bacteria, Archaea, protists and fungi. Despite a decade of
research, it remains unclear whether these associations are spatial-temporally
stable or species-specific. We hypothesized that conflicting interpretations of
the data arise from high noise associated with sporadic microbial symbionts
overwhelming signatures of stable holobiont members. To test this hypothesis, the
bacterial communities associated with three coral species (Acropora rosaria,
Acropora hyacinthus and Porites lutea) and two algal guilds (crustose coralline
algae and turf algae) from 131 samples were analyzed using a novel statistical
approach termed the Abundance-Ubiquity (AU) test. The AU test determines whether
a given bacterial species would be present given additional sampling effort (that
is, stable) versus those species that are sporadically associated with a sample.
Using the AU test, we show that coral and algal holobionts have a high-diversity
group of stable symbionts. Stable symbionts are not exclusive to one species of
coral or algae. No single bacterial species was ubiquitously associated with one
host, showing that there is not strict heredity of the microbiome. In addition to
the stable symbionts, there was a low-diversity community of sporadic symbionts
whose abundance varied widely across individual holobionts of the same species.
Identification of these two symbiont communities supports the holobiont model and
calls into question the hologenome theory of evolution.
PMID- 26555247
TI - The corrinoid cofactor of reductive dehalogenases affects dechlorination rates
and extents in organohalide-respiring Dehalococcoides mccartyi.
AB - Corrinoid auxotrophic organohalide-respiring Dehalococcoides mccartyi (Dhc)
strains are keystone bacteria for reductive dechlorination of toxic and
carcinogenic chloroorganic contaminants. We demonstrate that the lower base
attached to the essential corrinoid cofactor of reductive dehalogenase (RDase)
enzyme systems modulates dechlorination activity and affects the vinyl chloride
(VC) RDases BvcA and VcrA differently. Amendment of 5,6-dimethylbenzimidazolyl
cobamide (DMB-Cba) to Dhc strain BAV1 and strain GT cultures supported cis-1,2
dichloroethene-to-ethene reductive dechlorination at rates of 107.0 (+/-12.0) MUM
and 67.4 (+/-1.4) MUM Cl(-) released per day, respectively. Strain BAV1,
expressing the BvcA RDase, reductively dechlorinated VC to ethene, although at up
to fivefold lower rates in cultures amended with cobamides carrying 5
methylbenzimidazole (5-MeBza), 5-methoxybenzimidazole (5-OMeBza) or benzimidazole
(Bza) as the lower base. In contrast, strain GT harboring the VcrA RDase failed
to grow and dechlorinate VC to ethene in medium amended with 5-OMeBza-Cba or Bza
Cba. The amendment with DMB to inactive strain GT cultures restored the VC-to
ethene-dechlorinating phenotype and intracellular DMB-Cba was produced,
demonstrating cobamide uptake and remodeling. The distinct responses of Dhc
strains with BvcA versus VcrA RDases to different cobamides implicate that the
lower base exerts control over Dhc reductive dechlorination rates and extents
(that is, detoxification), and therefore the dynamics of Dhc strains with
discrete reductive dechlorination capabilities. These findings emphasize that the
role of the corrinoid/lower base synthesizing community must be understood to
predict strain-specific Dhc activity and achieve efficacious contaminated site
cleanup.
PMID- 26555249
TI - Shear thickening regimes of dense non-Brownian suspensions.
AB - We propose a unifying rheological framework for dense suspensions of non-Brownian
spheres, predicting the onsets of particle friction and particle inertia as
distinct shear thickening mechanisms, while capturing quasistatic and soft
particle rheology at high volume fractions and shear rates respectively. Discrete
element method simulations that take suitable account of hydrodynamic and
particle-contact interactions corroborate the model predictions, demonstrating
both mechanisms of shear thickening, and showing that they can occur concurrently
with carefully selected particle surface properties under certain flow
conditions. Microstructural transitions associated with frictional shear
thickening are presented. We find very distinctive divergences of both
microstructural and dynamic variables with respect to volume fraction in the
thickened and non-thickened states.
PMID- 26555250
TI - A 1D model of the arterial circulation in mice.
AB - At a time of growing concern over the ethics of animal experimentation, mouse
models are still an indispensable source of insight into the cardiovascular
system and its most frequent pathologies. Nevertheless, reference data on the
murine cardiovascular anatomy and physiology are lacking. In this work, we
developed and validated an in silico, one dimensional model of the murine
systemic arterial tree consisting of 85 arterial segments. Detailed aortic
dimensions were obtained in vivo from contrast-enhanced micro-computed tomography
in 3 male, C57BL/6J anesthetized mice and 3 male ApoE(-/-) mice, all 12-weeks
old. Physiological input data were gathered from a wide range of literature data.
The integrated form of the Navier-Stokes equations was solved numerically to
yield pressures and flows throughout the arterial network. The resulting model
predictions have been validated against invasive pressure waveforms and non
invasive velocity and diameter waveforms that were measured in vivo on an
independent set of 47 mice. In conclusion, we present a validated one-dimensional
model of the anesthetized murine cardiovascular system that can serve as a
versatile tool in the field of preclinical cardiovascular research.
PMID- 26555248
TI - Respiratory microbiota resistance and resilience to pulmonary exacerbation and
subsequent antimicrobial intervention.
AB - Pulmonary symptoms in cystic fibrosis (CF) begin in early life with chronic lung
infections and concomitant airway inflammation leading to progressive loss of
lung function. Gradual pulmonary function decline is interspersed with periods of
acute worsening of respiratory symptoms known as CF pulmonary exacerbations
(CFPEs). Cumulatively, CFPEs are associated with more rapid disease progression.
In this study multiple sputum samples were collected from adult CF patients over
the course of CFPEs to better understand how changes in microbiota are associated
with CFPE onset and management. Data were divided into five clinical periods: pre
CFPE baseline, CFPE, antibiotic treatment, recovery, and post-CFPE baseline.
Samples were treated with propidium monoazide prior to DNA extraction, to remove
the impact of bacterial cell death artefacts following antibiotic treatment, and
then characterised by 16S rRNA gene-targeted high-throughput sequencing.
Partitioning CF microbiota into core and rare groups revealed compositional
resistance to CFPE and resilience to antibiotics interventions. Mixed effects
modelling of core microbiota members revealed no significant negative impact on
the relative abundance of Pseudomonas aeruginosa across the exacerbation cycle.
Our findings have implications for current CFPE management strategies, supporting
reassessment of existing antimicrobial treatment regimens, as antimicrobial
resistance by pathogens and other members of the microbiota may be significant
contributing factors.
PMID- 26555251
TI - Small mammals as sentinels of oil sands related contaminants and health effects
in northeastern Alberta, Canada.
AB - The extraction of bitumen in areas of northeastern Alberta (Canada) has been
associated with the release of complex mixtures of metals, metalloids, and
polycyclic aromatic compounds (PACs) to the environment. To mitigate effects on
ecosystems, Canadian legislation mandates that disturbed areas be reclaimed to an
ecologically sustainable state after active operations. However, as part of
reclamation activities, exposure to, and effects on wildlife living in these
areas is not generally assessed. To support successful reclamation, the
development of efficient methods to assess exposure and health effects in
potentially exposed wildlife is required. In the present study, we investigated
the usefulness of two native mammalian species (deer mouse Peromyscus
maniculatus, and meadow vole Microtus pennsylvanicus) as sentinels of oil sands
related contaminants by examining biomarkers of exposure and indicators of
biological costs. Tissue residues of 31 metals and metalloids in kidneys and
muscle, activity of the hepatic detoxification enzyme EROD (as a biomarker of
exposure to organic contaminants), body condition, and the relative mass of
liver, kidney, spleen, and testes were compared in animals from one reclaimed
area and a reference site. Deer mice from the reclaimed site had higher renal
levels of Co, Se and Tl compared to animals from the reference site, which was
associated with reduced body condition. Lower testis mass was another feature
that distinguished mice from the reclaimed site in comparison to those from the
reference site. One mouse and one vole from the reclaimed site also showed
increased hepatic EROD activity. In marked contrast, no changes were evident for
these variables in meadow voles. Our results show that deer mouse is a sensitive
sentinel species and that the biomarkers and indicators used here are efficient
means to detect local contamination and associated biological effects in native
mammals inhabiting reclaimed areas on active oil sands mine sites. These field
derived findings can be used by risk assessors to fill possible data gaps for
mammalian wildlife in science-based environmental risk assessments for oil and
gas projects.
PMID- 26555252
TI - Fatigue proofing: The role of protective behaviours in mediating fatigue-related
risk in a defence aviation environment.
AB - In the military or emergency services, operational requirements and/or community
expectations often preclude formal prescriptive working time arrangements as a
practical means of reducing fatigue-related risk. In these environments, workers
sometimes employ adaptive or protective behaviours informally to reduce the risk
(i.e. likelihood or consequence) associated with a fatigue-related error. These
informal behaviours enable employees to reduce risk while continuing to work
while fatigued. In this study, we documented the use of informal protective
behaviours in a group of defence aviation personnel including flight crews. Semi
structured interviews were conducted to determine whether and which protective
behaviours were used to mitigate fatigue-related error. The 18 participants were
from aviation-specific trades and included aircrew (pilots and air-crewman) and
aviation maintenance personnel (aeronautical engineers and maintenance
personnel). Participants identified 147 ways in which they and/or others act to
reduce the likelihood or consequence of a fatigue-related error. These formed
seven categories of fatigue-reduction strategies. The two most novel categories
are discussed in this paper: task-related and behaviour-based strategies. Broadly
speaking, these results indicate that fatigued military flight and maintenance
crews use protective 'fatigue-proofing' behaviours to reduce the likelihood
and/or consequence of fatigue-related error and were aware of the potential
benefits. It is also important to note that these behaviours are not typically
part of the formal safety management system. Rather, they have evolved
spontaneously as part of the culture around protecting team performance under
adverse operating conditions. When compared with previous similar studies,
aviation personnel were more readily able to understand the idea of fatigue
proofing than those from a fire-fighting background. These differences were
thought to reflect different cultural attitudes toward error and formal training
using principles of Crew Resource Management and Threat and Error Management.
PMID- 26555254
TI - Bull's eye maculopathy in an HIV-positive patient receiving ritonavir.
AB - Retinal toxicity involving the macula as a complication of the antiretroviral
protease inhibitor ritonavir has been described in a few cases. We report retinal
pigment epitheliopathy involving the macula with a bull's eye pattern in a 36
year-old man with well-controlled HIV receiving ritonavir with gradually
progressive bilateral vision loss.
PMID- 26555258
TI - Selective Inhibition of Bacterial Tryptophanyl-tRNA Synthetases by Indolmycin Is
Mechanism-based.
AB - Indolmycin is a natural tryptophan analog that competes with tryptophan for
binding to tryptophanyl-tRNA synthetase (TrpRS) enzymes. Bacterial and eukaryotic
cytosolic TrpRSs have comparable affinities for tryptophan (Km ~ 2 MUm), and yet
only bacterial TrpRSs are inhibited by indolmycin. Despite the similarity between
these ligands, Bacillus stearothermophilus (Bs)TrpRS preferentially binds
indolmycin ~1500-fold more tightly than its tryptophan substrate. Kinetic
characterization and crystallographic analysis of BsTrpRS allowed us to probe
novel aspects of indolmycin inhibitory action. Previous work had revealed that
long range coupling to residues within an allosteric region called the D1 switch
of BsTrpRS positions the Mg(2+) ion in a manner that allows it to assist in
transition state stabilization. The Mg(2+) ion in the inhibited complex forms
significantly closer contacts with non-bridging oxygen atoms from each phosphate
group of ATP and three water molecules than occur in the (presumably
catalytically competent) pre-transition state (preTS) crystal structures. We
propose that this altered coordination stabilizes a ground state Mg(2+).ATP
configuration, accounting for the high affinity inhibition of BsTrpRS by
indolmycin. Conversely, both the ATP configuration and Mg(2+) coordination in the
human cytosolic (Hc)TrpRS preTS structure differ greatly from the BsTrpRS preTS
structure. The effect of these differences is that catalysis occurs via a
different transition state stabilization mechanism in HcTrpRS with a yet-to-be
determined role for Mg(2+). Modeling indolmycin into the tryptophan binding site
points to steric hindrance and an inability to retain the interactions used for
tryptophan substrate recognition as causes for the 1000-fold weaker indolmycin
affinity to HcTrpRS.
PMID- 26555259
TI - Smad7 Protein Interacts with Receptor-regulated Smads (R-Smads) to Inhibit
Transforming Growth Factor-beta (TGF-beta)/Smad Signaling.
AB - TGF-beta is a pleiotropic cytokine that regulates a wide range of cellular
actions and pathophysiological processes. TGF-beta signaling is spatiotemporally
fine-tuned. As a key negative regulator of TGF-beta signaling, Smad7 exerts its
inhibitory effects by blocking receptor activity, inducing receptor degradation
or interfering with Smad-DNA binding. However, the functions and the molecular
mechanisms underlying the actions of Smad7 in TGF-beta signaling are still not
fully understood. In this study we report a novel mechanism whereby Smad7
antagonizes TGF-beta signaling at the Smad level. Smad7 oligomerized with R-Smad
proteins upon TGF-beta signaling and directly inhibited R-Smad activity, as
assessed by Gal4-luciferase reporter assays. Mechanistically, Smad7 competes with
Smad4 to associate with R-Smads and recruits the E3 ubiquitin ligase NEDD4L to
activated R-Smads, leading to their polyubiquitination and proteasomal
degradation. Similar to the R-Smad-Smad4 oligomerization, the interaction between
R-Smads and Smad7 is mediated by their mad homology 2 (MH2) domains. A positive
charged basic region including the L3/beta8 loop-strand module and adjacent amino
acids in the MH2 domain of Smad7 is essential for the interaction. These results
shed new light on the regulation of TGF-beta signaling by Smad7.
PMID- 26555260
TI - Chronic Hyperinsulinemia Causes Selective Insulin Resistance and Down-regulates
Uncoupling Protein 3 (UCP3) through the Activation of Sterol Regulatory Element
binding Protein (SREBP)-1 Transcription Factor in the Mouse Heart.
AB - The risk for heart failure and death after myocardial infarction is abnormally
high in diabetic subjects. We and others have shown previously that mitochondrial
uncoupling protein 3 (UCP3) improves functional recovery of the rodent heart
during reperfusion. Here, we demonstrate that pharmacological induction of
hyperinsulinemia in mice down-regulates myocardial UCP3. Decreased UCP3
expression was linked to the development of selective insulin resistance in the
heart, characterized by decreased basal activity of Akt but preserved activity of
the p44/42 mitogen-activated protein kinase, and overactivation of the sterol
regulatory element-binding protein (SREBP)-1-mediated lipogenic program. In
cultured myocytes, insulin treatment and SREBP-1 overexpression decreased,
whereas SREBP-1 interference increased, peroxisome proliferator-activated
receptor-stimulated expression of UCP3. Promoter deletion and site-directed
mutagenesis identified three functional sterol regulatory elements in the
vicinity of a known complex intronic enhancer. Increased binding of SREBP-1 to
this DNA region was confirmed in the heart of hyperinsulinemic mice. In
conclusion, we describe a hitherto unknown regulatory mechanism by which insulin
inhibits cardiac UCP3 expression through activation of the lipogenic factor SREBP
1. Sustained down-regulation of cardiac UCP3 by hyperinsulinemia may partly
explain the poor prognosis of type 2 diabetic patients after myocardial
infarction.
PMID- 26555262
TI - Transmembrane Topologies of Ca2+-permeable Mechanosensitive Channels MCA1 and
MCA2 in Arabidopsis thaliana.
AB - Sensing mechanical stresses, including touch, stretch, compression, and gravity,
is crucial for growth and development in plants. A good mechanosensor candidate
is the Ca(2+)-permeable mechanosensitive (MS) channel, the pore of which opens to
permeate Ca(2+) in response to mechanical stresses. However, the structure
function relationships of plant MS channels are poorly understood. Arabidopsis
MCA1 and MCA2 form a homotetramer and exhibit Ca(2+)-permeable MS channel
activity; however, their structures have only been partially elucidated. The
transmembrane topologies of these ion channels need to be determined in more
detail to elucidate the underlying regulatory mechanisms. We herein determined
the topologies of MCA1 and MCA2 using two independent methods, the Suc2C reporter
and split-ubiquitin yeast two-hybrid methods, and found that both proteins are
single-pass type I integral membrane proteins with extracellular N termini and
intracellular C termini. These results imply that an EF hand-like motif, coiled
coil motif, and plac8 motif are all present in the cytoplasm. Thus, the
activities of both channels can be regulated by intracellular Ca(2+) and protein
interactions.
PMID- 26555261
TI - Myocardin-related Transcription Factor Regulates Nox4 Protein Expression: LINKING
CYTOSKELETAL ORGANIZATION TO REDOX STATE.
AB - TGFbeta-induced expression of the NADPH oxidase Nox4 is essential for fibroblast
myofibroblast transition. Rho has been implicated in Nox4 regulation, but the
underlying mechanisms are largely unknown. Myocardin-related transcription factor
(MRTF), a Rho/actin polymerization-controlled coactivator of serum response
factor, drives myofibroblast transition from various precursors. We have shown
that TGFbeta is necessary but insufficient for epithelial-myofibroblast
transition in intact epithelia; the other prerequisite is the uncoupling of
intercellular contacts, which induces Rho-dependent nuclear translocation of
MRTF. Because the Nox4 promoter harbors a serum response factor/MRTF cis-element
(CC(A/T)6GG box), we asked if MRTF (and thus cytoskeleton organization) could
regulate Nox4 expression. We show that Nox4 protein is robustly induced in kidney
tubular cells exclusively by combined application of contact uncoupling and
TGFbeta. Nox4 knockdown abrogates epithelial-myofibroblast transition-associated
reactive oxygen species production. Laser capture microdissection reveals
increased Nox4 expression in the tubular epithelium also during obstructive
nephropathy. MRTF down-regulation/inhibition suppresses TGFbeta/contact
disruption-provoked Nox4 protein and mRNA expression, Nox4 promoter activation,
and reactive oxygen species production. Mutation of the CC(A/T)6GG box eliminates
the synergistic activation of the Nox4 promoter. Jasplakinolide-induced actin
polymerization synergizes with TGFbeta to facilitate MRTF-dependent Nox4 mRNA
expression/promoter activation. Moreover, MRTF inhibition prevents Nox4
expression during TGFbeta-induced fibroblast-myofibroblast transition as well.
Although necessary, MRTF is insufficient; Nox4 expression also requires TGFbeta
activated Smad3 and TAZ/YAP, two contact- and cytoskeleton-regulated Smad3
interacting coactivators. Down-regulation/inhibition of TAZ/YAP mitigates injury
induced epithelial Nox4 expression in vitro and in vivo. These findings uncover
new MRTF- and TAZ/YAP-dependent mechanisms, which link cytoskeleton remodeling
and redox state and impact epithelial plasticity and myofibroblast transition.
PMID- 26555263
TI - The Structural and Functional Characterization of Mammalian ADP-dependent
Glucokinase.
AB - The enzyme-catalyzed phosphorylation of glucose to glucose-6-phosphate is a
reaction central to the metabolism of all life. ADP-dependent glucokinase (ADPGK)
catalyzes glucose-6-phosphate production, utilizing ADP as a phosphoryl donor in
contrast to the more well characterized ATP-requiring hexokinases. ADPGK is found
in Archaea and metazoa; in Archaea, ADPGK participates in a glycolytic role, but
a function in most eukaryotic cell types remains unknown. We have determined
structures of the eukaryotic ADPGK revealing a ribokinase-like tertiary fold
similar to archaeal orthologues but with significant differences in some
secondary structural elements. Both the unliganded and the AMP-bound ADPGK
structures are in the "open" conformation. The structures reveal the presence of
a disulfide bond between conserved cysteines that is positioned at the nucleotide
binding loop of eukaryotic ADPGK. The AMP-bound ADPGK structure defines the
nucleotide-binding site with one of the disulfide bond cysteines coordinating the
AMP with its main chain atoms, a nucleotide-binding motif that appears unique to
eukaryotic ADPGKs. Key amino acids at the active site are structurally conserved
between mammalian and archaeal ADPGK, and site-directed mutagenesis has confirmed
residues essential for enzymatic activity. ADPGK is substrate inhibited by high
glucose concentration and shows high specificity for glucose, with no activity
for other sugars, as determined by NMR spectroscopy, including 2-deoxyglucose,
the glucose analogue used for tumor detection by positron emission tomography.
PMID- 26555264
TI - Specific Inter-residue Interactions as Determinants of Human Monoacylglycerol
Lipase Catalytic Competency: A ROLE FOR GLOBAL CONFORMATIONAL CHANGES.
AB - The serine hydrolase monoacylglycerol lipase (MGL) functions as the main
metabolizing enzyme of 2-arachidonoyl glycerol, an endocannabinoid signaling
lipid whose elevation through genetic or pharmacological MGL ablation exerts
therapeutic effects in various preclinical disease models. To inform structure
based MGL inhibitor design, we report the direct NMR detection of a reversible
equilibrium between active and inactive states of human MGL (hMGL) that is slow
on the NMR time scale and can be modulated in a controlled manner by pH,
temperature, and select point mutations. Kinetic measurements revealed that hMGL
substrate turnover is rate-limited across this equilibrium. We identify a network
of aromatic interactions and hydrogen bonds that regulates hMGL active-inactive
state interconversion. The data highlight specific inter-residue interactions
within hMGL modulating the enzymes function and implicate transitions between
active (open) and inactive (closed) states of the hMGL lid domain in controlling
substrate access to the enzymes active site.
PMID- 26555266
TI - The High Affinity Binding Site on Plasminogen Activator Inhibitor-1 (PAI-1) for
the Low Density Lipoprotein Receptor-related Protein (LRP1) Is Composed of Four
Basic Residues.
AB - Plasminogen activator inhibitor 1 (PAI-1) is a serpin inhibitor of the
plasminogen activators urokinase-type plasminogen activator (uPA) and tissue
plasminogen activator, which binds tightly to the clearance and signaling
receptor low density lipoprotein receptor-related protein 1 (LRP1) in both
proteinase-complexed and uncomplexed forms. Binding sites for PAI-1 within LRP1
have been localized to CR clusters II and IV. Within cluster II, there is a
strong preference for the triple CR domain fragment CR456. Previous mutagenesis
studies to identify the binding site on PAI-1 for LRP1 have given conflicting
results or implied small binding contributions incompatible with the high
affinity PAI-1/LRP1 interaction. Using a highly sensitive solution fluorescence
assay, we have examined binding of CR456 to arginine and lysine variants of PAI-1
and definitively identified the binding site as composed of four basic residues,
Lys-69, Arg-76, Lys-80, and Lys-88. These are highly conserved among mammalian
PAI-1s. Individual mutations result in a 13-800-fold increase in Kd values. We
present evidence that binding involves engagement of CR4 by Lys-88, CR5 by Arg-76
and Lys-80, and CR6 by Lys-69, with the strongest interactions to CR5 and CR6.
Collectively, the individual binding contributions account quantitatively for the
overall PAI-1/LRP1 affinity. We propose that the greater efficiency of PAI-1.uPA
complex binding and clearance by LRP1, compared with PAI-1 alone, is due solely
to simultaneous binding of the uPA moiety in the complex to its receptor, thereby
making binding of the PAI-1 moiety to LRP1 a two-dimensional surface-localized
association.
PMID- 26555265
TI - Identification of QS-21 as an Inflammasome-activating Molecular Component of
Saponin Adjuvants.
AB - Many immunostimulants act as vaccine adjuvants via activation of the innate
immune system, although in many cases it is unclear which specific molecules
contribute to the stimulatory activity. QS-21 is a defined, highly purified, and
soluble saponin adjuvant currently used in licensed and exploratory vaccines,
including vaccines against malaria, cancer, and HIV-1. However, little is known
about the mechanisms of cellular activation induced by QS-21. We observed QS-21
to elicit caspase-1-dependent IL-1beta and IL-18 release in antigen-presenting
cells such as macrophages and dendritic cells when co-stimulated with the TLR4
agonist adjuvant monophosphoryl lipid A. Furthermore, our data suggest that the
ASC-NLRP3 inflammasome is responsible for QS-21-induced IL-1beta/IL-18 release.
At higher concentrations, QS-21 induced macrophage and dendritic cell death in a
caspase-1-, ASC-, and NLRP3-independent manner, whereas the presence of
cholesterol rescued cell viability. A nanoparticulate adjuvant that contains QS
21 as part of a heterogeneous mixture of saponins also induced IL-1beta in an
NLRP3-dependent manner. Interestingly, despite the role NLRP3 plays for cellular
activation in vitro, NLRP3-deficient mice immunized with HIV-1 gp120 and QS-21
showed significantly higher levels of Th1 and Th2 antigen-specific T cell
responses and increased IgG1 and IgG2c compared with wild type controls. Thus, we
have identified QS-21 as a nonparticulate single molecular saponin that activates
the NLRP3 inflammasome, but this signaling pathway may contribute to decreased
antigen-specific responses in vivo.
PMID- 26555267
TI - A Novel Aldo-Keto Reductase, HdRed, from the Pacific Abalone Haliotis discus
hannai, Which Reduces Alginate-derived 4-Deoxy-L-erythro-5-hexoseulose Uronic
Acid to 2-Keto-3-deoxy-D-gluconate.
AB - Abalone feeds on brown seaweeds and digests seaweeds' alginate with alginate
lyases (EC 4.2.2.3). However, it has been unclear whether the end product of
alginate lyases (i.e. unsaturated monouronate-derived 4-deoxy-L-erythro-5
hexoseulose uronic acid (DEH)) is assimilated by abalone itself, because DEH
cannot be metabolized via the Embden-Meyerhof pathway of animals. Under these
circumstances, we recently noticed the occurrence of an NADPH-dependent
reductase, which reduced DEH to 2-keto-3-deoxy-D-gluconate, in hepatopancreas
extract of the pacific abalone Haliotis discus hannai. In the present study, we
characterized this enzyme to some extent. The DEH reductase, named HdRed in the
present study, could be purified from the acetone-dried powder of hepatopancreas
by ammonium sulfate fractionation followed by conventional column
chromatographies. HdRed showed a single band of ~ 40 kDa on SDS-PAGE and reduced
DEH to 2-keto-3-deoxy-D-gluconate with an optimal temperature and pH at around 50
degrees C and 7.0, respectively. HdRed exhibited no appreciable activity toward
28 authentic compounds, including aldehyde, aldose, ketose, alpha-keto-acid,
uronic acid, deoxy sugar, sugar alcohol, carboxylic acid, ketone, and ester. The
amino acid sequence of 371 residues of HdRed deduced from the cDNA showed 18-60%
identities to those of aldo-keto reductase (AKR) superfamily enzymes, such as
human aldose reductase, halophilic bacterium reductase, and sea hare norsolorinic
acid (a polyketide derivative) reductase-like protein. Catalytic residues and
cofactor binding residues known in AKR superfamily enzymes were fairly well
conserved in HdRed. Phylogenetic analysis for HdRed and AKR superfamily enzymes
indicated that HdRed is an AKR belonging to a novel family.
PMID- 26555268
TI - Structure of UBE2Z Enzyme Provides Functional Insight into Specificity in the
FAT10 Protein Conjugation Machinery.
AB - FAT10 conjugation, a post-translational modification analogous to ubiquitination,
specifically requires UBA6 and UBE2Z as its activating (E1) and conjugating (E2)
enzymes. Interestingly, these enzymes can also function in ubiquitination. We
have determined the crystal structure of UBE2Z and report how the different
domains of this E2 enzyme are organized. We further combine our structural data
with mutational analyses to understand how specificity is achieved in the FAT10
conjugation pathway. We show that specificity toward UBA6 and UBE2Z lies within
the C-terminal CYCI tetrapeptide in FAT10. We also demonstrate that this motif
slows down transfer rates for FAT10 from UBA6 onto UBE2Z.
PMID- 26555269
TI - Reply to "Younger age at cancer diagnosis may be driven by age structure of the
HCV population".
PMID- 26555270
TI - Younger age at cancer diagnosis may be driven by age structure of the HCV
population.
PMID- 26555271
TI - Liver Bid suppression for treatment of fibrosis associated with non-alcoholic
steatohepatitis.
AB - BACKGROUND & AIMS: Liver fibrosis is the most worrisome feature of non-alcoholic
steatohepatitis (NASH). Growing evidence supports a link between hepatocyte
apoptosis and liver fibrogenesis. Our aim was to determine the therapeutic
efficacy and safety of liver Bid, a key pro-apoptotic molecule, suppression using
RNA interference (RNAi) for the treatment of fibrosis. METHODS: First, we
optimized the delivery system for Bid siRNA in mice using ten different stealth
RNAi siRNAs and two lipid formulations -Invivofectamine2.0 and a newly developed
Invivofectamine3.0 - that have been designed for high efficacy accumulation in
the liver, assessed via real-time PCR of Bid mRNA. Next, C57BL/6 mice were placed
on a choline-deficient L-amino acid defined (CDAA) diet. After 19weeks of the
CDAA diet, a time point that results in severe fibrotic NASH, mice were injected
with the selected Bid siRNA-Invivofectamine3.0 biweekly for three weeks.
Additionally hepatocyte-specific Bid deficient (Bid(Deltahep)) mice were placed
on CDAA diet for 20weeks. RESULTS: A maximum Bid knockdown was achieved at
1.5mg/kg siRNA with Invivofectamine3.0, whereas it was at 7mg/kg with
Invivofectamine2.0. In NASH mice, after 3weeks of treatment, BID protein was
reduced to 10% and this was associated with an improvement in liver fibrosis and
inflammation associated with a marked reduction in TUNEL positive cells, caspase
3 activation, and a reduction in mitochondrial BAX and BAK. Bid(Deltahep) mice
showed similar protection from fibrotic changes. CONCLUSION: Our data demonstrate
that liver Bid suppression by RNAi technology, as well as hepatocyte-specific Bid
deficiency, improves liver fibrosis coupled with a reduction of inflammation in
experimental NASH. These findings are consistent with existing evidence that
hepatocyte apoptosis triggers hepatic stellate cell activation and liver fibrosis
and suggest that Bid inhibition may be useful as an antifibrotic NASH therapy.
PMID- 26555272
TI - The effects of cold stress on the phenolic compounds and antioxidant capacity of
grapevine (Vitis vinifera L.) leaves.
AB - According to some estimates, a 70% increase in crop yield could be achieved if
the environmental conditions were close to the optimum ones for a given plant,
which is why the identification and control of adverse environmental effects is a
top priority in many countries worldwide. This paper contains a discussion of the
changes in selected elements of the secondary metabolism in the leaves of two
grapevine varieties (Vitis vinifera L.) with a different degree of tolerance to
cold stress during prolonged and constant low temperature stress. The analyses
have shown that the more-tolerant variety was characterized by a higher content
of phenolic compounds, better radical-scavenging capacity and stronger reducing
power. However, the cold stress caused a decrease in the concentration of the
phenolics and decreased the scavenging capacity in the leaves of both varieties.
Four phenolic acids have been identified in the extracts from the leaves of both
grapevines: caffeic acid, p-coumaric acid, ferulic acid and a caffeic acid
derivative. Caffeic acid appeared in the highest concentrations in all the leaf
extracts. Additionally, it has been noted that in the leaves of the varieties
susceptible and tolerant to cold stress, the prolonged exposure to low
temperature caused a considerable reduction of the content of all identified
phenolic acids. The results of the analyses have demonstrated large differences
in the functioning of the secondary metabolism in response to the same stressor.
PMID- 26555273
TI - Elemental stoichiometry indicates predominant influence of potassium and
phosphorus limitation on arbuscular mycorrhizal symbiosis in acidic soil at high
altitude.
AB - The functioning of high-altitude agro-ecosystems is constrained by the harsh
environmental conditions, such as low temperatures, acidic soil, and low nutrient
supply. It is therefore imperative to investigate the site-specific ecological
stoichiometry with respect to AM symbiosis in order to maximize the arbuscular
mycorrhizal (AM) benefits for the plants in such ecosystems. Here, we assess the
elemental stoichiometry of four Capsicum genotypes grown on acidic soil at high
altitude in Arunachal Pradesh, India. Further, we try to identify the predominant
resource limitations influencing the symbioses of different Capsicum genotypes
with the AM fungi. Foliar and soil elemental stoichiometric relations of Capsicum
genotypes were evaluated with arbuscular mycorrhizal (AM) colonization and
occurrence under field conditions. AM fungal diversity in rhizosphere, was
estimated through PCR-DGGE profiling. Results demonstrated that the symbiotic
interaction of various Capsicum genotypes with the AM fungi in acidic soil was
not prominent in the study site as evident from the low range of root
colonization (21-43.67%). In addition, despite the rich availability of carbon in
plant leaves as well as in soil, the carbon-for-phosphorus trade between AMF and
plants appeared to be limited. Our results provide strong evidences of
predominant influence of the potassium-limitation, in addition to phosphorus
limitation, on AM symbiosis with Capsicum in acidic soil at high altitude. We
also conclude that the potassium should be considered in addition to carbon,
nitrogen, and phosphorus in further studies investigating the stoichiometric
relationships with the AMF symbioses in high altitude agro-ecosystems.
PMID- 26555274
TI - Prehospital Administration of Epinephrine in Pediatric Anaphylaxis.
AB - Anaphylaxis in the pediatric population is both serious and potentially lethal.
The incidence of allergic and anaphylactic reactions has been increasing and the
need for life saving intervention with epinephrine must remain an important part
of Emergency Medical Services (EMS) provider training. Our aim was to
characterize dosing and timing of epinephrine, diphenhydramine, and albuterol in
the pediatric patient with anaphylaxis. In this retrospective chart review, we
studied prehospital medication administration in pediatric patients ages 1 month
up to 14 years old classified as having a severe allergic reaction or
anaphylaxis. We compared rates of epinephrine, diphenhydramine, and albuterol
given to patients with allergic conditions including anaphylaxis. In addition, we
calculated the rate of epinephrine administration in cases of anaphylaxis and
determined what percentage of time the epinephrine was given by EMS or prior to
their arrival. Of the pediatric patient contacts, 205 were treated for allergic
complaints. Of those with allergic complaints, 98 of 205 (48%; 95% CI 41%, 55%)
had symptoms consistent with anaphylaxis and indications for epinephrine. Of
these 98, 53 (54%, 95% CI 44%, 64%) were given epinephrine by EMS or prior to EMS
arrival. Among the patients in anaphylaxis not given epinephrine prior to EMS
arrival, 6 (12%; 95% CI 3%, 21%) received epinephrine from EMS, 10 (20%; 95% CI
9%, 30%) received diphenhydramine only, 9 (18%, 95% CI 7%-28%) received only
albuterol and 17 (33%, 95% CI 20%-46%) received both albuterol and
diphenhydramine. 9 patients in anaphylaxis received no treatment prior to
arriving to the emergency department (18%, 95% CI 7%-28%). In pediatric patients
who met criteria for anaphylaxis and the use of epinephrine, only 54% received
epinephrine and the overwhelming majority received it prior to EMS arrival. EMS
personnel may not be treating anaphylaxis appropriately with epinephrine.
PMID- 26555276
TI - Culturing Mouse Cardiac Valves in the Miniature Tissue Culture System.
AB - Heart valve disease is a major burden in the Western world and no effective
treatment is available. This is mainly due to a lack of knowledge of the
molecular, cellular and mechanical mechanisms underlying the maintenance and/or
loss of the valvular structure. Current models used to study valvular biology
include in vitro cultures of valvular endothelial and interstitial cells.
Although, in vitro culturing models provide both cellular and molecular
mechanisms, the mechanisms involved in the 3D-organization of the valve remain
unclear. While in vivo models have provided insight into the molecular mechanisms
underlying valvular development, insight into adult valvular biology is still
elusive. In order to be able to study the regulation of the valvular 3D
organization on tissue, cellular and molecular levels, we have developed the
Miniature Tissue Culture System. In this ex vivo flow model the mitral or the
aortic valve is cultured in its natural position in the heart. The natural
configuration and composition of the leaflet are maintained allowing the most
natural response of the valvular cells to stimuli. The valves remain viable and
are responsive to changing environmental conditions. This MTCS may provide
advantages on studying questions including but not limited to, how does the 3D
organization affect valvular biology, what factors affect 3D organization of the
valve, and which network of signaling pathways regulates the 3D organization of
the valve.
PMID- 26555275
TI - With Reference to Reference Genes: A Systematic Review of Endogenous Controls in
Gene Expression Studies.
AB - The choice of reference genes that are stably expressed amongst treatment groups
is a crucial step in real-time quantitative PCR gene expression studies. Recent
guidelines have specified that a minimum of two validated reference genes should
be used for normalisation. However, a quantitative review of the literature
showed that the average number of reference genes used across all studies was
1.2. Thus, the vast majority of studies continue to use a single gene, with beta
actin (ACTB) and/or glyceraldehyde 3-phosphate dehydrogenase (GAPDH) being
commonly selected in studies of vertebrate gene expression. Few studies (15%)
tested a panel of potential reference genes for stability of expression before
using them to normalise data. Amongst studies specifically testing reference gene
stability, few found ACTB or GAPDH to be optimal, whereby these genes were
significantly less likely to be chosen when larger panels of potential reference
genes were screened. Fewer reference genes were tested for stability in non-model
organisms, presumably owing to a dearth of available primers in less well
characterised species. Furthermore, the experimental conditions under which real
time quantitative PCR analyses were conducted had a large influence on the choice
of reference genes, whereby different studies of rat brain tissue showed
different reference genes to be the most stable. These results highlight the
importance of validating the choice of normalising reference genes before
conducting gene expression studies.
PMID- 26555277
TI - Women at the Podium: ACNP Strives to Reach Speaker Gender Equality at the Annual
Meeting.
PMID- 26555278
TI - Circumspectives: Cannabis and Psychiatric Illness: Blunt Thoughts.
PMID- 26555279
TI - 4D microvascular imaging based on ultrafast Doppler tomography.
AB - 4D ultrasound microvascular imaging was demonstrated by applying ultrafast
Doppler tomography (UFD-T) to the imaging of brain hemodynamics in rodents. In
vivo real-time imaging of the rat brain was performed using ultrasonic plane wave
transmissions at very high frame rates (18,000 frames per second). Such ultrafast
frame rates allow for highly sensitive and wide-field-of-view 2D Doppler imaging
of blood vessels far beyond conventional ultrasonography. Voxel anisotropy (100
MUm * 100 MUm * 500 MUm) was corrected for by using a tomographic approach, which
consisted of ultrafast acquisitions repeated for different imaging plane
orientations over multiple cardiac cycles. UFT-D allows for 4D dynamic
microvascular imaging of deep-seated vasculature (up to 20 mm) with a very high
4D resolution (respectively 100 MUm * 100 MUm * 100 MUm and 10 ms) and high
sensitivity to flow in small vessels (>1 mm/s) for a whole-brain imaging
technique without requiring any contrast agent. 4D ultrasound microvascular
imaging in vivo could become a valuable tool for the study of brain hemodynamics,
such as cerebral flow autoregulation or vascular remodeling after ischemic stroke
recovery, and, more generally, tumor vasculature response to therapeutic
treatment.
PMID- 26555280
TI - The Pre-rRNA Processing Complex in Arabidopsis Includes Two WD40-Domain
Containing Proteins Encoded by Glucose-Inducible Genes and Plant-Specific
Proteins.
PMID- 26555283
TI - Pigmented purpuric dermatosis after taking a dietary supplement.
AB - Pigmented purpuric dermatoses (PPDs) are a group of histologically similar skin
eruptions characterized by a perivascular lymphocytic infiltrate with
extravasated erythrocytes. The etiologies of these conditions are unknown, but
triggering factors such as systemic diseases, infections, drugs, and foods have
been described. Here, we present a patient who developed pigmented purpura 30
days after initiating a dietary supplement that contained selenium, natural
vitamin E, and a parsley concentrate, specifically, Parselenium E. One month
after stopping the dietary supplement, the lesions disappeared and no new lesions
have developed.
PMID- 26555281
TI - Plants and climate change: complexities and surprises.
AB - BACKGROUND: Anthropogenic climate change (ACC) will influence all aspects of
plant biology over coming decades. Many changes in wild species have already been
well-documented as a result of increased atmospheric CO2 concentrations, warming
climate and changing precipitation regimes. A wealth of available data has
allowed the use of meta-analyses to examine plant-climate interactions on more
sophisticated levels than before. These analyses have revealed major differences
in plant response among groups, e.g. with respect to functional traits, taxonomy,
life-history and provenance. Interestingly, these meta-analyses have also exposed
unexpected mismatches between theory, experimental, and observational studies.
SCOPE: We reviewed the literature on species' responses to ACC, finding ~42 % of
4000 species studied globally are plants (primarily terrestrial). We review
impacts on phenology, distributions, ecophysiology, regeneration biology, plant
plant and plant-herbivore interactions, and the roles of plasticity and
evolution. We focused on apparent deviations from expectation, and highlighted
cases where more sophisticated analyses revealed that unexpected changes were, in
fact, responses to ACC. CONCLUSIONS: We found that conventionally expected
responses are generally well-understood, and that it is the aberrant responses
that are now yielding greater insight into current and possible future impacts of
ACC. We argue that inconclusive, unexpected, or counter-intuitive results should
be embraced in order to understand apparent disconnects between theory,
prediction, and observation. We highlight prime examples from the collection of
papers in this Special Issue, as well as general literature. We found use of
plant functional groupings/traits had mixed success, but that some underutilized
approaches, such as Grime's C/S/R strategies, when incorporated, have improved
understanding of observed responses. Despite inherent difficulties, we highlight
the need for ecologists to conduct community-level experiments in systems that
replicate multiple aspects of ACC. Specifically, we call for development of
coordinating experiments across networks of field sites, both natural and man
made.
PMID- 26555284
TI - Labial salivary gland biopsy for diagnosing immunoglobulin light chain
amyloidosis: a retrospective analysis.
AB - Our goal was to evaluate the usefulness of labial salivary gland (LSG) biopsy for
diagnosing immunoglobulin light chain (AL) amyloidosis, by comparing bone marrow
and skin biopsies in the same patient population. This retrospective study
included 34 consecutive patients who showed evidence of monoclonal proteins and
symptoms considered to be due to amyloidosis, and who underwent a tissue biopsy
from LSG between January 2005 and December 2012 at Nagoya City University
Hospital. All samples of superficial tissues, including LSG, bone marrow, and
skin, were independently evaluated as having amyloid deposits by a central
review, which was blind to clinical information. An AL amyloidosis diagnosis was
based on evidence of amyloid deposition in any biopsied tissue. Eighteen patients
were diagnosed with AL amyloidosis. The sensitivity for detecting amyloid
deposition was highest in biopsies of LSG at 89 %, followed by 77 % for bone
marrow, and 72 % for skin. Amyloid deposition was detected in at least one
superficial tissue of all the 18 patients. An LSG biopsy may be appropriate as a
first-choice procedure to diagnose AL amyloidosis. Multiple biopsies of
superficial tissues, including LSG, bone marrow, and skin, are recommended to
increase the sensitivity for diagnosing AL amyloidosis.
PMID- 26555285
TI - Detection of BCR-ABL1 mutations that confer tyrosine kinase inhibitor resistance
using massively parallel, next generation sequencing.
AB - Detection of BCR-ABL1 mutations that confer resistance to tyrosine kinase
inhibitors is important for management of patients with t(9;22);BCR-ABL1-positive
(Ph+) leukemias. Testing is often performed using Sanger sequencing (SS) which
has relatively poor sensitivity. Given the widespread adoption of next generation
sequencing (NGS), we sought to reevaluate the testing in the context of NGS
methods. We developed an NGS-based BCR-ABL1 mutation test on the Ion Torrent
Personal Genome Machine (PGM) to test for resistance mutations, primarily in the
kinase domain in BCR-ABL1. We analyzed 508 clinical samples from patients with
Ph+ leukemias. In a subset of these samples (n = 97), we conducted a comparison
of the NGS results to a classical SS-based test. NGS facilitated detection of low
level mutations (<20 % allele frequency) that were not detectable by SS. In a
subset of cases with multiple mutations, NGS was also able to determine if two
mutations were on the same molecule (compound) or on separate molecules
(polyclonal) but this was limited by the distance between mutated positions and
by the effects of apparent distance-dependent PCR recombination. We found 22
compound mutations that centered on one or two key residues including two novel
compound mutants: Q252H/Y253H and F311Y/F359I. The advantages of NGS make it a
superior method for inventorying BCR-ABL1 resistance mutations. However, data
analysis may be complicated by short read lengths and the effects of PCR
recombination.
PMID- 26555286
TI - LPS-stimulated human bone marrow stroma cells support myeloid cell development
and progenitor cell maintenance.
AB - The nonhematopoietic bone marrow (BM) microenvironment provides a functional
niche for hematopoietic cell maintenance, recruitment, and differentiation. It
consists of multiple cell types including vasculature, bone, adipose tissue, and
fibroblast-like bone marrow stromal cells (BMSC), which can be summarized under
the generic term niche cells. BMSC express Toll-like receptors (TLRs) and are
capable to respond to TLR-agonists by changing their cytokine expression pattern
in order to more efficiently support hematopoiesis. Here, we show that in
addition to enhanced myeloid colony formation from human CD34+ cells,
lipopolysaccharide (LPS) stimulation retains overall higher numbers of CD34+
cells in co-culture assays using BMSC, with eightfold more CD34+ cells that
underwent up to three divisions as compared to non-stimulated assays. When
subjected to cytokine-supplemented myeloid colony-forming unit (CFU) assays or
transplanted into newborn RAG2(-/-) gammac (-/-) mice, CD34(+) cells from LPS
stimulated BMSC cultures give rise to the full spectrum of myeloid colonies and T
and B cells, respectively, thus supporting maintenance of myeloid and lymphoid
primed hematopoietic progenitor cells (HPCs) under inflammatory conditions.
Collectively, we suggest that BMSC enhance hematopoiesis during inflammatory
conditions to support the replenishment of innate immune effector cells and to
prevent the exhaustion of the hematopoietic stem and progenitor cell (HSPC) pool.
PMID- 26555287
TI - Selective sweeps versus introgression - population genetic dynamics of the murine
leukemia virus receptor Xpr1 in wild populations of the house mouse (Mus
musculus).
AB - BACKGROUND: The interaction between viruses and their receptors in the host can
be expected to lead to an evolutionary arms race resulting in cycles of rapid
adaptations. We focus here on the receptor gene Xpr1 (xenotropic and polytropic
retrovirus receptor 1) for murine leukemia viruses (MLVs). In a previous screen
for selective sweeps in mouse populations we discovered that a population from
Germany was almost monomorphic for Xpr1 haplotypes, while a population from
France was polymorphic. RESULTS: Here we analyze Xpr1 sequences and haplotypes
from a broad sample of wild mouse populations of two subspecies, M. m. domesticus
and M. m. musculus, to trace the origins of this distinctive polymorphism
pattern. We show that the high polymorphism in the population in France is caused
by a relatively recent invasion of a haplotype from a population in Iran, rather
than a selective sweep in Germany. The invading haplotype codes for a novel
receptor variant, which has itself undergone a recent selective sweep in the
Iranian population. CONCLUSIONS: Our data support a scenario in which Xpr1 is
frequently subject to positive selection, possibly as a response to resistance
development against recurrently emerging infectious viruses. During such an
infection cycle, receptor variants that may convey viral resistance can be
captured from another population and quickly introgress into populations actively
dealing with the infectious virus.
PMID- 26555288
TI - Implications of Volume Exclusion: A Look at Thermodynamic Perspective of DNA
Hemoglobin Complexes and Their Reconstitutes Under Macromolecular Crowding.
AB - Live cells contain high concentrations of macromolecules, but almost all
experimental biochemical data have been generated from dilute solutions that do
not reflect conditions in vivo. To understand biomolecular behavior in vivo,
properties studied in vitro are extrapolated to conditions in vivo. Another
significant factor which is overlooked is the effects of macromolecular crowding
and its consequences in the actual biochemical and physiological environment.
Such influences of crowding, its modification and physiological parameters have
been reported. The present study investigates the effect of molecular crowding on
binding characteristics of Salmon sperm DNA with Bovine hemoglobin and their
reconstitutes in presence of molecular crowders viz., Poly ethylene glycol (PEG)
and Dextran of different molecular weight by fluorescence, UV visible
spectroscopic technique at different temperatures. The results showed that BHb
fluorescence was quenched by sDNA through static quenching mechanism which is
enhanced in presence of polymers. The number of binding sites 'n' and binding
constants 'K' were determined at different temperatures based on fluorescence
quenching. The thermodynamic parameters namely ?H degrees , ?G degrees , T?S
degrees were studied at different temperatures and the results indicate that
hydrophobic forces are predominant in the sDNA-BHb complex. Negative ?G degrees
values imply that the binding process is spontaneous.
PMID- 26555289
TI - Synthesis and Evaluation of In Vitro DNA/Protein Binding Affinity, Antimicrobial,
Antioxidant and Antitumor Activity of Mononuclear Ru(II) Mixed Polypyridyl
Complexes.
AB - The four novel Ru(II) complexes [Ru(phen)2MAFIP](2+) (1) [MAFIP = 2-(5
(methylacetate)furan-2-yl)-1 H-imidazo[4,5-f] [1, 10]phenanthroline, phen = 1,10
Phenanthroline], [Ru(bpy)2MAFIP](2+) (2) (bpy = 2,2'-bipyridine) and
[Ru(dmb)2MAFIP](2+) (3) (dmb = 4,4'-dimethyl-2,2'-bipyridine) and
[Ru(hdpa)2MAFIP](2+) (4) (hdpa = 2,2-dipyridylamine) have been synthesized and
fully characterized via elemental analysis, NMR spectroscopy, EI-MS and FT-IR
spectroscopy. In addition, the DNA-binding behaviors of the complexes 1-4 with
calf thymus DNA were investigated by UV-Vis absorption, fluorescence studies and
viscosity measurement. The DNA-binding experiments showed that the complexes 1-4
interact with CT-DNA through an intercalative mode. BSA protein binding affinity
of synthesized complexes was determined by UV/Vis absorption and fluorescence
emission titrations. The binding affinity of ruthenium complexes was supported by
molecular docking. The photoactivated cleavage of plasmid pBR322 DNA by ruthenium
complexes 1-4 was investigated. All the synthesized compounds were tested for
antimicrobial activity by using three Gram-negative (Escherichia coli, Salmonella
typhi and Pseudomonas aeruginosa) and three Gram-positive (Micrococcus luteus,
Bacillus subtilis and Bacillus megaterium) organisms, these results indicated
that complex 3 was more activity compared to other complexes against all tested
microbial strains while moderate antimicrobial activity profile was noticed for
complex 4. The antioxidant activity experiments show that the complexes exhibit
moderate antioxidant activity. The cytotoxicity of synthesized complexes on HeLa
cell lines has been examined by MTT assay. The apoptosis assay was carried out
with Acridine Orange (AO) staining methods and the results indicate that
complexes can induce the apoptosis of HeLa cells. The cell cycle arrest
investigated by flow cytometry and these results indicate that complexes 1-4
induce the cell cycle arrest at G0/G1 phase.
PMID- 26555290
TI - Two Sensitive Fluorescent BOPIM Probes with Tunable TICT Character for Low-Level
Water Detection in Organic Solvents.
AB - Two novel Boron-fluorine derivatives bearing dimethylamino moieties, BOPIM-1 and
BOPIM-2, were proposed as sensitive fluorescent sensors for low-level water
quantification in organic solvents. Two BOPIMs exhibit typical phenomenon for an
emission from a twisted intra-molecular charge transfer (TICT) state, the
emission red shift and intensity weakening with solvent polarity. Introduction of
trace amount of water to solvent resulted in fluorescent quenching, accompanied
by the red shift of the emission, which was attributed to the formation of TICT
excitation of BOPIMs by hydrolysis. A quantification method to detect water
content was developed, described by a linear equation lg(I/I(0)) vs. lg phi(w) in
the range of phi(w) (volume fraction of water) 0.001~0.01, 0.01~0.1,
respectively. The experiment results of determination of water in real 1, 4
dioxane (Diox) samples proved that this method can be used in practical
application.
PMID- 26555291
TI - Synthesis, Characterization, Properties and DFT Calculations of 2
(Benzo[b]thiophen-2-yl)pyridine-based Iridium(III) Complexes with Different
Ancillary Ligands.
AB - A series of new cyclometalated btp-based iridium(III) complexes with three
different ancillary ligands, Ir(btp)2(bozp) (3a), Ir(btp)2(btzp) (3b) and
Ir(btp)2(izp) (3c) (btp = 2-(benzo[b]thiophen-2-yl)pyridine, bozp =2
(benzo[d]oxazol-2-yl)phenol, btzp =2-(benzo[d]thiazol-2-yl)phenol, izp = 2-(2 H
indazol-2-yl)phenol), have been synthesized and fully characterized. The crystal
structure of 3b has been determined by single crystal X-ray diffraction analysis.
A comparative study has been carried out for complexes 3a - 3c by UV-vis
absorption spectroscopy, photoluminescence spectroscopy, cyclic voltammetry and
DFT calculations. This observation illustrates that the substitution of N or S in
ancillary ligand can lead to a marked bathochromic shift of absorption and
emission wavelengths. The spectroscopic characterisation of these complexes has
been complemented by DFT and TD-DFT calculations, supporting the assignment of
(3)MLCT/(3)LC to the lowest energy excited state.
PMID- 26555292
TI - Impaired cardiac PET image quality due to delayed (82)Rubidium dose delivery to
the heart.
PMID- 26555293
TI - Moving ahead with CZT technology.
PMID- 26555294
TI - Rusa alfredi papillomavirus 1 - a novel deltapapillomavirus inducing endemic
papillomatosis in the endangered Visayan spotted deer.
AB - We describe a novel papillomavirus - Rusa alfredi papillomavirus 1 (RalPV1) -
which causes endemic fibropapillomatosis in the European conservation breeding
population of the highly endangered Visayan spotted deer (Rusa alfredi).
Degenerated papillomavirus-specific primers were used to amplify and sequence
parts of the viral DNA. Subsequently, the complete genomic DNA was cloned and the
sequence was determined. The RalPV1 genome has a length of 8029 bp, encodes the
early proteins E6, E7, E1, E2 and E5, the two late proteins L1 and L2 and
contains an upstream regulatory region. Highest sequence identities were observed
with two deltapapillomaviruses, the Capreolus capreolus PV1 and Cervus elaphus
PV1. Pairwise comparisons and phylogenetic analysis based on the ORF L1 suggested
that RalPV1 is a putative new type of the papillomavirus species
Deltapapillomavirus 5.
PMID- 26555295
TI - Erratum to: Vitamin D regulating TGF-beta induced epithelial-mesenchymal
transition.
PMID- 26555297
TI - Analytical approaches for lipidomics and its potential applications in
neuropsychiatric disorders.
AB - OBJECTIVES: In this review, the authors discuss an overview of lipidomics
followed by in-depth discussion of its application to the study of human
diseases, including extraction methods of lipids, analytical techniques and
clinical research in neuropsychiatric disorders. METHODS: Lipidomics is a lipid
targeted metabolomics approach aiming at the comprehensive analysis of lipids in
biological systems. Recent technological advancements in mass spectrometry and
chromatography have greatly enhanced the development and applications of
metabolic profiling of diverse lipids in complex biological samples. RESULTS: An
effective evaluation of the clinical course of diseases requires the application
of very precise diagnostic and assessment approaches as early as possible. In
order to achieve this, "omics" strategies offer new opportunities for biomarker
identification and/or discovery in complex diseases and may provide pathological
pathways understanding for diseases beyond traditional methodologies.
CONCLUSIONS: This review highlights the importance of lipidomics for the future
perspectives as a tool for biomarker identification and discovery and its
clinical application.
PMID- 26555298
TI - Metastatic periampullary clear cell renal carcinoma.
PMID- 26555296
TI - Mice null for the deubiquitinase USP18 spontaneously develop leiomyosarcomas.
AB - BACKGROUND: USP18 (ubiquitin-specific protease 18) removes ubiquitin-like
modifier interferon stimulated gene 15 (ISG15) from conjugated proteins. USP18
null mice in a FVB/N background develop tumors as early as 2 months of age. These
tumors are leiomyosarcomas and thus represent a new murine model for this
disease. METHODS: Heterozygous USP18 +/- FVB/N mice were bred to generate wild
type, heterozygous and homozygous cohorts. Tumors were characterized
immunohistochemically and two cell lines were derived from independent tumors.
Cell lines were karyotyped and their responses to restoration of USP18 activity
assessed. Drug testing and tumorigenic assays were also performed. USP18
immunohistochemical staining in a large series of human leiomyosacomas was
examined. RESULTS: USP18 -/- FVB/N mice spontaneously develop tumors
predominantly on the back of the neck with most tumors evident between 6-12
months (80 % penetrance). Immunohistochemical characterization of the tumors
confirmed they were leiomyosarcomas, which originate from smooth muscle.
Restoration of USP18 activity in sarcoma-derived cell lines did not reduce
anchorage dependent or independent growth or xenograft tumor formation
demonstrating that these cells no longer require USP18 suppression for
tumorigenesis. Karyotyping revealed that both tumor-derived cell lines were
aneuploid with extra copies of chromosomes 3 and 15. Chromosome 15 contains the
Myc locus and MYC is also amplified in human leiomyosarcomas. MYC protein levels
were elevated in both murine leiomyosarcoma cell lines. Stabilized P53 protein
was detected in a subset of these murine tumors, another feature of human
leiomyosarcomas. Immunohistochemical analyses of USP18 in human leiomyosarcomas
revealed a range of staining intensities with the highest USP18 expression in
normal vascular smooth muscle. USP18 tissue array analysis of primary
leiomyosarcomas from 89 patients with a clinical database revealed cases with
reduced USP18 levels had a significantly decreased time to metastasis (P =
0.0441). CONCLUSIONS: USP18 null mice develop leiomyosarcoma recapitulating key
features of clinical leiomyosarcomas and patients with reduced-USP18 tumor levels
have an unfavorable outcome. USP18 null mice and the derived cell lines represent
clinically-relevant models of leiomyosarcoma and can provide insights into both
leiomyosarcoma biology and therapy.
PMID- 26555299
TI - Melanosis coli: a disappearing act.
PMID- 26555300
TI - Novel covered pancreatic metal stents for the treatment of bleeding after
endoscopic pancreatic sphincterotomy.
PMID- 26555301
TI - Dual anti-inflammatory and selective inhibition mechanism of leukotriene A4
hydrolase/aminopeptidase: insights from comparative molecular dynamics and
binding free energy analyses.
AB - Human leukotriene A4 hydrolase/aminopeptidase (LTA4H) is a zinc metalloenzyme
with a dual catalytic activity; conversion of LTA4 into LTB4 and degradation of
chemotactic tripeptide Pro-Gly-Pro (PGP). Existing inhibitors, such as SC-57461A,
block both catalytic activities of the enzyme, leading to drug failures.
Recently, a novel compound, ARM1, was reported to selectively inhibit the
hydrolase activity of LTA4H while sparing its aminopeptidase activity. However,
the molecular understanding of such preferential inhibitory mechanism remains
obscure. The discovery of ARM1 prompted us to further explore its binding theme
and provide more insight into the structural and dual mechanistic features of
LTA4H protein. To accomplish this, we embarked on wide range of computational
tools, including comparative molecular dynamics (MDs) simulations and postdynamic
analyses for LTA4H and in complex with ARM1, PGP, ARM1-PGP, and SC-57461A. MD
analysis reveals that the binding of ARM1 exhibits a more stable active site and
overall stable protein conformation when compared to the nonselective inhibitor
SC-57461A. In addition, MM/GBSA-binding free energy calculation also reveals that
ARM1 exhibit a lower binding affinity, when compared to the nonselective
inhibitor SC-57461A - which is in a great agreement with experimental data. Per
residue energy decomposition analysis showed that Phe314, Val367, Tyr378, Trp311,
Pro382, and Leu369 are key residues critical for the selective inhibition of the
epoxide hydrolase activity of LTA4H by ARM1. Findings from this report will not
only provide more understanding into the structural, dynamic, and mechanistic
features of LTA4H but would also assist toward the rational design of novel and
selective hydrolase inhibitors of LTA4H as anti-inflammatory drugs.
PMID- 26555302
TI - Cytotoxic T lymphocyte antigen 4 decreases humoral and cellular immunity by
adenovirus to enhance target GFP gene transfer in C57BL/6 mice.
AB - Adenoviruses (Ad) are once potential and promising vectors for gene delivery, but
the immunogenicity attenuates its transfer efficiency. Cytotoxic T lymphocyte
antigen 4 (CTLA-4) can inhibit T cell immunity. Thus, we aimed to study the
effect of CTLA-4 in the process of Ad-mediated gene transfer. The C57BL/6 mice
were injected by Ad vectors at twice, and CTLA-4 was administrated after the
first Ad injection. Then, the CD3(+)CD4(+) T cells and circulating levels of IL
2, IL-4, and anti-Ad IgG were decreased by CTLA-4, while Ad generated immune
responses. The green fluorescence protein (GFP) expressions of tissues were
enhanced by CTLA-4 till injection of Ad at twice. Our results indicate that CTLA
4 can inhibit humoral and cellular immunity by adenovirus generation to enhance
GFP delivery, and provide a potential way to assist in Ad-mediated gene transfer.
PMID- 26555303
TI - Evaluation of an automated knowledge based treatment planning system for head and
neck.
AB - BACKGROUND: This study evaluated an automated inverse treatment planning
algorithm, Pinnacle Auto-Planning (AP), and compared automatically generated
plans with historical plans in a large cohort of head and neck cancer patients.
METHODS: Fifty consecutive patients treated with volumetric modulated arc therapy
(Eclipse, Varian Medical System, Palo Alto, CA) for head and neck were re-planned
with AP version 9.10. Only one single cycle of plan optimization using one single
template was allowed for AP. The dose to the planning target volumes (PTV's; 3-4
dose levels), the organs at risk (OAR's) and the effective working time for
planning was evaluated. Additionally, two experienced radiation oncologists blind
reviewed and ranked 10 plans. RESULTS: Dose coverage and dose homogeneity of the
PTV were significantly improved with AP, however manually optimized plans showed
significantly improved dose conformity. The mean dose to the parotid glands, oral
mucosa, swallowing muscles, dorsal neck tissue and maximal dose to the spinal
cord were significantly reduced with AP. In 64 % of the plans, the mean dose to
any OAR (spinal cord excluded) was reduced by >20 % with AP in comparison to the
manually optimized plans. In 12 % of the plans, the manually optimized plans
showed reduced doses by >20 % in at least one OAR. The experienced radiation
oncologists preferred the AP plan and the clinical plan in 80 and 20 % of the
cases, respectively. The average effective working time was 3.8 min +/- 1.1 min
in comparison to 48.5 min +/- 6.0 min using AP compared to the manually optimized
plans, respectively. CONCLUSION: The evaluated automated planning algorithm
achieved highly consistent and significantly improved treatment plans with
potentially clinically relevant OAR sparing by >20 % in 64 % of the cases. The
effective working time was substantially reduced with Auto-Planning.
PMID- 26555304
TI - Mid-Infrared Spectroscopy Analysis of the Effects of Erbium, Chromium:Yattrium
Scandium-Gallium-Garnet (Er,Cr:YSGG) Laser Irradiation on Bone Mineral and
Organic Components.
AB - The effects of varying the energy density of a high-intensity erbium, chromium:
yttrium-scandium-gallium-garnet (Er,Cr:YSGG) laser on the mineral and organic
components of bone tissue were evaluated using Fourier transform infrared
spectroscopy. Bone samples obtained from the tibias of rabbits were irradiated
with five energy densities (3, 6, 8, 12, and 15 J/cm(2)), and the effects on the
carbonate to phosphate ratio and in the organic components were compared with
those of nonirradiated samples. The increased temperature during the laser
irradiation was also measured using infrared thermography to relate the observed
spectral changes to the laser thermal effects. The analyses of the infrared
spectra suggests that the irradiation with Er,Cr:YSGG promoted changes in bone
tissue in both the mineral and organic components that depend on the laser energy
density, pointing to the importance of using the proper energy density in
clinical procedures.
PMID- 26555305
TI - Pediatric Erythema Multiforme in the Emergency Department: More Than "Just a
Rash".
AB - OBJECTIVES: Erythema multiforme (EM) is characterized by symmetrical acrally
distributed target lesions; however, other conditions can mimic the clinical
features of EM. Although it is typically self-limiting, alternative diagnoses may
be life-threatening and require immediate identification and treatment. This
study aimed to investigate the clinical spectrum and accuracy of diagnosis of
pediatric EM in the emergency department (ED). METHODS: A retrospective,
descriptive study of all pediatric patients with an ED information system
diagnosis of EM at 2 EDs in Southeast Queensland between January 2010 and July
2013. Cases were evaluated using previously established EM classification
criteria. RESULTS: Seventy patients (34 males and 36 females) with a diagnosis of
EM were identified. From 57 cases where a diagnosis could be established, 9 cases
fulfilled the classification criteria for EM. No patients had mucosal
involvement, and therefore, all 9 cases were classified as EM minor, with the
majority (89%) attributed to viral infection. Of the 48 cases that did not fit
the criteria, the most common condition misdiagnosed as EM was urticaria
multiforme (n = 20). CONCLUSIONS: In the ED setting, EM in children is frequently
misdiagnosed. Greater awareness of diagnostic factors for EM may improve
diagnostic accuracy. Teledermatology and incentives to include clinical pictures
in the (electronic) medical record may be useful adjuncts for patients with
suspected EM and other dermatological conditions.
PMID- 26555306
TI - Medulloblastoma With Obstructive Hydrocephalus in a Young Infant.
AB - Medulloblastoma is the most common posterior fossa tumor diagnosed in young
infants. The presentation of posterior fossa tumors in neonates is highly
variable. We report the case of a 2-month-old child who presented with poor
feeding and lethargy and was noted to have a fixed downward gaze. Head computed
tomography revealed a posterior fossa mass that was pathologically consistent
with a medulloblastoma. This case demonstrates the uncommon presentation of
posterior fossa tumors in young infants.
PMID- 26555307
TI - Management of Pediatric Forearm Torus Fractures: A Systematic Review and Meta
Analysis.
AB - OBJECTIVES: Pediatric forearm torus fracture, a frequent reason for emergency
department visits, can be immobilized by both rigid cast and nonrigid methods.
However, controversy still exists regarding the optimal treatment of the disease.
The aim of this study was to compare, in a systematic review, clinical efficacy
of rigid cast with nonrigid methods for immobilization of the pediatric forearm
torus fractures. METHODS: Literature search was performed of PubMed and Cochrane
Library by 2 independent reviewers to identify randomized controlled trials
comparing rigid cast with nonrigid methods for pediatric forearm torus fractures
from inception to December 31, 2013, without limitation of publication language.
Trial quality was assessed using the modified Jadad scale. RESULTS: Eight
randomized controlled trials with a total of 781 participants met all inclusion
criteria. The nonrigid methods for immobilization included soft cast, splint,
bandage, and slab. Results showed that nonrigid immobilizations had better
clinical efficacy than rigid cast regarding functional recovery, treatment cost,
and complication rate (relative risk, 3.02; 95% confidence interval, 1.70-5.37; P
= 0.0002). Compared with rigid cast, more patients would like to choose the
nonrigid methods of immobilization for future use. However, discrepant results
sill surrounds the pain levels of the patients. CONCLUSIONS: The current study
suggests that the nonrigid immobilization methods have more advantages than rigid
cast for immobilization of pediatric forearm torus fracture. The former
strategies are also safe enough for clinical therapy.
PMID- 26555308
TI - Human Immunodeficiency Virus Risk Factors and Beliefs Reported by Families
Presenting to a Pediatric Emergency Department.
AB - OBJECTIVES: Adolescents are at greater risk for acquiring human immunodeficiency
virus (HIV) due to increased risk behaviors. Parental influence is known to
reduce adolescent risk behaviors. We compared HIV risk behaviors reported by
adolescents to parents' perception of adolescent risky behavior engagement. We
also examined participants' knowledge of HIV transmission and testing
preferences. METHODS: Participants included English-speaking adolescents and
parents presenting to a pediatric emergency department. Participants were
interviewed separately in private. Modeled after existing instruments,
"adolescent" and "parent" questionnaires included multiple choices items, Likert
type scales, and standard yes/no and true/false options. Data were analyzed using
a kappa statistic and observed agreement to measure discordance between
adolescent and parent responses. RESULTS: Participants included 126 adolescents
and 110 parents. Many adolescents reported ever having sex (61%), of which 32%
reported always practicing safe sex. Comparative analysis revealed low agreement
between adolescents' risk behaviors and parents' perception of risk behavior
engagement by youth. Discordance concerning tobacco use was greatest (kappa =
0.13), followed by drug use (kappa = 0.19) and ever having sex (kappa= 0.19), and
alcohol use (kappa= 0.22). There was also poor agreement regarding HIV
transmission knowledge (ie, oral sex; kappa = 0.16). Participants shared strong
agreement regarding parental support for adolescent interest in HIV testing
(95.5%). CONCLUSIONS: Parents are mostly unaware of adolescents' broad risk
behaviors. Participants' knowledge of HIV transmission is limited. Adolescents
and parents shared strong agreement regarding HIV testing preferences.
Multidimensional HIV prevention strategies aiming to decrease adolescent risk
behaviors, increase parental involvement, and improve adolescent and parental
knowledge of HIV transmission are needed.
PMID- 26555309
TI - Intussusception in Children Presenting to the Emergency Department: An Asian
Perspective.
AB - OBJECTIVE: This project examines the presenting complaints of children with
intussusception in the emergency department in an Asian population, with a focus
on older children, which has not been well described in previous studies.
METHODS: A retrospective study was conducted on children aged 6 months to 15
years, whose conditions were diagnosed with intussusception in KK Women's and
Children's Hospital for a 5-year period (2009-2013), based on the case definition
established by the Brighton Collaborate Intussusception Working Group. RESULTS:
Three hundred ninety-one cases were identified to fulfill the case definition as
per the Brighton Collaborative Intussusception Working Group. The mean age of
children diagnosed with intussusception is 2.59 years, predominantly in males
(65.22%). A total of 3.33% were 10 years or older. Only 3.58% presented with the
classical triad-intermittent abdominal pain, vomiting, and bloody stools. In
contrast, 22.51% of our Asian patients presented with a triad of intermittent
abdominal pain, indrawing of legs, and vomiting. A total of 76.73% of our
subjects were treated by air enema only, whereas 22 required surgical treatment
after unsuccessful attempts of air enema, and 63 resolved spontaneously,
including 7 with ileoileal intussusception. No mortality was documented.
CONCLUSIONS: Intussusception is usually diagnosed in the younger population (age
<1 year) and predominantly in males. Our study has established that older Asian
children can also have intussusception. The classical triad is not a very
sensitive diagnostic tool, but the combination of abdominal pain, indrawing of
legs, and vomiting may be a more common presenting triad in Asian children.
PMID- 26555310
TI - Simultaneous Acquired Self-limited Hemophagocytic Lymphohistiocytosis and Kikuchi
Necrotizing Lymphadenitis in a 16-Year-Old Teenage Girl: A Case Report and Review
of the Literature.
AB - OBJECTIVES: The aim of this study was to increase education and awareness among
pediatric practitioners of possibility of simultaneous hemophagocytic
lymphohistiocytosis and Kikuchi-Fujimoto disease/Kikuchi disease occurring in the
pediatric population and the diagnostic dilemma it can present. We describe a
case presentation of acquired and self-limited simultaneous hemophagocytic
lymphohistiocytosis and Kikuchi-Fujimoto disease in a 16-year-old in the United
States who presented with fevers, night sweats, and joint pain, along with
tiredness and decreased appetite along with pancytopenia and elevated lactate
dehydrogenase. To the best of our knowledge, simultaneous hemophagocytic
lymphohistiocytosis and Kikuchi-Fujimoto in the pediatric population has not been
described in North America but remains fairly common in Asia. The literature on
both diseases and their simultaneous occurrence is comprehensively reviewed.
METHODS: This was a case report and review of the literature. RESULTS: The
patient was diagnosed with both hemophagocytic lymphohistiocytosis and Kikuchi
Fujimoto disease based on bone marrow aspiration/biopsy and axillary node biopsy,
respectively. Both illnesses resolved completely. CONCLUSIONS: Benign causes of
pancytopenia and elevated lactate dehydrogenase exist, but they may not be always
straightforward diagnostically. Bone marrow aspiration and lymph node biopsy may
be helpful in ascertaining the diagnosis. Hemophagocytic lymphohistiocytosis and
Kikuchi-Fujimoto disease may represent a continuum of illness.
PMID- 26555311
TI - Antibiotic Prescribing Patterns Across the Continuum of Care for Children
Hospitalized With Community-Acquired Pneumonia.
AB - OBJECTIVES: The aim of this study was to describe the variation in antibiotic
prescribing practices for uncomplicated community-acquired pneumonia across the
continuum of care for hospitalized pediatric patients to better inform future
efforts at standardizing antibiotic therapy throughout a single hospitalization.
METHODS: This is a retrospective cohort study involving 4 hospitals caring for
children aged 3 months to 18 years, hospitalized between January 1, 2011, and
December 31, 2012, with diagnosis of uncomplicated pneumonia and without complex
chronic medical conditions.Data collected include antibiotics prescribed before
hospitalization, at the emergency department (ED) encounter, during
hospitalization, and at hospital discharge. RESULTS: Six hundred nine children
met inclusion criteria, with a mean age of 5.3 years and median length of stay of
2 days. Emergency department providers prescribed narrow-spectrum therapy 27% of
the time, whereas discharging providers prescribed narrow-spectrum therapy 56% of
the time. Third- and fourth-generation cephalosporins were less often prescribed
in the preadmission setting and at discharge but were more often prescribed in
the ED and inpatient setting. There was an association between inpatient
prescription of broad-spectrum antibiotics when a blood culture was obtained,
when broad-spectrum antibiotics were prescribed in the ED, and with increasing
length of stay. CONCLUSION: Broad-spectrum antibiotic therapy for community
acquired pneumonia, especially third- and fourth-generation cephalosporins, often
originates in the ED. When initiated in this setting, it is likely to be
continued in the inpatient setting.
PMID- 26555312
TI - Television-Related Head Injuries in Children: A Secondary Analysis of a Large
Cohort Study of Head-Injured Children in the Pediatric Emergency Care Applied
Research Network.
AB - OBJECTIVE: The objective of the study was to describe the epidemiology, cranial
computed tomography (CT) findings, and clinical outcomes of children with blunt
head trauma after television tip-over injuries. METHODS: We performed a secondary
analysis of children younger than 18 years prospectively evaluated for blunt head
trauma at 25 emergency departments (EDs) in the Pediatric Emergency Care Applied
Research Network from June 2004 to September 2006. Children injured from falling
televisions were included. Patients were excluded if injuries occurred more than
24 hours before ED evaluation or if neuroimaging was obtained before evaluation.
Data collected included age, race, sex, cranial CT findings, and clinical
outcomes. Clinically important traumatic brain injuries (ciTBIs) were defined as
death from TBI, neurosurgery, intubation for more than 24 hours for the TBI, or
hospital admission of 2 nights or more for the head injury, in association with
TBI on CT. RESULTS: A total of 43,904 children were enrolled into the primary
study and 218 (0.5%; 95% confidence interval [CI], 0.4% to 0.6%) were struck by
falling televisions. The median (interquartile range) age of the 218 patients was
3.1 (1.9-4.9) years. Seventy-five (34%) of the 218 underwent CT scanning. Ten
(13.3%; 95% CI, 6.6% to 23.2%) of the 75 patients with an ED CT had traumatic
findings on cranial CT scan. Six patients met the criteria for ciTBI. Three of
these patients died. All 6 patients with ciTBIs were younger than 5 years.
CONCLUSIONS: Television tip-overs may cause ciTBIs in children, including death,
and the most severe injuries occur in children 5 years or younger. These injuries
may be preventable by simple preventive measures such as anchoring television
sets with straps.
PMID- 26555313
TI - A push-button: Spodoptera exigua oviposition on Nicotiana attenuata dose
independently primes the feeding-induced plant defense.
AB - Insect oviposition on a plant often precedes the attack by herbivorous larvae. We
recently discovered that oviposition by Spodoptera exigua moths on the desert
tobacco Nicotiana attenuata primes the induction of 2 defense traits, a
phenylpropanoid and activity of protease inhibitors, in response to larval
feeding. Oviposition-experienced plants suffer a reduced feeding damage by less
and smaller larvae than unexperienced control plants. The increased resistance of
oviposition-experienced plants requires the plant's ability to activate its
biosynthesis of phenylpropanoids via a Myb transcription factor. Oviposition by
S. exigua on N. attenuata is highly variable with respect to the amount,
distribution and localization of the eggs on the plant. This raises the question,
whether the plant's priming of herbivore defense depends on the egg number and
localization. S. exigua moths prefer the oldest leaves for oviposition and yet
prime defense-induction in the larval attacked young systemic leaves. Neither the
levels of the primed defense traits, nor the affected larval mortality correlate
with the number of eggs a plant previously received. This suggests that upon S.
exigua oviposition, N. attenuata is shifted - independently of the egg-dose -
into a primed state that is responding stronger to the feeding larvae than
unprimed plants.
PMID- 26555314
TI - Characterization of Perovskite Obtained from Two-Step Deposition on Mesoporous
Titania.
AB - The properties of perovskite films are sensitive to the fabrication method, which
plays a crucial role in the performance of perovskite solar cell. In this work,
we fabricate organo-lead iodide perovskite on mesoporous TiO2 films through two
different two-step deposition methods, respectively, for the purpose of studying
the crystal growth of perovskite film and its effect on light harvesting
efficiency, defect density, charge extraction rate, and energy levels. The
crystal growth exerts a significant influence on the morphology and hence the
film properties, which are found to correlate with the performance of solar
cells. It is found that vapor deposition of methylammonium iodide in the PbI2
lattice gives a more complete coverage on mesoporous TiO2 with a flatter surface
and Fermi level closer to the middle of the band-gap, resulting in higher light
absorption in the visible spectral region, lower defect density, and faster
charge extraction, as compared to the sequential solution deposition. For this
reason, the vapor-processed perovskite film achieves higher short-circuit
photocurrent and power conversion efficiency than the solution-processed film.
PMID- 26555315
TI - Corticotropin-releasing factor receptor-1 antagonism mitigates beta amyloid
pathology and cognitive and synaptic deficits in a mouse model of Alzheimer's
disease.
AB - INTRODUCTION: Stress and corticotropin-releasing factor (CRF) have been
implicated as mechanistically involved in Alzheimer's disease (AD), but agents
that impact CRF signaling have not been carefully tested for therapeutic efficacy
or long-term safety in animal models. METHODS: To test whether antagonism of the
type-1 corticotropin-releasing factor receptor (CRFR1) could be used as a disease
modifying treatment for AD, we used a preclinical prevention paradigm and treated
30-day-old AD transgenic mice with the small-molecule, CRFR1-selective
antagonist, R121919, for 5 months, and examined AD pathologic and behavioral end
points. RESULTS: R121919 significantly prevented the onset of cognitive
impairment in female mice and reduced cellular and synaptic deficits and beta
amyloid and C-terminal fragment-beta levels in both genders. We observed no
tolerability or toxicity issues in mice treated with R121919. DISCUSSION: CRFR1
antagonism presents a viable disease-modifying therapy for AD, recommending its
advancement to early-phase human safety trials.
PMID- 26555316
TI - Technical performance of a novel, fully automated electrochemiluminescence
immunoassay for the quantitation of beta-amyloid (1-42) in human cerebrospinal
fluid.
AB - INTRODUCTION: Available assays for quantitation of the Alzheimer's disease (AD)
biomarker amyloid-beta 1-42 (Abeta [1-42]) in cerebrospinal fluid demonstrate
significant variability and lack of standardization to reference measurement
procedures (RMPs). We report analytical performance data for the novel Elecsys
beta-amyloid (1-42) assay (Roche Diagnostics). METHODS: Lot-to-lot comparability
was tested using method comparison. Performance parameters were measured
according to Clinical & Laboratory Standards Institute (CLSI) guidelines. The
assay was standardized to a Joint Committee for Traceability in Laboratory
Medicine (JCTLM) approved RMP. RESULTS: Limit of quantitation was <11.28 pg/mL,
and the assay was linear throughout the measuring range (200-1700 pg/mL).
Excellent lot-to-lot comparability was observed (correlation coefficients
[Pearson's r] >0.995; bias in medical decision area <2%). Repeatability
coefficients of variation (CVs) were 1.0%-1.6%, intermediate CVs were 1.9%-4.0%,
and intermodule CVs were 1.1%-3.9%. Estimated total reproducibility was 2.0%
5.1%. Correlation with the RMP was good (Pearson's r, 0.93). DISCUSSION: The
Elecsys beta-amyloid (1-42) assay has high analytical performance that may
improve biomarker-based AD diagnosis.
PMID- 26555317
TI - Can the use of natural biostimulants be a potential means of phytoremediating
contaminated soils from goldmines in South Africa?
AB - Biostimulants offer great potential in improving phytoremediation of contaminated
soils. In the current greenhouse-based study, Brassica juncea seedlings grown on
soils collected from Krugersdorp Goldmine and the adjourning areas (a Game
Reserve and private farmland) were supplemented with different biostimulants
(Kelpak(r) = KEL, vermicompost leachate = VCL, smoke-water = SW). Indole-3
butyric acid (IBA) was included in the study for comparative purposes because
these biostimulants are known to enhance rooting. Prior to the pot trial,
concentrations of elements in the three soil types were determined using
Inductively Coupled Plasma-Optical Emission Spectroscopy. Plants were harvested
after 105 days and the growth and concentrations of elements in the various plant
organs were determined. TheB. juncea seedlings with and without biostimulants did
not survive when growing in soil from the Krugersdorp Goldmine. The Game Reserve
and private farmland soils supplemented with KEL produced the highest plant
biomass and the lowest accumulation of metals in the organs of B. juncea. High
concentrations (>13 000 mg kg(-1)) of zinc and aluminium were quantified in the
roots of IBA-supplemented soils from the Game Reserve. Generally, IBA and SW
enhanced the phytoremediation of B. juncea due to elevated levels of elements
that accumulated in their different organs.
PMID- 26555318
TI - Folding Up of Gold Nanoparticle Strings into Plasmonic Vesicles for Enhanced
Photoacoustic Imaging.
AB - The stepwise self-assembly of hollow plasmonic vesicles with vesicular membranes
containing strings of gold nanoparticles (NPs) is reported. The formation of
chain vesicles can be controlled by tuning the density of the polymer ligands on
the surface of the gold NPs. The strong absorption of the chain vesicles in the
near-infrared (NIR) region leads to a much higher efficiency in photoacoustic
(PA) imaging than for non-chain vesicles. The chain vesicles were further
employed for the encapsulation of drugs and the NIR light triggered release of
payloads. This work not only offers a new platform for controlling the
hierarchical self-assembly of NPs, but also demonstrates that the physical
properties of the materials can be tailored by controlling the spatial
arrangement of NPs within assemblies to achieve a better performance in
biomedical applications.
PMID- 26555319
TI - Link Between Foot Pain Severity and Prevalence of Depressive Symptoms.
AB - OBJECTIVE: Associations between pain and depression are well known, yet foot
pain, common in populations, has been understudied. This cross-sectional study
examined foot pain and severity of foot pain with depressive symptoms in adults.
METHODS: Framingham Foot Study (2002-2008) participants completed questionnaires
that included questions about foot pain (yes/no; none, mild, moderate, or severe
pain) and the Center for Epidemiologic Studies Depression Scale (scores >=16
indicated depressive symptoms). Age and body mass index (BMI) were also assessed.
Sex-specific logistic regression was used to calculate odds ratios (ORs) and 95%
confidence intervals (95% CIs) for associations of foot pain with depressive
symptoms, adjusting for age and BMI. In a subset, further models adjusted for leg
pain, back pain, or other joint pain. RESULTS: Of 1,464 men and 1,857 women, the
mean +/- SD age was 66 +/- 10 years. Depressive symptoms were reported in 21% of
men and 27% of women. Compared to those with no foot pain and independent of age
and BMI, both men and women with moderate foot pain had approximately a 2-fold
increased odds of depressive symptoms (men with severe foot pain OR of 4 [95% CI
2.26-8.48], women with severe foot pain OR of 3 [95% CI 2.02-4.68]). Considering
other pain regions attenuated ORs, but the pattern of results remained unchanged.
CONCLUSION: Even after we adjusted for age, BMI, and other regions of pain, those
reporting worse foot pain were more likely to report depressive symptoms. These
findings suggest that foot pain may be a part of a broader pain spectrum, with an
impact beyond localized pain and discomfort.
PMID- 26555320
TI - Dispersal, dormancy and life-history tradeoffs at the individual, population and
species levels in southern African Asteraceae.
AB - Dispersal and dormancy are important risk-reducing strategies in unpredictable
environments. Negative covariation between these strategies is theoretically
expected, but empirical evidence is limited and inconsistent. Moreover,
covariation may be affected by other life-history traits and may vary across
levels of biological organization. We assessed dispersal (vertical fall time of
fruits, a proxy for wind dispersal ability) and dormancy (germination fractions
measured during germination trials) in populations of 15 annual and 12 perennial
wind-dispersed species in six Asteraceae genera from South Africa. Dormancy was
higher in annuals than in perennials, whereas fall time was largely determined by
evolutionary history. Controlling for phylogeny, dispersal and dormancy was
negatively associated across species and life-history categories. Negative
covariation between dispersal and dormancy was not evident at either the
individual level (except for seed heteromorphic species) or the population level.
Our study provides rare empirical support for the theoretical expectation of
tradeoffs between dormancy and the alternative risk-reducing strategies,
perenniality and dispersal, but refutes the expectation of increased
dispersability in perennials. Although negative covariation between dispersal and
dormancy at the species level appears not to be a simple consequence of upscaling
individual-level mechanistic tradeoffs, our findings suggest that selection for
one strategy may constrain evolution of the other.
PMID- 26555321
TI - Regarding "Compared Efficacy of Preservation Solutions in Liver Transplantation:
A Long-Term Graft Outcome Study From the European Liver Transplant Registry".
PMID- 26555322
TI - A large infrapatellar fat pad protects against knee pain and lateral tibial
cartilage volume loss.
AB - INTRODUCTION: The infrapatellar fat pad (IPFP) is commonly resected during knee
joint arthroplasty, but the ramifications of doing so are unclear. This
longitudinal study determined whether the size of the IPFP (maximum cross
sectional area (CSA)) was associated with knee cartilage loss and the development
of knee pain in adults without knee osteoarthritis (OA). METHODS: A total of 297
adults without American College of Rheumatology clinical criteria for a diagnosis
of knee OA were recruited. Knee MRI was performed at baseline and an average of
2.3 years later. IPFP maximal CSA and tibial cartilage volume were measured from
MRI. A large and small IPFP were defined by the median split, with a large IPFP
defined by being in the highest 50%. Body composition was performed at baseline
using bio-impedance. Knee pain was assessed at follow-up using the Western
Ontario and McMaster University Osteoarthritis Index (WOMAC). RESULTS: A larger
IPFP at baseline was associated with reduced knee pain at follow-up (OR 0.5, 95%
CI: 0.3 to 0.9, p = 0.02) and lateral tibial cartilage volume loss (beta: -0.9%
(95% CI: -1.6, -0.1%) per annum, p = 0.03). The maximal CSA of the IPFP was
predominantly located in the lateral (54.2%), rather than the medial tibiofemoral
compartment (1.7%). Male gender (OR 12.0, 95% CI: 6.5 to 22.0, p < 0.001) and fat
free mass (OR 1.15, 95% CI 1.04 to 1.28, p = 0.007) were both associated with a
large IPFP. CONCLUSION: A larger IPFP predicts reduced lateral tibial cartilage
volume loss and development of knee pain and mechanistically might function as a
local shock-absorber. The lack of association between measures of adiposity and
the size of the IPFP might suggest that the IPFP size is not simply a marker of
systemic obesity.
PMID- 26555323
TI - Compressed sensing electron tomography of needle-shaped biological specimens-
Potential for improved reconstruction fidelity with reduced dose.
AB - Electron tomography is an invaluable method for 3D cellular imaging. The
technique is, however, limited by the specimen geometry, with a loss of
resolution due to a restricted tilt range, an increase in specimen thickness with
tilt, and a resultant need for subjective and time-consuming manual segmentation.
Here we show that 3D reconstructions of needle-shaped biological samples exhibit
isotropic resolution, facilitating improved automated segmentation and feature
detection. By using scanning transmission electron tomography, with small probe
convergence angles, high spatial resolution is maintained over large depths of
field and across the tilt range. Moreover, the application of compressed sensing
methods to the needle data demonstrates how high fidelity reconstructions may be
achieved with far fewer images (and thus greatly reduced dose) than needed by
conventional methods. These findings open the door to high fidelity electron
tomography over critically relevant length-scales, filling an important gap
between existing 3D cellular imaging techniques.
PMID- 26555324
TI - Simulation of the enhancement factor from an individual 3D hemisphere-on-post
field emitter by using finite elements method.
AB - This paper presents a 3D computational framework for evaluating electrostatic
properties of a single field emitter characterized by the hemisphere-on-post
geometry. Numerical simulations employed the finite elements method by using
Ansys-Maxwell software. Extensive parametric simulations were focused on the
threshold distance from which the emitter field enhancement factor (gamma)
becomes independent from the anode-substrate gap (G). This investigation allowed
demonstrating that the ratio between G and the emitter height (h) is a reliable
reference for a broad range of emitter dimensions; furthermore, results permitted
establishing G/h >= 2.2 as the threshold condition for setting the anode without
affecting gamma.
PMID- 26555325
TI - Theoretical estimates of spherical and chromatic aberration in photoemission
electron microscopy.
AB - We present theoretical estimates of the mean coefficients of spherical and
chromatic aberration for low energy photoemission electron microscopy (PEEM).
Using simple analytic models, we find that the aberration coefficients depend
primarily on the difference between the photon energy and the photoemission
threshold, as expected. However, the shape of the photoelectron spectral
distribution impacts the coefficients by up to 30%. These estimates should allow
more precise correction of aberration in PEEM in experimental situations where
the aberration coefficients and precise electron energy distribution cannot be
readily measured.
PMID- 26555326
TI - Weight Loss, The Obesity Paradox in Rheumatoid Arthritis: Is It a Paradox?
Comment on the Article by Baker et al.
PMID- 26555327
TI - Going the Distance: Colorectal Cancer Screening in Women.
PMID- 26555328
TI - Primitive Spindle Cell Neoplasm of Ileum with Extensive Heterotopic Cartilage,
Presenting as Acute Abdomen in a 6-Day-Old Neonate.
AB - Neonatal intestinal masses with spindle cell morphology have broad differential
diagnoses and require a multidisciplinary approach to make the final diagnosis.
Spindle cell masses with heterotopic cartilage in the gastrointestinal tract are
very rare, and, to our knowledge, have not previously been reported in the
neonate. Here we present a case of intestinal primitive spindle cell neoplasm
with extensive heterotopic cartilage that manifested initially as acute abdomen
in a 6-day-old term infant. Plain radiography demonstrated pneumoperitoneum,
prompting diagnostic laparotomy that identified a perforated mass involving the
midileum. Histologic and immunohistochemical examination demonstrated an
infiltrative spindle cell tumor most compatible with infantile fibrosarcoma (IFS)
by a process of exclusion, with nodules of mature heterotopic cartilage.
Additional staging studies did not reveal any evidence of residual or metastatic
disease. Recognition of this rare variant of IFS will aid in differentiation from
other neonatal intestinal mesenchymal tumors.
PMID- 26555329
TI - Effects of Ranolazine on Angina and Quality of Life After Percutaneous Coronary
Intervention With Incomplete Revascularization: Results From the Ranolazine for
Incomplete Vessel Revascularization (RIVER-PCI) Trial.
AB - BACKGROUND: Angina often persists or returns in populations following
percutaneous coronary intervention (PCI). We hypothesized that ranolazine would
be effective in reducing angina and improving quality of life (QOL) in incomplete
revascularization (ICR) post-PCI patients. METHODS AND RESULTS: In RIVER-PCI,
2604 patients with a history of chronic angina who had ICR post-PCI were
randomized 1:1 to oral ranolazine versus placebo; QOL analyses included 2389
randomized subjects. Angina and QOL questionnaires were collected at baseline and
months 1, 6, and 12. Ranolazine patients were more likely than placebo to
discontinue study drug by month 6 (20.4% versus 14.1%, P<0.001) and 12 (27.2%
versus 21.3%, P<0.001). Following qualifying index PCI, the primary QOL outcome
(Seattle Angina Questionnaire [SAQ] angina frequency score) improved markedly,
but similarly, in the ranolazine and placebo groups, respectively, from baseline
(67.3+/-24.5 versus 69.7+/-24.0, P=0.01) to month 1 (86.6+/-18.1 versus 85.8+/
18.5, P=0.27) and month 12 (88.4+/-17.8 versus 88.5+/-17.8, P=0.94). SAQ angina
frequency repeated measures did not differ in adjusted analysis between groups
post baseline (mean difference 1.0; 95% CI -0.2, 2.2; P=0.11). Improvement in SAQ
angina frequency was observed with ranolazine at month 6 among diabetics (mean
difference 3.3; 95% CI 0.6, 6.1; P=0.02) and those with more angina (baseline SAQ
angina frequency <=60; mean difference 3.4; 95% CI 0.6, 6.2; P=0.02), but was not
maintained at month 12. CONCLUSIONS: Despite ICR following PCI, there was no
incremental benefit in angina or QOL measures by adding ranolazine in this
angiographically-identified population. These measures markedly improved within 1
month of PCI and persisted up to 1 year in both treatment arms. CLINICAL TRIAL
REGISTRATION: URL: http://www.clinicaltrials.gov. Unique identifier: NCT01442038.
PMID- 26555330
TI - Comparing individual and group intervention for psychological adjustment in
people with multiple sclerosis: a feasibility randomised controlled trial.
AB - OBJECTIVE: To modify a published group intervention for adjustment to multiple
sclerosis (MS) to suit an individual format, and to assess the feasibility of a
randomised controlled trial (RCT) to compare individual and group intervention
for people with multiple sclerosis and low mood. DESIGN: Feasibility randomised
controlled trial. SETTING: Participants were recruited through healthcare
professionals at a hospital-based multiple sclerosis service and the MS Society.
SUBJECTS: People with multiple sclerosis. INTERVENTIONS: Adjustment to multiple
sclerosis in individual or group delivery format. MAIN MEASURES: Participants
completed mood and quality of life assessments at baseline and at four-month
follow-up. Measures of feasibility included: recruitment rate, acceptability of
randomisation and the intervention (content and format), and whether the
intervention could be adapted for individual delivery. Participants were screened
for inclusion using the General Health Questionnaire-12 and Hospital Anxiety and
Depression Scale, and were randomly allocated to receive either individual or
group intervention, with the same content. RESULTS: Twenty-one participants were
recruited (mean age 48.5 years, SD 10.5) and were randomly allocated to
individual (n=11) or group (n=10) intervention. Of those offered individual
treatment, nine (82%) completed all six sessions. Of those allocated to group
intervention, two (20%) attended all six sessions and three (30%) attended five
sessions. There were no statistically significant differences between the groups
on the outcome measures of mood and quality of life. CONCLUSIONS: The
intervention could be provided on an individual basis and the trial design was
feasible. There were lower attendance rates at group sessions compared to
individual sessions.
PMID- 26555331
TI - Correction: Projecting the Hydrologic Impacts of Climate Change on Montane
Wetlands.
PMID- 26555332
TI - Association between catechol-O-methyltransferase (COMT) Val/Met genotype and
smoking cessation treatment with nicotine: a meta-analysis.
AB - AIM: Catechol-O-methyltransferase (COMT) is one of the major degradative pathways
of dopamine and COMT Val/Met polymorphisms are associated with the enzyme
activity, which is related to dopamine involvement in the nicotine addiction
process. However, the reported results of several genetic studies are not
consistent. MATERIALS & METHODS: We reviewed the smoking cessation outcomes among
previously reported studies by comparing COMT polymorphism. A total of five
studies were assessed in the present meta-analysis and the Met/Met, Val/Met or
Val/Val genotype were compared with respect to smoking cessation outcomes.
RESULTS: As the results, any significant association between COMT polymorphism
and smoking cessation were not observed. In the subgroup analysis for evaluating
the association between COMT polymorphism and smoking cessation therapy, three
studies were assessed by comparing two groups (Met/Met vs Val/Met plus Val/Val).
A significant association between COMT polymorphism and smoking cessation was
observed (odds ratio: 1.871 and 95% CI: 1.382-2.534). CONCLUSION: The COMT
polymorphisms are associated with the outcomes following smoking cessation
treatment with nicotine.
PMID- 26555333
TI - Hypertrophic cardiomyopathy in Donohue syndrome.
AB - We report the case of a patient with Donohue syndrome who died of heart failure
due to obstructive hypertrophic cardiomyopathy. A literature survey revealed that
hypertrophic cardiomyopathy was present in 30% of these patients and was often
fatal. Therefore, every patient with Donohue syndrome should be screened for
hypertrophic cardiomyopathy.
PMID- 26555334
TI - Incremental Value of Live/Real Time Three-Dimensional over Two-Dimensional
Transesophageal Echocardiography in the Assessment of Atrial Septal Pouch.
AB - An atrial septal pouch (ASP) results from partial fusion of the septum primum and
the septum secundum, and depending on the site of fusion, the pouch can be left
sided (LASP) or right-sided (RASP). LASPs have been described in association with
thrombi found in patients admitted with acute strokes, raising awareness of its
potential cardioembolic role, especially in those with no other clearly
identifiable embolic source. We retrospectively studied 39 patients in whom the
presence of an ASP had been identified by three-dimensional transesophageal
echocardiography (3DTEE) and who had a two-dimensional transesophageal
echocardiogram (2DTEE) performed during the same clinical encounter. The
incremental value provided by 3DTEE over 2DTEE included the detection of six ASPs
not found by 2DTEE; the detection of two ASPs in the same subject (in four
patients) not identified by 2DTEE; larger ASP measurements of length and height
in over 80% of the cases; and measurement of the ASP width (elevational axis) for
the calculation of the area of the ASP opening, because of its unique capability
to view the pouch en face. In addition, the volume of ASP and of the echogenic
masses contained in the ASP (four of 39 patients) could be calculated by 3DTEE,
which is a superior parameter of size characterization when compared to
individual dimensions. One of these patients who presented with ischemic stroke
diagnosed by magnetic resonance imaging had a large (>2 cm) mass in a LASP, with
echolucencies similar to those seen in thrombi and associated with clot lysis and
resolution. This mass completely disappeared on anticoagulant therapy lending
credence that it was most likely a thrombus. There was no history of stroke or
any other type of embolic event in the other three patients with masses in ASP.
In conclusion, this retrospective study highlights the incremental value of 3DTEE
over 2DTEE in the comprehensive assessment and characterization of ASPs, which
can aid in the clarification of their role in cryptogenic stroke patients.
PMID- 26555335
TI - Single Molecule Fluorescence Microscopy on Planar Supported Bilayers.
AB - In the course of a single decade single molecule microscopy has changed from
being a secluded domain shared merely by physicists with a strong background in
optics and laser physics to a discipline that is now enjoying vivid attention by
life-scientists of all venues (1). This is because single molecule imaging has
the unique potential to reveal protein behavior in situ in living cells and
uncover cellular organization with unprecedented resolution below the diffraction
limit of visible light (2). Glass-supported planar lipid bilayers (SLBs) are a
powerful tool to bring cells otherwise growing in suspension in close enough
proximity to the glass slide so that they can be readily imaged in noise-reduced
Total Internal Reflection illumination mode (3,4). They are very useful to study
the protein dynamics in plasma membrane-associated events as diverse as cell-cell
contact formation, endocytosis, exocytosis and immune recognition. Simple
procedures are presented how to generate highly mobile protein-functionalized
SLBs in a reproducible manner, how to determine protein mobility within and how
to measure protein densities with the use of single molecule detection. It is
shown how to construct a cost-efficient single molecule microscopy system with
TIRF illumination capabilities and how to operate it in the experiment.
PMID- 26555336
TI - Orchid Species Richness along Elevational and Environmental Gradients in Yunnan,
China.
AB - The family Orchidaceae is not only one of the most diverse families of flowering
plants, but also one of the most endangered plant taxa. Therefore, understanding
how its species richness varies along geographical and environmental gradients is
essential for conservation efforts. However, such knowledge is rarely available,
especially on a large scale. We used a database extracted from herbarium records
to investigate the relationships between orchid species richness and elevation,
and to examine how elevational diversity in Yunnan Province, China, might be
explained by mid-domain effect (MDE), species-area relationship (SAR), water
energy dynamics (WED), Rapoport's Rule, and climatic variables. This particular
location was selected because it is one of the primary centers of distribution
for orchids. We recorded 691 species that span 127 genera and account for 88.59%
of all confirmed orchid species in Yunnan. Species richness, estimated at 200-m
intervals along a slope, was closely correlated with elevation, peaking at 1395
to 1723 m. The elevational pattern of orchid richness was considerably shaped by
MDE, SAR, WED, and climate. Among those four predictors, climate was the
strongest while MDE was the weakest for predicting the elevational pattern of
orchid richness. Species richness showed parabolic responses to mean annual
temperature (MAT) and mean annual precipitation (MAP), with maximum richness
values recorded at 13.7 to 17.7 degrees C for MAT and 1237 to 1414 mm for MAP.
Rapoport's Rule also helped to explain the elevational pattern of species
richness in Yunnan, but those influences were not entirely uniform across all
methods. These results suggested that the elevational pattern of orchid species
richness in Yunnan is collectively shaped by several mechanisms related to
geometric constraints, size of the land area, and environments. Because of the
dominant role of climate in determining orchid richness, our findings may
contribute to a better understanding of the potential effects of climate change
on orchid diversity, and the development of conservation strategies for orchids.
PMID- 26555337
TI - Response to "Critical Assessment of the Evidence for Striped Nanoparticles".
AB - Stirling et al., (10.1371/journal.pone.0108482) presented an analysis on some of
our publications on the formation of stripe-like domains on mixed-ligand coated
gold nanoparticles. The authors shed doubts on some of our results however no
valid argument is provided against what we have shown since our first
publication: scanning tunneling microscopy (STM) images of striped nanoparticles
show stripe-like domains that are independent of imaging parameters and in
particular of imaging speed. We have consistently ruled out the presence of
artifacts by comparing sets of images acquired at different tip speeds, finding
invariance of the stipe-like domains. Stirling and co-workers incorrectly
analyzed this key control, using a different microscope and imaging conditions
that do not compare to ours. We show here data proving that our approach is
rigorous. Furthermore, we never solely relied on image analysis to draw our
conclusions; we have always used the chemical nature of the particles to assess
the veracity of our images. Stirling et al. do not provide any justification for
the spacing of the features that we find on nanoparticles: ~1 nm for mixed ligand
particles and ~ 0.5 nm for homoligand particles. Hence our two central arguments
remain unmodified: independence from imaging parameters and dependence on ligand
shell chemical composition. The paper report observations on our STM images; none
is a sufficient condition to prove that our images are artifacts. We thoroughly
addressed issues related to STM artifacts throughout our microscopy work.
Stirling et al. provide guidelines for what they consider good STM images of
nanoparticles, such images are indeed present in our literature. They conclude
that the evidences we provided to date are insufficient, this is a departure from
one of the authors' previous article which concluded that our images were
composed of artifacts. Given that four independent laboratories have reproduced
our measurements and that no scientifically rigorous argument is presented to
invalidate our STM images, and also given that Stirling et al. do not contest the
quality of our recent STM images, we re-affirm that specific binary mixture of
ligands spontaneously form features in their ligand shell that we describe as
stripe-like domains ~1 nm in width.
PMID- 26555338
TI - Chronic Obstructive Pulmonary Disease-Related Non-Small-Cell Lung Cancer Exhibits
a Low Prevalence of EGFR and ALK Driver Mutations.
AB - Lung cancer and chronic obstructive pulmonary disease (COPD) are two major lung
diseases. Epidermal growth factor receptor (EGFR) mutations, v-Ki-ras2 Kirsten
rat sarcoma (KRAS) mutations and anaplastic lymphoma kinase (ALK) gene
rearrangements represent driver mutations that are frequently assessed on initial
evaluation of non-small-cell lung cancer (NSCLC). The present study focused on
the expression of driver mutations in NSCLC patients presenting with COPD and
further evaluated the association between NSCLC and COPD. Data from 501
consecutive patients with histologically proven recurrent or metastatic NSCLC
were analyzed retrospectively. The patients underwent spirometry and genotyping
of EGFR, ALK, and KRAS in tissue samples. Patient characteristics and expression
of driver mutations were compared between the COPD and non-COPD groups. Among 350
patients with spirometric results, 106 (30.3%) were diagnosed with COPD, 108
(30.9%) had EGFR mutations, 31 (8.9%) had KRAS mutations, and 34 (9.7%) showed
ALK rearrangements. COPD was independently associated with lower prevalences of
EGFR mutations (95% confidence interval [CI], 0.254-0.931, p = 0.029) and ALK
rearrangements (95% CI, 0.065-0.600, p = 0.004). The proportions of EGFR
mutations and ALK rearrangements decreased as the severity of airflow obstruction
increased (p = 0.001). In never smokers, the prevalence of EGFR mutations was
significantly lower in the COPD group than in the non-COPD group (12.7% vs.
49.0%, p = 0.002). COPD-related NSCLC patients exhibited low prevalences of EGFR
mutations and ALK rearrangements compared with the non-COPD group. Further
studies are required regarding the molecular mechanisms underlying lung cancer
associated with COPD.
PMID- 26555339
TI - Progression of Alport Kidney Disease in Col4a3 Knock Out Mice Is Independent of
Sex or Macrophage Depletion by Clodronate Treatment.
AB - Alport syndrome is a genetic disease of collagen IV (alpha3, 4, 5) resulting in
renal failure. This study was designed to investigate sex-phenotype correlations
and evaluate the contribution of macrophage infiltration to disease progression
using Col4a3 knock out (Col4a3KO) mice, an established genetic model of autosomal
recessive Alport syndrome. No sex differences in the evolution of body mass loss,
renal pathology, biomarkers of tubular damage KIM-1 and NGAL, or deterioration of
kidney function were observed during the life span of Col4a3KO mice. These
findings confirm that, similar to human autosomal recessive Alport syndrome,
female and male Col4a3KO mice develop renal failure at the same age and with
similar severity. The specific contribution of macrophage infiltration to Alport
disease, one of the prominent features of the disease in human and Col4a3KO mice,
remains unknown. This study shows that depletion of kidney macrophages in
Col4a3KO male mice by administration of clodronate liposomes, prior to clinical
onset of disease and throughout the study period, does not protect the mice from
renal failure and interstitial fibrosis, nor delay disease progression. These
results suggest that therapy targeting macrophage recruitment to kidney is
unlikely to be effective as treatment of Alport syndrome.
PMID- 26555340
TI - A Metal-Organic Framework/DNA Hybrid System as a Novel Fluorescent Biosensor for
Mercury(II) Ion Detection.
AB - Mercury(II) ions have emerged as a widespread environmental hazard in recent
decades. Despite different kinds of detection methods reported to sense Hg(2+) ,
it still remains a challenging task to develop new sensing molecules to replenish
the fluorescence-based apparatus for Hg(2+) detection. This communication
demonstrates a novel fluorescent sensor using UiO-66-NH2 and a T-rich FAM-labeled
ssDNA as a hybrid system to detect Hg(2+) sensitively and selectively. To the
best of our knowledge, it has rarely been reported that a MOF is utilized as the
biosensing platform for Hg(2+) assay.
PMID- 26555342
TI - Abstracts from the Joint Meeting of the Federation of European Physiological
Societies and the Baltic Physiological Societies in Kaunas, Lithuania, 26-29
August 2015.
PMID- 26555343
TI - Analysis of JmjC Demethylase-Catalyzed Demethylation Using Geometrically
Constrained Lysine Analogues.
AB - The dynamic post-translational modifications of histones play important roles in
the regulation of transcription in animals. The demethylation of N(epsilon)
methyl lysine residues in the N-terminal tail of histone H3 is catalyzed by
demethylases, of which the largest family is the ferrous iron and 2-oxoglutarate
dependent demethylases (JmjC KDMs), which catalyze demethylation via initial
hydroxylation of the N-methyl groups. We report studies on the conformational
requirements of the JmjC KDM substrates using N-methylated lysine analogues
prepared by metathesis reactions of suitably protected N-allylglycine. The
results support the proposed requirement for a positively charged N(epsilon)
amino group in JmjC KDM catalysis. Demethylation of a trans-C-4/C-5 dehydrolysine
substrate analogue was observed with representative KDM4 subfamily members KDM4A,
KDM4B and KDM4E, and KDM7B, which are predicted, based on crystallographic
analyses, to bind the N(epsilon)-methylated lysine residue in different
conformations during catalysis. This information may be useful in the design of
JmjC KDM selective inhibitors.
PMID- 26555344
TI - Palladium-Catalyzed Synthesis of Delta(2)-Isoxazoline from Toluene Derivatives
Enabled by the Triple Role of Silver Nitrate.
AB - A palladium-catalyzed direct synthesis of Delta(2)-isoxazoline from toluene
derivatives has been established. The present reaction proceeds through
nondirected Csp(3)-H activation, benzylic nitration, dehydration, and
cycloaddition. This protocol also features the unusual triple role of silver
nitrate in a one-pot reaction.
PMID- 26555341
TI - Working Memory, Reasoning, and Task Switching Training: Transfer Effects,
Limitations, and Great Expectations?
AB - Although some studies have shown that cognitive training can produce improvements
to untrained cognitive domains (far transfer), many others fail to show these
effects, especially when it comes to improving fluid intelligence. The current
study was designed to overcome several limitations of previous training studies
by incorporating training expectancy assessments, an active control group, and
"Mind Frontiers," a video game-based mobile program comprised of six adaptive,
cognitively demanding training tasks that have been found to lead to increased
scores in fluid intelligence (Gf) tests. We hypothesize that such integrated
training may lead to broad improvements in cognitive abilities by targeting
aspects of working memory, executive function, reasoning, and problem solving.
Ninety participants completed 20 hour-and-a-half long training sessions over four
to five weeks, 45 of whom played Mind Frontiers and 45 of whom completed visual
search and change detection tasks (active control). After training, the Mind
Frontiers group improved in working memory n-back tests, a composite measure of
perceptual speed, and a composite measure of reaction time in reasoning tests. No
training-related improvements were found in reasoning accuracy or other working
memory tests, nor in composite measures of episodic memory, selective attention,
divided attention, and multi-tasking. Perceived self-improvement in the tested
abilities did not differ between groups. A general expectancy difference in
problem-solving was observed between groups, but this perceived benefit did not
correlate with training-related improvement. In summary, although these findings
provide modest evidence regarding the efficacy of an integrated cognitive
training program, more research is needed to determine the utility of Mind
Frontiers as a cognitive training tool.
PMID- 26555345
TI - Thiol/disulfide homeostasis in patients with idiopathic recurrent pregnancy loss
assessed by a novel assay: Report of a preliminary study.
AB - AIM: To evaluate the relationship between idiopathic recurrent pregnancy loss
(RPL) and oxidative stress (OS) by means of thiol/disulfide homeostasis via a
novel technique. METHODS: Thirty-nine pregnant women diagnosed with idiopathic
RPL were compared with 50 healthy pregnant women without a history of abortion.
Idiopathic RPL was defined as experiencing two or more consecutive miscarriages
prior to 20 weeks of gestation with the presence of normal karyotypes of couple
and/or abortus materials, negative maternal screening for anticardiolipin, anti
beta 2 glycoprotein antibodies and lupus anticoagulant, normal thyroid
stimulating hormone, prolactin and hemoglobin A1C levels and normal pelvic
sonography and/or hysterosalpingography. A new and fully automated method was
used to measure plasma native thiol, total thiol and disulfide levels, based on
the reduction of dynamic disulfide bonds to functional thiol groups by sodium
borohydrate. RESULTS: Women with idiopathic RPL had significantly lower plasma
levels of native thiol (341.89 +/- 50.0 MUmol/L vs. 390.84 +/- 38.5 MUmol/L, P <
0.001) and total thiol (386.18 +/- 51.7 MUmol/L vs. 435.78 +/- 42.3 MUmol/L, P <
0.001). Disulfide/thiol and disulfide/total thiol ratios were significantly
higher in the study group. The native thiol/total thiol ratio was significantly
lower in patients with idiopathic RPL. No difference was measured in disulfide,
albumin and total protein plasma levels. CONCLUSIONS: The main outcome of our
study indicates a relation between idiopathic RPL and OS. More importantly, the
new method used in our study proposes a promising, practical and daily applicable
test for evaluating patients with idiopathic RPL.
PMID- 26555347
TI - Internet-delivered cognitive-behaviour therapy for recent cancer survivors: a
feasibility trial.
PMID- 26555346
TI - Beyond Measurement and Reward: Methods of Motivating Quality Improvement and
Accountability.
AB - OBJECTIVE: The article examines public policies designed to improve quality and
accountability that do not rely on financial incentives and public reporting of
provider performance. PRINCIPAL FINDINGS: Payment policy should help temper the
current "more is better" attitude of physicians and provider organizations.
Incentive neutrality would better support health professionals' intrinsic
motivation to act in their patients' best interests to improve overall quality
than would pay-for-performance plans targeted to specific areas of clinical care.
Public policy can support clinicians' intrinsic motivation through approaches
that support systematic feedback to clinicians and provide concrete opportunities
to collaborate to improve care. Some programs administered by the Centers for
Medicare & Medicaid Services, including Partnership for Patients and Conditions
of Participation, deserve more attention; they represent available, but largely
ignored, approaches to support providers to improve quality and protect
beneficiaries against substandard care. CONCLUSIONS: Public policies related to
quality improvement should focus more on methods of enhancing professional
intrinsic motivation, while recognizing the potential role of organizations to
actively promote and facilitate that motivation. Actually achieving improvement,
however, will require a reexamination of the role played by financial incentives
embedded in payments and the unrealistic expectations placed on marginal
incentives in pay-for-performance schemes.
PMID- 26555348
TI - Safety and immunogenicity of high-dose trivalent inactivated influenza vaccine in
adults 50-64 years of age.
AB - BACKGROUND: Individuals 50-64 years of age have reduced immune responses to
influenza vaccines. The current study examined whether a high-dose inactivated
trivalent influenza vaccine (IIV3-HD) might improve immune responses over a
standard-dose inactivated influenza vaccine (IIV3-SD) in this age group. METHODS:
This was a multicenter, observer-blinded, randomized, active-controlled phase II
trial. Adults 50-64 years of age were randomized 1:1 to receive IIV3-HD or IIV3
SD. Hemagglutination inhibition titers were measured before and 28 days after
vaccination. Reactogenicity was recorded for 7 days after vaccination and adverse
events for 28 days. RESULTS: 148 participants received IIV3-HD and 152 received
IIV3-SD. For all vaccine strains, day 28 geometric mean hemagglutination
inhibition titers were significantly higher in the IIV3-HD group than in the IIV3
SD group (geometric mean titer ratio [95% confidence interval (CI)]=1.43 [1.04
1.97] for A/H1N1, 1.65 [1.21-2.25] for A/H3N2, and 1.60 [1.23-2.08] for B).
Seroconversion rates were significantly higher in the IIV3-HD group than in the
IIV3-SD group for strains A/H3N2 and B but not A/H1N1 (difference [95% CI]=13.5%
[4.76-22.0] for A/H3N2, 23.1% [11.7-33.6] for B, and -0.2% [-9.66 to 9.18] for
A/H1N1). The post-vaccination seroprotection rate was significantly higher in the
IIV3-HD group than in the IIV3-SD group for strain B but not for strains A/H1N1
or A/H3N2 (difference=9.1% [2.95-15.7] for B, 2.0% [-0.907 to 5.68] for A/H1N1,
and 0.6% [-3.14 to 4.43] for A/H3N2). Reactogenicity was higher in the IIV3-HD
group than in the IIV3-SD group, but reactions were mostly of low intensity,
transient, and self-limited. Rates of unsolicited adverse events were similar
between groups. No serious AEs, AEs leading to early withdrawal, or deaths were
reported. CONCLUSIONS: The study suggests that in adults 50-64 years of age, IIV3
HD may improve immunogenicity compared to IIV3-SD while maintaining an acceptable
safety profile.
PMID- 26555349
TI - Getting the most from the Ebola vaccine success.
PMID- 26555350
TI - Public opinion on childhood immunisations in Iceland.
AB - INTRODUCTION: In recent years, vaccine preventable diseases such as measles and
pertussis have been re-emerging in Western countries, maybe because of decreasing
participation in childhood vaccination programs in some countries. There is clear
evidence for vaccine efficacy and the risk of adverse effects is low. This needs
to be communicated to the general public. The aim of the study was to evaluate
the public opinion on childhood vaccinations in Iceland. MATERIALS AND METHODS:
An internet based study was used to evaluate the opinion on childhood
immunisations in Iceland. The cohort was divided in three groups: (a) general
public (b) employees of the University Hospital Iceland and (c) employees
(teachers and staff) of the University of Iceland. The cohorts could be
stratified according to age, gender, education, household income, parenthood and
residency. RESULTS: Responses were received from 5584 individuals (53% response
rate). When asked about childhood vaccinations in the first and second year of
life, approximately 95% of participants were "positive" or "very positive",
approximately 1% were "negative" or "very negative". When participants were asked
whether they would have their child immunized according to the Icelandic
childhood vaccination schedule, 96% were "positive" or "very positive", 1.2% were
"negative" or "very negative". Similarly, 92% trust Icelandic Health authorities
to decide on childhood vaccination schedule, 2.3% did not. In total, 9.3%
"rather" or "strongly" agreed to the statement "I fear that vaccinations can
cause severe adverse effects", 17.5% were undecided and 66.9% "disagreed" or
"strongly disagreed". Individuals with higher education were more likely to
disagree with this statement (OR=1.45, CI95=1.29-1.64, p<0.001) as did males
(OR=1.22, CI95=1.087-1.379, p=0.001). CONCLUSION: This study shows a very
positive attitude towards vaccinations raising expectations for an ongoing
success in preventing preventable communicable diseases in childhood in Iceland.
PMID- 26555351
TI - A novel lipid nanoparticle adjuvant significantly enhances B cell and T cell
responses to sub-unit vaccine antigens.
AB - Sub-unit vaccines are primarily designed to include antigens required to elicit
protective immune responses and to be safer than whole-inactivated or live
attenuated vaccines. But their purity and inability to self-adjuvant often result
in weaker immunogenicity. Emerging evidence suggests that bio-engineered
nanoparticles can be used as immunomodulatory adjuvants. Therefore, in this study
we explored the potential of novel Merck-proprietary lipid nanoparticle (LNP)
formulations to enhance immune responses to sub-unit viral antigens. Immunization
of BALB/c and C57BL/6 mice revealed that LNPs alone or in combination with a
synthetic TLR9 agonist, immune-modulatory oligonucleotides, IMO-2125 (IMO),
significantly enhanced immune responses to hepatitis B virus surface antigen
(HBsAg) and ovalbumin (OVA). LNPs enhanced total B-cell responses to both
antigens tested, to levels comparable to known vaccine adjuvants including
aluminum based adjuvant, IMO alone and a TLR4 agonist, 3-O-deactytaled
monophosphoryl lipid A (MPL). Investigation of the quality of B-cell responses
demonstrated that the combination of LNP with IMO agonist elicited a stronger Th1
type response (based on the IgG2a:IgG1 ratio) than levels achieved with IMO
alone. Furthermore, the LNP adjuvant significantly enhanced antigen specific cell
mediated immune responses. In ELISPOT assays, depletion of specific subsets of T
cells revealed that the LNPs elicited potent antigen-specific CD4(+) and CD8(+)T
cell responses. Intracellular FACS analyses revealed that LNP and LNP+IMO
formulated antigens led to higher frequency of antigen-specific
IFNgamma(+)TNFalpha(+)IL-2(+), multi-functional CD8(+)T cell responses, than
unadjuvanted vaccine or vaccine with IMO only. Overall, our results demonstrate
that lipid nanoparticles can serve as future sub-unit vaccine adjuvants to boost
both B-cell and T-cell responses in vivo, and that addition of IMO can be used to
manipulate the quality of immune responses.
PMID- 26555352
TI - Baseline serum interleukin-6 to interleukin-2 ratio is associated with the
response to seasonal trivalent influenza vaccine in solid organ transplant
recipients.
AB - BACKGROUND: The analysis of pre- and post-vaccination B-cell-associated cytokines
might be useful in predicting the immunogenicity of seasonal trivalent influenza
vaccine (TIV) in solid organ transplant (SOT) recipients. METHODS: We performed a
subanalysis of a clinical trial that compared the safety and efficacy of high
dose intradermal (ID) versus intramuscular (IM) TIV in SOT recipients. Serum
levels of selected cytokines (interferon [IFN]-gamma, interleukin [IL]-2, IL-4,
IL-5, IL-6, IL-12 and IL-21, and tumor necrosis factor [TNF]-alpha) were measured
pre- and one month post-vaccination in 155 patients (with 84 and 71 receiving the
ID and IM vaccines, respectively). Cytokine profiles were compared according to
vaccine response (seroconversion [>=4-fold increase in hemagglutination
inhibition antibody titers] to >=1 influenza vaccine antigen). RESULTS: Mean
baseline IL-6 levels were higher (1.20 versus 0.65pg/mL; P-value=0.021) and IL-2
levels were lower (0.01 versus 0.50pg/mL; P-value=0.051) in patients achieving
vaccine response. After adjusting for clinical variables, baseline IL-6/IL-2
ratio remained predictive of vaccine response (odds ratio per 10-unit increment:
1.06; 95% confidence interval: 1.02-1.10; P-value=0.002). Vaccination induced an
increase in TNF-alpha (P-value <0.0001) and a decrease in IL-5 levels (P
value=0.0007). There were no significant differences in cytokine kinetics between
vaccine responders and non-responders. Mean baseline TNF-alpha levels were higher
in patients experiencing moderate-to-severe adverse events after vaccination
(1.93 versus 1.72pg/mL; P-value=0.009). CONCLUSIONS: Baseline serum IL-6 and IL-2
levels, two cytokines that modulate the role of CD4(+) T follicular helper cells
and the terminal differentiation of B-cells, predict vaccine response in SOT
recipients.
PMID- 26555353
TI - HIV testing uptake and retention in care of HIV-infected pregnant and
breastfeeding women initiated on 'Option B+' in rural Zimbabwe.
AB - OBJECTIVES: Zimbabwe has started to scale up Option B+ for the prevention of
mother-to-child transmission of HIV, but there is little published information
about uptake or retention in care. This study determined the number and
proportion of pregnant and lactating women in rural districts diagnosed with HIV
infection and started on Option B+ along with six-month antiretroviral treatment
(ART) outcomes. METHODS: This was a retrospective record review of women
presenting to antenatal care or maternal and child health services at 34 health
facilities in Chikomba and Gutu rural districts, Zimbabwe, between January and
March 2014. RESULTS: A total of 2728 women presented to care of whom 2598 were
eligible for HIV testing: 76% presented to antenatal care, 20% during labour and
delivery and 4% while breastfeeding. Of 2097 (81%) HIV-tested women, 7% were HIV
positive. Lower HIV testing uptake was found with increasing parity, late
presentation to antenatal care, health centre attendance and in women tested
during labour. Ninety-one per cent of the HIV-positive women were started on
Option B+. Six-month ART retention in care, including transfers, was 83%. Loss to
follow-up was the main cause of attrition. Increasing age and gravida status >=2
were associated with higher six-month attrition. CONCLUSION: The uptake of HIV
testing and Option B+ is high in women attending antenatal and post-natal clinics
in rural Zimbabwe, suggesting that the strategy is feasible for national scale-up
in the country.
PMID- 26555355
TI - The Challenges of Precision Oncology Drug Development and Implementation.
AB - The drivers of precision medicine are clear: for patients (and physicians)--more
options, durable clinical benefit, reduced exposure to non-effective drugs and
potential to leverage current scientific and technological advances; for the
pharmaceutical industry--the potential to tackle core challenges in discovering
and developing better and more efficacious medicines, to reduce rates of
attrition in drug development and to reduce development costs; for healthcare
systems and payers--improved efficiency through the provision of effective care
and avoiding ineffective treatments. Oncology has been at the vanguard, the
improvements gained in patient survival notable. However, the increasing number
of molecular subgroups requires an equally increasing number (and new generation)
of highly selective agents targeting inevitably lower incidence molecular
segments. Innovative trial designs (umbrella/basket studies) are emerging as a
patient-centric approach to drug development, and the rise in public-private
partnerships, cross-industry, government and non-profit sector collaborations is
enabling implementation of complex clinical trial designs. This poses significant
challenges for healthcare systems and regulatory approval. Further substantial
evolution of policy and processes, particularly regulatory requirements for
approval for new therapeutics, are required.
PMID- 26555354
TI - Hippocampus Contributions to Food Intake Control: Mnemonic, Neuroanatomical, and
Endocrine Mechanisms.
AB - Food intake is a complex behavior that can occur or cease to occur for a
multitude of reasons. Decisions about where, when, what, and how much to eat are
not merely reflexive responses to food-relevant stimuli or to changes in energy
status. Rather, feeding behavior is modulated by various contextual factors and
by previous experiences. The data reviewed here support the perspective that
neurons in multiple hippocampal subregions constitute an important neural
substrate linking the external context, the internal context, and mnemonic and
cognitive information to control both appetitive and ingestive behavior. Feeding
behavior is heavily influenced by hippocampal-dependent mnemonic functions,
including episodic meal-related memories and conditional learned associations
between food-related stimuli and postingestive consequences. These mnemonic
processes are undoubtedly influenced by both external and internal factors
relating to food availability, location, and physiological energy status. The
afferent and efferent neuroanatomical connectivity of the subregions of the
hippocampus is reviewed with regard to the integration of visuospatial and
olfactory sensory information (the external context) with endocrine and
gastrointestinal interoceptive stimuli (the internal context). Also discussed are
recent findings demonstrating that peripherally derived endocrine signals act on
receptors in hippocampal neurons to reduce (leptin, glucagon-like peptide-1) or
increase (ghrelin) food intake and learned food reward-driven responding, thereby
highlighting endocrine and neuropeptidergic signaling in hippocampal neurons as a
novel substrate of importance in the higher-order regulation of feeding behavior.
PMID- 26555356
TI - Foot Length, Chest Circumference, and Mid Upper Arm Circumference Are Good
Predictors of Low Birth Weight and Prematurity in Ethnic Minority Newborns in
Vietnam: A Hospital-Based Observational Study.
AB - BACKGROUND: The evaluation of tools to accurately identify low birth weight (LBW)
and/or premature newborns in resource-limited countries is a research priority.
We explored the use of foot length, chest circumference, and mid-upper arm
circumference (MUAC) measured within 24 h as diagnostic tools for identifying
newborns who are LBW, premature, or both; and compared measurements taken at
birth with those taken at five days of age. MATERIALS AND METHODS: An
observational study was undertaken in Hoa Binh Province General Hospital,
Vietnam, in ethnic minority newborns. Birth weight, foot length, chest
circumference, and MUAC were measured within 24 h of birth and in a subset of
200, were repeated on day five of life. Gestational age was estimated using the
New Ballard Score. Receiver Operating Characteristic curves and optimal cut
points (the point with the highest sensitivity and specificity where the
sensitivity was at least 0.8) were calculated, for predicting prematurity, LBW,
and both. Measurements within 24 h and at five days of life were compared.
RESULTS: 485 newborns were recruited. Chest circumference and MUAC measured
within 24 h of birth were found to be highly predictive of LBW (both yielding
area under the curve [AUC] of 0.98, 95% confidence interval [CI] 0.96-0.99), and
performed marginally better than foot length (AUC 0.94, 95%CI 0.92-0.96). The
optimal cut-points for measurements within 24 h of birth were <= 7.4 cm for foot
length; <= 30.4 cm for chest circumference; and <= 9.0 cm for MUAC. There was
statistical evidence that anthropometric measurements taken within 24 h of birth
were higher than measurements on day five (p<0.02 for all anthropometric
measurements) but the magnitude of these differences was small (at most 2mm).
CONCLUSIONS: All measurements taken within 24 h of birth were good predictors of
LBW, prematurity and both. Differences in measurements taken within 24 h and on
day five were not clinically relevant. Further research will ensure that the
application of these measures is reliable in community settings.
PMID- 26555357
TI - Microwave-assisted solid-phase synthesis of side-chain to side-chain lactam
bridge cyclic peptides.
AB - Side-chain to side-chain lactam-bridged cyclic peptides have been utilized as
therapeutic agents and biochemical tools. Previous synthetic methods of these
peptides need special reaction conditions, form side products and take longer
reaction times. Herein, an efficient microwave-assisted synthesis of side-chain
to side-chain lactam-bridge cyclic peptides SHU9119 and MTII is reported. The
synthesis time and efforts are significantly reduced in the present method,
without side product formation. The analytical and pharmacological data of the
synthesized cyclic peptides are in accordance with the commercially obtained
compounds. This new method could be used to synthesize other side-chain to side
chain lactam-bridge peptides and amenable to automation and extensive SAR
compound derivatization.
PMID- 26555358
TI - IgM-enriched solution BT086 improves host defense capacity and energy store
preservation in a rabbit model of endotoxemia.
AB - INTRODUCTION: The therapeutic value of intravenous immunoglobulin (IVIG) as an
adjuvant therapy in sepsis remains debatable. We hypothesized that intravenous
administration of BT086, a predominantly IgM IVIG solution, would improve host
defense in an established rabbit model of endotoxemia and systemic sepsis.
METHODS: New Zealand white rabbits were randomized into the following four
groups: (1) the negative control group without lipopolysaccharide (LPS, control),
(2) the positive control group with LPS infusion (LPS group), (3) the albumin
treated LPS group (ALB+LPS group), and (4) the BT086-treated LPS group (BT086 +
LPS group). A standardized amount of E. coli was intravenously injected into all
of the animals. The vital parameters, the concentration of E. coli in the blood
and other organs, the residual granulocyte phagocytosis activity, and the levels
of the inflammatory mediators were measured. Histological changes in the lung and
liver tissue were examined following autopsy. RESULTS: The elimination of E. coli
from the bloodstream was expedited in the BT086-treated group compared with the
LPS- and albumin-treated groups. The BT086 + LPS group exhibited higher
phagocytic activity of polymorphonuclear neutrophils (PMNs) than the control and
ALB+LPS groups. The liver energy stores were higher in the BT086 + LPS group than
in the other groups. CONCLUSION: Our data suggest that the IgM-enriched IVIG has
the potential to improve host defense in a rabbit model of endotoxemia. Studies
using different animal models and dosages are necessary to further explore the
potential benefits of IgM-enriched IVIG solutions.
PMID- 26555359
TI - Horizontal Transmission of "Candidatus Liberibacter solanacearum" by Bactericera
cockerelli (Hemiptera: Triozidae) on Convolvulus and Ipomoea (Solanales:
Convolvulaceae).
AB - "Candidatus Liberibacter solanacearum" (Proteobacteria) is an important pathogen
of solanaceous crops (Solanales: Solanaceae) in North America and New Zealand,
and is the putative causal agent of zebra chip disease of potato. This phloem
limited pathogen is transmitted to potato and other solanaceous plants by the
potato psyllid, Bactericera cockerelli (Hemiptera: Triozidae). While some plants
in the Convolvulaceae (Solanales) are also known hosts for B. cockerelli,
previous efforts to detect Liberibacter in Convolvulaceae have been unsuccessful.
Moreover, studies to determine whether Liberibacter can be acquired from these
plants by B. cockerelli are lacking. The goal of this study was to determine
whether horizontal transmission of Liberibacter occurs among potato psyllids on
two species of Convolvulaceae, sweet potato (Ipomoea batatas) and field bindweed
(Convolvulus arvensis), which grows abundantly in potato growing regions of the
United States. Results indicated that uninfected psyllids acquired Liberibacter
from both I. batatas and C. arvensis if infected psyllids were present on plants
concurrently with the uninfected psyllids. Uninfected psyllids did not acquire
Liberibacter from plants if the infected psyllids were removed from the plants
before the uninfected psyllids were allowed access. In contrast with previous
reports, PCR did detect the presence of Liberibacter DNA in some plants. However,
visible amplicons were faint and did not correspond with acquisition of the
pathogen by uninfected psyllids. None of the plants exhibited disease symptoms.
Results indicate that horizontal transmission of Liberibacter among potato
psyllids can occur on Convolvulaceae, and that the association between
Liberibacter and Convolvulaceae merits additional attention.
PMID- 26555360
TI - Consumption and foraging behaviors for common stimulants (nicotine, caffeine).
AB - Models are needed to understand the emerging capability to track consumers'
movements. Therefore, we examined the use of legal and readily available
stimulants that vary in their addictive potential (nicotine, caffeine). One
hundred sixty-six participants answered the Kessler Psychological Distress Scale
(K10), the Severity of Dependence Scale for nicotine and caffeine, and reported
the number of times and locations stimulants were purchased and used. On average,
nicotine dependent individuals made their purchases from 2 locations, while
caffeine dependent individuals consumed caffeine at 2 locations, but some people
exhibited a greater range and intensity of use. Stimulant foraging behavior could
be described by power laws, and is exacerbated by dependency. The finding has
implications for attempts to control substance use.
PMID- 26555361
TI - Cysteine-Rich Peptide Family with Unusual Disulfide Connectivity from Jasminum
sambac.
AB - Cysteine-rich peptides (CRPs) are natural products with privileged peptidyl
structures that represent a potentially rich source of bioactive compounds. Here,
the discovery and characterization of a novel plant CRP family, jasmintides from
Jasminum sambac of the Oleaceae family, are described. Two 27-amino acid
jasmintides (jS1 and jS2) were identified at the gene and protein levels.
Disulfide bond mapping of jS1 by mass spectrometry and its confirmation by NMR
spectroscopy revealed disulfide bond connectivity of C-1-C-5, C-2-C-4, and C-3-C
6, a cystine motif that has not been reported in plant CRPs. Structural
determination showed that jS1 displays a well-defined structure framed by three
short antiparallel beta-sheets. Genomic analysis showed that jasmintides share a
three-domain precursor arrangement with a C-terminal mature domain preceded by a
long pro-domain of 46 residues and an intron cleavage site between the signal
sequence and pro-domain. The compact cysteine-rich structure together with an N
terminal pyroglutamic acid residue confers jasmintides high resistance to heat
and enzymatic degradation, including exopeptidase treatment. Collectively, these
results reveal a new plant CRP structure with an unusual cystine connectivity,
which could be useful as a scaffold for designing peptide drugs.
PMID- 26555362
TI - Total synthesis of ent-(+)-cinanthrenol A.
AB - The first total synthesis of ent-(+)-cinanthrenol A of potent estrogenic activity
was achieved with 10.9% overall yield in 13 steps from commercially available
materials. Our synthesis features a photo-promoted oxidative 6pi-electron
electrocyclization/aromatization for construction of the cyclopenta[a]phenanthren
17-one and Furukawa hydroxyl-directed cyclopropanation for the rare
spiro[2,4]heptane. The brevity of this synthetic strategy would allow an
expedited access to cinanthrenol A and its analogs for further biological
evaluation.
PMID- 26555364
TI - Non-monotonic temperature response of polymer mediated interactions.
AB - In a recent publication, Feng et al. [Feng et al., Nat. Mater., 2015, 14, 61]
reported a very interesting re-entrant solidification behaviour of colloidal
particles in an aqueous solution containing polyethylene oxide (PEO). In this
system, a crystalline colloidal phase, which is present at low temperatures,
melts to a homogeneous fluid upon increasing the temperature. Further raising the
temperature, however, eventually gives rise to a flocculated colloidal phase.
Feng et al. proposed that the low-temperature crystalline phase is caused by
polymer depletion while, at higher temperature, an increased attraction between
polymers and particles leads to bridging attractions, and colloidal flocculation.
The intermediate temperature regime sees the colloidal interactions dominated by
charge repulsion, giving rise to a fluid phase. In the model by Feng et al.,
polymers are treated as hard spheres, which interact with the colloids via a
phenomenological, temperature dependent potential. In this work, we develop a
more detailed polymer density functional treatment, based on a model for aqueous
PEO solutions that was originally developed by Karlstrom [Karlstrom, J. Phys.
Chem., 1985, 89, 4962] for bulk solutions. In this model, monomers are assumed to
be in either of two classes of states, labelled A and B, where B is more
solvophobic than A. On the other hand, the degeneracy of the B states exceed that
of A, causing the population of solvophobic monomers to increase with
temperature. If the colloidal particles are also solvophobic, then this model
displays the same qualitative temperature response as was observed by Feng et al.
That is, at low temperatures, A type monomers predominate and one observes
depletion interactions, whereas polymer bridging dominates at higher
temperatures, due to the attraction between B-type monomers and the colloidal
surface. Interestingly, the intermediate temperature regime is characterized by a
polymer mediated interaction between colloids which is repulsive. That is,
according to our model the homogeneous fluid phase would form even in the absence
of repulsive electrostatic interactions. We emphasize that our model does not
rely upon any temperature-dependent interactions. Furthermore, all possible
polymer configurations are accounted for, subject to a mean-field Boltzmann
weight. Finally, we show that interactions between colloids, mediated by neutral
non-grafted polymers, generally follow the trend: attraction => repulsion (or
vanishing interaction) => attraction as the surface affinity toward monomers
proceeds from repulsive to attractive.
PMID- 26555363
TI - Biomonitoring Heavy Metal Pollution Using an Aquatic Apex Predator, the American
Alligator, and Its Parasites.
AB - Monitoring the bioaccumulation of chemical elements within various organismal
tissues has become a useful tool to survey current or chronic levels of heavy
metal exposure within an environment. In this study, we compared the
bioaccumulations of As, Cd, Cu, Fe, Pb, Se, and Zn between the American
alligator, Alligator mississippiensis, and its parasites in order to establish
their use as bioindicators of heavy metal pollution. Concomitant with these
results, we were interested to determine if parasites were more sensitive
bioindicators of heavy metals relative to alligators. We found parasites
collectively accumulated higher levels of As, Cu, Se, and Zn in comparison to
their alligator hosts, whereas Fe, Cd, and Pb concentrations were higher in
alligators. Interestingly, Fe levels were significantly greater in intestinal
trematodes than their alligator hosts when analyzed independently from other
parasitic taxa. Further analyses showed alligator intestinal trematodes
concentrated As, Cu, Fe, Se, and Zn at significantly higher levels than
intestinal nematodes and parasites from other organs. However, pentastomids also
employed the role as a good biomagnifier of As. Interestingly, parasitic
abundance decreased as levels of As increased. Stomach and intestinal nematodes
were the poorest bioaccumulators of metals, yet stomach nematodes showed their
ability to concentrate Pb at orders of magnitude higher in comparison to other
parasites. Conclusively, we suggest that parasites, particularly intestinal
trematodes, are superior biomagnifiers of As, Cu, Se, and Zn, whereas alligators
are likely good biological indicators of Fe, Cd, and Pb levels within the
environment.
PMID- 26555365
TI - In-situ gamma-ray survey of rare-earth tailings dams--A case study in Baotou and
Bayan Obo Districts, China.
AB - An in-situ gamma-ray spectrometer survey with a scintillation detector of NaI(Tl)
(Phi75 mm * 75 mm) was carried out in the Baotou and Bayan Obo Districts in order
to estimate the levels of natural radionuclides near rare-earth (RE) tailings
dams. In the RE tailings dam of Baotou, the mean concentrations of (238)U and
(232)Th were 3.0 +/- 1.0 mg/kg (range: 1.9-4.6 mg/kg) and 321 +/- 31 mg/kg
(range: 294-355 mg/kg), respectively. In the Bayan Obo tailings dam, the mean
concentrations of (238)U and (232)Th were 5.7 +/- 0.5 mg/kg (range: 5.3-6.1
mg/kg) and 276 +/- 0.5 mg/kg (range: 275.5-276.3 mg/kg), respectively. The
average (232)Th concentrations in the mining areas of the Bayan Obo Mine and the
living areas of the Bayan Obo Town were 18.7 +/- 7.5 and 26.2 +/- 9.1 mg/kg,
respectively. The (232)Th concentration recorded in the tailings dams was much
higher than the global average (7.44 mg/kg). Our investigation shows that the
(232)Th concentration in the tailings in the Baotou dam was 34.6 times greater
than that in the local soil (in Guyang County); the average concentrations of
(232)Th in the soil in the Baotou District and Bayan Obo Districts were about
1.35 and 2.82 times greater, respectively, than that in the soil in Guyang
County. Based on our results, the highest estimated effective dose due to gamma
irradiation was 1.15 mSv per year, estimated from the data observed in the Baotou
tailings dams. The results of this preliminary study indicate the potential
importance of radioactivity in RE tailings dams and that remedial measures may be
required.
PMID- 26555366
TI - Activity ratios of (234)U/(238)U and (226)Ra/(228)Ra for transport mechanisms of
elevated uranium in alluvial aquifers of groundwater in south-western (SW)
Punjab, India.
AB - The concentrations of total dissolved uranium (U), its isotopic composition
((234)U, (235)U, (238)U) and two long lived Ra isotopes ((226)Ra and (228)Ra) in
alluvial aquifers of groundwater were determined to investigate the groundwater
flow pattern in the south-western (SW) Punjab, India. Particular attention was
given to the spatial variability of activity ratios (ARs) of (234)U/(238)U and
(226)Ra/(228)Ra to predict the possible sources and supply process of U into the
water from the solid phase. The measured groundwater (234)U/(238)U ARs were ~1 or
>1 in the shallow zone (depth < 30 m) with high U concentration and <1 in the
deeper zone (depth > 30 m) with relatively low U concentration. The simultaneous
elevated U concentration and (234)U/(238)U ARs in waters were possibly due to
differences in imprints of rock-water interactions under hydrologic conditions.
However, (234)U/(238)U ARs < 1 clearly indicate the lack of recharge from surface
water to groundwater leading to (234)U deficit in groundwater. This deficit might
be also attributed to alpha recoil processes under strong dissolution. Overall,
the decreasing pattern of (234)U/(238)U ARs observed from SE to SW or NW ward
clearly indicates a groundwater flow paths from SE to SW/NW. Similarly,
(226)Ra/(238)U ARs < 1 for all water samples reflect that the precursor (238)U is
fairly mobile relative to (226)Ra. This might be due to unusually high amount of
(238)U in groundwaters and subsequently the different geochemistry of the two
isotopes. On the other hand, (226)Ra/(228)Ra ARs in groundwaters varied widely
and observed about 50-300 times higher than (238)U/(232)Th ARs in granitic rocks
or soils. Such elevation in ARs might be attributed to different dissolution
properties of their parents during water-rock interactions or lattice damage
during decay or local enrichments of uranium in the aquifers.
PMID- 26555367
TI - Accumulation of Sellafield-derived radiocarbon ((14)C) in Irish Sea and West of
Scotland intertidal shells and sediments.
AB - The nuclear energy industry produces radioactive waste at various stages of the
fuel cycle. In the United Kingdom, spent fuel is reprocessed at the Sellafield
facility in Cumbria on the North West coast of England. Waste generated at the
site comprises a wide range of radionuclides including radiocarbon ((14)C) which
is disposed of in various forms including highly soluble inorganic carbon within
the low level liquid radioactive effluent, via pipelines into the Irish Sea. This
(14)C is rapidly incorporated into the dissolved inorganic carbon (DIC) reservoir
and marine calcifying organisms, e.g. molluscs, readily utilise DIC for shell
formation. This study investigated a number of sites located in Irish Sea and
West of Scotland intertidal zones. Results indicate (14)C enrichment above
ambient background levels in shell material at least as far as Port Appin, 265 km
north of Sellafield. Of the commonly found species (blue mussel (Mytilus edulis),
common cockle (Cerastoderma edule) and common periwinkle (Littorina littorea)),
mussels were found to be the most highly enriched in (14)C due to the surface
environment they inhabit and their feeding behaviour. Whole mussel shell
activities appear to have been decreasing in response to reduced discharge
activities since the early 2000s but in contrast, there is evidence of continuing
enrichment of the carbonate sediment component due to in-situ shell erosion, as
well as indications of particle transport of fine (14)C-enriched material close
to Sellafield.
PMID- 26555369
TI - Measurable effects of local alcohol licensing policies on population health in
England.
AB - BACKGROUND: English alcohol policy is implemented at local government level,
leading to variations in how it is put into practice. We evaluated whether
differences in the presence or absence of cumulative impact zones and the
'intensity' of licensing enforcement--both aimed at regulating the availability
of alcohol and modifying the drinking environment--were associated with harm as
measured by alcohol-related hospital admissions. METHODS: Premises licensing data
were obtained at lower tier local authority (LTLA) level from the Home Office
Alcohol and Late Night Refreshment Licensing data for 2007-2012, and LTLAs were
coded as 'passive', low, medium or highly active based on whether they made use
of cumulative impact areas and/or whether any licences for new premises were
declined. These data were linked to 2009-2015 alcohol-related hospital admission
and alcohol-related crime rates obtained from the Local Alcohol Profiles for
England. Population size and deprivation data were obtained from the Office of
National Statistics. Changes in directly age-standardised rates of people
admitted to hospital with alcohol-related conditions were analysed using
hierarchical growth modelling. RESULTS: Stronger reductions in alcohol-related
admission rates were observed in areas with more intense alcohol licensing
policies, indicating an 'exposure-response' association, in the 2007-2015 period.
Local areas with the most intensive licensing policies had an additional 5%
reduction (p=0.006) in 2015 compared with what would have been expected had these
local areas had no active licensing policy in place. CONCLUSIONS: Local licensing
policies appear to be associated with a reduction in alcohol-related hospital
admissions in areas with more intense licensing policies.
PMID- 26555371
TI - Biomechanical conditioning of tissue engineered heart valves: Too much of a good
thing?
AB - Surgical replacement of dysfunctional valves is the primary option for the
treatment of valvular disease and congenital defects. Existing mechanical and
bioprosthetic replacement valves are far from ideal, requiring concomitant
anticoagulation therapy or having limited durability, thus necessitating further
surgical intervention. Heart valve tissue engineering (HVTE) is a promising
alternative to existing replacement options, with the potential to synthesize
mechanically robust tissue capable of growth, repair, and remodeling. The
clinical realization of a bioengineered valve relies on the appropriate
combination of cells, biomaterials, and/or bioreactor conditioning. Biomechanical
conditioning of valves in vitro promotes differentiation of progenitor cells to
tissue-synthesizing myofibroblasts and prepares the construct to withstand the
complex hemodynamic environment of the native valve. While this is a crucial step
in most HVTE strategies, it also may contribute to fibrosis, the primary
limitation of engineered valves, through sustained myofibrogenesis. In this
review, we examine the progress of HVTE and the role of mechanical conditioning
in the synthesis of mechanically robust tissue, and suggest approaches to achieve
myofibroblast quiescence and prevent fibrosis.
PMID- 26555372
TI - Epidemiology of Pediatric Prehospital Basic Life Support Care in the United
States.
AB - Children have unique medical needs compared to adults. Emergency medical services
personnel need proper equipment and training to care for children. The purpose of
this study is to characterize emergency medical services pediatric basic life
support to help better understand the needs of children transported by ambulance.
Pediatric basic life support patients were identified in this retrospective
descriptive study. Descriptive statistics were used to examine incident location,
possible injury, cardiac arrest, resuscitation attempted, chief complaint,
primary symptom, provider's primary impression, cause of injury, and procedures
performed during pediatric basic life support calls using the largest aggregate
of emergency medical services data available, the 2013 National Emergency Medical
Services Information System (NEMSIS) Public Release Research Data Set. Pediatric
calls represented 7.4% of emergency medical services activations. Most pediatric
patients were male (49.8%), White (40.0%), and of non-Hispanic origin (56.5%).
Most incidents occurred in the home. Injury, cardiac arrest, and resuscitation
attempts were highest in the 15 to 19 year old age group. Global complaints
(37.1%) predominated by anatomic location and musculoskeletal complaints (26.9%)
by organ system. The most common primary symptom was pain (30.3%) followed by
mental/psychiatric (13.4%). Provider's top primary impression was traumatic
injury (35.7%). The most common cause of injury was motor vehicle accident
(32.3%). The most common procedure performed was patient assessment (27.4%).
Median EMS system response time was 7 minutes (IQR: 5-12). Median EMS scene time
was 12 minutes (IQR: 8-19). Median transport time was 14 minutes (IQR: 8-24).
Median EMS total call time was 51 minutes (IQR: 33-77). The epidemiology of
pediatric basic life support can help to guide efforts in both emergency medical
services operations and training.
PMID- 26555370
TI - Bioengineered heparins and heparan sulfates.
AB - Heparin and heparan sulfates are closely related linear anionic polysaccharides,
called glycosaminoglycans, which exhibit a number of important biological and
pharmacological activities. These polysaccharides, having complex structures and
polydispersity, are biosynthesized in the Golgi of animal cells. While heparan
sulfate is a widely distributed membrane and extracellular glycosaminoglycan,
heparin is found primarily intracellularly in the granules of mast cells. While
heparin has historically received most of the scientific attention for its
anticoagulant activity, interest has steadily grown in the multi-faceted role
heparan sulfate plays in normal and pathophysiology. The chemical synthesis of
these glycosaminoglycans is largely precluded by their structural complexity.
Today, we depend on livestock animal tissues for the isolation and the annual
commercial production of hundred ton quantities of heparin used in the
manufacture of anticoagulant drugs and medical device coatings. The variability
of animal-sourced heparin and heparan sulfates, their inherent impurities, the
limited availability of source tissues, the poor control of these source
materials and their manufacturing processes, suggest a need for new approaches
for their production. Over the past decade there have been major efforts in the
biotechnological production of these glycosaminoglycans, driven by both
therapeutic applications and as probes to study their natural functions. This
review focuses on the complex biology of these glycosaminoglycans in human health
and disease, and the use of recombinant technology in the chemoenzymatic
synthesis and metabolic engineering of heparin and heparan sulfates.
PMID- 26555373
TI - Murine Kidney Transplant Technique.
AB - The first mouse kidney transplant technique was published in 1973(1) by the
Russell laboratory. Although it took some years for other labs to become
proficient in and utilize this technique, it is now widely used by many
laboratories around the world. A significant refinement to the original technique
using the donor aorta to form the arterial anastomosis instead of the renal
artery was developed and reported in 1993 by Kalina and Mottram (2) with a
further advancement coming from the same laboratory in 1999 (3). While one can
become proficient in this model, a search of the literature reveals that many
labs still experience a high proportion of graft loss due to arterial thrombosis.
We describe here a technique that was devised in our laboratory that vastly
reduces the arterial thrombus reported by others (4,5). This is achieved by
forming a heel-and-toe cuff of the donor infra-renal aorta that facilitates a
larger anastomosis and straighter blood flow into the kidney.
PMID- 26555374
TI - Removal of polycyclic synthetic musks and antineoplastic drugs in ozonated
wastewater: Quantitation based on the data of differential spectroscopy.
AB - This study examined the degradation behavior of polycyclic musks (PMs) and
antineoplastic drugs (ADs) and the absorbance spectra of effluent organic matter
(EfOM) in municipal wastewater by ozone. Specific ozone doses used in the
experiments ranged from 0 to 1mg O3/mg dissolved organic matter (DOC). The
examined PMs included galaxolide, tonalide, celestolide, traseolide and
phantolide. ADs included busulfan, chlorambucil, cyclophosphamide, dacarbazine,
flutamide, ifosfamide, tamoxifen and methotrexate. Strong monotonic albeit
nonlinear correlations were found to exist between relative changes of EfOM
absorbance at 254 nm (i.e. DeltaA254/A(0)254) and the degradation of the selected
PMs and ADs. This result was interpreted based on the concept of the simultaneous
oxidation of EfOM and, on the other hand, PMs and ADs. This interpretation showed
that PMs were degraded primarily via OH radical attack, with tonalide and
phantolide being less reactive compared with the other PMs. ADs such as
cyclophosphamide, ifosfamide and busulfan were also determined to undergo
oxidation by OH radicals. Comparison of the behavior of the radical probe para
chlorobenzoic acid and the examined ADs and PMs allowed evaluating corresponding
reaction rate constants for reactions between these species and OH radicals.
PMID- 26555375
TI - FGFR1 Amplification Is Often Homogeneous and Strongly Linked to the Squamous Cell
Carcinoma Subtype in Esophageal Carcinoma.
AB - BACKGROUND AND AIMS: Amplification of the fibroblast growth factor receptor 1
(FGFR1) is believed to predict response to multi-kinase inhibitors targeting
FGFR1. Esophageal cancer is an aggressive disease, for which novel targeted
therapies are highly warranted. METHODS: This study was designed to investigate
the prevalence and clinical significance of FGFR1 amplification in a tissue
microarray containing 346 adenocarcinomas and 254 squamous cell carcinomas of the
esophagus, using dual-labeling fluorescence in situ hybridization (FISH)
analysis. RESULTS: FGFR1 amplification, defined as a ratio of FGFR1:centromere 8
copy numbers >= 2.0, was more frequently seen in squamous cell carcinoma (8.9% of
202 interpretable cases) than in adenocarcinoma (1.6% of 308; p<0.0001). There
was no association between FGFR1 amplification and tumor phenotype or clinical
outcome. To study potential heterogeneity of FGFR1 amplification, all available
tumor blocks from 23 FGFR1 amplified tumors were analyzed on conventional large
sections. This analysis revealed complete homogeneity of FGFR1 amplification in
20 (86.9%) primary tumors and in all available lymph node metastases. Remarkably,
FGFR1 amplification was also seen in dysplasia adjacent to tumor in 6 of 9
patients with FGFR1 amplified primary cancers. CONCLUSIONS: In conclusion, FGFR1
amplification occurs in a relevant subgroup of carcinomas of the esophagus and
may play a particular role for development of squamous cell cancers. The high
homogeneity of FGFR1 amplification suggests that patients with FGFR1 amplified
esophageal cancers may particularly benefit from anti-FGFR1 therapies and prompt
for clinical studies in this tumor type.
PMID- 26555376
TI - Impaired primary mouse myotube formation on crosslinked type I collagen films is
enhanced by laminin and entactin.
AB - In skeletal muscle, the stem cell niche is important for controlling the
quiescent, proliferation and differentiation states of satellite cells, which are
key for skeletal muscle regeneration after wounding. It has been shown that type
I collagen, often used as 3D-scaffolds for regenerative medicine purposes,
impairs myoblast differentiation. This is most likely due to the absence of
specific extracellular matrix proteins providing attachment sites for myoblasts
and/or myotubes. In this study we investigated the differentiation capacity of
primary murine myoblasts on type I collagen films either untreated or modified
with elastin, laminin, type IV collagen, laminin/entactin complex, combinations
thereof, and Matrigel as a positive control. Additionally, increased reactive
oxygen species (ROS) and ROCK signaling might also be involved. To measure ROS
levels with live-cell microscopy, fibronectin-coated glass coverslips were
additionally coated with type I collagen and Matrigel onto which myoblasts were
differentiated. On type I collagen-coated coverslips, myotube formation was
impaired while ROS levels were increased. However, anti-oxidant treatment did not
enhance myotube formation. ROCK inhibition, which generally improve cellular
attachment to uncoated surfaces or type I collagen, enhanced myoblast attachment
to type I collagen-coated coverslips and -films, but slightly enhanced myotube
formation. Only modification of type I collagen films by Matrigel and a
combination of laminin/entactin significantly improved myotube formation. Our
results indicate that type I collagen scaffolds can be modified by satellite cell
niche factors of which specifically laminin and entactin enhanced myotube
formation. This offers a promising approach for regenerative medicine purposes to
heal skeletal muscle wounds. STATEMENT OF SIGNIFICANCE: In this manuscript we
show for the first time that impaired myotube formation on type I collagen
scaffolds can be completely restored by modification with laminin and entactin,
two extracellular proteins from the satellite cell niche. This offers a promising
approach for regenerative medicine approaches to heal skeletal muscle wounds.
PMID- 26555378
TI - Polysaccharide-based antibiofilm surfaces.
AB - Surface treatment by natural or modified polysaccharide polymers is a promising
means to fight against implant-associated biofilm infections. The present review
focuses on polysaccharide-based coatings that have been proposed over the last
ten years to impede biofilm formation on material surfaces exposed to bacterial
contamination. Anti-adhesive and bactericidal coatings are considered. Besides
classical hydrophilic coatings based on hyaluronic acid and heparin, the
promising anti-adhesive properties of the algal polysaccharide ulvan are
underlined. Surface functionalization by antimicrobial chitosan and derivatives
is extensively surveyed, in particular chitosan association with other
polysaccharides in layer-by-layer assemblies to form both anti-adhesive and
bactericidal coatings. STATEMENT OF SIGNIFICANCE: Bacterial contamination of
surfaces, leading to biofilm formation, is a major problem in fields as diverse
as medicine, first, but also food and cosmetics. Many prophylactic strategies
have emerged to try to eliminate or reduce bacterial adhesion and biofilm
formation on surfaces of materials exposed to bacterial contamination, in
particular implant materials. Polysaccharides are widely distributed in nature. A
number of these natural polymers display antibiofilm properties. Hence, surface
treatment by natural or modified polysaccharides is a promising means to fight
against implant-associated biofilm infections. The present manuscript is an in
depth look at polysaccharide-based antibiofilm surfaces that have been proposed
over the last ten years. This review, which is a novelty compared to published
literature, will bring well documented and updated information to readers of Acta
Biomaterialia.
PMID- 26555379
TI - Complications of intravitreal ocriplasmin for vitreomacular traction and macular
hole: a prospective spectral-domain optical coherence tomography study.
AB - OBJECTIVE: The purpose of this study was to evaluate the safety of intravitreal
ocriplasmin prospectively, reporting potential complications in patients with
vitreomacular traction (VMT) alone or associated with macular hole (MH).
MATERIALS AND METHODS: Participants in this prospective, multicenter study, were
24 patients with VMT (17 with VMT alone and seven with MH combined with VMT). All
patients were treated with a single ocriplasmin injection and followed-up
prospectively at baseline, day 7, 28 and the last examination of the follow-up
for each patient (mean +/- SD: 64.2 +/- 24.4 d, range: 40-145 d). Best-corrected
visual acuity (BCVA) was assessed, and spectral-domain optical coherence
tomography was performed at each visit while the percentage of resolution of VMT
and the association with various potential adverse events were recorded and
analyzed. RESULTS: 66.7% of patients presented VMT release at the end of the
follow-up, while 28.6% exhibited MH closure. Severe adverse events, such as
enlargement of preexisting MH and formation of lamellar MH, were observed in one
and four cases, respectively and remained till the end of the follow-up. Moderate
adverse events, such as ellipsoid zone disruption and subretinal fluid
development, became evident seven days after injection, in four cases. Formation
of cystoid macular edema (CME), not evident at baseline, was noticed in three
cases at day 28 after injection. Mild adverse events, like vitreous floaters,
photopsias, eye pain and foreign body sensation, were noticed at day 7 and
resolved till the end of the follow-up. CONCLUSIONS: Mild and moderate adverse
events occurred mainly during the first week of the follow-up, while severe
adverse events, such as the lamellar MH formation and CME at day 28 post
injection were seen.
PMID- 26555377
TI - Engineering growth factors for regenerative medicine applications.
AB - Growth factors are important morphogenetic proteins that instruct cell behavior
and guide tissue repair and renewal. Although their therapeutic potential holds
great promise in regenerative medicine applications, translation of growth
factors into clinical treatments has been hindered by limitations including poor
protein stability, low recombinant expression yield, and suboptimal efficacy.
This review highlights current tools, technologies, and approaches to design
integrated and effective growth factor-based therapies for regenerative medicine
applications. The first section describes rational and combinatorial protein
engineering approaches that have been utilized to improve growth factor
stability, expression yield, biodistribution, and serum half-life, or alter their
cell trafficking behavior or receptor binding affinity. The second section
highlights elegant biomaterial-based systems, inspired by the natural
extracellular matrix milieu, that have been developed for effective spatial and
temporal delivery of growth factors to cell surface receptors. Although appearing
distinct, these two approaches are highly complementary and involve principles of
molecular design and engineering to be considered in parallel when developing
optimal materials for clinical applications. STATEMENT OF SIGNIFICANCE: Growth
factors are promising therapeutic proteins that have the ability to modulate
morphogenetic behaviors, including cell survival, proliferation, migration and
differentiation. However, the translation of growth factors into clinical
therapies has been hindered by properties such as poor protein stability, low
recombinant expression yield, and non-physiological delivery, which lead to
suboptimal efficacy and adverse side effects. To address these needs, researchers
are employing clever molecular and material engineering and design strategies to
both improve the intrinsic properties of growth factors and effectively control
their delivery into tissue. This review highlights examples of interdisciplinary
tools and technologies used to augment the therapeutic potential of growth
factors for clinical applications in regenerative medicine.
PMID- 26555380
TI - Coping with pregnancy after 9months in the dark: Post-hibernation buffering of
high maternal stress in arctic ground squirrels.
AB - Pregnancy and lactation are key times in the life of female mammals when
energetic resources must be brought to bear to produce and nurture offspring.
Changes in glucocorticoid (GC) levels are central to this objective, due to their
roles in modulating development and physiology and in mediating energetic
tradeoffs. We examined GC changes over reproduction in a species living in a
harsh seasonal environment: the arctic ground squirrel (Urocitellus parryii).
Females become pregnant immediately after emerging from a ~9month hibernation,
and then must begin this pregnancy during an additional month of freezing
temperatures and limited food availability. We measured plasma levels of total
cortisol, corticosteroid-binding globulin (CBG), and free cortisol in unstressed
females at three stages: not-visibly pregnant, visibly pregnant, and lactating.
Total cortisol levels were similar in all stages, but CBG levels increased 4-fold
from the not-visibly pregnant stage to visibly pregnant and lactating stage. As a
result, the free cortisol fraction declined from 51% of total cortisol when
females were not-visibly pregnant to only 5% when they were visibly pregnant
(remaining low and stable throughout pregnancy) and 10% when they were lactating.
This pattern is markedly different from that seen in other mammals, where 10% or
less of GCs are free and these tend to increase during gestation. We postulate
that the high free cortisol just prior to visible pregnancy is a seasonal
adaptation relating either to the pronounced physiological changes the female
must undergo after emerging from hibernation and immediately getting pregnant, or
to the mobilization of body reserves for energy to permit pregnancy, or both.
Thereafter, high CBG levels may shield the developing offspring from the negative
effects of cortisol overexposure.
PMID- 26555381
TI - Active droplet generation in microfluidics.
AB - The reliable generation of micron-sized droplets is an important process for
various applications in droplet-based microfluidics. The generated droplets work
as a self-contained reaction platform in droplet-based lab-on-a-chip systems.
With the maturity of this platform technology, sophisticated and delicate control
of the droplet generation process is needed to address increasingly complex
applications. This review presents the state of the art of active droplet
generation concepts, which are categorized according to the nature of the induced
energy. At the liquid/liquid interface, an energy imbalance leads to instability
and droplet breakup.
PMID- 26555382
TI - Immunomodulatory effects of epicatechin-(2beta->O->7, 4beta->8)-ent-epicatechin
isolated from Rhododendron spiciferum in vitro.
AB - CONTEXT: Many traditional Chinese medicines (TCMs) can act as either
immunosuppresants or immunostimulants, properties that have lead to their
increasing use as immunomodulators in the treatment of disease. Recently, our lab
successfully identified a dimer epicatechin-(2beta->O -> 7, 4beta->8)-ent
epicatechin (EEE) from the chloroform extract of Rhododendron spiciferum.
OBJECTIVE: To evaluate the immunomodulatory effects of EEE in vitro. MATERIALS
AND METHODS: Splenocytes, peritoneal macrophages and peripheral blood mononuclear
cells from BALB/c mice were incubated with different concentrations of EEE.
RESULTS: EEE significantly stimulates splenocyte proliferation when administered
either alone or in combination with concanavalin A (Con A), lipopolysaccharide
(LPS), and Anti-CD3. EEE enhances the cytotoxicity of natural killer (NK) cells
markedly and phagocytic function of macrophage. Moreover, we found that the
levels of several helper T1 (Th1) cytokines, including interleukin-2 (IL-2), IL
12, interferon-gamma (IFN-gamma) and tumor necrosis factor-alpha (TNF-alpha) are
significantly increased after EEE treatment, while the levels of Th2 cytokine IL
4 and IL-10 are significantly decreased. As a result, the ratio of Th1/Th2 is
significantly increased in the presence of EEE. EEE also increased CD4 and CD8
cell populations. CONCLUSION: These results indicate that EEE exhibits
immunomodulatory activity and suggests that this compound could be developed as a
novel immunotherapeutic agent for treating cancer and other immune-mediated
diseases.
PMID- 26555383
TI - Spectroscopic studies of interaction between CuO nanoparticles and bovine serum
albumin.
AB - Recently, the great interests in manufacturing and application of metal oxide
nanoparticles in commercial and industrial products have led to focus on the
potential impact of these particles on biomacromolecules. In the present study,
the interaction of copper oxide (CuO) nanoparticles with bovine serum albumin
(BSA) was studied by spectroscopic techniques. The zeta potential value for BSA
and CuO nanoparticles with average diameter of around 50 nm at concentration of
10 MUM in the deionized (DI) water were -5.8 and -22.5 mV, respectively. Circular
dichroism studies did not show any changes in the content of secondary structure
of the protein after CuO nanoparticles interaction. Fluorescence data revealed
that the fluorescence quenching of BSA by CuO nanoparticles was the result of the
formed complex of CuO nanoparticles - BSA. Binding constants and other
thermodynamic parameters were determined at three different temperatures. The
hydrogen bond interactions are the predominant intermolecular forces to stabilize
the CuO nanoparticle - BSA complex. This study provides important insight into
the interaction of CuO nanoparticles with proteins, which may be of importance
for further application of these nanoparticles in biomedical applications.
PMID- 26555384
TI - Children with developmental coordination disorder demonstrate a spatial mismatch
when estimating coincident-timing ability with tools.
AB - Coincident timing or interception ability can be defined as the capacity to
precisely time sensory input and motor output. This study compared accuracy of
typically developing (TD) children and those with Developmental Coordination
Disorder (DCD) on a task involving estimation of coincident timing with their arm
and various tool lengths. Forty-eight (48) participants performed two experiments
where they imagined intercepting a target moving toward (Experiment 1) and target
moving away (Experiment 2) from them in 5 conditions with their arm and tool
lengths: arm, 10, 20, 30, and 40 cm. In Experiment 1, the DCD group overestimated
interception points approximately twice as much as the TD group, and both groups
overestimated consistently regardless of the tool used. Results for Experiment 2
revealed that those with DCD underestimated about three times as much as the TD
group, with the exception of when no tool was used. Overall, these results
indicate that children with DCD are less accurate with estimation of coincident
timing; which might in part explain their difficulties with common motor
activities such as catching a ball or striking a baseball pitch.
PMID- 26555385
TI - Early communicative behaviors and their relationship to motor skills in extremely
preterm infants.
AB - Despite the predictive value of early spontaneous communication for identifying
risk for later language concerns, very little research has focused on these
behaviors in extremely low-gestational-age infants (ELGA<28 weeks) or on their
relationship with motor development. In this study, communicative behaviors
(gestures, vocal utterances and their coordination) were evaluated during mother
infant play interactions in 20 ELGA infants and 20 full-term infants (FT) at 12
months (corrected age for ELGA infants). Relationships between gestures and motor
skills, evaluated using the Bayley-III Scales were also examined. ELGA infants,
compared with FT infants, showed less advanced communicative, motor, and
cognitive skills. Giving and representational gestures were produced at a lower
rate by ELGA infants. In addition, pointing gestures and words were produced by a
lower percentage of ELGA infants. Significant positive correlations between
gestures (pointing and representational gestures) and fine motor skills were
found in the ELGA group. We discuss the relevance of examining spontaneous
communicative behaviors and motor skills as potential indices of early
development that may be useful for clinical assessment and intervention with ELGA
infants.
PMID- 26555386
TI - Scope of practice of optometrists working in the UK Hospital Eye Service: a
national survey.
AB - PURPOSE: The role of the optometrist in the Hospital Eye Service (HES) has
undergone significant development in recent years to include extended areas of
clinical practice more traditionally undertaken by ophthalmologists, commensurate
with a growing demand for increased capacity in ophthalmic services. In this
report, we present the findings from a national survey of the scope of practice
of optometrists working in the UK HES. METHODS: A survey was designed to
incorporate questions on the provision of core services before seeking detailed
information on the scope of practice within extended roles to include: ophthalmic
sub-specialist areas where optometrists currently practice; the undertaking of
specific procedures within these services; the relative autonomy of practice
within these extended roles; and the training and accreditation requirements for
working within extended roles. SurveyMonkey was used to disseminate the survey to
the head of optometry in 79 HES units throughout the UK. RESULTS: Responses were
received from 70 of the 79 (89%) survey invitations. A substantial majority of
respondents (N = 67/70, 96%) indicated that optometrists undertook extended
roles. Glaucoma is the leading extended role service provided by optometrists
(92% of respondents providing extended role services), with roles in macula
(71%), medical retina/diabetes (67%), cataract (55%) and corneal services (55%)
also being relatively common. A wide variety of clinical procedures or
interventions are undertaken as part of these services, which for a small number
of optometrists now also includes the undertaking of specific laser procedures.
There is evidence for a significant degree of autonomy within these extended
roles. The primary mode of training is an 'apprentice' model, incorporating
sessions worked under supervision in ophthalmology clinics. Methods of
accreditation for optometric participation in extended role services are varied.
CONCLUSIONS: While optometrists working within the UK HES continue to undertake
the traditional clinical roles of refraction, clinically necessary contact
lenses, and low vision rehabilitation, it is clear that these professionals now
undertake a wide range of extended clinical roles, with a transformed scope of
practice now incorporating diverse roles traditionally undertaken by medical
practitioners.
PMID- 26555388
TI - 33rd Annual Meeting of the European Society for Paediatric Infectious Diseases in
Leipzig, Germany.
PMID- 26555387
TI - Atypical RhoV and RhoU GTPases control development of the neural crest.
AB - This review addresses the developmental roles of 2 GTPases of the Rho family,
RhoV/Chp and RhoU/Wrch. These two GTPases form a distinct subfamily related to
Rac and Cdc42 proteins and were detected in a screen for Rho members that are
particularly expressed in the neural crest, an embryonic tissue peculiar to
vertebrates. The neural crest represents a physiological model of normal
epithelial to mesenchymal transition (EMT), in which epithelial cells at the
border of neural and non-neural ectoderm differentiate, lose their intercellular
connections and migrate throughout the embryo. We showed that RhoV, transiently
induced by the canonical Wnt pathway, is required for the full differentiation of
neural crest cells, while RhoU, induced later by the non-canonical Wnt pathway,
is necessary for the migration process. These two GTPases, which are highly
conserved across vertebrates, are thus tightly functionally linked to Wnt
signaling, whose implication in embryonic development and cancer progression is
well established. In the light of the recent literature, we discuss how RhoV and
RhoU may achieve their physiological functions.
PMID- 26555389
TI - Global Vaccine Safety Assessment: Challenges and Opportunities.
PMID- 26555390
TI - Massive horizontal gene transfer, strictly vertical inheritance and ancient
duplications differentially shape the evolution of Bacillus cereus enterotoxin
operons hbl, cytK and nhe.
AB - BACKGROUND: Bacillus cereus sensu lato comprises eight closely related species
including the human pathogens Bacillus anthracis and Bacillus cereus. Within B.
cereus sensu lato, chromosomally and plasmid-encoded toxins exist. While plasmid
mediated horizontal gene transfer of the emetic toxin, anthrax and insecticidal
toxins is known, evolution of enterotoxin genes within the group has not been
studied. RESULTS: We report draft genome assemblies of 25 strains, a phylogenetic
network of 142 strains based on ANI derived from genome sequences and a phylogeny
based on whole-genome SNP analysis. The data clearly support subdivision of B.
cereus sensu lato into seven phylogenetic groups. While group I, V and VII
represent B. pseudomycoides, B. toyonensis and B. cytotoxicus, which are
distinguishable at species level (ANI border >= 96 %), strains ascribed to the
other five species do not match phylogenic groups. The chromosomal enterotoxin
operons nheABC and hblCDAB are abundant within B. cereus both isolated from
infections and from the environment. While the duplicated hbl variant hbl a is
present in 22 % of all strains investigated, duplication of nheABC is extremely
rare (0.02 %) and appears to be phylogenetically unstable. Distribution of toxin
genes was matched to a master tree based on seven concatenated housekeeping
genes, which depicts species relationships in B. cereus sensu lato as accurately
as whole-genome comparisons. Comparison to the phylogeny of enterotoxin genes
uncovered ample evidence for horizontal transfer of hbl, cytK and plcR, as well
as frequent deletion of both toxins and duplication of hbl. No evidence for nhe
deletion was found and stable horizontal transfer of nhe is rare. Therefore,
evolution of B. cereus enterotoxin operons is shaped unexpectedly different for
yet unknown reasons. CONCLUSIONS: Frequent exchange of the pathogenicity factors
hbl, cytK and plcR in B. cereus sensu lato appears to be an important mechanism
of B. cereus virulence evolution, including so-called probiotic or non-pathogenic
species, which might have consequences for risk assessment procedures. In
contrast, exclusively vertical inheritance of nhe was observed, and since nhe
negative strains appear to be extremely rare, we suggest that fitness loss may be
associated with deletion or horizontal transfer of the nhe operon.
PMID- 26555391
TI - A Novel Hyperspectral Feature-Extraction Algorithm Based on Waveform Resolution
for Raisin Classification.
AB - Near-infrared hyperspectral imaging technology was adopted in this study to
discriminate among varieties of raisins produced in Xinjiang Uygur Autonomous
Region, China. Eight varieties of raisins were used in the research, and the
wavelengths of the hyperspectral images were from 900 to 1700 nm. A novel
waveform resolution method is proposed to reduce the hyperspectral data and
extract the features. The waveform-resolution method compresses the original
hyperspectral data for one pixel into five amplitudes, five frequencies, and five
phases for 15 feature values in all. A neural network was established with three
layers-eight neurons for the first layer, three neurons for the hidden layer, and
one neuron for the output layer-based on the 15 features used to determine the
varieties of raisins. The accuracies of the model, which are presented as
sensitivity, precision, and specificity, for the testing data set, are 93.38,
81.92, and 99.06%. This is higher than the accuracies of the model using a
conventional principal component analysis feature-extracting method combined with
a neural network, which has a sensitivity of 82.13%, precision of 82.22%, and
specificity of 97.45%. The results indicate that the proposed waveform-resolution
feature-extracting method combined with hyperspectral imaging technology is an
efficient method for determining varieties of raisins.
PMID- 26555392
TI - Lights and shadows of cardiac magnetic resonance imaging in acute myocarditis.
AB - Cardiac magnetic resonance (CMR) is considered a primary tool for the diagnosis
of acute myocarditis, due to its unique potential for non-invasive identification
of the various hallmarks of the inflammatory response, with relevant impact on
patient management and prognosis. Nonetheless, a marked variation in sensitivity
and negative predictive value has been reported in the literature, reflecting the
intrinsic drawbacks of current diagnostic criteria, which are based mainly on the
use of conventional CMR pulse sequences. As a consequence, a negative exam cannot
reliably exclude the diagnosis, especially in patients who do not present an
infarct-like onset of disease. The introduction of new-generation mapping
techniques further widened CMR potentials, allowing quantification of tissue
changes and opening new avenues for non-invasive workup of patients with
inflammatory myocardial disease. MAIN MESSAGES: * CMR sensitivity varies in AM,
reflecting its clinical polymorphism and the intrinsic drawbacks of LLc. *
Semiquantitative approaches such as EGEr or T2 ratio have limited accuracy in
diffuse disease forms. * T1 mapping allows objective quantification of
inflammation, with no need to normalize measurements. * A revised protocol
including T2-STIR, T1 mapping and LGE could be hypothesized to improve
sensitivity.
PMID- 26555393
TI - Herpes simplex virus downregulation of secretory leukocyte protease inhibitor
enhances human papillomavirus type 16 infection.
AB - Herpes simplex virus (HSV) was originally implicated in the aetiology of cervical
cancer, and although high-risk human papillomavirus (HPV) is now the accepted
causative agent, the epidemiological link between HSV and HPV-associated cancers
persists. The annexin A2 heterotetramer (A2t) has been shown to mediate
infectious HPV type 16 (HPV16) uptake by human keratinocytes, and secretory
leukocyte protease inhibitor (SLPI), an endogenous A2t ligand, inhibits HPV16
uptake and infection. Interestingly, HSV infection induces a sustained
downregulation of SLPI in epithelial cells, which we hypothesized promotes HPV16
infection through A2t. Here, we show that in vitro infection of human
keratinocytes with HSV-1 or HSV-2, but not with an HSV-1 ICP4 deletion mutant
that does not downregulate SLPI, leads to a >70% reduction of SLPI mRNA and a
>60% decrease in secreted SLPI protein. Consequently, we observed a significant
increase in the uptake of HPV16 virus-like particles and gene transduction by
HPV16 pseudovirions (two- and 2.5-fold, respectively) in HSV-1- and HSV-2
infected human keratinocyte cell cultures compared with uninfected cells, whereas
exogenously added SLPI reversed this effect. Using a SiMPull (single-molecule
pulldown) assay, we demonstrated that endogenously secreted SLPI interacts with
A2t on epithelial cells in an autocrine/paracrine manner. These results suggested
that ongoing HSV infection and resultant downregulation of local levels of SLPI
may impart a greater susceptibility for keratinocytes to HPV16 infection through
the host cell receptor A2t, providing a mechanism that may, in part, provide an
explanation for the aetiological link between HSV and HPV-associated cancers.
PMID- 26555395
TI - Elevation of Ca19-9 tumor antigen in colorectal cancer: an in silico
investigation of pathogenesis.
PMID- 26555394
TI - Associations between venous thromboembolism onset, D-dimer, and soluble fibrin
monomer complex after total knee arthroplasty.
AB - BACKGROUND: Prevention and early detection of venous thromboembolism (VTE) is
important after arthroplasty of the lower limb. The purpose of this study was to
investigate the associations between VTE and hemostatic markers after minimally
invasive total knee arthroplasty (MIS-TKA). METHODS: We performed a retrospective
study of 50 patients (55 knees) who underwent primary unilateral MIS-TKA with
periodic determination of D-dimer and soluble fibrin monomer complex (SFMC)
concentrations and with ultrasonography. The development of symptomatic and
asymptomatic VTE, location of deep venous thrombosis (DVT; proximal or distal),
changes in SFMC and D-dimer concentrations, and correlations between hemostatic
markers and VTE onset were evaluated. RESULTS: Twenty-six patients (47%) had an
asymptomatic distal DVT, but none had proximal DVT, pulmonary embolism, or
symptomatic DVT. DVT was detected at postoperative day 1 (POD1) in 16 patients,
POD3 in six, and POD5 in three (excluding detections of the same DVT in the same
position on different days). DVT onset correlated significantly with SFMC
concentration on POD1 and with D-dimer concentration on POD3. The D-dimer
concentration did not differ significantly between patients who developed DVT
(DVT+) and those who did not (DVT-) at each postoperative time. SFMC
concentration differed between DVT+ and DVT- patients only on POD1. Analysis of
each hemostatic marker classified as either within or outside the normal
concentration range showed no significant correlations between D-dimer
concentration and DVT onset at each period. There were significant correlations
between SFMC concentrations and DVT onset on POD1 and POD3. There were also
significant correlations between D-dimer positive (+) findings and/or SFMC+
findings and DVT onset on POD1 and POD3. D-dimer+ and/or SFMC+ findings had
better specificity on POD1 and a positive predictive value on POD1 and POD3
compared with SFMC+ alone. CONCLUSIONS: SFMC concentration is an effective
hemostatic marker for early detection of DVT. D-dimer concentration alone has
limited value as a hemostatic marker for early detection of DVT. Measurement of
both D-dimer and SFMC concentrations might be a more sensitive diagnostic tool
than measuring SFMC concentration alone.
PMID- 26555396
TI - A novel approach to retrieve a retained wireless video capsule in an unknown
location, which has been asymptomatic for 21 months and review of the literature.
PMID- 26555397
TI - A new potential secretion pathway for recombinant proteins in Bacillus subtilis.
AB - BACKGROUND: Secretion of cytoplasmic expressed proteins into growth media has
significant advantages. Due to the lack of an outer membrane, Bacillus subtilis
is considered as a desirable 'cell factory' for the secretion of recombinant
proteins. However, bottlenecks in the classical pathway for the secretion of
recombinant proteins limit its use on a wide scale. In this study, we attempted
to use four typical non-classically secreted proteins as signals to export three
recombinant model proteins to the culture medium. RESULTS: All four non
classically secreted proteins can direct the export of the intrinsically
disordered nucleoskeletal-like protein (Nsp). Two of them can guide the secretion
of alkaline phosphatase (PhoA). One can lead the secretion of the thermostable
beta-galactosidase BgaB, which cannot be secreted with the aid of typical Sec
dependent signal peptides. CONCLUSION: Our results show that the non-classically
secreted proteins lead the recombinant proteins to the culture medium, and thus
non-classical protein secretion pathways can be exploited as a novel secretion
pathway for recombinant proteins.
PMID- 26555400
TI - Genomics going wild: Marine sampling for studies of evolution and development.
PMID- 26555398
TI - Behavioural and biochemical changes in maternally separated Sprague-Dawley rats
exposed to restraint stress.
AB - Early life adversity has been associated with the development of various
neuropsychiatric disorders in adulthood such as depression and anxiety. The aim
of this study was to determine if stress during adulthood can exaggerate the
depression-/anxiety-like behaviour observed in the widely accepted maternally
separated (MS) Sprague-Dawley (SD) rat model of depression. A further aim was to
determine whether the behavioural changes were accompanied by changes in
hippocampal brain-derived neurotrophic factor (BDNF) and the protein profile of
the prefrontal cortex (PFC). Depression-/anxiety-like behaviour was measured in
the elevated plus maze, open field and forced swim test (FST) in the MS SD rats
exposed to chronic restraint stress in adulthood. As expected, MS increased
immobility of SD rats in the FST but restraint stress did not enhance this effect
of MS on SD rats. A proteomic analysis of the PFC revealed a decrease in actin
related proteins in MS and non-separated rats subjected to restraint stress as
well as a decrease in mitochondrial energy-related proteins in the stressed rat
groups. Since MS during early development causes a disruption in the hypothalamic
pituitary-adrenal axis and long-term changes in the response to subsequent
stress, it may have prevented restraint stress from exerting its effects on
behaviour. Moreover, the decrease in proteins related to mitochondrial energy
metabolism in MS rats with or without subsequent restraint stress may be related
to stress per se and not depression-like behaviour, because rats subjected to
restraint stress displayed similar decreases in energy-related proteins and spent
less time immobile in the FST than control rats.
PMID- 26555399
TI - Phage display screening identifies a novel peptide to suppress ovarian cancer
cells in vitro and in vivo in mouse models.
AB - BACKGROUND: Ovarian cancer is a possibly lethal gynecological malignancy and this
study utilized phage display technology to screen and identify peptides that
specifically bind to ovarian cancer cells and explored the effects of these
peptides on ovarian cancer cells in vitro and in vivo. METHODS: The phage
displayed peptide library was used to isolate the peptides binding to and
internalizing into the ovarian carcinoma cells. Positive phage clones were
characterized with DNA sequencing and bioinformatics analysis and then validated
with immunofluorescence. Subsequently, the selected peptides were investigated
for their cancer-related functions, including cell adhesion, spreading, motility,
and invasion in vitro and in vivo. RESULTS: Peptide1 read as SWQIGGNwas the
positive peptide and showed preferential binding to the target cells. Peptide 1
also inhibited cell proliferation, migration, invasion and adhesion of ovarian
cancer HO8910 cells in vitro. In vivo, Peptide 1 led to a lower tumorigenicity of
HO8910 cells, which was characterized by the inhibitory effect on tumor growth
and metastasis of ovarian cells. CONCLUSION: These studies demonstrate that the
phage display-identified tumor cell-binding peptide was able to control ovarian
cancer cell viability, migration, invasion, and adhesion capacity in vitro as
well as tumor growth and metastasis in vivo. Future studies will be aimed at
evaluating the clinical efficacy of the peptide SWQIGGN in ovarian cancer
patients.
PMID- 26555401
TI - The marine genome: structure, regulation and evolution.
PMID- 26555402
TI - The phytoremediation of an organic and inorganic polluted soil: A real scale
experience.
AB - A phytoremediation process with horse manure, plants (Populus alba, Cytisus
scoparius, Paulownia tomentosa) and naturally growing vegetation was carried out
at a real-scale in order to phytoremediate and functionally recover a soil
contaminated by metals (Zn, Pb, Cd, Ni, Cu, Cr), hydrocarbons (TPH) and
polychlorobiphenyls (PCB). All the plants were effective in two years in the
reclamation of the polluted soil, showing an average reduction of about 35%, 40%,
and 70% in metals, TPH and PCB content, respectively. As regards the plants, the
poplar contributed the most to organic removal. In fact, its ability to take up
and detoxify organic pollutants is well known. Paulownia tomentosa, instead,
showed high metal removal. The Cytisus scoparius was the least effective plant in
soil decontamination. The recovery of soil functionality was followed by enzyme
activities, expressing the biochemical processes underway, and nutrient content
useful for plant growth and development. Throughout the area, an enhancement of
metabolic processes and soil chemical quality was observed. All the enzymatic
activities showed a general increase over time (until 3-4 fold than the initial
value for urease and beta-glucosidase). Moreover, Cytisus scoparius, even though
it showed a lower decontamination capability, was the most effective in soil
metabolic stimulation.
PMID- 26555403
TI - Autoimmune diseases in Adult Life after Childhood Cancer in Scandinavia (ALiCCS).
AB - OBJECTIVES: The pattern of autoimmune diseases in childhood cancer survivors has
not been investigated previously. We estimated the risk for an autoimmune disease
after childhood cancer in a large, population-based setting with outcome measures
from comprehensive, nationwide health registries. METHODS: From the national
cancer registries of Denmark, Iceland and Sweden, we identified 20 361 1-year
survivors of cancer diagnosed before the age of 20 between the start of cancer
registration in the 1940s and 1950s through 2008; 125 794 comparison subjects,
matched by age, gender and country, were selected from national population
registers. Study subjects were linked to the national hospital registers.
Standardised hospitalisation rate ratios (SHRRs) and absolute excess risks (AERs)
were calculated. RESULTS: Childhood cancer survivors had a significantly
increased SHRR of 1.4 (95% CI 1.3 to 1.5) of all autoimmune diseases combined,
corresponding to an AER of 67 per 100 000 person-years. The SHRRs were
significantly increased for autoimmune haemolytic anaemia (16.3), Addison's
disease (13.9), polyarteritis nodosa (5.8), chronic rheumatic heart disease
(4.5), localised scleroderma (3.6), idiopathic thrombocytopenic purpura (3.4),
Hashimoto's thyroiditis (3.1), pernicious anaemia (2.7), sarcoidosis (2.2),
Sjogren's syndrome (2.0) and insulin-dependent diabetes mellitus (1.6). The SHRRs
for any autoimmune disease were significantly increased after leukaemia (SHRR
1.6), Hodgkin's lymphoma (1.6), renal tumours (1.6) and central nervous system
neoplasms (1.4). CONCLUSIONS: Childhood cancer survivors are at increased risk
for certain types of autoimmune diseases. These findings underscore the need for
prolonged follow-up of these survivors.
PMID- 26555404
TI - Methyl-, Ethenyl-, and Ethynyl-Bridged Cationic Digold Complexes Stabilized by
Coordination to a Bulky Terphenylphosphine Ligand.
AB - Reactions of the gold(I) triflimide complex [Au(NTf2 )(PMe2 Ar${{^{{?rm
Dipp}{_{2}}}}}$)] (1) with the gold(I) hydrocarbyl species [AuR(PMe2 Ar${{^{{?rm
Dipp}{_{2}}}}}$)] (2 a-2 c) enable the isolation of hydrocarbyl-bridged cationic
digold complexes with the general composition [Au2 (MU-R)(PMe2 Ar${{^{{?rm
Dipp}{_{2}}}}}$)2 ][NTf2 ], where Ar${{^{{?rm Dipp}{_{2}}}}}$=C6 H3 -2,6-(C6 H3
2,6-iPr2 )2 and R=Me (3), CH?CH2 (4), or C?CH (5). Compound 3 is the first alkyl
bridged digold complex to be reported and features a symmetric [Au(MU-CH3 )Au](+)
core. Complexes 4 and 5 are the first species of their kind that contain simple,
unsubstituted vinyl and acetylide units, respectively. In the series of complexes
3-5, the bridging carbon atom systematically changes its hybridization from sp(3)
to sp(2) and sp. Concomitant with this change, and owing to variations in the
nature of the bonding within the [Au(MU-R)Au](+) unit, there is a gradual
decrease in aurophilicity, that is, the strength of the Au???Au bonding
interaction decreases. This change is illustrated by a monotonic increase in the
Au-Au distance by approximately 0.3 A from R=CH3 (2.71 A) to CH?CH2 (3.07 A) and
C?CH (3.31 A).
PMID- 26555405
TI - Hydroxychloroquine in Systemic Lupus Erythematosus: Comment on the Article by
Muangchan et al.
PMID- 26555406
TI - Salinity induces membrane structure and lipid changes in maize mesophyll and
bundle sheath chloroplasts.
AB - The membranes of Zea mays (maize) mesophyll cell (MC) chloroplasts are more
vulnerable to salinity stress than are those of bundle sheath cell (BSC)
chloroplasts. To clarify the mechanism underlying this difference in salt
sensitivity, we monitored changes in the glycerolipid and fatty acid compositions
of both types of chloroplast upon exposure to salinity stress. The
monogalactosyldiacylglycerol (MGDG) and digalactosyldiacylglycerol (DGDG)
contents were higher in MC chloroplasts than in BSC chloroplasts, in both the
presence and absence of salt treatment. Under salt conditions, the MGDG level in
MC chloroplasts was significantly lower than under normal conditions, while it
was unchanged in BSC chloroplasts. In both types of chloroplast, the contents of
DGDG, phosphatidylglycerol and phosphatidylinositol remained at the same levels
in control and salt-treated plants, whereas sulfoquinovosyldiacylglycerol and
phosphatidylcholine were significantly lower and higher, respectively, upon salt
treatment. In addition, the fatty acid composition and double bond index of
individual lipid classes were changed by salt treatment in both BSC and MC
chloroplasts, although these factors had no effect on glycerolipid content. These
findings suggest that the difference in salt sensitivity of MC and BSC
chloroplast membranes is related to differences in MGDG responses to salinity.
Thus, we propose that the low MGDG content and the low sensitivity of MGDG to
salinity in BSC chloroplasts render them more tolerant than MC chloroplasts to
salinity stress.
PMID- 26555407
TI - First Report of a Successful Pregnancy in an Everolimus-Treated Heart
Transplanted Patient: Neonatal Disappearance of Immunosuppressive Drugs.
AB - The use of everolimus (EVL) as primary immunosuppression is steadily increasing
in heart transplantation (HTx) patients. Limited data currently exist in kidney
transplantation, but there is no report of EVL use during pregnancy after HTx and
its pharmacokinetics in the newborn. We report a case of an unplanned pregnancy
discovered at 21 weeks of gestation in a female HTx patient aged 40 years treated
with EVL and cyclosporine (CyA). Because pregnancy was advanced,
immunosuppression therapy was left unchanged. At 36 weeks, a healthy infant was
delivered. At birth, CyA blood levels were lower in the neonate, but EVL
concentrations in maternal and neonatal umbilical blood were similar. Amniotic
fluid concentrations were undetectable for both drugs. In the newborn, EVL was
measurable at 5 days after birth, whereas CyA disappeared within 2 days. Cord
blood displayed a normal count of B and T cells and CD4, CD8 and natural killer
cell populations. At birth, both mother and newborn displayed the same blood
levels of EVL; therefore, a filter effect of the placenta may be hypothesized for
CyA but not for EVL. No immediate complications were observed with this
pregnancy.
PMID- 26555408
TI - Placental Massive Perivillous Fibrinoid Deposition Associated with Coxsackievirus
A16-Report of a Case, and Review of the Literature.
AB - Massive placental perivillous fibrinoid deposition in the placenta is thought to
be an immune-related condition associated with poor perinatal outcomes, including
growth restriction and intrauterine fetal demise, with a high risk of recurrence.
Rare cases have been associated with Coxsackievirus infection. We present such a
case and review the literature.
PMID- 26555410
TI - [Guidelines for diagnosis and management of aneurysmal subarachnoid hemorrhage:
top issues and prospective].
AB - OBJECTIVE: Aneurysmal subarachnoid hemorrhage (aSAH) is a kind of hemorrhagic
stroke with high mortality and morbidity. Although the preoperative diagnosis,
surgical clipping, endovascular treatment, and intensive care have progressed in
recent years, the overall prognosis of aSAH patients remains poor. In 2011, the
Neurocritical Care Society organized an international, multidisciplinary
consensus conference addressed the critical care management of SAH. In 2012, the
American Stroke Association (AHA) updated the guidelines of diagnosis and
treatment of aSAH published in 2009. In 2013, European Stroke Organization
established the guideline for management of intracranial aneurysms and SAH. In
2014, the Korean Society of Interventional Neuroradiology (KSIN) published
clinical practice guideline for the management of ruptured and unruptured
aneurysms. The guideline for diagnosis and management of aSAH for Chinese
patients has been drafted in this year. Thus, the diagnosis and management of
aSAH is a hot topic in neurosurgery. This editorial summarizes the above
mentioned guidelines and focuses on the progress and update of these guidelines.
In this article we discuss the advantage and disadvantage of those imaging
techniques, the pros and cons of surgical clipping and endovascular treatment.
PMID- 26555409
TI - Development of a PubMed Based Search Tool for Identifying Sex and Gender Specific
Health Literature.
AB - BACKGROUND: An effective literature search strategy is critical to achieving the
aims of Sex and Gender Specific Health (SGSH): to understand sex and gender
differences through research and to effectively incorporate the new knowledge
into the clinical decision making process to benefit both male and female
patients. The goal of this project was to develop and validate an SGSH literature
search tool that is readily and freely available to clinical researchers and
practitioners. METHODS: PubMed, a freely available search engine for the Medline
database, was selected as the platform to build the SGSH literature search tool.
Combinations of Medical Subject Heading terms, text words, and title words were
evaluated for optimal specificity and sensitivity. The search tool was then
validated against reference bases compiled for two disease states, diabetes and
stroke. RESULTS: Key sex and gender terms and limits were bundled to create a
search tool to facilitate PubMed SGSH literature searches. During validation, the
search tool retrieved 50 of 94 (53.2%) stroke and 62 of 95 (65.3%) diabetes
reference articles selected for validation. A general keyword search of stroke or
diabetes combined with sex difference retrieved 33 of 94 (35.1%) stroke and 22 of
95 (23.2%) diabetes reference base articles, with lower sensitivity and
specificity for SGSH content. CONCLUSIONS: The Texas Tech University Health
Sciences Center SGSH PubMed Search Tool provides higher sensitivity and
specificity to sex and gender specific health literature. The tool will
facilitate research, clinical decision-making, and guideline development relevant
to SGSH.
PMID- 26555411
TI - [Prevention and treatment of drug-related stroke].
AB - Stroke classified into ischemic and hemorrhagic subtypes, is among the most
devastating diseases for human being. Certain drugs could increase the blood
viscosity, thereby increasing the potential risk of ischemia. Anti-platelet and
anti-coagulation drugs, as the treatment of first choice, increase the risk of
intracranial hemorrhage and death. Here, we are the first to propose the concept
of drug-related stroke, and discuss the treatment strategy for patients who are
complicated with intracranial hemorrhage or plan to receive neurosurgical
operation during either anti-platelet or anti-coagulation medication. We hope to
arouse the attention for drug related stroke among the clinicians and offer
recommendation for clinical intervention.
PMID- 26555412
TI - [Pterional keyhole approach in surgical treatment of ruptured anterior
circulation intracranial aneurysm: a report of 313 cases].
AB - OBJECTIVE: To review the surgical modality with pterional keyhole approach in
treatment of anterior circulation aneurysm. METHODS: Three hundred and thirteen
patients with ruptured anterior circulation intracranial aneurysm treated
surgically with pterional keyhole approach between January 2009 and June 2014 in
Department of Neurosurgery, the Second Affiliated Hospital of Zhejiang University
School of Medicine, were included in the analysis. Complete occlusion rate of
aneurysms and incidence of major complications including delayed cerebral
ischemia and chronic hydrocephalus were documented. Surgical outcomes at 6-month
follow up were assessed by modified Rankin Scale. RESULTS: Totally 348 aneurysms
were treated with pterional keyhole approach, 326 aneurysms were completely
clipped, 16 aneurysms were partly clipped, and 6 aneurysms were wrapped with
gauze material. Among 313 patients, 15 patients (4.79%) suffered from delayed
cerebral ischemia, and 10 patients (3.19%) suffered from hydrocephalus. At the 6
month follow up, the rate of good outcome was 66.77% (209/313). CONCLUSIONS: The
pterional keyhole approach can be used to clip most of anterior circulation
aneurysms, and it seems to have advantages over the traditional approaches with
lower incidence of complications and similar outcomes.
PMID- 26555413
TI - [Brainstem cavernous malformation and its surgical treatment].
AB - OBJECTIVE: To analyze the clinical characteristics and surgery approach for
patients with brainstem cavernous malformation (BSCM). METHODS: The clinical data
of 23 BSCM patients (5 cases at midbrain, 16 cases at pons, and 2 cases at
medulla) treated in the Second Affiliated Hospital Zhejiang University School of
Medicine from July 2003 to June 2014 were retrospectively reviewed. The medical
history, radiological findings, operation records, postoperative course and
follow-up results were analyzed. RESULTS: Suboccipital approach, retrosigmoid
approach, subtentorial supracerebella approach, Poppen approach, pterional
approach, Kawase approach, interhemispheric transcallosal third ventrical
approach were applied for the surgery of BSCM patients. Among them, Kawase
approach and interhemispheric transcallosal third ventrical approach were firstly
reported in treatment of BSCM. Total resection was achieved in 22 cases.
Neurological function was improved in 15 cases, unchanged in 7 cases and
deteriorated in 1 case. Fifteen cases were followed up for a mean period of 3.5
years and signs of recurrence was found. CONCLUSION: Proper selection of surgical
approach is important to assure total resection of the lesions, to protect
surrounding normal vital structures and to avoid post-surgical complications.
PMID- 26555414
TI - [Evacuation of spontaneous supratentorial intracerebral hematoma with double
targets-single channel and multiaxial stereotaxis].
AB - OBJECTIVE: To evaluate the efficacy and safety of evacuation of spontaneous
supratentorial hemorrhage with double targets-single channel and multiaxial
stereotaxis. METHODS: Thirty-four patients with supratentorial intracerebral
hemorrhage, who underwent hematoma evacuation with the method of double targets
single channel between January 2014 and November 2014 in the Second Affiliated
Hospital, Zhejiang University School of Medicine, were included in the study. We
classified the hematoma into four types based on the principle of double targets
single channel calculation method (DTSC). And the appropriate stereotactic
surgery path and operation opportunity were designed individually according to
the hematoma volume and mass effect. Twenty-seven patients with supratentorial
hemorrhage who underwent hematoma evacuation with the method of single targets
single channel between January 2013 and November 2014 were selected as single
target group. RESULTS: Volumes of initial hematoma in DTSC and single target
groups were(38.6 +/- 19.2)mL and(40.1 +/- 18.1)mL, respectively. Initial Glasgow
Coma Scale were 9.6 +/- 3.2 (3~15) and 9.1 +/- 2.9(3~13) (all P >0.05). Residual
volume of hematoma and clearance ratio were (11.1 +/- 4.2) mL and(73.1 +/- 5.4)%
in DTSC group and (18.5 +/- 5.3) mL and(55.1 +/- 5.1)% in single target group by
CT scan 24 h after operation(all P <0.05). There was no significant difference in
Glasgow Outcome Scale between two groups in one-month follow-up. Average length
of postoperative stay of two groups were(12.6 +/- 9.8)d and (14.2 +/- 7.1)d,
respectively. CONCLUSION: Evacuation of spontaneous supratentorial intracerebral
hematoma with DTSC and multi-axial stereotaxis can increase clearance ratio
remarkably and decrease average length of stay.
PMID- 26555415
TI - [Surgical treatment of anterior circulation aneurysms via lateral supraorbital
approach].
AB - OBJECTIVE: To evaluate the efficacy of the surgery with lateral supraorbital
approach for clipping anterior circulation aneurysms. METHODS: The clinical data
of 99 patients with anterior circulation aneurysms who underwent a clipping via
lateral supraorbital approach from October 2012 to September 2014 and 75
patients, who underwent a clipping via pterional approach from January 2010 to
December 2011 in the second Affiliated Hospital, Zhejiang University School of
Medicine were reviewed. The operative duration, prognosis, residual rate and
rupture rate during surgery were compared between two groups. RESULTS: The
patients by lateral supraorbital approach had a shorter operative duration,
namely 37~61 min less than that in the patients by pterional approach. No
difference were found in residual rate and rupture rate between two groups. The
Glasgow Outcome Scale (GOS) at 3 months after surgery showed no significant
difference between two groups. CONCLUSION: The lateral supraorbital approach can
be used safely and effectively with shorter operative duration and less tissue
damage for treatment of patients with anterior circulation aneurysms.
PMID- 26555416
TI - [Comparison clinical efficacy of 3% hypertonic saline solution with 20% mannitol
in treatment of intracranial hypertension in patients with aneurysmal
subarachnoid hemorrhage].
AB - OBJECTIVE: To compare the efficacy of 3% hypertonic saline solution with 20%
mannitol in treatment of intracranial hypertension in patients with aneurysmal
subarachnoid hemorrhage. METHODS: An alternating treatment protocol was used to
compare the efficacy of 160 mL 3% hypertonic saline solution (HSS) with 150 mL
20% mannitol for episodes of increased intracranial pressure (ICP) in patients
with aneurysmal subarachnoid hemorrhage. The dependent variables were the extent
and duration of reduction of increased ICP after each event. RESULTS: Both 3% HSS
and 20% mannitol rapidly decreased the ICP in patients with aneurysmal
subarachnoid hemorrhage (P <0.01). No difference between two medications in the
extent of duration of ICP and reduction of action (P >0.05). CONCLUSION: 3% HSS
should be considered as the first-line osmotic drug in treatment of intracranial
hypertension in patients with aneurysmal subarachnoid hemorrhage.
PMID- 26555417
TI - [A3-A3 side-to-side anastomosis combined with endovascular intervention in
recurrent complex anterior artery aneurysm: a case report and literature review].
AB - A 28-year-old female patient was admitted to the Second Affiliated Hospital,
Zhejiang University School of Medicine, with sudden headache and vomiting for 1
day. CT scan conducted at emergency revealed subarachnoid hemorrhage, whereas
digital subtraction angiography demonstrated a wide-neck aneurysm located at A1
segment of the left anterior cerebral artery. The aneurysm was totally coiled
using stent assistance, which, however, was recanalized at 3 month follow-up.
This patient was then subjected to aneurysm and parent artery occlusion after
bypass of the bilateral A3 segments, who recovered well and discharged without
ischemic complications.
PMID- 26555418
TI - [Effects of miRNA-21 on paclitaxel-resistance in human breast cancer cells].
AB - OBJECTIVE: To investigate the effects of miR-21 on paclitaxel-resistance in human
breast cancer MCF-7/PR and SKBR-3/PR cells. METHODS: Paclitaxel-resistant human
breast cancer cell lines MCF-7/PR and SKBR-3/PR were established by stepwise
selection in increasing concentration of paclitaxel. Cellular morphology, mRNA
and protein level of MDR1, BCRP and MRP1 in MCF-7/PR and SKBR-3/PR cells were
determined. The expression of Bax, Bcl-2 and miR-21 in parental and paclitaxel
resistant cells was detected by RT-PCR and Western blotting. The synthetic miR-21
inhibitor or miR-21 mimic were transfected into MCF-7/PR, SKBR-3/PR and MCF-7,
SKBR-3 cells with Lipofectamine 2000. The miR-21 levels were determined by RT
PCR, and P-gp, Bcl-2 and Bax protein levels were examined by Western blotting.
MTT assay was used to measure the cell viability, and flow cytometry was
performed to analyze the cell cycle and apoptosis. RESULTS: The levels of MDR1,
BCRP, MRP1, Bcl-2/Bax and miR-21 in MCF-7/PR and SKBR-3/PR cells were
significantly higher than those in MCF-7 and SKBR-3 cells. The protein levels of
P-gp, Bcl-2 were up-regulated, and Bax was down-regulated compared with parental
cells. MiR-21 was significantly down-regulated after miR-21 inhibitor was
transfected; and the levels of MDR1, BCRP, MRP1 and Bcl-2/Bax (P <0.05) were also
down-regulated. MiR-21 inhibitors significantly suppressed G0/G1 transition of
the cell cycle, and induced cell apoptosis in MCF-7/PR and SKBR-3/PR cells. MTT
results showed that miR-21 inhibitors induced sensitivity of MCF-7/PR and SKBR
3/PR cells to paclitaxel. And miR-21 mimic can increase the expression of MDR1,
Bcl-2/Bax and change cell morphology from parental cells to resistant cells.
RESULTS: The established MCF-7/PR and SKBR-3/PR breast cancer cells show typical
multidrug resistance characteristics, which can be used as the model for drug
resistance study. Down-regulated miR-21 expression in MCF-7/PR and SKBR-3/PR
breast cancer cells can enhance cell sensitivity to paclitaxel.
PMID- 26555419
TI - [Risk factors of progressive brain contusion and relationship with outcome].
AB - OBJECTIVE: To investigate the risk factors of progressive brain contusion and to
evaluate their impact on patients' outcome. METHODS: One hundred and thirty two
patients with traumatic brain contusion were enrolled in the study, including 70
cases with progressive contusion and 62 cases with non-progressive contusion. The
risk factors were investigated with univariate and multivariate Logistic
regression analysis. RESULTS: The univariate analysis showed that Glasgow Coma
Score (GCS) at admission, contusion volume at the first brain CT scans, midline
shift, combined with skull fracture, subarachnoid hemorrhage, epidural hematoma,
subdural hematoma, location of brain contusion, D-dimer levels, combined with
type 2 diabetes were associated with progressive brain contusion. Multivariate
Logistic regression analysis showed that GCS at admission, contusion volume at
the first CT scans, combined with subarachnoid hemorrhage, combined with type 2
diabetes were the independent risk factors for disease progression. The outcome
in the progressive group was more aggravated than that in non-progressive group
(P = 0.001). CONCLUSION: Patients with disturbance of consciousness, the larger
contusion volume, combined with subarachnoid hemorrhage and diabetes are at risk
for progressive brain contusion and unfavorable outcome.
PMID- 26555420
TI - [Distribution of HCV genotypes in Chinese Han population with chronic hepatitis
C].
AB - OBJECTIVE: To investigate the distribution of HCV genotypes in Chinese Han
population with chronic hepatitis C (CHC). METHODS: This randomized multicenter
study included 1 014 CHC patients from 28 hospitals in different regions of
China. SPSS 20.0 was applied to analyze the relationship among region, HCV
genotype, gender and the replication level of HCV-RNA. RESULTS: HCV 1 genotype
(56.80%) was the most common genotype. The majority of CHC patients were of
genotype 1, 2, 3, 6 in the order of frequency, except those in southwestern,
southern and central China. HCV 1, 2, 3, 6 genotypes were most common among male
patients in southern China; among female patients in northern China; among male
patients in northern and northwestern China and among male patients in
northwestern China, respectively (all P <0.05). There was no statistical
significance between different genders in other regions. The high viral load was
more common than the low viral load among HCV 1, 2, 3, 6 genotype-infected
patients. CONCLUSION: There are different distributions of HCV genotypes among
the different regions. In addition, HCV genotypes are correlated with gender and
HCV-RNA load.
PMID- 26555421
TI - [Preparation of rabbit monoclonal antibody against cGMP and development of
competitive ELISA for cGMP].
AB - OBJECTIVE: To prepare rabbit monoclonal antibody (RabMab) against guanosine 3',
5'-cyclic monophosphate (cGMP) and to develop a competitive ELISA for the
detection of cGMP. METHODS: New Zealand white rabbits were immunized with
synthesized cGMP-keyhole limpet hemoeyanin (cGMP-KLH) to prepared a RabMAb with
monoclonal antibody technique of Epitomics. A competitive ELISA kit was produced
with cGMP RabMAb. The specificity, the precision and the recoveries of the method
were determined. RESULTS: The RabMAb with high sensitivity towards cGMP were
prepared with an antibody timer of 3.1 ng/mL and 50% inhibitive concentration
(IC50) of 12.57 ng/mL. The cGMP RabMAb had 33% cross-reactivity to inosine 3', 5'
cyclic monophosphate (cIMP) and little or no cross-reactivity to other compounds.
A competitive ELISA was developed for detection of cGMP. The range of detection
was 0~120 ng/mL with a minimal limit of 1.95 ng/mL. The recovery of assay was
89%~103%. The inter-assay and intra-assay coefficient variations were below
11.68% and 13.85%, respectively. CONCLUSION: The RabMab against cGMP with high
affinity and high specificity has been generated successfully, and a competitive
ELISA for detection of cGMP has been developed with the prepared cGMP RabMAb.
PMID- 26555422
TI - [Simultaneous quantitative analysis of different ceramide species in cells by
high-performance liquid chromatography-tandem mass spectrometry].
AB - OBJECTIVE: To establish a high-performance liquid chromatography-tandem mass
spectrometry (HPLC-ESI-MS) method for simultaneous quantitative analysis of
different ceramide species in cells. METHODS: The analysis was performed on an
Agilent 1290 HPLC system with a ZORBAX Eclipse XDB-C8 (150.0 mm * 2.1 mm, 3.5
MUL) column and a temperature of 35 C. Methanol with 1 mmol/L ammonium formate
and 0.2% formic acid was used as mobile phase A and 100% methanol was used as
mobile phase B. And the ceramides were separated by gradient elution at a flow
rate of 0.3 mL/min. Electrospray ionization (ESI) with multiple reaction
monitoring (MRM) was used in the analysis. RESULTS: Four ceramide species all had
a good linear response in the determination ranges (R2 >= 0.9987). The average
recoveries (n = 9) were 99.1%,99.9%,100.5% and 98.2% with RSDs of 5.6%, 5.1%,
4.7% and 5.5%, respectively. In addition, the levels of ceramides in FL cells
were relatively stable, while the C24-ceramide had the highest level. CONCLUSION:
The HPLC-ESI-MS method for simultaneous analysis of ceramides has high accuracy,
reproducibility and linearity, which may be used for quantification of ceramide
species in cells.
PMID- 26555423
TI - [The value of narrow-band imaging with magnifying endoscopy in diagnosis of early
gastric cancer: a meta-analysis].
AB - OBJECTIVE: To assess the diagnostic value of narrow-band imaging with magnifying
endoscopy (NBI-ME) for early gastric cancer (EGC). METHODS: We searched PubMed,
Embase, Web of Science and the Cochrane Library for literature of NBI-ME in
diagnosis of EGC, and then performed meta-analysis. RESULTS: A total of 12
articles involving 2 278 samples from 2 048 patients were included. The overall
sensitivity of NBI-ME for diagnosis of EGC was 0.84 [95% CI: 0.80~0.87],
specificity was 0.96 (95% CI: 0.95~0.97),and area under the symmetric receiver
operator characteristic curve (AUC) was 0.9592. The AUC value of the NBI-ME plus
conventional white light endoscopy (C-WLE) subgroup (0.9706) was higher than that
of NBI-ME alone (0.8162). The incremental yield of NBI-ME plus C-WLE over C-WLE
was significant (IY = 9.4%, P = 0.011), while NBI-ME alone over C-WLE was not
significant (IY = 0.8%, P = 0.498). CONCLUSIONS: The results show that NBI-ME
plus C-WLE is an effective and preferable method for diagnosis of EGC; however,
NBI-ME alone is not superior to C-WLE.
PMID- 26555424
TI - [Epidemiology, diagnosis and treatment of Middle East respiratory syndrome].
AB - Middle East respiratory syndrome (MERS) has raised global public health concerns.
The recent outbreak of MERS coronavirus (MERS-CoV) infection has led to 1 338
laboratory-confirmed cases in 26 countries worldwide as reported till 19 June,
2015. MERS-CoV may be considered a zoonotic virus that has crossed the species
barrier to humans, but the pathogenesis and the routes of transmission are not
completely understood. Most MERS-CoV cases reported thus far have a history of
residence in or travel to the Middle East. Human-to-human transmission though was
observed on some occasions in Korea, it is documented as non-sustainable event.
The envelope spike glycoprotein on the surface of MERS-CoV which mediates
receptor binding, membrane fusion and viral entry is thought to be involved in
the mechanism of MERS-CoV.No specific and effective treatment for MERS-CoV is
currently recommended, although supportive treatment has played an important
role. Prophylactic strategies are necessary to prevent MERS-CoV infection.
PMID- 26555425
TI - [Progress on perioperative monitoring of cerebral blood flow autoregulation].
AB - Cerebral blood flow autoregulation is physiologically protective mechanism to
maintain the stability of cerebral blood flow. Once autoregulation is impaired,
the cerebral blood flow fluctuates with blood pressure, leading to the risk of
brain ischemia or cerebral hyperemia. Multiple research results indicate that
cerebral blood flow can be monitored indirectly and continuously with
transcranial Doppler, near infrared spectroscopy or ICP. The correlation
coefficient calculated by the surrogate for cerebral blood flow and blood
pressure is used to judge cerebral blood flow autoregulation. When the
correlation coefficient is close to 1, cerebral blood flow will be passively
fluctuated by blood pressure, indicating autoregulation is impaired. When the
coefficient is less than 0, cerebral blood flow will not be changed with blood
pressure, indicating autoregulaiton is intact. The status of autoregualtion is
closely associated with mortality or poor neurological outcomes in patients with
cardiac surgery underwent cardiopulmonary bypass, liver transplantation patients
or patients with deep trendelenburg position for long time or beach chair
position. Continuous monitoring of cerebral blood flow autoregulation can
identify the lower or the upper limit of autoregulation, and provide information
to individualize the perioperative management of blood pressure.
PMID- 26555426
TI - [Advances in measles virus for cancer therapy].
AB - Oncolytic virotherapy is a novel cancer therapy. Vaccine-attenuated strains of
measles virus(MV)is an ideal candidate for oncolytic virotherapy which has an
excellent safety record. Vaccine-attenuated MV uses CD46 and Nectin-4 molecule as
major entry receptors into cells. Vaccine-attenuated MV can selectively infect
and kill a wide variety of cancer cells in vitro and in vivo. With the
development of molecular cloning, scientists have successfully rescued cDNA of
vaccine-attenuated MV and increased its oncolytic efficiency with molecular
engineering techniques. Phase I clinical trials of virotherapy for ovarian cancer
and multiple myeloma with vaccine-attenuated MV are underway. The preliminary
results indicate the promising antitumor potential of vaccine-attenuated MV.
PMID- 26555427
TI - [Asteatotic dermatitis: etiology and pathogenesis].
AB - Asteatotic dermatitis (AsD) is a common skin disease characterized by dry skin,
itching, erythema, peeling and other skin lesions. It results from the damage in
cutaneous barrier related to age, seasonal climate and bathing habits, and
presents dysfunction of sebaceous, sweat glands and the increase of
transepidermal water loss. The pathogenesis of AsD is not clear. Previous studies
mainly focused on the relationship between skin barrier damage and bathing
habits, age or gender. Recently, more studies focus on its relationship with
other systematic diseases and drugs. This paper reviews etiology and pathogenesis
of AsD to provide new ideas for the diagnosis and treatment of AsD.
PMID- 26555428
TI - Intensity of anxiety is modified via complex integrative stress circuitries.
AB - Escalation of anxious behavior while environmentally and socially relevant
contextual events amplify the intensity of emotional response produces a testable
gradient of anxiety shaped by integrative circuitries. Apprehension of the Stress
Alternatives Model apparatus (SAM) oval open field (OF) is measured by the active
latency to escape, and is delayed by unfamiliarity with the passageway. Familiar
OF escape is the least anxious behavior along the continuum, which can be reduced
by anxiolytics such as icv neuropeptide S (NPS). Social aggression increases
anxiousness in the SAM, reducing the number of mice willing to escape by 50%. The
apprehension accompanying escape during social aggression is diminished by
anxiolytics, such as exercise and corticotropin releasing-factor receptor 1
(CRF1) antagonism, but exacerbated by anxiogenic treatment, like antagonism of
alpha2-adrenoreceptors. What is more, the anxiolytic CRF1 and anxiogenic alpha2
adrenoreceptor antagonists also modify behavioral phenotypes, with CRF1
antagonism allowing escape by previously submissive animals, and alpha2
adrenoreceptor antagonism hindering escape in mice that previously engaged in it.
Gene expression of NPS and brain-derived neurotrophic factor (BDNF) in the
central amygdala (CeA), as well as corticosterone secretion, increased
concomitantly with the escalating anxious content of the mouse-specific anxiety
continuum. The general trend of CeA NPS and BDNF expression suggested that NPS
production was promoted by increasing anxiousness, and that BDNF synthesis was
associated with learning about ever-more anxious conditions. The intensity
gradient for anxious behavior resulting from varying contextual conditions may
yield an improved conceptualization of the complexity of mechanisms producing the
natural continuum of human anxious conditions, and potential therapies that arise
therefrom.
PMID- 26555429
TI - MicroRNA-155 deletion reduces anxiety- and depressive-like behaviors in mice.
AB - Depressive disorders have complex and multi-faceted underlying mechanisms,
rendering these disorders difficult to treat consistently and effectively. One
under-explored therapeutic strategy for alleviating mood disorders is the
targeting of microRNAs (miRs). miRs are small non-coding RNAs that cause
sequestration/degradation of specific mRNAs, thereby preventing protein
translation and downstream functions. miR-155 has validated and predicted
neurotrophic factor and inflammatory mRNA targets, which led to our hypothesis
that miR-155 deletion would modulate affective behaviors. To evaluate anxiety
like behavior, wildtype (wt) and miR-155 knockout (ko) mice (littermates; both
male and female) were assessed in the open field and on an elevated plus maze. In
both tests, miR-155 ko mice spent more time in open areas, suggesting they had
reduced anxiety-like behavior. Depressive-like behaviors were assessed using the
forced swim test. Compared to wt mice, miR-155 ko mice exhibited reduced float
duration and increased latency to float. Further, although all mice exhibited a
strong preference for a sucrose solution over water, this preference was enhanced
in miR-155 ko mice. miR-155 ko mice had no deficiencies in learning and memory
(Barnes maze) or social preference/novelty suggesting that changes in mood were
specific. Finally, compared to wt hippocampi, miR-155 ko hippocampi had a reduced
inflammatory signature (e.g., decreased IL-6, TNF-a) and female miR-155 ko mice
increased ciliary neurotrophic factor expression. Together, these data highlight
the importance of studying microRNAs in the context of anxiety and depression and
identify miR-155 as a novel potential therapeutic target for improving mood
disorders.
PMID- 26555430
TI - Cortisol levels and suicidal behavior: A meta-analysis.
AB - Suicide is a major cause of death worldwide, responsible for 1.5% of all
mortality. The causes of suicidal behavior are not fully understood. Dysregulated
hypothalamic-pituitary-adrenal (HPA) axis activity, as measured by cortisol
levels, is one potential risk factor. This meta-analytic review aimed (i) to
estimate the strength and variability of the association between naturally
fluctuating cortisol levels and suicidal behavior and (ii) to identify moderators
of this relationship. A systematic literature search identified 27 studies
(N=2226; 779 suicide attempters and 1447 non-attempters) that met the study
eligibility criteria from a total of 417 unique records initially examined.
Estimates of effect sizes (r) obtained from these studies were analysed using
Comprehensive Meta-Analysis. In these analyses, we compared participants
identified as having a past history of suicide attempt(s) to those with no such
history. Study quality, mean age of sample and percentage of male participants
were examined as potential moderators. Overall, there was no significant effect
of suicide group on cortisol. However, significant associations between cortisol
and suicide attempts were observed as a function of age. In studies where the
mean age of the sample was below 40 years the association was positive (i.e.,
higher cortisol was associated with suicide attempts; r=.234, p<.001), and where
the mean age was 40 or above the association was negative (i.e., lower cortisol
was associated with suicide attempts; r=-.129, p<.001). These findings confirm
that HPA axis activity, as indicated by age-dependent variations in cortisol
levels, is associated with suicidal behavior. The challenge for theory and
clinical practice is to explain the complete reversal of the association with age
and to identify its clinical implications.
PMID- 26555432
TI - Correction: Increased Wounding of Southern Right Whale (Eubalaena australis)
Calves by Kelp Gulls (Larus dominicanus) at Peninsula Valdes, Argentina.
PMID- 26555431
TI - Incidence and complications of interstitial lung disease in users of tocilizumab,
rituximab, abatacept and anti-tumor necrosis factor alpha agents, a retrospective
cohort study.
AB - INTRODUCTION: Interstitial lung disease (ILD) is a common extra-articular
condition in rheumatoid arthritis (RA), but few studies have systematically
investigated its incidence and risk factors in patients receiving anti-tumor
necrosis factor-alpha (anti-TNFalpha) agents or alternate mechanisms of action
(MOAs) (e.g., T-cell, B-cell, and interleukin-6 inhibitors). METHODS: RA patients
at least 18 years old were selected from the MarketScan databases (2010-2012) if
they had at least one prescription/administration of abatacept, rituximab,
tocilizumab, or anti-TNF after having discontinued a different biologic agent and
meeting enrollment criteria. Cox models estimated the risk of incident ILD and
ILD-related hospitalization. Sensitivity analyses used an alternate ILD case
definition. RESULTS: We identified 13,795 episodes of biologic exposure in 11,219
patients. Mean (standard deviation) follow-up was 0.7 (0.5) years. Patients
receiving alternate MOA agents were more likely to have had recent exposure to
steroids, prior exposure to a greater number of biologics, and history of ILD,
anemia, chronic obstructive pulmonary disease, and other pulmonary conditions.
When the sensitive definition was used, unadjusted ILD incidence rates (95%
confidence interval, or CI) ranged from 4.0 (1.6-8.2, abatacept) to 12.2 (5.6
23.2, infliximab) per 1000 person-years. Being older (hazard ratio (HR) 3.5; 95%
CI 2.1-6.0), being male (HR 3.1; 95% CI 1.2-8.4), and having another pulmonary
condition (HR 4.8; 95% CI 1.7-13.7) were associated with increased ILD incidence
in either sensitive and/or specific models. There were no significant differences
by biologic class. Hospitalization rates (95% CI) when the sensitive definition
was used ranged from 55.6 (6.7-200.7, tocilizumab) to 262.5 (71.5-672.2,
infliximab). In Cox models, recent methotrexate exposure was associated with
reduced ILD hospitalization (HR 0.16; 95% CI 0.06-0.46), whereas being male (HR
2.5; 95% CI 1.3-4.8) and having had a hospitalization for asthma (HR 3.4; 95% CI
1.2-9.8) or ILD/pneumonia (HR 2.3; 95% CI 1.1-4.7) in the 12 months prior to
index were associated with increased hospitalization risk. CONCLUSIONS: There
were no significant differences in the risk of ILD and its related complications
between RA patients receiving anti-TNFalpha agents and those receiving alternate
MOA agents. Further studies are needed that account for differences in baseline
characteristics in order to fully evaluate the risk of ILD and its complications.
PMID- 26555433
TI - Atresia of the common pulmonary vein: the importance of phenotypic recognition.
PMID- 26555434
TI - Prevalence of pain-related single nucleotide polymorphisms in patients of African
origin with sickle cell disease.
AB - BACKGROUND: Prospective pain genetics research is hindered by a lack of data on
the prevalence of polymorphisms in pain-relevant genes for patients with sickle
cell disease (SCD). For African-Americans in general, limited information is
available in public databases. METHODS: We prioritized and examined the genotype
and allele frequencies of 115 SNPs from 49 candidate pain genes in 199 adult
African-Americans and pediatric patients of African origin with SCD. Analyses
were performed and compared with available data from public databases. RESULTS:
Genotype and allele frequencies of a number of SNPs were found to be different
between our cohort and those from the databases and between adult and pediatric
subjects. CONCLUSION: As pain therapy is inadequate in a significant percentage
of patients with SCD, candidate pain genetic studies may aid in designing
precision pain medicine. We provide prevalence data as a reference for
prospective genetic studies in this population.
PMID- 26555435
TI - Generation of Prostate Cancer Patient Derived Xenograft Models from Circulating
Tumor Cells.
AB - Patient derived xenograft (PDX) models are gaining popularity in cancer research
and are used for preclinical drug evaluation, biomarker identification, biologic
studies, and personalized medicine strategies. Circulating tumor cells (CTC) play
a critical role in tumor metastasis and have been isolated from patients with
several tumor types. Recently, CTCs have been used to generate PDX experimental
models of breast and prostate cancer. This manuscript details the method for the
generation of prostate cancer PDX models from CTCs developed by our group.
Advantages of this method over conventional PDX models include independence from
surgical sample collection and generating experimental models at various disease
stages. Density gradient centrifugation followed by red blood cell lysis and flow
cytometry depletion of CD45 positive mononuclear cells is used to enrich CTCs
from peripheral blood samples collected from patients with metastatic disease.
The CTCs are then injected into immunocompromised mice; subsequently generated
xenografts can be used for functional studies or harvested for molecular
characterization. The primary limitation of this method is the negative selection
method used for CTC enrichment. Despite this limitation, the generation of PDX
models from CTCs provides a novel experimental model to be applied to prostate
cancer research.
PMID- 26555436
TI - A colorimetric probe to determine Pb(2+) using functionalized silver
nanoparticles.
AB - A simple and sensitive colorimetric method for the determination of Pb(2+) ions
in aqueous samples was developed using 1-(2-mercaptoethyl)-1,3,5-triazinane-2,4,6
trione (MTT) functionalized silver nanoparticles (MTT-AgNPs). The Pb(2+) ion
acted as the metal center of the coordination complex, which formed N-Pb(2+)-O
coordination bonds with the MTT-AgNPs, shortening the interparticle distance, and
inducing aggregation of the MTT-AgNPs. This aggregation resulted in a dramatic
color change from yellow to dark blue. Using this methodology, the concentration
of Pb(2+) ions in environmental samples could be quantitatively detected with the
naked eye or by using UV-vis spectrometry. Also, we found that the selectivity
and sensitivity of detection were noticeably improved in the pH range of 7-8, at
which a more obvious color change was observed. The absorption ratios (A625/A395)
of the modified AgNP solution exhibited a linear correlation with Pb(2+) ion
concentrations within the linear range of 0.1-0.6 MUg mL(-1), and the limits of
detection in tap and pond water were 0.02 and 0.06 MUg mL(-1), respectively. This
cost-effective sensing system allows for the rapid and facile determination of
Pb(2+) ions in aqueous samples.
PMID- 26555437
TI - The prevalence of CALR mutations in a cohort of patients with myeloproliferative
neoplasms.
AB - INTRODUCTION: To investigate the prevalence of calreticulin (CALR) mutations in
JAK2- and MPL-non-mutated patients with suspected myeloproliferative neoplasm
(MPN) from a large MPN clinic and confirm a diagnosis of MPN. METHODS: JAK2/MPL
non-mutated patients from the Belfast City Hospital (BCH) with either of the MPNs
- ET or MF - and diagnosed between 1988 and 2014 were selected for CALR screen.
All cases were validated according to the WHO 2008 classification for MPNs.
Statistical analysis was performed with Minitab 16 Statistical Software package.
Exon 9 of CALR was amplified by PCR using genomic DNA, and mutations were
detected by fragment analysis. RESULTS: Of the 62 JAK2/MPL-non-mutated MPN
patients screened, 57 had ET and 5 had MF; 34 patients (53.1%) carried CALR
mutations. Three of 5 MF patients were CALR positive. Thirty-one ET patients
(54.3%) harboured CALR mutation, whereas 26 (45.7%) were classified as 'triple
negatives'. CONCLUSION: Detection of CALR mutations in a cohort of JAK2/MPL-non
mutated patients with suspected MPN confirmed the diagnosis of MPN in around 53%
of cases. This is lower than initially reported, but similar to subsequent
studies. However, a sizable cohort of patients remains lacking a specific
molecular marker.
PMID- 26555438
TI - Bifunctional Sphingosine for Cell-Based Analysis of Protein-Sphingolipid
Interactions.
AB - Sphingolipids are essential structural components of cellular membranes and are
crucial regulators of cellular processes. While current high-throughput
approaches allow for the systematic mapping of interactions of soluble proteins
with their lipid-binding partners, photo-cross-linking is the only technique that
enables for the proteome-wide mapping of integral membrane proteins with their
direct lipid environment. Here, we report the synthesis of a photoactivatable and
clickable analog of sphingosine (pacSph). When administered to sphingosine-1
phosphate lyase deficient cells, pacSph allows its metabolic fate and the
subcellular flux of de novo synthesized sphingolipids to be followed in a time
resolved manner. The chemoproteomic profiling yielded over 180 novel sphingolipid
binding proteins, of which we validated a number, demonstrating the unique value
of this technique as a discovery tool. This work provides an important resource
for the understanding of the global cellular interplay between sphingolipids and
their interacting proteins.
PMID- 26555439
TI - Supramolecular Alternating Polymer from Crown Ether and Pillar[5]arene-Based
Double Molecular Recognition for Preparation of Hierarchical Materials.
AB - A novel supramolecular alternating polymer is constructed based on double
molecular recognition events of benzo-21-crown-7 with a secondary ammonium salt
and of pillar[5]arene with a neutral guest. The resulting polymer is utilized to
prepare hierarchical materials with different dimensionalities for the first
time. These materials included zero-dimensional spherical aggregates, one
dimensional nanofibers, two-dimensional microstructured films, and three
dimensional ordered glue. This development will be helpful for designing and
preparing supramolecular hierarchical materials with different dimensionalities.
PMID- 26555440
TI - Pulse pressure is not an independent predictor of incident atrial fibrillation in
60-year-old men and women.
AB - AIM: To evaluate if pulse pressure (PP) is a risk predictor for atrial
fibrillation (AF) in a longitudinal study of 60-year-old men and women from
Stockholm (n = 4,232), free from AF at baseline, with primary end-point incident
AF. METHODS: AF diagnoses were obtained from the national hospital discharge
register. The estimated risk of AF associated with increasing PP values was
calculated according to PP values above median (>52.5 mmHg) and according to 1-SD
increase (14 mmHg) in PP, using a crude and an adjusted Cox proportional hazard
regression model. RESULTS: During a mean follow-up of 13.6 years, 286 incident AF
cases were recorded. The number of AF cases increased significantly with
increasing PP quartile in men but not in women. PP values above median were
associated with increased AF risk (crude HR 1.63, 95% CI 1.28-2.06; p < 0.001),
but risk estimates were attenuated after adjustment for common AF risk factors.
When PP was entered in the Cox regression model as a continuous variable, the
risk of AF did not change by 1-SD PP increase (adjusted HR 1.04, 95% CI 0.91
1.20; p = 0.560). CONCLUSIONS: PP seems not to be associated with incident AF in
a Swedish population of 60-year-old men and women.
PMID- 26555441
TI - PharmDB-K: Integrated Bio-Pharmacological Network Database for Traditional Korean
Medicine.
AB - Despite the growing attention given to Traditional Medicine (TM) worldwide, there
is no well-known, publicly available, integrated bio-pharmacological Traditional
Korean Medicine (TKM) database for researchers in drug discovery. In this study,
we have constructed PharmDB-K, which offers comprehensive information relating to
TKM-associated drugs (compound), disease indication, and protein relationships.
To explore the underlying molecular interaction of TKM, we integrated fourteen
different databases, six Pharmacopoeias, and literature, and established a
massive bio-pharmacological network for TKM and experimentally validated some
cases predicted from the PharmDB-K analyses. Currently, PharmDB-K contains
information about 262 TKMs, 7,815 drugs, 3,721 diseases, 32,373 proteins, and
1,887 side effects. One of the unique sets of information in PharmDB-K includes
400 indicator compounds used for standardization of herbal medicine. Furthermore,
we are operating PharmDB-K via phExplorer (a network visualization software) and
BioMart (a data federation framework) for convenient search and analysis of the
TKM network. Database URL: http://pharmdb-k.org, http://biomart.i-pharm.org.
PMID- 26555442
TI - Total Syntheses of Perenniporides.
AB - The total syntheses of perenniporide A (1) and related compounds have been
achieved. Starting from 1,3,5-trifluorobenzene (9), difluorodienone 6 was
obtained by oxidative dearomatization, which served as a platform for the high
pressure cycloaddition and for the introduction of the C3-methoxy group. The
synthesis allowed access to the natural congeners 2 and 3, enabling assignment of
the absolute structures of these natural products.
PMID- 26555443
TI - Why Wait? Early Determinants of School Dropout in Preventive Pediatric Primary
Care.
AB - BACKGROUND: To answer the question of what bio-psychosocial determinants in
infancy, early and middle childhood, and adolescence predict school drop-out in
young adulthood, we approached the complex process towards school dropout as a
multidimensional, life-course phenomenon. The aim is to find signs of heightened
risks of school dropout as early as possible which will eventually help public
health workers in reducing these risks. METHODS: In a case-control design, we
used data from both the Preventive Pediatric Primary Care (PPPC) files (that
contain information from birth onwards) and additional questionnaires filled out
by 529 youngsters, aged 18-23 years, and living in the South-east of the
Netherlands. We first conducted univariate logistic regression analyses with
school-dropout as the dependent variable. Backward and forward stepwise analyses
with the significant variables were done with variables pertaining to the 0 to 4
year period. Remaining significant variables were forced into the next model and
subsequently variables pertaining to respectively the 4 to 8, 8 to 12 and 12 to
16 year period were introduced in a stepwise analysis. All analyses were cross
validated in an exploratory and confirmatory random half of the sample. RESULTS:
One parent families and families with a non-Western background less often
attended the health examinations of the PPPC and such less attendance was related
to school dropout. The birth of a sibling (OR 0.63, 95% CI 0.43-0.93) in infancy
and self-efficacy (OR 0.53, 95% CI 0.38-0.74) in adolescence decreased the odds
of school dropout; externalizing behavior (OR 2.81, 95% CI 1.53-5.14) in middle
childhood and (sickness) absence (OR 5.62, 95% CI 2.18-14.52) in adolescence
increased the risks. CONCLUSION: To prevent school dropout, PPPC professionals
should not wait until imminent dropout, but should identify and tackle risk
factors as early as possible and actively approach youngsters who withdraw from
public health care.
PMID- 26555444
TI - RNA Thermodynamic Structural Entropy.
AB - Conformational entropy for atomic-level, three dimensional biomolecules is known
experimentally to play an important role in protein-ligand discrimination, yet
reliable computation of entropy remains a difficult problem. Here we describe the
first two accurate and efficient algorithms to compute the conformational entropy
for RNA secondary structures, with respect to the Turner energy model, where free
energy parameters are determined from UV absorption experiments. An algorithm to
compute the derivational entropy for RNA secondary structures had previously been
introduced, using stochastic context free grammars (SCFGs). However, the
numerical value of derivational entropy depends heavily on the chosen context
free grammar and on the training set used to estimate rule probabilities. Using
data from the Rfam database, we determine that both of our thermodynamic methods,
which agree in numerical value, are substantially faster than the SCFG method.
Thermodynamic structural entropy is much smaller than derivational entropy, and
the correlation between length-normalized thermodynamic entropy and derivational
entropy is moderately weak to poor. In applications, we plot the structural
entropy as a function of temperature for known thermoswitches, such as the
repression of heat shock gene expression (ROSE) element, we determine that the
correlation between hammerhead ribozyme cleavage activity and total free energy
is improved by including an additional free energy term arising from
conformational entropy, and we plot the structural entropy of windows of the HIV
1 genome. Our software RNAentropy can compute structural entropy for any user
specified temperature, and supports both the Turner'99 and Turner'04 energy
parameters. It follows that RNAentropy is state-of-the-art software to compute
RNA secondary structure conformational entropy. Source code is available at
https://github.com/clotelab/RNAentropy/; a full web server is available at
http://bioinformatics.bc.edu/clotelab/RNAentropy, including source code and
ancillary programs.
PMID- 26555445
TI - Disease Burden of Invasive Listeriosis and Molecular Characterization of Clinical
Isolates in Taiwan, 2000-2013.
AB - The information about disease burden and epidemiology of invasive listeriosis in
Asia is scarce. From 2000 to 2013, a total of 338 patients with invasive
listeriosis (bacteremia, meningitis, and peritonitis) were treated at four
medical centers in Taiwan. The incidence (per 10,000 admissions) of invasive
listeriosis increased significantly during the 14-year period among the four
centers (0.15 in 2000 and >1.25 during 2010-2012) and at each of the four medical
centers. Among these patients, 45.9% were elderly (>65 years old) and 3.3% were
less than one year of age. More than one-third (36.7%) of the patients acquired
invasive listeriosis in the spring (April to June). Among the 132 preserved
Listeria monocytogenes isolates analyzed, the most frequently isolated PCR
serogroup-sequence type (ST) was IIb-ST87 (23.5%), followed by IIa-ST378 (19.7%)
and IIa-ST155 (12.1%). Isolation of PCR serogroups IIb and IVb increased
significantly with year, with a predominance of IIb-ST87 isolates (23.5%) and IIb
ST 228 isolates emerging in 2013. A total of 12 different randomly amplified
polymorphic DNA (RAPD) patterns (Patterns I to XII) were identified among the 112
L. monocytogenes isolates belonging to eight main PCR serogroup-STs. Identical
RAPD patterns were found among the isolates exhibiting the same PCR serogroup-ST.
In conclusion, our study revealed that during 2000-2013, listeriosis at four
medical centers in Taiwan was caused by heterogeneous strains and that the
upsurge in incidence beginning in 2005 was caused by at least two predominant
clones.
PMID- 26555446
TI - Response to 'Letter to "Uterine perforation in an adolescent using an
intrauterine device with memory function: A case report and review of the
published work"'.
PMID- 26555447
TI - Duration of Expulsive Efforts and Risk of Postpartum Hemorrhage in Nulliparous
Women: A Population-Based Study.
AB - OBJECTIVE: To assess the specific association between the duration of expulsive
efforts and the risk of postpartum hemorrhage. METHODS: Population-based cohort
nested case-control study of nulliparous women delivering vaginally in 106 French
maternity units between December 2004 and November 2006, including 3,852 women
with PPH (blood loss >= 500 mL and/or peripartum Hb decrease >= 2 g/dL), 1,048 of
them severe (peripartum Hb decrease >= 4 g/dL or transfusion of >= 2 units of red
blood cells), and 762 controls from a representative sample of deliveries without
hemorrhage in the same population. The association between duration of expulsive
efforts and postpartum hemorrhage was estimated by multilevel logistic regression
models adjusted for individual and hospital characteristics. RESULTS: Median
duration of expulsive efforts was 18 minutes among controls, 20 minutes among
postpartum hemorrhage and 23 minutes among severe postpartum hemorrhage (p<0.01).
Duration of expulsive efforts was significantly, positively, and linearly
associated with both postpartum hemorrhage and severe postpartum hemorrhage.
After adjustment for other risk factors, every additional 10 minutes of expulsive
efforts was associated with about a 10% increase in the risk of postpartum
hemorrhage (aOR = 1.11 [1.02-1.21]) and severe postpartum hemorrhage (aOR = 1.14
[1.03-1.27]). Oxytocin during labor, duration of active phase of labor, forceps
use, episiotomy, perineal tears, and birth weight were also independently
associated with both risks. CONCLUSION: Duration of expulsive efforts was
independently associated with postpartum hemorrhage and severe postpartum
hemorrhage. Interventions to shorten the duration of this stage, such as
oxytocin, forceps, and episiotomy, are also associated with higher risks of
postpartum hemorrhage. Beyond duration, other aspects of the management of active
second stage should be evaluated as some might allow it to last longer with a
minimal increase in postpartum hemorrhage risk.
PMID- 26555448
TI - Pediatric vasculitis.
AB - PURPOSE OF REVIEW: The aim of this review is to define childhood vasculitis and
to highlight new causative factors and treatment modalities under the guidance of
recently published studies. RECENT FINDINGS: Childhood vasculitis is difficult to
diagnose because of the wide variation in the symptoms and signs. New
nomenclature and classification criteria were proposed for the diagnosis of
pediatric vasculitis. Recently, progress has been made toward understanding the
genetic susceptibility to pediatric vasculitis as it was in other diseases.
Various radiological techniques provide great opportunities in establishing the
diagnosis of pediatric vasculitis. Mild central nervous system disease can
accompany Henoch-Schonlein purpura and can go unnoticed. Antineutrophilic
cytoplasmic antibody-associated vasculitis is rare in children. Increased
severity of the disease, subglottic stenosis, and renal disease are described
more frequently among children. Biological therapies are used with success in
children as in adults. Future studies, whose aims are to evaluate treatment
responses, prognosis and to design guidelines for activity, and damage index of
vasculitis for children are required. SUMMARY: Henoch-Schonlein purpura and
Kawasaki disease are the most frequent vasculitides of children. Experience from
adult studies for treatment and prognosis are usually used because of low
incidence of other vasculitides in children. Multicenter studies of pediatric
vasculitis should be conducted to detail treatment responses and prognosis in
children.
PMID- 26555449
TI - Diagnosis and/or classification of vasculitis: different?
AB - PURPOSE OF REVIEW: Currently, there are no diagnostic criteria for vasculitides.
To this end, there is a current European League Against Rheumatism and American
College of Rheumatology initiative for formulating separate classification and
diagnostic criteria for different forms of vasculitis. The authors of this review
previously disagreed to separate classification and diagnostic criteria. They now
expand this disagreement in light of both of more recent information and a
reassessment of older communications. RECENT FINDINGS: We still can find no clear
methodologies proposed to prepare separate diagnostic and classification
criteria. Furthermore, the inadequate importance given to probabilities in
discussing disease criteria was strikingly apparent. Among 77 articles on
diagnostic/classification criteria making, not more than 4% discussed Bayes'
theorem or predictive values or confidence intervals. The misconceptions related
to the worry about circularity and the proper role of nomenclature in
classification and diagnostic criteria continue. SUMMARY: Separate diagnostic and
classification criteria are unrealistic. Classification criteria and nomenclature
are only tools to a proper diagnosis, essentially not different for patient care
or research. A frank discussion of probabilities in diagnosis is essential not
only with the patients but also with all the stakeholders.
PMID- 26555450
TI - Management of Behcet's syndrome.
AB - PURPOSE OF REVIEW: Current trends in the management of Behcet's syndrome will be
reviewed in this article. RECENT FINDINGS: Biologic agents have gained increasing
importance over the years in the management of Behcet's syndrome. Long-term
results of observational studies have shown that anti-tumor necrosis factor
agents may be effective in Behcet's syndrome patients with refractory eye
involvement. Case series reporting about use of anti-tumor necrosis factor agents
in vascular and gastrointestinal involvement have also shown good results.
Caution is required for infectious complications with these agents. Apremilast is
an immunomodulatory agent that works through phosphodiesterase 4 inhibition. A
randomized controlled trial has shown that it is effective for the management of
oral and genital ulcers and is generally well tolerated. SUMMARY: The outcome of
Behcet's syndrome with major organ involvement has improved with more effective
management strategies, especially with the use of biologic agents in severe
cases. Controlled trials are needed to guide physicians in making treatment
decisions.
PMID- 26555451
TI - Bone remodeling in psoriasis and psoriatic arthritis: an update.
AB - PURPOSE OF REVIEW: This article reviews and outlines recent advances in the field
of bone remodeling in psoriatic disease and identify avenues for further
research. RECENT FINDINGS: High-resolution imaging revealed that new bone
formation, observed in psoriatic arthritis (PsA) is centered at enthesial sites
in contrast to hand osteoarthritis, and new bone formation is also present in
psoriasis patients without arthritis. Accumulating evidence strongly suggests
that the IL-23/IL-17 pathway is directly involved in altered bone phenotypes in
PsA. Apart from Th17 and Th22 cells, CD8IL-17 T cells, gammadeltaT cells, and
type 3 innate lymphoid cells also secrete IL-17 and IL-22. Further studies will
be needed to clarify the role of these cells in bone remodeling in the context of
psoriatic disease. Recent research also strengthened the earlier viewpoint that
mechanical stress can serve as a trigger for joint inflammation and arthritis
development. Recent findings suggest that inflammation beginning in the skin may
become more generalized and involve musculoskeletal structures. Other reports
suggest that gut microbiota might have a role in joint inflammatory responses and
bone remodeling in psoriatic disease. Successful application of omics approaches
and advance imaging studies also revealed many novel aspects of psoriatic
diseases and joint-related pathologies which will likely help pinpoint causal
genes, pathways, and novel biomarkers in the near future. SUMMARY: Imaging
studies have provided new insights into new bone formation phenotypes in PsA. The
IL-23/IL-17 pathway is of central importance in psoriatic bone remodeling where,
apart from CD4 T helper cells, other IL-17 and IL-22-secreting innate and
adaptive cells may also be involved. Insights from study of the microbiome and
from omics technologies will set the stage for new advances in our understanding
of bone disorders in psoriatic diseases.
PMID- 26555452
TI - Molecular stratification and precision medicine in systemic sclerosis from
genomic and proteomic data.
AB - PURPOSE OF REVIEW: The goal of this review is to summarize recent advances into
the pathogenesis and treatment of systemic sclerosis (SSc) from genomic and
proteomic studies. RECENT FINDINGS: Intrinsic gene expression-driven molecular
subtypes of SSc are reproducible across three independent datasets. These subsets
are a consistent feature of SSc and are found in multiple end-target tissues,
such as skin and esophagus. Intrinsic subsets as well as baseline levels of
molecular target pathways are potentially predictive of clinical response to
specific therapeutics, based on three recent clinical trials. A gene expression
based biomarker of modified Rodnan skin score, a measure of SSc skin severity,
can be used as a surrogate outcome metric and has been validated in a recent
trial. Proteome analyses have identified novel biomarkers of SSc that correlate
with SSc clinical phenotypes. SUMMARY: Integrating intrinsic gene expression
subset data, baseline molecular pathway information, and serum biomarkers along
with surrogate measures of modified Rodnan skin score provides molecular context
in SSc clinical trials. With validation, these approaches could be used to match
patients with the therapies from which they are most likely to benefit and thus
increase the likelihood of clinical improvement.
PMID- 26555453
TI - Vasculitis: a brief update.
PMID- 26555454
TI - Mass Spectrometry in Precision Medicine: Phenotypic Measurements Alongside
Pharmacogenomics.
AB - BACKGROUND: Precision medicine is becoming a major topic within the medical
community and is gaining traction as a standard approach in many disciplines.
This approach typically revolves around the use of a patient's genetic makeup to
allow the physician to choose the appropriate course of treatment. In many cases
the genetic information directs the drug to be used to treat the patient. In
other cases the genetic markers associated with enzyme function may inform dosage
recommendations. However there is a second way in which precision medicine can be
practiced-that is, by therapeutic drug monitoring (TDM). CONTENT: A review of the
use of mass spectrometry for TDM in the arena of precision medicine is
undertaken. Because the measurement of a drug or its metabolites provides the
physician with a snapshot of the therapeutic exposure the patient is undergoing,
these concentrations can be thought of as an actual phenotype measurement based
around the patient's genetics coupled with all of the environmental,
pharmacological, and nutritional variables. The outcome of a TDM measurement by
mass spectrometry provides the patient's current phenotype vs the potential
phenotype imputed by the genetics. SUMMARY: The use of mass spectrometry can
provide an understanding of how a drug is interacting with the patient, and is
orthoganol to the information provided by pharmacogenomic assays. Further, the
speed and relatively low expense of drug monitoring by mass spectrometry makes it
an ideal test for precision medicine patient management.
PMID- 26555457
TI - Serial Hunt for Ciliary Genes in Complex Syndromes.
PMID- 26555455
TI - Ambient Ionization Mass Spectrometry for Cancer Diagnosis and Surgical Margin
Evaluation.
AB - BACKGROUND: There is a clinical need for new technologies that would enable rapid
disease diagnosis based on diagnostic molecular signatures. Ambient ionization
mass spectrometry has revolutionized the means by which molecular information can
be obtained from tissue samples in real time and with minimal sample
pretreatment. New developments in ambient ionization techniques applied to
clinical research suggest that ambient ionization mass spectrometry will soon
become a routine medical tool for tissue diagnosis. CONTENT: This review
summarizes the main developments in ambient ionization techniques applied to
tissue analysis, with focus on desorption electrospray ionization mass
spectrometry, probe electrospray ionization, touch spray, and rapid evaporative
ionization mass spectrometry. We describe their applications to human cancer
research and surgical margin evaluation, highlighting integrated approaches
tested for ex vivo and in vivo human cancer tissue analysis. We also discuss the
challenges for clinical implementation of these tools and offer perspectives on
the future of the field. SUMMARY: A variety of studies have showcased the value
of ambient ionization mass spectrometry for rapid and accurate cancer diagnosis.
Small molecules have been identified as potential diagnostic biomarkers,
including metabolites, fatty acids, and glycerophospholipids. Statistical
analysis allows tissue discrimination with high accuracy rates (>95%) being
common. This young field has challenges to overcome before it is ready to be
broadly accepted as a medical tool for cancer diagnosis. Growing research in new,
integrated ambient ionization mass spectrometry technologies and the ongoing
improvements in the existing tools make this field very promising for future
translation into the clinic.
PMID- 26555458
TI - In Vitro Permeation of Metals through Human Skin: A Review and Recommendations.
AB - During the last few decades, the interest in skin permeation of, specifically,
metals has increased with the in vitro method utilizing diffusion cells as the
prominent method of investigating permeability. This review provides a systematic
synopsis focused on an in vitro diffusion cell method utilizing human skin and
examines the differences in experimental design as this could influence the
results obtained. The permeation of metals such as chromium, cobalt, copper,
gold, lead, mercury, nickel, palladium, platinum, rhodium, silver, titanium, and
zinc are discussed. The metals included in this review, except for titanium and
zinc, can permeate through intact human skin under physiological conditions. On
the basis of flux values, the order of permeability could be summarized as Cu >
Pb > Cr > Ni > Co > Pt > Hg > Rh (excluding nanoparticles). Permeability of
metals through human skin is highly variable with the different methodologies as
a contributing factor. Furthermore, metals are retained in the skin which could
lead to reservoir (depot) formation and extended exposure even after the removal
thereof from the outer surface of the skin. Finally, recommendations are provided
on the standardization of experimental design and format of data reporting to
enable the comparison of results from future in vitro metal permeation studies.
PMID- 26555456
TI - Endogenous ligands of the aryl hydrocarbon receptor regulate lung dendritic cell
function.
AB - The aryl hydrocarbon receptor (AhR) is a transcription factor that has been
extensively studied as a regulator of toxicant metabolism. However, recent
evidence indicates that the AhR also plays an important role in immunity. We
hypothesized that the AhR is a novel, immune regulator of T helper type 2 (Th2)
mediated allergic airway disease. Here, we report that AhR-deficient mice develop
increased allergic responses to the model allergen ovalbumin (OVA), which are
driven in part by increased dendritic cell (DC) functional activation. AhR
knockout (AhR(-/-) ) mice sensitized and challenged with OVA develop an increased
inflammatory response in the lung compared with wild-type controls, with greater
numbers of inflammatory eosinophils and neutrophils, greater T-cell
proliferation, greater production of Th2 cytokines, and higher levels of OVA
specific IgE and IgG1. Lung DCs from AhR(-/-) mice stimulated antigen-specific
proliferation and Th2 cytokine production by naive T cells in vitro.
Additionally, AhR(-/-) DCs produced higher levels of tumour necrosis factor-alpha
and interleukin-6, which promote Th2 differentiation, and expressed higher cell
surface levels of stimulatory MHC Class II and CD86 molecules. Overall, loss of
the AhR was associated with enhanced T-cell activation by pulmonary DCs and
heightened pro-inflammatory allergic responses. This suggests that endogenous AhR
ligands are involved in the normal regulation of Th2-mediated immunity in the
lung via a DC-dependent mechanism. Therefore, the AhR may represent an important
target for therapeutic intervention in allergic airways inflammation.
PMID- 26555459
TI - Two highly adhesive lactic acid bacteria strains are protective in zebrafish
infected with Aeromonas hydrophila by evocation of gut mucosal immunity.
AB - AIMS: To increase the knowledge of probiotic effects and potential mechanisms, we
report on the use of the zebrafish model to investigate the in vivo colonization
ability, as well as the protective effects associated with gut mucosal immune
barrier and responses against Aeromonas hydrophila infection of previously
characterized probiotic lactic acid bacteria (LAB) strains, Bacillus coagulans
09.712 and Lactobacillus plantarum 08.923, in comparison with that of three
commercialized strains. METHODS AND RESULTS: The results indicated differential
adhesion capabilities, and B. coagulans and Lact. plantarum strains exhibited a
more robust adhesion capability based on fluorescence observation. Oral delivery
of these two strains in zebrafish greatly improved gut epithelium integrity, as
well as reduced recruitment and degranulation of mast cells under Aer. hydrophila
challenge. The percentage of intraepithelial lymphocytes (IELs) in probiotic fed
groups was significantly higher than those in the control after challenge (P <
0.001). We also found that the abundance of IL-1beta mRNA in B. coagulans- and
Lact. plantarum-fed groups remarkably decreased as a function of time of post
challenge, and that of TNF-alpha and IL-10 mRNA was high with the probiotic
treatment. CONCLUSIONS: Our findings indicate highly adhesive strains of B.
coagulans 09.712 and Lact. plantarum 08.923 have immunoregulatory and
immunoprotective roles in effective stimulation of anti-inflammatory response and
barrier regeneration within the mucosa to protect zebrafish against infection.
SIGNIFICANCE AND IMPACT OF THE STUDY: Our work will further support zebrafish as
a powerful model to better understand molecular definition of probiotic effects,
as well as the probiotic potential of B. coagulans 09.712 and Lact. plantarum
08.923 of interest to the food industry.
PMID- 26555460
TI - Gemcitabine-based chemotherapy in sarcomas: A systematic review of published
trials.
AB - Gemcitabine is largely used in the management of sarcomas. We have systematically
reviewed all of the fully published trials that investigated a gemcitabine-based
regimen in the management of sarcomas and then provided a grade of
recommendations and a level of evidence for every recommendation. Because of
conflicting results from successive non-randomized phase II trials, gemcitabine
activity alone in unselected pretreated soft tissue sarcomas could not be
properly assessed. Gemcitabine alone and gemcitabine-docetaxel appeared to both
be active in pretreated uterine and non-uterine leiomyosarcoma (1B;I).
Gemcitabine-dacarbazine appeared to be active in pretreated unselected soft
tissue sarcomas (1B;I). According the GeDDIS phase III trial (not yet fully
published), gemcitabine-docetaxel appeared slightly less active than doxorubicine
and more toxic than doxorubicine in chemo-naive metastatic soft tissue sarcoma
patients. Because of the absence of controlled randomized trials, the benefit of
gemcitabine-docetaxel as an adjuvant treatment in high-grade uterine
leiomyosarcoma could not be appropriately assessed. The level of activity of
gemcitabine/docetaxel in bone sarcomas cannot be ascertained with the available
data. The level of evidence supporting the use of gemcitabine-based regimens in
sarcoma management is limited. Confirmatory phase III trials are warranted when
phase II trials suggest some preliminary activity.
PMID- 26555461
TI - Bevacizumab in ovarian cancer: Focus on clinical data and future perspectives.
AB - The past five years have yielded substantial developments in the management of
advanced ovarian cancer. Initial promise shown by anti-angiogenic agents has
translated into positive phase III trials in the front-line and recurrent
settings. Nevertheless, several questions remain unanswered, including the most
appropriate timing for initiation of anti-angiogenic therapy and patient
selection for the various treatment approaches. This review article summarises
the key results (including final overall survival data), from five pivotal phase
III trials of bevacizumab, highlights emerging data with new maintenance
strategies and considers unanswered questions and ongoing research to address
uncertainties in treatment duration, re-exposure to bevacizumab in bevacizumab
pretreated patients and the potential integration of anti-angiogenic therapy into
neoadjuvant treatment regimens.
PMID- 26555462
TI - Eugene Minkowski (1885-1972): The Phenomenological Approach to Schizophrenia.
PMID- 26555463
TI - Hyaluronic Acid--an "Old" Molecule with "New" Functions: Biosynthesis and
Depolymerization of Hyaluronic Acid in Bacteria and Vertebrate Tissues Including
during Carcinogenesis.
AB - Hyaluronic acid is an evolutionarily ancient molecule commonly found in
vertebrate tissues and capsules of some bacteria. Here we review modern data
regarding structure, properties, and biological functions of hyaluronic acid in
mammals and Streptococcus spp. bacteria. Various aspects of biogenesis and
degradation of hyaluronic acid are discussed, biosynthesis and degradation
metabolic pathways for glycosaminoglycan together with involved enzymes are
described, and vertebrate and bacterial hyaluronan synthase genes are
characterized. Special attention is given to the mechanisms underlying the
biological action of hyaluronic acid as well as the interaction between
polysaccharide and various proteins. In addition, all known signaling pathways
involving hyaluronic acid are outlined. Impaired hyaluronic acid metabolism,
changes in biopolymer molecular weight, hyaluronidase activity, and enzyme
isoforms often accompany carcinogenesis. The interaction between cells and
hyaluronic acid from extracellular matrix that may be important during malignant
change is discussed. An expected role for high molecular weight hyaluronic acid
in resistance of naked mole rat to oncologic diseases and the protective role of
hyaluronic acid in bacteria are discussed.
PMID- 26555464
TI - Chitin and Products of Its Hydrolysis in Vibrio cholerae Ecology.
AB - The role of chitin and its hydrolysis products generated by Vibrio cholerae
chitinases in mechanisms of its adaptation in water environments, metabolism,
preservation, acquisition of pathogenic potential, and its epidemiological value
are reviewed. Chitin utilization by V. cholerae as a source of energy, carbon,
and nitrogen is described. Chitin association promotes biofilm formation on
natural chitinous surfaces, increasing V. cholerae resistance to adverse factors
in ecological niches: the human body and water environments with its inhabitants.
Hydrolytic enzymes regulated by the corresponding genes result in complete chitin
biodegradation by a chitinolytic catabolic cascade. Consequences of V. cholerae
cell and chitin interaction at different hierarchical levels include metabolic
and physiological cell reactions such as chemotaxis, cell division, biofilm
formation, induction of genetic competence, and commensalic and symbiotic mutual
relations with higher organisms, nutrient cycle, pathogenicity for humans, and
water organisms that is an example of successful interrelation of bacteria and
substratum in the ecology of the microorganism.
PMID- 26555465
TI - Circulating microRNAs.
AB - The detection of miRNAs in plasma and other body fluids opened up a fascinating
possibility that animal noncoding RNAs can act as extracellular signaling
molecules. In this review, we discuss recent progress in the field including the
ability of miRNAs to participate in intercellular communication in vitro and in
vivo, and the application of circulating miRNAs as diagnostic markers of a wide
range of diseases. Special attention is paid to the relevance of the development
and unification of current techniques for isolation of circulating miRNAs.
PMID- 26555466
TI - Amyloids: from Pathogenesis to Function.
AB - The term "amyloids" refers to fibrillar protein aggregates with cross-beta
structure. They have been a subject of intense scrutiny since the middle of the
previous century. First, this interest is due to association of amyloids with
dozens of incurable human diseases called amyloidoses, which affect hundreds of
millions of people. However, during the last decade the paradigm of amyloids as
pathogens has changed due to an increase in understanding of their role as a
specific variant of quaternary protein structure essential for the living cell.
Thus, functional amyloids are found in all domains of the living world, and they
fulfill a variety of roles ranging from biofilm formation in bacteria to long
term memory regulation in higher eukaryotes. Prions, which are proteins capable
of existing under the same conditions in two or more conformations at least one
of which having infective properties, also typically have amyloid features. There
are weighty reasons to believe that the currently known amyloids are only a
minority of their real number. This review provides a retrospective analysis of
stages in the development of amyloid biology that during the last decade
resulted, on one hand, in reinterpretation of the biological role of amyloids,
and on the other hand, in the development of systems biology of amyloids, or
amyloidomics.
PMID- 26555467
TI - Adaptive Epibiochemistry and Epigenetics.
AB - Enzymatic reactions of post-synthetic modification of macromolecules occur in the
cells of all organisms. These reactions, which can be designated as
epibiochemical, are of a special type and, as discriminated from reactions with
low molecular weight substrates, occur on the level of biopolymers, causing their
covalent modification. The majority of epibiochemical modifications of proteins,
DNA, and RNA are reversible and are carried out by modification transferases and
de-modification enzymes, respectively. Epibiochemical, i.e. those located above
the low molecular weight metabolites, modifications of proteins and nucleic acids
perform various functions, including participation in molecular mechanisms of
adaptive epigenetic heredity. This paper presents an overview of some adaptive
epibiochemical modifications of macromolecules and the adaptive epigenetic
processes on their basis. The features of epigenetic inheritance of acquired
characteristics and the limits of biological evolution are discussed.
PMID- 26555468
TI - Activity of Redox Enzymes in the Thallus of Anthoceros natalensis.
AB - Anthocerotophyta (hornworts) belong to a group of ancient nonvascular plants and
originate from a common ancestor with contemporary vascular plants. Hornworts
represent a unique model for investigating mechanisms of formation of stress
resistance in higher plants due to their high tolerance to the action of adverse
environmental factors. In this work, we demonstrate that the thallus of
Anthoceros natalensis exhibits high redox activity changing under stress.
Dehydration of the thallus is accompanied by the decrease in activities of
intracellular peroxidases, DOPA-peroxidases, and tyrosinases, while catalase
activity increases. Subsequent rehydration results in the increase in peroxidase
and catalase activities. Kinetic features of peroxidases and tyrosinases were
characterized as well as the peroxidase isoenzyme composition of different
fractions of the hornwort cell wall proteins. It was shown that the hornwort
peroxidases are functionally similar to peroxidases of higher vascular plants
including their ability to form superoxide anion-radical. The biochemical
mechanism was elucidated, supporting the possible participation of peroxidases in
the formation of reactive oxygen species (ROS) via substrate-substrate
interactions in the hornwort thallus. It has been suggested that the ROS
formation by peroxidases is an evolutionarily ancient process that emerged as a
protective mechanism for enhancing adaptive responses of higher land plants and
their adaptation to changing environmental conditions and successful colonization
of various ecological niches.
PMID- 26555469
TI - Peripheral Light-Harvesting LH2 Complex Can Be Assembled in Cells of Nonsulfur
Purple Bacterium Rhodoblastus acidophilus without Carotenoids.
AB - The effect of carotenoids on the assembly of LH2 complex in cells of the purple
nonsulfur bacterium Rhodoblastus acidophilus was investigated. For this purpose,
the bacterial culture was cultivated with an inhibitor of carotenoid biosynthesis
- 71 uM diphenylamine (DPA). The inhibitor decreased the level of biosynthesis of
the colored carotenoids in membranes by ~58%. It was found that a large amount of
phytoene was accumulated in them. This carotenoid precursor was bound
nonspecifically to LH2 complex and did not stabilize its structure.
Thermostability testing of the isolated LH2 complex together with analysis of
carotenoid composition revealed that the population of this complex was
heterogeneous with respect to carotenoid composition. One fraction of the LH2
complex with carotenoid content around 90% remains stable and was not destroyed
under heating for 15 min at 50 degrees C. The other fraction of LH2 complex
containing on average less than one molecule of carotenoid per complex was
destroyed under heating, forming a zone of free pigments (and polypeptides). The
data suggest that a certain part of the LH2 complexes is assembled without
carotenoids in cells of the nonsulfur bacterium Rbl. acidophilus grown with DPA.
These data contradict the fact that the LH2 complex from nonsulfur bacteria
cannot be assembled without carotenoids, but on the other hand, they are in good
agreement with the results demonstrated in our earlier studies of the sulfur
bacteria Allochromatium minutissimum and Ectothiorhodospira haloalkaliphila.
Carotenoidless LH2 complex was obtained from these bacteria with the use of DPA
(Moskalenko, A. A., and Makhneva, Z. K. (2012) J. Photochem. Photobiol., 108, 1
7; Ashikhmin, A., et al. (2014) Photosynth. Res., 119, 291-303).
PMID- 26555470
TI - Low Concentrations of Hydrogen Peroxide Activate the Antioxidant Defense System
in Human Sperm Cells.
AB - The effect of low concentrations of hydrogen peroxide (10-100 uM) on sperm
motility and on the activity of the sperm enzyme glyceraldehyde-3-phosphate
dehydrogenase (GAPDS) was investigated. Incubation of semen samples with 10 and
100 uM hydrogen peroxide increased the content of spermatozoa with progressive
motility by 20 and 18%, respectively, and enhanced the activity of GAPDS in the
sperm cells by 27 and 20% compared to a semen sample incubated without additions.
It was also found that incubation with 10 uM hydrogen peroxide increased the
content of reduced glutathione (GSH) in sperm cells by 50% on average compared to
that in the control samples. It is supposed that low concentrations of hydrogen
peroxide activate the pentose phosphate pathway, resulting in NADPH synthesis and
the reduction of the oxidized glutathione by glutathione reductase yielding GSH.
The formed GSH reduces the oxidized cysteine residues of the GAPDS active site,
increasing the activity of the enzyme, which in turn enhances the content of
sperm cells with progressive motility. Thus, the increase in motile spermatozoa
in the presence of low concentrations of hydrogen peroxide can serve as an
indicator of normal functioning of the antioxidant defense system in sperm cells.
PMID- 26555471
TI - Four Components of the Conjugated Redox System in Organisms: Carbon, Nitrogen,
Sulfur, Oxygen.
AB - C1 compounds participate in various metabolic processes and regulations including
DNA methylation. Formaldehyde (FA), a product of methyl group oxidation, is
highly cytotoxic. In the cell, there are two pathways of its utilization:
assimilation and oxidation. Formaldehyde displays cytotoxicity, and therefore its
oxidation is considered as detoxification. The sensitivity to the threshold
concentration of FA we regard as an indication of its major role in biosystem
functioning. A model of a three-component conjugated redox system is proposed in
which the methyl group oxidation pathway is an archaic and conservative donor of
protons and electrons, the reduction of O2 serves as an acceptor, and the
arginine amino group is used for production of both urea and nitric oxide (the
donor and acceptor, respectively). The fourth component of the redox system is
glutathione, which maintains redox balance. The three-level system of proton
donors includes the oxidation of a methyl group (first level), the oxidation of
acetate in mitochondria (second level), and glucose catabolism in the pentose
phosphate pathway (third level). The whole redox system is united by the
sulfhydryl groups of cysteines, glutathione, thioredoxin, and alpha-lipoic acid.
The central regulatory role in this redox system belongs to glutathione-dependent
formaldehyde dehydrogenase, which controls FA binding with tetrahydrofolic acid,
arginine methylation, and denitrosation of sulfhydryl groups. The conjugated
redox system was formed during evolution as a union of separate redox cycles of
carbon, nitrogen, sulfur, and oxygen.
PMID- 26555472
TI - Ezetimibe Attenuates Atherosclerosis Associated with Lipid Reduction and
Inflammation Inhibition.
AB - BACKGROUND: Ezetimibe, as a cholesterol absorption inhibitor, has been shown
protecting against atherosclerosis when combined with statin. However, side by
side comparison has not been made to evaluate the beneficial effects of ezetimibe
alone versus statin. Herein, the study aimed to test whether ezetimibe alone
would exhibit similar effects as statin and the combination therapy would be
necessary in a moderate lesion size. METHODS AND RESULTS: ApoE-/- male mice that
were fed a saturated-fat supplemented diet were randomly assigned to different
therapeutic regimens: vehicle, ezetimibe alone (10 mg/kg/day), atorvastatin (20
mg/kg/day) or combination of ezetimibe and atorvastatin through the drinking
water. On 28 days, mice were sacrificed and aorta and sera were collected to
analyze the atherosclerotic lesion and blood lipid and cholesterol levels. As a
result, ezetimibe alone exerted similar protective effects on atherosclerotic
lesion sizes as atorvastatin, which was mediated by lowering serum cholesterol
concentrations, inhibiting macrophage accumulation in the lesions and reducing
circulatory inflammatory cytokines, such as monocyte chemoattractant protein (MCP
1) and tumor necrosis factor (TNF-alpha). In contrast to ezetimibe
administration, atorvastatin alone attenuated atherosclerotic lesion which is
dependent on its anti-inflammation effects. There were no significance
differences in lesion areas and serum concentrations of cholesterol, oxidized LDL
and inflammatory cytokines between combination therapy and monotherapy (either
ezetimibe or atorvastatin). There were significant correlations between the
lesion areas and serum concentrations of cholesterol, MCP-1 and TNF-alpha,
respectively. However, there were no significant correlations between the lesion
areas and serum concentrations of TGF-beta1 and oxLDL. CONCLUSIONS: Ezetimibe
alone played the same protection against a moderate atherosclerotic lesion as
atorvastatin, which was associated with lowering serum cholesterol, decreasing
circulating inflammatory cytokines, and inhibiting macrophage accumulation in the
lesions.
PMID- 26555473
TI - Hydroxylated polycyclic aromatic hydrocarbons as biomarkers of exposure to wood
smoke in wildland firefighters.
AB - Wildland firefighter's exposure to wildland fire or vegetative biomass smoke has
mostly been assessed by personal monitoring to airborne pollutants. However, the
use of biomarkers may accurately reflect the internal (systemic) dose received by
the firefighter. In this study, we assessed occupational exposure to wildland
fire smoke in 14 wildland firefighters working at prescribed burns at the
Savannah River Site, South Carolina by measuring the urinary concentrations of
nine hydroxylated metabolites of polycyclic aromatic hydrocarbons (OH-PAHs).
Except for 1-hydroxynaphthalene, preshift median concentrations of the OH-PAHs
were higher compared with the median concentrations reported among the US general
population, indicating elevated exposures to PAHs among the wildland firefighters
during the prescribed burn season. The postshift concentrations of OH-PAHs were
83-323% (P<0.0001) higher compared with the preshift concentrations. Higher
postshift concentrations of individual OH-PAHs were observed in 49 (87.5%) to 53
(94.6%) of all the 56 pre-post sample pairs. Additionally, the cross-shift (pre-
to postshift) increase in 4-hydroxy-phenanthrene urinary concentration was
marginally associated (P<0.1) with work shift exposure to PM2.5 and significantly
associated (P<0.05) with levoglucosan, which is a marker of wildland fire or
vegetative biomass smoke. These results suggest that OH-PAHs, especially 4PHE,
may be useful biomarkers of wildland fire smoke exposure.
PMID- 26555474
TI - Non-invasive saliva human biomonitoring: development of an in vitro platform.
AB - Direct measurements of exposure represent the most accurate assessment of a
subject's true exposure. The clearance of many drugs and chemicals, including
pesticides such as chlorpyrifos (CPF), can be detected non-invasively in saliva.
Here we have developed a serous-acinar transwell model system as an in vitro
screening platform to prioritize chemicals for non-invasive biomonitoring through
salivary clearance mechanisms. Rat primary serous-acinar cells express both alpha
amylase and aquaporin-5 proteins and develop significant tight junctions at
postconfluence - a feature necessary for chemical transport studies in vitro. CPF
exhibited bidirectional passage across the serous-acinar barrier that was
disproportional to the passage of a cell impermeable chemical (lucifer yellow),
consistent with a hypothesized passive diffusion process. CPF was metabolized to
trichlorpyridinol (TCPy) by serous-acinar cells, and TCPy also displayed
bidirectional diffusion in the transwell assay. This model system should prove
useful as an in vitro screening platform to support the non-invasive monitoring
of toxicons and pharmacons in human saliva and provide guidance for development
of advanced in vitro screening platforms utilizing primary human salivary gland
epithelial cells.
PMID- 26555475
TI - Efficacy of interventions targeting household air pollution from residential wood
stoves.
AB - Wood is commonly used for residential heating, but there are limited evidence
based interventions for reducing wood smoke exposures in the indoor environment.
The Asthma Randomized Trial of Indoor Wood Smoke (ARTIS) study was designed to
assess the efficacy of residential interventions to reduce indoor PM exposure
from wood stoves. As part of a three-arm randomized placebo-controlled trial, two
household-level interventions were evaluated: wood stove changeouts and air
filtration units. Exposure outcomes included indoor measures such as continuous
PM2.5, particle counts, and carbon monoxide. Median indoor PM2.5 concentration
was 17.5 MUg/m3 in wood-burning homes prior to interventions. No significant
reductions in PM2.5 concentrations were observed in the 40 homes receiving the
placebo filter intervention. Sixteen homes received the wood stove changeout and
showed no significant changes in PM2.5 or particle counts. PM2.5 concentrations
were reduced by 68% in the filter intervention homes. Relative to placebo, air
filtration unit homes had an overall PM2.5 reduction of 63% (95% CI: 47-75%).
Relative to the wood stove changeout, the filtration unit intervention was more
efficacious and less expensive, yet compliance issues indicated a need for the
evaluation of additional strategies for improving indoor air quality in homes
using wood stoves.
PMID- 26555478
TI - Factors influencing postpartum women's willingness to participate in a preventive
pelvic floor muscle training program: a web-based survey.
AB - OBJECTIVE: Pregnancy and delivery are the most prominent risk factors for the
onset of pelvic floor injuries and - later-on - urinary incontinence. Supervised
pelvic floor muscle training during and after pregnancy is proven effective for
the prevention of urinary incontinence on the short term. However, only a
minority of women do participate in preventive pelvic floor muscle training
programs. Our aim was to analyze willingness to participate (WTP) in an intensive
preventive pelvic floor muscle training (PFMT) program and influencing factors,
from the perspective of postpartum women, for participation. STUDY DESIGN: We
included 169 three-month postpartum women in a web-based survey in the
Netherlands. Demographic and clinical characteristics, knowledge and experience
with PFMT and preconditions for actual WTP were assessed. Main outcome measures
were frequencies and percentages for categorical data. Cross tabulations were
used to explore the relationship between WTP and various independent categorical
variables. A linear regression analysis was done to analyze which variables are
associated with WTP. RESULTS: A response rate of 64% (n=169) was achieved. 31% of
the women was WTP, 41% was hesitating, 12% already participated in PFMT and 15%
was not interested (at all). No statistically significant association was found
between WTP and risk or prognostic pelvic floor dysfunction factors. Women
already having symptoms of pelvic floor dysfunction such as incontinence and
pelvic organ prolapse symptoms were more WTP (p=0.010, p=0.001, respectively) as
were women perceiving better general health (p<0.001). Preconditions for women to
participate were program costs, and travel time not exceeding 15min. CONCLUSIONS:
From the perspective of postpartum women, there is room for improvement of
preventive pelvic floor management. Further research should focus on strategies
to tackle major barriers and to introduce facilitators for postpartum women to
participate in PFMT programs.
PMID- 26555476
TI - Interleukin-12 (IL-12)/STAT4 Axis Is an Important Element for beta-Cell
Dysfunction Induced by Inflammatory Cytokines.
AB - Pathology driving beta-cell loss in diabetes is poorly defined. Chronic
subclinical inflammation is associated with beta-cell dysfunction. Acute in vitro
exposure of islets and beta-cells to an inflammatory cytokine cocktail (IL
1beta/TNF-alpha/IFN-gamma) results in loss of cell function and viability. The
contribution of each cytokine alone or in combination has been evaluated in
homogeneous mouse beta-cell lines and primary mouse islets. Cytokine cooperation
is required for beta-cell apoptosis with the most potent combinations including
IL-1beta. Single cytokine exposure did not induce beta-cell apoptosis. Expression
of endogenous interleukin-12 in beta-cells correlated with inflammatory cytokine
combinations that induced beta-cell apoptosis. Uncoupling of the IL-12 axis by a
block of IL-12 production, inhibition of IL-12 receptor/ligand interaction or
disruption of IL-12 receptor signaling conferred protection to beta-cells from
apoptosis induced by inflammatory cytokine stimulation. Signaling through STAT4
is indicated since disruption of IL-12 concomitantly reduced inflammatory
cytokine stimulation of endogenous IFN-gamma expression. Primary mouse islets
isolated from mice deficient in STAT4 show resistance to inflammatory-cytokine
induced cell death when compared to islets isolated from wild type mice.
Collectively, the data identify IL-12 as an important mediator of inflammation
induced beta-cell apoptosis. Modulation of IL-12/STAT4 signaling may be a
valuable therapeutic strategy to preserve islet/beta-cell viability in
established diabetes.
PMID- 26555480
TI - G-CSF Predicts Cardiovascular Events in Patients with Stable Coronary Artery
Disease.
AB - Granulocyte-colony-stimulating-factor (G-CSF) induces mobilization of progenitor
cells but may also exert pro-inflammatory and pro-thrombotic effects. Treatment
with recombinant G-CSF after acute myocardial infarction is currently under
examination and has been associated with in-stent restenosis. However, it is not
known whether plasma levels of endogenous G-CSF are also associated with an
increased cardiovascular risk. Therefore we included 280 patients with
angiographically proven stable coronary artery disease. G-CSF was measured by
specific ELISA and patients were followed for a median of 30 months for the
occurrence of major adverse cardiovascular events (MACE: death, myocardial
infarction, re-hospitalization). Those with cardiac events during follow-up
showed significant higher G-CSF levels (32.3 pg/mL IQR 21.4-40.5 pg/mL vs. 24.6
pg/mL IQR 16.4-34.9 pg/mL; p<0.05) at baseline. Patients with G-CSF plasma levels
above the median had a 2-fold increased risk for MACE (p<0.05). This was
independent from established cardiovascular risk factors. In addition, G-CSF
above the median was a predictor of clinical in-stent restenosis after
implantation of bare-metal stents (6.6% vs. 19.4%; p<0.05) but not of drug
eluting stents (7.7% vs. 7.6%; p = 0.98). This data suggests that endogenous
plasma levels of G-CSF predict cardiovascular events independently from
established cardiac risk factors and are associated with increased in-stent
restenosis rates after implantation of bare metal stents.
PMID- 26555481
TI - [Chronic cervical vagal stimulation. Mechanisms of action and clinical relevance
for heart failure].
AB - Increased sympathetic nerve activity and reduced vagal activity are associated
with increased mortality in patients after myocardial infarction and patients
with chronic heart failure; furthermore, vagal withdrawal has been documented to
precede acute decompensation. Experimental studies have indicated that increased
parasympathetic activity by means of vagal stimulation may reduce mortality in
animal models of postinfarction sudden cardiac death and of chronic heart
failure. First clinical results have demonstrated that chronic vagus nerve
stimulation in heart failure patients with severe systolic dysfunction appears to
be safe and tolerable and may improve the quality of life and left ventricular
(LV) function. Vagus nerve stimulation gives rise to these potential clinical
benefits by multiple mechanisms of action, including reduced heart rate,
restoration of heart rate variability and baroreflex sensitivity, suppression of
proinflammatory cytokines and antiarrhythmic effects. First clinical results
suggest that vagal nerve stimulation is safe and tolerable and could lead to a
marked clinical improvement but discrepancies in the findings due to different
study designs warrant further discussion.
PMID- 26555482
TI - Sweet taste of saccharin induces weight gain without increasing caloric intake,
not related to insulin-resistance in Wistar rats.
AB - In a previous study, we showed that saccharin can induce weight gain when
compared with sucrose in Wistar rats despite similar total caloric intake. We now
question whether it could be due to the sweet taste of saccharin per se. We also
aimed to address if this weight gain is associated with insulin-resistance and to
increases in gut peptides such as leptin and PYY in the fasting state. In a 14
week experiment, 16 male Wistar rats received either saccharin-sweetened yogurt
or non-sweetened yogurt daily in addition to chow and water ad lib. We measured
daily food intake and weight gain weekly. At the end of the experiment, we
evaluated fasting leptin, glucose, insulin, PYY and determined insulin resistance
through HOMA-IR. Cumulative weight gain and food intake were evaluated through
linear mixed models. Results showed that saccharin induced greater weight gain
when compared with non-sweetened control (p = 0.027) despite a similar total
caloric intake. There were no differences in HOMA-IR, fasting leptin or PYY
levels between groups. We conclude that saccharin sweet taste can induce mild
weight gain in Wistar rats without increasing total caloric intake. This weight
gain was not related with insulin-resistance nor changes in fasting leptin or PYY
in Wistar rats.
PMID- 26555483
TI - Atorvastatin calcium encapsulated eudragit nanoparticles with enhanced oral
bioavailability, safety and efficacy profile.
AB - Atorvastatin calcium (ATR), a second generation statin drug, was encapsulated in
eudragit RSPO-based polymeric nanoparticles. The effect of independent variables
(polymer content, stabilizer concentration, volume of chloroform and
homogenization speed) on response variables (mean diameter particle size and
entrapment efficiency) were investigated by employing central composite
experimental design. All the independent variables were found to be significant
for determining the response variables. Solid-state characterization study
indicated the absence of physicochemical interaction between drug and polymer in
formulation. Morphological study exhibited homogenous spherical shape of
formulated nanoparticles. In vitro release study in phosphate buffer (pH 7.4)
demonstrated sustained release profile over 24 h. Pharmacokinetic study in
Charles Foster rats showed significant enhancement in oral bioavailability as
compared to pure drug suspension. Efficacy study (lipid profile and blood glucose
level) significantly justified the effectiveness of formulation having 50% less
dose of ATR as compared to pure drug suspension. The effectiveness of formulation
was further justified with an improved plasma safety profile of treated rats.
Hence, ATR encapsulated eudragit RSPO nanoparticles can serve as potential drug
delivery approach to enhance drug bioavailability, efficacy and safety profiles
to alter existing marketed drug products.
PMID- 26555484
TI - A "Patient-Like" Orthotopic Syngeneic Mouse Model of Hepatocellular Carcinoma
Metastasis.
AB - The majority of cancer-related deaths are caused by the metastasis of the cancer
rather than the primary tumor itself. Yet, the underlying mechanisms of cancer
metastasis are still unclear. Animal models are essential for elucidating the
mechanisms and for evaluating novel strategies for the treatment of metastatic
cancers. Here, an in-depth description of a "patient-like" orthotopic syngeneic
mouse model for exploring the mechanisms of metastasis of solid organ tumors is
provided. The survival surgical implantation of BNL 1ME A.7R.1 mouse
hepatocellular carcinoma cells directly into the liver (the organ of origin) of
the inbred wild-type immune competent laboratory mouse strain, BALB/c is
described. The success and reproducibility of this methodology recommends it for
widespread use in elucidating the biological mechanisms of solid organ cancer
metastasis.
PMID- 26555485
TI - Gender differences in cognitive deficits in schizophrenia with and without
diabetes.
AB - This study investigated gender differences in cognition in schizophrenia with and
without diabetes. Cognition was assessed in 263 individuals with schizophrenia
with age range (40-68): 67 males and 34 females with schizophrenia with diabetes;
and 125 males and 37 females with schizophrenia without diabetes according to the
Repeatable Battery for the Assessment of Neuropsychological Status (RBANS).
Fasting glucose, hemoglobin A1c (HbA1c) and lipid levels were measured. Results
showed that male individuals performed worse on most cognitive tasks, especially
attention, in schizophrenia with than without diabetes. This result was not
observed in female individuals. Also, individuals of both genders showed higher
fasting glucose and HbA1c in schizophrenia with than without diabetes. In
schizophrenia with diabetes, males had significantly worse cognition than females
in all cognitive domains. Higher HbA1c, lower high-density lipoprotein, and an
earlier age of onset of schizophrenia were found in males compared with female
individuals. HbA1c was negatively associated with attention and the RBANS total
score for males but not for females. In schizophrenia without diabetes, males
showed worse performance in immediate and delayed memory than females. This study
support cognition was worse for males with schizophrenia irrespective of whether
they have diabetes. However, diabetes exemplified the gender differences,
especially in attention.
PMID- 26555486
TI - Psychiatric disorders in patients with multiple sclerosis.
AB - The aim of this study was to evaluate the frequency of psychiatric disorders,
particularly mood disorders and anxiety in an outpatient sample of patients with
multiple sclerosis in Brazil, and correlate the result with sociodemographic and
clinical data. METHODS: Cross-sectional study, patients evaluated consecutively,
for the clinical, demographic, prevalence of psychiatric disorders was used
structured interview (MINI), severity of symptoms of depression and anxiety was
used Beck inventory. RESULTS: The prevalence of major lifelong depression in this
population was 36.6%, and the risk of suicide was high. There was no detectable
correlation between depression, degree of disability, or disease duration.
CONCLUSION: The prevalence of mood disorders is high in MS. Depression is an
important factor related to the risk of suicide and should be investigated
systematically.
PMID- 26555487
TI - Onset polarity and illness course in bipolar I and II disorders: The predictive
role of broadly defined mixed states.
AB - Several studies investigating bipolar disorders have shown that polarity of onset
can predict differences in symptomatology, course, and prognosis. Frequently,
however, research on the topic has examined only bipolar I inpatients and has not
included patients with mixed onset. The aim of the present naturalistic study was
to evaluate the clinical characteristics and illness course of a consecutive
sample (407 outpatients, 58.7% with bipolar I (BD-I) and 41.3% with bipolar II
(BD-II) disorder) according to polarity of onset: depressive (DP-o);
manic/hypomanic (HM-o); or mixed--broadly defined to include agitated depression
for BD-II--onset (MX-o). As compared with patients in the other two groups: a) DP
o patients (67.3%) were more frequently affected by BD-II and had lower ratings
for psychotic symptoms; b) HM-o patients (17%) had a higher rate of family
history for psychosis and a lower rate of suicide attempts; and c) patients in
the MX-o group (15.7%) more frequently showed substance abuse and had a higher
number of mixed recurrences per year. In the BD-II group, MX-o patients more
frequently attempted suicide. The present study's main limitations are those of
retrospective assessment of onset polarity and lack of treatment-impact
evaluations over illness course. In conclusion, we confirm clinical expression
differences in bipolar disorder in function of polarity of onset and underscore
the importance of carefully considering broadly defined mixed state when
examining polarity of onset. Further investigations are required to confirm the
present study's results.
PMID- 26555488
TI - The physician's quality of life: Relationship with ego defense mechanisms and
object relations.
AB - OBJECTIVE: To assess whether ego defense mechanisms and object relations (the way
an individual subjectively experiences his/her relationships with others) are
related to quality of life among physicians. METHODS: In this cross-sectional
mail survey, 602 physicians from Botucatu, SP, Brazil, were sent a socio
demographic questionnaire, the Bell Object Relations and Reality Testing
Inventory-Form O (BORRTI-O), the Defense Style Questionnaire-40 (DSQ-40), and the
World Health Organization Abbreviated Instrument for Quality of Life Assessment
(WHOQOL-BREF). RESULTS: 198 questionnaires (33%) with valid responses were
obtained. High BORRTI-O scores (indicative of pathology) on the alienation,
egocentricity and insecure attachment subscales were associated with reduced
WHOQOL-BREF scores for the psychological health and social relationship domains.
Immature ego defense mechanisms were associated with lower WHOQOL-BREF scores for
all domains. No significant associations of WHOQOL-BREF scores with working
hours, workplace or monthly income were observed in the study population
CONCLUSIONS: WHOQOL-BREF scores correlated with mature defense mechanisms and
normal object relations, suggesting an association between psychological maturity
and quality of life among physicians.
PMID- 26555490
TI - Pathways from conflict-related trauma and ongoing adversity to posttraumatic
stress disorder symptoms amongst West Papuan refugees: The mediating role of
anxiety and panic-like symptoms.
AB - BACKGROUND: Although the relationship involving exposure to traumatic events
(TEs), conditions of adversity, and posttraumatic stress disorder (PTSD) is well
established in the refugee field, the psychological factors mediating the
relevant pathways are not as clearly delineated. In the present path analysis, we
examined a model in which anxiety and panic-like symptoms mediated the path
between conflict-related TEs, ongoing adversity, and PTS symptoms amongst 230
refugees from West Papua. METHODS: Culturally adapted measures were applied to
assess TE exposure, ongoing adversity, anxiety, panic-like, PTS, and depressive
symptoms. RESULTS: Our model identified two pathways leading from conflict
related exposure to PTS symptoms, one a direct path, the other mediated by a
sequence of ongoing adversity, anxiety and panic-like symptoms. Older refugees
from West Papua had higher levels of anxiety and panic-like symptoms than the
younger adult generation born in PNG. CONCLUSIONS: Our findings suggest that a
focus on reducing anxiety and panic together with addressing social deprivations
and threats may improve anxiety and panic amongst refugees, ultimately improving
outcomes for PTS symptoms.
PMID- 26555489
TI - Defining clinical severity in adults with obsessive-compulsive disorder.
AB - OBJECTIVE: The Yale-Brown Obsessive-Compulsive Scale (Y-BOCS) is the most
commonly used instrument to assess the clinical severity of obsessive-compulsive
symptoms. Treatment determinations are often based on Y-BOCS score thresholds.
However, these benchmarks are not empirically based, which may result in non
evidence based treatment decisions. Accordingly, the present study sought to
derive empirically-based benchmarks for defining obsessive-compulsive symptom
severity. METHOD: Nine hundred fifty-four adult patients with obsessive
compulsive disorder (OCD), recruited through the Brazilian Research Consortium on
Obsessive-Compulsive Spectrum Disorders, were evaluated by experienced clinicians
using a structured clinical interview, the Y-BOCS, and the Clinical Global
Impressions-Severity scale (CGI-Severity). RESULTS: Similar to results in
treatment-seeking children with OCD, our findings demonstrated convergence
between the Y-BOCS and global OCD severity assessed by the CGI-Severity
(Nagelkerke R(2)=.48). Y-BOCS scores of 0-13 corresponded with 'mild symptoms'
(CGI-Severity=0-2), 14-25 with 'moderate symptoms' (CGI-Severity=3), 26-34 with
'moderate-severe symptoms' (CGI-Severity=4) and 35-40 with 'severe symptoms' (CGI
Severity=5-6). Neither age nor ethnicity was associated with Y-BOCS scores, but
females demonstrated more severe obsessive-compulsive symptoms than males
(d=.34). Time spent on obsessions/compulsions, interference, distress,
resistance, and control were significantly related to global OCD severity
although the symptom resistance item pairing demonstrated a less robust
relationship relative to other components of the Y-BOCS. CONCLUSIONS: These data
provide empirically-based benchmarks on the Y-BOCS for defining the clinical
severity of treatment seeking adults with OCD, which can be used for normative
comparisons in the clinic and for future research.
PMID- 26555491
TI - Posttraumatic stress symptoms and smoking among World Trade Center disaster
responders: A longitudinal investigation.
AB - PURPOSE: The current longitudinal study examined posttraumatic stress disorder
(PTSD) symptom severity in relation to smoking abstinence and reduction over time
among responders to the World Trade Center (WTC) disaster. METHOD: Participants
were 763 police and 1881 non-traditional (e.g., construction workers) WTC
responders who reported being smokers at an initial examination obtained between
July 2002 and July 2011 at the WTC Health Program (WTC-HP). WTC responders were
reassessed, on average, 2.5 years later. RESULTS: For police WTC responders,
higher levels of WTC-related PTSD symptoms at the initial visit were associated
with a decreased likelihood of smoking abstinence (OR=0.98, p=.002) and with
decreased smoking reduction (beta=-.06, p=.012) at the follow-up visit. WTC
related PTSD symptom severity was not related to likelihood of smoking abstinence
or change in number of cigarettes smoked among non-traditional responders. Post
hoc analyses suggested that for police, hyperarousal PTSD symptoms were
predictive of decreased abstinence likelihood at the follow-up visit (OR=0.56,
p=.006). DISCUSSION: The present findings suggest that PTSD symptoms may be
differentially related to smoking behavior among police and non-traditional WTC
responders in a naturalistic, longitudinal investigation. Future work may benefit
from exploring further which aspects of PTSD (as compared to each other and to
common variance) explain smoking maintenance.
PMID- 26555492
TI - Demoralisation syndrome does not explain the psychological profile of community
based asylum-seekers.
AB - BACKGROUND: Demoralisation syndrome (DS) has been advanced as a construct that
features hopelessness, meaninglessness, and existential distress. Demoralisation
and DS have predominantly been considered secondary only to illness; hence there
is scant research on demoralisation or DS in populations affected by extreme
environmental stress. AIMS: The current study aimed to determine the prevalence
of demoralisation, its predictors, and the relevance of DS in a community-based
forced-migrant population. METHOD: A convenience sample of 131 adult asylum
seekers (n=98) and refugees (n=33) without recognised mental disorders in
Melbourne, Australia, were assessed cross-sectionally on posttraumatic stress,
anxiety, depression, post-migration stress, and demoralisation. Socio-demographic
data were analysed with relevant clinical data. Predictive aims were investigated
using bivariate statistical tests and exploratory aims were investigated using
correlational and linear regression analyses. RESULTS: Seventy nine percent of
the sample met criteria for demoralisation (asylum-seekers=83%; refugees=66%),
with asylum-seekers being 2.55 (95% C.I.=1.03-6.32, Z=2.03, p=.04) times more
likely to be demoralised than refugees. No relationship between demoralisation
and time in the refugee determination process emerged. The regression model
explained 47.5% of variance in demoralisation scores for the total sample
F(9,111)=13.07, p<.0001, with MDD and anxiety score making unique significant
contributions. CONCLUSIONS: Demoralisation was widespread through the asylum
seeker and refugee population and its prevalence was attributable to a range of
social and psychiatric factors. However, DS had little explanatory power for
psychiatric morbidity, which was more suggestive of a pan-distress symptom
complex.
PMID- 26555493
TI - Awareness of treatment needs and length of stay amongst psychiatric inpatients.
AB - INTRODUCTION: Inpatient psychiatric units experience significant pressure from
third party payers to keep length of stay (LOS) to a minimum despite having to
treat more severely ill patients. However, there is a paucity of empiric data for
guiding treatment decisions that maximize therapeutic outcome while minimizing
LOS. We therefore endeavored to begin utilizing a newly created psychometric
instrument that assesses patient psychological factors, which we propose will
allow for LOS prediction and individualization of therapeutic outcome. MATERIALS
AND METHODS: The Goals Questionnaire (GQ), created to determine awareness of
treatment needs, was administered to newly admitted patients. Linear regression
analyses were conducted to ascertain the relationship between the GQ score and
LOS, as well as the effects of confounding factors. RESULTS: A significant and
inverse relationship was found between the GQ score and LOS (beta=-4.4; p=0.007)
that was dependent upon (i.e., had a significant interaction with) age and
substance use disorders. There was minimal confounding from common
administrative, legal, and clinical factors. CONCLUSIONS: The GQ may have utility
for inpatient treatment teams, providing information that can be used to maximize
and individualize therapeutic outcome while minimizing LOS.
PMID- 26555494
TI - Gray matter volume abnormalities were associated with sustained attention in
unmedicated major depression.
AB - BACKGROUND: Impaired sustained attention seems to be a core feature of depression
while the anatomical alteration of brain was widely reported in depression
patients. The authors aimed to identify the relationship between anatomical brain
changes and sustained attention deficits in unmedicated patients with major
depressive disorder (MDD). METHODS: A total of 51 medication-free MDD patients
and 51 matched healthy controls (HC) underwent high-resolution structural
magnetic resonance imaging scanning, and optimized voxel-based morphometry method
was performed to analyze the changes of gray matter volume (GMV). We employed a
computerized neurocognitive task from the Cambridge Neuropsychological Tests
Automated Battery (CANTAB)--Rapid Visual Information Processing (RVP) task--as a
measurement of sustained attention. Based on clinical symptoms, 40 patients who
had completed CANTAB-RVP test were divided into MDDa (mild depression patients)
and MDDb (severe depression patients) groups. Then the relationships among
sustained attention, GMV of different regions and clinical symptoms were explored
separately. RESULTS: MDD patients showed significant GMV increase in left
posterior cingulate cortex (PCC) and inferior frontal gyrus (IFG) (p<0.001,
uncorrected), and significant GMV decrease in medial/superior frontal gyrus
(MFG/SFG) and lingual gyrus (p<0.001, uncorrected). Structure-cognition
correlation analyses revealed that in MDD patients, GMV alterations of the IFG
were significantly correlated with sustained attention as measured by the CANTAB
RVP. CONCLUSIONS: Increased GMV values of IFG were associated with sustained
attention which may underlie the pathophysiology of MDD or be part of the
cognition circuit. In the severe depression patients, sustained attention
deficits were positively correlated with clinical symptoms.
PMID- 26555495
TI - Does the interpersonal model apply across eating disorder diagnostic groups? A
structural equation modeling approach.
AB - BACKGROUND: Interpersonal model has been validated with binge-eating disorder
(BED), but it is not yet known if the model applies across a range of eating
disorders (ED). PURPOSE: The goal of this study was to investigate the validity
of the interpersonal model in anorexia nervosa (restricting type; ANR and binge
eating/purge type; ANBP), bulimia nervosa (BN), BED, and eating disorder not
otherwise specified (EDNOS). PROCEDURE: Data from a cross-sectional sample of
1459 treatment-seeking women diagnosed with ANR, ANBP, BN, BED and EDNOS were
examined for indirect effects of interpersonal problems on ED psychopathology
mediated through negative affect. RESULTS: Findings from structural equation
modeling demonstrated the mediating role of negative affect in four of the five
diagnostic groups. There were significant, medium to large (.239, .558), indirect
effects in the ANR, BN, BED and EDNOS groups but not in the ANBP group. The
results of the first reverse model of interpersonal problems as a mediator
between negative affect and ED psychopathology were nonsignificant, suggesting
the specificity of these hypothesized paths. However, in the second reverse model
ED psychopathology was related to interpersonal problems indirectly through
negative affect. CONCLUSION: This is the first study to find support for the
interpersonal model of ED in a clinical sample of women with diverse ED
diagnoses, though there may be a reciprocal relationship between ED
psychopathology and relationship problems through negative affect. Negative
affect partially explains the relationship between interpersonal problems and ED
psychopathology in women diagnosed with ANR, BN, BED and EDNOS. Interpersonal
psychotherapies for ED may be addressing the underlying interpersonal-affective
difficulties, thereby reducing ED psychopathology.
PMID- 26555496
TI - Illness perception in systemic lupus erythematosus patients: The roles of
alexithymia and depression.
AB - OBJECTIVE: Alexithymia and depressive mood have been described as important
dimensions of several medical diseases. Systemic lupus erythematosus is a chronic
condition characterized by unpredictable clinical manifestations. The
relationships between alexithymia, depression, and illness perception were
examined in systemic lupus erythematosus patients. The interrelationships between
psychological factors, such as alexithymia and depressive mood, were explored in
systemic lupus erythematosus patients, and associations between these factors and
illness perception in SLE were examined. We hypothesized that alexithymia and
negative perceptions of illness would be associated in SLE patients, and
depression would mediate this relationship. METHODS: Subjects were 100
consecutive systemic lupus erythematosus patients attending the outpatient clinic
at the University of Pisa rheumatology unit. They completed the Toronto
Alexithymia Scale, Beck Depression Inventory, and Revised Illness Perceptions
Questionnaire. Clinical variables were measured, disease activity was evaluated
using the European Consensus Lupus Activity Measure, and damage was assessed
using the Systemic Lupus International Collaborative Clinics/American College of
Rheumatology Damage Index. RESULTS: There were no associations between clinical
variables, alexithymia, and depression. The results highlight the existence of
significant links between alexithymia and illness perception for systemic lupus
erythematosus patients. Moreover, our data suggest that some of these links are
mediated by depression, which is the direct predictor of different aspects of
perceived health. CONCLUSION: Our findings suggest that studying the role of
psychological factors, such as alexithymia and depression, may contribute to a
more comprehensive perspective of systemic lupus erythematosus, including their
impact on patients' beliefs about treatment effectiveness and emotional
adaptation to chronic disease.
PMID- 26555497
TI - Psychometric properties of the Haitian Creole version of the Resilience Scale
with a sample of adult survivors of the 2010 earthquake.
AB - BACKGROUND: Resilience is defined as the ability of people to cope with disasters
and significant life adversities. The present paper aims to investigate the
underlying structure of the Creole version of the Resilience Scale and its
psychometric properties using a sample of adult survivors of the 2010 earthquake.
METHODS: A parallel analysis was conducted to determine the number of factors to
extract and confirmatory factor analysis was performed using a sample of 1355
adult survivors of the 2010 earthquake from people of specific places where
earthquake occurred with an average age of 31.57 (SD=14.42). All participants
completed the Creole version of Resilience Scale (RS), the Impact of Event Scale
Revised (IES-R), the Beck Depression Inventory (BDI) and the Social Support
Questionnaire (SQQ-6). To facilitate exploratory (EFA) and confirmatory factor
analysis (CFA), the sample was divided into two subsamples (subsample 1 for EFA
and subsample 2 for CFA). RESULTS: Parallel analysis and confirmatory factor
analysis results showed a good-fit 3-factor structure. The Cronbach alpha
coefficient was .79, .74 and .72 respectively for the factor 1, 2 and 3 and
correlated to each other. Construct validity of the Resilience scale was provided
by significant correlation with measures of depression and social support
satisfaction, but no correlation was found with posttraumatic stress disorder
measure, except for factor 2. CONCLUSIONS: The results reveal a different
factorial structure including 25 items of the RS. However, the Haitian Creole
version of RS is a valid and reliable measure for assessing resilience for adults
in Haiti.
PMID- 26555498
TI - The 6-item Kessler psychological distress scale to survey serious mental illness
among Chinese undergraduates: Psychometric properties and prevalence estimate.
AB - OBJECTIVE: To evaluate the psychometric properties of the 6-item Kessler
psychological distress scale (K6) in screening for serious mental illness (SMI)
among undergraduates in a major comprehensive university in China. METHOD: The K6
was self-completed by 8289 randomly sampled participants. A group of them (n=222)
were re-assessed using K6 and interviewed using the Chinese version of Composite
International Diagnostic Interview 3.1 (CIDI-3.1). RESULTS: The test-retest
reliability of the K6 scale was 0.79, the Cronbach's alpha was 0.84, and its area
under the receiver operating curve (AUC) for diagnosing CIDI-3.1 SMI was 0.85
(95% CI=0.80-0.90). For the optimal cut-off of K6 (12/13), the sensitivity (SEN),
specificity (SPE), positive predictive value (PPV), negative predictive value
(NPV), and classification accuracy (AC) were 0.83, 0.79, 0.60, 0.93, and 0.80,
respectively. The 12-month prevalence of SMI was estimated as 3.97% using this
optimal cut-off. Binary logistic regression analysis (including gender,
ethnicity, grade, number of siblings and family residency location) showed that
only family residency location in rural areas compared to urban areas was
significantly associated with more SMI. CONCLUSIONS: This study documented the
value of using the K6 for detecting SMI in Chinese undergraduate populations and
supported its cross-cultural reliability and validity.
PMID- 26555499
TI - Racial disparities during admission to an academic psychiatric hospital in a
large urban area.
AB - Multiple studies confirm that African Americans are less likely than non-Hispanic
whites to receive needed mental health services. Research has consistently shown
that African Americans are under-represented in outpatient mental health
treatment settings and are over-represented in inpatient psychiatric settings.
Further, African Americans are more likely to receive a diagnosis of
schizophrenia and are less likely receive an affective disorder diagnosis during
inpatient psychiatric hospitalization compared to non-Hispanic white patients,
pointing to a need for examining factors contributing to mental health
disparities. Using Andersen's Behavioral Model of Health Service Use, this study
examined predisposing, enabling and need factors differentially associated with
health service utilization among African American and non-Hispanic white patients
(n=5183) during psychiatric admission. We conducted univariate and multivariate
logistic regression analyses to examine both main effects and interactions. In
the multivariate model, African American race at admission was predicted by
multiple factors including younger age, female gender, multiple psychiatric
hospitalizations, elevated positive and negative symptoms of psychosis, a
diagnosis of schizophrenia and substance use, as well as having housing and
commercial insurance. Additionally, screening positive for cannabis use at intake
was found to moderate the relationship between being female and African American.
Our study findings highlight the importance of examining mental health
disparities using a conceptual framework developed for vulnerable populations
(such as racial minorities and patients with co-occurring substance use).
PMID- 26555500
TI - The role of emotion regulation strategies and dissociation in non-suicidal self
injury for women with borderline personality disorder and comorbid eating
disorder.
AB - Different dysfunctional emotion regulation strategies are observed in patients
with borderline personality disorder (BPD) and comorbid eating disorders (EDs)
who report non-suicidal self-injury (NSSI). The objective of this study was to
investigate the relationship of two well-defined emotion regulation strategies
(i.e. expressive suppression and cognitive reappraisal) and dissociation with
NSSI. The participants were sixty-eight women diagnosed with BPD and comorbid ED.
A cross-sectional research design was used, and clinical interviews and self
report questionnaires were administered to collect data. Multiple regression was
conducted to analyze the relationship of two emotion regulation strategies and
dissociation with NSSI. According to the results, for low cognitive reappraisal
scores, an increase in dissociation leads to an increase in NSSI; however, as
cognitive reappraisal increases, higher dissociation is associated with fewer
NSSI. When expressive suppression is low, an increase in cognitive reappraisal is
associated with a decrease in NSSI; however, as suppression increases, a higher
cognitive reappraisal has less effect on decreasing NSSI. These findings indicate
that cognitive reappraisal reduces the harmful effects that dissociation has on
NSSI, and that expressive suppression interferes with the beneficial effects of
cognitive reappraisal on NSSI. Therefore, targeting expressive suppression before
cognitive reappraisal is conducted may enhance treatment outcomes for patients
with BPD and comorbid ED.
PMID- 26555501
TI - Evaluation of the hip-flask defence by determination of ethyl glucuronide and
ethyl sulphate concentrations in blood.
AB - INTRODUCTION: The hip-flask defence (i.e. claiming ethanol intake after an
incident) is difficult to refute by the use of ethanol analyses alone, as these
may show decreasing concentrations shortly after intake of alcohol. The non
oxidative metabolites of ethanol, ethyl glucuronide (EtG) and ethyl sulphate
(EtS) have a different pharmacokinetic profile, with peak concentrations in blood
around 4h after intake. The aim of this study was to describe a method for using
EtG-analysis for the purpose of estimating the time point of ethanol intake and
to report cases in which this method is used. METHODS: Previously published
studies are summarised. Also, in expert witness cases where the hip-flask defence
is claimed, EtG and EtS were analysed in selected cases. Twelve such cases are
reported. RESULTS: In previous studies, about 70 healthy volunteers have been
included in different kinetic studies, demonstrating maximal individual
concentrations of EtG always below 0.5 mg/L after 1 h, below 1 mg/L after 2 h and
somewhat above 1 mg/L 4 h after a moderate alcohol intake (up to 80 grams of
ethanol). Twelve cases are reported in the present study, where the suspect
claimed no alcohol intake before driving, only intake after driving. In all 12
cases, ethanol concentration was lower in the second sample (taken approximately
30 min after the first). The median EtG concentration in the first sample was
4.13 mg/L (range 2.0-7.4) and 4.34 mg/L (range 2.1-7.2) in the second sample. One
case showed an increase in EtG concentrations of 15% from first to second sample
(the time difference between the samples was 32 min, with the first sample taken
41 min after driving). For the remainder of the cases, EtG concentrations were
relatively stable. CONCLUSIONS: In all the presented cases, the levels of EtG
were substantially higher than what would be expected only about 1-2h after a
very recent alcohol intake. The relatively stable concentrations between the
first and second sample also indicated that the high EtG concentrations were not
caused by a rapid formation after a recent intake, as this would have
demonstrated increasing concentrations over a time period of 30 min. In
conclusion, EtG and EtS in blood could be a helpful tool in assessment of the hip
flask defence, in cases where the detected ethanol is claimed to be caused solely
by a single intake after driving.
PMID- 26555502
TI - A new method of artificial latent fingerprint creation using artificial sweat and
inkjet printer.
AB - In order to study fingerprinting in the field of forensic science, it is very
important to have two or more latent fingerprints with identical chemical
composition and intensity. However, it is impossible to obtain identical
fingerprints, in reality, because fingerprinting comes out slightly differently
every time. A previous research study had proposed an artificial fingerprint
creation method in which inkjet ink was replaced with amino acids and sodium
chloride solution: the components of human sweat. But, this method had some
drawbacks: divalent cations were not added while formulating the artificial sweat
solution, and diluted solutions were used for creating weakly deposited latent
fingerprint. In this study, a method was developed for overcoming the drawbacks
of the methods used in the previous study. Several divalent cations were added in
this study because the amino acid-ninhydrin (or some of its analogues) complex is
known to react with divalent cations to produce a photoluminescent product; and,
similarly, the amino acid-1,2-indanedione complex is known to be catalyzed by a
small amount of zinc ions to produce a highly photoluminescent product. Also, in
this study, a new technique was developed which enables to adjust the intensity
when printing the latent fingerprint patterns. In this method, image processing
software is used to control the intensity of the master fingerprint patterns,
which adjusts the printing intensity of the latent fingerprints. This new method
opened the way to produce a more realistic artificial fingerprint in various
strengths with one artificial sweat working solution.
PMID- 26555504
TI - Risk and risk perception of knee osteoarthritis in the US: a population-based
study.
AB - OBJECTIVE: We sought to investigate risk perception among an online cohort of
younger US adults compared with calculated risk estimates. DESIGN: We recruited a
population-based cohort 25-44 years of age with no history of knee osteoarthritis
(OA) using Amazon's Mechanical Turk, an online marketplace used extensively for
behavioral research. After collecting demographic and risk factor information, we
asked participants to estimate their 10-year and lifetime risk of knee OA. We
compared perceived risk with risk derived from the OA risk calculator (OA Risk
C), an online tool built on the basis of the validated OA Policy Model. RESULTS:
375 people completed the study. 21% reported having 3+ risk factors for OA, 25%
reported two risk factors, and 32% reported one risk factor. Using the OA Risk C,
we calculated a mean lifetime OA risk of 25% and 10-year risk of 4% for this
sample. Participants overestimated their lifetime and 10-year OA risk at 48% and
26%, respectively. We found that obesity, female sex, family history of OA,
history of knee injury, and occupational exposure were all significantly
associated with greater perceived lifetime risk of OA. CONCLUSIONS: Risk factors
are prevalent in this relatively young cohort. Participants consistently
overestimated their lifetime risk and showed even greater overestimation of their
10-year risk, suggesting a lack of knowledge about the timing of OA onset. These
data offer insights for awareness and risk interventions among younger persons at
risk for knee OA.
PMID- 26555503
TI - Cytochrome P450-derived epoxyeicosatrienoic acids and coronary artery disease in
humans: a targeted metabolomics study.
AB - Cytochrome P450 (CYP)-derived epoxyeicosatrienoic acids (EETs) exhibit potent
cardiovascular protective effects in preclinical models, and promoting the
effects of EETs has emerged as a potential therapeutic strategy for coronary
artery disease (CAD). The relationship between circulating EET levels and CAD
extent in humans, however, remains unknown. A panel of free (unesterified) plasma
eicosanoid metabolites was quantified in 162 patients referred for coronary
angiography, and associations with extent of CAD [no apparent CAD (N = 39),
nonobstructive CAD (N = 51), and obstructive CAD (N = 72)] were evaluated. A
significant relationship between free EET levels and CAD extent was observed (P =
0.003) such that the presence of obstructive CAD was associated with lower
circulating EET levels. This relationship was confirmed in multiple regression
analysis where CAD extent was inversely and significantly associated with EET
levels (P = 0.013), and with a biomarker of EET biosynthesis (P < 0.001),
independent of clinical and demographic factors. Furthermore, quantitative
enrichment analysis revealed that these associations were the most pronounced
compared with other eicosanoid metabolism pathways. Collectively, these findings
suggest that the presence of obstructive CAD is associated with lower EET
metabolite levels secondary to suppressed EET biosynthesis. Novel strategies that
promote the effects of EETs may have therapeutic promise for patients with
obstructive CAD.
PMID- 26555505
TI - The Relationship between Cultural Anxiety and Ethnic Essentialism: The Mediating
Role of an Endorsement of Multicultural Ideology.
AB - Many studies have explored the social consequences of ethnic essentialism in
recent decades. In addition, a few studies have focused on the impact of
perceived cultural context on ethnic essentialism. However, it is not clear why
perceived cultural context can lead to changes in ethnic essentialism. In the
present study, we hypothesized that the cultural anxiety of ethnic minorities may
trigger a strong endorsement of and support for a multicultural ideology, thereby
affecting beliefs about ethnic groups. To address the issue, 226 Tibetan and 102
Hui college students from Mainland China completed our questionnaires. The
results across the two samples showed that (1) cultural anxiety was positively
associated with both the endorsement of a multicultural ideology and ethnic
essentialism, (2) cultural anxiety and the endorsement of a multicultural
ideology positively predicted ethnic essentialism after controlling for
demographic variables, and (3) cultural anxiety had both a direct effect on
ethnic essentialism and an indirect effect on ethnic essentialism through the
endorsement of a multicultural ideology. Our findings suggest that when ethnic
minorities experience cultural anxiety, they might endorse a multicultural
ideology and adopt essentialism to affirm their ethnic identities.
PMID- 26555506
TI - Frontobasal Midline Meningiomas: Is It Right To Shed Doubt on the Transcranial
Approaches? Updates and Review of the Literature.
AB - BACKGROUND: Traditionally, the surgical removal of tuberculum sellae meningioma
(TSM) and olfactory groove meningioma (OGM) requires transcranial approaches and
microsurgical techniques, but in the last decade endoscopic expanded endonasal
approaches have been introduced: transcribriform for OGMs and transtuberculum
transplanum for TSM. A comparative analysis of the literature concerning the two
types of surgical treatment of OGMs and TSM is, however, difficult. METHODS: We
conducted a literature search using the PubMed database to compare data for
endoscopic and microsurgical techniques in the literature. We also conducted a
retrospective analysis of selected cases from our series presenting favorable
characteristics for an endoscopic approach, based on the criteria of operability
of these lesions as generally accepted in the literature, and we compared the
results obtained in these patients with those in the endoscopic literature.
RESULTS: We believe that making the sample more homogeneous, the difference
between microsurgical technique and endoscopic technique is no longer so
striking. A greater radical removal rate, a reduced incidence of cerebrospinal
fluid fistula and, especially, the possibility of removing lesions of any size
are advantages of transcranial surgery; a higher percentage of improvement in
visual outcome and a lower risk of a worsening of a pre-existing deficit or onset
of a new deficit are advantages of the endoscopic technique. CONCLUSION: At
present, the microsurgical technique is still the gold standard for the removal
of the anterior cranial fossa meningiomas of all sizes, and the endoscopic
technique remains a second option in certain cases.
PMID- 26555507
TI - How Does Brainstem Involvement Affect Prognosis in Patients with Limited Brain
Metastases? Results of a Matched-Cohort Analysis.
AB - OBJECTIVE: Although brainstem metastases are thought to portend an inferior
prognosis compared to non-brainstem brain metastases, there is limited evidence
to support this claim, particularly in the modern radiosurgical era. METHODS: We
collected the clinical data for 500 patients with brain metastases treated at our
institution with stereotactic radiosurgery (SRS). All patients received SRS to at
least one brain metastasis, and all brainstem metastases underwent SRS. After
propensity score matching, clinical characteristics and overall survival were
calculated and compared between groups. RESULTS: Three hundred sixteen patients
with brain metastases were analyzed after matching (143 with brainstem
involvement and 173 without). Patients with brainstem metastases lived shorter
after first SRS than patients without brainstem metastases did (median 4.4 and
6.5 months, respectively; P = 0.01), and they were more likely to have received
whole brain irradiation (P = 0.003). Patients with a single metastasis did not
survive longer than patients with multiple brain metastases if there was
brainstem involvement (P = 0.45). The incidence of new extracranial disease and
severe toxicity after SRS did not differ between groups. CONCLUSIONS: The
survival of patients with brain metastases is inferior after a metastatic lesion
develops within the brainstem, despite favorable local control with brainstem
SRS. The brainstem location should be considered a negative prognostic factor for
survival after SRS, and it could result from the eloquence of this location.
Future research could identify the clinically life-limiting component of
brainstem metastases.
PMID- 26555508
TI - Historical Landmarks in the Management of Aneurysms and Arteriovenous
Malformations of the Central Nervous System.
AB - OBJECTIVE: To describe the history of vascular and endovascular neurosurgery.
METHODS: A literature research was conducted including historical events from
2000 bc to the twenty-first century ad, and a timetable was filled with
information regarding the most representative historical landmarks regarding
vascular and endovascular neurosurgery. RESULTS: Starting from approaches limited
to the cervical carotid artery, vascular neurosurgery gained its way through the
intracranial and finally endovascular space thanks to the introduction of both
innovative and progressively less invasive procedures. With the invention of
cerebral angiography in 1927, Egas Moniz paved the way for modern endovascular
neurosurgery. CONCLUSIONS: Numerous pioneers have been described through this
historical reconstruction. Their genius, effort, dedication, and passion brought
a massive contribution to vascular and endovascular neurosurgery as we know it
today.
PMID- 26555509
TI - Oxidative stress parameters and serum magnesium levels in patients with seasonal
allergic conjunctivitis.
AB - OBJECTIVE: To evaluate oxidative stress parameters and serum magnesium (Mg)
levels in patients with seasonal allergic conjunctivitis (SAC) during the pollen
season. METHODS: This observational cross-sectional study involved 35 patients
with SAC without any other ocular and systemic diseases, and 38 consecutive, age-
and sex-matched healthy subjects. Serum malondialdehyde (MDA), adjusted ischemia
modified albumin (IMA), and Mg levels were quantified, and the results were
compared between the groups. RESULTS: No significant differences were found
between the groups with respect to age (p = 0.416) and sex (p = 0.362). Serum MDA
and adjusted IMA levels of the subjects with SAC (69.54 +/- 7.71 MUM and 0.74 +/-
0.39 ABSU) were significantly higher than the control group (64.61 +/- 5.89 MUM
and 0.57 +/- 0.19 ABSU) (p = 0.002 and p = 0.025, respectively). There was no
significant difference for serum Mg levels between the groups (p = 0.177).
CONCLUSION: We demonstrated higher levels of oxidative stress parameters in
patients with SAC compared to the control group, which imply a possible role of
oxidative stress in the pathogenesis of SAC.
PMID- 26555510
TI - Variable protein profiles in extracellular products of the protistan parasite
Perkinsus olseni among regions of the Spanish coast.
AB - The variability of the protein expression profiling in the extracellular products
(ECPs) of in vitro cultured Perkinsus olseni deriving from 4 regions of the
Spanish coast was evaluated. The regions involved were the rias of Arousa and
Pontevedra (Galicia, NW Spain), Carreras River (Andalusia, SW Spain) and Delta de
l'Ebre (Catalonia, NE Spain). P. olseni in vitro clonal cultures were produced
from parasite isolates from four clams from each region. Proteins released by the
in vitro cultured parasites were isolated and separated by two dimensional
electrophoresis (2DE). Qualitative comparison of protein expression profiles in
the P. olseni ECPs among clones from all the regions was performed with PD Quest
software. Around 130 spots were counted in the gels from ECPs of P. olseni clones
from each region, of which 23 spots were shared by clones from all the regions
and various spots were representative from clones of one region (appear in every
clonal culture from that region but did not in every one of the other regions). A
total of 34 spots were excised from the gels and analysed for sequencing. The
protein cathepsin B, involved in proteolysis, the signal recognition particle
receptor subunit beta, involved in protein transport through membranes, and a
protein belonging to N-acetyl transferase superfamily, involved in biosynthesis,
were identified in spots shared by P. olseni ECPs from all regions. Pepsin A
precursor, involved in proteolysis; heat shock protein (HSP) 60; and
phosphoserine aminotransferase, involved in biosynthesis, were representative of
P. olseni ECPs from Ria de Arousa, while peroxiredoxin V, involved in oxidation
reduction, was representative of P. olseni ECPs from Ria de Pontevedra.
Differences in released proteins suggest different virulence or resistance to
host attack between parasites from different locations.
PMID- 26555511
TI - A need for orientation: The WMA statement on natural variations of human
sexuality.
AB - An alarming stigmatization and discrimination of homosexual people persists
despite the formal depathologization of homosexuality, which occurred through the
removal of the diagnosis from the DSM- (1973) and classification from the ICD
(1991). The adoption of an expedited Statement on sexual orientation by the
majority at the 64th General Assembly of the WMA is therefore an important and
overdue measure. The Statement clearly asserts, among other things, that
homosexuality is not an illness and therefore requires no cure. It also suggests
that direct and indirect discrimination and stigmatization of people due their
sexual orientation often leads to psychological and physical illnesses.
Furthermore, delegates of the WMA condemned so-called reparative or conversion
"therapies", which strive to induce heterosexual or asexual behavior. These are
not only ineffective and unethical, but are strongly associated with serious
negative impacts on health. Such a statement from the World Medical Association
(WMA) is of particular importance as ambivalence and uncertainty in regards to
homosexuality exists even within the medical and therapeutic professions. The
latent or manifest attribution of homosexuality as an illness or developmental
disorder is still widespread. One possible explanation for this may be the
disruption of an open and sustainable discourse on causes, effects and
definitions of sexual orientation following the "official depathologization".
Especially those working in the medical and therapeutic contexts should be aware
of their special responsibility not to pathologize homosexuality.
PMID- 26555513
TI - Retraction. Evaluation of Selected Nutrients and Contaminants in Distillers
Grains from Ethanol Production in Texas.
PMID- 26555512
TI - A matter of sexual confidence: young men's non-prescription use of Viagra in
Addis Ababa, Ethiopia.
AB - This paper examines the non-prescription use of the sexual enhancement drug
Viagra by young men in Addis Ababa. Data was collected through repeated in-depth
interviews with 14 Viagra users - heterosexual men between the ages of 21 and 35
and focus-group discussions with 21 male and 22 female university students.
Study participants turned to Viagra to impress lovers, as a 'support mechanism'
when feeling weak or tired, to counteract the effects of chewing the stimulant
plant khat and to satisfy what they perceived as a psychological 'addiction'.
More generally, young men used Viagra to quell anxieties about what they
perceived as women's growing expectations about their sexual performance -
informed by changing gender relations and sexual expectations, constructions of
masculinity that emphasise sexual prowess, and a misreading of women's sexual
desires largely fuelled by the emergence of pornography as a new standard for
sexual performance. While some men gained sexual confidence by using Viagra,
others - particularly those who used Viagra regularly - paradoxically experienced
feelings of loss of manhood.
PMID- 26555514
TI - Incidence, Antimicrobial Resistance, and Molecular Characteristics of
Nontyphoidal Salmonella Including Extended-Spectrum beta-Lactamase Producers in
Retail Chicken Meat.
AB - The present study was undertaken to determine the prevalence of Salmonella in 100
chicken carcass samples from five integrated broiler operation brands in Korea.
Serotypes, antibiotic resistance patterns, extended-spectrum beta-lactamase
(ESBL) genotype, and clonal divergence using multilocus sequence typing of the
isolated strains were analyzed. A total of 42 chicken samples were contaminated
with nontyphoidal Salmonella (NTS) isolates: 16 isolates (38%) were Salmonella
Virchow, 9 (21%) were Salmonella Bareilly, and 8 (19%) were Salmonella Infantis.
A multidrug resistance (MDR; resistant to more than three classes of antibiotics)
phenotype was observed in 29% of the isolates, which were resistant to five or
more classes of antibiotics. The dominant MDR type was resistance to classes of
penicillin, cephalosporins, aminoglycosides, quinolones, and tetracyclines. All
the MDR isolates were positive for ESBL producers, and all but one (with the CTX
M-1 genotype) had the CTX-M-15 genotype. Multilocus sequence typing of the
isolates revealed ST16 as the dominant sequence type; Salmonella Virchow,
Salmonella Infantis, and Salmonella Richmond were all ST16, indicating a close
genetic relationship between these serovars. This is the first study in Korea
showing the CTX-M-1 type of NTS and the prevalence of ESBL-producing strains
among NTS isolated from retail chicken meat. Our findings suggest that MDR
Salmonella contamination is widely prevalent in retail chicken meat, and
consumption of inadequately cooked products could lead to dissemination of NTS,
which is hazardous to human health.
PMID- 26555515
TI - Prevalence and Serogroup Diversity of Salmonella for Broiler Neck Skin, Whole
Carcass Rinse, and Whole Carcass Enrichment Sampling Methodologies following Air
or Immersion Chilling.
AB - The purpose of this study was to evaluate neck skin (NS), whole carcass rinse
(WCR), and whole carcass enrichment (WCE) sampling procedures for Salmonella
isolation and serogroup identification from the same broiler chicken carcass
treated with air or immersion chilling. Commercially processed and eviscerated
broiler carcasses were collected from a commercial processing plant, individually
bagged, and transported to the pilot processing plant. In experiment 1, carcasses
were air chilled to 4 degrees C. In experiment 2, carcasses were immersion
chilled with or without chlorine. After air chilling, Salmonella was detected on
78% of NS and 89% of WCE samples. Only one Salmonella serogroup was detected from
each of 13 Salmonella-positive NS samples, and two serogroups were detected on 1
Salmonella-positive NS sample. Only one Salmonella serogroup was detected from
each of 13 Salmonella-positive WCE samples, and two serogroups were detected from
3 Salmonella-positive WCE samples. After immersion chilling without chlorine,
Salmonella was detected on 38% of NS, 45% of WCR, and 100% of WCE samples.
Without chlorine, the 15 Salmonella-positive NS samples included 14 samples with
one serogroup and 1 sample with two serogroups. Only one Salmonella serogroup was
detected from WCR samples after immersion chilling. Of 40 Salmonella-positive WCE
samples, 23 had a one, 14 had two, and 3 had three Salmonella serogroups. After
immersion chilling with chlorine, Salmonella was detected on 35% of NS, 0% of
WCR, and 90% of WCE samples. With chlorine, the 14 Salmonella-positive NS samples
included 11 samples with one serogroup and 3 samples with two serogroups. No
Salmonella serogroups were detected from WCR samples after immersion chilling
with 20 mg/liter free chlorine. The 36 Salmonella-positive WCE samples included
21 samples with one serogroup and 15 samples with two serogroups. NS and WCE
sampling methodologies yielded similar prevalence and serogroup diversity after
air chilling. However, after immersion chilling with or without chlorine, WCE
sampling yielded significantly higher (alpha <= 0.05) prevalence and serogroup
diversity than either NS or WCR sampling methodologies.
PMID- 26555516
TI - Salmonella Levels in Turkey Neck Skins, Drumstick Bones, and Spleens in Relation
to Ground Turkey.
AB - The objective of this study was to determine Salmonella levels (presence and
numbers) in turkey drumstick bone, spleen, and neck skin samples in relation to
Salmonella contamination levels in ground turkey at the flock level. Over a 10
month period, a total of 300 samples of each turkey part (i.e., neck skin,
spleen, and drumstick) from 20 flocks were collected at a commercial turkey
processing plant after the evisceration step. Turkey flocks included in this
study were classified as "targeted" and "nontargeted" based on the company's
historical ground turkey contamination data. A flock that originated from a
turkey farm that had previously produced one or more flocks with >=20% Salmonella
prevalence in ground turkey was labeled as a targeted flock (n = 13). The
remaining seven flocks with <20% prevalence were labeled as nontargeted. All
samples collected were tested for Salmonella presence and numbers by using most
probable-number and selective enrichment methods. Further genotypic analysis
(pulsed-field gel electrophoresis) of the isolates was performed. Ground turkey
samples were collected and analyzed for Salmonella levels by the cooperating
turkey company. The outside surface of bone and spleen were sterilized prior to
Salmonella analysis. The overall Salmonella prevalence in neck skin, drumstick
bone, spleen, and ground turkey samples was 42.0, 9.3, 6.7, and 14.5%,
respectively. Salmonella prevalence in neck skin, spleen, drumstick bone, and
ground turkey from the targeted flocks was significantly (P < 0.05) higher than
those from nontargeted flocks. There was a significant relationship between
Salmonella presence in neck skin (when most probable numbers were >=2 log) and
Salmonella-positive ground turkey lot. Based on our findings, Salmonella was
detected internally in drumstick bones and spleens at low levels, whereas
Salmonella presence at higher levels in neck skin may indicate a flock with
greater potential for Salmonella contamination of ground turkey.
PMID- 26555517
TI - Survival of Salmonella during Drying of Fresh Ginger Root (Zingiber officinale)
and Storage of Ground Ginger.
AB - The survival of Salmonella on fresh ginger root (Zingiber officinale) during
drying was examined using both a laboratory oven at 51 and 60 degrees C with two
different fan settings and a small commercially available food dehydrator. The
survival of Salmonella in ground ginger stored at 25 and 37 degrees C at 33%
(low) and 97% (high) relative humidity (RH) was also examined. To inoculate
ginger, a four-serovar cocktail of Salmonella was collected by harvesting agar
lawn cells. For drying experiments, ginger slices (1 +/- 0.5 mm thickness) were
surface inoculated at a starting level of approximately 9 log CFU/g. Higher
temperature (60 degrees C) coupled with a slow fan speed (nonstringent condition)
to promote a slower reduction in the water activity (aw) of the ginger resulted
in a 3- to 4-log reduction in Salmonella populations in the first 4 to 6 h with
an additional 2- to 3-log reduction by 24 h. Higher temperature with a higher fan
speed (stringent condition) resulted in significantly less destruction of
Salmonella throughout the 24-h period (P < 0.001). Survival appeared related to
the rate of reduction in the aw. The aw also influenced Salmonella survival
during storage of ground ginger. During storage at 97% RH, the maximum aw values
were 0.85 at 25 degrees C and 0.87 at 37 degrees C; Salmonella was no longer
detected after 25 and 5 days of storage, respectively, under these conditions. At
33% RH, the aw stabilized to approximately 0.35 at 25 degrees C and 0.31 at 37
degrees C. Salmonella levels remained relatively constant throughout the 365-day
and 170-day storage periods for the respective temperatures. These results
indicate a relationship between temperature and aw and the survival of Salmonella
during both drying and storage of ginger.
PMID- 26555518
TI - Growth of Stressed Strains of Four Non-O157 Shiga Toxin-Producing Escherichia
coli Serogroups in Five Enrichment Broths.
AB - The purpose of this study was to evaluate (i) the behavior of several strains of
non-O157 Shiga toxin-producing Escherichia coli (STEC) serogroups (O26, O103,
O111, and O145) exposed to different stress conditions and (ii) the growth
dynamics of stressed and nonstressed non-O157 STEC cells in five enrichment
media. STEC strains were exposed to acid, cold, and freeze stresses. Lethal and
sublethal injuries were determined by plating in parallel on selective and
nonselective agar media. Freeze stress (8 days, 20 degrees C) caused the most
lethal (95.3% +/- 2.5%) injury, as well as the most sublethal (89.1% +/- 8.8%)
injury in the surviving population. Growth of stressed and nonstressed pure
cultures of non-O157 STEC on modified tryptic soy broth, buffered peptone water
(BPW), BPW with sodium pyruvate, Brila, and STEC enrichment broth (SEB) was
determined using total viable counts. To compare growth capacities, growth after
7 and 24 h of enrichment was measured; lag phases and maximum growth rates were
also calculated. In general, growth on BPW resulted in a short lag phase followed
by a high maximum growth rate during the enrichment of all tested strains when
using all three stress types. Furthermore, BPW ensured the highest STEC count
after 7 h of growth. Supplementing the medium with sodium pyruvate did not
improve the growth dynamics. The two selective media, Brila and SEB, were less
efficient than BPW, but Brila's enrichment performance was remarkably better than
that of SEB. This study shows that irrespective of the effect of background
flora, BPW is still recommended for resuscitation of non-O157 STEC.
PMID- 26555519
TI - Antimicrobial Efficacy of a Sulfuric Acid and Sodium Sulfate Blend, Peroxyacetic
Acid, and Cetylpyridinium Chloride against Salmonella on Inoculated Chicken
Wings.
AB - Studies were conducted to evaluate the efficacy of a commercial blend of sulfuric
acid and sodium sulfate (SSS) in reducing Salmonella on inoculated whole chilled
chicken wings and to compare its efficacy to peroxyacetic acid (PAA) and
cetylpyridinium chloride (CPC). Wings were spot inoculated (5 to 6 log CFU/ml of
sample rinsate) with a five-strain mixture of novobiocin- and nalidixic acid
resistant Salmonella and then left untreated (control) or treated by immersing
individual wings in 350 ml of antimicrobial solution. An initial study evaluated
two treatment immersion times, 10 and 20 s, of SSS (pH 1.1) and compared cell
recoveries following rinsing of treated samples with buffered peptone water or
Dey/Engley neutralizing broth. In a second study, inoculated wings were treated
with SSS (pH 1.1; 20 s), PAA (700 ppm, 20 s), or CPC (4,000 ppm, 10 s) and
analyzed for survivors immediately after treatment (0 h) and after 24 h of
aerobic storage at 4 degrees C. Color and pH analyses were also conducted in the
latter study. Recovery of Salmonella survivors following treatment with SSS (10
or 20 s) was not (P >= 0.05) affected by the type of cell recovery rinse solution
(buffered peptone water or Dey/Engley neutralizing broth), but there was an
effect (P < 0.05) of SSS treatment time. Immersion of samples for 10 or 20 s in
SSS resulted in pathogen reductions of 0.8 to 0.9 and 1.1 to 1.2 log CFU/ml,
respectively. Results of the second study showed that there was an interaction (P
< 0.05) between antimicrobial type and storage time. Efficacy against Salmonella
at 0 h increased in the order CPC , SSS , PAA; however, after 24 h of aerobic
storage, pathogen counts of SSS- and PAA-treated wings did not differ (P >=
0.05). Overall, the results indicated that SSS applied at pH 1.1 for 20 s was an
effective antimicrobial intervention to reduce Salmonella contamination on
chicken wings.
PMID- 26555520
TI - Microbiological Quality of Seafood Marketed in Taiwan.
AB - Seafood is often associated with foodborne illnesses, and Vibrio parahaemolyticus
is the most common pathogen implicated in outbreaks in Taiwan. In this study, the
microbiological quality of 300 raw or mixed ready-to-eat (RTE) and other cooking
needed seafood samples was examined. The total aerobic and coliform counts of the
RTE samples were significantly higher than those of other cooking-needed samples.
On average, 55.8 and 29.7% of the RTE samples failed to meet the local
microbiological standards for total aerobic (5 log CFU/g) and coliform (3 log
most probable number [MPN] per g), counts respectively; the corresponding
percentages for the RTE samples from Taipei City were 9.1 and 18.2%,
respectively. The total aerobic and coliform counts in the RTE samples from
supermarkets and chain restaurants were significantly lower than those from
traditional restaurants. The Vibrio species were more frequently identified in
the cooking-needed samples than in RTE samples. Low incidences of V.
parahaemolyticus (1.4%), V. vulnificus (1.9%), and V. cholerae (0%) were detected
in most RTE samples. High densities of V. parahaemolyticus and V. vulnificus
(1,200 MPN/g) were detected in a few RTE samples, only one of which contained
toxigenic (tdh(+)) V. parahaemolyticus. The results of this investigation reveal
that better hygiene of seafood providers such as chain restaurants, supermarkets,
and traditional restaurants in Taipei City would effectively improve the
microbiological quality of the seafood. The results will facilitate the
establishment of measures for controlling the risks associated with seafood in
Taiwan.
PMID- 26555521
TI - Comparison of the Microbial Quality of Lamb and Goat Meat Acquired from Internet
and Local Retail Markets.
AB - This study was conducted to evaluate the microbial quality of lamb and goat meat
sold through local (Virginia) and Internet (U. S.) retail markets. A total of 134
frozen meat products consisting of locally purchased lamb ground (LLG) and lamb
chops and Internet-procured lamb ground, goat ground, lamb chops (ILC), goat
chops (IGC), lamb stew, and goat stew were tested. Significantly higher levels of
aerobic mesophiles, psychrotrophs, and coliforms were found in the meat locally
acquired than in the meat procured from the Internet. Similar average prevalence
(27%) of Escherichia coli was observed regardless of market source. Ground meat
had significantly high levels and prevalence of mesophiles, psychrotrophs,
coliforms, and Listeria spp. One sample of LLG contained Campylobacter, and one
sample of IGC contained Salmonella. Listeria spp. were present in 23 to 40% and
17 to 80% of samples from local and Internet markets, respectively. Pulsed-field
gel electrophoresis (PFGE) of isolated E. coli strains revealed brand specificity
and genomic diversity. No isolate from different brands and market sources had
matching PFGE profiles. The average price of Internet meat ($23.4/kg) was about
1.2 times higher than the price of local meat, except for ILC, whose price was
2.7 times higher. This study revealed differences in microbial quality of lamb
and goat meat based on market source; thus, meat products should be handled
carefully regardless of market source because of the presence of high microbial
levels and the high prevalence of pathogens.
PMID- 26555522
TI - Predominance and Distribution of a Persistent Listeria monocytogenes Clone in a
Commercial Fresh Mushroom Processing Environment.
AB - A longitudinal study was conducted to determine the prevalence of Listeria spp.
in a commercial fresh mushroom slicing and packaging environment. Samples were
collected at three different sampling periods within a 13-month time interval. Of
the 255 environmental samples collected, 18.8% tested positive for L.
monocytogenes, 4.3% for L. innocua, and 2.0% for L. grayi. L. monocytogenes was
most often found on wet floors within the washing and slicing and packaging
areas. Each of the 171 L. monocytogenes isolates found in the environment could
be placed into one of three different serotypes; 1/2c was predominant (93.6%),
followed by 1/2b (3.5%) and 1/2a (2.9%). Of 58 isolates subtyped using multi
virulence-locus sequence typing, all 1/2c isolates were identified as virulence
type (VT) 11 (VT11), all 1/2b isolates were VT105, and 1/2a isolates were either
VT107 or VT56. VT11 was designated as the predominant and persistent clone in the
environment because it was isolated repeatedly at numerous locations throughout
the study. The overall predominance and persistence of VT11 indicates that it
likely colonized the mushroom processing environment. Areas adjacent to the
trench drain in the washing and slicing area and a floor crack in the packaging
area may represent primary harborage sites (reservoirs) for VT11. Improvements
made to sanitation procedures by company management after period 2 coincided with
a significant (P <= 0.001) reduction in the prevalence of L. monocytogenes from
17.8% in period 1 and 30.7% in period 2 to 8.5% in period 3. This suggests that
targeted cleaning and sanitizing procedures can be effective in minimizing the
occurrence of L. monocytogenes contamination in processing facilities. Additional
research is needed to understand why VT11 was predominant and persistent in the
mushroom processing environment.
PMID- 26555523
TI - Prevalence of Staphylococcus aureus in Imported Fish and Correlations between
Antibiotic Resistance and Enterotoxigenicity.
AB - A total of 156 Staphylococcus aureus isolates were obtained from 330 imported
fresh fish samples from three countries. Selective media were used for the
isolation of S. aureus, and the isolates were confirmed by PCR. The isolates were
tested for mecA gene, antibiotic resistance, and enterotoxin genes (sea, seb,
sec, sed, see, seg, seh, and sei). Most isolates carried sea, seg, and sei genes,
and seg-sei was the most frequent enterotoxin profile. About 88.5% of the S.
aureus exhibited resistance to at least one antibiotic. High resistance to
penicillin and ampicillin; low resistance to tetracycline, erythromycin,
rifampin, and clindamycin; and very low resistance to cefotaxime, amoxicillin
clavulanic acid, gentamicin, and ciprofloxacin were exhibited by S. aureus from
the three countries. In addition, some antibiotic resistance exhibited a strong
correlation (P <= 0.01) with enterotoxigenicity in S. aureus. The study concluded
that the large amount of globally traded fish increases the possibility of
intercontinental transmission of enterotoxigenic and multidrug-resistant S.
aureus through fish and highlights the potential influence of local fish handling
and processing on consumer health worldwide. The introduction of periodic
training in food safety and hygiene is essential to increase fish handlers'
awareness of good hygienic practices in handling fish. These findings also enrich
the ongoing debate about the risk of methicillin- and multidrug-resistant S.
aureus as a foodborne pathogen compared with drug-susceptible S. aureus.
PMID- 26555524
TI - Clostridium botulinum Toxin Production in Relation to Spoilage of Atlantic Salmon
(Salmo salar) Packaged in Films of Varying Oxygen Permeabilities and with
Different Atmospheres.
AB - Shelf life of fish packaged under modified atmosphere (MA) is extended, but
within the United States, commercial application of MA with impermeable packaging
films is restricted due to concerns that botulinum toxin production would precede
spoilage when contaminated fish are held at abusive storage temperatures. Use of
semipermeable packaging films has been advocated; however, previous studies are
inconclusive in determining the oxygen transmission rate (OTR) of a film that is
needed to achieve an acceptable margin of safety (i.e., toxin production occurs
only after spoilage). This study was conducted to determine the influence of OTR
(target OTRs of 3 to 15,000) on the development of spoilage volatiles and toxin
in salmon inoculated with type E Clostridium botulinum and subjected to air,
vacuum, or 75:25 CO2:N2 MA and storage temperatures of 4, 8, 12, or 16 degrees C.
The most dominant headspace volatile peak that was produced during spoilage of
samples at 4, 8 or 12 degrees C was a peak, having a Kovats retention index (KI)
of 753, and at which external standards of 2- or 3-methyl 1-butanol also eluted.
Under anaerobic conditions, both the aerobic microbial populations and the size
of the KI 753 spoilage peak were less in inoculated samples compared with
uninoculated samples. C. botulinum-inoculated samples that were stored at 12 or
16 degrees C under conditions favorable for anaerobic growth were also
characterized by a KI 688 peak. Using a previously developed model that related
the percentage of elderly consumers who would prepare a sample having the KI 753
spoilage peak of a specific size, it was determined that for salmon packaged with
3 or 3,000 OTR films under any atmosphere and stored at 12 or 16 degrees C, 2 to
61% of the consumers could potentially prepare toxin-contaminated samples. Hence,
when abusive storage conditions are suspected, the fish should not be consumed.
PMID- 26555525
TI - Effect of Nisin and Thermal Treatments on the Heat Resistance of Clostridium
sporogenes Spores.
AB - The aim of this research was to evaluate the effect of thermal treatments
(isothermal or nonisothermal) combined with nisin, a natural antimicrobial, on
the survival and recovery of Clostridium sporogenes spores. The addition of nisin
to the heating medium at concentrations up to 0.1 mg liter(-1) did not reduce the
heat resistance of C. sporogenes. Without a thermal treatment, nisin added at
concentrations up to 0.1 mg liter(-1) did not reduce the viable counts of C.
sporogenes when added to the recovery medium, but inactivation of more than 4 log
cycles was achieved after only 3 s at 100 degrees C. At 100 degrees C, the time
needed to reduce viable counts by more than 3 log cycles was nine times shorter
when 0.01 mg liter(-1) nisin was added to the recovery medium than without it.
The heat resistance values calculated under isothermal conditions were used to
predict the survival in the nonisothermal experiments, and the predicted values
accurately fit the experimental data. The combination of nisin with a thermal
treatment can help control C. sporogenes.
PMID- 26555526
TI - Ability of Hand Hygiene Interventions Using Alcohol-Based Hand Sanitizers and
Soap To Reduce Microbial Load on Farmworker Hands Soiled during Harvest.
AB - Effective hand hygiene is essential to prevent the spread of pathogens on produce
farms and reduce foodborne illness. The U.S. Food and Drug Administration Food
Safety Modernization Act Proposed Rule for Produce Safety recommends the use of
soap and running water for hand hygiene of produce handlers. The use of alcohol
based hand sanitizer (ABHS) may be an effective alternative hygiene intervention
where access to water is limited. There are no published data on the efficacy of
either soap or ABHS-based interventions to reduce microbial contamination in
agricultural settings. The goal of this study was to assess the ability of two
soap-based (traditional or pumice) and two ABHS-based (label-use or two-step)
hygiene interventions to reduce microbes (coliforms, Escherichia coli, and
Enterococcus spp.) and soil (absorbance of hand rinsate at 600 nm [A600]) on
farmworker hands after harvesting produce, compared with the results for a no
hand-hygiene control. With no hand hygiene, farmworker hands were soiled (median
A600, 0.48) and had high concentrations of coliforms (geometric mean, 3.4 log CFU
per hand) and Enterococcus spp. (geometric mean, 5.3 log CFU per hand) after 1 to
2 h of harvesting tomatoes. Differences in microbial loads in comparison to the
loads in the control group varied by indicator organism and hygiene intervention
(0 to 2.3 log CFU per hand). All interventions yielded lower concentrations of
Enterococcus spp. and E. coli (P < 0.05), but not of coliforms, than were found
in the control group. The two-step ABHS intervention led to significantly lower
concentrations of coliforms and Enterococcus spp. than the pumice soap and label
use ABHS interventions (P < 0.05) and was the only intervention to yield
significantly fewer samples with E. coli than were found in the control group (P
< 0.05). All interventions removed soil from hands (P < 0.05), soap-based
interventions more so than ABHS-based interventions (P < 0.05). ABHS-based
interventions were equally as effective as hand washing with soap at reducing
indicator organisms on farmworker hands. Based on these results, ABHS is an
efficacious hand hygiene solution for produce handlers, even on soiled hands.
PMID- 26555527
TI - Evaluating North Carolina Food Pantry Food Safety-Related Operating Procedures.
AB - Almost one in seven American households were food insecure in 2012, experiencing
difficulty in providing enough food for all family members due to a lack of
resources. Food pantries assist a food-insecure population through emergency food
provision, but there is a paucity of information on the food safety-related
operating procedures used in the pantries. Food pantries operate in a variable
regulatory landscape; in some jurisdictions, they are treated equivalent to
restaurants, while in others, they operate outside of inspection regimes. By
using a mixed methods approach to catalog the standard operating procedures
related to food in 105 food pantries from 12 North Carolina counties, we
evaluated their potential impact on food safety. Data collected through
interviews with pantry managers were supplemented with observed food safety
practices scored against a modified version of the North Carolina Food
Establishment Inspection Report. Pantries partnered with organized food bank
networks were compared with those that operated independently. In this
exploratory research, additional comparisons were examined for pantries in
metropolitan areas versus nonmetropolitan areas and pantries with managers who
had received food safety training versus managers who had not. The results
provide a snapshot of how North Carolina food pantries operate and document risk
mitigation strategies for foodborne illness for the vulnerable populations they
serve. Data analysis reveals gaps in food safety knowledge and practice,
indicating that pantries would benefit from more effective food safety training,
especially focusing on formalizing risk management strategies. In addition, new
tools, procedures, or policy interventions might improve information
actualization by food pantry personnel.
PMID- 26555528
TI - Evaluation of the Implementation of Good Handling Practices in Food and Beverage
Areas of Hotels.
AB - Because of the major international-level events that have recently been held in
Brazil, concerns about the sensory and hygienic-sanitary conditions of food have
increased. The objective of this study was to evaluate the implementation of good
handling practices in food and beverage areas of hotels, with and without
outsourced professional intervention. We evaluated 19 food and beverage areas in
hotels in Porto Alegre, Rio do Sul, Brazil, using a checklist that was developed
by a municipal surveillance team based on existing laws for good handling
practices. The evaluation was done by a skilled professional in the food safety
area on two occasions, at the beginning of the study (January to May 2013) and at
the end (July to November 2014), and the establishments were classified as good,
regular, or poor. After the baseline evaluation, an action plan listing the
noncompliance found at each location was given to those responsible for the
establishments, and a period of 1 year 6 months was stipulated for improvements
to be made. In the repeat evaluation, those responsible for the establishments
were asked whether they had hired an outsourced professional to assist them in
the improvements. The hotels showed improvement during the repeat evaluation, but
a significant increase in the percentage of overall adequacy was seen only in the
food and beverages areas of the 12 hotels that used the intervention of an
outsourced professional. The better percentage of adequacy in establishments with
outsourced professional intervention underlines the importance of an external and
impartial view of routine activities in the implementation of good handling
practices.
PMID- 26555529
TI - Detection of Zygosaccharomyces rouxii and Candida tropicalis in a High-Sugar
Medium by a Metal Oxide Sensor-Based Electronic Nose and Comparison with Test
Panel Evaluation.
AB - Osmotolerant yeasts are primarily responsible for spoilage of sugar-rich foods.
In this work, an electronic nose (e-nose) was used to diagnose contamination
caused by two osmotolerant yeast strains (Zygosaccharomyces rouxii and Candida
tropicalis) in a high-sugar medium using test panel evaluation as the reference
method. Solid-phase microextraction gas chromatography with mass spectrometry (GC
MS) was used to determine the evolution of the volatile organic compound
fingerprint in the contaminated samples during yeast growth. Principal component
analysis and linear discriminant analysis revealed that the e-nose could identify
contamination after 48 h, corresponding to the total yeast levels of 3.68 (Z.
rouxii) and 3.09 (C. tropicalis) log CFU/ml. At these levels, the test panel
could not yet diagnose the spoilage, indicating that the e-nose approach was more
sensitive than the test panel evaluation. Loading analysis indicated that sensors
8 and 6 were the most important for detection of these two yeasts. Based on the
result obtained with the e-nose, the incubation time and total yeast levels could
be accurately predicted by established multiple regression models with a
correlation of greater than 0.97. In the sensory evaluation, spoilage was
diagnosed after 72 h in samples contaminated with C. tropicalis and after 48 to
72 h for samples contaminated with Z. rouxii. GC-MS revealed that compounds such
as acetaldehyde, acetone, ethyl acetate, alcohol, and 3-methyl-1-butanol
contributed to spoilage detection by the e-nose after 48 h. In the high-sugar
medium, the e-nose was more sensitive than the test panel evaluation for
detecting contamination with these test yeast strains. This information could be
useful for developing instruments and techniques for rapid scanning of sugar-rich
foods for contamination with osmotolerant yeasts before such spoilage could be
detected by the consumer.
PMID- 26555530
TI - Retention of Rotavirus Infectivity in Mussels Heated by Using the French Recipe
Moules Marinieres.
AB - To evaluate the persistence of infectious virus after heating, mussels
contaminated with a rotavirus strain were prepared following the French recipe
moules marinieres (mariner's mussels). Rotavirus was then quantified by real-time
quantitative PCR (RT-qPCR) and a cell culture infectivity assay. Results showed
the persistence of infectious virus after 3 min of cooking. After 5 min, when no
infectious virus could be detected, the RT-qPCR approach showed a 1-log decrease
compared with concentrations detected after 1 min of cooking.
PMID- 26555531
TI - When Vacant Lots Become Urban Gardens: Characterizing the Perceived and Actual
Food Safety Concerns of Urban Agriculture in Ohio.
AB - This study was intended to characterize the perceived risks of urban agriculture
by residents of four low-income neighborhoods in which the potential exists for
further urban agriculture development and to provide data to support whether any
chemical hazards and foodborne pathogens as potential food safety hazards were
present. Sixty-seven residents participated in focus groups related to
environmental health, food security, and urban gardening. In addition, soils from
six locations were tested. Residents expressed interest in the development of
urban gardens to improve access to healthy, fresh produce, but they had concerns
about soil quality. Soils were contaminated with lead (Pb), zinc, cadmium (Cd),
and copper, but not arsenic or chromium. Results from our study suggest paint was
the main source of soil contamination. Detectable polyaromatic hydrocarbon (PAH)
levels in urban soils were well below levels of concern. These urban soils will
require further management to reduce Pb and possibly Cd bioavailability to
decrease the potential for uptake into food crops. Although the number of
locations in this study is limited, results suggest lower levels of soil
contaminants at well-established gardens. Soil tillage associated with long-term
gardening could have diluted the soil metal contaminants by mixing the
contaminants with clean soil. Also, lower PAH levels in long-term gardening could
be due to enhanced microbial activity and PAH degradation, dilution, or both due
to mixing, similar to metals. No foodborne pathogen targets were detected by PCR
from any of the soils. Residents expressed the need for clearness regarding soil
quality and gardening practices in their neighborhoods to consume food grown in
these urban areas. Results from this study suggest long-term gardening has the
potential to reduce soil contaminants and their potential threat to food quality
and human health and to improve access to fresh produce in low-income urban
communities.
PMID- 26555532
TI - Investigation into Possible Differences in Salmonella Prevalence in the
Peripheral Lymph Nodes of Cattle Derived from Distinct Production Systems and of
Different Breed Types.
AB - Previous research demonstrated significant variation in the prevalence of
Salmonella in peripheral lymph nodes (LNs) of feedlot cattle and cull cows, with
greater prevalence in feedlot cattle. Therefore, we performed experiments to
investigate whether these differences in Salmonella prevalence in subiliac LNs
are due to, or influenced by, breed, which in many respects is a proxy for the
production system in which the animal is derived. Holstein steers are a by
product of dairy systems, and beef steers are an intended product of commercial
beef operations. For the first experiment, Holstein and beef steers originating
from the same feedlot and harvested on the same day were sampled. Of the 467
Holstein and 462 beef cattle LNs collected, 62.1% of Holstein and 59.7% of beef
cattle samples harbored Salmonella (P = 0.46; qualitative culture), with 51.2 and
48.9% of samples containing quantifiable concentrations (P = 0.49), respectively.
The concentration of Salmonella within the LN followed a decreasing trend over
the collection period (May to October), averaging 1.4 log CFU/g of LN for both
Holstein and beef cattle samples (P = 0.78). In a second experiment, we compared
100% Brahman cattle to their beef cattle counterparts, as we hypothesized that
the resistance of Brahman cattle to insects may reduce Salmonella transmission
via biting insects. Of the 42 Brahman and 31 beef cattle LNs collected, the
concentration of Salmonella within the LN averaged 3.0 log CFU/g for Brahman
cattle and 2.9 log CFU/g for beef cattle samples (P = 0.30). Using qualitative
culture, we recovered Salmonella from 100% of LNs from Brahman cattle and 97% of
beef cattle samples (P = 0.25). Results of this research indicate that the
differences observed are not due to breed and are likely a function of age,
immune function, or other factors yet to be identified. Understanding which
cattle are more likely to harbor Salmonella within LNs will aid in targeting both
pre- and postharvest intervention strategies.
PMID- 26555533
TI - Virulence Potential of Activatable Shiga Toxin 2d-Producing Escherichia coli
Isolates from Fresh Produce.
AB - Shiga toxin (Stx)-producing Escherichia coli (STEC) strains are food- and
waterborne pathogens that are often transmitted via beef products or fresh
produce. STEC strains cause both sporadic infections and outbreaks, which may
result in hemorrhagic colitis and hemolytic uremic syndrome. STEC strains may
elaborate Stx1, Stx2, and/or subtypes of those toxins. Epidemiological evidence
indicates that STEC that produce subtypes Stx2a, Stx2c, and/or Stx2d are more
often associated with serious illness. The Stx2d subtype becomes more toxic to
Vero cells after incubation with intestinal mucus or elastase, a process named
"activation." Stx2d is not generally found in the E. coli serotypes most commonly
connected to STEC outbreaks. However, STEC strains that are stx2d positive can be
isolated from foods, an occurrence that gives rise to the question of whether
those food isolates are potential human pathogens. In this study, we examined 14
STEC strains from fresh produce that were stx2d positive and found that they all
produced the mucus-activatable Stx2d and that a subset of the strains tested were
virulent in streptomycin-treated mice.
PMID- 26555534
TI - Prevalence and Antimicrobial Resistance of Vibrio spp. in Retail and Farm Shrimps
in Ecuador.
AB - The aim of this study was to investigate the prevalence of Vibrio spp. in shrimp
at retail and in shrimp farms in Ecuador and to determine the antimicrobial agent
resistance patterns of farm isolates. The presence of genes linked to early
mortality syndrome (EMS) or acute hepatopancreatic necrosis disease (AHPND) also
was evaluated. Vibrio spp. were isolated from retail shrimps in Cuenca, Ecuador,
and farm shrimps originating from provinces El Oro and Guayas, Ecuador. A total
of 229 shrimp samples were collected, of which 71 originated from retail markets
in Cuenca and 158 came from shrimp farms. Overall, 219 (95.6%) samples tested
positive for Vibrio spp. Vibrio parahaemolyticus (80.8%) was the most common
species detected, followed by Vibrio alginolyticus (50.2%), Vibrio cholerae
(11.3%), and Vibrio vulnificus (3.5%). None of the V. parahaemolyticus isolates
carried the virulence-associated tdh and trh genes. In V. parahaemolyticus shrimp
farm isolates, high resistance was found to ampicillin (92.2%), and intermediate
resistance was found to tetracycline (51.3%) and amikacin (22.1%). Of the V.
parahaemolyticus strains, 68 were resistant to at least three antimicrobial
agents, and 2 were resistant to seven antimicrobial agents simultaneously. Up to
18 resistant isolates were found for V. alginolyticus, whereas V. vulnificus and
V. cholerae isolates were more susceptible. None of the V. parahaemolyticus
isolates carried the EMS-AHPND plasmid. The results of this study revealed the
ubiquitous occurrence of Vibrio spp. in shrimps at retail and on shrimp farms in
Ecuador.
PMID- 26555535
TI - Prevalence of Foodborne Pathogens in Freshwater Fish in Latvia.
AB - The aim of this study was to detect the prevalence of Salmonella spp., Listeria
monocytogenes, and Yersinia enterocolitica in freshwater fish in Latvia. In
total, 235 samples, including freshly caught fish from fives lakes (n = 129) and
fish from retail markets (n = 106), were collected from April 2014 to December
2014 in Latvia. Samples were tested according to International Organization for
Standardization methods. No Salmonella spp. were found in fresh fish from lakes
or in commercially available fish. In contrast, the overall prevalence of L.
monocytogenes and Y. enterocolitica in freshwater fish was 13% (30 of 235) and
14% (34 of 235), respectively, and no significant difference between the
prevalence of L. monocytogenes and Y. enterocolitica was observed (P > 0.05). All
Y. enterocolitica isolates belonged to the nonpathogenic 1A biotype. Molecular
serotyping of L. monocytogenes revealed that the most distributed serogroup was
1/2a-3a (65%), followed by 1/2c-3c (25%), 1/2b-3b (5%), and 4b, 4d, 4e (5%). The
prevalence of L. monocytogenes and Y. enterocolitica in freshwater lake fish was
2% (2 of 129) and 3% (4 of 129), respectively. In contrast, the prevalence of L.
monocytogenes and Y. enterocolitica in fish at retail markets was 26% (28 of 106)
and 28% (30 of 106), respectively. In retail samples, 9 of 58 positive fish
contained both L. monocytogenes and Y. enterocolitica. In general, differences in
the prevalences of L. monocytogenes and Y. enterocolitica in retail samples were
significantly higher than those in freshly caught fish (P < 0.05). The results of
this study indicate that freshwater fish could be an important source of Y.
enterocolitica and L. monocytogenes for consumers in Latvia.
PMID- 26555536
TI - Serological and Molecular Investigation of Swine Hepatitis E Virus in Pigs Raised
in Southern Italy.
AB - Hepatitis E virus (HEV) infection is a common acute hepatitis transmitted by the
fecal-oral route. In developed countries, the virus has a zoonotic potential, and
domestic pigs and wild boars are considered main reservoirs. To assess the
prevalence of HEV-positive animals in the Calabria region (southern Italy) on a
serological and molecular level, a total of 216 autochthonous healthy pigs (Apulo
Calabrese breed) were sampled. Both sera and feces were collected. Pigs were
grouped based on age: 117 pigs <6 months and 99 pigs >6 months. By using a
commercial enzyme-linked immunosorbent assay system, a total of 173 (80%) of the
216 pigs tested seropositive. In all sampled farms (n = 8), pigs with antibodies
(immunoglobulin G) against HEV were detected at a level higher than 60%, with a
significant difference among age groups (P < 0.0001). Moreover, 16 fattening pigs
were found to be nested reverse transcription PCR positive and thus to shed viral
genomes in their feces. These positive findings resulted in a prevalence of 48.4%
on the farm level (16 of 35 pigs) and an overall prevalence of 7.4% at the animal
level (16 of 216 pigs). Based on the present study, HEV seems to circulate among
the autochthonous domestic pig population of southern Italy with a low sharing
rate. Further studies exploring the origin of infection are needed to minimize
the risk of human exposure and to reduce consequences for public health.
PMID- 26555537
TI - Major Greenwood (1880-1949): a biographical and bibliographical study.
AB - Major Greenwood was the foremost medical statistician of the first half of the
20th century in the U.K. Trained in both medicine and statistics, his career
extended over 45 years during which he published eight books, 23 extensive
reports and over 200 papers. His classical education extended to Latin and Greek,
and he was fluent in German and French. We provide an overview of his life
including family background, training and his career subdivided according to the
places where he worked. We describe in particular the key role he played with
others in the development of medical statistics within the Medical Research
Council, the General Register Office, the Department of Health and the
Universities.
PMID- 26555541
TI - Analysis of Genomic DNAs from Nine Rosaceae Species Using Surface-Enhanced Raman
Scattering.
AB - Surface-enhanced Raman scattering (SERS) of genomic DNA was used to determine
genetic relationships and species identification of nine plants from three
subfamilies of Rosaceae. Genomic DNA was extracted, and the SERS spectra were
obtained by using a nanosilver collosol at an excitation wavelength of 785 nm.
Adenine and ribodesose were the active sites of genomic DNAs in the silver
surface-enhanced Raman spectra. The strong peak at 714 cm(-1) was assigned to the
stretching vibration of adenine, the strong peak at 1011cm(-1) contributed to the
stretching vibration of the deoxyribose and the scissoring vibrations of
cytosine, and the strong peak at 625 cm(-1) is the stretching vibration of
glycosidic bond and the scissoring vibrations of guanine. The three-dimensional
plot of the first, second, and third principal components showed that the nine
species could be classified into three categories (three subfamilies), consistent
with the traditional classification. The model of the hierarchical cluster
combined with the principal component of the second derivative was more
reasonable. The results of the cluster analysis showed that apricot (Prunus
armeniaca L.) and cherry (Prunus seudocerasus Lindl.) were clustered into one
category (Prunoideae); firethorn (Firethorn fortuneana Li.), loquat (Eriobotrya
japonica Lindl.), apple (Malus pumila Mill.), and crabapple (Malus hallianna
Koehne.) were clustered into a second category (Pomoideae); and potentilla
(Potentilla fulgens Wall.), rose (Rosa chinensis Jacd.), and strawberry (Fragaria
chiloensis Duchesne.) were clustered into a third category (Rosoideae). These
classifications were in accordance with the traditional classification with a
correction rate of clustering of 100%. The correct rate of species identification
was 100%. These five main results indicate that the genetic relationship and
species identification of nine Rosaceae species could be determined by using SERS
spectra of their genomic DNAs.
PMID- 26555543
TI - Nisin is an effective inhibitor of Clostridium difficile vegetative cells and
spore germination.
AB - Clostridium difficile is the most frequently identified enteric pathogen in
patients with nosocomial antibiotic-associated diarrhoea and pseudomembranous
colitis. Several clinically isolated C. difficile strains are resistant to
antibiotics other than metronidazole and vancomycin. Recently, bacteriocins of
lactic acid bacteria have been proposed as an alternative or complementary
treatment. The aim of this study was to investigate the inhibitory effect of
nisin, a bacteriocin produced by several strains of Lactococcus lactis, against
clinical isolates of C. difficile. Nisin Z obtained from culture of L. lactis
subsp. lactis biovar. diacetylactis was tested along with commercial nisin A. The
effect of nisin A on C. difficile spores was also examined. Nisin A and Z both
inhibited the growth of all C. difficile isolates, and MICs were estimated at 6.2
MUg ml(-1) for nisin Z and 0.8 MUg ml(-1) for nisin A. In addition, C. difficile
spores were also susceptible to nisin A (25.6 MUg ml(-1)), which reduced spore
viability by 40-50%. These results suggested that nisin and hence nisin-producing
Lactococcus strains could be used to treat C. difficile-associated diarrhoea.
PMID- 26555542
TI - Distinct functions of two olfactory marker protein genes derived from teleost
specific whole genome duplication.
AB - BACKGROUND: Whole genome duplications (WGDs) have been proposed to have made a
significant impact on vertebrate evolution. Two rounds of WGD (1R and 2R)
occurred in the common ancestor of Gnathostomata and Cyclostomata, followed by
the third-round WGD (3R) in a common ancestor of all modern teleosts. The 3R
derived paralogs are good models for understanding the evolution of genes after
WGD, which have the potential to facilitate phenotypic diversification. However,
the recent studies of 3R-derived paralogs tend to be based on in silico analyses.
Here we analyzed the paralogs encoding teleost olfactory marker protein (OMP),
which was shown to be specifically expressed in mature olfactory sensory neurons
and is expected to be involved in olfactory transduction. RESULTS: Our genome
database search identified two OMPs (OMP1 and OMP2) in teleosts, whereas only one
was present in other vertebrates. Phylogenetic and synteny analyses suggested
that OMP1 and 2 were derived from 3R. Both OMPs showed distinct expression
patterns in zebrafish; OMP1 was expressed in the deep layer of the olfactory
epithelium (OE), which is consistent with previous studies of mice and zebrafish,
whereas OMP2 was sporadically expressed in the superficial layer. Interestingly,
OMP2 was expressed in a very restricted region of the retina as well as in the
OE. In addition, the analysis of transcriptome data of spotted gar, a non-teleost
fish, revealed that single OMP gene was expressed in the eyes. CONCLUSION: We
found distinct expression patterns of zebrafish OMP1 and 2 at the tissue and
cellular level. These differences in expression patterns may be explained by
subfunctionalization as the model of molecular evolution. Namely, single OMP gene
was speculated to be originally expressed in the OE and the eyes in the common
ancestor of all Osteichthyes (bony fish including tetrapods). Then, two OMP gene
paralogs derived from 3R-WGD reduced and specialized the expression patterns.
This study provides a good example for analyzing a functional subdivision of the
teleost OE and eyes as revealed by 3R-derived paralogs of OMPs.
PMID- 26555544
TI - A polysaccharide from pumpkin induces apoptosis of HepG2 cells by activation of
mitochondrial pathway.
AB - Purified white polysaccharide (PPW) is a homogenous polysaccharide isolated from
pumpkin, with an average molecular weight of 34 kDa. In this study, we aimed at
examining the anti-proliferative activity of PPW against hepatocellular carcinoma
(HCC) HepG2 cells and the underlying mechanisms. We found that PPW-induced
inhibition of cell proliferation in HepG2 cells was associated with the induction
of apoptosis. Exposure of HepG2 cells to PPW (100, 200, and 400 MUg/mL) resulted
in a loss of mitochondrial membrane potential (Deltapsim) and the release of
cytochrome c from the mitochondria to the cytosol. Also, Western blot analysis
revealed dose-dependent increase of pro-apoptotic Bax protein and decrease of
anti-apoptotic Bcl-2 protein in PPW-treated cells. Besides, caspase-9 and caspase
3 activities were also enhanced in HepG2 cells followed by PPW treatment.
Additionally, the cleavage of poly (ADP-ribose) polymerase (PARP) was observed in
PPW-treated HepG2 cells, which altogether account for apoptotic cell death. These
results suggested that PPW-induced apoptosis involved a caspase-3-mediated
mitochondrial pathway and may have potential as a cancer chemopreventive and
therapeutic agent for the prevention and treatment of HCC.
PMID- 26555545
TI - Exosomes decrease sensitivity of breast cancer cells to adriamycin by delivering
microRNAs.
AB - While adriamycin (adr) offers improvement in survival for breast cancer (BCa)
patients, unfortunately, drug resistance is almost inevitable. Mounting evidence
suggests that exosomes act as a vehicle for genetic cargo and constantly shuttle
biologically active molecules including microRNAs (miRNAs) between heterogeneous
populations of tumor cells, engendering a resistance-promoting niche for cancer
progression. Our recent study showed that exosomes from docetaxel-resistance BCa
cells could modulate chemosensitivity by delivering miRNAs. Herein, we expand on
our previous finding and explore the relevance of exosome-mediated miRNA delivery
in resistance transmission of adr-resistant BCa sublines. We now demonstrated the
selective packing of miRNAs within the exosomes (A/exo) derived from adr
resistant BCa cells. The highly expressed miRNAs in A/exo were significantly
increased in recipient fluorescent sensitive cells (GFP-S) after A/exo
incorporation. Gene ontology analysis of predicted targets showed that the top 30
most abundant miRNAs in A/exo were involved in crucial biological processes.
Moreover, A/exo not only loaded miRNAs for its production and release but also
carried miRNAs associated with Wnt signaling pathway. Furthermore, A/exo co
culture assays indicated that miRNA-containing A/exo was able to increase the
overall resistance of GFP-S to adr exposure and regulate gene levels in GFP-S.
Our results reinforce our earlier reports that adr-resistant BCa cells could
manipulate a more deleterious microenvironment and transmit resistance capacity
through altering gene expressions in sensitive cells by transferring specific
miRNAs contained within exosomes.
PMID- 26555546
TI - Long non-coding RNA MVIH is associated with poor prognosis and malignant
biological behavior in breast cancer.
AB - In recent years, with the development of transcriptomics, the effect of long non
coding RNAs (LncRNAs) on the regulation of biological processes is being
elucidated. LncRNAs play an important role in tumor occurrence and development.
LncRNA associated with microvascular invasion in hepatocellular carcinoma (LncRNA
MVIH) was first identified in hepatocellular carcinoma and is associated with
angiogenesis, tumor growth and metastasis upregulation, and poor recurrence-free
survival. MVIH has an important role in non-small cell lung cancer, in which it
promotes cell proliferation and metastasis, and high MVIH expression indicates
poor overall survival. However, the involvement of MVIH in breast cancer is
unclear. Our research revealed that the expression levels of MVIH in breast
cancer tissues were higher than in adjacent noncancerous tissues, and high MVIH
expression was correlated with Ki67 expression. Moreover, breast cancer patients
with high MVIH expression levels showed poor overall survival and disease-free
survival. Multivariate analysis results indicated that MVIH was an independent
prognostic factor in breast cancer. In addition, upregulated MVIH expression
levels promoted cell proliferation and cell cycle, and inhibited cell apoptosis,
while reduced MVIH expression showed the converse. In summary, our findings
suggest that MVIH may have an important role in breast cancer and may serve as a
new biomarker and a potential therapeutic target.
PMID- 26555547
TI - A lateral approach defect closure technique with deep fascia flap for valgus knee
TKA.
AB - BACKGROUND: Routinely, we use a midline skin incision and lateral parapatellar
approach of the knee to perform valgus knee TKA (total knee arthroplasty). It is
generally very difficult to close the lateral capsular defect after valgus knee
TKA, especially for severe valgus and flexion knee deformity. METHODS: We
describe a new surgical technique to close the lateral capsular defect with a
deep fascia flap. From 2009 to 2012, we used the new technique to close lateral
capsular defects for nine valgus TKA in eight patients. The wound healing,
infection, range of motion, and postoperative X-ray Laurien view were evaluated.
RESULTS: According to follow-up, we found that this technique can reduce the risk
of intra- and postoperative complications (exposure of knee prosthesis, larger
subcutaneous hematoma, poor wound healing, and higher risk of infection) and
improve clinical outcome of total knee replacement (good range of motion and
patellar tracking). There is no need for lateral parapatellar capsule Z-plasty
during incision or filling the distal capsular defect with fat pad or composite
meniscal-capsular-fat pad. CONCLUSION: Closing lateral capsular defect with a
deep fascia flap for valgus knee TKA through a lateral parapatellar approach is a
new and effective surgical technique.
PMID- 26555548
TI - Deficiency of inducible and endothelial nitric oxide synthase results in
diminished bone formation and delayed union and nonunion development.
AB - BACKGROUND: Between 5% and 10% of all fractures fail to heal adequately resulting
in nonunion of the fracture fragments. This can significantly decrease a
patient's quality of life and create associated psychosocial and socio-economic
problems. Nitric oxide (NO) and nitric oxide synthases (NOS) have been found to
be involved in fracture healing, but until now it is not known if disturbances in
these mechanisms play a role in nonunion and delayed union development. In this
study, we explored the role of endothelial and inducible NOS deficiency in a
delayed union model in mice. MATERIALS AND METHODS: A 0.45mm femur osteotomy with
periosteal cauterization followed by plate-screw osteosynthesis was performed in
the left leg of 20-24week old wild type, Nos2(-/-) and Nos3(-/-) mice.
Contralateral unfractured legs were used as a control. Callus volume was measured
using micro-computed tomography (MUCT) after 28 and 42days of fracture healing.
Immuno histochemical myeloperoxidase (MPO) staining was performed on paraffin
embedded sections to assess neutrophil influx in callus tissue and surrounding
proximal and distal marrow cavities of the femur. After 7 and 28days of fracture
healing, femurs were collected for amino acid and RNA analysis to study arginine
NO metabolism. RESULTS: With MUCT, delayed union was observed in wild type
animals, whereas in both Nos2(-/-) and Nos3(-/-) mice nonunion development was
evident. Both knock-out strains also showed a significantly increased influx of
MPO when compared with wild type mice. Concentrations of amino acids and
expression of enzymes related to the arginine-NO metabolism were aberrant in NOS
deficient mice when compared to contralateral control femurs and wild type
samples. DISCUSSION AND CONCLUSION: In the present study we show for the first
time that the absence of nitric oxide synthases results in a disturbed arginine
NO metabolism and inadequate fracture healing with the transition of delayed
union into a nonunion in mice after a femur osteotomy. Based on these data we
suggest that the arginine-NO metabolism may play a role in the prevention of
delayed unions and nonunions.
PMID- 26555549
TI - [Hypertrophic chondrocytes: Programmed cell death or stem cell reservoir?].
AB - The majority of bones in the vertebrate skeleton develop by endochondral
ossification, a process during which an intermediate cartilage template is
successively replaced by bone. Many aspects of this process are relatively well
understood; nevertheless, the origin of trabecular bone-forming osteoblasts and
mesenchymal stem cells of the stroma has long remained under debate. Until
recently, progenitors of these cell types were thought to enter the bone-forming
structures from the periosteum together with the invading vasculature. Recent
unexpected results revealed, however, that under physiological conditions
differentiated hypertrophic chondrocytes give rise to both, osteoblasts and
mesenchymal progenitor cells, thereby contributing to the formation of trabecular
bone and bone marrow.
PMID- 26555550
TI - Toxic epidermal necrolysis due to therapy with cyclophosphamide and mesna. A case
report of a patient with seronegative rheumatoid arthritis and rheumatoid
vasculitis.
AB - Rheumatoid vasculitis usually occurs on the background of seropositive rheumatoid
arthritis, although in rare cases the patients can be seronegative. We report a
woman with seronegative rheumatoid arthritis with rheumatoid vasculitis who
developed toxic epidermal necrolysis involving most of her body surface area,
while on therapy with intravenous cyclophosphamide and mesna. After withdrawal of
suspected offending agents, administration of intravenous immunoglobulin, and
supportive therapy, she had a favorable outcome. Such an occurrence is rare and
serves to educate about a potentially life-threatening adverse event associated
with a commonly used immunosuppressive agent.
PMID- 26555551
TI - Relationship of osteoprotegerin to pulse wave velocity and carotid intima-media
thickness in rheumatoid arthritis patients.
AB - OBJECTIVE: Osteoprotegerin (OPG) is considered an important biomarker in
cardiovascular (CV) disease. CV disease is the most common cause of mortality in
patients with rheumatoid arthritis (RA), a consequence of accelerated
atherosclerosis. The present study aimed to evaluate the relationship of serum
OPG levels to arterial stiffness, carotid intima-media thickness (CIMT), and
clinical and laboratory indices in RA patients. PATIENTS AND METHODS: Included in
the study were 68 RA patients with no history or signs of CV disease and 48
healthy subjects Disease activity was assessed by the 28-joint disease activity
score (DAS28) in RA patients. Serum OPG level was measured using enzyme-linked
immunosorbent assay (ELISA). Carotid femoral pulse wave velocity (PWV) was
measured as an index of arterial stiffness and CIMT was evaluated by carotid
ultrasonography. RESULTS: The mean serum OPG level was significantly higher in RA
patients than controls (p < 0.001). Mean PWV and CIMT were also significantly
increased in RA patients compared to controls (both p < 0.001). In RA patients,
serum OPG level was significantly correlated with PWV and CIMT, as well as
rheumatoid factor (RF) and anti-cyclic citrullinated peptide (anti-CCP) antibody;
but not with DAS28, high-sensitivity C-reactive protein (hsCRP), or erythrocyte
sedimentation rate. CONCLUSION: Serum OPG levels were increased and correlated
with CIMT and PWV in RA patients. In addition to PWV and CIMT, OPG may be a
useful biomarker for CV risk management in RA patients.
PMID- 26555552
TI - Disease activity, obesity, functional disability, and depression in patients with
rheumatoid arthritis : Impact on lipid status, glycoregulation, and risk for
coronary heart disease.
AB - OBJECTIVES: This study aims to estimate the impact of disease activity, obesity,
functional disability, and depression on lipid status, glycoregulation, and risk
for coronary heart disease (CHD) in patients with rheumatoid arthritis (RA).
PATIENTS AND METHODS: A total of 36 patients with RA (30 women and 6 men, mean
age 54.9 years, mean disease duration 7.9 years) were included in this study. We
estimated the impact of age, body mass index, disease activity [assessed by DAS28
index and C-reactive protein (CRP) value], functional ability (estimated using
the HAQ disability index), and depression [assessed using the Beck Depression
Inventory (BDI)] on glycoregulation, lipid status, and risk for CHD in our
patients. Glycoregulation was assessed by measuring insulin resistance, insulin,
and glucose in blood. Lipids tested in blood included total cholesterol, HDL and
LDL cholesterol, and triglycerides (TG). The 10-year risk for CHD was estimated
using the Framingham risk score. RESULTS: Of 36 patients, 11 (30.6 %) fulfilled
the criteria for metabolic syndrome (MS). Ten of 11 patients (90.1 %) with MS
have a 10-year risk for CHD greater than 10 % compared to only 3 of 25 patients
(12 %) without MS (p = 0.0001). Patients with high disease activity had lower HDL
values than patients with mild or moderate disease activity (1.4 vs. 1.7 mmol/l,
p = 0.04). Significant correlations were observed between CRP level and
insulinemia (rho = 0.57, p = 0.003), as well as CRP level and the HOMA index (rho
= 0.59, p = 0.002). The body mass index (BMI) correlated significantly with total
cholesterol (r = 0.46, p = 0.02), LDL (rho = 0.41, p = 0.04), and TG (rho = 0.65,
p < 0.001) in blood. The HAQ-DI did not correlate either with parameters of
glycoregulation or lipid status. There was a significant positive correlation
between BDI and BMI (rho = 0.60, p < 0.001). CONCULSION: Active RA is
independently associated with decreased HDL cholesterol and increased insulin
resistance. Obesity was found to be an independent risk factor for increased
total cholesterol, LDL cholesterol, and TG. Depressed patients with RA tend to be
overweight or obese and, therefore, have an unfavorable lipid profile.
PMID- 26555553
TI - Frequency and distribution of mixed Plasmodium falciparum-vivax infections in
French Guiana between 2000 and 2008.
AB - BACKGROUND: The two main plasmodial species in French Guiana are Plasmodium vivax
and Plasmodium falciparum whose respective prevalence influences the frequency of
mixed plasmodial infections. The accuracy of their diagnosis is influenced by the
sensitivity of the method used, whereas neither microscopy nor rapid diagnostic
tests allow a satisfactory evaluation of mixed plasmodial infections. METHODS: In
the present study, the frequency of mixed infections in different part of French
Guiana was determined using real time PCR, a sensitive and specific technique.
RESULTS: From 400 cases of malaria initially diagnosed by microscopy, real time
PCR showed that 10.75 % of the cases were mixed infections. Their prevalence
varied considerably between geographical areas. The presence, in equivalent
proportions, of the two plasmodial species in eastern French Guiana was
associated with a much higher prevalence of mixed plasmodial infections than in
western French Guiana, where the majority of the population was Duffy negative
and thus resistant to vivax malaria. CONCLUSION: Clinicians must be more vigilant
regarding mixed infections in co-endemic P. falciparum/P. vivax areas, in order
to deliver optimal care for patients suffering from malaria. This may involve the
use of rapid diagnostic tests capable of detecting mixed infections or low
density single infections. This is important as French Guiana moves towards
malaria elimination.
PMID- 26555554
TI - Ethanol-Induced TLR4/NLRP3 Neuroinflammatory Response in Microglial Cells
Promotes Leukocyte Infiltration Across the BBB.
AB - We reported that the ethanol-induced innate immune response by activating TLR4
signaling triggers gliosis and neuroinflammation. Ethanol also activates other
immune receptors, such as NOD-like-receptors, and specifically NLRP3-inflammasome
in astroglial cells, to stimulate caspase-1 cleavage and IL-1beta and IL-18
cytokines production. Yet, whether microglia NLRs are also sensitive to the
ethanol effects that contribute to neuroinflammation is uncertain. Using cerebral
cortexes of the chronic alcohol-fed WT and TLR4(-/-) mice, we demonstrated that
chronic ethanol treatment enhanced TLR4 mediated-NLRP3/Caspase-1 complex
activation, and up-regulated pro-inflammatory cytokines and chemokines levels.
Ethanol-induced NLRP3-inflammasome activation and mitochondria-ROS generation
were also observed in cultured microglial cells. The up-regulation of
CD45(high)/CD11b(+) cell populations and matrix metalloproteinase-9 levels was
also noted in the cortexes of the ethanol-treated WT mice. Notably, elimination
of the TLR4 function abolished most ethanol-induced neuroinflammatory effects.
Thus, our results demonstrate that ethanol triggers TLR4-mediated NLRP3
inflammasome activation in glial cells, and suggest that microglia stimulation
may compromise the permeability of blood-brain barrier events to contribute to
ethanol-induced neuroinflammation and brain damage.
PMID- 26555556
TI - Copper uptake by Pteris melanocaulon Fee from a Copper-Gold mine in Surigao del
Norte, Philippines.
AB - The ability of some plants to take up metal contaminants in the soil has been of
increasing interest as an environmental approach to pollution clean-up. This
study aimed to assess the ability of Pteris melanocaulon for copper(Cu) uptake by
determining the Cu levels in the fern vis-a-vis surrounding soil and the location
of Cu accumulation within its biomass. It also aimed to add information to
existing literature as P. melanocaulon are found to be less documented compared
to other fern metal accumulators, such as P. vittata. The P. melanocaulon found
in the Suyoc Pit of a Copper-Gold mine in Placer, Surigao del Norte, Philippines
exhibited a high Bioaccumulation Factor(BF) of 4.04 and a low Translocation
Factor(TF) of 0.01, suggesting more Cu accumulation in the roots (4590.22 +/-
385.66 ug g(-1) Cu). Noteworthy was the Cu concentration in the rhizome which was
also high (3539.44 +/- 1696.35 ug g(-1) Cu). SEM/EDX analyses of the Cu content
in the roots indicated high elemental %Cu in the xylem (6.95%) than in the cortex
(2.68%). The high Cu content in the roots and rhizomes and the localization of Cu
in the xylem manifested a potential utilization of the fern as a metallophyte for
rhizofiltration and phytostabilization.
PMID- 26555555
TI - Long-term outcomes of ductal carcinoma in situ of the breast: a systematic
review, meta-analysis and meta-regression analysis.
AB - BACKGROUND: To summarize data on long-term ipsilateral local recurrence (LR) and
breast cancer death rate (BCDR) for patients with ductal carcinoma in situ (DCIS)
who received different treatments. METHODS: Systematic review and study-level
meta-analysis of prospective (n = 5) and retrospective (n = 21) studies of
patients with pure DCIS and with median or mean follow-up time of >=10 years.
Meta-regression was performed to assess and adjust for effects of potential
confounders - the average age of women, period of initial treatment, and of bias
follow-up duration on recurrence- and death-rates in each treatment group. LR
and BCDR rates by local treatment used were reported. Outside of randomized
trials, remaining studies were likely to have tailored patient treatment
according to the clinical situation. RESULTS: Nine thousand four hundred and four
DCIS cases in 9391 patients with 10-year follow-up were included. The adjusted
meta-regression LR rate for mastectomy was 2.6 % (95 % CI, 0.8-4.5); breast
conserving surgery with radiotherapy (RT), 13.6 % (95 % CI, 9.8-17.4); breast
conserving surgery without RT, 25.5 % (95 % CI, 18.1-32.9); and biopsy-only
(residual predominately low-grade DCIS following inadequate excision), 27.8 % (95
% CI, 8.4-47.1). RT + tamoxifen (TAM) in conservation surgery (CS) patients
resulted in lower LR compared to one or no adjuvant treatments: LR rate for CS +
RT + TAM, 9.7 %; CS + RT(no TAM), 14.1 %; CS + TAM(no RT), 24.7 %; CS(alone),
25.1 % (linear trend for treatment P < 0.0001). Compared to CS + RT + TAM, a
significantly higher invasive LR was observed for CS(alone), odds ratio (OR) 2.61
(P < 0.0001); CS + TAM(no RT), OR 2.52 (P = 0.001); CS + RT(no TAM), OR 1.59 (P =
0.022). BCDR was similar for mastectomy, breast-conserving surgery with or
without RT (1.3-2.0 %) and non-significantly higher for biopsy-only (2.7 %).
Additionally, the 15-year follow-up was reported where all like-studies had >= 15
year data sets; the biopsy-only patients had a meta-analysed total LR rate of
40.2 % and the invasive LR rate was 28.1 %. The biopsy-only patients had a >= 15
year BCDR (that included women with metastatic disease) of 17.9 %; the >= 15-year
BCDR was 55.2 % for those with invasive LR. CONCLUSIONS: More local intervention
was associated with greater local control for patients with DCIS at long-term
follow-up. For patients undergoing breast-conservation, invasive LR was
significantly lower when two rather than one adjuvant treatment modalities were
given.
PMID- 26555557
TI - TiO2 /Cu2 O Core/Ultrathin Shell Nanorods as Efficient and Stable Photocatalysts
for Water Reduction.
AB - P-type Cu2 O has been long considered as an attractive photocatalyst for
photocatalytic water reduction, but few successful examples has been reported.
Here, we report the synthesis of TiO2 (core)/Cu2 O (ultrathin film shell)
nanorods by a redox reaction between Cu(2+) and in-situ generated Ti(3+) when
Cu(2+) -exchanged H-titanate nanotubes are calcined in air. Owing to the strong
TiO2 -Cu2 O interfacial interaction, TiO2 (core)/Cu2 O (ultrathin film shell)
nanorods are highly active and stable in photocatalytic water reduction. The TiO2
core and Cu2 O ultrathin film shell respectively act as the photosensitizer and
cocatalyst, and both the photoexcited electrons in the conduction band and the
holes in the valence band of TiO2 respectively transfer to the conduction band
and valence band of the Cu2 O ultrathin film shell. Our results unambiguously
show that Cu2 O itself can act as the highly active and stable cocatalyst for
photocatalytic water reduction.
PMID- 26555558
TI - Respiratory Distress and Nephropathy in a Young Male With Small-Joint
Polyarthritis.
PMID- 26555559
TI - Incorporation of pharmacogenetic testing into medication therapy management.
AB - AIM: To assess feasibility and patient satisfaction with a pharmacist-delivered
medication therapy management (MTM) plus pharmacogenetic (PGx) testing service.
METHODS: Thirty patients from a cardiology outpatient clinic were enrolled to
attend two MTM sessions, undergo PGx testing and complete pre- and post
intervention surveys. Outcome measures included duration of MTM sessions,
clinical application of test results, self-reported medication adherence, patient
recall of results and perceived value of testing and MTM. RESULTS: Overall,
patients were very satisfied with the MTM plus PGx testing service. About half of
participants (47%) were able to accurately recall their PGx test results.
Comparable to MTM without PGx testing, the first MTM session averaged 40 min and
the follow-up MTM session averaged 15 min. CONCLUSION: PGx testing incorporated
into a clinical MTM service offered by pharmacists may be a feasible delivery
model and is satisfactory to patients.
PMID- 26555560
TI - Practices for Supporting and Confirming Decision-Making Involved in Kidney and
Liver Donation by Related Living Donors in Japan: A Nationwide Survey.
AB - This nationwide survey investigated the actual practices for supporting and
confirming the decision-making involved in related living-organ donations in
Japan, focusing on organ type and program size differences. Answers to a
questionnaire survey were collected from 89 of the 126 (71%) kidney and 30 of the
35 (86%) liver transplantation programs in Japan that were involved in living
donor transplantations in 2013. In 70% of the kidney and 90% of the liver
transplantation programs, all donors underwent "third-party" interviews to
confirm their voluntariness. The most common third parties were psychiatrists
(90% and 83%, respectively). Many programs engaged in practices to support
decision-making by donor candidates, including guaranteeing the right to withdraw
consent to donate (70% and 100%, respectively) and prescribing a set "cooling-off
period" (88% and 100%, respectively). Most donors were offered care by mental
health specialists (86% and 93%, respectively). Third parties were designated by
more of the larger kidney transplant programs compared with the smaller programs.
In conclusion, the actual practices supporting and confirming the decision to
donate a living organ varied depending on the organ concerned and the number of
patients in the program.
PMID- 26555561
TI - Recovery as an occupational journey: A scoping review exploring the links between
occupational engagement and recovery for people with enduring mental health
issues.
AB - BACKGROUND/AIM: Mental health recovery can be defined in variety of different
ways. First person accounts of people experiencing mental health issues and
qualitative studies of recovery suggest engaging in personally meaningful and
socially valued occupations is important during the process of recovering. This
scoping review sought to explore how occupational engagement and recovery are
interrelated. METHODS: Using Arksey and O'Malley's (2005) framework to guide the
scoping review, searches of four electronic databases, manual citation tracking,
and key authors' publications were conducted. Seventeen studies, published in the
last 30 years, relevant to the topic were identified. Each was reviewed and data
extracted to categorise the similarities and differences into themes. RESULTS:
Most studies used qualitative, phenomenological and narrative research
approaches. Findings across the studies indicate recovery is an ongoing
occupational process that seems to involve experiences of gradual re-engagement,
engaging within the stream of everyday occupational life, and full community
participation. Engaging in meaningful and valued occupations appears to support
recovering through fostering connectedness, hope, identity, meaning, and
empowerment; establishing structured routines and assisting people in managing
illness. CONCLUSION: This scoping review indicates occupational engagement is an
important dimension of the recovery process: recovering is experienced through
engaging in occupations, which, in turn, fosters personal recovery. Employment
and volunteering have received most attention in studies of occupation and
recovery. A broader view of the experiences and factors involved in the processes
of 'occupational recovery' warrants further exploration to advance theory and
inform recovery-oriented occupational therapy practice.
PMID- 26555562
TI - Women in Academic Medicine: Measuring Stereotype Threat Among Junior Faculty.
AB - BACKGROUND: Gender stereotypes in science impede supportive environments for
women. Research suggests that women's perceptions of these environments are
influenced by stereotype threat (ST): anxiety faced in situations where one may
be evaluated using negative stereotypes. This study developed and tested ST
metrics for first time use with junior faculty in academic medicine. METHODS:
Under a 2012 National Institutes of Health Pathfinder Award, Stanford School of
Medicine's Office of Diversity and Leadership, working with experienced
clinicians, social scientists, and epidemiologists, developed and administered ST
measures to a representative group of junior faculty. RESULTS: 174 School of
Medicine junior faculty were recruited (62% women, 38% men; 75% assistant
professors, 25% instructors; 50% white, 40% Asian, 10% underrepresented
minority). Women reported greater susceptibility to ST than did men across all
items including ST vulnerability (p < 0.001); rejection sensitivity (p = 0.001);
gender identification (p < 0.001); perceptions of relative potential (p = 0.048);
and, sense of belonging (p = 0.049). Results of career-related consequences of ST
were more nuanced. Compared with men, women reported lower beliefs in advancement
(p = 0.021); however, they had similar career interest and identification, felt
just as connected to colleagues, and were equally likely to pursue careers
outside academia (all p > 0.42). CONCLUSIONS: Innovative ST metrics can provide a
more complete picture of academic medical center environments. While junior women
faculty are susceptible to ST, they may not yet experience all of its
consequences in their early careers. As such, ST metrics offer a tool for
evaluating institutional initiatives to increase supportive environments for
women in academic medicine.
PMID- 26555563
TI - Consumer palatability scores, sensory descriptive attributes, and volatile
compounds of grilled beef steaks from three USDA Quality Grades.
AB - Consumer palatability scores, sensory descriptive attributes, and volatile
compounds were assessed for beef Longissimus lumborum steaks of USDA Prime, Low
Choice, and Standard grades. Overall and flavor liking was greater (P<0.05) for
Prime and Low Choice. Initial flavor impact and fat-like attributes were greater
(P<0.05) among Prime and Low Choice. Prime had greater (P<0.05) brown/roasted,
beef identity, overall sweetness, and umami. Cardboard was greater (P<0.05) in
Standard. Volatile compounds representing flavor development pathways were varied
with quality grade. Standard had greater (P<0.05) abundances of n-aldehydes.
Phenylacetaldehyde was greater (P<0.05) in Prime and Low Choice. Both 2,3
butanedione and 3-hydroxy-2-butanone were greatest (P<0.05) in Prime. Overall
liking was positively correlated with many descriptive attributes, 3-hydroxy-2
butanone, and phenylacetaldehyde, and negatively correlated with cardboard,
green, and n-aldehydes. While the measured attributes and volatiles may not be
causative of flavor, this data indicates potential for prediction of flavor
through their measurement.
PMID- 26555564
TI - Genotyping and DNA microarray based characterization of Staphylococcus aureus
isolates from rabbit carcasses.
AB - Staphylococcus aureus can cause staphylococcal food poisoning. Although the
organism is frequently detected on rabbit carcasses, little is known about the
characteristics of S. aureus strains contaminating rabbit meat. In this study,
137 S. aureus isolates originating from 137 rabbit carcasses were spa typed and
characterized by DNA microarray. The isolates were assigned to CC5, CC7, CC8,
CC15, CC96, CC101, CC121, and ST890, and to 13 spa types (t056, t085, t091, t160,
t179, t681, t741, t745, t1190, t1773, t4770, t8456, t14871). Enterotoxin genes
detected included sea, sed, sej, and ser. In addition, the egc operon, encoding
the newly described staphylococcal enterotoxins SEG/SEI/SElM/SElN/SElO/SElU, was
found in all isolates except those of t091. While none of the examined isolates
presented genes conferring methicillin, vancomycin, or aminoglycoside resistance,
we frequently detected blaZ/I/R conferring resistance to penicillin. The isolates
represented a heterogeneous group assigned to clonal lineages detected among
humans and animals, with two spa types exclusively associated with rabbit meat
(t4770, t8456).
PMID- 26555565
TI - Assessment of the carotid artery intima-media complex through ultrasonography and
the relationship with Pathobiological Determinants of Atherosclerosis in Youth.
AB - OBJECTIVE: To evaluate the presence of carotid thickening and its relationship
with the Pathobiological Determinants of Atherosclerosis in Youth score. METHODS:
We carried out a cross-sectional study involving 512 brazilian adolescents.
Variables such as sex, body mass index, concentrations of non-high-density
lipoprotein and high-density lipoprotein cholesterol, blood pressure, blood
glucose and glycated haemoglobin A1c levels that make up the score, and carotid
thickening through the intima-media complex measured by ultrasound were
evaluated. We adopted two cut-off points to evaluate carotid thickening, being
considered altered for those higher or equal to the z-score 2+ and ?75th
percentile. The association was assessed using the chi2 test and univariate and
multivariate logistic regression analyses. RESULTS: High cardiovascular risk was
present in 10.2% of the adolescents; carotid thickness was present in 4.3%
determined by the z-score 2+ and in 25.0% determined by the 75th percentile. When
measured by the z-score, carotid thickening was associated with high systolic
blood pressure (p=0.024), high-non-high density lipoprotein cholesterol
(p=0.039), and high cardiovascular risk assessed by the score and by the 75th
percentile, with body mass index >30 (p=0.005). In the multivariate analysis,
high cardiovascular risk was found to be independently associated with the
presence of carotid thickness evaluated by the z-score, with risk four times
greater (p=0.010) of presenting with this condition compared with individuals
with low risk, and this fact was not observed when factors were analysed alone.
CONCLUSION: The presence of high cardiovascular risk in adolescents assessed by
the Pathobiological Determinants of Atherosclerosis in Youth score was associated
with marked thickening of the carotid artery in healthy adolescents.
PMID- 26555566
TI - Impact of recipient ACE I/D genotype on kidney function in renal transplant
patients: a meta-analysis of cross-sectional and longitudinal studies.
AB - AIM: To perform a systematic review and meta-analysis of studies evaluating the
influence of recipient angiotensin-converting enzyme insertion/deletion (ACE I/D)
polymorphism on kidney function in renal transplant recipients. MATERIALS &
METHODS: A comprehensive search was performed through PubMed, Web of Knowledge
and Cochrane databases up to December 2014. The methodological quality of
identified studies was assessed using the MINORS criteria. RESULTS: A total of 15
studies evaluating the role of recipient ACE I/D were included in the meta
analysis. In overall analyzes and subsequent subgroup and sensitivity analyzes,
no evidence emerged of an effect of ACE I/D on serum creatinine levels,
creatinine clearance or glomerular filtration rate. CONCLUSION: Although further
investigation is still needed to determine the role of donor ACE genotype,
recipient ACE I/D does not play a significant role on kidney function in renal
transplant patients.
PMID- 26555567
TI - Using Isolated Mitochondria from Minimal Quantities of Mouse Skeletal Muscle for
High throughput Microplate Respiratory Measurements.
AB - Skeletal muscle mitochondria play a specific role in many disease pathologies. As
such, the measurement of oxygen consumption as an indicator of mitochondrial
function in this tissue has become more prevalent. Although many technologies and
assays exist that measure mitochondrial respiratory pathways in a variety of
cells, tissue and species, there is currently a void in the literature in regards
to the compilation of these assays using isolated mitochondria from mouse
skeletal muscle for use in microplate based technologies. Importantly, the use of
microplate based respirometric assays is growing among mitochondrial biologists
as it allows for high throughput measurements using minimal quantities of
isolated mitochondria. Therefore, a collection of microplate based respirometric
assays were developed that are able to assess mechanistic changes/adaptations in
oxygen consumption in a commonly used animal model. The methods presented herein
provide step-by-step instructions to perform these assays with an optimal amount
of mitochondrial protein and reagents, and high precision as evidenced by the
minimal variance across the dynamic range of each assay.
PMID- 26555568
TI - Highly sensitive detection of copper ions by densely grafting fluorescein inside
polyethyleneimine core-silica shell nanoparticles.
AB - In this work, polyethyleneimine (PEI) core-silica shell nanoparticles were
synthesized and used for densely grafting fluorescent receptor units inside the
core of these particles to result in multi-receptor units collectively sensing a
target. Herein, copper ion quenching of the fluorescence intensity of a
fluorescein isothiocyanate (FITC) system was selected as a model to confirm our
proof-of-concept strategy. Our results showed that, compared to free FITC in
solution, a 10-fold enhancement of the Stern-Volmer constant value for Cu(2+)
quenching of the fluorescence intensity of the grafted state of FITC in PEI core
silica shell nanoparticles was achieved. Furthermore, compared to a previous
collective sensing scheme by densely grafting fluorescent receptor units on a
silica nanoparticle surface, the proposed scheme, which grafted fluorescent
receptor units inside a polymer nano-core, was simple, highly efficient and
presented higher sensitivity.
PMID- 26555570
TI - Correction: Stromal Cells Derived from Visceral and Obese Adipose Tissue Promote
Growth of Ovarian Cancers.
PMID- 26555569
TI - Family physicians' intention to support women in making informed decisions about
breast cancer screening with mammography: a cross-sectional survey.
AB - BACKGROUND: The net benefits of routine breast cancer screening with mammography
have been questioned, and there is evidence to indicate that supporting women to
make an informed decision about breast cancer screening with mammography is
preferable. The aims of this study were to assess the intention of family
physicians to provide women with this support and the determinants of this
intention, and to identify factors that might influence family physicians
adopting this behavior. METHODS: Family physicians from the province of Quebec,
Canada, attending a 45-min lecture on informed decision making and cancer
screening were asked to complete a questionnaire after the lecture regarding
their intention to adopt the behavior. The questions, based on the Theory of
Planned Behavior, measured physicians' intention and its determinants (attitude,
perceived behavioral control, and socio-professional norm) regarding supporting
women to make informed decisions about breast cancer screening with mammography.
Open-ended questions were also used to explore complementary factors influencing
their intention. RESULTS: Out of 800 questionnaires distributed, 301 (38 %) were
returned and 288 were included in data analysis. The mean +/- standard deviation
and median score for intention were respectively 1.9 +/- 1.2 and 2.0 on a 6-point
Likert scale (-3 to +3). Perceived behavioral control was the variable most
strongly associated with intention (high versus low score, odds ratio = 15.7, 95
% CI 6.7-36.6), followed by attitude (high versus low score, odds ratio = 7.5, 95
% CI 3.3-16.8), then social norm (high versus low score, odds ratio = 5.8, 95 %
CI 2.6-12.9). The most-reported barrier to adopting the behavior was time
constraints (41 %) while the most-reported facilitator was availability of
relevant decision support tools (29 %). CONCLUSIONS: Respondents showed strong
intention to support women in informed decision-making about breast cancer
screening, the strongest predictor being perceived behavioral control. These
results could contribute to training physicians to integrate this behavior into
their practices and to designing relevant decision support tools.
PMID- 26555571
TI - Validation of administrative data case definitions for gestational diabetes
mellitus.
AB - AIM: To examine, using administrative data, the validity of two algorithms for
identifying gestational diabetes mellitus: 1) the current National Diabetes
Surveillance System algorithm for excluding gestational diabetes cases and 2)
gestational diabetes-specific ICD codes in the delivery-related hospitalization.
METHODS: This was a retrospective study of all women, aged 18-54 years, residing
in Alberta, Canada, with singleton deliveries between 1 April 1999 and 31 March
2010. We linked Alberta Perinatal Health Program data on all deliveries to
administrative claims data from Alberta Health using the mother's personal health
number. For both gestational diabetes algorithms, we calculated the sensitivity,
specificity, positive predictive value, negative predictive value and agreement,
using gestational diabetes identified in the Alberta Perinatal Health Program as
the 'gold standard'. RESULTS: Our study sample consisted of 411 390 deliveries
for 273 152 women. The mean (sd) age was 29.1 (5.6) years and 82.3% of the women
were white. Crude rates of gestational diabetes were 3.9% (16 215 cases), 1.3%
(5189 cases) and 4.0% (16 440 cases) according to the Alberta Perinatal Health
Program, National Diabetes Surveillance System and ICD code-based algorithms,
respectively. Compared with the Alberta Perinatal Health Program database, the
National Diabetes Surveillance System algorithm had a sensitivity of 25% and
specificity of 100%, whereas the gestational diabetes-specific ICD code-based
algorithm had a sensitivity of 86% and specificity of 99%. CONCLUSIONS: The
National Diabetes Surveillance System algorithm underestimates the number of
gestational diabetes cases. A more valid mechanism to identify gestational
diabetes prevalence using health administrative data is the use of gestational
diabetes-specific ICD-9/10 codes in the delivery hospitalization.
PMID- 26555572
TI - Cerebrospinal Fluid Abeta42 Levels: When Physiological Become Pathological State.
AB - Impaired amyloid beta (Abeta) metabolism is currently considered central to
understand the pathophysiology of Alzheimer's disease (AD). Measurements of
cerebrospinal fluid Abeta levels remain the most useful marker for diagnostic
purposes and to individuate people at risk for AD. Despite recent advances
criticized the direct role in neurodegeneration of cortical neurons, Abeta is
considered responsible for synaptopathy and impairment of neurotransmission and
therefore remains the major trigger of AD and future pharmacological treatment
remain Abeta oriented. However, experimental and clinical findings showed that
Abeta peptides could have a wider range of action responsible for cell
dysfunction and for appearance of clinico-pathological entities different from
AD. Such findings may induce misunderstanding of the real role played by Abeta in
AD and therefore strengthen criticism on its centrality and need for CSF
measurements. Aim of this review is to discuss the role of CSF Abeta levels in
light of experimental, clinical pathologic, and electrophysiological results in
AD and other pathological entities to put in a correct frame the value of Abeta
changes.
PMID- 26555573
TI - Chiroptical Probing of Lanthanide-Directed Self-Assembly Formation Using btp
Ligands Formed in One-Pot Diazo-Transfer/Deprotection Click Reaction from Chiral
Amines.
AB - A series of enantiomeric 2,6-bis(1,2,3-triazol-4-yl)pyridines (btp)-containing
ligands was synthesized by a one-pot two-step copper-catalyzed amine/alkyne click
reaction. The Eu(III) - and Tb(III) -directed self-assembly formation of these
ligands was studied in CH3 CN by monitoring their various photophysical
properties, including their emerging circular dichroism and circularly polarized
luminescence. The global analysis of the former enabled the determination of both
the stoichiometry and the stability constants of the various chiral
supramolecular species in solution.
PMID- 26555574
TI - Environmentally Robust Rhodamine Reporters for Probe-based Cellular Detection of
the Cancer-linked Oxidoreductase hNQO1.
AB - We successfully synthesized a fluorescent probe capable of detecting the cancer
associated NAD(P)H: quinoneoxidoreductase isozyme-1 within human cells, based on
results from an investigation of the stability of various rhodamines and
seminaphthorhodamines toward the biological reductant NADH, present at ~100-200
MUM within cells. While rhodamines are generally known for their chemical
stability, we observe that NADH causes significant and sometimes rapid
modification of numerous rhodamine analogues, including those oftentimes used in
imaging applications. Results from mechanistic studies lead us to rule out a
radical-based reduction pathway, suggesting rhodamine reduction by NADH proceeds
by a hydride transfer process to yield the reduced leuco form of the rhodamine
and oxidized NAD(+). A relationship between the structural features of the
rhodamines and their reactivity with NADH is observed. Rhodamines with increased
alkylation on the N3- and N6-nitrogens, as well as the xanthene core, react the
least with NADH; whereas, nonalkylated variants or analogues with electron
withdrawing substituents have the fastest rates of reaction. These outcomes
allowed us to judiciously construct a seminaphthorhodamine-based, turn-on
fluorescent probe that is capable of selectively detecting the cancer-associated,
NADH-dependent enzyme NAD(P)H: quinoneoxidoreductase isozyme-1 in human cancer
cells, without the issue of NADH-induced deactivation of the seminaphthorhodamine
reporter.
PMID- 26555575
TI - Previous gestational diabetes history is associated with impaired coronary flow
reserve.
AB - BACKGROUND: Gestational diabetes mellitus (GDM) is a prediabetic state that is
known to increase the risk of cardiovascular diseases. We have investigated
coronary flow velocity reserve (CFVR) and epicardial fat thickness (EFT), and
left ventricular diastolic function in patients with a history of previous GDM (p
GDM). METHODS: Ninety-three women with GDM history and 95 healthy women without
GDM history were recruited. We used transthoracic Doppler echocardiography to
assess CFVR, EFT, and left ventricular diastolic function. Insulin resistance of
each subject was assessed with homeostasis model assessment insulin resistance
(HOMA-IR). Hemoglobin A1c and high-sensitivity C-reactive protein (hsCRP) were
also measured in all patients. RESULTS: CFVR values were significantly lower
(2.34 +/- 0.39 versus 2.80 +/- 0.24, p < 0.001) and EFT values were significantly
higher in patients with p-GDM than the control group (5.5 +/- 1.3 versus 4.3 +/-
1.1, p < 0.001). E/E' ratio (7.21 +/- 1.77 versus 6.53 +/- 1.38, p = 0.003),
hemoglobin A1c (5.2 +/- 0.4 and 5.0 +/- 0.3, p = 0.001), HOMA-IR (2.8 +/- 1.4
versus 1.7 +/- 0.9, p = 0.04), and hsCRP levels were significantly higher in the
p-GDM group than the control group. Multivariate analysis revealed that
gestational diabetes history is independently associated with CFVR. CONCLUSION:
Women with a GDM history may be at more risk regarding coronary microvascular
dysfunction compared to the healthy ones.
PMID- 26555576
TI - Minimum ovarian stimulation involving combined clomiphene citrate and estradiol
treatment for in vitro fertilization of Bologna-criteria poor ovarian responders.
AB - AIM: The aim of this study was to assess the efficacy of a minimal ovarian
stimulation involving combined clomiphene citrate (CC) and estradiol (E2)
administration for poor responders with diminished ovarian reserve (DOR).
MATERIAL AND METHODS: In this case-control study, we recruited 41 consecutive
hypergonadotropic poor responders (69 cycles) who met Bologna-criteria and had
experienced cancellation of oocyte retrieval. In 10 (20 cycles), 11 (21 cycles)
and 20 patients (28 cycles) between 2012 and 2014, follicular development was
induced using an E2 cycle, CC cycle and CC + E2 cycle, respectively. After
confirmation of high follicle-stimulating hormone levels (15-40 mIU/ml) at
menstrual day 3, DOR patients were treated with oral E2 of 1.0 mg/day, CC of 100
mg/day, or both CC and E2 continuously, until ovulation induction. Two days
later, we transvaginally aspirated the follicles, performed in vitro
fertilization, and cryopreserved the cleavage embryos. One warmed embryo was
transferred into the uterus during the hormone replacement cycles. RESULTS: For
the E2, CC, and CC + E2 cycles, the median patient age was 41 years in all
groups, and the serum anti-Mullerian hormone levels were 0.2 +/- 0.3, 0.4 +/-
0.4, and 0.2 +/- 0.3 ng/mL, respectively (P = 0.258); follicular development
failure rates were 50.0%, 19.0%, and 3.6%, respectively (P < 0.001); numbers of
retrieved oocytes (/cycle) were 0.5 +/- 0.6, 0.8 +/- 0.7, and 1.2 +/- 1.1,
respectively (P = 0.033); and clinical pregnancy rates (/cycle) were 5.0%, 4.8%,
and 10.7%, respectively (P = 0.725). CONCLUSION: CC + E2 administration for the
patients with DOR was effective with a lower cancellation rate of oocyte
retrieval and a higher number of retrieved oocytes.
PMID- 26555577
TI - Zn-Mediated Reduction of Oxalyl Chloride Forming CO and Its Application in
Carbonylation Reactions.
AB - An efficient protocol for the generation of carbon monoxide by Zn-mediated
reduction of oxalyl chloride has been developed. Oxalyl chloride was applied as
an extremely effective substitute for toxic gaseous CO in the palladium-catalyzed
alkoxy-/amino-/hydrogen-/hydroxycarbonylation processes providing industrially
interesting esters, amides, aldehydes, and carboxylic acids in good to excellent
yields. This new procedure can be applied to various carbonylation reactions in
the presence of a transition metal catalyst under mild conditions and with a
stoichiometric amount of CO source.
PMID- 26555578
TI - Whole Exome Sequencing of Rapid Autopsy Tumors and Xenograft Models Reveals
Possible Driver Mutations Underlying Tumor Progression.
AB - Pancreatic Ductal Adenocarcinoma (PDAC) is a highly lethal malignancy due to its
propensity to invade and rapidly metastasize and remains very difficult to manage
clinically. One major hindrance towards a better understanding of PDAC is the
lack of molecular data sets and models representative of end stage disease.
Moreover, it remains unclear how molecularly similar patient-derived xenograft
(PDX) models are to the primary tumor from which they were derived. To identify
potential molecular drivers in metastatic pancreatic cancer progression, we
obtained matched primary tumor, metastases and normal (peripheral blood) samples
under a rapid autopsy program and performed whole exome sequencing (WES) on tumor
as well as normal samples. PDX models were also generated, sequenced and compared
to tumors. Across the matched data sets generated for three patients, there were
on average approximately 160 single-nucleotide mutations in each sample. The
majority of mutations in each patient were shared among the primary and
metastatic samples and, importantly, were largely retained in the xenograft
models. Based on the mutation prevalence in the primary and metastatic sites, we
proposed possible clonal evolution patterns marked by functional mutations
affecting cancer genes such as KRAS, TP53 and SMAD4 that may play an important
role in tumor initiation, progression and metastasis. These results add to our
understanding of pancreatic tumor biology, and demonstrate that PDX models
derived from advanced or end-stage likely closely approximate the genetics of the
disease in the clinic and thus represent a biologically and clinically relevant
pre-clinical platform that may enable the development of effective targeted
therapies for PDAC.
PMID- 26555579
TI - Corneal Indocyanine Green Angiography to Guide Medical and Surgical Management of
Corneal Neovascularization.
AB - PURPOSE: To illustrate the role of corneal angiography in the clinical assessment
and surgical treatment of patients with complex corneal neovascularization
(CoNV). METHODS: A case series of 3 patients with CoNV is presented whose
management was guided by indocyanine green (ICG) and fluorescein corneal
angiography. In the first case, there was recurrent lipid exudation into an
intrastromal cleft from CoNV; in the second, there was progressive exudation from
CoNV at the graft-host interface; in the third, CoNV was associated with
rejection after deep anterior lamellar keratoplasty. RESULTS: In the first case,
angiography helped to identify and treat the feeder vessels and stop further
leakage. In the second case, it was possible using angiography to differentiate
CoNV arising from iris and limbal vasculature enabling angiographic-guided fine
needle diathermy with cessation of exudation. In the third case, angiography
revealed the location of CoNV in the host-graft interface after deep anterior
lamellar keratoplasty, rather than within the corneal stroma. CONCLUSIONS:
Corneal angiography is a useful diagnostic tool to guide medical and surgical
management of CoNV by enabling the localization of vessel depth and topography.
PMID- 26555581
TI - Cytokine Dosage in Fresh and Preserved Human Amniotic Membrane.
AB - PURPOSE: To evaluate cytokine concentrations in amniotic membrane (AM) preserved
in different preservation media, temperatures, and times and to compare them with
those in fresh AM. METHODS: Placentas were harvested from 8 women undergoing
cesarean delivery, with each then divided into 17 pieces for the following
preservation methods: at 2 different temperatures (-80 and 0 degrees C), in 2
different preservation media (dimethyl sulfoxide and enriched TC199; Ophthalmos),
and for different time periods (for 1, 7, 60, and 180 days). Nonpreserved fresh
AM was used as a control. An enzyme-linked immunosorbent assay was performed on
the supernatant for detection of the following cytokines: epidermal growth
factor, basic fibroblast growth factor, hepatocyte growth factor, keratinocyte
growth factor, transforming growth factor-beta, and interleukins 4 and 10, and
the findings were assessed by post hoc analysis of variance. RESULTS: AM
preserved at -80 degrees C showed less decrease in the concentration of 4
cytokines. Three cytokines showed less decrease in AM preserved in the TC199
medium, whereas 1 showed less decrease in AM preserved in dimethyl sulfoxide.
After storage, 5 cytokine concentrations remained stable for up to 1 day, 3
remained stable for up to 7 days, and all showed significant loss thereafter.
CONCLUSIONS: The AM storage temperature of -80 degrees C was found optimal for
maintaining the concentrations of most of the tested cytokines, and enriched
TC199 medium was the optimal long-term storage medium for maintaining the
concentration of 3 of the cytokines, and with less decrease. When possible, AM
should be used within 1 to 7 days after harvesting.
PMID- 26555580
TI - Confocal Microscopic Analysis of a Rabbit Eye Model of High-Incidence Recurrent
Herpes Stromal Keratitis.
AB - PURPOSE: Using CJLAT, a chimeric herpes simplex virus (HSV-1) that produces a
high incidence of herpes stromal keratitis (HSK) in latently infected rabbits,
and in vivo confocal microscopy (CM), we characterized the cellular events that
precede the development of HSK. METHODS: Thirty days after infection, in vivo CM
was performed daily for 10 days and then weekly for up to 80 days after
infection. RESULTS: We detected 3 types of subclinical corneal lesions before HSK
was clinically apparent: (1) small epithelial erosions; (2) regenerating
epithelium overlying small cell infiltrates within the basal epithelial cell
layer; and (3) dendritic-like cells within the basal epithelial layer overlying
stromal foci containing infiltrating cells. Sequential in vivo CM observations
suggested that subclinical foci resolved over time but were larger and more
abundant with CJLAT than with wild-type HSV-1 McKrae. Active HSK was observed
only with CJLAT and was initially associated with a large epithelial lesion
overlying stromal immune cell infiltrates. CONCLUSIONS: These results suggest
that replication in the cornea of reactivated virus from the trigeminal ganglia
produces epithelial lesions, which recruit immune cell infiltrates into the basal
epithelial layer and anterior stroma. The virus is usually cleared rapidly
eliminating viral antigens before the arrival of the immune cells, which
disperse. However, if the virus is not cleared rapidly, or if an additional
reactivation results in an additional round of virus at the same site before the
immune cells disperse, then the immune cells are stimulated and may induce an
immunopathological response leading to the development of HSK.
PMID- 26555582
TI - Immediate Postoperative Intraocular Pressure Changes After Anterior Chamber Air
Fill in Descemet Membrane Endothelial Keratoplasty.
AB - PURPOSE: To monitor the intraocular pressure (IOP) changes immediately after
anterior chamber air tamponade in Descemet membrane endothelial keratoplasty
(DMEK). METHODS: Twenty-four patients undergoing DMEK and 16 patients undergoing
rebubbling after DMEK were enrolled (n = 40). All DMEK patients had inferior
iridectomy and nearly full intracameral air tamponade with an aimed IOP of 25 mm
Hg at the end of surgery. The IOP was measured at 1, 2, 3, 5, 12, 24 hours and 1
week postoperatively. RESULTS: After anterior chamber air fill in DMEK, the IOP
increased from preoperative baseline, 12.1 +/- 2.9 mm Hg, to 26.3 +/- 4.7 mm Hg,
P < 0.001. Mean IOP was significantly elevated in the first 2 hours, 19.4 +/-
10.5 mm Hg and 17.0 +/- 7.4 mm Hg, P = 0.007 and 0.006, respectively. Then, it
lowered to the baseline level, 14.0 +/- 4.7 mm Hg, P > 0.05, and remained stable
during follow-ups. An asymptomatic IOP elevation above 30 mm Hg was detected in 3
patients (12.5%) within the first 2 hours. None had preexisting glaucoma. Most
episodes could be controlled by antiglaucoma medications and upright positioning.
The pattern of IOP changes after rebubbling was similar to that after DMEK but
the IOP dropped sharply to the baseline level after 1 hour and had no incidence
of IOP elevations beyond 30 mm Hg. CONCLUSIONS: Adequate inferior iridectomy
greatly alleviates the risk and severity of acute IOP rises after nearly full
anterior chamber air tamponade in DMEK. Standard IOP adjustment at the end of
DMEK surgery with postoperative IOP monitoring especially in the first 2
postoperative hours is advisable when there is no postoperative default air
release.
PMID- 26555583
TI - Single-Pass Microkeratome System for Eye Bank DSAEK Tissue Preparation: Is
Stromal Bed Thickness Predictable and Reproducible?
AB - PURPOSE: To evaluate the predictability and reproducibility of stromal bed
thickness for single-pass donor Descemet stripping automated endothelial
keratoplasty (DSAEK) tissue preparation, using the ML7 Microkeratome Donor Cornea
System (Med-logics Inc, Athens, TX). METHODS: In this retrospective chart review
of 256 consecutive corneal tissue preparations for DSAEK surgery, from June 2013
to August 2014, tissue thicknesses were divided into 3 groups, depending on
surgeon preference: <91 MUm (group A), 90 to 120 MUm (group B), and 120 to 160
MUm (group C). Precut and postcut data were recorded. RESULTS: Average postcut
donor corneal thickness was 114 +/- 30 MUm (range 60-183 MUm), whereas the
average in group A was 97 +/- 23 MUm (range 60-128), in group B was 113 +/- 21
MUm (range 77-179), and in group C was 134 +/- 43 (range 89-183). Average postcut
endothelial cell density was very adequate at 3013 +/- 250 cells per square
millimeter. There were a total of 7 failed procedures from 256 attempts, which
represents a rate of 2.7%. This rate decreases to 1.5% when analyzing the last
200 cuts. CONCLUSIONS: The ML7 Microkeratome Donor Cornea System allows for
reliable and reproducible DSAEK tissue preparation. Ultrathin DSAEK tissues can
be prepared with a single-pass. Aiming for a graft thickness between 90 and 120
MUm seems to be most reliable.
PMID- 26555584
TI - Changes in Corneal Biomechanical Properties After Descemet Stripping Automated
Endothelial Keratoplasty for Pseudophakic Bullous Keratopathy.
AB - PURPOSE: To compare corneal biomechanical properties and intraocular pressure
(IOP) in eyes with pseudophakic bullous keratopathy (PBK) before and after
Descemet stripping automated endothelial keratoplasty (DSAEK). METHODS: This
prospective nonrandomized intrasubject comparative study was conducted on 44 eyes
of 22 patients with the diagnosis of PBK who underwent DSAEK in one eye. IOP was
measured by Goldmann applanation tonometer, and central corneal thickness was
measured by ultrasound pachymetry. The ocular response analyzer was used to
measure corneal hysteresis (CH), corneal resistance factor (CRF), Goldmann
related IOP, and cornea-compensated IOP. The same measurements were performed in
the normal fellow eyes which served as controls. All measurements were performed
preoperatively and 6 months postoperatively. RESULTS: The mean patient age was 67
+/- 14 years. Mean preoperative CH and CRF values in the DSAEK group were 5.77 +/
2.94 and 6.39 +/- 2.72 mm Hg, respectively, which were significantly lower than
those measured in the control group (8.2 +/- 2.47 and 8.43 +/- 2.49 mm Hg,
respectively, P = 0.001 for both comparisons). Postoperatively, CH and CRF
demonstrated a significant increase (7.09 +/- 3.68 mm Hg, P = 0.05 and 8.21 +/-
3.84 mm Hg, P = 0.03, respectively) in operated eyes approaching the normal
values measured in the control eyes. CONCLUSIONS: Corneal biomechanical
parameters were significantly lower in PBK eyes than in the normal fellow eyes.
These metrics significantly increased after DSAEK and reached values measured in
the normal fellow eyes.
PMID- 26555585
TI - Treatment Modalities and Clinical Outcomes in Ocular Sequelae of Stevens-Johnson
Syndrome Over 25 Years--A Paradigm Shift.
AB - PURPOSE: To highlight and compare the outcomes of management for the ocular
sequelae of Stevens-Johnson syndrome (SJS) over 25 years in a tertiary eye care
institute. METHODS: A retrospective chart review of 798 eyes of 399 patients with
SJS evaluated between January 1990 and December 2004 (group I) and of 847 eyes of
517 patients between January 2005 and December 2014 (group II) was done. The
primary and secondary outcome measures were a change in the best-corrected visual
acuity (BCVA) and an improvement in the symptoms and the ocular surface status,
respectively. The groups were subdivided into procedures for ocular surface
stabilization (A) and visual rehabilitation (B) and those managed conservatively
(C). RESULTS: In the subgroup A of group II, an improvement/stabilization of BCVA
after punctal cautery (231 eyes), mucous membrane grafting for lid margin
keratinization (393 eyes), and fornix reconstruction (28 eyes) was noted in 93.6%
of eyes. In subgroup B, all 10 eyes that underwent limbal allograft in group I
failed when compared with an improvement of BCVA to better than 20/200 in 65.5%
of the 61 eyes that underwent keratoprostheses. It was noted that the limbal
status worsened in 66.9% of eyes treated conservatively in group I. CONCLUSIONS:
Stabilization procedures show a beneficial role while conservative management can
lead to deterioration in chronic ocular sequelae of SJS. Keratoprosthesis,
specifically the modified osteo-odonto-keratoprosthesis, forms the mainstay for
visual rehabilitation in the end-stage disease. This study highlights the
improved outcomes with a paradigm shift in the management modalities.
PMID- 26555586
TI - Corneal Diameter as a Factor Influencing Corneal Astigmatism After Cataract
Surgery.
AB - PURPOSE: To evaluate the corneal horizontal diameter [white-to-white (WTW)
distance] as a factor influencing surgically induced astigmatism (SIA) and
postoperative astigmatism. METHODS: A total of 330 eyes with corneal astigmatism
<=1.5 D underwent cataract surgery with phacoemulsification. A 3-step,
superotemporal for the right eye and superonasal for the left eye, clear corneal
incision of 3.0 mm was made. Four groups were created according to WTW distance:
group A <=11.6 mm, group B 11.7 to 11.9 mm, group C 12.0 to 12.2 mm, and group D
>=12.3 mm. SIA was calculated by vector analysis using the Alpins method. We
noted all cases, in which a change greater than 0.5 diopters (D) in astigmatism
took place and a change greater than 20 degrees in axis torque, despite axis
direction, on the first and sixth postoperative months. RESULTS: SIA was found in
group A 0.98 D +/- 0.6 (SD), B 0.79 D +/- 0.43 (SD), C 0.68 D +/- 0.45 (SD), and
D 0.53 D +/- 0.32 (SD) at the first postoperative month. At the sixth
postoperative month, SIA was 0.77 D +/- 0.43 (SD), 0.69 D +/- 0.34 (SD), 0.62 +/-
0.36 (SD), and 0.49 D +/- 0.27 (SD), respectively. A change greater than 0.5 D in
corneal astigmatic power at the first and sixth months postoperatively was
significantly lower in eyes with WTW distance 12.0 to 12.2 mm and >=12.3 mm in
comparison with eyes with WTW distance <=11.6 mm and 11.7 to 11.9 mm (P < 0.05).
Changes greater than 20 degrees in astigmatic axis at the first and sixth
postoperative months were not significantly different according to the horizontal
corneal diameter. CONCLUSIONS: WTW distance should always be measured
preoperatively when planning cataract surgery and should be accounted for in
cases of large and small corneas.
PMID- 26555587
TI - Potency and Sterility of Fortified Tobramycin, Fortified Vancomycin, and
Moxifloxacin at 4, 24, and 35 degrees C for 14 Days.
AB - PURPOSE: To assess the potency and sterility of ophthalmic antibiotic drops
commonly used in the treatment of bacterial keratitis. METHODS: This was a basic
investigation. Three drugs were tested: fortified vancomycin 25 mg/mL, fortified
tobramycin 14 mg/mL, and moxifloxacin 5 mg/mL. A bottle of each was stored
separately at 4, 24, and 35 degrees C, with the potency determined by
microbiological assay at 0, 7, and 14 days. Differences in potency were assessed
by 2-way analysis of variance followed by a 1-way repeated-measures analysis of
variance with Bonferroni post hoc testing as warranted. Sterility of drugs when
handled by patients for varying periods was confirmed by culturing samples on
MacConkey and sheep blood agars. RESULTS: The concentration of fortified
tobramycin and moxifloxacin remained constant over 14 days at the 3 tested
temperatures. The concentration of fortified vancomycin remained constant at 4
degrees C, but it declined by 38% +/- 1% (P = 0.001) at 24 degrees C on day 14
and by 48% +/- 1% (P = 0.001) and 78% +/- 3% (P = 0.0009) at 35 degrees C on days
7 and 14, respectively. A total of 49 drops (mean, 7.3 days; range, 1-18 days)
were tested for sterility, and all were negative for microbial contamination.
CONCLUSIONS: All 3 drugs remained potent at 4 degrees C for up to 14 days.
Fortified tobramycin and moxifloxacin also maintained potency for 14 days at 24
and 35 degrees C. In contrast, fortified vancomycin lost its potency by day 14 at
24 degrees C and by day 7 at 35 degrees C. All in-use antibiotic drops tested
were sterile. The results indicate that patients should be cautioned to store
vancomycin under refrigerator or at least under cool conditions.
PMID- 26555588
TI - Nitrogen Mustard-Induced Corneal Injury Involves DNA Damage and Pathways Related
to Inflammation, Epithelial-Stromal Separation, and Neovascularization.
AB - PURPOSE: To evaluate the toxic effects and associated mechanisms in corneal
tissue exposed to the vesicating agent, nitrogen mustard (NM), a bifunctional
alkylating analog of the chemical warfare agent sulfur mustard. METHODS: Toxic
effects and associated mechanisms were examined in maximally affected corneal
tissue using corneal cultures and human corneal epithelial (HCE) cells exposed to
NM. RESULTS: Analysis of ex vivo rabbit corneas showed that NM exposure increased
apoptotic cell death, epithelial thickness, epithelial-stromal separation, and
levels of vascular endothelial growth factor, cyclooxygenase 2, and matrix
metalloproteinase-9. In HCE cells, NM exposure resulted in a dose-dependent
decrease in cell viability and proliferation, which was associated with DNA
damage in terms of an increase in p53 ser15, total p53, and H2A.X ser139 levels.
NM exposure also induced caspase-3 and poly ADP ribose polymerase cleavage,
suggesting their involvement in NM-induced apoptotic death in the rabbit cornea
and HCE cells. Similar to rabbit cornea, NM exposure caused an increase in
cyclooxygenase 2, matrix metalloproteinase-9, and vascular endothelial growth
factor levels in HCE cells, indicating a role of these molecules and related
pathways in NM-induced corneal inflammation, epithelial-stromal separation, and
neovascularization. NM exposure also induced activation of activator protein 1
transcription factor proteins and upstream signaling pathways including mitogen
activated protein kinases and Akt protein kinase, suggesting that these could be
key factors involved in NM-induced corneal injury. CONCLUSIONS: Results from this
study provide insight into the molecular targets and pathways that could be
involved in NM-induced corneal injuries laying the background for further
investigation of these pathways in vesicant-induced ocular injuries, which could
be helpful in the development of targeted therapies.
PMID- 26555589
TI - Formation of Segmental Rounded Nodules During Infiltration of Adult T-Cell
Leukemia Cells Into the Ocular Mucous Membrane.
AB - PURPOSE: To document a case of adult T-cell leukemia (ATL) cell infiltration into
the ocular mucous membrane that presented with rounded nodule formation. METHODS:
Observational case report. RESULTS: A 36-year-old woman presented with bilateral
conjunctival hyperemia and small papules on the legs and face. After complaining
of significant fatigue at 6 months, she was diagnosed with high-risk chronic-type
ATL. Ophthalmic examination revealed the formation of bilateral segmental rounded
nodules, which were located both at the bulbar conjunctiva around the corneal
limbus and at the palpebral conjunctiva around each lacrimal punctum. Although
cellular infiltrations were also seen at the corneal subepithelium and stroma, no
cellular infiltrations were observed in the anterior chamber, vitreous, or
retina. Biopsy was performed of the bulbar and palpebral conjunctiva, and the
polymerase chain reaction detected HTLV-1 proviral DNA and monoclonal T-cell
receptor gamma-chain gene rearrangement in both samples. Pathological evaluations
identified atypical lymphoid cells that were consistent with ATL cells. These
analyses confirmed that the rounded nodules were formed in conjunction with the
infiltration of ATL cells. CONCLUSIONS: The formation of multiple rounded nodules
during ATL cell infiltration into the ocular mucous membrane, especially at the
palpebral conjunctiva around the lacrimal punctum, may be a distinguishing
feature of ATL.
PMID- 26555590
TI - Safety of Intracameral Injection of Minimal Bactericidal Concentration of
Povidone Iodine on the Corneal Endothelium in a Rabbit Model.
AB - PURPOSE: To investigate the safety of intracameral injection of minimum
bactericidal concentration (MBC) of povidone iodine (PI) on the corneal
endothelium in a rabbit model as a proposed method of prophylaxis against
postoperative endophthalmitis. METHODS: We included 32 New Zealand white rabbits
in the study. Twenty-four rabbits received intracameral injections of 0.1 mL of
0.25% PI, and they were sequentially killed at intervals; first, seventh, and
14th day. The control group included 4 rabbits that received intracameral
injections of 0.1 mL normal saline, and 4 rabbits that underwent the same
intraocular procedure without injections (sham operated). Slit-lamp examination
and ultrasonic corneal pachymetry were performed before and after injections for
both eyes. The corneas were histopathologically examined by light and electron
microscopy. RESULTS: MBC of PI (0.25%) was toxic to rabbits' corneal endothelium
as evident by histopathological changes, corneal edema, and increased corneal
thickness on day 1. Signs of healing were obvious on day 7 and were almost
complete on day 14, as detected by histopathology, subsidence of corneal edema,
and normalization of corneal thickness. CONCLUSIONS: MBC (0.25%) of PI was found
toxic to the rabbits' corneal endothelium, with progressive regeneration and
complete healing within 2 weeks. To our knowledge, we are the first to use MBC of
PI in intracameral injection trials. Further studies on primates, which have more
comparable regenerative capacity to humans' corneal endothelium, are encouraged
to evaluate their endothelial healing response.
PMID- 26555591
TI - Long-Term Results of Phototherapeutic Keratectomy Versus Mechanical Epithelial
Removal Followed by Corneal Collagen Cross-Linking for Keratoconus.
AB - PURPOSE: To compare the long-term visual outcomes of patients with keratoconus
treated with either phototherapeutic keratectomy (PTK) or mechanical epithelial
removal before corneal collagen cross-linking (CXL) at 1, 3, 6, and 12 months
postoperatively. METHODS: CXL was performed by 1 of 3 surgeons (K.B., W.B.J., or
G.M.). Seventeen eyes underwent mechanical epithelial removal before CXL and were
consecutively selected after being matched with the 17 eyes in the PTK group for
the variables of procedure date, average keratometry, and pachymetry. All cones
were central. Manifest refraction spherical equivalent, sphere, cylinder,
corrected distance visual acuity (CDVA), and pachymetry were measured and
compared preoperatively and in follow-up. RESULTS: The mean CDVA change in the
PTK group at 12 months postoperatively was statistically different from the mean
CDVA change in the mechanical group at 12 months postoperatively (P = 0.031). The
PTK group had significantly better outcomes in visual acuity 12 months
postoperatively than did the mechanical group (P > 0.05). The mean number of
lines of improvement in the PTK and mechanical groups were 2.30 +/- 0.96 and 0.00
+/- 0.33 lines, respectively (P = 0.0036). The mean change between the
preoperative and 12 months postoperative manifest refraction spherical equivalent
for the PTK and mechanical groups were 0.78 +/- 0.65 and 0.17 +/- 0.65,
respectively (P > 0.05). CONCLUSIONS: PTK CXL resulted in better visual outcomes
in comparison with mechanical epithelial removal CXL 1 year after treatment.
PMID- 26555592
TI - Single-Pass Dissection of Ultrathin Organ-Cultured Endothelial Lamellae Using an
Innovative Microkeratome System.
AB - PURPOSE: To determine the feasibility, dissection accuracy, and endothelial
viability of ultrathin endothelial lamellae harvested from organ-cultured corneas
using a single-pass with an innovative motor-driven linear microkeratome system.
METHODS: Forty-eight (n = 48) paired organ-cultured human corneas were randomly
assigned to dissection (study eyes, n = 24) with fellow eyes serving as the
control (fellow eyes, n = 24). After organ culture and deswelling in a medium
containing 6% dextran, endothelial lamellae with a target thickness <=100 MUm
were dissected using a motor-driven linear microkeratome system (SLc, Gebauer,
Neuhausen, Germany) equipped with 400-MUm (n = 4), 450-MUm (n = 10), 500-MUm (n =
5), or 550-MUm (n = 5) heads. Central corneal thickness (CCT) and posterior and
anterior lamellar thicknesses were measured using ultrasound pachymetry (Pachette
3; DGH Technology Inc, PA) and anterior segment optical coherence tomography
(Casia SS-1000; Tomey, Nagoya, Japan). Endothelial viability [endothelial cell
density (ECD)] was measured using trypan vital staining. RESULTS: CCT measured
595 +/- 66 MUm (n = 48) on arrival, 846 +/- 131 MUm (n = 48) after organ culture,
and 565 +/- 58 MUm (n = 48) after deturgescence. CCT did not differ between study
and control eyes. Posterior lamellar thickness measured 88 +/- 18 MUm (n = 24)
immediately after dissection, 126 +/- 30 MUm (n = 24) 1 hour after dissection,
and 131 +/- 41 MUm (n = 24) 2.3 +/- 0.6 days after dissection. ECD measured 2637
+/- 264 cells per square millimeter (n = 48) on arrival, 2524 +/- 232 cells per
square millimeter (n = 48) after organ culture, 2493 +/- 253 cells per square
millimeter (n = 48) after dissection, and 2311 +/- 218 cells per square
millimeter (n = 48) 2.3 +/- 0.6 days after dissection. ECD did not differ between
study and control eyes at all time points. CONCLUSIONS: Single-pass motor-driven
linear microkeratome dissection provides an accurate and safe alternative for
harvesting ultrathin endothelial lamellae from organ-cultured donor corneas.
PMID- 26555593
TI - Infectious Keratitis in Limbal Stem Cell Deficiency: Stevens-Johnson Syndrome
Versus Chemical Burn.
AB - PURPOSE: To investigate the incidence, clinical and microbiological
characteristics, risk factors, and therapeutic outcome of infectious keratitis in
patients with limbal stem cell deficiency (LSCD) related to Stevens-Johnson
syndrome (SJS) and corneal chemical burn. METHODS: Medical records of 90 eyes of
59 patients who were diagnosed with LSCD resulting from SJS (52 eyes of 29
patients) or corneal chemical burn (38 eyes of 30 patients) were reviewed.
RESULTS: Infectious keratitis developed in 35% of LSCD patients with SJS (18
eyes, 14 patients) and in 18% of those with chemical burn (7 eyes, 7 patients).
The development of infectious keratitis in SJS was significantly associated with
the severity of chronic ocular surface complications in the cornea, conjunctiva,
and eyelids and with the use of topical corticosteroids during the disease
course. All cases of infectious keratitis following chemical burn occurred in
patients with grade III or IV burn by Roper-Hall classification. Approximately
83% of culture-proven cases of infectious keratitis were bacterial infection,
most of which (80%) were caused by Gram-positive bacteria. For resolution of
infection, 17 eyes (68%) received surgery in addition to medical treatment,
whereas 8 eyes (32%) received medical treatment alone. After infection
resolution, the final visual acuity was decreased in 10 eyes (40%) compared with
before infection. CONCLUSIONS: Infectious keratitis is a common complication of
LSCD associated with SJS or severe chemical burn to the cornea. Despite medical
and surgical treatments, the visual outcome is poor.
PMID- 26555594
TI - Time Course of Antibiotic and Antifungal Concentrations in Corneal Organ Culture.
AB - PURPOSE: Contamination with bacteria and/or fungi is a serious complication in
organ-cultured corneas. Hence, antibiotic and antifungal agents are added to the
culture medium. The concentration of different antimicrobial and antifungal
additives to the media over time has so far not been investigated in detail and
is the aim of this study. METHODS: Nine human fresh corneoscleral discs were
stored in corneal culture medium consisting of 2% fetal bovine serum and minimal
essential medium. In addition, the culture medium contained 1200 MUg/mL
penicillin G, 25 MUg/mL amphotericin B, 120 MUg/mL streptomycin, and 100 MUg/mL
voriconazole. The concentration of amphotericin B used was 10 times higher than
in clinical routine to facilitate its detection. The cultures were kept at 37
degrees C for 28 days. At days 0, 7, 14, 21, and 28, samples of the culture
medium were harvested for analysis of antimicrobial concentrations by liquid
chromatography and electrospray ionization tandem mass spectrometry. RESULTS:
During corneal storage, the concentration of all antibiotics and antifungal
agents declined significantly. By day 28, penicillin G was reduced to 14% of the
original concentration. Amphotericin B and streptomycin retained approximately
60% of the original concentration to the end of the experiment and voriconazole
maintained stable concentrations after an initial decline to approximately 80% at
7 days. CONCLUSIONS: Throughout the entire storage period, the concentrations of
penicillin G, streptomycin, and voriconazole exceeded the minimum inhibitory
concentrations of all common contaminants, obviating the need for a change of the
medium for antimicrobial reasons. Based on the minimum inhibitory concentrations
and our findings, the initial concentration of amphotericin B should be raised to
5 MUg/mL.
PMID- 26555595
TI - Individualized Exercise Training at Maximal Fat Oxidation Combined with Fruit and
Vegetable-Rich Diet in Overweight or Obese Women: The LIPOXmax-Reunion Randomized
Controlled Trial.
AB - OBJECTIVES: Lifestyle combined interventions are a key strategy for preventing
type-2 diabetes (T2DM) in overweight or obese subjects. In this framework,
LIPOXmax individualized training, based on maximal fat oxidation [MFO], may be a
promising intervention to promote fat mass (FM) reduction and prevent T2DM. Our
primary objective was to compare three training programs of physical activity
combined with a fruit- and vegetable-rich diet in reducing FM in overweight or
obese women. DESIGN AND SETTING: A five months non-blinded randomized controlled
trial (RCT) with three parallel groups in La Reunion Island, a region where
metabolic diseases are highly prevalent. SUBJECTS: One hundred and thirty-six non
diabetic obese (body mass index [BMI]: 27-40 kg/m2) young women (aged 20-40) were
randomized (G1: MFO intensity; G2: 60% of VO2-peak intensity; G3: free moderate
intensity at-home exercise following good physical practices). OUTCOMES:
Anthropometry (BMI, bodyweight, FM, fat-free mass), glucose (fasting plasma
glucose, insulin, HOMA-IR) and lipid (cholesterol and triglycerides) profiles,
and MFO values were measured at month-0, month-3 and month-5. RESULTS: At month
5, among 109 women assessed on body composition, the three groups exhibited a
significant FM reduction over time (G1: -4.1+/-0.54 kg; G2: -4.7+/-0.53 kg; G3:
3.5+/-0.78 kg, p<0.001, respectively) without inter-group differences (p =
0.135). All groups exhibited significant reductions in insulin levels or HOMA-IR
index, and higher MFO values over time (p<0.001, respectively) but glucose
control improvement was higher in G1 than in G3 while MFO values were higher in
G1 than in G2 and G3. Changes in other outcome measures and inter-group
differences were not significant. CONCLUSION: In our RCT the LIPOXmax
intervention did not show a superiority in reducing FM in overweight or obese
women but is associated with higher MFO and better glucose control improvements.
Other studies are required before proposing LIPOXmax training for the prevention
of T2DM in overweight or obese women. TRIAL REGISTRATION: ClincialTrials.gov
NCT01464073.
PMID- 26555596
TI - Continuous Distributed Representation of Biological Sequences for Deep Proteomics
and Genomics.
AB - We introduce a new representation and feature extraction method for biological
sequences. Named bio-vectors (BioVec) to refer to biological sequences in general
with protein-vectors (ProtVec) for proteins (amino-acid sequences) and gene
vectors (GeneVec) for gene sequences, this representation can be widely used in
applications of deep learning in proteomics and genomics. In the present paper,
we focus on protein-vectors that can be utilized in a wide array of
bioinformatics investigations such as family classification, protein
visualization, structure prediction, disordered protein identification, and
protein-protein interaction prediction. In this method, we adopt artificial
neural network approaches and represent a protein sequence with a single dense n
dimensional vector. To evaluate this method, we apply it in classification of
324,018 protein sequences obtained from Swiss-Prot belonging to 7,027 protein
families, where an average family classification accuracy of 93%+/-0.06% is
obtained, outperforming existing family classification methods. In addition, we
use ProtVec representation to predict disordered proteins from structured
proteins. Two databases of disordered sequences are used: the DisProt database as
well as a database featuring the disordered regions of nucleoporins rich with
phenylalanine-glycine repeats (FG-Nups). Using support vector machine
classifiers, FG-Nup sequences are distinguished from structured protein sequences
found in Protein Data Bank (PDB) with a 99.8% accuracy, and unstructured DisProt
sequences are differentiated from structured DisProt sequences with 100.0%
accuracy. These results indicate that by only providing sequence data for various
proteins into this model, accurate information about protein structure can be
determined. Importantly, this model needs to be trained only once and can then be
applied to extract a comprehensive set of information regarding proteins of
interest. Moreover, this representation can be considered as pre-training for
various applications of deep learning in bioinformatics. The related data is
available at Life Language Processing Website: http://llp.berkeley.edu and
Harvard Dataverse: http://dx.doi.org/10.7910/DVN/JMFHTN.
PMID- 26555597
TI - From the Skin to the Brain: Pathophysiology of Colonization and Infection of
External Ventricular Drain, a Prospective Observational Study.
AB - Ventriculostomy-related infection (VRI) is a serious complication of external
ventricular drain (EVD) but its natural history is poorly studied. We
prospectively tracked the bacteria pathways from skin towards ventricles to
identify the infectious process resulting in ventriculostomy-related colonization
(VRC), and VRI. We systematically sampled cerebrospinal fluid (CSF) on a daily
basis and collected swabs from both the skin and stopcock every 3.0 days for
microbiological analysis including in 101 neurosurgical patient. Risk factors for
positive event defined as either VRC or VRI were recorded and related to our
microbiological findings. A total of 1261 CSF samples, 473 skin swabs, and 450
stopcock swabs were collected. Skin site was more frequently colonized than
stopcock (70 (60%) vs 34 (29%), p = 0.023), and earlier (14 +/-1.4 vs 24 +/-1.5
days, p<0.0001). Sixty-one (52%) and 32 (27%) skin and stopcock sites were
colonized with commensal bacteria, 1 (1%) and 1 (1%) with pathogens, 8 (7%) and 1
(1%) with combined pathogens and commensal bacteria, respectively. Sixteen
positive events were diagnosed; a cutaneous origin was identified in 69% of
cases. The presence of a pathogen at skin site (6/16 vs 4/85, OR: 11.8, [2.5
56.8], p = 0.002) and CSF leakage (7/16 vs 6/85, OR 10 [2.4-41.2], p = 0.001))
were the two independent significant risk factors statistically linked to
positive events occurrence. Our results suggest that VRC and VRI mainly results
from an extra-luminal progression of pathogens initially colonizing the skin site
where CSF leaks.
PMID- 26555598
TI - A Novel Integrated Score Index of Echocardiographic Indices for the Evaluation of
Left Ventricular Diastolic Function.
AB - BACKGROUND: We propose a novel integrated score index, which could be used to
quantify and grade left ventricular (LV) diastolic function. METHODS: We enrolled
629 participants [393 healthy subjects, 145 with hypertension (HTN), 24 with
hypertrophic cardiomyopathy (HCM), and 67 with coronary artery disease (CAD)].
This score index was with a score of 1 for an E/A ratio < 1, a score of 1 for a
septal e'/a' ratio <= 0.8, a score of 2 for a lateral e'/a' ratio <= 1, a score
of 2 for a septal E/e' ratio >=10-15, a score of 3 for a lateral E/e' ratio >=8
15, and a score of 1 for a deceleration time >240 ms. The sum of each score was
considered as the final value in this scoring method (either a septal or a
lateral E/e' ratio > 15 was given a total score of 10, regardless of the other
measurements). RESULTS: After analysis, the AUROC of this integrated score index
for predicting any diastolic dysfunction (discriminated by the American Society
of Echocardiography guidelines) was 0.962, and the AUROC of the method from the
logistic regression was 0.970. The mean values of the score index for the groups
were 3.81 +/- 0.12 in healthy, 6.48 +/- 0.19 in HTN, 7.35 +/- 0.46 in HCM, and
6.62 +/- 0.29 in CAD. Using the score index, the healthy subjects obtained lower
scores compared with those of HTN (p = 0.00), HCM (p = 0.00), and CAD (p = 0.00).
Therefore, this score index could discriminate patients with diseases with
impaired diastolic function from the healthy subjects when the total sum of the
score was equal to or greater than 4. CONCLUSIONS: If the presently used methods
cannot allow the clear diagnosis of LV diastolic dysfunction, this integrated
score index might be helpful for discriminating diseases with impaired diastolic
function.
PMID- 26555599
TI - dbNSFP v3.0: A One-Stop Database of Functional Predictions and Annotations for
Human Nonsynonymous and Splice-Site SNVs.
AB - The purpose of the dbNSFP is to provide a one-stop resource for functional
predictions and annotations for human nonsynonymous single-nucleotide variants
(nsSNVs) and splice-site variants (ssSNVs), and to facilitate the steps of
filtering and prioritizing SNVs from a large list of SNVs discovered in an exome
sequencing study. A list of all potential nsSNVs and ssSNVs based on the human
reference sequence were created and functional predictions and annotations were
curated and compiled for each SNV. Here, we report a recent major update of the
database to version 3.0. The SNV list has been rebuilt based on GENCODE 22 and
currently the database includes 82,832,027 nsSNVs and ssSNVs. An attached
database dbscSNV, which compiled all potential human SNVs within splicing
consensus regions and their deleteriousness predictions, add another 15,030,459
potentially functional SNVs. Eleven prediction scores (MetaSVM, MetaLR, CADD,
VEST3, PROVEAN, 4* fitCons, fathmm-MKL, and DANN) and allele frequencies from the
UK10K cohorts and the Exome Aggregation Consortium (ExAC), among others, have
been added. The original seven prediction scores in v2.0 (SIFT, 2* Polyphen2,
LRT, MutationTaster, MutationAssessor, and FATHMM) as well as many SNV and gene
functional annotations have been updated. dbNSFP v3.0 is freely available at
http://sites.google.com/site/jpopgen/dbNSFP.
PMID- 26555600
TI - Linking Microbiota to Human Diseases: A Systems Biology Perspective.
AB - The human gut microbiota encompasses a densely populated ecosystem that provides
essential functions for host development, immune maturation, and metabolism.
Alterations to the gut microbiota have been observed in numerous diseases,
including human metabolic diseases such as obesity, type 2 diabetes (T2D), and
irritable bowel syndrome, and some animal experiments have suggested causality.
However, few studies have validated causality in humans and the underlying
mechanisms remain largely to be elucidated. We discuss how systems biology
approaches combined with new experimental technologies may disentangle some of
the mechanistic details in the complex interactions of diet, microbiota, and host
metabolism and may provide testable hypotheses for advancing our current
understanding of human-microbiota interaction.
PMID- 26555601
TI - The 'Omics' of Voluntary Exercise: Systems Approaches to a Complex Phenotype.
AB - Predisposition to engage in exercise is highly variable and simultaneously
influenced by the environment, complex genomics, and their interactions. Given
the importance of exercise to health, understanding the underlying influences of
variability is crucial. Here, we discuss murine systems approaches, focusing on
'omics', relevant to revealing the architecture of voluntary activity.
PMID- 26555602
TI - One-year follow-up results from AUGMENT-HF: a multicentre randomized controlled
clinical trial of the efficacy of left ventricular augmentation with Algisyl in
the treatment of heart failure.
AB - AIMS: AUGMENT-HF was an international, multicentre, prospective, open-label,
randomized, controlled evaluation testing the hypothesis that Algisyl (injectable
calcium alginate hydrogel) is superior to standard medical therapy (SMT) for
improving functional capacity and clinical outcomes in patients with advanced
heart failure (HF). We previously reported results following 6 months of follow
up. This report presents the results from 1 year of extended follow up for this
clinical trial. METHODS AND RESULTS: We enrolled 78 patients with advanced HF,
randomized (1:1), to Algisyl with SMT or SMT alone as previously reported.
Patient inclusion criteria were LVEF <=35%, peak VO2 of 9.0-14.5 mL/min/kg and LV
end-diastolic diameter (LVEDD) index 30-40 mm/m(2) (LVEDD/body surface area).
Patients must have been on stable, evidence-based therapy for HF. A total of 58
patients, mean age 62.3 +/- 9.6 years, with ischaemic (57.7%) or non-ischaemic
(42.3%) HF completed 12 months of follow-up. Treatment with Algisyl was
associated with improved peak VO2 at 12 months; treatment effect vs. control of
+2.10 mL/kg/min (95% confidence interval 0.96-3.24, P < 0.001). Statistically
significant improvements were observed for VO2 at anaerobic threshold, 6-min walk
test distance, and NYHA functional class (all P < 0.001). Through 12 months of
follow-up there were 4 (10.5%) deaths in the control group and 9 (22.5%) deaths
in the Algisyl group. CONCLUSIONS: Algisyl in addition to SMT was more effective
than SMT alone for providing sustained 1-year benefits in exercise capacity,
symptoms, and clinical status for patients with advanced HF. These data support
larger clinical evaluations of this novel therapy.
PMID- 26555603
TI - Quaternary Triphenylphosphonium Compounds: A New Class of Environmental
Pollutants.
AB - A nontarget screening using high-resolution mass spectrometry (HRMS) was
established to identify industrial emerging contaminants in the Rhine River. With
this approach, quaternary triphenylphosphonium compounds (R-Ph3P(+)) were
identified as new emerging contaminants in the aquatic environment. The suggested
chemical structures were elucidated by MS fragmentation and chemical databank
searches and eventually confirmed via authentic standards. R-Ph3P(+) are used
worldwide by the chemical industry to synthesize alkenes via the Wittig reaction.
In total, five compounds [R = butyl (Bu), R = ethyl (Et), R = methoxymethyl
(MeOMe), R = methyl (Me), and R = phenyl (Ph)] were found in German rivers and
streams. R-Ph3P(+) were detected only in those rivers and streams that received
an appreciable portion of wastewater from the chemical industry. Up to 2.5 MUg/L
Et-Ph3P(+) was quantified in a small stream from the Hessian Ried, and in the
Rhine, up to 0.56 MUg/L Me-Ph3P(+) was detected. R-Ph3P(+) were also identified
in suspended particulate matter and sediments in the Rhine catchment, with MeOMe
Ph3P(+) concentrations of up to 0.75 mg/kg and up to 0.21 mg/kg, respectively.
Because of the lack of ecotoxicological studies, the environmental risks caused
by R-Ph3P(+) can be assessed for neither pelagic nor benthic organisms.
PMID- 26555604
TI - Suicide attempts in bipolar disorders: comprehensive review of 101 reports.
AB - OBJECTIVE: Assess reported risk of suicide attempts by patients with bipolar
disorder (BD). METHOD: Systematic searching yielded 101 reports from 22 countries
(79 937 subjects). We analyzed for risk (%) and incidence rates (%/year) of
attempts, comparing sex and diagnostic types, including by meta-analysis.
RESULTS: Attempt risk averaged 31.1% [CI: 27.9-34.3] of subjects, or 4.24 [3.78
4.70]%/year. In BD-I (43 studies) and BD-II subjects (30 studies), risks (29.9%,
31.4%) and incidence rates (4.01, 4.11%/year) were similar and not different by
meta-analysis. Among women vs. men, risks (33.7% vs. 25.5%) and incidence (4.50
vs. 3.21%/year) were greater (also supported by meta-analysis: RR = 1.35 [CI:
1.25-1.45], P < 0.0001). Neither measure was related to reporting year, %
women/study, or to onset or current age. Risks were greater with longer exposure,
whereas incidence rates decreased with longer time at risk, possibly through
'dilution' by longer exposure. CONCLUSION: This systematic update of
international experience underscores high risks of suicide attempts among
patients with BD (BD-I = BD-II; women > men). Future studies should routinely
include exposure times and incidence rates by diagnostic type and sex for those
who attempt suicide or not.
PMID- 26555605
TI - Renal Scarring in the Randomized Intervention for Children with Vesicoureteral
Reflux (RIVUR) Trial.
AB - BACKGROUND AND OBJECTIVES: The main objectives of the Randomized Intervention for
Children with Vesicoureteral Reflux (RIVUR) trial were to evaluate the role of
antimicrobial prophylaxis in the prevention of recurrent urinary tract infection
(UTI) and renal scarring in children with vesicoureteral reflux (VUR). We present
a comprehensive evaluation of renal scarring outcomes in RIVUR trial
participants. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: This multicenter,
randomized, placebo-controlled trial enrolled 607 children aged 2-71 months with
grade 1-4 VUR diagnosed after a first or second febrile or symptomatic UTI. Study
participants received trimethoprim-sulfamethoxazole or placebo and were followed
for 2 years. Renal scarring was evaluated by baseline and follow-up
(99m)technetium dimercaptosuccinic acid (DMSA) renal scans that were reviewed
independently by two blinded reference radiologists. RESULTS: At the end of the
study, 58 (10%) of 599 children and 63 (5%) of 1197 renal units had renal
scarring. New renal scarring did not differ between the prophylaxis and placebo
groups (6% versus 7%, respectively). Children with renal scarring were
significantly older (median age, 26 versus 11 months; P=0.01), had a second UTI
before enrollment (odds ratio [OR], 2.85; 95% confidence interval [95% CI], 1.38
to 5.92), were more likely to be Hispanic (OR, 2.22; 95% CI, 1.13 to 4.34), and
had higher grades of VUR (OR, 2.79; 95% CI, 1.56 to 5.0). The proportion of new
scars in renal units with grade 4 VUR was significantly higher than in units with
no VUR (OR, 24.2; 95% CI, 6.4 to 91.2). CONCLUSIONS: Significantly more renal
scarring was seen in relatively older children and in those with a second episode
of febrile or symptomatic UTI before randomization. Preexisting and new renal
scars occurred significantly more in renal units with grade 4 VUR than in those
with low-grade or no VUR. Antimicrobial prophylaxis did not decrease the risk of
renal scarring.
PMID- 26555606
TI - Neuroticism in Remitted Major Depression: Elevated with Early Onset but Not Late
Onset of Depression.
AB - BACKGROUND: The personality trait of neuroticism is strongly related to
depression, but depression is etiologically heterogeneous. Late-onset depression
(LOD) may be more closely related to vascular factors, and previous studies of
neuroticism in LOD versus early-onset depression (EOD) have not been consistent.
METHOD: We examined neuroticism, extraversion and perceived stress in 88 fully
remitted depressed patients with a mean age of 60 years and with a history of
hospitalization for major depressive disorder. Patients were divided into those
with onset after and those with onset before 50 years of age (LOD and EOD,
respectively), and the two groups were compared both with each other and with
matched control groups of healthy subjects. RESULTS: EOD patients showed
increased levels of neuroticism in comparison with both LOD and matched controls,
who did not differ. The association between age of onset and neuroticism was
confirmed in analyses based on age of depression onset as a continuous variable.
CONCLUSION: Neuroticism may be an etiological factor in EOD but not or less so in
LOD. This finding contributes to the growing evidence for etiological differences
between early- and late-onset late-life depression.
PMID- 26555610
TI - Tannic Acid Inhibits Proliferation, Migration, Invasion of Prostate Cancer and
Modulates Drug Metabolizing and Antioxidant Enzymes.
AB - The aim of this study was to investigate the effects of plant phenolic compound
tannic acid (TA) on proliferative, metastatic, invasive properties of prostate
cancer (PCa) cells; PC-3 and LNCaP, as well as drug metabolizing and antioxidant
enzymes. Characterization of TA was done by using FT-IR and NMR. TA dose
dependently inhibited the proliferation of PC-3 and LNCaP cells with IC50 values
35.3 MUM and 29.1 MUM, respectively. Wound healing assay showed that TA
significantly inhibited (92.7%) migration of PCa cells (p<0.0001). In addition,
TA was found to have anti-invasive potential on PC-3 cells and it inhibited
(80.9%, p<0.0001) invasion of PC-3 cells into matrigel. Only 17.8% of PC-3 cells
can form colony in the 0.7% agarose after treatment of cells with TA at the IC50
value concentration. Furthermore, flow cytometry analyses with Annexin V-APC and
7-AAD staining demonstrated that TA increases early apoptosis rate of PC-3 cells
by 25.8% and LNCaP cells by 20.9%. Besides, Western blot and qRT-PCR analyses
also demonstrated that TA regulates protein and mRNA expressions of CYP17A1,
CYP3A4, CYP2B6, NQO1, GSTM1 and GSTP1 enzymes. The results obtained from this
study show that TA might be a good candidate for combinational therapy and highly
effective strategic molecule for reducing the occurrence of PCa.
PMID- 26555609
TI - NRF2 Regulates PINK1 Expression under Oxidative Stress Conditions.
AB - Mutations of the PTEN-induced putative kinase 1 (PINK1) gene are a cause of
autosomal recessive forms of Parkinson's disease. Recent studies have revealed
that PINK1 is an essential factor for controlling mitochondrial quality, and that
it protects cells from oxidative stresses. Although there has been considerable
progress in the elucidation of various aspects of PINK1 protein regulation such
as activation, stability and degradation, the transcriptional regulation of PINK1
mRNA under stress conditions remains unclear. In this study, we found that
nuclear factor (erythroid-derived 2)-like 2 (NRF2), an antioxidant transcription
factor, regulates PINK1 expression under oxidative stress conditions. Damaged
mitochondria arising from stress conditions induced NRF2-dependent transcription
of the PINK1 gene through production of reactive oxygen species (ROS). Either an
ROS scavenger or forced expression of KEAP1, a potent inhibitory partner to NRF2,
restricted PINK1 expression induced by activated NRF2. Transcriptionally up
regulated PINK1 diminished oxidative stress-associated cell death. The results
indicate that PINK1 expression is positively regulated by NRF2 and that the NRF2
PINK1 signaling axis is deeply involved in cell survival.
PMID- 26555611
TI - The Mechanism in Gastric Cancer Chemoprevention by Allicin.
AB - Gastric cancer remains high prevalence and fatality rates in China even though
its morbidity has been decreased drastically. Allicin, which is from an
assistance food-garlic (Allium Sativum L), was found to be effective in gastric
cancer treatment. It is a defensive substance with a board biological properties:
inhibition of bacteria, fungus, virus, controlled hypertension, diabetes, and
chemoprevention of several cancers, etc. Experiments have shown that allicin can
be chemopreventive to gastric cancer by inhibiting the growth of cancer cells,
arresting cell cycle at G2/M phase, endoplasmic reticulum (ER) stress, and
mitochondria-mediated apoptosis, which includes the caspase-dependent/
independent pathways and death receptor pathway. Those mechanisms probably
involve in modulating enzymatic activity, restraining DNA formation, scavenging
free radicals, and affecting cell proliferation and even tumor growth. Therefore,
this review is focus on the mechanism of allicin in gastric cancer.
PMID- 26555612
TI - Leuckart Synthesis and Pharmacological Assessment of Novel Acetamide Derivatives.
AB - A new concatenation of N-(1-(4-bromophenyl)ethyl)-2-phenoxyacetamide and N-(1-(4
methoxyphenyl) ethyl)-2-phenoxyacetamide derivatives having 2-phenoxy-N-(1
phenylethyl)acetamide nucleus as common in both the types was synthesized for the
sake of achieve titled compounds as potential cytotoxic, anti-inflammatory,
analgesic and antipyretic agents. All the novel derivatives have been synthesized
through multi-step reaction sequence starting from Leuckart reaction. The
structural assignments of the new compounds have been determined by virtue of
their IR, 1H NMR, 13C NMR, elemental analysis and mass spectrum analysis. All the
synthesized compounds were assessed for cytotoxicity and anti-inflammatory,
analgesic and antipyretic effects. Among the series, compounds 3a, 3c, 3g and 3h
possess cytotoxic, anti-inflammatory, analgesic and antipyretic activities
comparable with standard drugs. The synthesized compounds were found to be active
because of the presence of bromo, tert- butyl and nitro groups at position 4 of
phenoxy nucleus.
PMID- 26555613
TI - Establishment of Green Fluorescent Protein and Firefly Luciferase Expressing
Mouse Primary Macrophages for In Vivo Bioluminescence Imaging.
AB - Macrophages play a key role in tissue homeostasis as well as in a range of
pathological conditions including atherosclerosis, cancer, and autoimmunity. Many
aspects of their in vivo behavior are, however, poorly understood.
Bioluminescence imaging (BLI) with green fluorescent protein (GFP) and firefly
luciferase (FLUC) labelled autologous reporter macrophages could potentially
offer a powerful tool to study macrophage biology, but this approach has been
hindered by the relative difficulty of efficient gene transfer into primary
macrophages. Here we describe a straightforward method for producing large
numbers of GFP/FLUC expressing mouse primary macrophages utilizing lentivirus
vector, cyclosporine, and a double infection strategy. Using this method we
achieved up to 60% of macrophages to express GFP with correspondingly high FLUC
signal. When injected into the circulation using a mouse model of local
biomaterial induced inflammation and osteolysis, macrophages were initially
detectable within the lungs, followed by systemic homing to the local area of
chronic inflammation in the distal femur. In addition, transduced macrophages
maintained their ability to assume M1 and M2 phenotypes although the GFP/FLUC
expression was altered by the polarizing signals. These reporter macrophages
could prove to be valuable tools to study the role of macrophages in health and
disease.
PMID- 26555614
TI - m-Trifluoromethyl-diphenyldiselenide as a pharmacological tool to treat
preference symptoms related to AMPH-induced dependence in rats.
AB - Amphetamine (AMPH) abuse is a world concern and a serious public health problem.
Repeated administration of high doses of AMPH induces neuropsychiatric
consequences, including addiction, reward and psychosis, whose pharmacological
treatment has shown limited effectiveness. The m-trifluoromethyl
diphenyldiselenide [(m-CF3-PhSe)2] has been documented as a promising
pharmacological agent in different animal models related to oxidative damage. In
this study, we examined the influence of (m-CF3-PhSe)2 on withdrawal following re
exposure to AMPH. Wistar rats received d,l-AMPH or saline in the conditioned
place preference (CPP) paradigm for 8days. Then, half of each initial (AMPH or
saline) experimental group was treated with (m-CF3-PhSe)2 or vehicle, resulting
in four final groups: i) Saline/vehicle; ii) (m-CF3-PhSe)2/saline; iii)
AMPH/vehicle; and iv) AMPH/(m-CF3-PhSe)2. After fourteen days of (m-CF3-PhSe)2
treatment, animals were re-exposed to AMPH or vehicle in the CPP paradigm for
three more days in order to assess drug re-conditioning and memory/locomotor
activity, performed 24h after AMPH re-exposure in the CPP and the Y maze,
respectively. Subsequently, ex-vivo assays were carried out in samples of the
prefrontal cortex (PFC) of the animals. The (m-CF3-PhSe)2 treatment was able to
prevent AMPH-induced re-conditioning symptoms in rats. Behavioral observations in
the Y maze task showed no significant changes. AMPH exposure was able to increase
5-HT uptake as well as oxidative damage in the PFC, whereas (m-CF3-PhSe)2
treatment exerted a preventative effect against these alterations. The current
findings suggest that (m-CF3-PhSe)2 might be considered a promising therapeutic
tool for AMPH-induced addiction.
PMID- 26555615
TI - Nanoparticulate fingolimod delivery system based on biodegradable poly (3
hydroxybutyrate-co-3-hydroxyvalerate) (PHBV): design, optimization,
characterization and in-vitro evaluation.
AB - This study was focused on the fabrication, statistical optimization and in vitro
characterization of poly (hydroxybutyrate-co-hydroxyvalerate) (PHBV)
nanoparticles loaded with fingolimod. PHBV-based fingolimod nanoparticles were
prepared by single and double evaporation methods; the incorporation efficiency
of fingolimod was higher with the single emulsion evaporation method in the
nanosize range particles. Fingolimod HCL was neutralized with NaOH in order to
slow down the release of the highly soluble fingolimod. The encapsulation
efficiency of neutralized fingolimod was much higher (53-73%) due to the
insoluble form of the drug used in encapsulation. It was found that the amount of
fingolimod, concentration of PHBV and polyvinyl alcohol (PVA) would influence the
encapsulation efficiency significantly. The effect of these parameters on the
Particle size, PdI, loading capacity and loading efficacy was studied. The
optimum conditions were 1.32% PHBV, 0.42% PVA and 5 mg fingolimod. The average
size of optimized nanoparticles which measured with the aid of the Box-Behnken
experimental design was 250 nm and entrapment efficiency of 73(%). Drug-release
from the nanospheres over a four-week period has shown a characteristic triphasic
release pattern with an initial burst effect.
PMID- 26555616
TI - Association of Thyroid-Stimulating Hormone with Resting Energy Expenditure in
Euthyroid Elderly Subjects: A Cross-Sectional Study.
AB - BACKGROUND: Several studies have reported positive correlations between thyroid
stimulating hormone (TSH) and body mass index (BMI) in euthyroid subjects. As
impaired thyroid function is known to affect the metabolic rate, this study
investigated whether TSH is associated with resting energy expenditure (REE) in
euthyroid elderly subjects, independent of age, anthropometric data and body
composition. METHODS: Cross-sectional data of 77 women (66-96 years, BMI 18-36
kg/m2) and 55 men (66-86 years, BMI 20-39 kg/m2) were analyzed. REE was measured
using indirect calorimetry, body composition by bioelectrical impedance analysis
and serum TSH using an electrochemiluminescence immunoassay. RESULTS: REE, fat
free mass (FFM) and waist circumference (WC) were significantly lower, whereas
TSH and fat mass (FM) were significantly higher in women than in men. In multiple
stepwise regression analysis, with age-adjusted REE (REE(adj)) as the dependent
variable and FFM, FM and WC as independent variables, FFM and WC explained 40.7%
in women and FFM 32.8% in men of the variability in REE(adj). Including TSH in
the model led to a significant rise of the adjusted R-squared value in women
only, and explained an additional 2.8% of the variability in REE(adj).
CONCLUSIONS: TSH is independently and negatively associated with REE in euthyroid
elderly women.
PMID- 26555617
TI - Differential Support of Aspergillus fumigatus Morphogenesis by Yeast and Human
Actins.
AB - The actin cytoskeleton is highly conserved among eukaryotes and is essential for
cellular processes regulating growth and differentiation. In fungi, filamentous
actin (F-actin) orchestrates hyphal tip structure and extension via organization
of exocytic and endocytic processes at the hyphal tip. Although highly conserved,
there are key differences among actins of fungal species as well as between
mammalian and fungal actins. For example, the F-actin stabilizing molecules,
phalloidin and jasplakinolide, bind to actin structures in yeast and human cells,
whereas phalloidin does not bind actin structures of Aspergillus. These
discrepancies suggest structural differences between Aspergillus actin filaments
and those of human and yeast cells. Additionally, fungal actin kinetics are much
faster than those of humans, displaying 5-fold faster nucleation and 40-fold
faster nucleotide exchange rates. Limited published studies suggest that these
faster actin kinetics are required for normal growth and morphogenesis of yeast
cells. In the current work, we show that replacement of Aspergillus actin with
yeast actin generates a morphologically normal strain, suggesting that
Aspergillus actin kinetics are similar to those of yeast. In contrast to wild
type A. fumigatus, F-actin in this strain binds phalloidin, and pharmacological
stabilization of these actin structures with jasplakinolide inhibits germination
and alters morphogenesis in a dose-dependent manner. We also show that human beta
actin cannot support Aspergillus viability, even though the amino acid sequences
of human and Aspergillus actins are 89.3% identical. Our findings show that minor
differences in actin protein sequence account for loss of phalloidin and
jasplakinolide sensitivity in Aspergillus species.
PMID- 26555618
TI - Using the Activity-based Anorexia Rodent Model to Study the Neurobiological Basis
of Anorexia Nervosa.
AB - Anorexia nervosa (AN) is a psychiatric illness characterized by excessively
restricted caloric intake and abnormally high levels of physical activity. A
challenging illness to treat, due to the lack of understanding of the underlying
neurobiology, AN has the highest mortality rate among psychiatric illnesses. To
address this need, neuroscientists are using an animal model to study how neural
circuits may contribute toward vulnerability to AN and may be affected by AN.
Activity-based anorexia (ABA) is a bio-behavioral phenomenon described in rodents
that models the key symptoms of anorexia nervosa. When rodents with free access
to voluntary exercise on a running wheel experience food restriction, they become
hyperactive - running more than animals with free access to food. Here, we
describe the procedures by which ABA is induced in adolescent female C57BL/6
mice. On postnatal day 36 (P36), the animal is housed with access to voluntary
exercise on a running wheel. After 4 days of acclimation to the running wheel, on
P40, all food is removed from the cage. For the next 3 days, food is returned to
the cage (allowing animals free food access) for 2 hr daily. After the fourth day
of food restriction, free access to food is returned and the running wheel is
removed from the cage to allow the animals to recover. Continuous multi-day
analysis of running wheel activity shows that mice become hyperactive within 24
hr following the onset of food restriction. The mice run even during the limited
time during which they have access to food. Additionally, the circadian pattern
of wheel running becomes disrupted by the experience of food restriction. We have
been able to correlate neurobiological changes with various aspects of the
animals' wheel running behavior to implicate particular brain regions and
neurochemical changes with resilience and vulnerability to food-restriction
induced hyperactivity.
PMID- 26555620
TI - Humpback Dolphins: A Brief Introduction to the Genus Sousa.
AB - The delphinid genus Sousa has recently undergone a major revision, and currently
contains four species, the Atlantic humpback (Sousa teuszii), Indian Ocean
humpback (Sousa plumbea), Indo-Pacific humpback (Sousa chinensis), and Australian
humpback (Sousa sahulensis) dolphins. Recent molecular evidence suggests that
humpback dolphins in the Bay of Bengal may comprise a fifth species. These
moderate-sized dolphin species are found in shallow (<30m), coastal waters of the
eastern Atlantic, Indian, and western Pacific oceans. Abundance and trends have
only been studied in a few areas, mostly in eastern Africa, China, and northern
Australia. No global, empirically derived abundance estimates exist for any of
the four species, but none appear to number more than about 20,000 individuals.
Humpback dolphins feed mostly on small fishes, and sometimes shrimps; occur for
the most part in small groups (mostly 12 or less); have limited nearshore
movements; and in most parts of their range exhibit a fission/fusion type of
social organization. Major threats that affect all the species are entanglement
in fishing gear, and habitat degradation/destruction from various forms of
coastal development. Impacts from vessel traffic (including behavioural
disturbance and displacement, as well as mortality and morbidity from collisions
with vessels) appear to be significant in most areas. Several other threats are
apparently significant only in particular parts of the range of some species
(e.g. high levels of organochlorine contaminants affecting Indo-Pacific humpback
dolphins in Hong Kong). Direct hunting only occurs in limited areas and primarily
on a small scale. Conservation actions so far have been limited, with most
populations receiving little study and almost no management attention. Much more
work is needed on humpback dolphin population status, threats, and how the major
threats can be reduced or eliminated. Extinction risks for the four species and
some populations are preliminarily re-assessed using the IUCN Red List criteria
in the current volume. The results suggest that all four species in the genus are
threatened at some level (suggested Red List status ranges from Vulnerable for S.
chinensis and S. sahulensis to Critically Endangered for S. teuszii).
PMID- 26555619
TI - [Adequate fluid resuscitation in septic shock with high catecholamine doses].
AB - BACKGROUND: Appropriate fluid resuscitation is a fundamental aspect for the
hemodynamic management of septic shock patients and should ideally be achieved
before vasopressors and positive inotropic substances are administered. The
development of hemodynamic monitoring has revealed that in some cases patients
had been improperly treated with high-dose catecholamines for initially
insufficient fluid resuscitation. The aim of this study was to show that in some
cases it is possible to actively reduce catecholamines by a volume challenge
adapted according to the individual patient needs. MATERIAL AND METHODS: In this
retrospective observational study 29 patients with septic shock in a surgical
intensive care unit (ICU) at a university hospital (17 male, 12 female, mean age
71 +/- 10 years) on high-dose catecholamines (median values norepinephrine 0.204
ug/kg body weight/min, dobutamine 3.876 ug/kg/min and epinephrine 0.025
ug/kg/min, ranging up to 0.810 ug/kg/min, 22.222 ug/kg/min and 0.407 ug/kg/min in
28, 20 and 17 patients, respectively) were analyzed. The extremities of the
patients were initially cold with a mottled marbled appearance whereas the mean
arterial pressure (MAP) was >= 65 mmHg. The median central venous pressure (CVP)
was 17 mmHg (range 55-34 mmHg) and the mean lactate concentration was 2.78 mmol/l
(range 0.93-10.67 mmol/l). The standard therapy concept consisted of a forced
volume challenge combined with active reduction of catecholamines to achieve an
adequate fluid loading status, guided by the passive leg raising test (PLR),
clinical signs and in 19 cases by hemodynamic monitoring (pulmonary artery
catheter Vigilance II(TM) n = 10, FloTrac(TM), Vigileo(TM) n = 9 and PreSep(TM) n
= 5; Edwards Life Sciences). The forced volume challenge was stopped after
clinical improvement with rewarmed extremities, increasing diuresis volumes and
lack of improvement by PLR. RESULTS: Catecholamine doses could be significantly
reduced in all patients: norepinephrine to 0 ug/kg/min, dobutamine to 1.852
ug/kg/min and epinephrine to 0 ug/kg/min (up to 0.133 ug/kg/min, 6.289 ug/kg/min
and 0.091 ug/kg/min, respectively, p < 0.05 Wilcoxon signed rank test). Volume
challenge test: + 4,500 ml Ringer solution (range 0-24,000 ml) and 1,000 ml
hydroxyethyl starch (range 0-2,500 ml) and mean fluid balance + 6,465 ml (range +
2,040 ml to + 27,255 ml). The median weaning time from catecholamines was 12 h
(range 4-43 h). After treatment all patients showed rewarmed extremities and a
decrease in mean lactate levels from 2.78 mmol/l (range 0.93-10.67 mmol/l) to
2.05 mmol/l (range 0.7-5.4 mmol/l). The measured hemodynamic constellations
showed clear interindividual differences but no cardiac deterioration occurred.
The median oxygenation index (paO2/FiO2) showed a statistically insignificant
change from 264 mmHg (range 75-418 mmHg) to 250 mmHg (range 120-467 mmHg). Of the
patients 20 survived and 9 died. CONCLUSION: It is possible to wean a substantial
proportion of septic shock patients from high-dose catecholamines in combination
with a needs-adapted forced volume challenge test. The importance of appropriate
fluid loading prior to the use of high catecholamine doses should be a main
subject of discussion in patients with severe septic shock and was confirmed in
this study. This should be oriented to clinical and if possible, hemodynamic
parameters and should not be underestimated.
PMID- 26555621
TI - Humpback Dolphin (Genus Sousa) Behavioural Responses to Human Activities.
AB - Humpback dolphins (genus Sousa) use shallow, near-shore waters throughout their
range. This coastal distribution makes them vulnerable to recreational and
commercial disturbances, especially near heavily populated and industrialized
areas. Most research focusing on Sousa and human activities has emphasized direct
impacts and threats, involving injury and death, with relatively little focus on
indirect effects on dolphins, such as changes in behaviour that may lead to
deleterious effects. Understanding behaviour is important in resolving human
wildlife conflict and is an important component of conservation. This chapter
gives an overview of animal behavioural responses to human activity with examples
from diverse taxa; reviews the scientific literature on behavioural responses of
humpback dolphins to human activity throughout their range, including marine
vessel traffic, dolphin tourism, cetacean-fishery interactions, noise pollution,
and habitat alteration; and highlights information and data gaps for future
humpback dolphin research to better inform behaviour-based management decisions
that contribute to conservation efforts.
PMID- 26555622
TI - Re-assessment of the Conservation Status of the Atlantic Humpback Dolphin, Sousa
teuszii (), Using the IUCN Red List Criteria.
AB - The Atlantic humpback dolphin (Sousa teuszii) is an obligate shallow water
dolphin that is endemic to the western coasts of Africa, ranging from Western
Sahara to Angola. The species occurs exclusively in a limited number of near
shore habitats, a tendency that routinely exposes it to a suite of lethal and
deleterious anthropogenic threats. These include habitat degradation, accidental
capture in artisanal fishing nets, and hunting for use as food and bait. The
species also competes with rapidly expanding human populations for coastal
resources in some of the poorest countries on Earth. Data for most aspects of the
species' ecology are sparse, but S. teuszii is considered by most qualified
observers to be rare and greatly threatened. A lack of appropriate survey data
precludes a quantitative assessment of population trends and status. Most
populations for which any data are available are considered to be extremely
small, numbering in the tens or low hundreds of individuals. The available
published estimates suggest that the total population likely falls below 3000
individuals. Declines in abundance have been observed or are suspected for each
population and will continue, given projected expansions of identified threats
that affect most of the species' known range, and a corresponding lack of
appropriate management actions. The apparent scale of threats, the presumed
isolation of most populations, and a lack of directed conservation efforts in
most areas suggest that the species qualifies for a listing of Critically
Endangered (under criteria A3cd) on the IUCN Red List.
PMID- 26555623
TI - A Review of the Geographical Distribution and Habitat of the Atlantic Humpback
Dolphin (Sousa teuszii).
AB - Understanding of the distributional ecology of the Atlantic humpback dolphin
(Sousa teuszii) has been hampered by a lack of systematic and consistent sampling
effort. The only comprehensive species distribution review was published in 2004;
since then a considerable amount of novel information has emerged. We compiled
853 sighting, capture and specimen records of the species, and produced global
and regional distribution maps. Of the 830 records where year was available,
63.1% dated from >=2005 and confirm a contemporary occurrence in six marine
ecoregions and 11 countries: Western Sahara, Mauritania, Senegal, Gambia, Guinea
Bissau, Guinea, Benin, Cameroon, Gabon, Congo Republic and Angola. Additionally,
Togo is a recently confirmed range state. Group sizes ranged from 1 to 45
animals, with small groups of 1 to 10 animals comprising 65% of the sightings.
Similarities were noted in the regions inhabited by Atlantic humpback dolphins
across their range, particularly an occurrence in relatively shallow
(predominantly <=20 m) depths, in warm waters (average SSTs of 15.8-31.8 degrees
C) and in dynamic habitat strongly influenced by tidal patterns. These conditions
occur in various habitats occupied by the species, including estuarine systems,
open coasts, archipelagos, tidal mud-flats and sheltered bays. Sightings were
recorded at distances of 13 m to 12.8 km (mean of 573 m) from land, indicating
that the species occurs several kilometres from shore when suitable shallow
habitat is present. The Atlantic humpback dolphin may be a 'nearshore' species
based on oceanographic definitions incorporating water depth, wave action and
sedimentation rather than on spatial distance from the coast.
PMID- 26555624
TI - Assessment of the Conservation Status of the Indian Ocean Humpback Dolphin (Sousa
plumbea) Using the IUCN Red List Criteria.
AB - Indian Ocean humpback dolphins (Sousa plumbea) are obligate shallow-water
dolphins that occur exclusively in the near-shore waters of the Indian Ocean,
from South Africa to the Bay of Bengal. They have a narrow habitat preference,
restricted distribution and do not appear very abundant across any part of their
range. There is no estimate of total species abundance; all populations that have
been quantitatively evaluated have been small in size, usually fewer than 200
individuals. Fishing, dredging, land reclamation, construction blasting, port and
harbour construction, pollution, boat traffic and other coastal development
activities all occur, or are concentrated within, humpback dolphin habitat and
threaten their survival. Although data are far from sufficient to make a rigorous
quantitative assessment of population trends for this species, the scale of
threats is large enough over a significant enough portion of the range to suspect
or infer a decline of at least 50% over three generations, which qualifies it for
listing on the IUCN Red List as Endangered. The issue primarily responsible is
incidental mortality in fisheries, but the loss and degradation of habitat is
likely a contributing factor. None of the threats have been adequately addressed
in any part of the species' range, even though threat levels are increasing
virtually everywhere.
PMID- 26555625
TI - The Natural History and Conservation of Indian Ocean Humpback Dolphins (Sousa
plumbea) in South African Waters.
AB - Although most knowledge on the biology of Sousa plumbea has primarily come from
South African waters, a number of research gaps remain on the natural history and
status of the species in the region. Research on two populations in South African
waters for which some historical data exist may aid in highlighting long-term
changes in the biology and natural history of this little known coastal
delphinid. Recent studies on the age, growth and reproduction of animals
incidentally caught in shark nets in Richards Bay, KwaZulu-Natal, yielded a lower
maximum age estimate of 24 (previously 46) growth-layer-groups (GLGs), sexual
maturity of 7.5 and 8 GLGs in males and females (previously 12-13 and 10 GLGs,
respectively), an ovulation rate of 0.2 and a 5-year calving interval (previously
0.3 and 3-year calving interval) than previously reported. These differences may
be due to a difference in the interpretation of GLGs between observers or a
predominance of young males being caught in the shark nets. Stomach content
analysis revealed a change in the relative proportions of the main prey items
over the past 25 years, but no difference in species richness or diversity was
found between the sexes. No change in trophic level was recorded between 1972 and
2009. Field studies in Algoa Bay, Eastern Cape, conducted 16 years apart
indicated a decline in the mean group size (from 7 to 3 animals), a decline in
the maximum group size (from 24 to 13 animals), an increase in solitary
individuals (15.4-36%), and a change in behaviour from predominantly foraging (64
18%) to mainly travelling (24-49%). The observed changes are suggestive of a
change in food availability, resulting in a range shift or a potential decline in
numbers. These studies indicate the importance of long-term studies to monitor
population changes and their possible causes. A number of threats, such as shark
nets, pollution (noise and chemical), and coastal development and disturbance, to
the humpback dolphin populations in South Africa have been identified. Urgent
action is required to ensure continued existence of the species in South African
waters.
PMID- 26555626
TI - Ecology and Conservation Status of Indian Ocean Humpback Dolphins (Sousa plumbea)
in Madagascar.
AB - The Indian Ocean humpback dolphin (Sousa plumbea) has been studied in several
range states in the Southwest Indian Ocean, however little information exists on
populations in Madagascar. Here, we review available literature and describe a
study on S. plumbea conducted between 2004 and 2013 on the west coast of
Madagascar, involving boat-based field surveys in the southwest and northwest
regions, and interview surveys with local fishers from villages along most of the
west coast. Field surveys in the southwest region of Anakao/St. Augustine Bay
revealed low encounter rates and mean group size, and markedly declining trends
in both from 1999 to 2013. Conversely, in the northwest region around Nosy Be and
Nosy Iranja, encounter rates were higher, as were mean group sizes, suggesting an
apparently more abundant and less impacted population. Interview surveys revealed
by-catch of coastal dolphins along the entire west coast, including S. plumbea,
as well as other species. Directed hunting, including drive hunts of groups of
dolphins, was reported primarily in the southern regions, in the range of the
Vezo Malagasy ethnicity; however, there was evidence of hunting starting in one
area in the northwest, where hunting dolphins is normally considered taboo for
the predominant Sakalava ethnicity. Thus, the conservation status of S. plumbea
in Madagascar appears to be spatially heterogeneous, with some areas where the
local population is apparently more impacted than others. Conservation measures
are recommended to mitigate further decline in the southwest of Madagascar, while
protecting habitat and ensuring resilience in the northwest.
PMID- 26555627
TI - A Review of the Status of the Indian Ocean Humpback Dolphin (Sousa plumbea) in
Pakistan.
AB - Limited historical and new information on Indian Ocean humpback dolphins, Sousa
plumbea, in Pakistan are reviewed. Although present along most of the coast, S.
plumbea concentrates in the mangrove-lined creek system of the Indus Delta
(Sindh), Miani Hor (Sonmiani Bay), Kalmat Lagoon, Gwadar and the Dasht River
estuary (Gwater Bay, Jiwani). Other areas of distribution comprise the Karachi
coast, Kund Malir, Ormara and Pasni. In the Indus Delta, 46 small-boat surveys
conducted monthly (minus July and October) in 2005-2009, documented 112 sightings
(439 individuals) in major creeks, smaller channels and nearshore waters. Group
sizes ranged from 1-35 animals (mean=3.92+/-4.60). Groups of 1-10 animals
composed 91% of total (27.9% single animals). An encounter rate of 0.07-0.17
dolphins km(-1) lacked a significant trend across survey years. A discovery curve
remained steep after 87 dolphins were photo-identified, suggesting the population
is vastly larger. In Sonmiani Bay, Balochistan, during 9 survey days in 2011
2012, group sizes ranged from 1-68 animals (mean=11.9+/-13.59; n=36), totalling
428 dolphins. Incidental entanglements, primarily in gillnets, pollution
(especially around Karachi), overfishing and the ship breaking industry in
Gaddani, pose major threats. Incidental catches occur along the entire Pakistani
coast. Of 106 stranded cetaceans, 24.5% were S. plumbea. Directed takes in
Balochistan, driven by demand for bait in shark fisheries, have reportedly
declined following dwindling shark stocks. Habitat degradation threats include
depletion of prey and increased maritime traffic. Domestic sewage and solid waste
pollution are predominant on the Balochistan coast, especially at Miani Hor, Kund
Malir, Ormara, Kalmat Lagoon, Pasni, Gwadar and Jiwani. An exhaustive habitat
assessment combined with appropriate fishery management is the only way to
safeguard the future of S. plumbea in Pakistan.
PMID- 26555628
TI - Humpback Dolphins (Genus Sousa) in India: An Overview of Status and Conservation
Issues.
AB - This chapter aims to collate recent work done by different research teams along
the Indian coast and presents research plans for the conservation and management
of the genus Sousa in Indian waters. Humpback dolphins are the most common
nearshore cetaceans found along the Indian coast. The taxonomy is confused, but
two or more species of humpback dolphins may be present in India. Dedicated
research on humpback dolphins and other cetaceans has been initiated only in the
past few years and vast gaps in the ecology and conservation of the genus from
the region remain. Dedicated and opportunistic research indicates that humpback
dolphin presence is continuous along the west coast of India, owing to the
contiguous favourable habitat of shallow nearshore waters, while along the east
coast humpback dolphins are apparently found in pockets. Humpback dolphins are
also the most numerous in incidental catch records from the coast, owing to the
large overlap in space use with nearshore fisheries like small gillnets, trawls,
shore seines and purse seines. Along many coastal sites, humpback dolphins are
known to cause damage and depredation of fish catch of certain fishing gears,
making them unpopular. At the same time, many fishers along the west coast have
developed local dolphin-watching programmes as an alternate source of livelihood,
providing positive impetus for conservation. However, research on the long-term
effects of dolphin watching and its management is required. Some recommendations
for more effective management of this species are made.
PMID- 26555629
TI - Preface.
PMID- 26555630
TI - A cohort study of pyridoxine-dependent epilepsy and high prevalence of splice
site IVS11+1G>A mutation in Chinese patients.
AB - PURPOSE: Pyridoxine-dependent epilepsy (PDE) is a rare autosomal recessive
disorder caused by mutations of the ALDH7A1 gene. We aimed to analyze the
relations between the clinical diagnosis and treatment of PDE and ALDH7A1 gene
mutations in Chinese PDE patients. METHODS: The clinical manifestations,
diagnosis and treatment were observed in a cohort of PDE patients with early
onset of seizure. Video-electroencephalogram (VEEG) and magnetic resonance
imaging (MRI) were performed. The mutation of ALDH7A1 gene was analyzed. RESULTS:
Of eight patients, six were males and two were females. Age of seizure onset
ranged from 1 to 100 days and 75% patients presented with seizures in the
neonatal period. All patients showed different degrees of developmental delay.
EEGs showed focal or multifocal discharges, or were normal. Molecular analysis
revealed 10 ALDH7A1 mutations, including 2 splice site mutations. Five patients
had mutation at IVS11+1G>A site, six patients had missense mutations, one with
nonsense mutation and another patient had 9-bp genomic deletion mutation. Among
them, two mutations were first time reported. CONCLUSIONS: Seizure onset was in
neonatal or early infantile period in our PDE patients. Early recognition and
diagnosis of the disease is necessary for early intervention and improve
cognitive development in the later life. In this study, on the molecular level,
we also identified the splice site mutation IVS11+1G>A as a high prevalence
mutation site with a frequency of 31.25% (5 of 16 alleles) in Chinese PDE
patients.
PMID- 26555631
TI - Do HLA-A markers predict skin-reactions from aromatic antiepileptic drugs in a
Norwegian population? A case control study.
AB - PURPOSE: Cutaneous adverse reactions (cADRs) from carbamazepine (CBZ) have been
associated with human leukocyte antigens (HLA). Our aims were to assess the
clinical usefulness of HLA-A*31:01 as a predictor of CBZ-induced cADRs in the
Norwegian population and to explore whether cADRs from aromatic antiepileptic
drugs (AEDs) in general might be linked with a common HLA-A-marker. MATERIALS AND
METHODS: 86 ethnic Norwegians with a history of non-bullous cADRs from aromatic
AEDs were included. 114 subjects tolerant to at least one aromatic AED were used
as drug-specific controls. Complete HLA-A genotyping was performed. 1026 blood
donors were used as population controls. RESULTS: Comparing all cADR subjects
with controls and blood donors, there were no statistical differences for any HLA
A allele, except for HLA-A*24 (p=0.022 vs. controls and p=0.014 vs. blood
donors). When comparing tolerant controls with patients having had a cADR to one
of the two most used drugs, CBZ (n=48) and lamotrigine (n=28), we found no
significant associations for CBZ to HLA-A*31:01 or HLA-A*24:02, but for
lamotrigine there was an association with HLA-A*24:02 (p=0.027). In patients
developing cross-reactivity (n=14) to aromatic AEDs, the presence of HLA-A*31:01
or HLA-A*24:02 was not different compared to patients with a single cARD tolerant
to at least one other drug. CONCLUSION: We question the clinical usefulness of
HLA-A*31:01 as a marker for CBZ rash in the Norwegian population. A previously
suggested protective effect of aromatic AED cross-reactivity from HLA-A*24:02 was
not confirmed. The association between HLA-A*24:02 and lamotrigine-induced rash
should be further investigated.
PMID- 26555632
TI - Positive modulation of a neutral declarative memory by a threatening social
event.
AB - Memories can be altered by negative or arousing experiences due to the activation
of the stress-responsive sympatho-adrenal-medullary axis (SYM). Here, we used a
neutral declarative memory that was acquired during multi-trial training to
determine the effect of a threatening event on memory without emotional valence.
To this end, participants received a new threatening social protocol before
learning pairs of meaningless syllables and were tested either 15 min, 2 days or
8 days after acquisition. We first demonstrated that this threatening social
situation activates not only the SYM axis (Experiment 1) and the hypothalamus
pituitary-adrenal axis (HPA; Experiment 2), but also, it improves the acquisition
or early consolidation of the syllable pairs (Experiment 3). This improvement is
not a transient effect; it can be observed after the memory is consolidated.
Furthermore, this modulation increases the persistence of memory (Experiment 4).
Thus, it is possible to affect memories with specific events that contain
unrelated content and a different valence.
PMID- 26555633
TI - Running Reduces Uncontrollable Stress-Evoked Serotonin and Potentiates Stress
Evoked Dopamine Concentrations in the Rat Dorsal Striatum.
AB - Accumulating evidence from both the human and animal literature indicates that
exercise reduces the negative consequences of stress. The neurobiological
etiology for this stress protection, however, is not completely understood. Our
lab reported that voluntary wheel running protects rats from expressing
depression-like instrumental learning deficits on the shuttle box escape task
after exposure to unpredictable and inescapable tail shocks (uncontrollable
stress). Impaired escape behavior is a result of stress-sensitized serotonin (5
HT) neuron activity in the dorsal raphe (DRN) and subsequent excessive release of
5-HT into the dorsal striatum following exposure to a comparatively mild
stressor. However, the possible mechanisms by which exercise prevents stress
induced escape deficits are not well characterized. The purpose of this
experiment was to test the hypothesis that exercise blunts the stress-evoked
release of 5-HT in the dorsal striatum. Changes to dopamine (DA) levels were also
examined, since striatal DA signaling is critical for instrumental learning and
can be influenced by changes to 5-HT activity. Adult male F344 rats, housed with
or without running wheels for 6 weeks, were either exposed to tail shock or
remained undisturbed in laboratory cages. Twenty-four hours later, microdialysis
was performed in the medial (DMS) and lateral (DLS) dorsal striatum to collect
extracellular 5-HT and DA before, during, and following 2 mild foot shocks. We
report wheel running prevents foot shock-induced elevation of extracellular 5-HT
and potentiates DA concentrations in both the DMS and DLS approximately 24 h
following exposure to uncontrollable stress. These data may provide a possible
mechanism by which exercise prevents depression-like instrumental learning
deficits following exposure to acute stress.
PMID- 26555634
TI - Acute effects of caffeine on choroidal thickness and ocular pulse amplitude.
AB - OBJECTIVE: To explore ocular changes in healthy people after caffeine
consumption. METHODS: This prospective observational study was carried out with
students of the Turgut Ozal University Medical Faculty from May 15 to 15 December
2014. Enrolled in the study were 17 healthy subjects (n = 17 eyes), with a median
age of 24 (IQR 1), ranging between 21 and 26 years. The control group (6 females,
11 males) aged between 23 and 28 (median 25 years [IQR 4.75]). For study, one eye
from each participant was randomly selected. To obviate the effect of diurnal
variations, tests were performed at the same time of the day (10:00 a.m.-12:00
p.m.). Each subject was given an ophthalmologic examination before the study to
exclude those with undiagnosed ocular disease. Version 6.0 Cirrus high-definition
optical coherence tomography (HD-OCT) (Carl Zeiss Meditec, Dublin, CA) was used
to measure CT at the fovea, and 1500 MUm nasal and 1500 MUm temporal to the
fovea. After baseline OCT measurements, participants were asked to have 200 mg
oral caffeine intake or a placebo capsule (200 mg lactose powder). Two further
OCT measurements were applied at the first and fourth hours of caffeine intake.
All participants also had intraocular pressure (IOP) and ocular pulse amplitude
(OPA) measurements recorded before, first and fourth hours of caffeine intake.
IOP and OPA were measured using the dynamic contour tonometry (DCT) (Swiss Micro
Technology AG, Port, Switzerland). RESULTS: The groups showed no significant
difference by means of age, gender, spherical refraction and axial length (p >
0.05). Baseline choroidal thickness measurements of the study and control group
showed no significant difference. Oral caffeine intake caused a significant
reduction in choroidal thickness compared with baseline, at all three measurement
points, (p < 0.05). There were no significant changes in IOP and OPA measurements
compared with the baseline values (p > 0.05). The choroidal thickness still
continued to decrease for at least 4 h following caffeine intake; whereas, the
difference between 1 and 4 h was not statistically significant (p > 0.05).
However, choroidal thicknesses, IOP and OPA values of the control group revealed
no significant difference at all points when comparing measurements at baseline
with 1 and 4 h after placebo intake (p > 0.05). CONCLUSIONS: We found no
significant change in IOP and OPA following oral 200 mg caffeine intake, while CT
significantly decreased, for at least 4 h.
PMID- 26555635
TI - Differences in biochemical bone markers by diabetes type and the impact of
glucose.
AB - BACKGROUND: Diabetes mellitus is associated with an increased fracture risk,
however the fracture risk is 7 fold increased in patients with type 1 diabetes
(T1D) and 1.4 fold increased in patients with type 2 diabetes (T2D) with
decreased and increased bone mineral density, respectively. Oral ingestion of
glucose causes an acute decrease in bone turnover markers, and thus glucose
levels may affect bone turnover in diabetes. OBJECTIVE: The aim was to examine
disparities in bone turnover markers between patients with T1D and T2D and
evaluate the effect of glucose on bone turnover. METHODS: A cross-sectional study
was conducted. Patients diagnosed with T1D (n=98) or T2D (n=96) were included
from the outpatient clinics at two University Hospitals. All individuals had
normal renal function. Glucose and bone turnover markers were measured in non
fasting blood samples. RESULTS: P-procollagen type 1 amino terminal propeptide
(P1NP), p-osteocalcin (OC), and s-Receptor Activator of Nuclear factor Kappa beta
Ligand (RANKL) were lower in patients with T2D compared to T1D, and s
osteoprotegerin (OPG) was higher in T2D. P-C-terminal cross-linked telopeptide of
type-I collagen (CTX), p-fibroblast growth factor-23 (FGF-23), p-sclerostin, and
p-undercarboxylated osteocalcin (ucOC) were similar in between the two groups of
patients. Increasing non-fasting glucose levels were inversely related to p-CTX,
p-P1NP, p-OC, and p-ucOC and directly related to s-OPG in simple linear and
multiple linear regressions adjusted for factors influencing bone turnover
markers including HbA1c. CONCLUSION: Bone turnover markers were lower in patients
with T2D compared to T1D. Acute blood glucose alterations may change bone
turnover mediated by OPG and have detrimental effects on bone health in diabetes.
TRIAL REGISTRATION NUMBER: ClinicalTrials.govNCT01870557.
PMID- 26555636
TI - Bone turnover biomarkers and risk of osteoporotic hip fracture in an Asian
population.
AB - While epidemiologic studies suggest that bone turnover biomarkers may predict hip
fracture risk, findings are inconsistent and Asian data are lacking. We conducted
a matched case-control (1:1) study nested in the Singapore Chinese Health Study,
a population-based prospective cohort of Chinese men and women (45-74years)
recruited from 1993 to 1998 in Singapore. One hundred cases with incident hip
fracture and 100 individually matched controls were randomly selected from 63,257
participants. Serum bone turnover biomarkers, namely bone alkaline phosphatase
(bone ALP), osteocalcin (OC), procollagen type I N propeptide (PINP), N-terminal
and C-terminal crosslinking telopeptide of type I collagen (NTX-I and CTX-I) were
measured using immunoassays. Hip fracture cases had significantly higher serum
levels of OC, PINP, CTX-I and NTX-I than controls (p<0.05). There was a dose
dependent positive relationship between OC, PINP, CTX-I and NTX-I and risk of hip
fracture (all Ps for trend<=0.006), where the risk was significantly increased by
4.32-8.23 folds for the respective BTM [Quartile (Q) 4 vs. Q1]. The odds ratio
[OR (95% CI)] at the highest quartile (Q4) was 6.63 (2.02-21.18) for PINP and
4.92 (1.67-14.51) for CTX-I. The joint effect of PINP and CTX-I showed a 7-fold
increase in risk (OR: 7.36; 95% CI: 2.53-21.41) comparing participants with
higher levels of PINP (Q4) and CTX-I (Q3-Q4) to those with low levels of PINP (Q1
Q3) and CTX-I (Q1-Q2). Our data demonstrated that higher serum levels of bone
turnover biomarkers were associated with increased risk of hip fracture in an
Asian population.
PMID- 26555637
TI - CCN4/WISP-1 positively regulates chondrogenesis by controlling TGF-beta3
function.
AB - The CCN family of proteins plays important roles in development and homeostasis
of bone and cartilage. To understand the role of CCN4 in chondrogenesis, human
bone marrow stromal cells (hBMSCs) were transduced with CCN4 adenovirus (adCCN4)
or siRNA to CCN4 (siCCN4) in the presence or absence of transforming growth
factor-beta3 (TGF-beta3). Overexpression of CCN4 enhanced TGF-beta3-induced
SMAD2/3 phosphorylation and chondrogenesis of hBMSCs in an in vitro assay using a
micromass culture model. On the other hand, knockdown of CCN4 inhibited the TGF
beta3-induced SMAD2/3 phosphorylation and synthesis of cartilage matrix in
micromass cultures of hBMSCs. Immunoprecipitation-western blot analysis revealed
that CCN4 bound to TGF-beta3 and regulated the ability of TGF-beta3 to bind to
hBMSCs. In vivo analysis confirmed there was a significant decrease in the gene
expression levels of chondrocyte markers in cartilage samples from Ccn4-knock out
(KO) mice, compared to those from wild type (WT) control. In order to investigate
the regenerative properties of the articular cartilage in Ccn4-KO mice, articular
cartilage defects were surgically performed in the knee joints of young mice, and
the results showed that the cartilage was partially repaired in WT mice, but not
in Ccn4-KO mice. In conclusion, these results show, for the first time, that CCN4
has a positive influence on chondrogenic differentiation by modulating the
effects of TGF-beta3.
PMID- 26555639
TI - Developmental trajectories and milestones of lesbian, gay, and bisexual young
people.
AB - Lesbian, gay, and bisexual (LGB) young people experience a variety of
developmental trajectories that consist of milestones, the sequence and timing of
which differ across individuals. They include early feelings of being different
from peers, the onset of same-sex attraction, questioning one's sexuality, first
same-sex sexual experience, recognition and self-labelling, disclosure to others,
first romantic relationship, and self-acceptance. The invention of 'gay youth'
during the 1970s and 1980s is briefly reviewed with an emphasis on the ways in
which the portrait created by early research fails to capture the developmental
trajectories of millennial young people. Although some young people struggle with
mental health problems as they navigate these milestones, research documents the
complexity, variety, and normative nature of the vast majority of LGB young
people. A growing chorus of developmental, behavioural, and social scientists now
emphasize that many contemporary young people forego sexual confusion, recognize
the sex or gender to which they are attracted to and love, and believe they are
as mentally healthy as heterosexual young people.
PMID- 26555638
TI - Crosstalk between RyR2 oxidation and phosphorylation contributes to cardiac
dysfunction in mice with Duchenne muscular dystrophy.
AB - BACKGROUND: Patients with Duchenne muscular dystrophy (DMD) are at risk of
developing cardiomyopathy and cardiac arrhythmias. Studies in a mouse model of
DMD revealed that enhanced sarcoplasmic reticulum (SR) Ca(2+) leak contributes to
the pathogenesis of cardiac dysfunction. In view of recent data suggesting the
involvement of altered phosphorylation and oxidation of the cardiac ryanodine
receptor (RyR2)/Ca(2+) release channel, we hypothesized that inhibition of RyR2
phosphorylation in a mouse model of DMD can prevent SR Ca(2+) leak by reducing
RyR2 oxidation. METHODS AND RESULTS: Confocal Ca(2+) imaging and single RyR2
channel recordings revealed that both inhibition of S2808 or S2814
phosphorylation, and inhibition of oxidation could normalize RyR2 activity in mdx
mice. Moreover, Western blotting revealed that genetic inhibition of RyR2
phosphorylation at S2808 or S2814 reduced RyR2 oxidation. Production of reactive
oxygen species (ROS) in myocytes from mdx mice was reduced by both inhibition of
RyR2 phosphorylation or the ROS scavenger 2-mercaptopropionyl glycine (MPG).
Finally, it was shown that ROS production in mdx mice is proportional to the
activity of RyR2-mediated SR Ca(2+) leak, and likely generated by Nox2.
CONCLUSIONS: Increased ROS production in the hearts of mdx mice drives the
progression of cardiac dysfunction. Inhibition of RyR2 phosphorylation can
suppress SR Ca(2+) leak in mdx mouse hearts in part by reducing RyR2 oxidation.
PMID- 26555640
TI - Measuring sun exposure in epidemiological studies: Matching the method to the
research question.
AB - Sun exposure has risks and benefits for health. Testing these associations
requires tools for measuring sun exposure that are feasible and relevant to the
time-course of the health outcome. Recent sun exposure, e.g. the last week, is
best captured by dosimeters and sun diaries. These can also be used for medium
term sun exposure e.g. over several weeks, but incur a high participant burden.
Self-reported data on "typical time outdoors" for working and non-working days,
is less detailed and not influenced by day-to-day variation. Over a longer
period, e.g. the lifetime, or for particular life stages, proxies of sun
exposure, such as latitude of residence or ambient ultraviolet (UV) radiation
levels (from satellites or ground-level monitoring) can be used, with additional
detail provided by lifetime sun exposure calendars that include locations of
residence, usual time outdoors, and detail of sunburn episodes. Objective
measures of lifetime sun exposure include microtopography of sun-exposed skin
(e.g. using silicone casts) or conjunctival UV autofluorescence. Potential
modifiers of the association between sun exposure and the health outcome, such as
clothing coverage and skin colour, may also need to be measured. We provide a
systematic approach to selecting sun exposure measures for use in epidemiological
health research.
PMID- 26555641
TI - Spectroscopic and molecular docking studies of binding interaction of gefitinib,
lapatinib and sunitinib with bovine serum albumin (BSA).
AB - The binding interactions of three kinds of tyrosine kinase inhibitors (TKIs),
such as gefitinib, lapatinib and sunitinib, with bovine serum albumin (BSA) were
studied using ultraviolet spectrophotometry, fluorescence spectroscopy, circular
dichroism (CD), Fourier transform infrared spectroscopy (FT-IR) and molecular
docking methods. The experimental results showed that the intrinsic fluorescence
quenching of BSA induced by the three TKIs resulted from the formation of stable
TKIs-BSA complexes through the binding interaction of TKIs with BSA. The
stoichiometry of three stable TKIs-BSA complexes was 1:1 and the binding
constants (Kb) of the three TKIs-BSA complexes were in the order of 10(4)M(-1) at
310 K, indicating that there was a strong binding interaction of the three TKIs
with BSA. Based on the analysis of the signs and magnitudes of the free energy
change (DeltaG(0)), enthalpic change (DeltaH(0)) and entropic change (DeltaS(0))
in the binding process, it can be deduced that the binding process of the three
TKIs with BSA was spontaneous and enthalpy-driven process, and the main
interaction forces between the three TKIs and BSA were van der Waals force and
hydrogen bonding interaction. Moreover, from the results of CD, FT-IR and
molecular docking, it can be concluded that there was a significant difference
between the three TKIs in the binding site on BSA, lapatinib was located on site
II (m) of BSA while gefitinib and sunitinib were bound on site I of BSA, and
there were some changes in the BSA conformation when binding three TKIs to BSA
but BSA still retains its secondary structure alpha-helicity.
PMID- 26555642
TI - Sequence specific recognition of ssDNA by fluorophore 3-hydroxyflavone.
AB - A fully water soluble 3-hydroxyflavone (3HF) derivative, N-(3-hydroxy-4'
flavonyl)-N,N,N-trimethylammonium sulfate (3HFNMe3) was synthesized.
Investigation of its emissions at varying wavelengths revealed that it had three
emission bands of normal (N(*)), anionic (A(*)) and tautomeric (T(*)), in
ultrapure water. Recognition of single-stranded ten ssDNA chains, having
different nucleotide sequences was studied, using the ratiometric change of the
intensities of the two bands (A(*)/T(*)), depending upon the varying environment
of the 3HFNMe3 with different ssDNA chains. Addition of the ssDNA chains to the
3HFNMe3 solution caused gradual quenching of the A(*) band and had almost no
effect on the T(*) band. As the ratios of the two bands (A(*)/T(*)) vs increasing
amount of the ssDNAs generated characteristic curves for each ssDNA chain, it
became possible to identify the chains with their characteristic curves.
PMID- 26555643
TI - Adsorption of porphyrin and carminic acid on TiO2 nanoparticles: A photo-active
nano-hybrid material for hybrid bulk heterojunction solar cells.
AB - A photo-active nano-hybrid material consisting of titania nanoparticles, carminic
acid, and sulphonic acid functionalized porphyrin is reported here. In an attempt
to extend the absorption spectrum of titania to visible region by co-adsorbing
carminic acid and sulphonic acid functionalized porphyrin on its surface.
Interesting changes in the UV-visible and fluorescence spectra were noticed. The
adsorption of carminic acid resulted in the formation of charge transfer complex
with titania nanoparticles. This was confirmed by the electronic absorption and
fluorescence emission spectroscopies. Chemisorption of porphyrin on the carminic
acid functionalized titania further boosted the charge transfer effect. This was
noticed by the increase in intensity and width of the charge transfer absorption
and emission bands. Energy level diagram showed that the interaction among the
constituents of the nano-hybrid assembly permitted the flow of electron in a
cascade manner from carminic acid to TiO2.This also allowed direct flow of
electrons either from carminic acid or porphyrin toward titania. The material was
used as an active blend in hybrid bulk heterojunction solar cells. Co
functionalized TiO2-based devices were found 3.5 times more efficient than the
reference device but morphology of the device proved a major setback.
PMID- 26555644
TI - Bench-scale evaluation of water disinfection by visible-to-UVC upconversion under
high-intensity irradiation.
AB - The feasibility of applying visible-to-UVC upconversion (UC) luminescence to
enhance the kinetics of solar water disinfection was evaluated using
Lu7O5F9:Pr(3+) ceramics incorporated into a solar reactor containing E. coli
suspensions. Inactivation was assessed in batch conditions using both laser and
lens-concentrated sunlight excitation conditions. Under 840-mW argon laser
excitation, the UC efficiency was estimated to be 1 order of magnitude greater
than previously reported under lamp excitation and UVC emitted by the reactors
resulted in 3.6-log inactivation in 20 min. However, experiments using ~1500 mW
of concentrated natural sunlight showed no additional inactivation that could be
attributed to UC within the timescale studied. Due to the fundamental and
practical limitations of solar focusing, the optical concentration ratio employed
herein prevented the excitation beam from achieving the power densities required
to attain UC efficiencies comparable to the laser experiments. We also observed
that the high intensity of both the laser and sunlight induced rapid
photoreactivation by the bacteria, which detracted from net disinfection
performance. The results suggest that current UC materials perform inadequately
for environmental application; nonetheless, valuable qualitative and quantitative
insight was gained that more explicitly defines materials development goals and
considerations for application of UC to environmental technology.
PMID- 26555646
TI - Fourier Transform Raman and Statistical Analysis of Thermally Altered Samples of
Amber.
AB - We report the experimental results that refer to a Fourier transform Raman (FT
Raman) survey of thermally altered Baltic and Romanian amber and the related
statistical interpretation of data using principal component analysis (PCA).
Although FT-Raman spectra show several small changes in the characteristic
features of the investigated amber samples which may be used for discrimination,
their visual recognition is relatively difficult, especially when interpreting
data from archeological samples, and thus multivariate data analysis may be the
solution to more accurately assign the geological origin based on overall
characteristic spectral features. The two categories of amber have different
behavior in terms of degradation during the experimental alteration, and Romanian
amber is more susceptible to physico-chemical transformations by the aggressive
environment when compared with Baltic amber. The obtained data were in accordance
with the Fourier transform infrared (FT-IR) remarks published previously in a
dedicated journal. The Raman technique is an alternative method that requires
little to no sample preparation, water does not cause interference, and the
spectra can be collected from a small volume (1-50 MUm in diameter).
PMID- 26555645
TI - Mutation screening of SCN2A in schizophrenia and identification of a novel loss
of-function mutation.
AB - OBJECTIVES: There is a growing body of evidence suggesting a shared genetic
susceptibility between many neuropsychiatric disorders, including schizophrenia,
autism, intellectual disability (ID) and epilepsy. The sodium channel, voltage
gated type II alpha subunit gene SCN2A has been shown to exhibit loss-of-function
(LoF) mutations in individuals with seizure disorders, ID, autism and
schizophrenia. The role of LoF mutations in schizophrenia is still uncertain with
only one such mutation identified to date. METHODS: To seek additional evidence
for a role for LoF mutations at SCN2A in schizophrenia we performed mutation
screening of the entire coding sequence in 980 schizophrenia cases. Given an
absence of LoF mutations in a public exome cohort (ESP6500, N=6503), we did not
additionally sequence controls. RESULTS: We identified a novel, nonsense (i.e.
stop codon) mutation in one case (E169X) that is absent in 4300 European-American
and 2203 African-American individuals from the NHLBI Exome Sequencing Project.
This is the second LoF allele identified in a schizophrenia case to date. We also
show a novel, missense variant, V1282F, that occurs in two cases and is absent in
the control dataset. CONCLUSION: We argue that very rare, LoF mutations at SCN2A
act in a moderately penetrant manner to increase the risk of developing several
neuropsychiatric disorders including seizure disorders, ID, autism and
schizophrenia.
PMID- 26555647
TI - Depletion of regulatory T-cells leads to moderate B-cell antigenicity in
respiratory syncytial virus infection.
AB - OBJECTIVES: The regulation of the immunopathology of respiratory syncytial virus
(RSV) by regulatory T-cells (CD4(+)CD25(+)Foxp3(+); Tregs) is not understood.
METHODS: To deduce the same, Tregs were depleted in BALB/c mice by injecting anti
CD25 antibody followed by RSV infection (anti-CD25-RSV mice). RESULTS: In this
model, a decrease in anti-fusion (F) antibody and neutralizing activity, and an
increase in anti-nucleocapsid (N) antibody in serum, were seen. Decreased
antibody-dependent cell-mediated cytotoxicity (ADCC) activity, increased IgG2a,
and an influx of activated CD8(+) T-cells into the lungs were also observed. Co
culture of splenic CD45RA(+) B-cells from RSV-infected normal mice with CD4(+)
cells isolated from anti-CD25-RSV mice (B/CD4) increased anti-F antibody
secretion. The inclusion of CD25(+) Tregs isolated from isotype Ig-RSV mice into
the B/CD4 co-culture substantially enhanced the frequency of anti-F antibody
production. However, the same effect was not seen in the co-culture of CD45RA(+)
B-cells with dendritic cells (DCs) (B/DCs) or CD8(+) cells (B/CD8) that were
obtained from anti-CD25-RSV mice. The transfer of enriched B-cells from anti-CD25
RSV mice into RSV-infected SCID mice increased severe lung inflammation
associated with the increased viral load and eosinophil number. CONCLUSIONS:
These results indicate that Tregs modulate B-cell activity, particularly in
producing F-specific neutralizing antibodies, to regulate RSV-mediated
exacerbated diseases.
PMID- 26555649
TI - Genotypic assessment of drug-resistant tuberculosis in Baghdad and other Iraqi
provinces using low-cost and low-density DNA microarrays.
AB - We report on a molecular investigation carried out to ascertain the prevalence of
drug-resistant tuberculosis (TB) and the specific gene mutations responsible for
resistance to rifampicin (RIF) and/or isoniazid (INH) in Iraq. In total, 110
clinical isolates from category II TB cases from Baghdad (58%) and several Iraqi
provinces (42%) were analysed using colorimetric, low-cost and low-density (LCD)
microarrays (MYCO-Direct and MYCO-Resist LCD array kits) to identify the point
mutations responsible for resistance in Mycobacterium tuberculosis isolates. We
found 76 patients (69.1%) had resistant strains, of which 40 (36%) were multidrug
resistant (MDR)-TB. Where mono-resistance was identified, it was found to be
predominantly to RIF (83%). The most common mutations were rpoB S531L (50%), inhA
C15T (25%) and katG S315T (15%). The most common MDR-TB genotypes were rpoB S531L
with inhA C15T (60%) and rpoB S531L with katG S315T (20%). Where phenotypic
analysis of clinical isolates was also performed, genotypic data were found to
show excellent correlation with phenotypic results. Correlation was found between
the MYCO-Resist LCD array and GenoType MTBDRplus for detection of resistance to
RIF. Our study shows MDR-TB in 36% of category II TB cases in Baghdad and
surrounding Iraqi provinces, which reflects the World Health Organization
findings based on phenotypic studies. Diagnosis of TB and MDR-TB using culture
based tests is a significant impediment to global TB control. The LCD arrays
investigated herein are easy to use, sensitive and specific molecular tools for
TB resistance profiling in resource-limited laboratory settings.
PMID- 26555648
TI - Genetic linkage of hyperglycemia and dyslipidemia in an intercross between
BALB/cJ and SM/J Apoe-deficient mouse strains.
AB - BACKGROUND: Individuals with dyslipidemia often develop type 2 diabetes, and
diabetic patients often have dyslipidemia. It remains to be determined whether
there are genetic connections between the 2 disorders. METHODS: A female F2
cohort, generated from BALB/cJ (BALB) and SM/J (SM) Apoe-deficient (Apoe(-/-))
strains, was started on a Western diet at 6 weeks of age and maintained on the
diet for 12 weeks. Fasting plasma glucose and lipid levels were measured before
and after 12 weeks of Western diet. 144 genetic markers across the entire genome
were used for quantitative trait locus (QTL) analysis. RESULTS: One significant
QTL on chromosome 9, named Bglu17 [26.4 cM, logarithm of odds ratio (LOD): 5.4],
and 3 suggestive QTLs were identified for fasting glucose levels. The suggestive
QTL near the proximal end of chromosome 9 (2.4 cM, LOD: 3.12) was replicated at
both time points and named Bglu16. Bglu17 coincided with a significant QTL for
HDL (high-density lipoprotein) and a suggestive QTL for non-HDL cholesterol
levels. Plasma glucose levels were inversely correlated with HDL but positively
correlated with non-HDL cholesterol levels in F2 mice on either chow or Western
diet. A significant correlation between fasting glucose and triglyceride levels
was also observed on the Western diet. Haplotype analysis revealed that "lipid
genes" Sik3, Apoa1, and Apoc3 were probable candidates for Bglu17. CONCLUSIONS:
We have identified multiple QTLs for fasting glucose and lipid levels. The
colocalization of QTLs for both phenotypes and the sharing of potential candidate
genes demonstrate genetic connections between dyslipidemia and type 2 diabetes.
PMID- 26555650
TI - Statistical facilitation and the redundant signals effect: What are race and
coactivation models?
AB - As a supplement to Gondan and Minakata's (2015) tutorial on methods for testing
the race model inequality, this theoretical note attempts to clarify further (a)
the types of models that obey and violate the inequality and (b) the conclusions
that can be drawn when the inequality is violated. In particular, the idea that
individual racers proceed at the same speed in the single and redundant
conditions (also known as "context independence") is shown to be better
understood as an inherent part of Raab's (1962) original race model than as a
separate, additional assumption. Thus, evidence that individual racers proceeded
at different speeds in the single and redundant conditions, if available, should
be viewed as supporting one type of coactivation model rather than an alternative
model. In addition, it is shown that a class of race-like models without the
assumption of context independence is so broad that it can never be falsified.
PMID- 26555651
TI - No causal link between changes in hand position sense and feeling of limb
ownership in the rubber hand illusion.
AB - The rubber hand illusion is a perceptual illusion in which participants
experience an inanimate rubber hand as belonging to their own body. The illusion
is elicited by synchronously stroking the rubber hand and the participant's real
hand, which is hidden from sight. The feeling of owning the rubber hand is
accompanied by changes in hand position sense (proprioception), so that when
participants are asked to indicate the location of their (unseen) hand, they
indicate that it is located closer to the rubber hand. This "proprioceptive
drift" is the most widely used objective measure of the rubber hand illusion, and
from a theoretical perspective, it suggests a close link between proprioception
and the feeling of body ownership. However, the critical question of whether a
causal relationship exists between changes in hand position sense and changes in
limb ownership is unknown. Here we addressed this question by devising a novel
setup that allowed us to mechanically manipulate the position of the
participant's hand without the participant noticing, while the rubber hand
illusion was being elicited. Our results showed that changing the sensed position
closer to or farther away from the rubber hand did not change the strength of the
rubber hand illusion. Thus, the illusion is not dependent on changes in hand
position sense. This finding supports models of body ownership and central body
representation that hold that proprioceptive drift and the subjective illusion
are related to different central processes.
PMID- 26555652
TI - Pitching people with an inversion table: Estimates of body orientation are tipped
as much as those of visual surfaces.
AB - In the current work we investigate people's perception of their own body tilt in
the pitch direction. In Experiment 1, we tilted people backward at 1 of 5
different randomly assigned angles using an inversion table. People significantly
overestimated the angle at which they were tilted backward at angles from 8
degrees to 45 degrees . The slope of the plotted average overestimates had a
gain of 1.46, fitting nicely with previously reported gains of verbal
overestimates of visually perceived slant of natural outdoor geographically
oriented slopes as well as man-made wooden slopes within and outside of reach in
the laboratory. In Experiment 2, we showed participants a 45 degrees line and
asked them to indicate when they were positioned at that orientation.
Participants again significantly overestimated the angle at which they were
tilted backward. This extends work showing that a scale-expanded theory of visual
space is multisensory, results in equivalent estimates for both verbal and
nonverbal/nonnumeric methods, and can now be expanded to include the perceived
orientation of one's own body.
PMID- 26555653
TI - An Efficient Labelling Approach to Harness Backbone and Side-Chain Protons in (1)
H-Detected Solid-State NMR Spectroscopy.
AB - (1) H-detection can greatly improve spectral sensitivity in biological solid
state NMR (ssNMR), thus allowing the study of larger and more complex proteins.
However, the general requirement to perdeuterate proteins critically curtails the
potential of (1) H-detection by the loss of aliphatic side-chain protons, which
are important probes for protein structure and function. Introduced herein is a
labelling scheme for (1) H-detected ssNMR, and it gives high quality spectra for
both side-chain and backbone protons, and allows quantitative assignments and
aids in probing interresidual contacts. Excellent (1) H resolution in membrane
proteins is obtained, the topology and dynamics of an ion channel were studied.
This labelling scheme will open new avenues for the study of challenging proteins
by ssNMR.
PMID- 26555654
TI - One stage surgical treatment of aortic valve disease and aortic coarctation with
aortic bypass grafting through the diaphragm and aortic valve replacement.
AB - OBJECTIVES: To validate ascending aorta-lower abdominal aorta bypass grafting
treatment for patients with descending aortic coarctation and an aortic valve
disease. METHODS: The three patients in whom a descending atypical aortic
coarctation was associated with an aortic valve disease were treated with one
stage surgical treatment with aortic bypass grafting through the diaphragm and
aortic valve replacement in our heart center. Operative technique consisted of
performing ascending aorta-lower abdominal aorta bypass grafting through
diaphragm muscle and implementing aortic valve replacement. The mean time for
extracorporeal circulation and occluding clamp of aorta was recorded. Blood
pressure data for pre- and post-operation was measured in the limbs. Computer
enhanced transvenous angiograms of pre- and post-operation were applied for
detection of aortic stenosis. The other adverse events were noticed in outpatient
service during a follow-up period. RESULTS: The mean extracorporeal circulation
time was 54 +/- 11 min. The mean time for occluding clamp of aorta was 34 +/- 6
min. An arterial pressure gradient was totally corrected after surgical
treatment. Post-operation computer-enhanced transvenous angiograms showed the
grafts to be open with a fluent flow. The patients had no gastrointestinal tract
complications. No adverse event was noticed during a follow-up period in
outpatient service. CONCLUSIONS: Treatment of ascending aorta-lower abdominal
aorta bypass is advisable for patients with descending aortic coarctation and an
aortic valve disease.
PMID- 26555656
TI - [Not Available].
PMID- 26555655
TI - Impact of Treatment With Biologic Agents on the Use of Mechanical Devices Among
Rheumatoid Arthritis Patients in a Large US Patient Registry.
AB - OBJECTIVE: To assess trends and predictors of mechanical devices/aids use by
rheumatoid arthritis (RA) patients since the introduction of biologic disease
modifying antirheumatic drugs (DMARDs). METHODS: Sociodemographic
characteristics, disease characteristics, and mechanical aid use (assessed using
the Health Assessment Questionnaire) were compared among RA patients ages >17
years at diagnosis, enrolled in the Consortium of Rheumatology Researchers of
North America (CORRONA) registry during January 2001 to December 2003 and January
2010 to December 2012. Univariate and multivariate logistic regression analyses
were used to identify predictors of mechanical aid use among patients in both
cohorts. RESULTS: Sociodemographic characteristics were similar between 1,096
patients in the 2001-2003 cohort and 11,140 patients in the 2010-2012 cohort.
Disease activity was significantly lower among patients in the 2010-2012 cohort
(mean +/- SD Clinical Disease Activity Index score 10.1 +/- 11.1 versus 17.0 +/-
13.8; P < 0.001). A greater proportion of patients in the 2010-2012 cohort
received biologic DMARDs (50.7% versus 32.5%; P < 0.001) and fewer were biologic
naive (39.1% versus 61.6%; P < 0.001). Fewer patients in the 2010-2012 cohort
used any mechanical devices/aids (31.1% versus 40.8%; P < 0.001). In multivariate
analysis, patients in the 2010-2012 cohort and those with a history of biologic
agent use were less likely to use devices/aids (odds ratio [OR] 0.77 [95%
confidence interval (95% CI) 0.66-0.90] and OR 0.68 [95% CI 0.62-0.75],
respectively). Predictors of greater devices/aids usage included older age,
female sex, higher disease activity, and less employment. Effect sizes were
greatest for disease activity and employment. CONCLUSION: Mechanical devices/aids
use among patients with RA was significantly lower during 2010-2012 versus 2001
2003 and among biologic-experienced patients, suggesting reduced disability.
PMID- 26555657
TI - [The "JOINT specialist training scholarship" of the German Society for
Rheumatology foundation: A success story].
PMID- 26555658
TI - [Conservative local therapy of rheumatological diseases].
PMID- 26555659
TI - [Indications and contraindications for radiosynoviorthesis].
AB - BACKGROUND: Radiosynoviorthesis (RSO) provides a simple method for the treatment
of patients with chronic synovitis and has only few side effects. OBJECTIVES:
Evidence-based indications and contraindications for performing RSO based on the
current literature are presented. MATERIAL AND METHODS: Published information on
the indications and contraindications for performing RSO in chronic synovitis
were analyzed and summarized. RESULTS: According to the guideline recommendations
of the German Society of Rheumatology indications for RSO are given in patients
with rheumatoid arthritis, seronegative spondyloarthropathy, crystal arthropathy,
villonodular synovitis and hemophilia with recurrent joint bleeding.
Osteoarthritis with documented reactive synovitis is also regarded as an
indication in the guidelines of the nuclear medicine societies. The European
League Against Rheumatism (EULAR) and the German Society of Rheumatology (DGRh)
have given no recommendations for using RSO in osteoarthritis. Given the correct
indications RSO shows high success rates. CONCLUSION: The effects of RSO with the
named secondary side effects last on average for 5 years. Crucial for the success
of RSO are the correct indications, the correct timing and combination with other
therapeutic procedures, such as surgical synovectomy.
PMID- 26555660
TI - [Operative treatment of the rheumatic shoulder].
AB - The duration and severity of rheumatic diseases of the shoulder correlate with
symptom frequency, structural changes and associated functional limitations. The
multifactorial character of the underlying rheumatic disease requires a
multimodal therapeutic concept including interaction of surgical and non-surgical
disciplines. In addition to basic systemic anti-inflammatory medication,
injections targeting the synovial tissue by corticoid instillation and
glenohumeral radiosynoviorthesis (with an intact rotator cuff) are further
options. Operative interventions on rheumatic shoulders can be characterized as
disease-modifying, protective, reconstructive or palliative, depending on the
stage. Combining minimally invasive arthroscopic surgical techniques with modern
basic therapy has the potential to shift the indications for operative
interventions towards an earlier stage of disease without favoring or propagating
structural alterations which have already occurred. In cases of severe joint
destruction with loss of the rotator cuff, reverse shoulder arthroplasty can be
an appropriate option.
PMID- 26555663
TI - Stevens-Johnson syndrome and toxic epidermal necrolysis: an update on
pharmacogenetics studies in drug-induced severe skin reaction.
AB - Stevens-Johnson syndrome and toxic epidermal necrolysis are severe, life
threatening drug reactions involving skin and membranes mucous, which are
associated with significant morbidity and mortality and triggered, especially by
drug exposure. Different studies have demonstrated that drug response is a
multifactorial character and that the interindividual variability in this
response depends on both environmental and genetic factors. The last ones have a
relevant significance. In fact, the identification of new specific genetic
markers involved in the response to drugs, will be of great utility to establish
a more personalized therapeutic approach and to prevent the appearance of these
adverse reactions. In this review, we summarize recent progresses in the
Pharmacogenetics studies related to Stevens-Johnson syndrome/toxic epidermal
necrolysis reporting the major genetic factors identified in the last years as
associated with the disease and highlighting the use of some of these genomic
variants in the clinical practice.
PMID- 26555665
TI - Local, Controlled Delivery of Local Anesthetics In Vivo from Polymer - Xerogel
Composites.
AB - PURPOSE: Polymer-xerogel composite materials have been introduced to better
optimize local anesthetics release kinetics for the pain management. In a
previous study, it was shown that by adjusting various compositional and nano
structural properties of both inorganic xerogels and polymers, zero-order release
kinetics over 7 days can be achieved in vitro. In this study, in vitro release
properties are confirmed in vivo using a model that tests for actual
functionality of the released local anesthetics. METHODS: Composite materials
made with tyrosine-polyethylene glycol(PEG)-derived poly(ether carbonate)
copolymers and silica-based sol-gel (xerogel) were synthesized. The in vivo
release from the composite controlled release materials was demonstrated by local
anesthetics delivery in a rat incisional pain model. RESULTS: The tactile
allodynia resulting from incision was significantly attenuated in rats receiving
drug-containing composites compared with the control and sham groups for the
duration during which natural healing had not yet taken place. The concentration
of drug (bupivacaine) in blood is dose dependent and maintained stable up to 120
h post-surgery, the longest time point measured. CONCLUSIONS: These in vivo
studies show that polymer-xerogel composite materials with controlled release
properties represent a promising class of controlled release materials for pain
management.
PMID- 26555664
TI - Tetracyclines in malaria.
AB - Malaria, a parasite vector-borne disease, is one of the greatest health threats
in tropical regions, despite the availability of malaria chemoprophylaxis. The
emergence and rapid extension of Plasmodium falciparum resistance to various anti
malarial drugs has gradually limited the number of potential malaria therapeutics
available to clinicians. In this context, doxycycline, a synthetically derived
tetracycline, constitutes an interesting alternative for malaria treatment and
prophylaxis. Doxycycline is a slow-acting blood schizontocidal agent that is
highly effective at preventing malaria. In areas with chloroquine and multidrug
resistant P. falciparum parasites, doxycycline has already been successfully used
in combination with quinine to treat malaria, and it has been proven to be
effective and well-tolerated. Although not recommended for pregnant women and
children younger than 8 years of age, severe adverse effects are rarely reported.
In addition, resistance to doxycycline is rarely described. Prophylactic and
clinical failures of doxycycline have been associated with both inadequate doses
and poor patient compliance. The effects of tetracyclines on parasites are not
completely understood. A better comprehension of the mechanisms underlying drug
resistance would facilitate the identification of molecular markers of resistance
to predict and survey the emergence of resistance.
PMID- 26555666
TI - Model-Based Optimisation of Deferoxamine Chelation Therapy.
AB - PURPOSE: Here we show how a model-based approach may be used to provide further
insight into the role of clinical and demographic covariates on the progression
of iron overload. The therapeutic effect of deferoxamine is used to illustrate
the application of disease modelling as a means to characterising treatment
response in individual patients. METHODS: Serum ferritin, demographic
characteristics and individual treatment data from clinical routine practice on
27 patients affected by beta-thalassaemia major were used for the purposes of
this analysis. The time course of serum ferritin was described by a hierarchical
nonlinear mixed effects model, in which compliance was parameterised as a
covariate factor. Modelling and simulation procedures were implemented in NONMEM
(7.2.0). RESULTS: A turnover model best described serum ferritin changes over
time, with the effect of blood transfusions introduced on the ferritin conversion
rate and the effect of deferoxamine on the elimination parameter (Kout) in a
proportional manner. The results of the simulations showed that poor quality of
execution is preferable over drug holidays; and that independently of the
compliance pattern, the therapeutic intervention is not effective if >60% of the
doses are missed. CONCLUSIONS: Modelling of ferritin response enables
characterisation of the dynamics of iron overload due to chronic transfusion. The
approach can be used to support decision making in clinical practice, including
personalisation of the dose for existing and novel chelating agents.
PMID- 26555667
TI - Correcting the Relative Bias of Light Obscuration and Flow Imaging Particle
Counters.
AB - PURPOSE: Industry and regulatory bodies desire more accurate methods for counting
and characterizing particles. Measurements of proteinaceous-particle
concentrations by light obscuration and flow imaging can differ by factors of ten
or more. METHODS: We propose methods to correct the diameters reported by light
obscuration and flow imaging instruments. For light obscuration, diameters were
rescaled based on characterization of the refractive index of typical particles
and a light scattering model for the extinction efficiency factor. The light
obscuration models are applicable for either homogeneous materials (e.g.,
silicone oil) or for chemically homogeneous, but spatially non-uniform aggregates
(e.g., protein aggregates). For flow imaging, the method relied on calibration of
the instrument with silica beads suspended in water-glycerol mixtures. RESULTS:
These methods were applied to a silicone-oil droplet suspension and four particle
suspensions containing particles produced from heat stressed and agitated human
serum albumin, agitated polyclonal immunoglobulin, and abraded ethylene
tetrafluoroethylene polymer. All suspensions were measured by two flow imaging
and one light obscuration apparatus. Prior to correction, results from the three
instruments disagreed by a factor ranging from 3.1 to 48 in particle
concentration over the size range from 2 to 20 MUm. Bias corrections reduced the
disagreement from an average factor of 14 down to an average factor of 1.5.
CONCLUSIONS: The methods presented show promise in reducing the relative bias
between light obscuration and flow imaging.
PMID- 26555668
TI - From palliative to curative treatment - stage IV mucinous adenocarcinoma,
successfully treated with metronomic capecitabine in combination with Bevacizumab
and surgery- a case report.
AB - BACKGROUND: Mucinous adenocarcinoma (MAC) represents 6-19 % of all colorectal
carcinoma. It is associated with poorer response to chemotherapy and
chemoradiotherapy. CASE PRESENTATION: A 27-year-old Swedish woman presented with
stomach pain and weight loss, and was diagnosed with locally advanced MAC in the
transverse colon as well as 3 liver metastases. Neoadjuvant treatment with
fluorouracil, folinic acid and oxaliplatin (FLOX) failed due to several
infections, pulmonary embolism and deteriorated performance status. The patient
was therefore considered palliative. Palliative treatment with metronomic
capecitabine 500 mg * 2 daily and bevacizumab every other week were initiated.
After 4 months of treatment the tumors had regressed and the patient was able to
undergo radical surgery, thereby changing the treatment intention from palliative
to curative. No adjuvant chemotherapy was given. There were no signs of
recurrence 9 months later. CONCLUSIONS: The role of the combination of metronomic
capecitabine and bevacizumab in patients with MAC merits further investigation.
PMID- 26555669
TI - Dietary licorice root supplementation reduces diet-induced weight gain, lipid
deposition, and hepatic steatosis in ovariectomized mice without stimulating
reproductive tissues and mammary gland.
AB - SCOPE: We studied the impact of dietary supplementation with licorice root
components on diet-induced obesity, fat accumulation, and hepatic steatosis in
ovariectomized C57BL/6 mice as a menopause model. MATERIALS AND METHODS: We
evaluated the molecular and physiological effects of dietary licorice root
administered to ovariectomized C57BL/6 mice as root powder (LRP), extracts (LRE),
or isolated isoliquiritigenin (ILQ) on reproductive (uterus and mammary gland)
and nonreproductive tissues important in regulating metabolism (liver,
perigonadal, perirenal, mesenteric, and subcutaneous fat). Quantitative outcome
measures including body weight, fat distribution (magnetic resonance imaging),
food consumption, bone density and weight (Dual-energy X-ray absorptiometry), and
gene expression were assessed by the degree of restoration to the
preovariectomized health state. We characterized histological (H&E and oil red O
staining) and molecular properties (expression of certain disease markers) of
these tissues, and correlated these with metabolic phenotype as well as blood
levels of bioactives. CONCLUSION: Although LRE and ILQ provided some benefit, LRP
was the most effective in reducing body weight gain, overall fat deposition,
liver steatosis, and expression of hepatic lipid synthesis genes following
ovariectomy. Our data demonstrate that licorice root provided improvement of
multiple metabolic parameters under conditions of low estrogen and high-fat diets
without stimulating reproductive tissues.
PMID- 26555670
TI - Comparison of a traditional and non-traditional residential care facility for
persons living with dementia and the impact of the environment on occupational
engagement.
AB - BACKGROUND: Dementia residential facilities can be described as traditional or
non-traditional facilities. Non-traditional facilities aim to utilise principles
of environmental design to create a milieu that supports persons experiencing
cognitive decline. This study aimed to compare these two environments in rural
Australia, and their influence on residents' occupational engagement. METHODS:
The Residential Environment Impact Survey (REIS) was used and consists of: a walk
through of the facility; activity observation; interviews with residents and
employees. Thirteen residents were observed and four employees interviewed.
Resident interviews did not occur given the population diagnosis of moderate to
severe dementia. Descriptive data from the walk-through and activity observation
were analysed for potential opportunities of occupational engagement. Interviews
were thematically analysed to discern perception of occupational engagement of
residents within their facility. RESULTS: Both facilities provided opportunities
for occupational engagement. However, the non-traditional facility provided
additional opportunities through employee interactions and features of the
physical environment. Interviews revealed six themes: Comfortable environment;
roles and responsibilities; getting to know the resident; more stimulation can
elicit increased engagement; the home-like experience and environmental layout.
These themes coupled with the features of the environment provided insight into
the complexity of occupational engagement within this population. CONCLUSION:
This study emphasises the influence of the physical and social environment on
occupational engagement opportunities. A non-traditional dementia facility
maximises these opportunities and can support development of best-practice
guidelines within this population.
PMID- 26555671
TI - Research and Evaluations of the Health Aspects of Disasters, Part III: Framework
for the Temporal Phases of Disasters.
AB - Each of the elements described in the Conceptual Framework for disasters has a
temporal designation; each has a beginning and end time. The Temporal Framework
defines these elements as phases that are based on characteristics rather than on
absolute times. The six temporal phases include the: (1) Pre-event; (2) Event;
(3) Structural Damage; (4) Functional Damage (changes in levels of functions of
the Societal Systems); (5) Relief; and (6) Recovery phases. Development is not a
phase of a disaster. The use of the Temporal Framework in studying and reporting
disasters allows comparisons to be made between similar phases of different
disasters, regardless of the hazard involved and/or the community impacted. For
research and evaluation purposes, assessments, plans, and interventions must be
described in relation to the appropriate temporal phase.
PMID- 26555672
TI - Rmg8, a New Gene for Resistance to Triticum Isolates of Pyricularia oryzae in
Hexaploid Wheat.
AB - Blast, caused by Pyricularia oryzae, is one of the major diseases of wheat in
South America. We identified a new gene for resistance to Triticum isolates of P.
oryzae in common wheat 'S-615', and designated it "resistance to Magnaporthe
grisea 8" (Rmg8). Rmg8 was assigned to chromosome 2B through molecular mapping
with simple-sequence repeat markers. To identify an avirulence gene corresponding
to Rmg8, Triticum isolate Br48 (avirulent on S-615) was crossed with 200R29
(virulent on S-615), an F1 progeny derived from a cross between an Eleusine
isolate (MZ5-1-6) and Br48. Segregation analysis of their progeny revealed that
avirulence of Br48 on S-615 was conditioned by a single gene, which was
designated AVR-Rmg8. AVR-Rmg8 was closely linked to AVR-Rmg7, which corresponded
to Rmg7 located on chromosome 2A of tetraploid wheat.
PMID- 26555673
TI - Place and Response Learning in the Open-field Tower Maze.
AB - This protocol describes how the Open-field Tower Maze (OFTM) paradigm is used to
study spatial learning in rodents. This maze is especially useful for examining
how rats learn to use a place- or response-learning to successfully navigate in
an open-field arena. Additionally, this protocol describes how the OFTM differs
from other behavioral maze paradigms that are commonly used to study spatial
learning in rodents. The OFTM described in this article was adapted from the one
previously described by Cole, Clipperton, and Walt (2007). Specifically, the OFTM
was created to test spatial learning in rodents without the experimenter having
to consider how "stress" might play a role as a confounding variable. Experiments
have shown that stress-alone can significantly affect cognitive function(1). The
representative results section contains data from an experiment that used the
OFTM to examine the effects of estradiol treatment on place- and response
learning in adult female Sprague Dawley rats(2). Future studies will be designed
to examine the role of the hippocampus and striatum in place- and response
learning in the OFTM.
PMID- 26555674
TI - Compliance of psychotropic drug prescription with clinical practice guidelines in
older inpatients.
AB - Several practice guidelines were published by French regulatory agencies between
2006 and 2009 to improve psychotropic drug use in older patients. The objectives
of the study were to assess compliance with these guidelines in older patients
hospitalized in psychiatric units and to identify characteristics associated with
compliance. A cross-sectional study was conducted in 117 patients aged 65 years
and older hospitalized in two psychiatric departments of a public hospital, at
three dates randomly chosen between January and May 2014. Medical and
sociodemographic characteristics were collected from electronic medical records.
In all, 8% of psychotropic prescriptions were compliant with guidelines. A total
of 98% of antidepressant prescriptions complied with guidelines for product
selection (no tricyclics) and 72% for initial dosage (half of that recommended
for younger adults). Regarding benzodiazepines, short half-life drugs were chosen
in 73% of treatments, low maintenance dosage was found in 64% of treatments, and
a discontinuous administration rhythm was noted in 33% of treatments. Regarding
antipsychotics, initial dosage was a quarter of the allowed initial dosage for
younger adults in 39% of prescriptions and metabolic blood testing was performed
in 17% of prescriptions. Neurological and cognitive tolerance was monitored in
41% and 61% of prescriptions, respectively. Few clinical factors were found to be
associated with compliance or noncompliance with guidelines in older psychiatric
inpatients. Practice guidelines on psychotropic drug prescription were partially
respected in older inpatients. Practitioners should take into account the risks
associated with non-recommended patterns of psychotropic drug use in this
vulnerable population.
PMID- 26555675
TI - Efficacy of Donepezil for Cognitive Impairments in Familial Hypercholesterolemia:
Preclinical Proof of Concept.
PMID- 26555676
TI - Solid-State Dynamic Nuclear Polarization at 9.4 and 18.8 T from 100 K to Room
Temperature.
AB - Efficient dynamic nuclear polarization (DNP) in solids, which enables very high
sensitivity NMR experiments, is currently limited to temperatures of around 100 K
and below. Here we show how by choosing an adequate solvent, (1)H cross effect
DNP enhancements of over 80 can be obtained at 240 K. To achieve this we use the
biradical TEKPol dissolved in a glassy phase of ortho-terphenyl (OTP). We study
the solvent DNP enhancement of both TEKPol and BDPA in OTP in the range from 100
to 300 K at 9.4 and 18.8 T. Surprisingly, we find that the DNP enhancement
decreases only relatively slowly for temperatures below the glass transition of
OTP (Tg = 243 K), and (1)H enhancements around 15-20 at ambient temperature can
be observed. We use this to monitor molecular dynamic transitions in the
pharmaceutically relevant solids Ambroxol and Ibuprofen.
PMID- 26555678
TI - Correction: Towards the Personalized Treatment of Glioblastoma: Integrating
Patient-Specific Clinical Data in a Continuous Mechanical Model.
PMID- 26555677
TI - Varicella seroprevalence in healthcare workers in a tertiary hospital: an audit
of cross-sectional data.
AB - BACKGROUND: The seroprevalence of varicella in Southeast Asia is not well
described especially in healthcare workers (HCW) in the region. We report the
varicella seroprevalence among healthcare workers from a diverse range of
countries working in a tertiary care hospital in Singapore. METHODS: We audited
the results of annual HCW health screening, which included a varicella assay,
from the years 2009 to 2014. During this period, there was a change in hospital
policy mandating varicella immunity for all newly employed healthcare workers.
The serological data were reviewed with employment records on occupation and
nationality. Seroprevalence rates were determined by standard commercial enzyme
linked immunosorbent assays for each year of testing. Odds of being immune in
2014 were compared by means of multiple logistic regression. RESULTS: A total of
10,585 samples were obtained from 6668 unique individuals over four separate
cross-sections of the hospital workforce. A peak seroprevalence of 92.8 % (95 %
CI 92.0-93.5) was recorded in 2014. Younger employees had a lower seroprevalence
than their older colleagues. In a consolidated sample of 4875 members of the
active workforce in October 2014, we identified that Indian nationals were less
likely to be immune than their Singaporean national colleagues, odds ratio (OR)
0.26 (95 % CI 0.17-0.43, p < 0.001), while Chinese nationals were more likely to
be immune, OR 4.34 (95 % CI 1.61-12.2, p = 0.004), after controlling for year of
screening, gender, age-group and vocation. In 2014, being employed as
administrative staff, OR 0.43 (95 % CI 0.29-0.64, p < 0.001) or contract service
provider, OR 0.30 (95 % CI 0.19-0.47, p < 0.001), was also associated with a
lower odds of being immune than being employed as a nurse. CONCLUSIONS: There
remain a small number of healthcare workers who are non-immune to varicella in
our tertiary hospital. A new pre-employment policy of mandatory screening and
vaccination may have increased rates of immunity but more needs to be done to
ensure that all of our employees are immune to varicella to protect our
vulnerable patients.
PMID- 26555679
TI - Organocatalytic 1,4-Addition Reaction of 2-Formyl(thio)esters to Vinylketones: An
Efficient Access to Acyclic Chiral Building Blocks with a Quaternary Carbon
Stereocenter.
AB - 2-Formyl(thio)esters were utilized as pronucleophiles to obtain less-accessible
acyclic chiral building blocks bearing versatile functional groups on a
quaternary carbon atom for enantioselective 1,4-addition to vinylketones. To
achieve high enantioselectivity in the present 1,4-addition reaction, thiourea
tertiary amines containing a bulky chiral backbone were developed as catalysts,
and several derivatizations of the products were performed to demonstrate the
synthetic utility of the products.
PMID- 26555680
TI - The fat mass and obesity-associated (FTO) gene variant rs9939609 predicts long
term incidence of cardiovascular disease and related death independent of the
traditional risk factors.
AB - OBJECTIVE AND METHODS: The impact of the rs9939609 FTO variant on cardiovascular
events was investigated in the 19-year follow-up of subjects recruited to the
OPERA study. RESULTS: A total of 212 cardiovascular disease (CVD) and 152
coronary heart disease (CHD) events or deaths occurred during follow-up. The
logistic regression analysis revealed that among the AA genotype the incidence of
CHD (OR 1.905; 95% CI 1.250-2.903, p = 0.001) and CVD (OR 1.849; 1.265-2.702, p =
0.003) events or death was significantly higher when adjusted for age, sex, and
study group. After further adjustment with BMI, smoking status, systolic blood
pressure, and low-density lipoprotein cholesterol, the higher incidence of CHD
and CVD events or death among subjects with the AA genotype remained significant
(OR 1.895; p = 0.002 and p = 0.004, respectively). In Cox regression analysis,
the AA genotype displayed a higher rate of CVD and CHD death when the model was
adjusted for sex, age, and study group (p = 0.006 and p = 0.046). FTO rs9939609
AA genotype improved the C-index of the final predictive model from 0.709 to
0.715. In reclassification analyses, the integrated discrimination index was
significant 0.011 (p = 0.010). CONCLUSION: The AA genotype of FTO rs9939609 seems
to be associated with a higher risk of CVD, and this phenomenon seems to be
independent of the traditional risk factors for atherosclerosis.
PMID- 26555681
TI - Association of interleukin-1 receptor antagonist VNTR polymorphism and risk of
pre-eclampsia in southeast Iranian population.
AB - AIM: Pre-eclampsia (PE) is an obstetric disorder that may result in maternal and
neonatal mortality and morbidity. Growing evidence indicates that cytokines, such
as interleukins, are involved in the pathogenesis of this complication. Hence the
current study aimed to assess the possible association between interleukin-1
receptor antagonist (IL-1Ra) VNTR polymorphism, and PE susceptibility in
southeast Iranian women. MATERIAL AND METHODS: The IL-Ra VNTR polymorphism was
evaluated in 192 PE women and 186 age-matched normotensive pregnant women by the
polymerase chain reaction method. RESULTS: The frequency of the A2 allele and the
A2A2 genotype of IL-Ra VNTR polymorphism was significantly lower in PE patients
compared to controls: therefore, A2 allele may play a protective role in PE
development (odds ratio = 0.13 95% CI, [0.04-0.03]; P < 0.0001). In addition,
there was no relation between the IL-Ra VNTR polymorphism and severity of the
disease. CONCLUSION: The A2 allele of the IL-Ra VNTR polymorphism could be a
protective factor for PE susceptibility.
PMID- 26555682
TI - Commentary on Zvonareva et al.
PMID- 26555683
TI - FRET-Based Probe for Monitoring pH Changes in Lipid-Dense Region of Hct116 Cells.
AB - A rhodamine conjugate (L) with a pseudo Stokes shift of 165 nm is used for
probing changes in solution pH under physiological conditions. This reagent is
found to be nontoxic, and the luminescence response could be used for imaging
changes in endogenous pH induced by dexamethanose (DMT) in the endoplasmic
reticulum.
PMID- 26555684
TI - Drosophila domino Exhibits Genetic Interactions with a Wide Spectrum of Chromatin
Protein-Encoding Loci.
AB - The Drosophila domino gene encodes protein of the SWI2/SNF2 family that has
widespread roles in transcription, replication, recombination and DNA repair.
Here, the potential relationship of Domino protein to other chromatin-associated
proteins has been investigated through a genetic interaction analysis. We scored
for genetic modification of a domino wing margin phenotype through coexpression
of RNAi directed against a set of previously characterized and more newly
characterized chromatin-encoding loci. A set of other SWI2/SNF2 loci were also
assayed for interaction with domino. Our results show that the majority of tested
loci exhibit synergistic enhancement or suppression of the domino wing phenotype.
Therefore, depression in domino function sensitizes the wing margin to
alterations in the activity of numerous chromatin components. In several cases
the genetic interactions are associated with changes in the level of cell death
measured across the dorsal-ventral margin of the wing imaginal disc. These
results highlight the broad realms of action of many chromatin proteins and
suggest significant overlap with Domino function in fundamental cell processes,
including cell proliferation, cell death and cell signaling.
PMID- 26555685
TI - A New Way to Estimate the Potential Unmet Need for Infertility Services Among
Women in the United States.
AB - BACKGROUND: Fewer than 50% of women who meet the medical/behavioral criteria for
infertility receive medical services. Estimating the number of women who both
meet the medical/behavioral criteria for infertility and who have pro-conception
attitudes will allow for better estimates of the potential need and unmet need
for infertility services in the United States. METHODS: The National Survey of
Fertility Barriers was administered by telephone to a probability sample of 4,712
women in the United States. The sample for this analysis was 292 women who
reported an experience of infertility within 3 years of the time of the
interview. Infertile women were asked if they were trying to conceive at the time
of their infertility experience and if they wanted to have a child to determine
who could be considered in need of services. RESULTS: Among U.S. women who have
met medical criteria for infertility within the past three years, 15.9% report
that they were neither trying to have a child nor wanted to have a child and can
be classified as not in need of treatment. Of the 84.9% of infertile women in
need of treatment, 58.1% did not even talk to a doctor about ways to become
pregnant. DISCUSSION: Even after taking into account that not all infertile women
are in need of treatment, there is still a large unmet need for infertility
treatment in the United States. CONCLUSION: Studies of the incidence of
infertility should include measures of both trying to have a child and wanting to
have a child.
PMID- 26555686
TI - Pathophysiological aspects of ureterorenoscopic management of upper urinary tract
calculi.
AB - PURPOSE OF REVIEW: Indications for ureterorenoscopy are expanding without hard
scientific evidence to support its efficacy. Therefore, it is extremely important
to focus on potential harmful effects of the procedure itself. This review
explores how physiology of the upper urinary tract reacts to ureterorenoscopy,
potentially translating into harmful effects, and how such pathophysiological
processes may be minimized. RECENT FINDINGS: Complications to ureterorenoscopy
and postoperative pain seem to be related to intrarenal pressure and/or access.
Mean intrarenal pressures in the range of 60-100 mmHg during ureterorenoscopy
without access sheaths have been measured, thus by far exceeding the threshold
for intrarenal backflow, potentially resulting in septic complications.
Intrarenal pressure may be reduced by use of ureteral access sheaths, which,
however, may cause ureteral damage due to the limited size of the ureter and
strain-induced ureteral contractions (peristalsis). Different receptor types
modulate this peristaltic activity. beta-receptor agonists have been investigated
in animal and human trials for the purpose of relaxing the ureter. In randomized,
placebo-controlled trials in pigs and humans, usage of the beta-receptor agonist
isoproterenol in the irrigation fluid has shown a potential for reducing both
intrarenal pressure and ureteral tone during ureterorenoscopy. SUMMARY: Upper
urinary tract physiology has unique features that may be pushed into
pathophysiological processes by the unique elements of ureterorenoscopy: access
and irrigation. Pharmacological ureteral relaxation during ureterorenoscopy
deserves further attention with regard to reducing complications and
postoperative pain.
PMID- 26555687
TI - Complications of percutaneous nephrolithotomy: classification, management, and
prevention.
AB - PURPOSE OF REVIEW: Percutaneous nephrolithotomy (PCNL) provides the highest stone
free rate after one session and low morbidity rates in cases of large or multiple
renal calculi. The classification, management, and prevention of complications of
PCNL are reviewed. RECENT FINDINGS: PCNL is a well tolerated and very effective
procedure for the management of renal stones. Specific complications limit the
surgical outcome of PCNL whereas the majority of the complications are resolving
with conservative or minimally invasive management. Experience with the technique
is important for minimizing complications. There is an ongoing effort to classify
the complications and to achieve a consensus in reporting the complications and
surgical outcome of the procedure. SUMMARY: The knowledge of risk factors,
complications, and their management is important for every endourologist.
Establishing of a PCNL-specific classification system for reporting outcomes and
complications could set the basis for further improvement of the PCNL technique
and outcomes.
PMID- 26555688
TI - Benefits and risks of ureteral access sheaths for retrograde renal access.
AB - PURPOSE OF REVIEW: Ureteral access sheath (UAS) became increasingly popular
worldwide. However, the safety of its routine use remains controversial. The aim
of the current revision is to provide a systematic review on the benefits and
disadvantages of the UAS. RECENT FINDINGS: A systematic review was performed
following the Preferred Reporting Items for Systematic Reviews and Meta-Analyses
criteria. Two reviewers independently searched the literature, finally
identifying 20 articles valuable for this review. The use of UAS demonstrated
several advantages to facilitate retrograde intrarenal access, lower intrarenal
pressure, protect the ureter, protect the scope, and expedite stone extraction.
Despite this, there is also some evidence that UAS use may be associated with
acute ureteral injury and long-term complications, apparently related to
maneuvers for UAS insertion and mucosal or deeper layers of injury and ischemia.
Furthermore, there are still pending questions on the possible increase in stone
free rates, and decrease in operative time and costs. SUMMARY: Although the use
of UAS is associated with some risk and limiting factors, it appears from this
systematic review that its use is common and safe for the retrograde intrarenal
access. Therefore, UAS is highly recommended for the treatment of upper tract
disease by means of retrograde intrarenal surgery.
PMID- 26555689
TI - Positioning for percutaneous nephrolithotomy.
AB - PURPOSE OF THE REVIEW: To highlight the progressive evolution of the issue of
patient positioning for percutaneous nephrolithotomy (PNL), explain the history
of the prone and supine positions, report respective advantages and drawbacks,
critically interpret the past and current literature supporting such arguments,
identify the best candidates for each position, and reflect on the future
evolution of the two approaches. RECENT FINDINGS: Positioning for PNL has become
a matter of debate during the last decade. The traditional prone PNL position -
most widely performed with good success and few complications, and exhibiting
essentially no limits except for the treatment of pelvic kidneys - is nowadays
flanked mainly by the supine and supine-modified positions, equally effective and
probably safer from an anesthesiological point of view. Of course, both
approaches have a number of advantages and drawbacks, accurately reported and
critically sieved. SUMMARY: The current challenge for endourologists is to be
able to perform PNL in both prone and supine positions to perfectly tailor the
procedure on any patient with any stone burden, including increasingly
challenging cases and medically high-risk patients, according to the patient's
best interest. Intensive training and experience is especially needed for supine
PNL, still less popular and underperformed worldwide. VIDEO ABSTRACT:
http://links.lww.com/COU/A8.
PMID- 26555690
TI - Imaging for urolithiasis: standards, trends, and radiation exposure.
AB - PURPOSE OF REVIEW: To discuss current trends in imaging for urolithiasis and
review the recent scientific literature surrounding this topic. Specifically, to
address the efforts urologist should be making to reduce the use of ionizing
radiation and to examine alternatives to computerized tomography (CT) scan in
diagnosing and managing patients with stones. RECENT FINDINGS: Although CT
remains the gold standard for diagnosing urolithiasis, low-dose and ultralow-dose
CT scans should be utilized more frequently. Imaging with ultrasound and digital
tomosynthesis, especially in follow-up for urolithiasis, offers the dual benefit
of reduced patient radiation exposure and acceptable diagnostic ability. SUMMARY:
Urolithiasis is a prevalent and recurrent condition and patient radiation
exposure throughout diagnosis and management of this disease needs to be
considered. Imaging modalities that limit radiation and preserve diagnostic
accuracy must be utilized.
PMID- 26555691
TI - Long-term follow-up data more than 5 years after surgical management of benign
prostate obstruction: who stands the test of time?
AB - PURPOSE OF REVIEW: Surgical techniques are an integral part of the urologist's
armamentarium for the treatment of benign prostatic obstruction. Currently,
several techniques are available. The purpose of the current review is to analyse
the long-term outcomes of currently available techniques. RECENT FINDINGS: Open
prostatectomy shows a low long-term reoperation rate. Available evidence suggests
that bipolar transurethral resection of the prostate (TURP) is an attractive
alternative to monopolar TURP as both techniques lead to a long-lasting and
comparable efficacy. For patients with a larger prostate volume, bipolar
enucleation of the prostate appears as safe and effective alternative to open
prostatectomy. Holmium laser enucleation of the prostate appears as a durable
alternative to TURP and open prostatectomy with comparable long-term results. For
photoselective vaporization of the prostate, differently powered models are
available. Currently, only long-term data with lower powered 80 W laser are
available, reporting reoperation rates higher than those reported from other
surgical techniques. On the thulium laser, currently only one study reported 5
year results and despite encouraging results further confirmation seems
necessary. SUMMARY: Various surgical methods have proven to be safe and effective
for the treatment of benign prostate obstruction and stand the test of time. The
choice of the technique depends on prostate size, risk factors of the patient as
well as expertise of the surgeon.
PMID- 26555692
TI - Undiagnosed neurological disease as a potential cause of male lower urinary tract
symptoms.
AB - PURPOSE OF REVIEW: In the central nervous system there are many regulatory
processes controlling the lower urinary tract. This review considers the
possibility that urinary dysfunction may precede diagnosis of neurological
disease. RECENT FINDINGS: Lower urinary tract symptoms (LUTS) occur early in
multiple system atrophy, Parkinson's disease and normal pressure hydrocephalus,
and may present before neurological diagnosis. Some people present with LUTS and
subsequently are diagnosed with multiple sclerosis or a spinal condition. In male
LUTS, the symptoms could reflect early stages of a neurological disease, which
has not yet been diagnosed ('occult neurology'). Key symptoms include erectile
dysfunction, retrograde ejaculation, enuresis, loss of filling sensation or
unexplained stress urinary incontinence. Directed questioning should enquire
about visual symptoms, back pain, anosmia, bowel dysfunction and incontinence, or
memory loss. Examination features can include resting tremor, 'croaky' speech,
abnormal gait, orthostatic hypotension, ataxia, or altered perineal sensation.
Imaging, such as MRI scan, should only be requested after expert neurological
examination, to ensure the correct parts of the central nervous system are
scanned with appropriate radiological protocols. SUMMARY: Urologists should
consider an undiagnosed neurological condition can be present in a few cases. Any
finding should be further evaluated by colleagues with relevant expertise.
PMID- 26555693
TI - Preservation of sexual function when relieving benign prostatic obstruction
surgically: can a trade-off be considered?
AB - PURPOSE OF REVIEW: There is increasing interest among men who require benign
prostatic obstruction (BPO) surgery for treatment, which also preserves sexual
function. Transurethral resection of the prostate (TURP) impacts sexual function;
some novel treatments claim to preserve it. Concerns exist among urologists
however: can sexual function truly be preserved whilst achieving the same
magnitude and durability of lower urinary tract symptoms (LUTS) improvement? Can
a trade-off be considered? This review examines the literature over the recent 12
18 months to determine whether surgical BPO treatments can truly preserve sexual
function whilst effectively treating LUTS-BPO. RECENT FINDINGS: TURP negatively
impacts erectile function, but laser prostatectomy does not. Established
cavitating BPO surgeries (TURP and laser prostatectomy) tend to have high rates
of an ejaculation. Ejaculation sparing modifications to existing cavitating
surgeries show promising outcomes in early reports. Prostatic urethral lift
preserves ejaculatory and erectile function, whilst significantly improving LUTS
in short to medium-term follow-up. WAVE (Rezum System) appears promising for LUTS
treatment whilst preserving sexual function in early studies. SUMMARY:
Pathophysiological mechanisms underlying the association between LUTS-BPO and
sexual function are not entirely understood. Whilst novel procedures can preserve
both antegrade ejaculation and erectile function, long-term efficacy in
maintaining benefits to LUTS is to be established. Although standard cavitating
surgeries are associated with significant anejaculation, new modifications to
surgical technique may offer promising solutions.
PMID- 26555694
TI - Multiparametric ultrasound in the diagnosis of prostate cancer.
AB - PURPOSE OF REVIEW: A summary and analysis of the current evidence on the role of
multiparametric ultrasound in the diagnosis of clinically significant prostate
cancer. RECENT FINDINGS: Earlier work on brightness-mode or Doppler ultrasound
did not reveal an adequate diagnostic performance but the addition of contrast
enhanced ultrasound and elastography shows greater promise. There has been an
increase in trials on contrast-enhanced ultrasound of late, with and without the
use of quantification, such as parametric mapping of contrast uptake. Shear wave
elastography offers quantification for this modality also and early work is
available on the kilopascal thresholds that may be significant for malignancy.
Perhaps the most promising avenue is the combination of the above modalities but
to date only one study has considered this. SUMMARY: Although there is a paucity
of high-quality studies at present, particularly those that combine all the
available ultrasound modalities, the performance of ultrasound is seen to
approach that of multiparametric MRI in some cases. More work is needed to define
a role, if any, for ultrasound in the diagnosis of prostate cancer.
PMID- 26555695
TI - Discovery and Characterization of a Potent Interleukin-6 Binding Peptide with
Neutralizing Activity In Vivo.
AB - Interleukin-6 (IL-6) is an important member of the cytokine superfamily, exerting
pleiotropic actions on many physiological processes. Over-production of IL-6 is a
hallmark of immune-mediated inflammatory diseases such as Castleman's Disease
(CD) and rheumatoid arthritis (RA). Antagonism of the interleukin IL-6/IL-6
receptor (IL-6R)/gp130 signaling complex continues to show promise as a
therapeutic target. Monoclonal antibodies (mAbs) directed against components of
this complex have been approved as therapeutics for both CD and RA. To
potentially provide an additional modality to antagonize IL-6 induced
pathophysiology, a peptide-based antagonist approach was undertaken. Using a
combination of molecular design, phage-display, and medicinal chemistry,
disulfide-rich peptides (DRPs) directed against IL-6 were developed with low
nanomolar potency in inhibiting IL-6-induced pSTAT3 in U937 monocytic cells.
Targeted PEGylation of IL-6 binding peptides resulted in molecules that retained
their potency against IL-6 and had a prolongation of their pharmacokinetic (PK)
profiles in rodents and monkeys. One such peptide, PN-2921, contained a 40 kDa
polyethylene glycol (PEG) moiety and inhibited IL-6-induced pSTAT3 in U937 cells
with sub-nM potency and possessed 23, 36, and 59 h PK half-life values in mice,
rats, and cynomolgus monkeys, respectively. Parenteral administration of PN-2921
to mice and cynomolgus monkeys potently inhibited IL-6-induced biomarker
responses, with significant reductions in the acute inflammatory phase proteins,
serum amyloid A (SAA) and C-reactive protein (CRP). This potent, PEGylated IL-6
binding peptide offers a new approach to antagonize IL-6-induced signaling and
associated pathophysiology.
PMID- 26555696
TI - Odorous secretions in anurans: morphological and functional assessment of serous
glands as a source of volatile compounds in the skin of the treefrog Hypsiboas
pulchellus (Amphibia: Anura: Hylidae).
AB - Serous (granular or venom) glands occur in the skin of almost all species of
adult amphibians, and are thought to be the source of a great diversity of
chemical compounds. Despite recent advances in their chemistry, odorous volatile
substances are compounds that have received less attention, and until now no
study has attempted to associate histological data with the presence of these
molecules in amphibians, or in any other vertebrate. Given the recent
identification of 40 different volatile compounds from the skin secretions of H.
pulchellus (a treefrog species that releases a strong odour when handled), we
examined the structure, ultrastructure, histochemistry, and distribution of skin
glands of this species. Histological analysis from six body regions reveals the
presence of two types of glands that differ in their distribution. Mucous glands
are homogeneously distributed, whereas serous glands are more numerous in the
scapular region. Ultrastructural results indicate that electron-translucent
vesicles observed within granules of serous glands are similar to those found in
volatile-producing glands from insects and also with lipid vesicles from
different organisms. Association among lipids and volatiles is also evidenced
from chemical results, which indicate that at least some of the volatile
components in H. pulchellus probably originate within the metabolism of fatty
acids or the mevalonate pathway. As odorous secretions are often considered to be
secreted under stress situations, the release of glandular content was assessed
after pharmacological treatments, epinephrine administrated in vivo and on skin
explants, and through surface electrical stimulation. Serous glands responded to
all treatments, generally through an obvious contraction of myoepithelial cells
that surround their secretory portion. No response was observed in mucous glands.
Considering these morpho-functional results, along with previous identification
of volatiles from H. pulchellus and H. riojanus after electrical stimulation, we
suggest that the electron-translucent inclusions found within the granules of
serous glands likely are the store sites of volatile compounds and/or their
precursors. Histochemical and glandular distribution analyses in five other
species of frogs of the hylid tribe Cophomantini, revealed a high lipid content
in all the species, whereas a heterogeneous distribution of serous glands is only
observed in species of the H. pulchellus group. The distribution pattern of
serous glands in members of this species group, and the odorous volatile
secretions are probably related to defensive functions.
PMID- 26555698
TI - An integrative C. elegans protein-protein interaction network with reliability
assessment based on a probabilistic graphical model.
AB - In Caenorhabditis elegans, a large number of protein-protein interactions (PPIs)
are identified by different experiments. However, a comprehensive weighted PPI
network, which is essential for signaling pathway inference, is not yet available
in this model organism. Therefore, we firstly construct an integrative PPI
network in C. elegans with 12,951 interactions involving 5039 proteins from seven
molecular interaction databases. Then, a reliability score based on a
probabilistic graphical model (RSPGM) is proposed to assess PPIs. It assumes that
the random number of interactions between two proteins comes from the Bernoulli
distribution to avoid multi-links. The main parameter of the RSPGM score contains
a few latent variables which can be considered as several common properties
between two proteins. Validations on high-confidence yeast datasets show that
RSPGM provides more accurate evaluation than other approaches, and the PPIs in
the reconstructed PPI network have higher biological relevance than that in the
original network in terms of gene ontology, gene expression, essentiality and the
prediction of known protein complexes. Furthermore, this weighted integrative PPI
network in C. elegans is employed on inferring interaction path of the canonical
Wnt/beta-catenin pathway as well. Most genes on the inferred interaction path
have been validated to be Wnt pathway components. Therefore, RSPGM is essential
and effective for evaluating PPIs and inferring interaction path. Finally, the
PPI network with RSPGM scores can be queried and visualized on a user interactive
website, which is freely available at .
PMID- 26555699
TI - The unwelcome trio: HIV plus cutaneous and visceral leishmaniasis.
AB - Leishmania/Human Immunodeficiency Virus (HIV) coinfection has emerged as an
extremely serious and increasingly frequent health problem in the last decades.
Considering the insidious and not typical clinical picture in presence of
immunosuppressive conditions, the increasing number of people travelling in
endemic zones, the ability to survive, within both human and vector bodies, of
the parasite, clinicians and dermatologists as the first line should be aware of
these kind of "pathologic alliances," to avoid delayed diagnosis and treatment.
In this setting, the occurrence of cutaneous lesions can, paradoxically, aid the
physician in recognition and approaching the correct staging and management of
the two (or three) diseases. Treatment of these unwelcome synergies is a
challenge: apart from the recommended anti-retroviral protocols, different anti
leishmanial drugs have been widely used, according with the standard guidelines
for visceral leishmaniasis (VL), with no successful treatment regimen still been
established.
PMID- 26555697
TI - Heme-Mediated Induction of CXCL10 and Depletion of CD34+ Progenitor Cells Is Toll
Like Receptor 4 Dependent.
AB - Plasmodium falciparum infection can cause microvascular dysfunction, cerebral
encephalopathy and death if untreated. We have previously shown that high
concentrations of free heme, and C-X-C motif chemokine 10 (CXCL10) in sera of
malaria patients induce apoptosis in microvascular endothelial and neuronal cells
contributing to vascular dysfunction, blood-brain barrier (BBB) damage and
mortality. Endothelial progenitor cells (EPC) are microvascular endothelial cell
precursors partly responsible for repair and regeneration of damaged BBB
endothelium. Studies have shown that EPC's are depleted in severe malaria
patients, but the mechanisms mediating this phenomenon are unknown. Toll-like
receptors recognize a wide variety of pathogen-associated molecular patterns
generated by pathogens such as bacteria and parasites. We tested the hypothesis
that EPC depletion during malaria pathogenesis is a function of heme-induced
apoptosis mediated by CXCL10 induction and toll-like receptor (TLR) activation.
Heme and CXCL10 concentrations in plasma obtained from malaria patients were
elevated compared with non-malaria subjects. EPC numbers were significantly
decreased in malaria patients (P < 0.02) and TLR4 expression was significantly
elevated in vivo. These findings were confirmed in EPC precursors in vitro; where
it was determined that heme-induced apoptosis and CXCL10 expression was TLR4
mediated. We conclude that increased serum heme mediates depletion of EPC during
malaria pathogenesis.
PMID- 26555700
TI - The Phenomenology and Generation of Positive Mental Imagery in Early Psychosis.
AB - BACKGROUND: Theoretical models of depression and bipolar disorder emphasise the
importance of positive mental imagery in mood and behaviour. Distressing,
intrusive images are common in psychosis; however, little is known about positive
imagery experiences or their association with clinical symptoms. The aim of the
current study was to examine the phenomenology of positive imagery in early
psychosis and the relationship between the characteristics of positive, future
oriented imagery and symptom severity. METHOD: Characteristics, thematic content
and appraisals of recent self-reported images were examined in 31 people with
early psychosis. The vividness and perceived likelihood of deliberately
generated, future-oriented images were investigated in relation to clinical
symptoms. RESULTS: Eighty-four percent of participants reported experiencing a
recent positive image. Themes included the achievement of personal goals,
spending enjoyable time with peers and family, loving, intimate relationships and
escape from current circumstances. The vividness and perceived likelihood of
generated prospective imagery were negatively correlated with levels of
depression and social anxiety. CONCLUSIONS: The relationship between emotional
problems and the ability to imagine positive, future events may have implications
for motivation, mood and goal-directed behaviour in psychosis. Everyday
experiences of positive imagery may represent the simulation of future goals,
attempts to cope or avoid aversive experiences or idealised fantasy. Copyright
(c) 2015 John Wiley & Sons, Ltd. KEY PRACTITIONER MESSAGE: The majority of
participants experienced a recent positive image with themes related to goal
attainment and social relationships. Depression and social anxiety levels were
correlated with the vividness of intentionally generated positive future-oriented
images and their perceived likelihood. The assessment of positive imagery in
early psychosis appears warranted and may provide insights regarding individual
coping strategies, values and goals.
PMID- 26555701
TI - A Simulation Study Comparing Epidemic Dynamics on Exponential Random Graph and
Edge-Triangle Configuration Type Contact Network Models.
AB - We compare two broad types of empirically grounded random network models in terms
of their abilities to capture both network features and simulated Susceptible
Infected-Recovered (SIR) epidemic dynamics. The types of network models are
exponential random graph models (ERGMs) and extensions of the configuration
model. We use three kinds of empirical contact networks, chosen to provide both
variety and realistic patterns of human contact: a highly clustered network, a
bipartite network and a snowball sampled network of a "hidden population". In the
case of the snowball sampled network we present a novel method for fitting an
edge-triangle model. In our results, ERGMs consistently capture clustering as
well or better than configuration-type models, but the latter models better
capture the node degree distribution. Despite the additional computational
requirements to fit ERGMs to empirical networks, the use of ERGMs provides only a
slight improvement in the ability of the models to recreate epidemic features of
the empirical network in simulated SIR epidemics. Generally, SIR epidemic results
from using configuration-type models fall between those from a random network
model (i.e., an Erdos-Renyi model) and an ERGM. The addition of subgraphs of size
four to edge-triangle type models does improve agreement with the empirical
network for smaller densities in clustered networks. Additional subgraphs do not
make a noticeable difference in our example, although we would expect the ability
to model cliques to be helpful for contact networks exhibiting household
structure.
PMID- 26555703
TI - Non-invasive quantification of hepatic steatosis in living, related liver donors
using dual-echo Dixon imaging and single-voxel proton spectroscopy.
AB - AIM: To evaluate the diagnostic implications of hepatic fat fraction calculated
using dual-echo Dixon imaging and (1)H magnetic resonance spectroscopy (MRS) to
detect hepatic steatosis in potential liver donors using histopathology as the
reference standard. MATERIALS AND METHODS: One hundred and forty-five potential
liver donors were included in the study. Magnetic resonance imaging (MRI) was
performed using a 1.5 T system using a three-dimensional dual-echo MRI sequence
with automated reconstruction of in-phase (IP), out-of-phase (OP), fat-signal
only, and water-signal-only images. Hepatic fat fraction was calculated by
drawing 15 regions of interest on the IP, OP, fat-only, and water-only images.
Single-voxel MRS was performed at echo times (TEs) of 30 ms in the right and left
lobes of liver. Liver fat fraction was calculated from water and fat peaks. One
hundred and forty-five biopsies were prospectively evaluated for steatosis by a
pathologist using traditional determination of the cell-count fraction. MRI and
pathology values of steatosis were correlated using Pearson's correlation
coefficient. The sensitivity and specificity of each of these methods was
calculated using histopathology as the reference standard. Reproducibility was
assessed in 40 patients who had repeat scanning within 4-40 days. Measurement
error was calculated from the coefficient of variation (CoV) with
histopathologically proven <5% fat (n=112). RESULTS: The Bland-Altman limits of
agreement with 95% confidence intervals (CI) was -2.9 to 5.3%. The intraclass
correlation coefficient (ICC) for interobserver variability and reproducibility
was 0.94 (95% CI: 0.91-0.97), 0.92 (95% CI: 0.91-0.97). The CoV was 7.6% (95% CI:
3.4-11.85). The area under the receiver operating characteristic (ROC) curve
(AUC) for Dixon imaging 0.89 (95% CI: 0.87-0.91), for MRS 0.88 (95% CI: 0.86
0.90). The sensitivity for detecting <5% fat was 84% and specificity was 90%.
CONCLUSION: Combination of dual-echo Dixon imaging and proton MRS is a useful
tool for the preoperative diagnosis of hepatic steatosis in potential living
liver donors. This can help avoid unnecessary biopsies in these patients.
PMID- 26555702
TI - Effects of Etomidate on the Steroidogenesis of Rat Immature Leydig Cells.
AB - BACKGROUND: Etomidate is a rapid hypnotic intravenous anesthetic agent. The major
side effect of etomidate is the reduced plasma concentration of corticosteroids,
leading to the abnormal reaction of adrenals. Cortisol and testosterone
biosynthesis has similar biosynthetic pathway, and shares several common
steroidogenic enzymes, such as P450 side chain cleavage enzyme (CYP11A1) and
3beta-hydroxysteroid dehydrogenase 1 (HSD3B1). The effect of etomidate on Leydig
cell steroidogenesis during the cell maturation process is not well established.
METHODOLOGY: Immature Leydig cells isolated from 35 day-old rats were cultured
with 30 MUM etomidate for 3 hours in combination with LH, 8Br-cAMP, 25R-OH
cholesterol, pregnenolone, progesterone, androstenedione, testosterone and
dihydrotestosterone, respectively. The concentrations of 5alpha-androstanediol
and testosterone in the media were measured by radioimmunoassay. Leydig cells
were cultured with various concentrations of etomidate (0.3-30 MUM) for 3 hours,
and total RNAs were extracted. Q-PCR was used to measure the mRNA levels of
following genes: Lhcgr, Scarb1, Star, Cyp11a1, Hsd3b1, Cyp17a1, Hsd17b3, Srd5a1,
and Akr1c14. The testis mitochondria and microsomes from 35-day-old rat testes
were prepared and used to detect the direct action of etomidate on CYP11A1 and
HSD3B1 activity. RESULTS AND CONCLUSIONS: In intact Leydig cells, 30 MUM
etomidate significantly inhibited androgen synthesis. Further studies showed that
etomidate also inhibited the LH- stimulated androgen production. On purified
testicular mitochondria and ER fractions, etomidate competitively inhibited both
CYP11A1 and HSD3B1 activities, with the half maximal inhibitory concentration
(IC50) values of 12.62 and 2.75 MUM, respectively. In addition, etomidate
inhibited steroidogenesis-related gene expression. At about 0.3 MUM, etomidate
significantly inhibited the expression of Akr1C14. At the higher concentration
(30 MUM), it also reduced the expression levels of Cyp11a1, Hsd17b3 and Srd5a1.
In conclusion, etomidate directly inhibits the activities of CYP11A1 and HSD3B1,
and the expression levels of Cyp11a1 and Hsd17b3, leading to the lower production
of androgen by Leydig cells.
PMID- 26555704
TI - MMP-19 deficiency causes aggravation of colitis due to defects in innate immune
cell function.
AB - Matrix metalloproteinases (MMPs) are potential biomarkers for disease activity in
inflammatory bowel disease (IBD). However, clinical trials targeting MMPs have
not succeeded, likely due to poor understanding of the biological functions of
individual MMPs. Here, we explore the role of MMP-19 in IBD pathology. Using a
DSS-induced model of colitis, we show evidence for increased susceptibility of
Mmp-19-deficient (Mmp-19(-/-)) mice to colitis. Absence of MMP-19 leads to
significant disease progression, with reduced survival rates, severe tissue
destruction, and elevated levels of pro-inflammatory modulators in the colon and
plasma, and failure to resolve inflammation. There was a striking delay in
neutrophil infiltration into the colon of Mmp-19(-/-) mice during the acute
colitis, leading to persistent inflammation and poor recovery; this was rescued
by reconstitution of irradiated Mmp-19(-/-) mice with wild-type bone marrow.
Additionally, Mmp-19-deficient macrophages exhibited decreased migration in vivo
and in vitro and the mucosal barrier appeared compromised. Finally, chemokine
fractalkine (CX3CL1) was identified as a novel substrate of MMP-19, suggesting a
link between insufficient processing of CX3CL1 and cell recruitment in the Mmp
19(-/-) mice. MMP-19 proves to be a critical factor in balanced host response to
colonic pathogens, and for orchestrating appropriate innate immune response in
colitis.
PMID- 26555705
TI - The IL-33 receptor (ST2) regulates early IL-13 production in fungus-induced
allergic airway inflammation.
AB - Allergic airway inflammation (AAI) in response to environmental antigens is an
increasing medical problem, especially in the Western world. Type 2 interleukins
(IL) are central in the pathological response but their importance and cellular
source(s) often rely on the particular allergen. Here, we highlight the cellular
sources and regulation of the prototypic type 2 cytokine, IL-13, during the
establishment of AAI in a fungal infection model using Cryptococcus neoformans.
IL-13 reporter mice revealed a rapid onset of IL-13 competence within innate
lymphoid cells type 2 (ILC2) and IL-33R(+) T helper (Th) cells. ILC2 showed IL-33
dependent proliferation upon infection and significant IL-13 production. Th cells
essentially required IL-33 to become either GATA3(+) or GATA3(+)/Foxp3(+)
hybrids. GATA3(+) Th cells almost exclusively contributed to IL-13 production but
hybrid GATA3(+)/Foxp3(+) Th cells did not. In addition, alveolar macrophages
upregulated the IL-33R and subsequently acquired a phenotype of alternative
activation (Ym1(+), FIZZ1(+), and arginase-1(+)) linked to type 2 immunity.
Absence of adaptive immunity in rag2(-/-) mice resulted in attenuated AAI,
revealing the need for Th2 cells for full AAI development. Taken together, in
pulmonary cryptococcosis ILC2 and GATA3(+) Th2 cells produce early IL-13 largely
IL-33R-dependent, thereby promoting goblet cell metaplasia, pulmonary
eosinophilia, and alternative activation of alveolar macrophages.
PMID- 26555706
TI - A pathogenic role for tumor necrosis factor-related apoptosis-inducing ligand in
chronic obstructive pulmonary disease.
AB - Chronic obstructive pulmonary disease (COPD) is a life-threatening inflammatory
respiratory disorder, often induced by cigarette smoke (CS) exposure. The
development of effective therapies is impaired by a lack of understanding of the
underlining mechanisms. Tumor necrosis factor-related apoptosis-inducing ligand
(TRAIL) is a cytokine with inflammatory and apoptotic properties. We interrogated
a mouse model of CS-induced experimental COPD and human tissues to identify a
novel role for TRAIL in COPD pathogenesis. CS exposure of wild-type mice
increased TRAIL and its receptor messenger RNA (mRNA) expression and protein
levels, as well as the number of TRAIL(+)CD11b(+) monocytes in the lung. TRAIL
and its receptor mRNA were also increased in human COPD. CS-exposed TRAIL
deficient mice had decreased pulmonary inflammation, pro-inflammatory mediators,
emphysema-like alveolar enlargement, and improved lung function. TRAIL-deficient
mice also developed spontaneous small airway changes with increased epithelial
cell thickness and collagen deposition, independent of CS exposure. Importantly,
therapeutic neutralization of TRAIL, after the establishment of early-stage
experimental COPD, reduced pulmonary inflammation, emphysema-like alveolar
enlargement, and small airway changes. These data provide further evidence for
TRAIL being a pivotal inflammatory factor in respiratory diseases, and the first
preclinical evidence to suggest that therapeutic agents that target TRAIL may be
effective in COPD therapy.
PMID- 26555707
TI - Pseudomonas aeruginosa pyocyanin modulates mucin glycosylation with sialyl
Lewis(x) to increase binding to airway epithelial cells.
AB - Cystic fibrosis (CF) patients battle life-long pulmonary infections with the
respiratory pathogen Pseudomonas aeruginosa (PA). An overabundance of mucus in CF
airways provides a favorable niche for PA growth. When compared with that of non
CF individuals, mucus of CF airways is enriched in sialyl-Lewis(x), a preferred
binding receptor for PA. Notably, the levels of sialyl-Lewis(x) directly
correlate with infection severity in CF patients. However, the mechanism by which
PA causes increased sialylation remains uncharacterized. In this study, we
examined the ability of PA virulence factors to modulate sialyl-Lewis(x)
modification in airway mucins. We found pyocyanin (PCN) to be a potent inducer of
sialyl-Lewis(x) in both mouse airways and in primary and immortalized CF and non
CF human airway epithelial cells. PCN increased the expression of C2/4GnT and
ST3Gal-IV, two of the glycosyltransferases responsible for the stepwise
biosynthesis of sialyl-Lewis(x), through a tumor necrosis factor (TNF)-alpha
mediated phosphoinositol-specific phospholipase C (PI-PLC)-dependent pathway.
Furthermore, PA bound more efficiently to airway epithelial cells pre-exposed to
PCN in a flagellar cap-dependent manner. Importantly, antibodies against sialyl
Lewis(x) and anti-TNF-alpha attenuated PA binding. These results indicate that PA
secretes PCN to induce a favorable environment for chronic colonization of CF
lungs by increasing the glycosylation of airway mucins with sialyl-Lewis(x).
PMID- 26555710
TI - Heterogeneous chemistry and reaction dynamics of the atmospheric oxidants, O3,
NO3, and OH, on organic surfaces.
AB - Heterogeneous chemistry of the most important atmospheric oxidants, O3, NO3, and
OH, plays a central role in regulating atmospheric gas concentrations, processing
aerosols, and aging materials. Recent experimental and computational studies have
begun to reveal the detailed reaction mechanisms and kinetics for gas-phase O3,
NO3, and OH when they impinge on organic surfaces. Through new research
approaches that merge the fields of traditional surface science with atmospheric
chemistry, researchers are developing an understanding for how surface structure
and functionality affect interfacial chemistry with this class of highly
oxidizing pollutants. Together with future research initiatives, these studies
will provide a more complete description of atmospheric chemistry and help others
more accurately predict the properties of aerosols, the environmental impact of
interfacial oxidation, and the concentrations of tropospheric gases.
PMID- 26555708
TI - HIV-1-negative female sex workers sustain high cervical IFNE, low immune
activation, and low expression of HIV-1-required host genes.
AB - Sex workers practicing in high HIV endemic areas have been extensively targeted
to test anti-HIV prophylactic strategies. We hypothesize that in women with high
levels of genital exposure to semen changes in cervico-vaginal mucosal and/or
systemic immune activation will contribute to a decreased susceptibility to HIV-1
infection. To address this question, we assessed sexual activity and immune
activation status (in peripheral blood), as well as cellular infiltrates and gene
expression in ectocervical mucosa biopsies in female sex workers (FSWs; n=50), as
compared with control women (CG; n=32). FSWs had low-to-absent HIV-1-specific
immune responses with significantly lower CD38 expression on circulating CD4(+)
or CD8(+) T-cells (both: P<0.001) together with lower cervical gene expression of
genes associated with leukocyte homing and chemotaxis. FSWs also had increased
levels of interferon-E (IFNE) gene and protein expression in the cervical
epithelium together with reduced expression of genes associated with HIV-1
integration and replication. A correlative relationship between semen exposure
and elevated type-1 IFN expression in FSWs was also established. Overall, our
data suggest that long-term condomless sex work can result in multiple changes
within the cervico-vaginal compartment that would contribute to sustaining a
lower susceptibility for HIV-1 infection in the absence of HIV-specific
responses.
PMID- 26555711
TI - The Moreau Strain of Bacillus Calmette-Guerin (BCG) for High-Risk Non-Muscle
Invasive Bladder Cancer: An Alternative during Worldwide BCG Shortage?
AB - BACKGROUND: Bacillus Calmette-Guerin (BCG) is the standard of care for adjuvant
intravesical instillation therapy for intermediate- and high-risk non-muscle
invasive bladder cancer (NMIBC) after complete transurethral resection.
Increasing evidence suggests that there are marked differences in outcomes
according to BCG substrains. BCG-Moreau was recently introduced to the European
market to cover the issue of BCG shortage, but there are little data regarding
the oncologic efficacy. METHODS: We retrospectively analyzed 295 consecutive
patients, who received adjuvant intravesical instillation therapy with BCG-Moreau
for intermediate- and high-risk NMIBC between October 2007 and April 2013 at a
single institution. The end points of this study were time to first recurrence
and progression to muscle-invasive disease. RESULTS: Median age was 66 years
(interquartile range 59-74, mean 65.9 years). According to the EAU risk group, 76
patients presented with intermediate-risk and 219 patients with high-risk NMIBC.
The 5-year recurrence-free survival and progression-free survival rate was 64.8%
(95% CI 52.8-74.4) and 81.4% (95% CI 65.2-90.2), respectively. CONCLUSIONS: BCG
Moreau is an effective substrain for adjuvant instillation therapies of NMIBC,
and outcomes appear to be comparable to series using other substrains. During
worldwide shortage of BCG-TICE, Connaught and RIVM, BCG-Moreau may serve as an
equally effective alternative.
PMID- 26555712
TI - Gut microbiota and diet in patients with different glucose tolerance.
AB - Type 2 diabetes (T2D) is a serious disease. The gut microbiota (GM) has recently
been identified as a new potential risk factor in addition to well-known diabetes
risk factors. To investigate the GM composition in association with the dietary
patterns in patients with different glucose tolerance, we analyzed 92 patients:
with normal glucose tolerance (n=48), prediabetes (preD, n=24), and T2D (n=20).
Metagenomic analysis was performed using 16S rRNA sequencing. The diet has been
studied by a frequency method with a quantitative evaluation of food intake using
a computer program. Microbiota in the samples was predominantly represented by
Firmicutes, in a less degree by Bacteroidetes. Blautia was a dominant genus in
all samples. The representation of Blautia, Serratia was lower in preD than in
T2D patients, and even lower in those with normal glucose tolerance. After the
clustering of the samples into groups according to the percentage of protein,
fat, carbohydrates in the diet, the representation of the Bacteroides turned to
be lower and Prevotella abundance turned to be higher in carbohydrate cluster.
There were more patients with insulin resistance, T2D in the fat-protein cluster.
Using the Calinski-Harabasz index identified the samples with more similar diets.
It was discovered that half of the patients with a high-fat diet had normal
tolerance, the others had T2D. The regression analysis showed that these T2D
patients also had a higher representation of Blautia. Our study provides the
further evidence concerning the structural modulation of the GM in the T2DM
pathogenesis depending on the dietary patterns.
PMID- 26555713
TI - Molecular interaction investigation between three CdTe:Zn(2+) quantum dots and
human serum albumin: A comparative study.
AB - Water-soluble Zn-doped CdTe quantum dots (CdTe:Zn(2+) QDs) have attracted great
attention in biological and biomedical applications. In particular, for any
potential in vivo application, the interaction of CdTe:Zn(2+) QDs with human
serum albumin (HSA) is of greatest importance. As a step toward the elucidation
of the fate of CdTe:Zn(2+) QDs introduced to organism, the molecular interactions
between CdTe:Zn(2+) QDs with three different sizes and HSA were systematically
investigated by spectroscopic techniques. Three CdTe:Zn(2+) QDs with maximum
emission of 514 nm (green QDs, GQDs), 578 nm (yellow QDs, YQDs), and 640 nm (red
QDs, RQDs) were tested. The binding of CdTe:Zn(2+) QDs with HSA was a result of
the formation of HSA-QDs complex and electrostatic interactions played major
roles in stabilizing the complex. The Stern-Volmer quenching constant,
associative binding constant, and corresponding thermodynamic parameters were
calculated. The site-specific probe competitive experiments revealed that the
binding location of CdTe:Zn(2+) QDs with HSA was around site I. The
microenvironmental and conformational changes of HSA induced by CdTe:Zn(2+) QDs
were analyzed. These results suggested that the conformational change of HSA was
dramatically at secondary structure level and the biological activity of HSA was
weakened in the present of CdTe:Zn(2+) QDs with bigger size.
PMID- 26555714
TI - Muscle contributions to centre of mass acceleration during turning gait in
typically developing children: A simulation study.
AB - Turning while walking requires substantial joint kinematic and kinetic
adaptations compared to straight walking in order to redirect the body centre of
mass (COM) towards the new walking direction. The role of muscles and external
forces in controlling and redirecting the COM during turning remains unclear. The
aim of this study was to compare the contributors to COM medio-lateral
acceleration during 90 degrees pre-planned turns about the inside limb (spin)
and straight walking in typically developing children. Simulations of straight
walking and turning gait based on experimental motion data were implemented in
OpenSim. The contributors to COM global medio-lateral acceleration during the
approach (outside limb) and turn (inside limb) stance phase were quantified via
an induced acceleration analysis. Changes in medio-lateral COM acceleration
occurred during both turning phases, compared to straight walking (p<0.001).
During the approach, outside limb plantarflexors (soleus and medial
gastrocnemius) contribution to lateral (away from the turn side) COM acceleration
was reduced (p<0.001), whereas during the turn, inside limb plantarflexors
(soleus and gastrocnemii) contribution to lateral acceleration (towards the turn
side) increased (p<=0.013) and abductor (gluteus medius and minimus) contribution
medially decreased (p<0.001), compared to straight walking, together helping
accelerate the COM towards the new walking direction. Knowledge of the changes in
muscle contributions required to modulate the COM position during turning
improves our understanding of the control mechanisms of gait and may be used
clinically to guide the management of gait disorders in populations with
restricted gait ability.
PMID- 26555715
TI - Ultrastructural alterations of osteocyte morphology via loaded implants in rabbit
tibiae.
AB - Osteocytes are crucial cells that control bone responses to mechanical loading.
However, the effects of mechanical loading on osteocytes around dental implants
are unclear. The aim of this study was to investigate whether mechanical loading
via bone-integrated implants influences osteocyte number and morphology in the
surrounding bone. Fourteen anodized Ti-6Al-4V alloy dental implants were placed
in seven Japanese white rabbits, and implants in each rabbit were subjected to
mechanical loading (50N, 3Hz for 1800 cycles, 2 days/week) along the implant long
axis. Eight weeks after the initiation of loading, histomorphometric analysis and
microcomputed tomography were performed. Scanning electron microscopy (SEM) was
also performed with an acid etching technique using longitudinal and cross
sectional specimens. More bone formation around loaded implants was noted. In the
implant neck, osteocytes tended to be more spherical with increased dendrite
processes around loaded implants, while spindle-shaped osteocytes without
increased dendrite processes were observed around unloaded implants in both
longitudinal and cross-sectional images. In the bottom area, morphological
changes in osteocytes were observed around loaded implants; however, dendrite
processes did not differ in longitudinal or cross-sectional images, regardless of
mechanical loading. These findings indicate that increased osteocyte numbers and
developed dendrite processes are associated with anabolic bone responses to
mechanical loading. The combination of acid etching and SEM imaging is a useful
technique to assess ultrastructural osteocyte morphology around dental implants.
PMID- 26555716
TI - Rigid and non-rigid geometrical transformations of a marker-cluster and their
impact on bone-pose estimation.
AB - When stereophotogrammetry and skin-markers are used, bone-pose estimation is
jeopardised by the soft tissue artefact (STA). At marker-cluster level, this can
be represented using a modal series of rigid (RT; translation and rotation) and
non-rigid (NRT; homothety and scaling) geometrical transformations. The NRT has
been found to be smaller than the RT and claimed to have a limited impact on bone
pose estimation. This study aims to investigate this matter and comparatively
assessing the propagation of both STA components to bone-pose estimate, using
different numbers of markers. Twelve skin-markers distributed over the anterior
aspect of a thigh were considered and STA time functions were generated for each
of them, as plausibly occurs during walking, using an ad hoc model and
represented through the geometrical transformations. Using marker-clusters made
of four to 12 markers affected by these STAs, and a Procrustes superimposition
approach, bone-pose and the relevant accuracy were estimated. This was done also
for a selected four marker-cluster affected by STAs randomly simulated by
modifying the original STA NRT component, so that its energy fell in the range 30
90% of total STA energy. The pose error, which slightly decreased while
increasing the number of markers in the marker-cluster, was independent from the
NRT amplitude, and was always null when the RT component was removed. It was thus
demonstrated that only the RT component impacts pose estimation accuracy and
should thus be accounted for when designing algorithms aimed at compensating for
STA.
PMID- 26555717
TI - Someone's lurking in the dark: The role of state anxiety on attention deployment
to threat-related stimuli.
AB - Anxious states can alter attention, impairing goal-directed processing in favor
of bottom-up capture. However, it is still unclear whether anxiety-related biases
already influence the earliest stage of information processing, especially for
unattended threat-related stimuli. Here we tested, using EEG, if the amplitude of
the first component of the Visual Evoked Potentials (C1) to simple visual stimuli
(either neutral or threat-related) varied depending on anxiety level and task
demands. Results showed that anxiety altered goal-directed processing, reducing
P300 amplitude to target stimuli, while it increased the C1 to irrelevant
stimuli, regardless of their emotional content. Moreover, enhanced load at
fixation reduced the amplitude of this component to neutral stimuli, but this
early filtering effect was abolished by state anxiety. These results shed light
on the time-course of attentional biases in anxiety, confirming that this
transient state can enhance bottom-up capture as early as in V1, at the expense
of goal-directed processing.
PMID- 26555720
TI - Extraction and Identification of the Pigment in the Adductor Muscle Scar of
Pacific Oyster Crassostrea gigas.
AB - In this study, UV (ultraviolet) and IR (infrared radiation) spectral analysis
were integrated to identify the pigment in the adductor muscle scar of the
Pacific oyster Crassostrea gigas. The pigment was extracted from the adductor
muscle scars of cleaned oyster shells that were pulverized, hydrolyzed in hot
hydrochloric acid, purified with diethyl ether, and dissolved in 0.01 mL/L NaOH.
The maximum absorption of the pigment in the UV absorption spectrum within the
range of 190-500 nm was observed between 210-220 nm. The UV absorbance decreased
with increasing wavelength which was consistent with the UV spectral absorption
characteristics of melanin. In addition, Fourier transform infrared spectroscopy
scanning revealed characteristic absorption peaks that emerged near 3440 cm-1 and
1630 cm-1, which was consistent with infrared scanning features of eumelanin (a
type of melanin). This study has demonstrated for the first time that the pigment
in the adductor muscle scar of the Pacific oyster is melanin, hinting that the
adductor muscle could be another organ pigmenting the mollusc shell with melanin
other than mantle.
PMID- 26555718
TI - Synaptic interactions and inhibitory regulation in auditory cortex.
AB - This Special Issue focuses on the auditory-evoked mismatch negativity (MMN), an
electrophysiological index of change, and its reduction in schizophrenia. The
following brief review is an attempt to complement the behavioral and clinical
contributions to the Special Issue by providing basic information on synaptic
interactions and processing in auditory cortex. A key observation in previous
studies is that the MMN involves activation of cortical N-methyl-D-aspartate
(NMDA) receptors. Yet, NMDA receptor activation is regulated by a number of
synaptic events, which also may contribute to the MMN reduction in schizophrenia.
Accordingly, this review will focus on synaptic interactions, notably inhibitory
regulation of NMDA receptor-mediated activity, in auditory cortex.
PMID- 26555721
TI - Visualization of Chondrocyte Intercalation and Directional Proliferation via
Zebrabow Clonal Cell Analysis in the Embryonic Meckel's Cartilage.
AB - Development of the vertebrate craniofacial structures requires precise
coordination of cell migration, proliferation, adhesion and differentiation.
Patterning of the Meckel's cartilage, a first pharyngeal arch derivative,
involves the migration of cranial neural crest (CNC) cells and the progressive
partitioning, proliferation and organization of differentiated chondrocytes.
Several studies have described CNC migration during lower jaw morphogenesis, but
the details of how the chondrocytes achieve organization in the growth and
extension of Meckel's cartilage remains unclear. The sox10 restricted and
chemically induced Cre recombinase-mediated recombination generates permutations
of distinct fluorescent proteins (RFP, YFP and CFP), thereby creating a multi
spectral labeling of progenitor cells and their progeny, reflecting distinct
clonal populations. Using confocal time-lapse photography, it is possible to
observe the chondrocytes behavior during the development of the zebrafish
Meckel's cartilage. Multispectral cell labeling enables scientists to demonstrate
extension of the Meckel's chondrocytes. During extension phase of the Meckel's
cartilage, which prefigures the mandible, chondrocytes intercalate to effect
extension as they stack in an organized single-cell layered row. Failure of this
organized intercalating process to mediate cell extension provides the cellular
mechanistic explanation for hypoplastic mandible that we observe in mandibular
malformations.
PMID- 26555722
TI - A Case Study of Petroleum Degradation in Different Soil Textural Classes.
AB - BACKGROUND: Patents have been granted for a number of techniques for petroleum
biodegradation including use of micro-organisms for degradation of hydrocarbon
based substances and for hydrocarbon degradation in oil reservoirs, but there is
a dearth of information on hydrocarbon degradation in different soil textures.
OBJECTIVE: Hence, this work investigated the effects of different soil textures
on degradation of petroleum hydrocarbons during a six-week period. METHODS: Five
soil textural classes commonly found in Port Harcourt metropolis, Nigeria, namely
sand, loamy sand, sandy loam, silty clay and clay, were employed. The soils were
contaminated with the same amount of crude oil and then remediated by
biostimulation. Selected soil properties were monitored over time. RESULTS:
Bacterial numbers declined significantly in the fine soil textures after
petroleum contamination, but were either unaffected or increased significantly in
the coarser soil textures. Hydrocarbon losses ranged from 42% - 99%; the sandy
loam had the highest, while the clay soil had the least total hydrocarbon content
(THC) reduction. The total heterotrophic bacterial (THB) counts generally
corroborated the THC results. Fold increase in bacterial numbers due to
remediation treatment decreased with increasing clay content. CONCLUSION: The
results suggest that higher sand than clay content of soil favours faster
hydrocarbon degradation. Hydrocarbon degradation efficiency increased with silt
content among soil groupings such as fine and coarse soils but not necessarily
with increasing silt content of soil. Thus, there seems to be cut-off sand and
clay contents in soil at which the effect of the silt content becomes
significant.
PMID- 26555723
TI - Human CD180 Transmits Signals via the PIM-1L Kinase.
AB - Toll-like receptors (TLRs) are important sensors of the innate immune system that
recognize conserved structural motifs and activate cells via a downstream
signaling cascade. The CD180/MD1 molecular complex is an unusual member of the
TLR family, since it lacks the components that are normally required for signal
transduction by other TLRs. Therefore the CD180/MD 1 complex has been considered
of being incapable of independently initiating cellular signals. Using
chemogenetic approaches we identified specifically the membrane bound long form
of PIM-1 kinase, PIM-1L as the mediator of CD180-dependent signaling. A dominant
negative isoform of PIM-1L, but not of other PIM kinases, inhibited signaling
elicited by cross-linking of CD180, and this effect was phenocopied by PIM
inhibitors. PIM-1L was directed to the cell membrane by its N-terminal extension,
where it colocalized and physically associated with CD180. Triggering CD180 also
induced increased phosphorylation of the anti-apoptotic protein BAD in a PIM
kinase-dependent fashion. Also in primary human B cells, which are the main cells
expressing CD180 in man, cross-linking of CD180 by monoclonal antibodies
stimulated cell survival and proliferation that was abrogated by specific
inhibitors. By associating with PIM-1L, CD180 can thus obtain autonomous
signaling capabilities, and this complex is then channeling inflammatory signals
into B cell survival programs. Pharmacological inhibition of PIM-1 should
therefore provide novel therapeutic options in diseases that respond to innate
immune stimulation with subsequently increased B cell activity, such as lupus
erythematosus or myasthenia gravis.
PMID- 26555724
TI - Safety and Outcome of Pharmacy-Led Vancomycin Dosing and Monitoring.
AB - BACKGROUND: Therapeutic vancomycin trough levels correlate with therapeutic
success and the development of renal failure. In this study, we aimed to describe
the safety and outcome of pharmacy-led vancomycin dosing and monitoring. METHODS:
We included adults requiring vancomycin for >48 h and who had a vancomycin trough
level drawn near steady state. The primary outcome of the comparison was the
achievement of therapeutic trough levels, defined as 10-20 ug/ml. Secondary
outcome included acute renal failure. We compared these outcomes before and after
the implementation of pharmacy-led vancomycin dosing and monitoring. RESULT:
During the study period, a total of 278 patients were in the preimplementation
phase and 286 were in the postintervention phase. There was a clear increase in
the percentage of patients achieving the therapeutic range (50.5 vs. 79.7%, p =
0.0001) and an increase in the percentage of levels within the therapeutic range
(31.6 vs. 59.1%; p = 0.0001). The number of cases receiving vancomycin increased
by 5% and the duration of therapy decreased by 19.5%. More patients attained a
therapeutic range of 10-20 ug/ml (i.e. the level was 31.6% in the preintervention
and 59.1% in the postintervention phase). CONCLUSIONS: A higher percentage of
patients achieved a therapeutic range and less nephrotoxicity when using a
pharmacy-led protocol for vancomycin dosing.
PMID- 26555725
TI - Journal Impact Factor Shapes Scientists' Reward Signal in the Prospect of
Publication.
AB - The incentive structure of a scientist's life is increasingly mimicking economic
principles. While intensely criticized, the journal impact factor (JIF) has taken
a role as the new currency for scientists. Successful goal-directed behavior in
academia thus requires knowledge about the JIF. Using functional neuroimaging we
examined how the JIF, as a powerful incentive in academia, has shaped the
behavior of scientists and the reward signal in the striatum. We demonstrate that
the reward signal in the nucleus accumbens increases with higher JIF during the
anticipation of a publication and found a positive correlation with the personal
publication record (pJIF) supporting the notion that scientists have incorporated
the predominant reward principle of the scientific community in their reward
system. The implications of this behavioral adaptation within the ecological
niche of the scientist's habitat remain unknown, but may also have effects which
were not intended by the community.
PMID- 26555726
TI - Validation of a base deficit-based trauma prediction model and comparison with
TRISS and ASCOT.
AB - BACKGROUND: Base deficit provides a more objective indicator of physiological
stress following injury as compared with vital signs constituting the revised
trauma score (RTS). We have previously developed a base deficit-based trauma
survival prediction model [base deficit and injury severity score model (BISS)],
in which RTS was replaced by base deficit as a measurement of physiological
imbalance. PURPOSE: To externally validate BISS in a large cohort of trauma
patients and to compare its performance with established trauma survival
prediction models including trauma and injury severity score (TRISS) and a
severity characterization of trauma (ASCOT). Moreover, we examined whether the
predictive accuracy of BISS model could be improved by replacement of injury
severity score (ISS) by new injury severity score (NISS) in the BISS model
(BNISS). METHODS: In this retrospective, observational study, clinical data of
3737 trauma patients (age >=15 years) admitted consecutively from 2003 to 2007
were obtained from a prospective trauma registry to calculate BISS, TRISS, and
ASCOT models. The models were evaluated in terms of discrimination [area under
curve (AUC)] and calibration. RESULTS: The in-hospital mortality rate was 8.1 %.
The discriminative performance of BISS to predict survival was similar to that of
TRISS and ASCOT [AUCs of 0.883, 95 % confidence interval (CI) 0.865-0.901 for
BISS, 0.902, 95 % CI 0.858-0.946 for TRISS and 0.864, 95 % CI 0.816-0.913 for
ASCOT]. Calibration tended to be optimistic in all three models. The updated
BNISS had an AUC of 0.918 indicating that substitution of ISS with NISS improved
model performance. CONCLUSIONS: The BISS model, a base deficit-based trauma model
for survival prediction, showed equivalent performance as compared with that of
TRISS and ASCOT and may offer a more simplified calculation method and a more
objective assessment. Calibration of BISS model was, however, less good than that
of other models. Replacing ISS by NISS can considerably improve model accuracy,
but further confirmation is needed.
PMID- 26555727
TI - Major trauma in winter sports: an international trauma database analysis.
AB - PURPOSE: The aim of this study was to compare the demographics, injury patterns,
and outcomes following major trauma between Alpine skiing, snowboarding, and
sledding winter sports. METHODS: An international population-based prospective
trauma database (TraumaRegister DGU(r)) was analyzed for demographic data, types
and severity of injuries [body regions, Injury Severity Score (ISS)], early
physiology [Glasgow Coma Scale (GCS), blood pressure, body temperature], rescue
modality, surgical care, length of stay, and major complications (shock, multiple
organ failure mortality). Participating countries included Germany, Austria,
Switzerland, Finland, Slovenia, Belgium, Luxembourg, and The Netherlands.
RESULTS: A total of 243 winter sport athletes with major trauma were identified
(1993-2012), and subjects were divided into Alpine skiers (n = 174), snowboarders
(n = 29), and sledders (n = 40). Athletes were predominantly male and presented
hypothermic at emergency room arrival, despite a large proportion of air rescue
(77 %). Alpine skiing was associated with higher injury severity (ISS 20.8 +/-
14.0, p = 0.010) when compared with snowboarding (ISS 18.7 +/- 14.0) and sledding
(ISS 13.8 +/- 9.5). Snowboarding was associated with the highest pre-hospital
intubation rate (40.9 %, p = 0.007), despite comparable GCS values and prevalence
of loss of consciousness at scene. The injury patterns were different between the
three groups. Skiing was associated with head (47.1 %), chest (40.2 %, p =
0.047), and spinal injuries (40.9 %, p = 0.022). Snowboarding was associated with
the highest percentage of upper extremity trauma. Sledders had the highest
prevalence of facial and lower extremity trauma. CONCLUSIONS: Alpine skiing,
snowboarding, and sledding result in different injury patterns and affect various
age groups. Our data suggest an increased risk for chest and spinal injuries in
Alpine skiers. Due to high-energy injury mechanisms, all three winter sports
involve a risk of severe multiple trauma. While all athlete groups required a
high rate of emergency surgery procedures, the observed in-hospital mortality
from winter sports remains low.
PMID- 26555728
TI - Does MIPO of fractures of the distal femur result in more rotational malalignment
than ORIF? A retrospective study.
AB - PURPOSE: Intraoperative control of rotational malalignment poses a big challenge
for surgeons when using modern MIPO (minimally invasive plate osteosynthesis)
techniques. We hypothesized that distal femoral fractures treated with MIPO
technique are more often fixed in malrotation than those treated with open
reduction internal fixation (ORIF). METHODS: In this retrospective study, we
identified 20 patients who met the inclusion criteria and agreed to take part in
the study. In ten patients MIPO was applied, in the other ten ORIF was used. Mean
age was 44.8 (19-71 years). Functional status was assessed using clinical scores
(Harris Hip Score, WOMAC Hip, KS Score, WOMAC Knee, Kujala Score). Rotational
alignment was assessed with magnetic resonance imaging and compared to the
opposite leg. RESULTS: We discovered a significant difference in the mean
rotational difference between the MIPO group (14.3 degrees ) and the ORIF group
(5.2 degrees ). Functionally, patients in the ORIF group outperformed patients in
the MIPO group in all clinical scoring systems although no one proved to be
statistically significant. MIPO technique was associated with significantly more
rotational malalignment compared to ORIF in distal femur fracture fixation.
However, implant failure and nonunion was more common in the ORIF group, with a
revision rate of 3 versus 1 in the ORIF group. Clinical scoring did not
significantly different between both groups. CONCLUSION: Taking into account the
undisputable advantages of minimally invasive surgery, improved teaching of
methods to avoid malrotation as well as regular postoperative investigations to
detect any malrotation should be advocated.
PMID- 26555729
TI - Comparison of MRI, CT and bone scintigraphy for suspected scaphoid fractures.
AB - PURPOSE: The best diagnostic modality for confirmation of the diagnosis of a
scaphoid fracture that is not visible on the initial radiograph (occult scaphoid
fracture) is still subject of debate. The aim of this study was to compare the
accuracy of magnetic resonance imaging (MRI), computed tomography (CT) and bone
scintigraphy (BS) for the diagnosis of these occult scaphoid fractures. PATIENTS
AND METHODS: In a study period of 12 months, 33 consecutive patients with a
clinically suspected scaphoid fracture without a fracture on the scaphoid
radiographs were evaluated with MRI, CT and BS. In case of a discrepancy between
the diagnostic modalities, the final diagnosis was based on standardised follow
up with clinical examination and a repeated radiograph. RESULTS: Three of the 33
patients had a scaphoid fracture. MRI missed one scaphoid fracture and did not
over-diagnose. CT missed two scaphoid fractures and did not over-diagnose. BS
missed no scaphoid fractures and over-diagnosed one scaphoid fracture in a
patient with a fracture of the trapezium. CONCLUSION: This study shows that
neither MRI, nor CT and BS are 100 % accurate in diagnosing occult scaphoid
fractures. MRI and CT miss fractures, and BS tends to over-diagnose. The specific
advantages and limitations of each diagnostic modality should be familiar to the
treating physicians and taken into consideration during the diagnostic process.
PMID- 26555730
TI - Performance Evaluation of Resource Management in Cloud Computing Environments.
AB - Cloud computing is a computational model in which resource providers can offer on
demand services to clients in a transparent way. However, to be able to guarantee
quality of service without limiting the number of accepted requests, providers
must be able to dynamically manage the available resources so that they can be
optimized. This dynamic resource management is not a trivial task, since it
involves meeting several challenges related to workload modeling, virtualization,
performance modeling, deployment and monitoring of applications on virtualized
resources. This paper carries out a performance evaluation of a module for
resource management in a cloud environment that includes handling available
resources during execution time and ensuring the quality of service defined in
the service level agreement. An analysis was conducted of different resource
configurations to define which dimension of resource scaling has a real influence
on client requests. The results were used to model and implement a simulated
cloud system, in which the allocated resource can be changed on-the-fly, with a
corresponding change in price. In this way, the proposed module seeks to satisfy
both the client by ensuring quality of service, and the provider by ensuring the
best use of resources at a fair price.
PMID- 26555731
TI - Combining powers of linkage and association mapping for precise dissection of QTL
controlling resistance to gray leaf spot disease in maize (Zea mays L.).
AB - BACKGROUND: Gray Leaf Spot (GLS causal agents Cercospora zeae-maydis and
Cercospora zeina) is one of the most important foliar diseases of maize in all
areas where the crop is being cultivated. Although in the USA the situation with
GLS severity is not as critical as in sub-Saharan Africa or Brazil, the evidence
of climate change, increasing corn monoculture as well as the narrow genetic base
of North American resistant germplasm can turn the disease into a serious threat
to US corn production. The development of GLS resistant cultivars is one way to
control the disease. In this study we combined the high QTL detection power of
genetic linkage mapping with the high resolution power of genome-wide association
study (GWAS) to precisely dissect QTL controlling GLS resistance and identify
closely linked molecular markers for robust marker-assisted selection and trait
introgression. RESULTS: Using genetic linkage analysis with a small bi-parental
mapping population, we identified four GLS resistance QTL on chromosomes 1, 6, 7,
and 8, which were validated by GWAS. GWAS enabled us to dramatically increase the
resolution within the confidence intervals of the above-mentioned QTL.
Particularly, GWAS revealed that QTLGLSchr8, detected by genetic linkage mapping
as a locus with major effect, was likely represented by two QTL with smaller
effects. Conducted in parallel, GWAS of days-to-silking demonstrated the co
localization of flowering time QTL with GLS resistance QTL on chromosome 7
indicating that either QTLGLSchr7 is a flowering time QTL or it is a GLS
resistance QTL that co-segregates with the latter. As a result, this genetic
linkage - GWAS hybrid mapping system enabled us to identify one novel GLS
resistance QTL (QTLGLSchr8a) and confirm with more refined positions four more
previously mapped QTL (QTLGLSchr1, QTLGLSchr6, QTLGLSchr7, and QTLGLSchr8b).
Through the novel Single Donor vs. Elite Panel method we were able to identify
within QTL confidence intervals SNP markers that would be suitable for marker
assisted selection of gray leaf spot resistant genotypes containing the above
mentioned GLS resistance QTL. CONCLUSION: The application of a genetic linkage -
GWAS hybrid mapping system enabled us to dramatically increase the resolution
within the confidence interval of GLS resistance QTL by-passing labor- and time
intensive fine mapping. This method appears to have a great potential to
accelerate the pace of QTL mapping projects. It is universal and can be used in
the QTL mapping projects in any crops.
PMID- 26555733
TI - Back to monoxeny: Phytomonas nordicus descended from dixenous plant parasites.
AB - The trypanosomatid Phytomonas nordicus parasitizing the predatory bug Troilus
luridus was described at the twilight of the morphotype-based systematics.
Despite its monoxenous life cycle, this species was attributed to the dixenous
genus Phytomonas due to the presence of long twisted promastigotes and
development of flagellates in salivary glands. However, these characteristics
were considered insufficient for proving the phytomonad nature of the species and
therefore its description remained virtually unnoticed. Here, we performed
molecular phylogenetic analyses using 18S ribosomal RNA (rRNA) gene and region
containing internal trascribed spacers (ITS) 1 and 2 and convincingly
demonstrated the affinity of P. nordicus to the genus Phytomonas. In addition, we
investigated its development in the salivary glands. We argue that in many
aspects the life cycle of monoxenous P. nordicus resembles that of its dixenous
relatives represented by tomato-parasitizing Phytomonas serpens.
PMID- 26555732
TI - An outbreak of acute delirium from exposure to the synthetic cannabinoid AB
CHMINACA.
AB - BACKGROUND: Synthetic cannabinoid containing products are a public health threat
as reflected by a number of outbreaks of serious adverse health effects over the
past 4 years. The designer drug epidemic is characterized by the rapid turnover
of synthetic cannabinoid compounds on the market which creates a challenge in
identifying the particular etiology of an outbreak, confirming exposure in cases,
and providing current information to law enforcement. RESULTS: Between 28 May
2014 and 8 June 2014, 35 patients were evaluated and treated at the University of
Florida Health Medical Center in Gainesville following reported exposure to a
synthetic cannabinoid containing product obtained from a common source. Patients
demonstrated acute delirium (24) and seizures (14), and five required ventilator
support and ICU-level care; none died. The presence of N-[(1S)-1-(aminocarbonyl)
2-methylpropyl]-1-(cyclohexylmethyl)-1H-indazole-3-carboxamide (AB-CHMINACA), or
one of its predicted metabolites was confirmed in 15 of 21 cases. A rapid public
health response and aggressive public messaging prevented further morbidity,
identified the source, and led to law enforcement seizure of the implicated
product. DISCUSSION: The significance of this outbreak lies as much in the rapid
occurrence of unpredictable, life-threatening adverse health effects from a newly
identified synthetic cannabinoid compound as it does in the multidisciplinary
investigation and novel partnership between local public health, the laboratory,
and the chemical industry, resulting in termination of the outbreak. CONCLUSION:
A coordinated response and collaboration between law enforcement, the local
public health, emergency medical services and Health Center staff, were all key
interventions in preventing a more substantial public health outbreak resulting
from use of a novel synthetic cannabinoid compound. Real time collaborations
between toxicology laboratories, suppliers of analytical standards and the public
health system may be useful in the face of future novel chemical exposures.
PMID- 26555734
TI - Ultrastructure and phylogeny of Glugea arabica n. sp. (Microsporidia), infecting
the marine fish Epinephelus polyphekadion from the Red Sea.
AB - A new microsporidian species, Glugea arabica n. sp., is reported infecting the
intestinal wall of the marine teleost Epinephelus polyphekadion (=microdon)
collected from the Red Sea coast off Saudi Arabia, and described on the basis of
microscopic and molecular procedures. Spherical blackish xenomas formed
parasitophorous vacuoles completely packed with several parasitic developmental
stages, including spores. The nuclei were monokaryotic in all developmental
stages. Spores were ellipsoidal to pyriform and measured 6.3 +/- 0.3 (5.9-6.6)
MUm in length and 3.3 +/- 0.4 (2.9-3.7) MUm in width. A lamellar polaroplast
surrounded the uncoiled portion of the polar filament, which extended into the
spore's posterior pole and formed 27-29 coils organized in three or four rows.
The posterior vacuole, located at the spore's posterior pole, appeared surrounded
by the polar filament coils and displayed an irregular matrix composed of light
material, in which was located the posterosome. Molecular analysis of the rRNA
genes, including the ITS region, was performed using maximum parsimony, neighbor
joining and maximum likelihood methodologies. The ultrastructural features
observed, in combination with the molecular data analysed, suggests the parasite
to be a new species of the genus Glugea.
PMID- 26555735
TI - The influence of zooplankton enrichment on the microbial loop in a shallow,
eutrophic lake.
AB - With increasing primary productivity, ciliates may become the most important
members of the microbial loop and form a central linkage in the transformation of
microbial production to upper trophic levels. How metazooplankters, especially
copepods, regulate ciliate community structure in shallow eutrophic waters is not
completely clear. We carried out mesocosm experiments with different cyclopoid
copepod enrichments in a shallow eutrophic lake to examine the responses of
ciliate community structure and abundance to changes in cyclopoid copepod biomass
and to detect any cascading effects on bacterioplankton and edible phytoplankton.
Our results indicate that an increase in copepod zooplankton biomass favours the
development of small-sized bacterivorous ciliates. This effect is unleashed by
the decline of predaceous ciliate abundance, which would otherwise graze
effectively on the small-sized ciliates. The inverse relationship between
crustacean zooplankton and large predaceous ciliates is an important feature
adjusting not only the structure of the ciliate community but also the energy
transfer between meta- and protozooplankton. Still we could not detect any
cascading effects on bacterio- or phytoplankton that would be caused by the
structural changes in the ciliate community.
PMID- 26555736
TI - Conserved histidine residues at the ferroxidase centre of the Campylobacter
jejuni Dps protein are not strictly required for metal binding and oxidation.
AB - Iron is an essential micronutrient for living organisms as it is involved in a
broad variety of important biological processes. However, free iron inside the
cell could be potentially toxic, generating hydroxyl radicals through the Fenton
reaction. Dps (DNA-binding protein from starved cells) belongs to a subfamily of
ferritins and can store iron atoms inside the dodecamer. The presence of a
ferroxidase centre, composed of highly conserved residues, is a signature of this
protein family. In this study, we analysed the role of two conserved histidine
residues (H25 and H37) located at the ferroxidase centre of the Campylobacter
jejuni Dps protein by replacing them with glycine residues. The C. jejuni
H25G/H37G substituted variant showed reduced iron binding and ferroxidase
activities in comparison with wt Dps, while DNA-binding activity remained
unaffected. We also found that both CjDps wt and CjDps H25G/H37G were able to
bind manganese atoms. These results indicate that the H25 and H37 residues at the
ferroxidase centre of C. jejuni Dps are not strictly required for metal binding
and oxidation.
PMID- 26555737
TI - Diagnostic testing for Clostridium difficile in Italian microbiological
laboratories.
AB - A laboratory diagnosis survey of Clostridium difficile infection (CDI) was
performed in Italy in 2012-2013. Questionnaires from 278 healthcare settings from
15 regions of Italy were collected and analysed. Eighty seven percent of the
laboratories declared to routinely perform CDI diagnosis, 99% of them only after
the clinician's request. Among the 216 laboratories providing information on the
size of the hospitals in which they were located, 65 had more than 500 beds
(large hospitals), while 151 had less than 500 beds (small hospitals). The
average percentage of positive tests for C. difficile toxins was 12.2%. Almost
half of the laboratories (42%) used immunoenzymatic assay (EIA) for Tox A/B as a
stand-alone method, while only 34% used an algorithm for CDI as indicated by the
European guidelines. A low percentage of laboratories performed molecular assays
or C. difficile culture, 25% and 29%, respectively. Most laboratories (161/278)
declared to type C. difficile strains, the majority in collaboration with a
reference laboratory. Among the 103 C. difficile clinical isolates collected
during the study, 31 different PCR-ribotypes were identified. PCR-ribotype
356/607 (27%) was predominant, followed by 018 (12%). These two PCR-ribotypes
show 87.5% of similarity in ribotyping profile. PCR-ribotypes 027 and 078
represented 8% and 4% of the strains, respectively. Four PCR-ribotypes (027, 033,
078 and 126) were positive for the binary toxin CDT. In particular, PCR-ribotype
033 produces only CDT, and it has recently been associated with symptomatic
cases. The majority of strains were multidrug resistant. In particular, all
strains PCR-ribotypes 356/607 and 018 were resistant to moxifloxacin, rifampicin,
erythromycin and clindamycin. The results obtained highlight the need to raise
awareness to the microbiological diagnosis of CDI among clinicians and to
implement and harmonize diagnostic methods for CDI in Italian laboratories in the
perspective of a future national surveillance.
PMID- 26555738
TI - Slip resistance of winter footwear on snow and ice measured using maximum
achievable incline.
AB - Protective footwear is necessary for preventing injurious slips and falls in
winter conditions. Valid methods for assessing footwear slip resistance on winter
surfaces are needed in order to evaluate footwear and outsole designs. The
purpose of this study was to utilise a method of testing winter footwear that was
ecologically valid in terms of involving actual human testers walking on
realistic winter surfaces to produce objective measures of slip resistance.
During the experiment, eight participants tested six styles of footwear on wet
ice, on dry ice, and on dry ice after walking over soft snow. Slip resistance was
measured by determining the maximum incline angles participants were able to walk
up and down in each footwear-surface combination. The results indicated that
testing on a variety of surfaces is necessary for establishing winter footwear
performance and that standard mechanical bench tests for footwear slip resistance
do not adequately reflect actual performance. Practitioner Summary: Existing
standardised methods for measuring footwear slip resistance lack validation on
winter surfaces. By determining the maximum inclines participants could walk up
and down slopes of wet ice, dry ice, and ice with snow, in a range of footwear,
an ecologically valid test for measuring winter footwear performance was
established.
PMID- 26555739
TI - Modular Access to the Stereoisomers of Fused Bicyclic Azepines: Rhodium-Catalyzed
Intramolecular Stereospecific Hetero-[5+2] Cycloaddition of Vinyl Aziridines and
Alkenes.
AB - The first rhodium-catalyzed intramolecular hetero-[5+2] cycloaddition reaction of
vinyl aziridines and alkenes was realized, wherein both internal and terminal
alkenes were applicable. With this method, a variety of unique substituted chiral
fused bicyclic azepines, bearing multiple contiguous stereogenic centers, were
facilely accessed in a straightforward, high-yielding, and highly stereoselective
manner under mild reaction conditions. Notably, the E/Z geometry of the C?C bonds
in the vinyl aziridine-alkene substrates impact the cis/trans stereochemistry of
the cycloadducts and up to six stereoisomers could be delivered.
PMID- 26555740
TI - ENDOTHELIUM-DERIVED INHIBITORS EFFICIENTLY ATTENUATE THE AGGREGATION AND ADHESION
RESPONSES OF REFRIGERATED PLATELETS.
AB - Refrigeration of platelets (4 degrees C) provides the possibility of improving
transfusion practice over the current standard-of-care, room temperature (RT)
storage. However, the increased level of platelet activation observed at 4
degrees C in vitro is cause for concern of uncontrolled thrombosis in vivo. In
this study, we assessed the safety of 4 degrees C-stored platelets by evaluating
their response to physiologic inhibitors prostacyclin (PGI2) and nitric oxide
(NO). Apheresis platelets were collected from healthy donors (n = 4) and tested
on Day 1 (fresh) or Day 5 (RT- and 4 degrees C-stored) after treatment with PGI2
and NO or not for: thrombin generation; factor V (FV) activity; intracellular
free calcium, cAMP and cGMP; ATP release; TRAP-induced activation; aggregation to
ADP, collagen, and TRAP, and adhesion to collagen under arterial flow. Data were
analyzed using two-way ANOVA and post-hoc Tukey test for multiple comparisons,
with significance set at P < 0.05. Treatment with inhibitors increased
intracellular cAMP and cGMP levels in fresh and stored platelets. Thrombin
generation was significantly accelerated in stored platelets consistent with
increased factor V levels, PS exposure, CD62P expression, intracellular free
calcium, and ATP release. While treatment with inhibitors did not attenuate
thrombin generation in stored platelets, activation, aggregation, and adhesion
responses were inhibited by both PGI2 and NO in 4 degrees C-stored platelets. In
contrast, though RT-stored platelets were activated, they did not adhere or
aggregate in response to agonists. Thus, refrigerated platelets maintain their
intracellular machinery, are responsive to agonists and platelet function
inhibitors, and perform hemostatically better than RT-stored platelets.
PMID- 26555741
TI - THE PROTECTIVE ROLE OF CURCUMIN IN ZYMOSAN-INDUCED MULTIPLE ORGAN DYSFUNCTION
SYNDROME IN MICE.
AB - AIM: Multiple Organ Dysfunction Syndrome (MODS) is characterized as progressive
and uncontrolled inflammatory response which involves activation of inflammatory
cascades, cytokines release, and endothelial dysfunction, leading to
deterioration of several organ functions. Curcumin is a natural polyphenol
related to the yellow color of turmeric and has been reported to exert an anti
inflammatory, anti-oxidative, and anti-tumor effect. We conducted the study to
investigate the effects of curcumin in non-septic MODS caused by zymosan in mice
model. METHOD: The mice were randomly allocated into five groups (six mice per
group): control group (treated with physiological saline, 0.1 mL daily for 3 days
before and 1 h after physiological saline treatment), DMSO group (treated with
DMSO, 0.1 mL daily for 3 days before and 1 h after physiological saline
treatment), Curcumin group (200 mg/kg, suspended in DMSO, in a final volume of
0.1 mL, used for 3 days daily before and 1 h after physiological saline
treatment), Zymosan+DMSO group (treated with DMSO, 0.1 mL daily for 3 days before
and 1 h after zymosan treatment) and Zymosan+ Curcumin group (treated with
curcumin, suspended in DMSO at a dose of 0.1 mL daily for 3 days before and 1 h
after zymosan treatment).Mice in groups were sacrificed, and then the blood and
tissues were collected to evaluate the severity of acute peritonitis, tissue
histopathological changes, NO formation, oxidative stress, PMN infiltration,
cytokines production, organ function, and NF-kappaB activation 18 h after when
zymosan or physiological saline was injected. In another set of experiments, the
mice were also grouped (20 mice per group) for monitoring the loss of body weight
and mortality for 7 days after zymosan or physiological saline administration.
RESULTS: Curcumin induces a significant reduction of the volume exudate and the
neutrophil infiltration. It also could exhibit an outstanding protective effect
against histopathological injury by decreasing the NO formation, oxidative
stress, cytokines production, and infiltration of inflammatory cells. The organ
function is also improved by administration of curcumin. Moreover, the activation
of NF-kappaB is attenuated by curcumin in the MODS mice model, suggesting that
curcumin attenuated the zymosan-induced MODS via inhibiting the expression of NF
kappaB possibly. In addition, curcumin-treated mice were shown to alleviate the
severity of MODS characterized by a minor systemic toxicity, less body weight
loss, and lower mortality caused by zymosan administration. CONCLUSION: Curcumin
attenuates zymosan-induced MODS.
PMID- 26555742
TI - CHANGES IN SUBLINGUAL MICROCIRCULATION IS CLOSELY RELATED WITH THAT OF BULBAR
CONJUNCTIVAL MICROCIRCULATION IN A RAT MODEL OF CARDIAC ARREST.
AB - Following successful resuscitation, a significantly impaired microcirculation has
been identified. The severity of the impairment of microcirculation is closely
related to that of vital organ dysfunction. Sublingual microcirculation is a
traditional site for the measurement of tissue perfusion. In the present study,
we investigated the bulbar conjunctival microcirculatory alterations following
CPR and its relationship with the changes of sublingual microcirculation in a rat
model of cardiac arrest.Male Sprague-Dawley rats (450-550 g) were utilized.
Ventricular fibrillation was induced and untreated for 8 min followed by 8 min of
CPR. Sublingual and bulbar conjunctival microcirculatory blood flow was
visualized by a sidestream dark-field imaging device at baseline, 30 min, 1, 2,
4, and 8 h post-resuscitation. Both perfused vessel density (PVD) and
microcirculatory flow index (MFI) were recorded.The post-resuscitation PVD and
MFI were significantly decreased in both sublingual and bulbar conjunctival
sites. Sublingual PVD decreased from baseline of 5.9 +/- 0.3 to 3.1 +/- 0.4 n/mm
at 30 min post-resuscitation and MFI from 3.0 +/- 0.0 to 1.5 +/- 0.3 (both P <
0.05 vs. baseline). Bulbar conjunctival PVD was significantly reduced from
baseline of 6.5 +/- 0.6 to 3.9 +/- 0.5 n/mm at 30 min post-resuscitation and MFI
from 3.0 +/- 0.0 to 1.2 +/- 0.4 (both P < 0.05 vs. baseline). PVD, MFI, and
cardiac function did not change significantly from the 30-min measurements in the
surviving rats throughout the remainder of the study (both P > 0.05 vs. 30-min
post-resuscitation). The decreases in sublingual microcirculatory blood flow were
closely correlated with the reductions of bulbar conjunctival microcirculatory
blood flow (PVD: r = 0.87, P < 0.05; MFI: r = 0.92, P < 0.05). Myocardial
function was significantly impaired in all animals after resuscitation when
compared with baseline values (P < 0.05). The impairments of both sublingual and
bulbar conjunctival microcirculation were significantly correlated with the
impairment of myocardial function.In the rat model of cardiac arrest, the changes
in sublingual microcirculatory blood flow are closely correlated with that of
bulbar conjunctival microcirculatory blood flow after successful resuscitation.
The changes are correlated with the severity of post-resuscitation myocardial
dysfunction. Our study testified sublingual site could be substituted by bulbar
conjunctival at least in the rat model of cardiac arrest. The measurement of
conjunctival microcirculation may provide an accessible and convenient option as
sublingual site for monitoring microcirculation in humans.
PMID- 26555743
TI - PLASMA HEME OXYGENASE-1 IN PATIENTS RESUSCITATED FROM OUT-OF-HOSPITAL CARDIAC
ARREST.
AB - Heme oxygenase-1 (HO-1) is an enzyme induced by hypoxia and reperfusion injury,
and is associated with organ dysfunction in critically ill patients. Patients
resuscitated from out-of-hospital cardiac arrest (OHCA) are subjected to
hypoxemia, brain injury, and organ dysfunction. Accordingly, we studied HO-1
among these patients. A total of 143 OHCA patients resuscitated from a shockable
initial rhythm and admitted to an ICU were included, with plasma HO-1 measured at
ICU admission and at 24 h. We analyzed the associations between plasma HO-1 and
time to return of spontaneous circulation (ROSC), 90-day mortality, and 12-month
Cerebral Performance Category (CPC). HO-1 plasma concentrations were higher after
OHCA compared with controls. HO-1 concentrations at admission and on day 1
associated with ROSC (P = 0.002 to P = 0.003). Admission and day 1 HO-1 plasma
concentrations were higher in 90-day non-survivors than in survivors (P = 0.017,
0.026). In addition, poor neurological outcome (CPC 3-5) was associated with
higher HO-1 plasma levels at admission (P = 0.024). Admission plasma HO-1 levels
had an AUC of 0.623 to predict 90-day mortality and an AUC of 0.611 to predict
CPC 3 to 5. In conclusion, we found that higher HO-1 plasma levels are associated
with longer ROSC and poor long-term outcome.
PMID- 26555744
TI - REMOTE ISCHEMIC CONDITIONING INFLUENCES MITOCHONDRIAL DYNAMICS.
AB - Remote ischemic preconditioning (RIPC) has emerged as an attractive strategy to
protect the heart against ischemia-reperfusion (I/R) injury. The mechanisms by
which remote ischemic conditioning (RIC) is protective are to date unknown, yet a
well-accepted theory holds that the mitochondria play a central role.
Mitochondria are dynamic organelles that undergo fusion and fission.
Interventions that decrease mitochondrial fission or increase mitochondrial
fusion have been associated with reduced I/R injury. However, whether RIPC
influences mitochondrial dynamics or not has yet to be ascertained.We sought to
determine the role played by mitochondrial dynamics in RIPC-induced
cardioprotection. Male adult rats exposed in vivo to myocardial I/R were assigned
to one of two groups, either undergoing 40 min of myocardial ischemia followed by
120 min of reperfusion (MI group) or four 5-min cycles of limb ischemia
interspersed by 5 min of limb reperfusion, immediately prior to myocardial
ischemia and 120 min of reperfusion (MI+RIPC group). After reperfusion, infarct
size was assessed and myocardial tissue was analyzed by Western blot and electron
microscopy. RIPC induced smaller infarct size (-28%), increased mitochondrial
fusion protein OPA1, and preserved mitochondrial morphology. These findings
suggest that mitochondrial dynamics play a role in the mechanisms of RIPC-induced
cardioprotection.
PMID- 26555745
TI - Endoscopic instrument tracking for surgical simulation training in a controlled
environment via a camera and a planar mirror.
AB - Minimally Invasive Surgery (MIS) has many advantages over traditional procedures
and thus training with MIS tools via computer simulations has received much
attention. These tools are generally grouped into two major categories: Physical
training-boxes, and Computer vision/Virtual Reality (VR) tools. In this study, a
computer vision based simulator is proposed which uses a training box that is
composed of a single camera and a planar mirror. Occlusions are appropriately
handled by the use of the epipoint geometry. The average 3D positional error was
0.96mm (+/-0.44mm) at 1280*960 resolution, and 1.18mm (+/-0.52mm) at 320*240. So,
the error is minimally affected as the resolution decreases. The proposed method
has some advantages over relevant literature methods, such as an improved
accuracy (approximately 60%) even at low resolutions with a low processing time
(approximately 30%). Therefore, the proposed method appears as a promising and
low cost (approximately 50%) alternative for computer vision based MIS training
tools.
PMID- 26555746
TI - A novel fuzzy logic-based image steganography method to ensure medical data
security.
AB - This study aims to secure medical data by combining them into one file format
using steganographic methods. The electroencephalogram (EEG) is selected as
hidden data, and magnetic resonance (MR) images are also used as the cover image.
In addition to the EEG, the message is composed of the doctor's comments and
patient information in the file header of images. Two new image steganography
methods that are based on fuzzy-logic and similarity are proposed to select the
non-sequential least significant bits (LSB) of image pixels. The similarity
values of the gray levels in the pixels are used to hide the message. The message
is secured to prevent attacks by using lossless compression and symmetric
encryption algorithms. The performance of stego image quality is measured by mean
square of error (MSE), peak signal-to-noise ratio (PSNR), structural similarity
measure (SSIM), universal quality index (UQI), and correlation coefficient (R).
According to the obtained result, the proposed method ensures the confidentiality
of the patient information, and increases data repository and transmission
capacity of both MR images and EEG signals.
PMID- 26555747
TI - Safety of Abatacept in Rheumatoid Arthritis With Serologic Evidence of Past or
Present Hepatitis B Virus Infection.
AB - OBJECTIVE: Rheumatoid arthritis (RA) with concomitant hepatitis B virus (HBV)
infection represents a therapeutic challenge due to the risk of HBV reactivation
under immunosuppressive treatment. To date there are few data coming from
anecdotal case reports that concern HBV reactivation following treatment with
abatacept. This observational retrospective study was aimed to assess the safety
profile of abatacept in this particular clinical setting. METHODS: Eleven Italian
rheumatologic centers provided data from patients with RA and positive HBV
serology treated with intravenous abatacept. HBV markers and clinical and
laboratory data were checked at followup visits every 3 months. RESULTS: In
total, 72 patients were included in the study: 47 inactive carriers, 21 occult
carriers, and 4 chronic active carriers for HBV. At baseline all of the patients
had normal liver function tests and low or undetectable HBV DNA levels, except
for those with chronic active hepatitis. Thirteen patients received prophylaxis
with lamivudine, and 4 received treatment with adefovir or tenofovir. At the end
of the 24-month followup period, 49 patients were being treated. Data from 316
followup visits showed that abatacept was safe. No patients experienced
reactivation of hepatitis B. Treatment withdrawals (23 patients) were due to lack
of efficacy, subject decision/lost at followup, or adverse events not related to
HBV infection. CONCLUSION: Our study provides reassuring data about the safety
profile of abatacept in RA with concomitant HBV infection without universal
antiviral prophylaxis. Further prospective studies are needed to confirm these
preliminary results.
PMID- 26555748
TI - Partial Dedifferentiation of Murine Radial Glia-Type Neural Stem Cells by Brn2
and c-Myc Yields Early Neuroepithelial Progenitors.
AB - Direct cell conversion developed into an important paradigm for generating cells
with enhanced differentiation capability. We combined a transcription-factor
based cell fate conversion strategy with the use of pharmacological compounds to
derive early neuroepithelial progenitor cells from developmentally more
restricted radial glia-type neural stem cells. By combining the small molecules
CHIR99021, Tranylcypromine, SB431542 and valproic acid with viral transduction of
the transcription factor c-Myc and the POU domain transcription factor Brn2, we
dedifferentiated radial glia-type neural stem cells into an early neuroepithelial
progenitor cell state within 6 days. Reverse transcription PCR analyses showed a
rapid down-regulation of the radial glia markers Olig2 and Vimentin during
conversion, whereas the neuroepithelial markers Dach1 and Sox1 were fastly up
regulated. Furthermore, a switch from N-Cadherin to E-Cadherin indicates a
mesenchymal-to-epithelial transition. The differentiation of cells converted by
Brn2/c-Myc yielded smooth muscle actin- and Peripherin-positive cells in addition
to the neuronal marker TUJ1 and cells that are positive for the glial marker
GFAP. This differentiation potential suggests that the applied reprogramming
strategy induced an early neuroepithelial cell population, which might resemble
cells of the neural border or even more primitive neuroepithelial cells.
PMID- 26555749
TI - Efficient Genome Manipulation by Variants of Site-Specific Recombinases R and TD.
AB - Genome engineering benefits from the availability of DNA modifying enzymes that
have different target specificities and have optimized performance in different
cell types. This variety of site-specific enzymes can be used to develop complex
genome engineering applications at multiple loci. Although eight yeast site
specific tyrosine recombinases are known, only Flp is actively used in genome
engineering. To expand the pool of the yeast site-specific tyrosine recombinases
capable of mediating genome manipulations in mammalian cells, we engineered and
analyzed variants of two tyrosine recombinases: R and TD. The activity of the
evolved variants, unlike the activity of the native R and TD recombinases, is
suitable for genome engineering in Escherichia coli and mammalian cells.
Unexpectedly, we found that R recombinase benefits from the shortening of its C
terminus. We also found that the activity of wild-type R can be modulated by its
non-consensus "head" sequence but this modulation became not apparent in the
evolved R variants. The engineered recombinase variants were found to be active
in all recombination reactions tested: excision, integration, and dual
recombinase-mediated cassette exchange. The analysis of the latter reaction
catalyzed by the R/TD recombinase pair shows that the condition supporting the
most efficient replacement reaction favors efficient TD-mediated integration
reaction while favoring efficient R-mediated integration and deletion reactions.
PMID- 26555751
TI - Crystal Structure Analysis of Wild Type and Fast Hydrolyzing Mutant of EhRabX3, a
Tandem Ras Superfamily GTPase from Entamoeba histolytica.
AB - The enteric protozoan parasite, Entamoeba histolytica, is the causative agent of
amoebic dysentery, liver abscess and colitis in human. Vesicular trafficking
plays a key role in the survival and virulence of the protozoan and is regulated
by various Rab GTPases. EhRabX3 is a catalytically inefficient amoebic Rab
protein, which is unique among the eukaryotic Ras superfamily by virtue of its
tandem domain organization. Here, we report the crystal structures of GDP-bound
fast hydrolyzing mutant (V71A/K73Q) and GTP-bound wild type EhRabX3 at 3.1 and
2.8A resolutions, respectively. Though both G-domains possess "phosphate binding
loop containing nucleoside triphosphate hydrolases fold", only the N-terminal
domain binds to guanine nucleotide. The relative orientation of the N-terminal
domain and C-terminal domain is stabilized by numerous inter-domain interactions.
Compared to other Ras superfamily members, both the GTPase domains displayed
large deviation in switch II perhaps due to non-conservative substitutions in
this region. As a result, entire switch II is restructured and moved away from
the nucleotide binding pocket, providing a rationale for the diminished GTPase
activity of EhRabX3. The N-terminal GTPase domain possesses unusually large
number of cysteine residues. X-ray crystal structure of the fast hydrolyzing
mutant of EhRabX3 revealed that C39 and C163 formed an intra-molecular disulfide
bond. Subsequent mutational and biochemical studies suggest that C39 and C163 are
critical for maintaining the structural integrity and function of EhRabX3.
Structure-guided functional investigation of cysteine mutants could provide the
physiological implications of the disulfide bond and could allow us to design
potential inhibitors for the better treatment of intestinal amebiasis.
PMID- 26555752
TI - Relationship between the extent of dissection and platelet activation in acute
aortic dissection.
AB - BACKGROUND: The extent of acute aortic dissection (AAD) was correlated with
inflammation positively. On the other side, inflammation was negatively
correlated with mean platelet volume (MPV), which can reflect platelet (PLT)
activation. The aim of this study was to clarify the relationship between the
extent of dissection and PLT activation. METHODS: Between February 2010 and
October 2013, 147 patients with acute aortic dissection (AAD) were divided into
Group 1 (Stanford A, n = 59) and Group 2 (Stanford B, n = 88). Platelet count,
MPV and platelet size distribution width (PDW) were measured to assess PLT
activation. Additionally, the severity of inflammation was assessed via serum C
reactive protein (CRP), white blood cell (WBC) count and the neutrophil percent
(Neut%). Computerized tomography (CT) was employed to analyze the extent of AAD.
Volume tear index (VTI) was calculated as the false lumen (FL) volume divided by
body surface area (BSA). RESULTS: PLT count was significantly lower in group 1
than in group 2 (137.24 +/- 31.04 * 10(9)/L vs 171.43 +/- 27.57 * 10(9)/L, P <
0.001). The MPV/PLT ratio and PDW were significantly higher in the group 1
respectively(0.08 +/- 0.02 vs 0.06 +/- 0.02, P < 0.001; 22.65 +/- 1.87 fl vs
20.69 +/- 1.97 fl, P < 0.001). The CRP was significantly higher in group 1 than
in group 2 (36.40 +/- 8.89 mg/L vs 28.97 +/- 8.48 mg/L, P < 0.001). VTI was
significantly higher in group 1 than in group 2 (250.12 +/- 27.82 vs 198.79 +/-
24.52, P < 0.001). There was a significant negative correlation between VTI and
PLT count (r = -0.673, P < 0.001), CRP and PLT count (r = -0.640, P < 0.001),
respectively. There was a significant positive correlation between VTI and CRP (r
= 0.670, P < 0.001), VTI and PDW (r = 0.601, P < 0.001), respectively.
CONCLUSIONS: PLT activation and inflammation in AAD appear to be closely
correlated with the extent of dissection, which possibly induced by the tear of
aortic wall. Elimination of the false lumen is the goal of traditional surgery.
Suppression of the PLT activation might be future targets of therapy in the
prevention of systemic inflammation in AAD patients.
PMID- 26555750
TI - Meiotic Clade AAA ATPases: Protein Polymer Disassembly Machines.
AB - Meiotic clade AAA ATPases (ATPases associated with diverse cellular activities),
which were initially grouped on the basis of phylogenetic classification of their
AAA ATPase cassette, include four relatively well characterized family members,
Vps4, spastin, katanin and fidgetin. These enzymes all function to disassemble
specific polymeric protein structures, with Vps4 disassembling the ESCRT-III
polymers that are central to the many membrane-remodeling activities of the ESCRT
(endosomal sorting complexes required for transport) pathway and spastin, katanin
p60 and fidgetin affecting multiple aspects of cellular dynamics by severing
microtubules. They share a common domain architecture that features an N-terminal
MIT (microtubule interacting and trafficking) domain followed by a single AAA
ATPase cassette. Meiotic clade AAA ATPases function as hexamers that can cycle
between the active assembly and inactive monomers/dimers in a regulated process,
and they appear to disassemble their polymeric substrates by translocating
subunits through the central pore of their hexameric ring. Recent studies with
Vps4 have shown that nucleotide-induced asymmetry is a requirement for substrate
binding to the pore loops and that recruitment to the protein lattice via MIT
domains also relieves autoinhibition and primes the AAA ATPase cassettes for
substrate binding. The most striking, unifying feature of meiotic clade AAA
ATPases may be their MIT domain, which is a module that is found in a wide
variety of proteins that localize to ESCRT-III polymers. Spastin also displays an
adjacent microtubule binding sequence, and the presence of both ESCRT-III and
microtubule binding elements may underlie the recent findings that the ESCRT-III
disassembly function of Vps4 and the microtubule-severing function of spastin, as
well as potentially katanin and fidgetin, are highly coordinated.
PMID- 26555753
TI - Sodium butyrate down-regulates tristetraprolin-mediated cyclin B1 expression
independent of the formation of processing bodies.
AB - Butyrate regulates multiple host cellular events including the cell cycle;
however, little is known about the molecular mechanism by which butyrate induces
a global down-regulation of the expression of genes associated with the cell
cycle. Here, we demonstrate that treating HEK293T cells and the non-small-cell
lung cancer cell line A549 with a high concentration of sodium butyrate reduces
cyclin B1 expression. The underlying mechanism is related to the destabilization
of its mRNA by tristetraprolin, which is up-regulated in response to sodium
butyrate. Specifically, the sodium butyrate stimulation reduces the mRNA and
protein expression of cyclin B1 and, conversely, upregulates tristetraprolin
expression. Importantly, the overexpression of tristetraprolin in HEK293T
decreases the mRNA and protein expression of cyclin B1; in contrast, knockdown of
tristetraprolin mediated by small interfering RNA increases its expression in
response to sodium butyrate treatment for both HEK293T and A549 cells.
Furthermore, results from luciferase reporter assays and RNA immunoprecipitation
indicate that sodium butyrate accelerates 3' UTR-dependent cyclin B1 decay by
enhancing the binding of tristetraprolin to the 3' untranslated region of cyclin
B1. Surprisingly, the overexpression of tristetraprolin prevents the formation of
processing bodies, and the siRNA-mediated silencing of EDC4 does not restore the
sodium butyrate-induced reduction of cyclin B1 expression. Thus, we confirm that
NaBu regulates ZFP36-mediated cyclin B1 expression in a manner that is
independent of the formation of P-bodies. The above findings disclose a novel
mechanism of sodium butyrate-mediated gene expression regulation and might
benefit its application in tumor treatment.
PMID- 26555754
TI - Blue light emitting diode induces apoptosis in lymphoid cells by stimulating
autophagy.
AB - The present study was performed to examine the induction of apoptotic cell death
and autophagy by blue LED irradiation, and the contribution of autophagy to
apoptosis in B cell lymphoma A20 and RAMOS cells exposed to blue LED. Irradiation
with blue LED reduced cell viability and induced apoptotic cell death, as
indicated by exposure of phosphatidylserine on the plasma outside membrane and
fragmentation of DNA. Furthermore, the mitochondrial membrane potential
increased, and apoptotic proteins (PARP, caspase 3, Bax, and bcl-2) were
observed. In addition, the level of intracellular superoxide anion (O2(-))
gradually increased. Interestingly the formation of autophagosomes and level of
LC3-II were increased in blue LED-irradiated A20 and RAMOS cells, but inhibited
after pretreatment with 3-methyladenine (3-MA), widely used as an autophagy
inhibitor. Inhibition of the autophagic process by pretreatment with 3-MA blocked
blue LED irradiation-induced caspase-3 activation. Moreover, a significant
reduction of both the early and late phases of apoptosis after transfection with
ATG5 and beclin 1 siRNAs was shown by the annexin V/PI staining, indicating a
crucial role of autophagy in blue LED-induced apoptosis in cells. Additionally,
the survival rate of mice irradiated with blue LED after injection with A20 cells
increased compared to the control group. Our data demonstrate that blue LED
irradiation induces apoptosis via the mitochondrial-mediated pathway, in
conjunction with autophagy. Further studies are needed to elucidate the precise
mechanism of blue LED-induced immune cell death.
PMID- 26555755
TI - Fractional Brownian motion and multivariate-t models for longitudinal biomedical
data, with application to CD4 counts in HIV-positive patients.
AB - Longitudinal data are widely analysed using linear mixed models, with 'random
slopes' models particularly common. However, when modelling, for example,
longitudinal pre-treatment CD4 cell counts in HIV-positive patients, the
incorporation of non-stationary stochastic processes such as Brownian motion has
been shown to lead to a more biologically plausible model and a substantial
improvement in model fit. In this article, we propose two further extensions.
Firstly, we propose the addition of a fractional Brownian motion component, and
secondly, we generalise the model to follow a multivariate-t distribution. These
extensions are biologically plausible, and each demonstrated substantially
improved fit on application to example data from the Concerted Action on
SeroConversion to AIDS and Death in Europe study. We also propose novel
procedures for residual diagnostic plots that allow such models to be assessed.
Cohorts of patients were simulated from the previously reported and newly
developed models in order to evaluate differences in predictions made for the
timing of treatment initiation under different clinical management strategies. A
further simulation study was performed to demonstrate the substantial biases in
parameter estimates of the mean slope of CD4 decline with time that can occur
when random slopes models are applied in the presence of censoring because of
treatment initiation, with the degree of bias found to depend strongly on the
treatment initiation rule applied. Our findings indicate that researchers should
consider more complex and flexible models for the analysis of longitudinal
biomarker data, particularly when there are substantial missing data, and that
the parameter estimates from random slopes models must be interpreted with
caution.
PMID- 26555756
TI - Rapid decrement in the effects of the Ponzo display dissociates action and
perception.
AB - It has been demonstrated that pictorial illusions have a smaller influence on
grasping than they do on perceptual judgments. Yet to date this work has not
considered the reduced influence of an illusion as it is measured repeatedly.
Here we studied this decrement in the context of a Ponzo illusion to further
characterize the dissociation between vision for perception and for action.
Participants first manually estimated the lengths of single targets in a Ponzo
display with their thumb and index finger, then actually grasped these targets in
another series of trials, and then manually estimated the target lengths again in
a final set of trials. The results showed that although the perceptual estimates
and grasp apertures were equally sensitive to real differences in target length
on the initial trials, only the perceptual estimates remained biased by the
illusion over repeated measurements. In contrast, the illusion's effect on the
grasps decreased rapidly, vanishing entirely after only a few trials.
Interestingly, a closer examination of the grasp data revealed that this initial
effect was driven largely by undersizing the grip aperture for the display
configuration in which the target was positioned between the diverging background
lines (i.e., when the targets appeared to be shorter than they really were). This
asymmetry between grasping apparently shorter and longer targets suggests that
the sensorimotor system may initially treat the edges of the configuration as
obstacles to be avoided. This finding highlights the sensorimotor system's
ability to rapidly update motor programs through error feedback, manifesting as
an immunity to the effects of illusion displays even after only a few trials.
PMID- 26555757
TI - Integrating SLAM with existing evidence: Comment on Walker and Hickok (2015).
AB - Walker and Hickok (Psychonomic Bulletin & Review doi:10.3758/s13423-015-0903-7,
2015) used simulations to compare a novel proposal, the semantic-lexical-auditory
motor model (SLAM), to an existing account of speech production, the two-step
interactive account (TSIA; Foygel & Dell, Journal of Memory and Language, 43:182
216, doi:10.1006/jmla.2000.2716, 2000). This commentary critically examines their
assessment of SLAM. The cases in which SLAM outperforms TSIA largely reflect
SLAM's ability to (poorly) approximate an existing theory of speech production
incorporating two stages of phonological processing (the lexical + postlexical
account). The fact that SLAM and TSIA can exhibit equivalent fits to the overall
response distribution of a set of aphasic patients is unsurprising, since
previous work has shown that overall response distributions do not reliably
discriminate theoretical alternatives. Finally, SLAM inherits issues associated
with TSIA's assumption of strong feedback between levels of representation. This
suggests that SLAM does not represent an advance over existing theories of speech
production.
PMID- 26555758
TI - Height outcome of short children with hypochondroplasia after recombinant human
growth hormone treatment: a meta-analysis.
AB - Hypochondroplasia (HCH) is a genetic skeletal dysplasia, characterized by
rhizomelic short height (Ht) with facial dysmorphology and lumbar hyperlordosis.
Albeit there are concerns that HCH children may not achieve optimal long-term
outcome in response to recombinant human growth hormone (rhGH), anecdotal
experiences suggested at least short-term Ht improvement. After thorough search
of published studies, meta-analysis of rhGH use in HCH children was performed. In
113 HCH children, rhGH administration (median 0.25 mg/kg/week) progressively
improved Ht pattern with 12 months catch-up growth (p < 0.0001). Then, Ht
improvement resulted constant until 36 months (p < 0.0001), but stature remained
subnormal. While bone age chronologically progressed, no serious adverse events
were reported. In conclusion, our meta-analysis indicates that rhGH treatment
progressively improved Ht outcome of HCH subjects.
PMID- 26555759
TI - An Editor's farewell!
PMID- 26555761
TI - Comprehensive Infrared Study of Tetryl, Dinitrotoluene, and Trinitrotoluene
Compounds.
AB - The present work describes an experimental and theoretical study of energetic
materials used for detecting explosives in order to prevent terrorist actions, as
well as for de-mining projects. Particular attention was devoted to examining the
infrared absorption spectroscopy of classic explosives in order to create a
useful mobile apparatus for on-field detection of explosives. This paper reports
the vibrational absorption spectra of tetryl, dinitrotoluene, and trinitrotoluene
molecules approached using two different spectroscopic techniques, Fourier
transform infrared spectroscopy (FT-IR) and laser photoacoustic spectroscopy
(LPAS). Diffuse reflectance Fourier transform infrared spectra of all samples
were analyzed in a very wide spectral range (400-7500 cm(-1)) showing for the
first time the existence of weak absorption bands attributable to overtones or
combination bands, while laser photoacoustic spectroscopy spectra have been
investigated in the fingerprint region of organic compounds that share the CO2
laser emission range (~920-1100 cm(-1)). The Fourier transform infrared spectra
of both matrix isolated dinitrotoluenes have been also investigated. The
theoretical treatment of tetryl is reported for the first time.
PMID- 26555760
TI - Real-time functional characterization of cationic amino acid transporters using a
new FRET sensor.
AB - L-arginine is a semi-essential amino acid that serves as precursor for the
production of urea, nitric oxide (NO), polyamines, and other biologically
important metabolites. Hence, a fast and reliable assessment of its intracellular
concentration changes is highly desirable. Here, we report on a genetically
encoded Forster resonance energy transfer (FRET)-based arginine nanosensor that
employs the arginine repressor/activator ahrC gene from Bacillus subtilis. This
new nanosensor was expressed in HEK293T cells, and experiments with cell lysate
showed that it binds L-arginine with high specificity and with a K d of ~177 MUM.
Live imaging experiments showed that the nanosensor was expressed throughout the
cytoplasm and displayed a half maximal FRET increase at an extracellular L
arginine concentration of ~22 MUM. By expressing the nanosensor together with
SLC7A1, SLC7A2B, or SLC7A3 cationic amino acid transporters (CAT1-3), it was
shown that L-arginine was imported at a similar rate via SLC7A1 and SLC7A2B and
slower via SLC7A3. In contrast, upon withdrawal of extracellular L-arginine,
intracellular levels decreased as fast in SLC7A3-expressing cells compared with
SLC7A1, but the efflux was slower via SLC7A2B. SLC7A4 (CAT4) could not be
convincingly shown to transport L-arginine. We also demonstrated the impact of
membrane potential on L-arginine transport and showed that physiological
concentrations of symmetrical and asymmetrical dimethylarginine do not
significantly interfere with L-arginine transport through SLC7A1. Our results
demonstrate that the FRET nanosensor can be used to assess L-arginine transport
through plasma membrane in real time.
PMID- 26555762
TI - Submaximal cardiopulmonary thresholds on a robotics-assisted tilt table, a cycle
and a treadmill: a comparative analysis.
AB - BACKGROUND: The robotics-assisted tilt table (RATT), including actuators for
tilting and cyclical leg movement, is used for rehabilitation of severely
disabled neurological patients. Following further engineering development of the
system, i.e. the addition of force sensors and visual bio-feedback, patients can
actively participate in exercise testing and training on the device. Peak
cardiopulmonary performance parameters were previously investigated, but it also
important to compare submaximal parameters with standard devices. The aim of this
study was to evaluate the feasibility of the RATT for estimation of submaximal
exercise thresholds by comparison with a cycle ergometer and a treadmill.
METHODS: 17 healthy subjects randomly performed six maximal individualized
incremental exercise tests, with two tests on each of the three exercise
modalities. The ventilatory anaerobic threshold (VAT) and respiratory
compensation point (RCP) were determined from breath-by-breath data. RESULTS: VAT
and RCP on the RATT were lower than the cycle ergometer and the treadmill: oxygen
uptake (V'O2) at VAT was [mean (SD)] 1.2 (0.3), 1.5 (0.4) and 1.6 (0.5) L/min,
respectively (p < 0.001); V'O2 at RCP was 1.7 (0.4), 2.3 (0.8) and 2.6 (0.9)
L/min, respectively (p = 0.001). High correlations for VAT and RCP were found
between the RATT vs the cycle ergometer and RATT vs the treadmill (R on the range
0.69-0.80). VAT and RCP demonstrated excellent test-retest reliability for all
three devices (ICC from 0.81 to 0.98). Mean differences between the test and
retest values on each device were close to zero. The ventilatory equivalent for
O2 at VAT for the RATT and cycle ergometer were similar and both were higher than
the treadmill. The ventilatory equivalent for CO2 at RCP was similar for all
devices. Ventilatory equivalent parameters demonstrated fair-to-excellent
reliability and repeatability. CONCLUSIONS: It is feasible to use the RATT for
estimation of submaximal exercise thresholds: VAT and RCP on the RATT were lower
than the cycle ergometer and the treadmill, but there were high correlations
between the RATT vs the cycle ergometer and vs the treadmill. Repeatability and
test-retest reliability of all submaximal threshold parameters from the RATT were
comparable to those of standard devices.
PMID- 26555763
TI - Transferring Xenogenic Mitochondria Provides Neural Protection Against Ischemic
Stress in Ischemic Rat Brains.
AB - Transferring exogenous mitochondria has therapeutic effects on damaged heart,
liver, and lung tissues. Whether this protective effect requires the symbiosis of
exogenous mitochondria in host cells remains unknown. Here xenogenic mitochondria
derived from a hamster cell line were applied to ischemic rat brains and rat
primary cortical neurons. Isolated hamster mitochondria, either through local
intracerebral or systemic intra-arterial injection, significantly restored the
motor performance of brain-ischemic rats. The brain infarct area and neuronal
cell death were both attenuated by the exogenous mitochondria. Although
internalized mitochondria could be observed in neurons and astrocytes, the low
efficacy of mitochondrial internalization could not completely account for the
high rate of rescue of the treated neural cells. We further illustrated that
disrupting electron transport or ATPase synthase in mitochondria significantly
attenuated the protective effect, suggesting that intact respiratory activity is
essential for the mitochondrial potency on neural protection. These results
emphasize that nonsymbiotic extracellular mitochondria can provide an effective
cell defense against acute injurious ischemic stress in the central nervous
system.
PMID- 26555764
TI - Research and Evaluations of the Health Aspects of Disasters, Part V:
Epidemiological Disaster Research.
AB - Studies of the health aspect of disasters focus either on the epidemiology of
disasters to define the causes and the progression from a hazard to a disaster,
or the evaluations of interventions provided during any phase of a disaster.
Epidemiological disaster research studies are undertaken for the purposes of: (1)
understanding the mechanisms by which hazards evolve into a disaster; (2)
determining ways to mitigate the risk(s) that a specific hazard will progress
into a disaster; (3) predicting the likely damages and needs of the population-at
risk for an event; and (4) identifying potential measures to increase the
resilience of a community to future events. Epidemiological disaster research
utilizes the Conceptual, Temporal, and Societal Frameworks to define what occurs
when a hazard manifests as an event that causes a disaster. The findings from
such studies should suggest interventions that could augment the absorbing,
buffering, or/and response capacities to lessen the probability of similar
damages occurring from the next event. Ultimately, the use of these Frameworks in
studying the health aspects of a disaster will help define what to expect in a
specific setting and the standards and best practices upon which education,
training, competencies, performance, and professionalization will be built.
PMID- 26555765
TI - PET measurement of brain acetylcholinesterase activities in cortex and
subcortical areas.
PMID- 26555766
TI - Effect of age and sex on bone markers in Chlorocebus aethiops raised in
captivity.
AB - BACKGROUND: The objective was to evaluate the procollagen type I N-propeptide
(PINP), osteocalcin (OC), beta-crosslaps (beta-CTX), and parathyroid hormone
(PTH) in relation to age and sex of Chlorocebus aethiops in captivity. METHODS:
Seventy-three monkeys were divided into four age groups: AG1 (juvenile); AG2
(young adult); AG3 (adult); and AG4 (senile). An electrochemiluminescence
immunoassay with an Elecsys 2010 analyzer was used to determine the serum markers
of bone. RESULTS AND CONCLUSIONS: Sex did not influence the results of the
markers. However, the variables PINP, OC, and beta-CTX were negatively correlated
with age (r = -0.643; r = -0.711; r = -0.488; P < 0.001, respectively), and PTH
was correlated positively with age (r = 0.418, P < 0.001). The data obtained can
be used as biomarkers of bone metabolism reference intervals in healthy C.
aethiops in captivity.
PMID- 26555767
TI - Differential Control by 5-HT and 5-HT1A, 2A, 2C Receptors of Phasic and Tonic
GABAA Inhibition in the Visual Thalamus.
PMID- 26555768
TI - Translational plant biology.
PMID- 26555769
TI - An Optimized Method for Isolating and Expanding Invariant Natural Killer T Cells
from Mouse Spleen.
AB - The ability to rapidly secrete cytokines upon stimulation is a functional
characteristic of the invariant natural killer T (iNKT) cell lineage. iNKT cells
are therefore characterized as an innate T cell population capable of activating
and steering adaptive immune responses. The development of improved techniques
for the culture and expansion of murine iNKT cells facilitates the study of iNKT
cell biology in in vitro and in vivo model systems. Here we describe an optimized
procedure for the isolation and expansion of murine splenic iNKT cells. Spleens
from C57Bl/6 mice are removed, dissected and strained and the resulting cellular
suspension is layered over density gradient media. Following centrifugation,
splenic mononuclear cells (MNCs) are collected and CD5-positive (CD5(+))
lymphocytes are enriched for using magnetic beads. iNKT cells within the CD5(+)
fraction are subsequently stained with alphaGalCer-loaded CD1d tetramer and
purified by fluorescence activated cell sorting (FACS). FACS sorted iNKT cells
are then initially cultured in vitro using a combination of recombinant murine
cytokines and plate-bound T cell receptor (TCR) stimuli before being expanded in
the presence of murine recombinant IL-7. Using this technique, approximately
10(8) iNKT cells can be generated within 18-20 days of culture, after which they
can be used for functional assays in vitro, or for in vivo transfer experiments
in mice.
PMID- 26555770
TI - New Tricks for Old Proteins: Single Mutations in a Nonenzymatic Protein Give Rise
to Various Enzymatic Activities.
AB - Design of a new catalytic function in proteins, apart from its inherent practical
value, is important for fundamental understanding of enzymatic activity. Using a
computationally inexpensive, minimalistic approach that focuses on introducing a
single highly reactive residue into proteins to achieve catalysis we converted a
74-residue-long C-terminal domain of calmodulin into an efficient esterase. The
catalytic efficiency of the resulting stereoselective, allosterically regulated
catalyst, nicknamed AlleyCatE, is higher than that of any previously reported de
novo designed esterases. The simplicity of our design protocol should complement
and expand the capabilities of current state-of-art approaches to protein design.
These results show that even a small nonenzymatic protein can efficiently attain
catalytic activities in various reactions (Kemp elimination, ester hydrolysis,
retroaldol reaction) as a result of a single mutation. In other words, proteins
can be just one mutation away from becoming entry points for subsequent
evolution.
PMID- 26555771
TI - Motor biases do not influence posing orientation in selfies.
AB - When posing for portraits people tend to offer their left cheek. This bias is
also evident in selfies: informal photographic self-portraits taken with a
smartphone. Mechanical biases have been argued to influence selfie posing
orientation (predicting that using the left hand favours a stronger left cheek
bias), however this hypothesis has not been directly tested. The present study
was thus designed to determine whether motor biases influence selfie pose
orientation. Three hundred and twenty participants (F = 159, M = 161) were asked
to pose for a selfie "as you really are," and completed a handedness measure;
hand used to take the selfie and selfie pose orientation were recorded. Ordinal
logistic regression confirmed that neither participant handedness nor hand used
to capture the selfie predicted selfie pose orientation. Regardless of sex,
handedness, or hand used, participants were more likely to present a midline
(49.75%) than a left (26.53%) or right (26.72%) cheek pose. Though handedness was
a strong predictor of hand used to capture the selfie (left handers favour the
left, and right handers the right, hand), it did not predict posing orientation.
These results confirm that selfie cheek biases are not simply a residual effect
of the mechanics of taking selfies.
PMID- 26555772
TI - Circularly Polarized Luminescence from Helically Chiral N,N,O,O-Boron-Chelated
Dipyrromethenes.
AB - Helically chiral N,N,O,O-boron chelated dipyrromethenes showed solution-phase
circularly polarized luminescence (CPL) in the red region of the visible spectrum
(lambdaem (max) from 621 to 663 nm). The parent dipyrromethene is desymmetrised
through O chelation of boron by the 3,5-ortho-phenolic substituents, inducing a
helical chirality in the fluorophore. The combination of high luminescence
dissymmetry factors (|glum | up to 4.7 *10(-3) ) and fluorescence quantum yields
(PhiF up to 0.73) gave exceptionally efficient circularly polarized red emission
from these simple small organic fluorophores, enabling future application in CPL
based bioimaging.
PMID- 26555773
TI - Diacerein retards cell growth of chondrosarcoma cells at the G2/M cell cycle
checkpoint via cyclin B1/CDK1 and CDK2 downregulation.
AB - BACKGROUND: Chondrosarcoma is characterized for its lack of response to
conventional cytotoxic chemotherapy, propensity for developing lung metastases,
and low rates of survival. Research within the field of development and expansion
of new treatment options for unresectable or metastatic diseases is of particular
priority. Diacerein, a symptomatic slow acting drug in osteoarthritis (SYSADOA),
implicates a therapeutic benefit for the treatment of chondrosarcoma by an
antitumor activity. METHODS: After treatment with diacerein the growth behaviour
of the cells was analyzed with the xCELLigence system and MTS assay. Cell cycle
was examined using flow cytometric analysis, RT-PCR, and western blot analysis of
specific checkpoint regulators. The status for phosophorylation of mitogen
activated protein kinases (MAPKs) was analyzed with a proteome profiler assay. In
addition, the possible impact of diacerein on apoptosis was investigated using
cleaved caspase 3 and Annexin V/PI flow cytometric analysis. RESULTS: Diacerein
decreased the cell viability and the cell proliferation in two different
chondrosarcoma cell lines in a dose dependent manner. Flow cytometric analysis
showed a classical G2/M arrest. mRNA and protein analysis revealed that diacerein
induced a down-regulation of the cyclin B1-CDK1 complex and a reduction in CDK2
expression. Furthermore, diacerein treatment increased the phosphorylation of
p38alpha and p38beta MAPKs, and Akt1, Akt2, and Akt 3 in SW-1353, whereas in Cal
78 the opposite effect has been demonstrated. These observations accordingly to
our cell cycle flow cytometric analysis and protein expression data may explain
the G2/M phase arrest. In addition, no apoptotic induction after diacerein
treatment, neither in the Cal-78 nor in the SW-1353 cell line was observed.
CONCLUSIONS: Our results demonstrate for the first time that the SYSADOA
diacerein decreased the viability of human chondrosarcoma cells and induces G2/M
cell cycle arrest by CDK1/cyclin B1 down-regulation.
PMID- 26555774
TI - Histochemical changes in neonatal liver caused by vaginal instillation of
magnetic nanoparticles in pregnant mice.
AB - Drug delivery through the vagina is a novel and effective approach for treating
embryonic diseases. Magnetic nanoparticles (MNPs) currently are used as drug
delivery systems. The safety of MNPs for use with embryonic tissues remains
unclear. We used pregnant mice to investigate the possible toxicity of MNPs
toward neonatal liver at three embryonic ages using histochemical and
immunohistochemical techniques. MNPs were instilled through the vaginas of
pregnant mice at days 12 (E12), 15 (E15) and 17 (E17) after fertilization. We
found MNPs in the neonatal liver parenchyma after delivery of the pups on day 20.
We observed that MNPs caused mild apoptosis of hepatocytes, cytoplasmic
vacuolation and lymphocytic infiltration in the neonatal liver after treatment at
E15 compared to instillation at E12 and E17. We observed also that MNPs increased
the production of caspase proteins and tumor necrosis factor receptor 2 proteins,
which are indicators of apoptosis, in the neonatal liver after instillation of
MNPs at E15 compared to instillation at E12 and E17. MNPs also increased the
number of collagen fibers and the amounts of connective tissue growth factors in
the neonatal liver parenchyma after instillation at E15 compared to instillation
at E12 and E17. The general carbohydrates in the neonatal liver were decreased in
a time-dependent manner after instillation at E17, E15 and E12 owing to the
presence of MNPs in the parenchyma. Overall, we determined that MNPs were mildly
toxic to neonatal liver.
PMID- 26555775
TI - Correction: Correction: Glucose-Dependent Insulin Secretion in Pancreatic beta
Cell Islets from Male Rats Requires Ca2+ Release via ROS-Stimulated Ryanodine
Receptorsmographic and Clinico-Epidemiological Features of Dengue Fever in
Faisalabad, Pakistan.
PMID- 26555776
TI - Associations between the codon 72 polymorphism of the TP53 gene and the risk of
recurrent implantation failure.
AB - AIM: Recurrent implantation failure (RIF) is the most common cause of
unsuccessful pregnancy after assisted reproductive techniques. The tumor protein
P53 (TP53) codon 72 polymorphism (G-C transversion) has been explored in
susceptibility to RIF, but inconclusive results have been reported. The aim of
this article is to estimate the associations between the TP53 codon 72
polymorphism and the risk of RIF. MATERIALS AND METHODS: A comprehensive search
for relevant articles was conducted. The odds ratios (ORs) and 95% confidence
intervals (CIs) for CC + GC versus GG, CC versus GC + GG, CC versus GG, GC versus
GG genotypes, and C versus G allele, were estimated. Publication bias was
explored. Statistical analyses were performed using RevMan 5.2 and Stata 11.0
software. RESULTS: A total of five case-control studies in five articles with 417
RIF cases and 325 controls were included. An overall random effect OR of 1.20
(95% CI, 0.66-2.19; P = 0.55) in the dominant model (CC + GC vs GG) was found.
The results suggested that a lack of increased or decreased risks were found in
individuals who carried the CC homozygote and heterozygote GC, in comparison with
the homozygote GG. However, in subgroup analysis by ethnicity, a significantly
increased risk was observed among Latin Americans in the dominant model (OR,
1.56; 95% CI, 1.04-2.33; P = 0.03). CONCLUSIONS: This meta-analysis shows that
the TP53 codon 72 polymorphism is not associated with RIF risk in the overall
population; however, associations were found in the Latin American population.
PMID- 26555778
TI - Versatile Scope of a Masked Aldehyde Nitrone in 1,3-Dipolar Cycloadditions.
AB - A new masked aldehyde-containing nitrone 1 that is easily available through a
facile one-step procedure has been developed. It undergoes a [3 + 2]-thermal
cycloaddition with a wide range of dipolarophiles, affording isoxazolidine
cycloadducts that are suitable for versatile postcycloaddition modifications. The
acetal cycloadducts are acid-stable, but allow for acetal hydrolysis under mildly
basic conditions. The isoxazolidine ring can be opened via an efficient one-pot
procedure to give amine-protected gamma-alcohols that can be further converted to
furanose derivatives.
PMID- 26555777
TI - A puromycin selectable cell line for the enrichment of mouse embryonic stem cell
derived V3 interneurons.
AB - INTRODUCTION: Spinal V3 interneurons (INs) are a commissural, glutamatergic,
propriospinal neuron population that holds great potential for understanding
locomotion circuitry and local rewiring after spinal cord injury. Embryonic stem
cells hold promise as a cell source. However, the inevitable heterogeneity
resulting from differentiation protocols makes studying post-mitotic stem cell
derived neuron populations difficult because proliferative glia quickly overtake
a culture. Previously, an induction protocol for V3 INs was established. However,
because of the heterogeneous population resulting from the induction protocol,
functional characterization of the induced cells was not possible. METHODS: A
selectable murine transgenic embryonic stem cell (ESC) line (Sim1-Puro) was
generated by recombineering. The expression of the puromycin resistance enzyme,
puromycin N-acetyl-transferase (PAC), was knocked into the locus of a post
mitotic V3 IN marker (Sim1), allowing Sim1 gene regulatory elements to control
PAC expression. The resulting cell line was characterized for Sim1 expression by
in situ hybridization, for glutamatergic marker expression by immunocytochemistry
and quantitative real time polymerase chain reaction (qRT-PCR), and for
functional maturation by electrophysiology. RESULTS: Puromycin selection
significantly enriched the population for V3 INs, allowing long-term
characterization. The selected population expressed the neuronal marker beta-III
tubulin and the glutamatergic neuron marker VGluT2. The selected V3 INs also
exhibited appropriate functional maturation, as assessed by electrophysiology,
and remained glutamatergic for 2 weeks. CONCLUSION: The Sim1-Puro cell line
provides a simple, high throughput method for generating large numbers of V3 INs
from mouse ESCs for future in vitro and cell transplantation studies.
PMID- 26555779
TI - Increasing Discussion Rates of Incontinence in Primary Care: A Randomized
Controlled Trial.
AB - BACKGROUND: A minority of women with urinary incontinence (UI) and even fewer
with fecal incontinence (FI) report having discussed it with a health care
provider in the past year. Thus our aim was to evaluate whether the use of an
electronic pelvic floor assessment questionnaire (ePAQ-PF) improves communication
about incontinence in primary care. METHODS: Women 40 years and older who were
scheduled for an annual wellness physical at an internal medicine clinic between
August 2007 and August 2008 were randomized to complete the ePAQ-PF prior to (n =
145) or after (n = 139) their visit. Clinicians of women in the intervention
group received the ePAQ-PF report prior to the visit. Outcome measures from
clinic note abstraction included mention of UI (primary) and FI. Participant
reported outcome measures included discussion of UI and FI and initiator of
discussion. RESULTS: Discussions of UI was more common in the intervention group
than the control group: (27% vs. 19%; odds ratio [OR], 1.6 95% confidence
interval [95%CI] 0.9-2.8, particularly for women over 60 (33% vs. 12%; OR 3.8,
95%CI 1.2-11.8) and for women with UI (42% vs. 25%; OR 2.2, 95%CI 1.1-4.1). The
intervention primarily led to an increase in clinician-initiated UI discussions
which were more common in the intervention group (18% vs. 4%, OR 4.8, 95%CI 1.9
12.0) Participants in the intervention group more frequently reported discussion
of FI (14% vs. 6%; OR 2.5, 95%CI 1.1-6.0) which was clinician initiated in over
half the cases (9% vs. 3%; OR 3.5, 95%CI 1.1-11.0). CONCLUSIONS: Use of the ePAQ
PF prior to clinic visits increases discussion of UI and FI, particularly
clinician-initiated discussion. These findings suggest that such instruments may
increase the detection and treatment of this often "silent" affliction.
PMID- 26555780
TI - Menopause Transition Symptom Clusters: Sleep Disturbances and Sexual Dysfunction.
PMID- 26555782
TI - Machine-Learning-Based Prediction of a Missed Scheduled Clinical Appointment by
Patients With Diabetes.
AB - BACKGROUND: About 10% of patients with diabetes discontinue treatment, resulting
in the progression of diabetes-related complications and reduced quality of life.
OBJECTIVE: The objective was to predict a missed clinical appointment (MA), which
can lead to discontinued treatment for diabetes patients. METHODS: A machine
learning algorithm was used to build a logistic regression model for MA
predictions, with L2-norm regularization used to avoid over-fitting and 10-fold
cross validation used to evaluate prediction performance. Data associated with
patient MAs were extracted from electronic medical records and classified into
two groups: one related to patients' clinical condition (X1) and the other
related to previous findings (X2). The records used were those of the University
of Tokyo Hospital, and they included the history of 16 026 clinical appointments
scheduled by 879 patients whose initial clinical visit had been made after
January 1, 2004, who had diagnostic codes indicating diabetes, and whose HbA1c
had been tested within 3 months after their initial visit. Records between April
1, 2011, and June 30, 2014, were inspected for a history of MAs. RESULTS: The
best predictor of MAs proved to be X1 + X2 (AUC = 0.958); precision and recall
rates were, respectively, 0.757 and 0.659. Among all the appointment data, the
day of the week when an appointment was made was most strongly associated with MA
predictions (weight = 2.22). CONCLUSIONS: Our findings may provide information to
help clinicians make timely interventions to avoid MAs.
PMID- 26555783
TI - Cholesterol Oxidation in Fish and Fish Products.
AB - Fish and fish products are important from a nutritional point of view due to the
presence of high biological value proteins and the high content of
polyunsaturated fatty acids, especially those of the n-3 series, and above all
eicosapentaenoic acid and docosahexaenoic acid. However, these important food
products also contain significant amounts of cholesterol. Although cholesterol
participates in essential functions in the human body, it is unstable, especially
in the presence of light, oxygen, radiation, and high temperatures that can cause
the formation of cholesterol oxidation products or cholesterol oxides, which are
prejudicial to human health. Fish processing involves high and low temperatures,
as well as other methods for microbiological control, which increases shelf life
and consequently added value; however, such processes favor the formation of
cholesterol oxidation products. This review brings together data on the formation
of cholesterol oxides during the preparation and processing of fish into food
products which are recognized and recommended for their nutritional properties.
PMID- 26555784
TI - Identification of a Novel Di-D-Fructofuranose 1,2':2,3' Dianhydride (DFA III)
Hydrolysis Enzyme from Arthrobacter aurescens SK8.001.
AB - Previously, a di-D-fructofuranose 1,2':2,3' dianhydride (DFA III)-producing
strain, Arthrobacter aurescens SK8.001, was isolated from soil, and the gene
cloning and characterization of the DFA III-forming enzyme was studied. In this
study, a DFA III hydrolysis enzyme (DFA IIIase)-encoding gene was obtained from
the same strain, and the DFA IIIase gene was cloned and expressed in Escherichia
coli. The SDS-PAGE and gel filtration results indicated that the purified enzyme
was a homotrimer holoenzyme of 145 kDa composed of subunits of 49 kDa. The enzyme
displayed the highest catalytic activity for DFA III at pH 5.5 and 55 degrees C,
with specific activity of 232 U mg-1. Km and Vmax for DFA III were 30.7 +/- 4.3
mM and 1.2 +/- 0.1 mM min-1, respectively. Interestingly, DFA III-forming enzymes
and DFA IIIases are highly homologous in amino acid sequence. The molecular
modeling and docking of DFA IIIase were first studied, using DFA III-forming
enzyme from Bacillus sp. snu-7 as a template. It was suggested that A. aurescens
DFA IIIase shared a similar three-dimensional structure with the reported DFA III
forming enzyme from Bacillus sp. snu-7. Furthermore, their catalytic sites may
occupy the same position on the proteins. Based on molecular docking analysis and
site-directed mutagenesis, it was shown that D207 and E218 were two potential
critical residues for the catalysis of A. aurescens DFA IIIase.
PMID- 26555785
TI - Clinical efficacy and safety of topical versus oral ivermectin in treatment of
uncomplicated scabies.
AB - Many medications are available for scabies treatment including oral and topical
ivermectin. However, studies comparing these two forms as a scabies treatment are
few. This study compares efficacy and safety of topical versus oral ivermectin as
scabies treatment. The study included 62 confirmed uncomplicated scabies
patients, divided into: Group I (32 patients, received topical ivermectin) and
Group II (30 patients, received oral ivermectin). Patients were assessed,
clinically and by KOH smear at 1, 2 and 4 weeks. Treatment was repeated after one
week in patients with persistent infection. Adverse events were recorded. Most
patients (87.5% and 73.5% in group I and group II respectively) were symptom free
after a single treatment. A second treatment was required in 4 patients of group
I and 8 patients of group II. However, 2 weeks after treatment symptoms and signs
completely resolved in all cases with no recurrence at 4 weeks. This study
suggests that both topical and oral ivermectin are safe and equally effective in
treatment of uncomplicated scabies. Single treatment, whether topical or oral, is
associated with high cure rate in a week post treatment. However, repeating
treatment after one week may be required to achieve 100% cure.
PMID- 26555786
TI - EFFICACY AND LONG-TERM CLINICAL OUTCOME OF COMORBID POSTTRAUMATIC STRESS DISORDER
AND MAJOR DEPRESSIVE DISORDER AFTER ELECTROCONVULSIVE THERAPY.
AB - BACKGROUND: Many patients fulfill criteria for both posttraumatic stress disorder
(PTSD) and major depressive disorder (MDD). Electroconvulsive therapy (ECT) is
generally acknowledged to be the most-effective treatment for refractory MDD.
This study investigated the efficacy of ECT on long-term clinical outcome of
comorbid PTSD and MDD. METHODS: This retrospective nested matched case-control
study is inclusive of 22,164 subjects [3,485 with comorbid MDD and PTSD (92 with
ECT and 3,393 without ECT) and 18,679 without MDD and PTSD]. RESULTS: Using the
clinical global impression scale (CGI) to assess efficacy, more-robust
improvement of PTSD and MDD symptoms was observed with ECT (90%), compared to
antidepressant-treatment alone(50%) (P = 0.001). During the median of 8 years of
follow-up, the death-rate was 8% in subjects without PTSD and MDD, 9.7% in PTSD
and MDD treated with ECT and 18% in PTSD and MDD without ECT (P < 0.05). The
suicide-rate was 2.2 and 5.9% in PTSD and MDD with and without ECT-treatment,
respectively (P < 0.05). Survival-analyses revealed that the relative-risk of
cardiovascular and all-cause mortality is not significantly different in patients
with comorbid MDD and PTSD treated with ECT, compared to a matched-cohort without
PTSD and MDD (P > 0.05). The relative risk of suicidality, all-cause, and
cardiovascular mortality was reduced 64, 65, and 46% in MDD and PTSD patients
treated with ECT, compared to those without ECT (P < 0.05). CONCLUSION: ECT is
associated with a significant reduction of symptoms of PTSD and MDD, as well as
reduction in risk of suicidality, cardiovascular, and all-cause mortality in MDD
and PTSD, an effect more robust than antidepressant-therapy alone.
PMID- 26555787
TI - Alterations in the Colonic Microbiota of Pigs Associated with Feeding Distillers
Dried Grains with Solubles.
AB - In an effort to reduce feed costs, many pork producers have increased their use
of coproducts of biofuel production in commercial pig diets, including increased
feeding of distiller's dried grains with solubles (DDGS). The inclusion of DDGS
increases the insoluble fiber content in the ration, which has the potential to
impact the colonic microbiota considerably as the large intestine contains a
dynamic microenvironment with tremendous interplay between microorganisms. Any
alteration to the physical or chemical properties of the colonic contents has the
potential to impact the resident bacterial population and potentially favor or
inhibit the establishment of pathogenic species. In the present study, colonic
contents collected at necropsy from pigs fed either 30% or no DDGS were analyzed
to examine the relative abundance of bacterial taxa associated with feeding this
ingredient. No difference in alpha diversity (richness) was detected between diet
groups. However, the beta diversity was significantly different between groups
with feeding of DDGS being associated with a decreased Firmicutes:Bacteriodetes
ratio (P = .004) and a significantly lower abundance of Lactobacillus spp. (P =
.016). Predictive functional profiling of the microbiota revealed more predicted
genes associated with carbohydrate metabolism, protein digestion, and degradation
of glycans in the microbiota of pigs fed DDGS. Taken together, these findings
confirm that alterations in dietary insoluble fiber significantly alter the
colonic microbial profile of pigs and suggest the resultant microbiome may
predispose to the development of colitis.
PMID- 26555790
TI - Copper-Catalyzed Direct C2-Benzylation of Indoles with Alkylarenes.
AB - The copper-catalyzed regioselective cross-dehydrogenative coupling of N
pyrimidylindoles with benzylic C(sp(3))-H bonds has been developed. Di-tert-butyl
peroxide was employed as a mild oxidant, and benzaldehyde proved to be an
effective additive. This reaction provides a direct and pratical route to a
variety of 2-benzylindoles.
PMID- 26555788
TI - Intra-Section Analysis of Human Coronary Arteries Reveals a Potential Role for
Micro-Calcifications in Macrophage Recruitment in the Early Stage of
Atherosclerosis.
AB - BACKGROUND: Vascular calcification is associated with poor cardiovascular
outcome. Histochemical analysis of calcification and the expression of proteins
involved in mineralization are usually based on whole section analysis, thereby
often ignoring regional differences in atherosclerotic lesions. At present,
limited information is available about factors involved in the initiation and
progression of atherosclerosis. AIM OF THIS STUDY: This study investigates the
intra-section association of micro-calcifications with markers for
atherosclerosis in randomly chosen section areas of human coronary arteries.
Moreover, the possible causal relationship between calcifying vascular smooth
muscle cells and inflammation was explored in vitro. TECHNICAL APPROACH: To gain
insights into the pathogenesis of atherosclerosis, we performed analysis of the
distribution of micro-calcifications using a 3-MeV proton microbeam.
Additionally, we performed systematic analyses of 30 to 40 regions of 12 coronary
sections obtained from 6 patients including histology and immuno-histochemistry.
Section areas were classified according to CD68 positivity. In vitro experiments
using human vascular smooth muscle cells (hVSMCs) were performed to evaluate
causal relationships between calcification and inflammation. RESULTS: From each
section multiple areas were randomly chosen and subsequently analyzed.
Depositions of calcium crystals at the micrometer scale were already observed in
areas with early pre-atheroma type I lesions. Micro-calcifications were initiated
at the elastica interna concomitantly with upregulation of the uncarboxylated
form of matrix Gla-protein (ucMGP). Both the amount of calcium crystals and ucMGP
staining increased from type I to IV atherosclerotic lesions. Osteochondrogenic
markers BMP-2 and osteocalcin were only significantly increased in type IV
atheroma lesions, and at this stage correlated with the degree of calcification.
From atheroma area type III onwards a considerable number of CD68 positive cells
were observed in combination with calcification, suggesting a pro-inflammatory
effect of micro-calcifications. In vitro, invasion assays revealed
chemoattractant properties of cell-culture medium of calcifying vascular smooth
muscle cells towards THP-1 cells, which implies pro-inflammatory effect of
calcium deposits. Additionally, calcifying hVSMCs revealed a pro-inflammatory
profile as compared to non-calcifying hVSMCs. CONCLUSION: Our data indicate that
calcification of VSMCs is one of the earliest events in the genesis of
atherosclerosis, which strongly correlates with ucMGP staining. Our findings
suggest that loss of calcification inhibitors and/or failure of inhibitory
capacity is causative for the early precipitation of calcium, with concomitant
increased inflammation followed by osteochondrogenic transdifferentiation of
VSMCs.
PMID- 26555789
TI - Type 1 Diabetes Prevention in NOD Mice by Targeting DPPIV/CD26 Is Associated with
Changes in CD8+T Effector Memory Subset.
AB - CD26 is a T cell activation marker consisting in a type II transmembrane
glycoprotein with dipeptidyl peptidase IV (DPPIV) activity in its extracellular
domain. It has been described that DPPIV inhibition delays the onset of type 1
diabetes and reverses the disease in non-obese diabetic (NOD) mice. The aim of
the present study was to assess the effect of MK626, a DPPIV inhibitor, in type 1
diabetes incidence and in T lymphocyte subsets at central and peripheral
compartments. Pre-diabetic NOD mice were treated with MK626. Diabetes incidence,
insulitis score, and phenotyping of T lymphocytes in the thymus, spleen and
pancreatic lymph nodes were determined after 4 and 6 weeks of treatment, as well
as alterations in the expression of genes encoding beta-cell autoantigens in the
islets. The effect of MK626 was also assessed in two in vitro assays to determine
proliferative and immunosuppressive effects. Results show that MK626 treatment
reduces type 1 diabetes incidence and after 6 weeks of treatment reduces
insulitis. No differences were observed in the percentage of T lymphocyte subsets
from central and peripheral compartments between treated and control mice. MK626
increased the expression of CD26 in CD8+ T effector memory (TEM) from spleen and
pancreatic lymph nodes and in CD8+ T cells from islet infiltration. CD8+TEM cells
showed an increased proliferation rate and cytokine secretion in the presence of
MK626. Moreover, the combination of CD8+ TEM cells and MK626 induces an
immunosuppressive response. In conclusion, treatment with the DPPIV inhibitor
MK626 prevents experimental type 1 diabetes in association to increase expression
of CD26 in the CD8+ TEM lymphocyte subset. In vitro assays suggest an
immunoregulatory role of CD8+ TEM cells that may be involved in the protection
against autoimmunity to beta pancreatic islets associated to DPPIV inhibitor
treatment.
PMID- 26555791
TI - Cumulative Doses of T-Cell Depleting Antibody and Cancer Risk after Kidney
Transplantation.
AB - T-cell depleting antibody is associated with an increased risk of cancer after
kidney transplantation, but a dose-dependent relationship has not been
established. This study aimed to determine the association between cumulative
doses of T-cell depleting antibody and the risk of cancer after kidney
transplantation. Using data from the Australian and New Zealand Dialysis and
Transplant Registry between 1997-2012, we assessed the risk of incident cancer
and cumulative doses of T-cell depleting antibody using adjusted Cox regression
models. Of the 503 kidney transplant recipients with 2835 person-years of follow
up, 276 (55%), 209 (41%) and 18 (4%) patients received T-cell depleting antibody
for induction, rejection or induction and rejection respectively. The overall
cancer incidence rate was 1,118 cancers per 100,000 patient-years, with 975, 1093
and 1377 cancers per 100,000 patient-years among those who had received 1-5
doses, 6-10 doses and >10 doses, respectively. There was no association between
cumulative doses of T cell depleting antibody and risk of incident cancer (1-5:
referent, 6-10: adjusted hazard ratio (HR) 1.19, 95%CI 0.48-2.95, >10: HR 1.42,
95%CI 0.50-4.02, p = 0.801). This lack of association is contradictory to our
hypothesis and is likely attributed to the low event rates resulting in
insufficient power to detect significant differences.
PMID- 26555794
TI - Bioaccumulation of PCBs in liver tissue of dusky Carcharhinus obscurus, sandbar
C. plumbeus and white Carcharodon carcharias sharks from south-eastern Australian
waters.
AB - Polychlorinated biphenyls (PCBs) are ubiquitous pollutants in the marine
environment that are known to accumulate in apex predators such as sharks. Liver
samples from dusky Carcharhinus obscurus, sandbar Carcharhinus plumbeus, and
white Carcharodon carcharias sharks from south-eastern Australian waters were
analysed for the seven indicator PCBs 28, 52, 101, 118, 138, 153 and 180. Median
?PCBs were significantly higher in white than sandbar sharks (3.35 and 0.36 MUg
g(-1) lipid, respectively, p=0.05) but there were no significant differences
between dusky sharks (1.31 MUg g(-1) lipid) and the other two species. Congener
concentrations were also significantly higher in white sharks. Significant
differences in PCB concentrations between mature and immature dusky (3.78 and
0.76 MUg g(-1) lipid, respectively) and sandbar (1.94 and 0.18 MUg g(-1) lipid,
respectively) sharks indicated that PCB concentrations in these species increased
with age/growth. Higher-chlorinated congeners (hexa and heptachlorobiphenyls)
dominated results, accounting for ~90% of ?PCBs.
PMID- 26555792
TI - Two-dimensional slither swimming of sperm within a micrometre of a surface.
AB - Sperm motion near surfaces plays a crucial role in fertilization, but the nature
of this motion has not been resolved. Using total internal reflection
fluorescence microscopy, we selectively imaged motile human and bull sperm
located within one micron of a surface, revealing a distinct two-dimensional (2D)
'slither' swimming mode whereby the full cell length (50-80 MUm) is confined
within 1 MUm of a surface. This behaviour is distinct from bulk and near-wall
swimming modes where the flagellar wave is helical and the head continuously
rotates. The slither mode is intermittent (~1 s, ~70 MUm), and in human sperm, is
observed only for viscosities over 20 mPa.s. Bull sperm are slower in this
surface-confined swimming mode, owing to a decrease in their flagellar wave
amplitude. In contrast, human sperm are ~50% faster-suggesting a strategy that is
well suited to the highly viscous and confined lumen within the human fallopian
tube.
PMID- 26555795
TI - Quality Index of Subtidal Macroalgae (QISubMac): A suitable tool for ecological
quality status assessment under the scope of the European Water Framework
Directive.
AB - Despite their representativeness and importance in coastal waters, subtidal rocky
bottom habitats have been under-studied. This has resulted in a lack of available
indicators for subtidal hard substrate communities. However, a few indicators
using subtidal macroalgae have been developed in recent years for the purpose of
being implemented into the Water Framework Directive (WFD). Accordingly, a
quality index of subtidal macroalgae has been defined as a French assessment tool
for subtidal rocky bottom habitats in coastal waters. This approach is based on
14 metrics that consider the depth penetration, composition (sensitive,
characteristic and opportunistic) and biodiversity of macroalgae assemblages and
complies with WFD requirements. Three ecoregions have been defined to fit with
the geographical distribution of macroalgae along the French coastline. As a
test, QISubMac was used to assess the water quality of 20 water bodies. The
results show that QISubMac may discriminate among different quality classes of
water bodies.
PMID- 26555796
TI - Polycyclic aromatic hydrocarbons alter the structure of oceanic and oligotrophic
microbial food webs.
AB - One way organic pollutants reach remote oceanic regions is by atmospheric
transport. During the Malaspina-2010 expedition, across the Atlantic, Indian, and
Pacific Oceans, we analyzed the polycyclic aromatic hydrocarbon (PAH) effects on
oceanic microbial food webs. We performed perturbation experiments adding PAHs to
classic dilution experiments. The phytoplankton growth rates were reduced by more
than 5 times, being Prochlorococcus spp. the most affected. 62% of the
experiments showed a reduction in the grazing rates due to the presence of PAHs.
For the remaining experiments, grazing usually increased likely due to cascading
effects. We identified changes in the slope of the relation between the growth
rate and the dilution fraction induced by the pollutants, moving from no grazing
to V-shape, or to negative slope, indicative of grazing increase by cascade
effects and alterations of the grazers' activity structure. Our perturbation
experiments indicate that PAHs could influence the structure oceanic food-webs
structure.
PMID- 26555797
TI - Distribution, sources, and fluxes of heavy metals in the Pearl River Delta, South
China.
AB - Riverine samples were collected at various locations in the Pearl River Delta
(PRD) to determine the concentrations of heavy metals (Cr, Ni, Cu, Mn, Zn, Cd,
and Pb) in time and space and to estimate the fluxes of heavy metals to the
coastal waters off South China. Most of the elements exhibit clear temporal and
spatial trends. Principal component analysis shows that surface erosion is the
major factor affecting metal concentrations in particulates in the PRD. Natural
geology is an important source of these heavy metals. The annual fluxes of Cr,
Ni, Cu, Mn, Zn, Cd, and Pb in upstream and downstream were 445, 256, 241, 3293,
1279, 12, and 317 t/year and 1823, 1144, 1786, 15,634, 6183, 74, and 2017 t/year,
respectively. A comparison indicated that the annual fluxes of Mn accounted for
1.3% of the global river fluxes, whereas other elements contribute <1%.
PMID- 26555798
TI - Ventromedial hypothalamic lesions downregulate multiple immune signaling pathways
in rat pancreatic islets.
AB - It was recently reported that ventromedial hypothalamic lesions change the
expression of cell proliferation-related genes and metabolism-related genes in
rat pancreatic islets. This study has examined how gene families involved in
immune responses are regulated in rat pancreatic islets after VMH lesions
formation. Total pancreatic islets RNA was extracted, and differences in the gene
expression profiles between rats at day 3 after VMH lesioning and sham-VMH
lesioned rats were investigated using DNA microarray and real-time polymerase
chain reaction. VMH lesions downregulated multiple immune signaling pathways in
rat pancreatic islets. Real-time polymerase chain reaction also confirmed that
gene expressions of RT1 class II, locus Bb (RT1-Bb) was up-regulated and Spi-B
transcription factor (Spib) was downregulated at day 3 after the VMH lesions.
Ventromedial hypothalamic lesions may change the expression of multiple immune
response genes in rat pancreatic islets.
PMID- 26555799
TI - Sextant-Specific Analysis of Detection and Tumor Volume by HistoScanningTM.
AB - INTRODUCTION: Published results of HistoScanningTM (HS) for prostate cancer (PCa)
diagnostics are inconsistent and their value remains unclear. We prospectively
analyzed the detection rate and tumor volume concordance in PCa patients.
MATERIAL AND METHODS: Two hundred and eighty-two patients with biopsy-proven PCa
scheduled for radical prostatectomy (RP) were included. All patients underwent
ultrasonographical examination by HS prior to surgery. HS was evaluated compared
to RP specimen as to (1) the prediction of overall tumor volume and (2) accuracy
of HS in detection of PCa lesions larger than 0.2/0.5 ml, separated for each
sextant. For each sextant, receiver operating characteristic (ROC)-analysis and
area under the curve were determined. Sensitivity and specificity were calculated
and visualized in ROC-curves. RESULTS: HS tends to underestimate volume of
cancerous lesions, particularly larger lesions >8 ml. Using a 0.2 ml detection
threshold, specificity and sensitivity of HS were between 29-68% and 46-78%. For
a 0.5 ml detection threshold, sextant-specific specificity increased to 59-92%
and sensitivity decreased to 16-54%. Stratification according to pre-operational
PSA values did not improve performance characteristics of HS. CONCLUSIONS: Our
results do not support a significant contribution of HS to PCa diagnostics.
PMID- 26555800
TI - Thrombocytopenia model with minimal manipulation of blood cells allowing whole
blood assessment of platelet function.
AB - In vitro models of thrombocytopenia are useful research tools. Previously
published models have shortcomings altering properties of platelets and other
blood components. The aim of the present study was to develop a whole blood
method to induce thrombocytopenia with minimal manipulation, and to describe
platelet function in induced thrombocytopenia in individuals with healthy
platelets. Hirudin anticoagulated blood was obtained from 20 healthy volunteers.
One part of the blood was gently centrifuged at 130g for 15 minutes. The platelet
rich plasma was replaced with phosphate-buffered saline to establish
thrombocytopenia. Various levels of thrombocytopenia were achieved by combining
different volumes of baseline whole blood and thrombocytopenic blood. Platelet
counts were measured by flow cytometry (Navios, Beckman Coulter) and routine
haematological analyser (Sysmex XE-5000). Platelet function was analysed by
impedance aggregometry (Multiplate(r) Analyzer, Roche) and by flow cytometry
(Navios, Beckman Coulter) using collagen, adenosine diphosphate, thrombin
receptor activating peptide-6 and ristocetin as agonists. Median baseline
platelet count was 227*10(9)/l. The in vitro model yielded median platelet counts
at 51*10(9)/l (range 26-93*10(9)/l). We observed minor, yet significant, changes
in platelet size and maturity from baseline to modelled thrombocytopenia. In the
thrombocytopenic samples, significant and positive linear associations were found
between platelet count and platelet aggregation across all agonists (all p
values<0.001). Platelet function assessed by flow cytometry showed minimal
alterations in the thrombocytopenic samples. A new whole blood-based model of
thrombocytopenia was established and validated. This new model serves as a useful
future tool, particularly to explore platelet function in patients with
thrombocytopenia.
PMID- 26555801
TI - Apoptosis is triggered by melatonin in an in vivo model of ovarian carcinoma.
AB - Apoptosis plays an important role in the treatment of cancer, and targeting
apoptosis-related molecules in ovarian cancer (OC) is of great therapeutic value.
Melatonin (Mel) is an indoleamine displaying several anti-cancer properties and
has been reported to modulate apoptosis signaling in multiple tumor subtypes. We
investigated OC and the role of Mel therapy on the pro-apoptotic (p53, BAX,
caspase-3, and cleaved caspase-3) and anti-apoptotic (Bcl-2 and survivin)
proteins in an ethanol (EtOH)-preferring rat model. To induce OC, the left ovary
was injected directly with a single dose of 100 MUg 7,12
dimethylbenz(a)anthracene dissolved in 10 MUl of sesame oil under the bursa.
Right ovaries were used as sham-surgery controls. After developing OC, half of
the animals received i.p. injections of Mel (200 MUg/100 g BW per day) for 60
days. Body weight gain, EtOH consumption, and energy intake were unaffected by
the treatments. Interestingly, absolute and relative OC masses showed a
significant reduction after Mel therapy, regardless of EtOH consumption. To
accomplish OC-related apoptosis, we first observed that p53, BAX, caspase-3, and
cleaved caspase-3 were downregulated in OC tissue while Bcl-2 and survivin were
overexpressed. Notably, Mel therapy and EtOH intake promoted apoptosis along with
the upregulation of p53, BAX, and cleaved caspase-3. Fragmentation of DNA
observed by TUNEL-positive nuclei was also enhanced following Mel treatment. In
addition, Bcl-2 was downregulated by the EtOH intake and lower survivin levels
were observed after Mel therapy. Taken together, these results suggest that Mel
induce apoptosis in OC cells of EtOH-preferring animals.
PMID- 26555802
TI - Development of Sulfidogenic Sludge from Marine Sediments and Trichloroethylene
Reduction in an Upflow Anaerobic Sludge Blanket Reactor.
AB - The importance of microbial sulfate reduction relies on the various applications
that it offers in environmental biotechnology. Engineered sulfate reduction is
used in industrial wastewater treatment to remove large concentrations of sulfate
along with the chemical oxygen demand (COD) and heavy metals. The most common
approach to the process is with anaerobic bioreactors in which sulfidogenic
sludge is obtained through adaptation of predominantly methanogenic granular
sludge to sulfidogenesis. This process may take a long time and does not always
eliminate the competition for substrate due to the presence of methanogens in the
sludge. In this work, we propose a novel approach to obtain sulfidogenic sludge
in which hydrothermal vents sediments are the original source of microorganisms.
The microbial community developed in the presence of sulfate and volatile fatty
acids is wide enough to sustain sulfate reduction over a long period of time
without exhibiting inhibition due to sulfide. This protocol describes the
procedure to generate the sludge from the sediments in an upflow anaerobic sludge
blanket (UASB) type of reactor. Furthermore, the protocol presents the procedure
to demonstrate the capability of the sludge to remove by reductive dechlorination
a model of a highly toxic organic pollutant such as trichloroethylene (TCE). The
protocol is divided in three stages: (1) the formation of the sludge and the
determination of its sulfate reducing activity in the UASB, (2) the experiment to
remove the TCE by the sludge, and (3) the identification of microorganisms in the
sludge after the TCE reduction. Although in this case the sediments were taken
from a site located in Mexico, the generation of a sulfidogenic sludge by using
this procedure may work if a different source of sediments is taken since marine
sediments are a natural pool of microorganisms that may be enriched in sulfate
reducing bacteria.
PMID- 26555803
TI - Sustained-release of Cyclosporin A pellets: preparation, in vitro release,
pharmacokinetic studies and in vitro-in vivo correlation in beagle dogs.
AB - The aim of this study was to develop Cyclosporin A (CsA) sustained-release
pellets which could maintain CsA blood concentration within the therapeutic
window throughout dosing interval and to investigate the in vitro-in vivo
correlation (IVIVC) in beagle dogs. The CsA sustained-release pellets (CsA
pellets) were prepared by a double coating method and characterized in vitro as
well as in vivo. Consequently, the CsA pellets obtained were spherical in shape,
with a desirable drug loading (7.18 +/- 0.17 g/100 g), good stability and showed
a sustained-release effect. The Cmax, Tmax and AUC0-24 of CsA pellets from the in
vivo pharmacokinetics evaluation was 268.22 +/- 15.99 ng/ml, 6 +/- 0 h and
3205.00 +/- 149.55 ng.h/ml, respectively. Compared with Neoral(r), CsA pellets
significantly prolonged the duration of action, reduced the peak blood
concentration and could maintain a relatively high concentration level till 24 h.
The relative bioavailability of CsA pellets was 125.68 +/- 5.37% that of
Neoral(r). Moreover, there was a good correlation between the in vitro
dissolution and in vivo absorption of the pellets. In conclusion, CsA pellets
which could ensure a constant systemic blood concentration within the therapeutic
window for 24 h were prepared successfully. Meanwhile, this formulation possessed
a good IVIVC.
PMID- 26555804
TI - Structural Degradation and Swelling of Lipid Bilayer under the Action of Benzene.
AB - Benzene and other nonpolar organic solvents can accumulate in the lipid bilayer
of cellular membranes. Their effect on the membrane structure and fluidity
determines their toxic properties and antibiotic action of the organic solvents
on the bacteria. We performed molecular dynamics simulations of the interaction
of benzene with the dimyristoylphosphatidylcholine (DMPC) bilayer. An increase in
the membrane surface area and fluidity was clearly detected. Changes in the acyl
chain ordering, tilt angle, and overall bilayer thickness were, however, much
less marked. The dependence of all computed quantities on the benzene content
showed two regimes separated by the solubility limit of benzene in water. When
the amount of benzene exceeded this point, a layer of almost pure benzene started
to grow between the membrane leaflets. This process corresponds to the nucleation
of a new phase and provides a molecular mechanism for the mechanical rupture of
the bilayer under the action of nonpolar compounds.
PMID- 26555805
TI - Genetic engineering of modular PKSs: from combinatorial biosynthesis to synthetic
biology.
AB - Multienzyme polyketide synthases (PKSs) are molecular-scale assembly lines which
construct complex natural products in bacteria. The underlying modular
architecture of these gigantic catalysts inspired, from the moment of their
discovery, attempts to modify them by genetic engineering to produce analogues of
predictable structure. These efforts have resulted in hundreds of metabolites new
to nature, as detailed in this review. However, in the face of many failures, the
heady days of imagining the possibilities for a truly 'combinatorial
biosynthesis' of polyketides have faded. It is now more appropriate to talk about
'PKS synthetic biology' with its more modest goals of delivering specific
derivatives of known structure in combination with and as a complement to
synthetic chemistry approaches. The reasons for these failures will be discussed
in terms of our growing understanding of the three-dimensional architectures and
mechanisms of these systems. Finally, some thoughts on the future of the field
will be presented.
PMID- 26555807
TI - An amyloid-like cascade hypothesis for C9orf72 ALS/FTD.
AB - Expansion of a GGGGCC repeat in C9orf72 causes amyotrophic lateral sclerosis,
frontotemporal dementia, or a combination of both. Bidirectional repeat
transcripts sequester RNA-binding proteins into nuclear RNA foci. The repeat is
translated into dipeptide repeat (DPR) proteins that are crucial for repeat
induced toxicity. DPRs inhibit the proteasome and sequester other proteins. These
changes are accompanied by widespread brain atrophy and subclinical cognitive
impairment before disease onset. Both repeat RNA and DPRs impair
nucleocytoplasmic transport and promote TDP-43 mislocalization and aggregation.
Thus, repeat RNA and DPRs may gradually trigger TDP-43 pathology and subsequent
region-specific neurodegeneration in a cascade similar to amyloid-beta peptide in
Alzheimer's disease. The key components of the C9orf72 cascade are promising
therapeutic targets in different disease stages.
PMID- 26555806
TI - New statistical approaches exploit the polygenic architecture of schizophrenia-
implications for the underlying neurobiology.
AB - Schizophrenia is a complex disorder with high heritability. Recent findings from
several large genetic studies suggest a large number of risk variants are
involved (i.e. schizophrenia is a polygenic disorder) and analytic approaches
could be tailored for this scenario. Novel statistical approaches for analyzing
GWAS data have recently been developed to be more sensitive to polygenic traits.
These approaches have provided intriguing new insights into neurobiological
pathways and support for the involvement of regulatory mechanisms,
neurotransmission (glutamate, dopamine, GABA), and immune and neurodevelopmental
pathways. Integrating the emerging statistical genetics evidence with sound
neurobiological experiments will be a crucial, and challenging, next step in
deciphering the specific disease mechanisms of schizophrenia.
PMID- 26555808
TI - RSV infections: State of the art.
AB - Respiratory syncytial virus (RSV) is one of the most common lower respiratory
disease in infants and young children worldwide. Despite its long history, a safe
and effective cure for RSV remains elusive. Nonetheless, further understanding of
RSV pathogenesis and risk factors have led to advances in prophylaxis and
management. The leading risk factor for RSV is premature birth, primarily because
fewer protective antibodies are transmitted from the mother to the infant. For
full-term born infants, susceptibility to RSV increases as maternal IgG titers
decline to a nadir at 2 to 3 months of age. Because of the unique
pathophysiologic mechanisms involved in this infection, it is likely that future
treatment strategies will focus on modulating the host immune response to the
virus, rather than virucidal or virustatic molecules.
PMID- 26555809
TI - Dermatology for the pediatrician: Advances in diagnosis and treatment of common
and not-so-common skin conditions.
AB - Advances have been made in understanding and treating both common and rare
dermatologic conditions. Atopic dermatitis benefits from bathing and ceramide
moisturizers. Common allergic contact dermatitis may have specific presentations.
Tinea capitis is effectively treated with terbinafine. Infantile hemangiomas
should be treated early in the disease course and respond well to propranolol;
any white sign of ulceration should be noted. Localized alopecia areata responds
well to topical clobetasol, avoiding the need for intralesional injections.
Topical rapamycin can be used to treat tuberous sclerosis. Further understanding
of genetics will help guide pediatricians to the proper diagnosis and treatment
of skin conditions.
PMID- 26555810
TI - ADHD and behavioral disorders: Assessment, management, and an update from DSM-5.
AB - Behavioral disorders in pediatric patients--primarily attention deficit
hyperactivity disorder (ADHD)--pose a clinical challenge for health care
providers to accurately assess, diagnose, and treat. In 2013, updated diagnostic
criteria for behavioral disorders were published, including ADHD and a new
diagnostic entity: disruptive mood dysregulation disorder. Revised criteria for
ADHD includes oldest age for occurrence of symptoms, need for symptoms to be
present in more than one setting, and requirement for number of symptoms in those
aged 17 and older. Assessment of ADHD relies primarily on the clinical interview,
including the medical and social history, along with the aid of objective
measures. The clinical course of ADHD is chronic with symptom onset occurring
well before adolescence. Most patients have symptoms that continue into
adolescence, and some into adulthood. Many patients with ADHD have comorbid
disorders such as depression, disruptive behavior disorders, or substance abuse,
which need to be addressed first in the treatment plan. Treatment of ADHD relies
on a combination of psychopharmacologic, academic, and behavioral interventions,
which produce response rates up to 80%.
PMID- 26555811
TI - Learning disorders: How pediatricians can help.
AB - Learning disabilities are common and can negatively affect the individual and,
ultimately, society. Pediatricians should be able to identify the risk factors
for learning disabilities, recognize the early warning signs, and apply the
appropriate diagnostic tools. Pediatricians also can play a crucial role by
encouraging schools to provide accommodations for the child, requesting
multifactorial evaluations from the school district, and referring patients for
detailed neuropsychological evaluation outside the school district when
appropriate. Information from the pediatrician can help the school formulate an
individualized education plan for the child. Additionally, the primary care
pediatrician can support families with referrals to appropriate healthcare
specialists.
PMID- 26555812
TI - Developmental delays and autism: Screening and surveillance.
AB - Screening and surveillance are crucial components to the early detection of
developmental disorders in children, which enables early interventions that
provide the best chances for improved outcomes. Identifying a developmental
disorder is the initial step in evaluating the disorder. Surveillance is a
flexible, continuous, longitudinal process aimed at identifying concerns, and it
should be performed at every well-child visit. Screening involves administering a
brief, standardized tool normalized for specific ages and stages of development
to identify any developmental delays or specific concerns such as autism.
Screening is recommended at every office visit and whenever a parent expresses a
concern. Two general types of screening tests are available: problem-specific
screening and broadband developmental screening. For each type, there are
multiple different tests available that can be administered by a parent or a
health care provider. Factors to consider in the test selection are the age range
for which it is intended, time it takes to complete and score, cost, whether the
test is paper-based or electronic, and the language availability.
PMID- 26555813
TI - Use of long-acting reversible contraceptives to reduce the rate of teen
pregnancy.
AB - Long-acting reversible contraceptives (LARCs) are safe for use in adolescents and
do not rely on compliance or adherence for effectiveness. Continuation rates are
higher and pregnancy rates are lower for adolescent users of LARCs compared with
short-acting methods such as oral contraceptives. Similarly, repeat pregnancy
rates are lower when LARCs are used compared with other forms of contraception.
Myths and misconceptions about LARCs and other contraceptives remain a barrier to
their use. Health care providers are in a unique position to provide confidential
care to adolescents, and should provide education to them about the various
contraceptive options, especially LARCs.
PMID- 26555814
TI - Cytotoxic T Lymphocyte Antigen-4 Down-Regulates T Helper 1 Cells by Increasing
Expression of Signal Transducer and Activator of Transcription 3 in Acute Graft
versus-Host Disease.
AB - Numerous previous studies have suggested that cytotoxic T lymphocyte antigen-4
(CTLA-4) plays an important role in acute graft-versus-host disease (GVHD). How
CTLA-4 acts in regulating acute GVHD remains unknown, however. In the present
study, we found that, compared with healthy controls, CTLA-4 plasma and relative
mRNA levels in patients with acute GVHD were initially decreased and then
markedly elevated after 28 days of treatment. CTLA-4 levels were higher in
patients with grade I-II acute GVHD compared with those with grade III-IV acute
GVHD both before and after treatment. Up-regulation of CTLA-4 significantly
increased the luciferase activity and degree of phosphorylation of signal
transducer and activator of transcription 3 (STAT3). Meanwhile, T cell activation
was significantly inhibited, and levels of IFN-gamma, IL-17, and IL-22 decreased.
These findings suggest that CTLA-4 might be involved in the pathogenesis of acute
GVHD, and may down-regulate T helper 1 cells by increasing STAT3 expression in
acute GVHD.
PMID- 26555815
TI - Novel Application of Laparoscopic Ultrasound for Fetoscopic Laser Ablation in
Twin-Twin Transfusion Syndrome with Complete Anterior Placenta.
AB - Fetoscopic laser coagulation of the placental communicating vessels has become
the standard treatment for monochorionic/diamniotic twin pregnancies complicated
by severe twin-twin transfusion syndrome. Fetoscopic trocar placement can be
performed with transabdominal ultrasound guidance with a posterior placenta and
most anterior placentas that have a safe avascular window for entry. However,
trocar insertion is challenging in cases of a complete anterior placenta without
an avascular window. Current techniques to deal with this situation include mini
laparotomy with exteriorization to allow for dorsal entry, percutaneous lateral
entry under transabdominal ultrasound/Doppler guidance, and laparoscopic assisted
access with direct visualization of trocar entry. We describe a modified
technique of laparoscopic assisted fetoscopic trocar placement using a
laparoscopic ultrasound probe, which allows for precise, real-time guidance of
trocar placement.
PMID- 26555816
TI - Disruption of Ah Receptor Signaling during Mouse Development Leads to Abnormal
Cardiac Structure and Function in the Adult.
AB - The Developmental Origins of Health and Disease (DOHaD) Theory proposes that the
environment encountered during fetal life and infancy permanently shapes tissue
physiology and homeostasis such that damage resulting from maternal stress, poor
nutrition or exposure to environmental agents may be at the heart of adult onset
disease. Interference with endogenous developmental functions of the aryl
hydrocarbon receptor (AHR), either by gene ablation or by exposure in utero to
2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD), a potent AHR ligand, causes
structural, molecular and functional cardiac abnormalities and altered heart
physiology in mouse embryos. To test if embryonic effects progress into an adult
phenotype, we investigated whether Ahr ablation or TCDD exposure in utero
resulted in cardiac abnormalities in adult mice long after removal of the agent.
Ten-months old adult Ahr-/- and in utero TCDD-exposed Ahr+/+ mice showed sexually
dimorphic abnormal cardiovascular phenotypes characterized by echocardiographic
findings of hypertrophy, ventricular dilation and increased heart weight, resting
heart rate and systolic and mean blood pressure, and decreased exercise
tolerance. Underlying these effects, genes in signaling networks related to
cardiac hypertrophy and mitochondrial function were differentially expressed.
Cardiac dysfunction in mouse embryos resulting from AHR signaling disruption
seems to progress into abnormal cardiac structure and function that predispose
adults to cardiac disease, but while embryonic dysfunction is equally robust in
males and females, the adult abnormalities are more prevalent in females, with
the highest severity in Ahr-/- females. The findings reported here underscore the
conclusion that AHR signaling in the developing heart is one potential target of
environmental factors associated with cardiovascular disease.
PMID- 26555817
TI - Conditional Knockout of Telomerase Reverse Transcriptase in Mesenchymal Cells
Impairs Mouse Pulmonary Fibrosis.
AB - Telomerase is typically expressed in cellular populations capable of extended
replication, such as germ cells, tumor cells, and stem cells, but is also induced
in tissue injury, repair and fibrosis. Its catalytic component, telomerase
reverse transcriptase (TERT) is induced in lung fibroblasts from patients with
fibrotic interstitial lung disease and in rodents with bleomycin-induced
pulmonary fibrosis. To evaluate the fibroblast specific role of TERT in pulmonary
fibrosis, transgenic mice bearing a floxed TERT allele were generated, and then
crossed with an inducible collagen alpha2(I)-Cre mouse line to generate
fibroblast specific TERT conditional knockout mice. TERT-specific deficiency in
mesenchymal cells caused attenuation of pulmonary fibrosis as manifested by
reduced lung hydroxyproline content, type I collagen and alpha-smooth muscle
actin mRNA levels. The TERT-deficient mouse lung fibroblasts displayed decreased
cell proliferative capacity and higher susceptibility to induced apoptosis
compared with control cells. Additionally TERT deficiency was associated with
heightened alpha-smooth muscle actin expression indicative of myofibroblast
differentiation. However the impairment of cell proliferation and increased
susceptibility to apoptosis would cause a reduction in the myofibroblast
progenitor population necessary to mount a successful myofibroblast-dependent
fibrotic response. These findings identified a key role for TERT in fibroblast
proliferation and survival essential for pulmonary fibrosis.
PMID- 26555818
TI - Physiological Response of the Hard Coral Pocillopora verrucosa from Lombok,
Indonesia, to Two Common Pollutants in Combination with High Temperature.
AB - Knowledge on interactive effects of global (e.g. ocean warming) and local
stressors (e.g. pollution) is needed to develop appropriate management strategies
for coral reefs. Surfactants and diesel are common coastal pollutants, but
knowledge of their effects on hard corals as key reef ecosystem engineers is
scarce. This study thus investigated the physiological reaction of Pocillopora
verrucosa from Lombok, Indonesia, to exposure with a) the water-soluble fraction
of diesel (determined by total polycyclic aromatic hydrocarbons (PAH); 0.69 +/-
0.14 mg L-1), b) the surfactant linear alkylbenzene sulfonate (LAS; 0.95 +/- 0.02
mg L-1) and c) combinations of each pollutant with high temperature (+3 degrees
C). To determine effects on metabolism, respiration, photosynthetic efficiency
and coral tissue health were measured. Findings revealed no significant effects
of diesel, while LAS resulted in severe coral tissue losses (16-95% after 84 h).
High temperature led to an increase in photosynthetic yield of corals after 48 h
compared to the control treatment, but no difference was detected thereafter. In
combination, diesel and high temperature significantly increased coral dark
respiration, whereas LAS and high temperature caused higher tissue losses (81
100% after 84 h) and indicated a severe decline in maximum quantum yield. These
results confirm the hypothesized combined effects of high temperature with either
of the two investigated pollutants. Our study demonstrates the importance of
reducing import of these pollutants in coastal areas in future adaptive reef
management, particularly in the context of ocean warming.
PMID- 26555819
TI - Diminution of Oxidative Damage to Human Erythrocytes and Lymphocytes by Creatine:
Possible Role of Creatine in Blood.
AB - Creatine (Cr) is naturally produced in the body and stored in muscles where it is
involved in energy generation. It is widely used, especially by athletes, as a
staple supplement for improving physical performance. Recent reports have shown
that Cr displays antioxidant activity which could explain its beneficial cellular
effects. We have evaluated the ability of Cr to protect human erythrocytes and
lymphocytes against oxidative damage. Erythrocytes were challenged with model
oxidants, 2, 2'-azobis(2-amidinopropane) dihydrochloride (AAPH) and hydrogen
peroxide (H2O2) in the presence and absence of Cr. Incubation of erythrocytes
with oxidant alone increased hemolysis, methemoglobin levels, lipid peroxidation
and protein carbonyl content. This was accompanied by decrease in glutathione
levels. Antioxidant enzymes and antioxidant power of the cell were compromised
while the activity of membrane bound enzyme was lowered. This suggests induction
of oxidative stress in erythrocytes by AAPH and H2O2. However, Cr protected the
erythrocytes by ameliorating the AAPH and H2O2 induced changes in these
parameters. This protective effect was confirmed by electron microscopic analysis
which showed that oxidant-induced cell damage was attenuated by Cr. No cellular
alterations were induced by Cr alone even at 20 mM, the highest concentration
used. Creatinine, a by-product of Cr metabolism, was also shown to exert
protective effects, although it was slightly less effective than Cr. Human
lymphocytes were similarly treated with H2O2 in absence and presence of different
concentrations of Cr. Lymphocytes incubated with oxidant alone had alterations in
various biochemical and antioxidant parameters including decrease in cell
viability and induction of DNA damage. The presence of Cr attenuated all these
H2O2-induced changes in lymphocytes. Thus, Cr can function as a blood
antioxidant, protecting cells from oxidative damage, genotoxicity and can
potentially increase their lifespan.
PMID- 26555820
TI - sigma54-dependent regulome in Desulfovibrio vulgaris Hildenborough.
AB - BACKGROUND: The sigma(54) subunit controls a unique class of promoters in
bacteria. Such promoters, without exception, require enhancer binding proteins
(EBPs) for transcription initiation. Desulfovibrio vulgaris Hildenborough, a
model bacterium for sulfate reduction studies, has a high number of EBPs, more
than most sequenced bacteria. The cellular processes regulated by many of these
EBPs remain unknown. RESULTS: To characterize the sigma(54)-dependent regulome of
D. vulgaris Hildenborough, we identified EBP binding motifs and regulated genes
by a combination of computational and experimental techniques. These predictions
were supported by our reconstruction of sigma(54)-dependent promoters by
comparative genomics. We reassessed and refined the results of earlier studies on
regulation in D. vulgaris Hildenborough and consolidated them with our new
findings. It allowed us to reconstruct the sigma(54) regulome in D. vulgaris
Hildenborough. This regulome includes 36 regulons that consist of 201 coding
genes and 4 non-coding RNAs, and is involved in nitrogen, carbon and energy
metabolism, regulation, transmembrane transport and various extracellular
functions. To the best of our knowledge, this is the first report of direct
regulation of alanine dehydrogenase, pyruvate metabolism genes and type III
secretion system by sigma(54)-dependent regulators. CONCLUSIONS: The sigma(54)
dependent regulome is an important component of transcriptional regulatory
network in D. vulgaris Hildenborough and related free-living Deltaproteobacteria.
Our study provides a representative collection of sigma(54)-dependent regulons
that can be used for regulation prediction in Deltaproteobacteria and other taxa.
PMID- 26555821
TI - Knowledge and attitude of pregnant women toward flu vaccination: a cross
sectional survey.
AB - OBJECTIVE: The study was conducted to explore the knowledge of pregnant women
about influenza, influenza vaccine during pregnancy and the attitudes regarding
immunization. METHODS: A questionnaire based on the model proposed by Yudin in
2009 was translated, adapted and administered to 309 pregnant women in the third
trimester of pregnancy between October 1 and December 1, 2013 at San Giovanni
Calibita Fatebenefratelli hospital of Rome (Italy). RESULTS: Most of the
interviewed women (68.9%) answered that influenza is highly contagious, 34.6% of
them believed that pregnant women have the same risk of complications as non
pregnant women. Only 5.8% were aware that the vaccine is recommended for women in
the second and third trimester of pregnancy and only 14.2% of women answered that
the vaccine is safe during pregnancy. Only 3/309 women reported recommendation
and offer of vaccination during current pregnancy, but none of them was
vaccinated. CONCLUSIONS: Overall, knowledge regarding influenza, implications
during pregnancy and influenza vaccine was poor among pregnant women. In Italy,
the National Vaccine Prevention Plan 2012-2014 recommends influenza vaccine
during pregnancy, but only 18/309 were aware of this recommendation. These
results suggest that in order to increase influenza vaccine acceptance it is
necessary to improve pregnant women knowledge about influenza and to offer
education to healthcare providers.
PMID- 26555822
TI - Natural occurrence of bisphenol F in mustard.
AB - Bisphenol F (BPF) was found in mustard up to a concentration of around 8 mg kg(
1). Contamination of the raw products or caused by the packaging could be ruled
out. Also, the fact that only the 4,4'-isomer of BPF was detected spoke against
contamination from epoxy resin or other sources where technical BPF is used. Only
mild mustard made of the seeds of Sinapis alba contained BPF. In all probability
BPF is a reaction product from the breakdown of the glucosinolate glucosinalbin
with 4-hydroxybenzyl alcohol as an important intermediate. Hot mustard made only
from brown mustard seeds (Brassica juncea) or black mustard seeds (Brassica
nigra) contained no BPF. BPF is structurally very similar to bisphenol A and has
a similar weak estrogenic activity. The consumption of a portion of 20 g of
mustard can lead to an intake of 100-200 ug of BPF. According to a preliminary
risk assessment, the risk of BPF in mustard for the health of consumers is
considered to be low, but available toxicological data are insufficient for a
conclusive evaluation. It is a new and surprising finding that BPF is a natural
food ingredient and that this is the main uptake route. This insight sheds new
light on the risk linked to the family of bisphenols.
PMID- 26555823
TI - Red-Light-Induced Decomposition of an Organic Peroxy Radical: A New Source of the
HO2 Radical.
AB - The gas-phase decomposition of the alpha-hydroxy methylperoxy radical has been
theoretically examined, and the results provide insight into a new source of the
hydroperoxy radical (HO2 ) in the troposphere. Bimolecular peroxy decomposition
is promoted by the red-light or near-IR radiation excitation. The calculations
suggest for the first time, an important chemical role for the H2 O?HO2 radical
complex that exist in significant abundance in the troposphere. In particular,
the reaction of organic peroxy radicals with the HO2 radical and the H2 O?HO2
radical complex represent an autocatalytic source of atmospheric HO2 . This
reaction is a new example of red-light-initiated atmospheric chemistry that may
help in understanding the discrepancy between the observed and measured levels of
the HOx at sunrise.
PMID- 26555824
TI - Toward the Development of a Universal Outcome Instrument for Spine Trauma: A
Systematic Review and Content Comparison of Outcome Measures Used in Spine Trauma
Research Using the ICF as Reference.
AB - STUDY DESIGN: A systematic literature review. OBJECTIVE: The aim of this study
was (1) to identify patient-reported and clinician-based outcome measures most
frequently used to evaluate the function and health of spine trauma patients, (2)
to identify and quantify the concepts of these measures using the International
Classification of Functioning, Disability, and Health (ICF) as reference, and (3)
to describe their clinimetric properties. SUMMARY OF BACKGROUND DATA: There is a
real need for a disease-specific outcome instrument to measure the effect size of
various treatment options in a variety of traumatic spinal column injuries.
METHODS: A systematic literature search was conducted in several databases. From
the included studies, outcome measures were extracted. The items and underlying
concepts of the identified outcome measures were specified and linked to the ICF
categories. Finally, as far as available in literature, the clinimetric
properties of the obtained measures were analyzed. RESULTS: Out of 5117 screened
references, 245 were included, and 17 different frequently used outcome measures
were identified. Meaningful concepts of the items and response options of the
retrieved outcome measures were linked to a total of 105 different ICF
categories, aggregated to 57 first- or second-level categories. The categories
were linked to the components activities and participation (n = 31), body
functions (n = 17), environmental factors (n = 8), and body structures (n = 1).
Overall, there is only limited evidence on the measurement properties, except for
some disease-specific questionnaires, such as Oswestry Disability Index, Roland
Morris Disability Questionnaire, Neck Disability Index, and Cervical Spine
Outcome Questionnaire. CONCLUSION: The current systematic literature review
revealed great diversity in the use and content of outcome measures to evaluate
the functioning and health of spine trauma patients, with 17 different outcome
measures linked to 57 unique ICF categories. This study creates an evidence base
for a consensus meeting during which a core set of ICF categories for outcome
measurement in spine trauma will be decided. LEVEL OF EVIDENCE: 2.
PMID- 26555825
TI - The Triangle Model of Congenital Cervical Stenosis.
AB - STUDY DESIGN: Retrospective Cross-Sectional Study OBJECTIVE.: Identify the
pathoanatomical features of the cervical spine associated with congenital
stenosis SUMMARY OF BACKGROUND DATA.: Congenital cervical stenosis (CCS)
describes a patient with a decreased spinal canal diameter at multiple levels of
the cervical spine in the absence of degenerative changes. Despite recognition of
CCS throughout the literature, the anatomical features that lead to this
condition have not been established. Knowledge of the pathoanatomy behind CCS may
lead to alterations in surgical technique for this patient population that may
improve outcomes. METHODS: From 1000 cervical MRIs between January 2000 and
December 2014, CCS was identified in 68 patients using a strict definition of age
less than 50 years with mid-sagittal canal diameters (mid-SCD) (<10 mm) at
multiple sub-axial cervical levels (C3-C7). A total of 68 patients met the
inclusion criteria for this group. Fourteen controls with normal SCDs (>14 mm)
at all cervical levels were used for comparison. Anatomic measurements obtained
at each level (C3-C7) included: coronal vertebral body, AP vertebral body,
pedicle width, pedicle length, laminar length, AP lateral mass, posterior canal
distance, lamina-pedicle angle, and lamina-disc angle (LDA). Statistical
significance was defined as P < 0.01. RESULTS: CCS patients demonstrated
significantly different anatomical measurements when compared with controls.
Significantly smaller lateral masses, lamina lengths, lamina-pedicle angles, and
larger LDAs were identified at levels C3 to C7 in the CCS group (P < 0.01). These
anatomic components form a right triangle that illustrates the cumulative
narrowing effect on space for the spinal cord. CONCLUSION: The pathoanatomy of
CCS is associated with a decrease in the lamina-pedicle angle and an increase in
the LDA ultimately leading to a smaller SCD. The global changes in CCS are best
illustrated by this triangle model and are driven by the posterior elements of
the cervical spine. LEVEL OF EVIDENCE: 4.
PMID- 26555826
TI - A Survey of Innovative Reimbursement Models in Spine Care.
AB - STUDY DESIGN: Structured key informant interviews with follow-up. OBJECTIVE: The
aim of the study was to describe innovative reimbursement models in spine care
and gather perspectives on the future of spine care reimbursement. SUMMARY OF
BACKGROUND DATA: The United States spends $90 billion annually on medical
expenses for low back pain. One approach to promoting high-quality, cost
effective care is through bundled payments and other reimbursement models wherein
physicians are held accountable for costs and utilization. Little data exist on
innovative payment models in spine care. METHODS: Through literature review and
discussions with leaders in the field, we identified organizations that were
engaged in bundled payment initiatives for spine care and surgery. These included
healthcare systems, physician groups, organizations helping to set up bundles,
and a large employer. We conducted interviews to understand the background and
specific features of each initiative, generalizable success factors and
challenges, and perspectives on the future of spine reimbursement. RESULTS: We
interviewed 24 stakeholders across 18 organizations that collectively perform
approximately 12,000 inpatient spine surgeries annually. Fee-for-service
reimbursement accounts for a majority of revenue, but several organizations
expect 30% to 45% of their spine volume to be covered under bundled payments
within 3 years and cite new patient volume, increased surgical yield, and
financial benefits from efficiency improvements as reasons for adopting bundled
payments. Current initiatives are heterogeneous, but share similar success
factors and challenges. Institutions are more hesitant to adopt risk-based
payment models for chronic back care, citing difficulty modeling risk, patient
heterogeneity, and difficulty aligning incentives. CONCLUSION: Payment models
outside of the traditional fee-for-service paradigm are emerging in spine care.
Providers that preemptively adopt bundled payments can increase patient volumes
from payers seeking cost-effective care. Going forward, organizations should
begin considering reimbursement models that focus on noninterventional spine
care. Finally, developments in spine reimbursement may apply to other procedure
based specialties, including orthopedics and cardiology. LEVEL OF EVIDENCE: 5.
PMID- 26555827
TI - Body Image and Quality-of-Life in Untreated Versus Brace-Treated Females With
Adolescent Idiopathic Scoliosis.
AB - STUDY DESIGN: The Bracing in Adolescent Idiopathic Scoliosis Trial (BrAIST)
included skeletally immature high-risk patients with adolescent idiopathic
scoliosis (AIS) with moderate curve sizes (20 degrees - 40 degrees ). BrAIST was
a multicenter, controlled trial using both randomized and preference treatment
arms into either an observation group or a brace treatment group. OBJECTIVE: The
aim of this study was to analyze and compare body image and quality-of-life (QOL)
in female AIS patients who were observed or treated with a brace. SUMMARY OF
BACKGROUND DATA: Brace treatment is an effective means for controlling
progressive scoliosis and preventing the need for surgery, but there is no
consensus regarding the effect of brace treatment on body image or on QOL in
adolescents with AIS. METHODS: Data from female BrAIST patients in the randomized
(n = 132) or preference (n = 187) arms and were observed (n = 120) or brace
treated (n = 199) were analyzed. Patients completed the Spinal Appearance
Questionnaire (SAQ) and the Pediatric Quality of Life Inventory (PedsQOL) 4.0
Generic Scales at baseline and 6 month follow-up visits up to 2 years. Items on
the SAQ measured three body image constructs (self, ideal, and overall). The
PedsQOL measured health, activities, feelings, social factors, and school.
RESULTS: . In general, there were no significant differences within or between
study arms or treatments regarding body image or QOL through 2 years of follow
up. Poorer body image was significantly correlated with poorer QOL during the
first 2 years of follow-up regardless of study arm or treatment. Patients who
crossed-over to a different treatment and patients with largest Cobb angles >= 40
degrees had significantly poorer body image, in particular self-body image,
compared with those that did not. CONCLUSION: This study does not support
findings from previous research indicating that wearing a brace has a negative
impact on or is negatively impacted by body image or QOL. LEVEL OF EVIDENCE: 2.
PMID- 26555828
TI - Risk Factors for Recurrence of Surgically Treated Conventional Spinal
Schwannomas: Analysis of 169 Patients From a Multicenter International Database.
AB - STUDY DESIGN: A retrospective analysis of 169 adult patients operated for a
conventional spinal schwannoma from the AOSpine Multicenter Primary Spinal Tumors
Database. OBJECTIVE: The aim of this study is to identify risk factors for local
recurrence of conventional spinal schwannoma in patients who had surgery. SUMMARY
OF BACKGROUND DATA: Schwannomas account for up to 30% of all adult spinal tumors.
Total resection is the gold standard for patients with sensory or motor deficits.
Local recurrence is reported to be approximately 5% and usually occurs several
years after surgery. METHODS: Rates and time of local recurrence of spinal
schwannoma were quantified. Predictive value of various clinical factors was
assessed, including age, gender, tumor size, affected spinal segment, and type of
surgery. Descriptive statistics and univariate regression analyses were
performed. RESULTS: Nine (5.32%) out the 169 patients in this study experienced
local recurrence approximately 1.7 years postoperatively. Univariate analyses
revealed that recurrence tended to occur more often in younger patients (39.33 +/
14.58 versus 47.01 +/- 15.29 years) and in the lumbar segment (55.56%), although
this did not reach significance [hazard ratio (HR) 0.96, P = 0.127; and P =
0.195, respectively]. Recurrence also arose in the cervical and sacral spine
(22.22%, respectively) but not in the thoracic area. Tumors were significantly
larger in patients with recurrence (6.97 +/- 4.66 cm versus 3.81 +/- 3.34 cm),
with extent in the cranial caudal direction posing the greatest hazard (HR =
1.321, P = 0.002). The location of the tumor, whether epidural, intradural, or
both (P = 0.246), was not significantly related to recurrence. Regarding surgical
technique, over 4 times as many patients who underwent intralesional resection
experienced a recurrence proportionally to patients who underwent en bloc
resection (HR = 4.178, P = 0.033). CONCLUSION: The pre-operative size of the
conventional spinal schwannoma and intralesional resection are the main risk
factors for local postoperative recurrence. LEVEL OF EVIDENCE: 3.
PMID- 26555829
TI - Lumbar Lordosis Minus Thoracic Kyphosis: A Novel Regional Predictor for Sagittal
Balance in Elderly Populations.
AB - STUDY DESIGN: A retrospective study. OBJECTIVE: The aim of this study is to
introduce a novel regional predictor for sagittal balance in elderly populations
and explore its effectiveness of evaluating sagittal balance. SUMMARY OF
BACKGROUND DATA: Sagittal balance is getting increasing recognition of importance
due to its significant association of health-related quality of life. However, no
regional parameters could well reflect and predict the whole sagittal balance.
METHODS: Medical records of elderly patients in our outpatient clinic from
January 2012 to January 2014 were reviewed with standing full-spine lateral
radiograph. Radiological parameters were evaluated, including max thoracic
kyphosis (maxTK), max lumbar lordosis (maxLL), LL minus TK(LL-TK), PI minus LL
(PI-LL), sacrum slope (SS), pelvic tilt (PT), pelvic incidence (PI), and SVA
(sagittal vertical axis). Correlation analysis between SVA, LL-TK, and other
radiological spinopelvic parameters and was pursued. Patients were divided into
two groups according to whether patients were well-aligned in sagittal plane:
Group A (well-aligned, SVA <=50 mm) and Group B (poorly aligned, SVA >50 mm),
and demographic and sagittal parameters were compared. LL-TK >=0 degrees and PI
LL <=13 degrees were used as a threshold value to evaluate their effectiveness
of prediction for sagittal balance. RESULTS: A total of 129 patients (M: 25 and
F: 104) were included in this study. SVA was significantly correlated with NRS
(numeric rating scales), age, maxLL, PT, LL-TK, and PI-LL (all, P < 0.05). Age,
maxTK, maxLL, SS, PT, PI, SVA, and NRS were significantly correlated with LL-TK
(all P < 0.05). Significant differences were found in age, maxLL, PT, LL-TK, PI
LL, SVA, and NRS between Group A (M: 15 and F: 72) and Group B (M: 10 and F: 32)
(all P < 0.05). Furthermore, both LL-TK >=0 degrees and PI-LL <=13 degrees were
observed in 75 patents, among which SVA <=50 mm was found in 67 patients (89%).
Among patients whose LL-TK and PI-LL were <0 degrees and >13 degrees , 34
patients were poorly aligned (34/39, 87%). CONCLUSION: LL-TK was a good regional
predictor for sagittal balance in elderly population, especially combined with PI
LL. LEVEL OF EVIDENCE: 4.
PMID- 26555830
TI - Impact of Resident Participation on Outcomes After Single-Level Anterior Cervical
Diskectomy and Fusion: An Analysis of 3265 Patients from the American College of
Surgeons National Surgical Quality Improvement Program Database.
AB - STUDY DESIGN: Multicenter retrospective cohort study. OBJECTIVE: To investigate
the relationship between resident involvement in the operating room and 30-day
complication rates in patients undergoing single-level anterior cervical
diskectomy and fusion (ACDF). SUMMARY OF BACKGROUND DATA: Although an integral
part of academic medicine, surgical resident participation in the operating room
and its impact on patient outcomes have been a topic of debate. No large-scale
study has been performed to examine this relationship in ACDF. METHODS: The
American College of Surgeons National Surgical Quality Improvement Program (ACS
NSQIP) database was retrospectively reviewed to identify all patients who
underwent single-level ACDF procedures during 2006-2013. A propensity score
matching algorithm was employed to minimize baseline differences. Multivariate
logistic regression analysis of unadjusted and propensity-matched cohorts was
performed to examine the effect of resident participation on 30-day postoperative
complication rates and length of hospital stay. RESULTS: A total of 3265 patients
met inclusion criteria. The propensity score-matching procedure yielded 1003
pairs of well-matched nonresident and resident pairs. The multivariate analysis
of propensity score-matched population demonstrated that resident involvement was
not associated with an increased risk for any of the complications analyzed,
including overall complications, medical complications, surgical complications,
mortality, cardiac arrest, deep venous thrombosis, or length of total hospital
stay. CONCLUSION: This large-scale, population-based study found that surgical
resident participation in the operating room did not increase the risk of 30-day
complications nor prolonged the length of hospital stay. Resident participation,
however, was associated with an increased operative duration. Strategies to
improve residents' technical proficiency outside of the operating room may
enhance patient safety. LEVEL OF EVIDENCE: 3.
PMID- 26555831
TI - Fatigue Performance of Cortical Bone Trajectory Screw Compared With Standard
Trajectory Pedicle Screw.
AB - STUDY DESIGN: Cadaveric biomechanical study. OBJECTIVE: To determine fatigue
behavior of cortical bone trajectory (CBT) pedicle screws. SUMMARY OF BACKGROUND
DATA: Cortical bone trajectory screws have been becoming popular in spine
surgery; however, the long-term fatigue behavior of the new CBT screws remains
understudied and limitations not well defined. METHODS: Twelve vertebrae from six
cadaveric lumbar spines were obtained. After bone mineral density (BMD)
measurements, each vertebral body was instrumented with screws from each group,
that is, CBT (4.5 * 25 mm) or standard pedicle screw (6.5 * 55 mm). A load (+/- 4
Nm sagittal bending) was applied under displacement control at 1 Hz. Each
construct was loaded for 100 cycles or until 6 degrees of loosening was
observed. After fatigue testing, the screws were pulled out axially at 5 mm/min.
RESULTS: The standard pedicle screw showed better resistance against 100 cycle
loading compared with the CBT screws (P < 0.001, 6.9 degrees +/- 4.8 degrees
vs. 15.2 degrees +/- 5.5 degrees , respectively). The standard pedicle screw
testing usually required more than 100 cycles of loading to achieve the critical
loosening (3592 +/- 4564 cycles), whereas the CBT screw never exceeded 100 cycles
(84 +/- 24 cycles) (P = 0.002). Increased BMD was significantly associated with a
higher number of cycles and less loosening. The standard pedicle screw group had
a higher postfatigue pullout load than the CBT screw group (P = 0.001, 776 +/-
370 N and 302 +/- 232 N, respectively). CONCLUSION: The standard pedicle screw
had a better fatigue performance compared with the CBT screw in vertebra with
compromised bone quality. The proper insertion of the CBT screw might be
prevented by the laminar anatomy depending on the screw head design. The CBT
screw damaged the bone along its shaft by rotating around a fulcrum, located at
either the pars, pedicle isthmus, or the junction of the pedicle and superior
endplate, contingent upon the strength of the bone.
PMID- 26555833
TI - Rehabilitation Following Lumbar Fusion Surgery: A Systematic Review and Meta
Analysis.
AB - STUDY DESIGN: A systematic review with meta-analysis. OBJECTIVE: The aim of this
study was to conduct a systematic review and meta-analysis of current evidence
evaluating the effectiveness of rehabilitation following lumbar fusion surgery
(LFS). SUMMARY OF BACKGROUND DATA: LFS for the management of lower back pain,
with(out) neurogenic leg pain, is increasing as the population ages. Clinical
outcomes commonly lag behind surgical outcomes and 40% of patients experience
significant back-related disability 12 months after LFS. Identifying
rehabilitation strategies to improve function and quality of life following LFS
is important. METHODS: A systematic review of databases were searched, including
MEDLINE, CINAHL, and grey literature. Studies identified were screened for
inclusion by title and abstract. Full text of eligible/potentially eligible
studies was evaluated against predetermined eligibility criteria. Included
studies were subjected to critical appraisal and risk of bias evaluation. The
GRADE approach to quality of evidence was utilized. A meta-analysis comparing
usual care with "complex rehabilitation," comprising exercise and cognitive
behavioral therapy, for outcomes relating to pain, disability, fear of movement,
and mental health was conducted at short and longer term (<3 and >12 months
postsurgery) time points. RESULTS: Three studies were identified for the
systematic review and 2 included in the meta-analysis (n = 237, female = 62%,
mean age = 55). Low-quality evidence suggests that "complex rehabilitation"
provides short-term improvement in disability [effect size, -0.85, 95% confidence
interval (95% CI), -1.41 to -0.29] and fear avoidance behavior (-1.07, 95% CI
1.33, -0.80), compared with usual care. Low-quality evidence exists favoring
"complex rehabilitation" over usual care for longer term disability (-0.84, 95%
CI -1.11 to -0.58) and fear avoidance behavior (-1.40, 95% CI -1.69 to -1.12).
CONCLUSIONS: A small number of low-quality studies suggest that "complex
rehabilitation" reduces short and long-term disability and fear avoidance
behavior following LFS. More, high-quality research is required to confirm the
effectiveness of "complex rehabilitation" programs.
PMID- 26555834
TI - A Novel Anterior Odontoid Screw Plate for C1-C3 Internal Fixation: An In Vitro
Biomechanical Study.
AB - STUDY DESIGN: A biomechanical in vitro study was performed using a standardized
experimental protocol in a biomechanical spine testing apparatus. OBJECTIVE: The
aims of this study were to evaluate the biomechanical stability afforded by 4
cervical fixation techniques: anterior cervical plate+odontoid screw+cage
(ACP+OS+cage), anterior odontoid screw plate+bone graft (AOSP+bone graft),
posterior C2-3 fixation+odontoid screw (C2PS+C3LMS+OS), and posterior C1-3
fixation (C1PS+C2PS+C3LMS). SUMMARY OF BACKGROUND DATA: Unstable axis injuries
with multiple fracture lines are uncommon injuries, and their management is still
challenging for surgeons who aim to achieve primary stability, early
mobilization, preserved cervical range of motion (ROM), and favorable outcome. We
designed a novel AOSP to assist in this challenging clinical scenario. METHODS:
Eight fresh-frozen cadaveric spine specimens (C1-C3) were subjected to stepwise
destabilization of the C1-3 complex, with serial replication of a type II Hangman
fracture, a type II odontoid fracture, and a C2 to C3 disc injury. Intact
specimens, destabilized specimens, and destabilized specimens with various
stabilization techniques including anterior and posterior techniques, some using
our AOSP, were each tested for stability. Each spine was subjected to flexion,
and extension testing, left and right lateral bending, and left and right
rotation. RESULTS: After AOSP+bone graft fixation, the ROMC2-C3 during all
loading modes were reduced to values that were significantly less than normal.
During all loading modes, AOSP+bone graft fixation significantly outperformed the
ACP+OS+cage fixation in limiting ROMC2-C3. During flexion and extension,
AOSP+bone graft fixation significantly outperformed the C1PS+C2PS+C3LMS fixation
and C2PS+C3LMS+OS fixation in limiting ROMC2-C3. CONCLUSION: The AOSP has
excellent biomechanical performance when dealing with type I Hangman fractures,
type II odontoid fractures, and C2-3 disc injuries. The AOSP+one graft fixation
can preserve the function of atlanto-axial joint, which may be a valuable
stabilization strategy for these unique injuries.
PMID- 26555835
TI - Impact of Axial Neck Pain on Quality of Life After Laminoplasty.
AB - STUDY DESIGN: A prospective study. OBJECTIVE: The aim of this study was to
elucidate the impact of axial pain following cervical laminoplasty on health
related quality of life (HRQOL). SUMMARY OF BACKGROUND DATA: Axial neck pain is a
frequently encountered complication after laminoplasty. However, limited
information is available regarding the time-dependent changes in axial pain and
the impact of this pain on clinical outcomes, including HRQOL. METHODS: One
hundred sixty-two consecutive patients with cervical myelopathy underwent double
door laminoplasty using hydroxyapatite spacers from 2008 to 2012. The outcome
measures included the Japanese Orthopaedic Association score (JOA score), the
EuroQol 5 Dimension Questionnaire (EQ-5D), and the Short Form-36 survey (SF-36).
The intensity of axial pain was assessed using an 11-point numerical rating scale
(NRS). These assessments were conducted preoperatively and at 6-month, 1-year,
and 2-year follow-ups. Patient satisfaction with outcome was graded using a 7
point NRS at the 2-year follow-up. RESULT: One hundred twenty-one patients
completed the 2-year follow-up. The mean axial pain intensity decreased slightly
over time; however, the decrease was not significant. The JOA score, EQ-5D score,
and all SF-36 domains, excluding general health perceptions, improved
significantly compared with baseline levels at the 6-month follow-up or later.
Baseline axial pain intensity showed a significant negative correlation with
baseline HRQOL only in the SF-36 bodily pain domain. In contrast, axial pain
intensity showed significant negative correlations with all HRQOL measures at the
6-month follow-up. At the 2-year follow-up, patients with an axial pain intensity
>=3 showed significantly worse outcomes than did patients with a pain intensity
<3 in the EQ-5D score, SF-36 score, and patient satisfaction grades, but not in
the JOA score. CONCLUSION: Axial neck pain has a significant negative impact on
clinical outcomes, including a wide range of HRQOL measures and patient
satisfaction with outcome, in patients undergoing conventional double-door
laminoplasty. LEVEL OF EVIDENCE: 3.
PMID- 26555836
TI - Pediatric Cervical Spine and Spinal Cord Injury: A National Database Study.
AB - STUDY DESIGN: A retrospective administrative database analysis. OBJECTIVE: The
aim of this study was to investigate the incidence and characteristics of
pediatric cervical spine injury (PCSI) utilizing the Kids' Inpatient Database
(KID). SUMMARY OF BACKGROUND DATA: PCSI is debilitating, but comprehensive
analyses have been difficult due to its rarity. There have been a few database
studies on PCSI; however, the studies employed databases that suffer from
selection bias. METHODS: The triennial KID was queried from years 2000 to 2012
using International Classification of Diseases, Ninth Revision, Clinical
Modification (ICD-9-CM) codes. Pediatric admissions were divided into five age
groups reflecting different developmental stages. PCSI was analyzed in terms of
trend, demographics, injury characteristics, hospital characteristics,
comorbidities, and outcomes variables. Multivariate logistic regression analyses
were used to identify independent risk factors for PCSI among trauma admissions
and to identify independent risk factors for mortality among PCSI admissions.
RESULTS: Over the past decade, the overall prevalence of traumatic PCSI was
2.07%, and the mortality rate was 4.87%. Most frequent cause of PCSI was
transportation accidents, accounting for 57.51%. Upper cervical spine injury (C1
C4), cervical fracture with spinal cord injury, spinal cord injury without
radiographic abnormality (SCIWORA), and dislocation showed a decreasing trend
with age. Some comorbidities, including, but not limited to, fluid and
electrolyte disorders, and paralysis were common across all age groups, while
substance abuse showed a bimodal distribution. Independent risk factors for PCSI
after trauma were older cohorts, non-Northeast region, and transportation
accidents. For mortality after PCSI, independent risk factors were younger
cohorts, transportation accidents, upper cervical spine injury, dislocation, and
spinal cord injuries. Median length of stay and cost were 3.84 days and $14 742.
CONCLUSION: Pediatric patients are highly heterogeneous, constantly undergoing
behavioral, environmental, and anatomical changes. PCSI after trauma is more
common among older cohorts; however, mortality after sustaining PCSI is higher
among younger patients. LEVEL OF EVIDENCE: 4.
PMID- 26555837
TI - Prolonged Hoarseness Caused by Arytenoid Dislocation After Anterior Cervical
Corpectomy and Fusion.
AB - STUDY DESIGN: A case of arytenoid dislocation after anterior cervical corpectomy
and fusion (ACCF) is reported. OBJECTIVE: To emphasize that arytenoid dislocation
could be a possible cause of prolonged hoarseness in patients after ACCF. SUMMARY
OF BACKGROUND: Prolonged hoarseness is a common postoperative complication of
cervical surgeries, especially in the anterior approach. Postoperative hoarseness
is usually associated with paresis of the recurrent laryngeal nerve (RLN).
However, other causes such as arytenoids dislocation, which is often misdiagnosed
as RLN palsy, should not be ignored either. METHODS: We reported one case of
arytenoid dislocation after ACCF and reviewed the related literatures. RESULTS:
One patient treated with ACCF experienced prolonged postoperative hoarseness.
Arytenoid dislocation was confirmed by laryngoscopy examination and three
dimensional computed tomography (CT) scan. To deal with the problem, a closed
reduction of cricoarytenoid joint was performed under general anesthesia.
Fortunately, the motion of vocal fold became nearly back to normal after surgery
and the patient recovered uneventfully. He was satisfied with the clinical
outcome at the final follow-up. CONCLUSION: Arytenoid dislocation should never be
ignored in the differential diagnosis of prolonged postoperative hoarseness after
ACCF. This situation can be confirmed by CT scan, vocal cord electromyography
(EMG), fiberoptic laryngoscopy, or strobovideolaryngoscopy. Once the diagnosis is
established, appropriate treatment should be considered immediately. LEVEL OF
EVIDENCE: 3.
PMID- 26555838
TI - The Influence of Insurance Status on the Surgical Treatment of Acute Spinal
Fractures.
AB - STUDY DESIGN: A retrospective, propensity score, multivariate analysis of the
National Trauma Data Bank (NTDB) between 2008 and 2011. OBJECTIVE: The aim of
this study was to determine the relationship between insurance status and rates
of surgery for acute spinal fractures with and without spinal cord injury (SCI).
SUMMARY OF BACKGROUND DATA: The decision for surgery in patients with spinal
fractures is often based on fracture pattern and stability, associated SCI, and
the presence of ligamentous and other associated injuries. It is poorly
understood how nonclinical factors, such as insurance status, influence the
decision for surgical intervention in patients sustaining spinal trauma. METHODS:
Using NTDB admission years 2008 to 2011, we included patients 18 to 64 years old
who sustained a fracture of the cervical or thoracolumbar spine. Patients were
excluded if they sustained polytrauma (Injury Severity Score >=27) or a major
injury (Abbreviated Injury Scale severity >=3) to the head, thorax, or abdomen.
Our main outcome measure was surgical versus nonsurgical treatment for spinal
injury; our main predictor was insurance status. Hierarchical multivariate
regression analysis and propensity scores were used to determine the relationship
between insurance status and surgical treatment, controlling for other factors.
We calculated adjusted odds ratios (ORs) for rates of surgery. RESULTS: Our
propensity score multivariate analysis demonstrated significantly higher rates of
surgery in patients with SCI (OR = 11.76, P < 0.001), insurance (OR = 1.27, P <
0.001), white (OR = 1.21, P = 0.018) versus black race, blunt trauma (OR = 5.63,
P < 0.001), shock (OR = 1.62, P < 0.001), higher Glasgow Coma Scale (GCS) score
(OR = 1.02, P = 0.002), transfer from lower acuity hospital (OR = 1.51, P <
0.001), and treatment at teaching hospitals (OR = 1.49, P < 0.001). Multivariable
subgroup analysis of SCI patients similarly revealed higher surgical rates for
insured patients (OR = 1.46, P < 0.001) than those without insurance. CONCLUSION:
Patients with traumatic spine fractures were more likely to receive surgery if
they were insured, regardless of the presence of SCI.
PMID- 26555839
TI - Minimally Invasive Versus Open Laminectomy for Lumbar Stenosis: A Systematic
Review and Meta-Analysis.
AB - STUDY DESIGN: Systematic review with meta-analysis. OBJECTIVE: To assess the
relative merits of minimally invasive unilateral laminectomy for bilateral
decompression (ULBD) versus open laminectomy, a systematic review and meta
analysis of all available evidence was performed. SUMMARY OF BACKGROUND DATA:
Lumbar spinal stenosis is one of the most common pathologies in the increasingly
elderly population that results in claudication, back and leg pain, and
disability. The conventional approach for decompression is open laminectomy. In
recent years, there has been a surge in microendoscopic procedures, which aim to
minimize invasiveness. Despite the increasing use of these minimally invasive
techniques, few studies have directly compared the safety, efficacy, and outcomes
of these procedures with conventional laminectomy. There is a lack of robust
clinical evidence, with most reports limited to single-center, inadequately
powered, noncomparative studies. METHODS: Relevant articles were identified from
six electronic databases. Predefined endpoints were extracted and meta-analyzed
from the identified studies. RESULTS: Satisfaction rates were significantly
higher in the minimally invasive group (84% vs. 75.4%; P = 0.03), whereas back
pain Visual Analog Scale scores were lower (P < 0.00001). Minimally invasive
laminectomy operative duration was 11 minutes longer than the open approach (P =
0.001), however this may not have clinical significance. However, there was less
blood loss (P < 0.00001) and shorter hospital stay (2.1 days; P < 0.0001). Dural
injuries and cerebrospinal fluid leaks were comparable, but reoperation rates
were lower in the minimally invasive cohort (1.6% vs. 5.8%; P = 0.02); however
this was not significant when only randomized evidence was considered.
CONCLUSION: The pooled evidence suggests ULBD may be associated with less blood
loss and shorter stay, with similar complication profiles to the open approach.
These findings warrant verification in large prospective registries and
randomized trials. LEVEL OF EVIDENCE: 1.
PMID- 26555840
TI - Isolation and Characterization of Human Mesenchymal Stem Cells From Facet Joints
and Interspinous Ligaments.
AB - STUDY DESIGN: A descriptive in vitro study on isolation and differentiation of
human mesenchymal stem cells (MSCs) derived from the facet joints and
interspinous ligaments. OBJECTIVE: To isolate cells from the facet joints and
interspinous ligaments and investigate their surface marker profile and
differentiation potentials. SUMMARY OF BACKGROUND DATA: Lumbar spinal canal
stenosis and ossification of the posterior longitudinal ligament are progressive
conditions characterized by the hypertrophy and ossification of ligaments and
joints within the spinal canal. MSCs are believed to play a role in the
advancement of these diseases and the existence of MSCs has been demonstrated
within the ligamentum flavum and posterior longitudinal ligament. The aim of this
study was to investigate whether these cells could also be found within facet
joints and interspinous ligaments. METHODS: Samples were harvested from 10
patients undergoing spinal surgery. The MSCs from facet joints and interspinous
ligaments were isolated using direct tissue explant technique. Cell surface
antigen profilings were performed via flow cytometry. Their lineage
differentiation potentials were analyzed. RESULTS: The facet joints and
interspinous ligaments-derived MSCs have the tri-lineage potential to be
differentiated into osteogenic, adipogenic, and chondrogenic cells under
appropriate inductions. Flow cytometry analysis revealed both cell lines
expressed MSCs markers. Both facet joints and interspinous ligaments-derived MSCs
expressed marker genes for osteoblasts, adipocytes, and chondrocytes. CONCLUSION:
The facet joints and interspinous ligaments may provide alternative sources of
MSCs for tissue engineering applications. The facet joints and interspinous
ligaments-derived MSCs are part of the microenvironment of the human ligaments of
the spinal column and might play a crucial role in the development and
progression of degenerative spine conditions.
PMID- 26555841
TI - Two-Nation Comparison of Classification and Treatment of Thoracolumbar Fractures:
An Internet-Based Multicenter Study Among Spine Surgeons.
AB - STUDY DESIGN: Web-based multicenter study. OBJECTIVE: The aim of the study was to
assess and compare the management strategy for traumatic thoracolumbar fractures
between German and Dutch spine surgeons. SUMMARY OF BACKGROUND DATA: To date,
there is no evidence-based treatment algorithm for thoracolumbar spine fractures,
thereby an international controversy concerning optimal treatment exists.
METHODS: In this web-based multicenter study (www.spine.hostei.com), computed
tomography scans of traumatic thoracolumbar fractures (T12-L2) were evaluated by
German and Dutch spine surgeons. Supplementary case-specific information such as
age, sex, height, weight, neurological status, and injury mechanism were
provided.By using a questionnaire, fractures were classified according to the AO
Magerl Classification, followed by 6 questions concerning the treatment
algorithm. Data were analyzed using SPSS (Version 21, 76, Chicago, IL). The
interobserver agreement was determined by using Cohen kappa. Statistical
significance was defined as P < 0.05. RESULTS: Twelve surgeons (6 per country)
evaluated each 91 cases. The fractures were classified as AO Type A in 82% (898
votes), Type B in 14% (150 votes), and Type C in 4% (44 votes). No significant
difference concerning the AO Classification between German and Dutch spine
surgeons was found. Overall German spine surgeons had a lower threshold
concerning the indication for surgical treatment (Ger 87% vs. NL 30%; P < 0.05).
There was a consensus about operative stabilization of AO Type B and C injuries
and injuries with neurologic deficit, whereas a discrepancy in the therapeutic
algorithm for AO Type A fractures was observed. This difference was most
pronounced regarding the indication for posterior (Ger 96.6%; NL 41.2%; P < 0.05)
and circumferential stabilization (Ger 53.4%; NL 0%; P < 0.05) for burst
fractures. CONCLUSION: There is a consensus to stabilize AO Type B and C
fractures, whereas country-specific differences in the treatment of Type A
fractures, especially in case of burst fractures, occur. Prospective, controlled
multicenter outcome studies may provide more evidence in optimal treatment for
thoracolumbar fractures. LEVEL OF EVIDENCE: 2.
PMID- 26555842
TI - Neurologic Complications, Reoperation, and Clinical Outcomes After Surgery for
Vertebral Osteomyelitis.
AB - STUDY DESIGN: A consecutive retrospective cohort study from 2008 to 2013 at a
single tertiary-care institution was conducted. OBJECTIVE: The aim of the study
was to characterize recovery from pain and neurologic deficit after surgery for
vertebral osteomyelitis (VO), and identify incidence of postoperative adverse
events. SUMMARY OF BACKGROUND DATA: A minority of patients with VO require
surgery. Although prior studies have characterized outcomes after medical
management, the morbidity after surgery is poorly defined. METHODS: The primary
outcome was change from baseline in a Modified McCormick Scale (MMS, 1-5 scale),
whereas secondary outcomes included reoperation and change in self-reported pain
Visual Analog Scale (VAS, 0-10 scale). MMS and VAS were collected throughout the
postoperative course as surrogates for neurologic function and degree of pain.
Intraoperative, short-term postoperative (<30 d), and long-term neurologic
complications were recorded. New-onset neurologic deficits in the postoperative
period were considered neurologic complications. RESULTS: Fifty patients were
included; a majority (52%) presented with a neurologic deficit. The median length
of follow-up was 18 months. A statistically significant improvement in MMS was
observed by 12 months postoperatively, whereas an improvement in VAS was observed
by 3 months. The mean improvement in MMS at last follow-up was 0.35, whereas the
mean improvement in VAS was 3.40. One quarter of patients required reoperation.
At 24 months postoperatively, 10% died, 26% underwent reoperation, 42%
experienced a neurologic complication, and 60% experienced at least one of these
3 adverse events. CONCLUSION: This is the first study to investigate neurologic
complications, reoperation, and pain in a longitudinal manner after surgery for
VO. We observed statistically significant improvements in MMS and VAS in the
postoperative period. Despite these improvements, the 24-month incidence of
overall adverse events was 60%. Patients and clinicians should be aware of the
clinical improvement but high incidence of adverse events after surgical
management of VO. LEVEL OF EVIDENCE: 4.
PMID- 26555843
TI - Is the step-wise tiered approach for ERA of pharmaceuticals useful for the
assessment of cancer therapeutic drugs present in marine environment?
AB - Methotrexate (MTX) and tamoxifen (TMX) cancer therapeutic drugs have been
detected within the aquatic environment. Nevertheless, MTX and TMX research is
essentially bio-medically orientated, with few studies addressing the question of
its toxicity in fresh water organisms, and none to its' effect in the marine
environment. To the authors' knowledge, Environmental Risk Assessments (ERA) for
pharmaceuticals has mainly been designed for freshwater and terrestrial
environments (European Medicines Agency-EMEA guideline, 2006). Therefore, the
purpose of this research was (1) to assess effect of MTX and TMX in marine
organism using the EMEA guideline, (2) to develop an ERA methodology for marine
environment, and (3) to evaluate the suitability of including a biomarker
approach in Phase III. To reach these aims, a risk assessment of MTX and TMX was
performed following EMEA guideline, including a 2-tier approach during Phase III,
applying lysosomal membrane stability (LMS) as a screening biomarker in tier-1
and a battery of biochemical biomarkers in tier-2. Results from Phase II
indicated that MTX was not toxic for bacteria, microalgae and sea urchin at the
concentrations tested, thus no further assessment was required, while TMX
indicated a possible risk. Therefore, Phase III was performed for only TMX.
Ruditapes philippinarum were exposed during 14 days to TMX (0.1, 1, 10, 50 MUg L(
1)). At the end of the experiment, clams exposed to environmental concentration
indicated significant changes in LMS compared to the control (p<0.01); thus a
second tier was applied. A significant induction of biomarkers (activity of
Ethoxyresorufin O-deethylase [EROD], glutathione S-transferase [GST], glutathione
peroxidase [GPX], and lipid peroxidation [LPO] levels) was observed in digestive
gland tissues of clams compared with control (p<0.01). Finally, this study
indicated that MTX was not toxic at an environmental concentration, whilst TMX
was potentially toxic for marine biota. This study has shown the necessity to
create specific guidelines in order to evaluate effects of pharmaceuticals in
marine environment which includes sensitive endpoints. The inadequacy of current
EMEA guideline to predict chemotherapy agents toxicity in Phase II was displayed
whilst the usefulness of other tests were demonstrated. The 2-tier approach,
applied in Phase III, appears to be suitable for an ERA of cancer therapeutic
drugs in the marine environment.
PMID- 26555844
TI - Moment equations in spatial evolutionary ecology.
AB - How should we model evolution in spatially structured populations? Here, I review
an evolutionary ecology approach based on the technique of spatial moment
equations. I first provide a mathematical underpinning to the derivation of
equations for the densities of various spatial configurations in network-based
models. I then show how this spatial ecological framework can be coupled with an
adaptive dynamics approach to compute the invasion fitness of a rare mutant in a
resident population at equilibrium. Under the additional assumption that
mutations have small phenotypic effects, I show that the selection gradient can
be expressed as a function of neutral measures of genetic and demographic
structure. I discuss the connections between this approach and inclusive fitness
theory, as well as the applicability and limits of this technique. My main
message is that spatial moment equations can be used as a means to obtain compact
qualitative arguments about the evolution of life-history traits for a variety of
life cycles.
PMID- 26555845
TI - Toward an optimal design principle in symmetric and asymmetric tree flow
networks.
AB - Fluid flow in tree-shaped networks plays an important role in both natural and
engineered systems. This paper focuses on laminar flows of Newtonian and non
Newtonian power law fluids in symmetric and asymmetric bifurcating trees. Based
on the constructal law, we predict the tree-shaped architecture that provides
greater access to the flow subjected to the total network volume constraint. The
relationships between the sizes of parent and daughter tubes are presented both
for symmetric and asymmetric branching tubes. We also approach the wall-shear
stresses and the flow resistance in terms of first tube size, degree of asymmetry
between daughter branches, and rheological behavior of the fluid. The influence
of tubes obstructing the fluid flow is also accounted for. The predictions
obtained by our theory-driven approach find clear support in the findings of
previous experimental studies.
PMID- 26555846
TI - A stochastic mechanism for signal propagation in the brain: Force of rapid random
fluctuations in membrane potentials of individual neurons.
AB - There are two functionally important factors in signal propagation in a brain
structural network: the very first synaptic delay-a time delay about 1ms-from the
moment when signals originate to the moment when observation on the signal
propagation can begin; and rapid random fluctuations in membrane potentials of
every individual neuron in the network at a timescale of microseconds. We provide
a stochastic analysis of signal propagation in a general setting. The analysis
shows that the two factors together result in a stochastic mechanism for the
signal propagation as described below. A brain structural network is not a rigid
circuit rather a very flexible framework that guides signals to propagate but
does not guarantee success of the signal propagation. In such a framework, with
the very first synaptic delay, rapid random fluctuations in every individual
neuron in the network cause an "alter-and-concentrate effect" that almost surely
forces signals to successfully propagate. By the stochastic mechanism we provide
analytic evidence for the existence of a force behind signal propagation in a
brain structural network caused by rapid random fluctuations in every individual
neuron in the network at a timescale of microseconds with a time delay of 1ms.
PMID- 26555848
TI - Fast ion conductivity in strained defect-fluorite structure created by ion tracks
in Gd2Ti2O7.
AB - The structure and ion-conducting properties of the defect-fluorite ring structure
formed around amorphous ion-tracks by swift heavy ion irradiation of Gd2Ti2O7
pyrochlore are investigated. High angle annular dark field imaging complemented
with ion-track molecular dynamics simulations show that the atoms in the ring
structure are disordered, and have relatively larger cation-cation interspacing
than in the bulk pyrochlore, illustrating the presence of tensile strain in the
ring region. Density functional theory calculations show that the non-equilibrium
defect-fluorite structure can be stabilized by tensile strain. The pyrochlore to
defect-fluorite structure transformation in the ring region is predicted to be
induced by recrystallization during a melt-quench process and stabilized by
tensile strain. Static pair-potential calculations show that planar tensile
strain lowers oxygen vacancy migration barriers in pyrochlores, in agreement with
recent studies on fluorite and perovskite materials. In view of these results, it
is suggested that strain engineering could be simultaneously used to stabilize
the defect-fluorite structure and gain control over its high ion-conducting
properties.
PMID- 26555847
TI - Nonlinear extension of a hemodynamic linear model for coherent hemodynamics
spectroscopy.
AB - In this work, we are proposing an extension of a recent hemodynamic model
(Fantini, 2014a), which was developed within the framework of a novel approach to
the study of tissue hemodynamics, named coherent hemodynamics spectroscopy (CHS).
The previous hemodynamic model, from a signal processing viewpoint, treats the
tissue microvasculature as a linear time-invariant system, and considers changes
of blood volume, capillary blood flow velocity and the rate of oxygen diffusion
as inputs, and the changes of oxy-, deoxy-, and total hemoglobin concentrations
(measured in near infrared spectroscopy) as outputs. The model has been used also
as a forward solver in an inversion procedure to retrieve quantitative parameters
that assess physiological and biological processes such as microcirculation,
cerebral autoregulation, tissue metabolic rate of oxygen, and oxygen extraction
fraction. Within the assumption of "small" capillary blood flow velocity
oscillations the model showed that the capillary and venous compartments
"respond" to this input as low pass filters, characterized by two distinct
impulse response functions. In this work, we do not make the assumption of
"small" perturbations of capillary blood flow velocity by solving without
approximations the partial differential equation that governs the spatio-temporal
behavior of hemoglobin saturation in capillary and venous blood. Preliminary
comparison between the linear time-invariant model and the extended model (here
identified as nonlinear model) are shown for the relevant parameters measured in
CHS as a function of the oscillation frequency (CHS spectra). We have found that
for capillary blood flow velocity oscillations with amplitudes up to 10% of the
baseline value (which reflect typical scenarios in CHS), the discrepancies
between CHS spectra obtained with the linear and nonlinear models are negligible.
For larger oscillations (~50%) the linear and nonlinear models yield CHS spectra
with differences within typical experimental errors, but further investigation is
needed to assess the effect of these differences. Flow oscillations larger than
10-20% are not typically induced in CHS; therefore, the results presented in this
work indicate that a linear hemodynamic model, combined with a method to elicit
controlled hemodynamic oscillations (as done for CHS), is appropriate for the
quantitative assessment of cerebral microcirculation.
PMID- 26555849
TI - Bias due to composite reference standards in diagnostic accuracy studies.
AB - Composite reference standards (CRSs) have been advocated in diagnostic accuracy
studies in the absence of a perfect reference standard. The rationale is that
combining results of multiple imperfect tests leads to a more accurate reference
than any one test in isolation. Focusing on a CRS that classifies subjects as
disease positive if at least one component test is positive, we derive algebraic
expressions for sensitivity and specificity of this CRS, sensitivity and
specificity of a new (index) test compared with this CRS, as well as the CRS
based prevalence. We use as a motivating example the problem of evaluating a new
test for Chlamydia trachomatis, an asymptomatic disease for which no gold
standard test exists. As the number of component tests increases, sensitivity of
this CRS increases at the expense specificity, unless all tests have perfect
specificity. Therefore, such a CRS can lead to significantly biased accuracy
estimates of the index test. The bias depends on disease prevalence and accuracy
of the CRS. Further, conditional dependence between the CRS and index test can
lead to over-estimation of index test accuracy estimates. This commonly-used CRS
combines results from multiple imperfect tests in a way that ignores information
and therefore is not guaranteed to improve over a single imperfect reference
unless each component test has perfect specificity, and the CRS is conditionally
independent of the index test. When these conditions are not met, as in the case
of C. trachomatis testing, more realistic statistical models should be researched
instead of relying on such CRSs.
PMID- 26555851
TI - Health in the Context of Global Health.
PMID- 26555850
TI - The Effects of 17 Weeks of Ballet Training on the Autonomic Modulation, Hormonal
and General Biochemical Profile of Female Adolescents.
AB - This study aimed to examine the alterations in physiological and biochemical
markers, after 17 weeks of ballet training in high level ballet dancers. Twenty
four female ballet dancers from 12 to 15 years old took part in the study. The
study followed 17 weeks of ballet training and analyzed changes in body
composition, the autonomic nervous system and biochemical variables before and
after (post) training. The internal training load was obtained using the session
rating of perceived exertion (session-RPE) method, calculated as the mean weekly
session-RPE, monotony and strain. After 17 weeks of training there were
significant increases in body mass, height, lean body mass, total protein, urea,
hemoglobin concentration, testosterone and thyroxine. During this period,
decreases in relative body fat, uric acid, red blood cells, C-reactive protein,
and ferritin were also found. After the training period, the autonomic modulation
demonstrated significant positive alterations, such as increases in
parasympathetic related indices. Based on the results obtained we concluded that
ballet training led to improvements in body composition and autonomic modulation.
In general hematological and biochemical variables demonstrated that the training
did not have adverse effects on the health state of the adolescents.
PMID- 26555852
TI - C and N Hybrid Coordination Derived Co-C-N Complex as a Highly Efficient
Electrocatalyst for Hydrogen Evolution Reaction.
AB - Development of an efficient hydrogen evolution reaction (HER) catalyst composed
of earth-abundant elements is scientifically and technologically important for
the water splitting associated with the conversion and storage of renewable
energy. Herein we report a new class of Co-C-N complex bonded carbon (only 0.22
at% Co) for HER with a self-supported and three-dimensional porous structure that
shows an unexpected catalytic activity with low overpotential (212 mV at 100 mA
cm(-2)) and long-term stability, better than that of most traditional-metal
catalysts. Experimental observations in combination with density functional
theory calculations reveal that C and N hybrid coordination optimizes the charge
distribution and enhances the electron transfer, which synergistically promotes
the proton adsorption and reduction kinetics.
PMID- 26555854
TI - One pass learning for generalized classifier neural network.
AB - Generalized classifier neural network introduced as a kind of radial basis
function neural network, uses gradient descent based optimized smoothing
parameter value to provide efficient classification. However, optimization
consumes quite a long time and may cause a drawback. In this work, one pass
learning for generalized classifier neural network is proposed to overcome this
disadvantage. Proposed method utilizes standard deviation of each class to
calculate corresponding smoothing parameter. Since different datasets may have
different standard deviations and data distributions, proposed method tries to
handle these differences by defining two functions for smoothing parameter
calculation. Thresholding is applied to determine which function will be used.
One of these functions is defined for datasets having different range of values.
It provides balanced smoothing parameters for these datasets through logarithmic
function and changing the operation range to lower boundary. On the other hand,
the other function calculates smoothing parameter value for classes having
standard deviation smaller than the threshold value. Proposed method is tested on
14 datasets and performance of one pass learning generalized classifier neural
network is compared with that of probabilistic neural network, radial basis
function neural network, extreme learning machines, and standard and logarithmic
learning generalized classifier neural network in MATLAB environment. One pass
learning generalized classifier neural network provides more than a thousand
times faster classification than standard and logarithmic generalized classifier
neural network. Due to its classification accuracy and speed, one pass
generalized classifier neural network can be considered as an efficient
alternative to probabilistic neural network. Test results show that proposed
method overcomes computational drawback of generalized classifier neural network
and may increase the classification performance.
PMID- 26555853
TI - The impact of off-pump surgery in end-organ function: practical end-points.
AB - Most surgeons perform coronary bypass surgery with the aid of cardiopulmonary
bypass, which inflicts a massive systemic inflammatory response to the body
leading to adverse clinical outcome. In an attempt to make CABG less invasive,
interest have been diverted to the off pump technique.The current review attempts
to bring an insight onto the last ten years knowledge on the off-pump impact in
end organ function, with an aim to draw some clear conclusions in order to allow
practitioners to reflect on the subject.
PMID- 26555855
TI - A Rapid and Quantitative Fluorimetric Method for Protein-Targeting Small Molecule
Drug Screening.
AB - We demonstrate a new drug screening method for determining the binding affinity
of small drug molecules to a target protein by forming fluorescent gold
nanoclusters (Au NCs) within the drug-loaded protein, based on the differential
fluorescence signal emitted by the Au NCs. Albumin proteins such as human serum
albumin (HSA) and bovine serum albumin (BSA) are selected as the model proteins.
Four small molecular drugs (e.g., ibuprofen, warfarin, phenytoin, and
sulfanilamide) of different binding affinities to the albumin proteins are
tested. It was found that the formation rate of fluorescent Au NCs inside the
drug loaded albumin protein under denaturing conditions (i.e., 60 degrees C or
in the presence of urea) is slower than that formed in the pristine protein
(without drugs). Moreover, the fluorescent intensity of the as-formed NCs is
found to be inversely correlated to the binding affinities of these drugs to the
albumin proteins. Particularly, the higher the drug-protein binding affinity, the
slower the rate of Au NCs formation, and thus a lower fluorescence intensity of
the resultant Au NCs is observed. The fluorescence intensity of the resultant Au
NCs therefore provides a simple measure of the relative binding strength of
different drugs tested. This method is also extendable to measure the specific
drug-protein binding constant (KD) by simply varying the drug content preloaded
in the protein at a fixed protein concentration. The measured results match well
with the values obtained using other prestige but more complicated methods.
PMID- 26555856
TI - Prenatal Versus Postnatal Tobacco Smoke Exposure and Intensive Care Use in
Children Hospitalized With Bronchiolitis.
AB - OBJECTIVE: Among children hospitalized with bronchiolitis, we examined the
associations between in utero exposure to maternal cigarette smoking, postnatal
tobacco smoke exposure, and risk of admission to the intensive care unit (ICU).
METHODS: We performed a 16-center, prospective cohort study of hospitalized
children aged <2 years with a physician admitting diagnosis of bronchiolitis. For
3 consecutive years, from November 1, 2007 until March 31, 2010, site teams
collected data from participating families, including information about prenatal
maternal smoking and postnatal tobacco exposure. Analyses used chi-square,
Fisher's exact, and Kruskal-Wallis tests and multivariable logistic regression.
RESULTS: Among 2207 enrolled children, 216 (10%) had isolated in utero exposure
to maternal smoking, 168 (8%) had isolated postnatal tobacco exposure, and 115
(5%) experienced both. Adjusting for age, sex, race, birth weight, viral
etiology, apnea, initial severity of retractions, initial oxygen saturation, oral
intake, and postnatal tobacco exposure, children with in utero exposure to
maternal smoking had greater odds of being admitted to the ICU (adjusted odds
ratio [aOR] 1.51, 95% confidence interval [CI] 1.14-2.00). Among children with in
utero exposure to maternal smoking, those with additional postnatal tobacco
exposure had a greater likelihood of ICU admission (aOR 1.95, 95% CI 1.13-3.37)
compared to children without postnatal tobacco smoke exposure (aOR 1.47, 95% CI
1.05-2.04). CONCLUSIONS: Maternal cigarette smoking during pregnancy puts
children hospitalized with bronchiolitis at significantly higher risk of
intensive care use. Postnatal tobacco smoke exposure may exacerbate this risk.
Health care providers should incorporate this information into counseling
messages.
PMID- 26555857
TI - Applicability of the pre-death grief concept to dementia family caregivers in
Asia.
AB - OBJECTIVE: Pre-death grief is prevalent among dementia family caregivers. When
unaddressed, it produces adverse outcomes. With its research primarily conducted
in Caucasians, its applicability to non-Caucasians is uncertain. We explore the
existence and the characteristics of pre-death grief in a multi-ethnic Asian
population using an established pre-death grief scale-Marwit-Meuser Caregiver
Grief Inventory (MM-CGI). METHODS: Seventy-two dementia family caregivers were
recruited from a tertiary hospital. Existence of pre-death grief was shown by its
measurability on MM-CGI, together with good internal consistency reliability and
construct validity. Characteristics of pre-death grief were explored through
multivariate linear regression of MM-CGI and by comparing MM-CGI scores with
those from the original US study using one-sample T-test. RESULTS: In the Asian
context, pre-death grief was measurable in a reliable and valid manner. Risk
factors of pre-death grief included caring for patients with severe dementia,
spousal relationship and secondary or below education. Influence of culture was
palpable-Asians had more worries and felt isolation, and certain ethnicity showed
more pre-death grief. CONCLUSIONS: Pre-death grief is applicable even to the non
Caucasian population. It bears much similarity to that in Caucasians. Yet, its
expression is modified by culture. Clinicians working with non-Caucasian
populations need to be sensitive to its presence and to the influence of culture
on its expression. (c) 2015 The Authors. International Journal of Geriatric
Psychiatry published by John Wiley & Sons, Ltd.
PMID- 26555858
TI - Stimulus-response correspondence effect as a function of temporal overlap between
relevant and irrelevant information processing.
AB - The stimulus-response correspondence (SRC) effect refers to advantages in
performance when stimulus and response correspond in dimensions or features, even
if the common features are irrelevant to the task. Previous research indicated
that the SRC effect depends on the temporal course of stimulus information
processing. The current study investigated how the temporal overlap between
relevant and irrelevant stimulus processing influences the SRC effect. In this
experiment, the irrelevant stimulus (a previously associated tone) preceded the
relevant stimulus (a coloured rectangle). The irrelevant and relevant stimuli
onset asynchrony was varied to manipulate the temporal overlap between the
irrelevant and relevant stimuli processing. Results indicated that the SRC effect
size varied as a quadratic function of the temporal overlap between the relevant
stimulus and irrelevant stimulus. This finding extends previous experimental
observations that the SRC effect size varies in an increasing or decreasing
function with reaction time. The current study demonstrated a quadratic function
between effect size and the temporal overlap.
PMID- 26555859
TI - Ecosystem service information to benefit sustainability standards for commodity
supply chains.
AB - The growing base of information about ecosystem services generated by ecologists,
economists, and other scientists could improve the implementation, monitoring,
and evaluation of commodity-sourcing standards being adopted by corporations to
mitigate risk in their supply chains and achieve sustainability goals. This
review examines various ways that information about ecosystem services could
facilitate compliance with and auditing of commodity-sourcing standards. We also
identify gaps in the current state of knowledge on the ecological effectiveness
of sustainability standards and demonstrate how ecosystem-service information
could complement existing monitoring efforts to build credible evidence. This
paper is a call to the ecosystem-service scientists to engage in this decision
context and tailor the information they are generating to the needs of the
standards community, which we argue would offer greater efficiency of standards
implementation for producers and enhanced effectiveness for standard scheme
owners and corporations, and should thus lead to more sustainable outcomes for
people and nature.
PMID- 26555860
TI - Integrating human responses to climate change into conservation vulnerability
assessments and adaptation planning.
AB - The impact of climate change on biodiversity is now evident, with the direct
impacts of changing temperature and rainfall patterns and increases in the
magnitude and frequency of extreme events on species distribution, populations,
and overall ecosystem function being increasingly publicized. Changes in the
climate system are also affecting human communities, and a range of human
responses across terrestrial and marine realms have been witnessed, including
altered agricultural activities, shifting fishing efforts, and human migration.
Failing to account for the human responses to climate change is likely to
compromise climate-smart conservation efforts. Here, we use a well-established
conservation planning framework to show how integrating human responses to
climate change into both species- and site-based vulnerability assessments and
adaptation plans is possible. By explicitly taking into account human responses,
conservation practitioners will improve their evaluation of species and ecosystem
vulnerability, and will be better able to deliver win-wins for human- and
biodiversity-focused climate adaptation.
PMID- 26555861
TI - Apoptotic Effect of Sanggenol L via Caspase Activation and Inhibition of NF
kappaB Signaling in Ovarian Cancer Cells.
AB - In the present study, the underlying apoptotic mechanism of sanggenol L was
elucidated in ovarian cancer cells. Sanggenol L showed cytotoxic and
antiproliferative effect in A2780, SKOV-3, and OVCAR-3 ovarian cancer cells in a
concentration-dependent fashion. Consistently, sanggenol L increased sub-G1 phase
population and early and late apoptotic portion in ovarian cancer cells. Also,
sanggenol L activated caspase9/3, suppressed the phosphorylation of IkappaBalpha
and p65 NF-kappaB (nuclear factor kappa-light-chain-enhancer of activated B
cells), attenuated the expression of Cyclin D1, and cleaved poly(adenosine
diphosphate ribose -ribose) polymerase in SKOV-3, A2780, and OVCAR-3 cells.
Furthermore, sanggenol L blocked nuclear translocation of NF-kappaB and also
attenuated the expression of NF-kappaB related genes such as c-Myc, Cyclin D1,
and Bcl-X L, Bcl-2, in lipopolysaccharide-treated SKOV-3 cells. Overall, our
findings for the first time suggest that sanggenol L induces apoptosis via
caspase activation and inhibition of NF-kappaB/IkappaBalpha phosphorylation as a
potent chemotherapeutic agent for ovarian cancers.
PMID- 26555862
TI - The efficacy and safety of sodium hyaluronate injection (Adant(r)) in treating
degenerative osteoarthritis: a multi-center, randomized, double-blind, positive
drug parallel-controlled and non-inferiority clinical study.
AB - OBJECTIVE: To compare the efficacy and safety of two different sodium hyaluronate
drugs in treating degenerative osteoarthritis (OA) of the knee. METHOD: This
randomized, multi-center, double-blind, positive-drug, parallel-controlled study
included 229 patients aged >= 45 years who were clinically diagnosed with
degenerative OA of the knee. The patients were randomly assigned to receive for 5
consecutive weeks a once-weekly intra-articular injection of the investigational
drug Adant(r), which is manufactured by fermentation, or the control drug
Artz(r), which is manufactured by extraction of cockscomb. The follow-up
examinations were conducted 1, 2, 3, 4 and 6 weeks after the first injection. The
primary efficacy parameter was the decrease in the visual analog scale (VAS)
scores of pain on movement caused by load-bearing, and the secondary efficacy
parameter was the decrease in the Lequesne index. RESULTS: The intra-articular
injections of Adant(r) and Artz(r) produced a significant reduction in the VAS
scores for pain on movement (50.4 and 50.3 mm, respectively) and in the Lequesne
index. There were no significant differences in efficacy and safety between the
two drugs and non-inferiority in VAS score decreases was confirmed. CONCLUSION:
The results of this study show that both Adant(r) and Artz(r) are effective for
the treatment of OA and that there were no statistical differences between them
in the VAS scores of pain on movement, Lequesne index or safety during the
observation period with short-time follow up.
PMID- 26555863
TI - Effects of aneuploidy on gene expression: implications for cancer.
AB - Unbalanced chromosome content, so-called aneuploidy, is a hallmark of cancer
cells. Changes in the copy numbers of chromosomes or large chromosomal regions
significantly alter the expression of several hundreds of genes that are gained
or lost. At the same time, aneuploidy per se affects the transcription of many
genes throughout the entire genome, as several pathways are activated or
inhibited in response to changes in chromosome copy number. In recent years, a
large amount of quantitative genome, transcriptome and proteome data has enabled
comparison of the changes in gene expression observed in aneuploid cancer cells,
as well as in model aneuploid cells with defined karyotypes. Here, we summarize
how aneuploidy shapes gene expression and how it may contribute to the phenotypes
of cancer cells.
PMID- 26555864
TI - Plasma brain-derived neurotrophic factor levels after severe traumatic brain
injury.
AB - OBJECTIVE: Severe traumatic brain injury (TBI) is associated with a 30-70%
mortality rate. Nevertheless, in clinical practice there are no effective
biomarkers for the prediction of fatal outcome following severe TBI. Therefore,
the aim was to determine whether brain-derived neurotrophic factor (BDNF) plasma
levels are associated with intensive care unit (ICU) mortality in patients with
severe TBI. METHODS: This prospective study enrolled 120 male patients who
suffered severe TBI (Glasgow Coma Scale 3-8 at emergency room admission). The
plasma BDNF level was determined at ICU admission (mean 6.4 hours after emergency
room admission). RESULTS: Severe TBI was associated with a 35% mortality rate and
64% of the patients presented severe TBI with multi-trauma. The mean plasma BDNF
concentration among the severe TBI victims was 704.2 +/- 63.4 pg ml(-1) (+/-SEM).
Nevertheless, there were no significant differences between BDNF levels in the
survivor (700.2 +/- 82.8 pg ml(-1)) or non-survivor (711.6 +/- 97.4 pg ml(-1))
groups (p = 0.238) or in the isolated TBI (800.4 +/- 117.4 pg ml(-1)) or TBI with
multi-trauma groups (650.5 +/- 73.9 pg ml(-1)) (p = 0.109). CONCLUSIONS: Plasma
BDNF concentrations did not correlate with either short-term fatal outcome or
type of injury following severe TBI.
PMID- 26555865
TI - Polycycloiridals A-D, Four Iridal-Type Triterpenoids with an alpha-Terpineol
Moiety from Iris tectorum.
AB - Polycycloiridals A-D, four novel iridals with an unprecedented alpha-terpineol
moiety resulting from cyclization of the homofarnesylside chain, were isolated
from the ethanol extract of rhizomes of Iris tectorum. Their structures were
elucidated on the basis of comprehensive spectroscopic analysis. The absolute
configuration of 1 was determined by the modified Mosher's method and comparison
of experimental and calculated electronic circular dichroism (ECD) spectrum. A
possible biosynthetic pathway was postulated.
PMID- 26555867
TI - Comparing the accuracy of obstetric sonography and fetal echocardiography during
pediatric cardiology consultation in the prenatal diagnosis of congenital heart
disease.
AB - AIM: The diagnostic accuracy of fetal echocardiogram performed by an obstetrician
alone and that performed jointly by an obstetrician and pediatric cardiologist
for congenital heart disease were compared. MATERIALS AND METHODS: All cases of
suspected fetal congenital heart disease (CHD) referred to the Prenatal
Diagnostic Clinic at Tsan Yuk Hospital, Hong Kong during 2006-2011 were reviewed.
Prenatal fetal echocardiogram findings were compared with postnatal diagnosis.
Cases of incorrect prenatal diagnosis with significant difference in prognosis
were analyzed qualitatively. RESULTS: One hundred and eleven cases of fetal CHD
were analyzed. Complete agreement between prenatal and postnatal diagnosis of CHD
was observed in 69.4% of cases by fetal echocardiogram performed by obstetrician
and 83.8% by fetal echocardiogram performed during pediatric cardiology
consultation (P = 0.001). Collaboration with a pediatric cardiologist also
improved detection of ductal-dependent cardiac lesions (77.4% vs. 86%, P = <
0.001). Five cases with an incorrect diagnosis were associated with a different
prognosis. Three of the cases involved outflow tract abnormalities with incorrect
identification of outflow vessels. CONCLUSION: Collaboration with a pediatric
cardiologist can significantly improve the accuracy of prenatal diagnosis of CHD.
In particular, joint consultation is associated with significantly better
detection of ductal-dependent lesions. Outflow tract abnormalities remain a
diagnostic challenge in prenatal diagnosis. Incorrect identification of outflow
tract vessels was the major cause of incorrect diagnosis in our series.
PMID- 26555866
TI - Inhibition of cell adhesion by phosphorylated Ezrin/Radixin/Moesin.
AB - Altered phosphorylation status of the C-terminal Thr residues of
Ezrin/Radixin/Moesin (ERM) is often linked to cell shape change. To determine the
role of phophorylated ERM, we modified phosphorylation status of ERM and
investigated changes in cell adhesion and morphology. Treatment with Calyculin-A
(Cal-A), a protein phosphatase inhibitor, dramatically augmented phosphorylated
ERM (phospho-ERM). Cal-A-treatment or expression of phospho-mimetic Moesin mutant
(Moesin-TD) induced cell rounding in adherent cells. Moreover, reattachment of
detached cells to substrate was inhibited by either treatment. Phospho-ERM,
Moesin-TD and actin cytoskeleton were observed at the plasma membrane of such
round cells. Augmented cell surface rigidity was also observed in both cases.
Meanwhile, non-adherent KG-1 cells were rather rich in phospho-ERM. Treatment
with Staurosporine, a protein kinase inhibitor that dephosphorylates phospho-ERM,
up-regulated the integrin-dependent adhesion of KG-1 cells to substrate. These
findings strongly suggest the followings: (1) Phospho-ERM inhibit cell adhesion,
and therefore, dephosphorylation of ERM proteins is essential for cell adhesion.
(2) Phospho-ERM induce formation and/or maintenance of spherical cell shape. (3)
ERM are constitutively both phosphorylated and dephosphorylated in cultured
adherent and non-adherent cells.
PMID- 26555868
TI - An Improved-Efficiency Compact Lamp for the Thermal Infrared.
AB - A major type of infrared camera is sensitive to wavelengths in the 8-14 MUm band
and is mainly used for thermal imaging. Such cameras can also be used for general
broadband infrared reflectance imaging when provided with a suitable light
source. We report the design and properties of an infrared lamp using a heated
alumina emitter suitable for active thermal infrared imaging, as well as
comparisons to existing commercial light sources for this purpose. We find that
the alumina lamp is a broadband non-blackbody source with a lower out-of-band
emission intensity and therefore higher electrical efficiency for this
application than existing commercial sources.
PMID- 26555869
TI - Swedish surgical outcomes study (SweSOS): An observational study on 30-day and 1
year mortality after surgery.
AB - BACKGROUND: The European Surgical Outcomes Study (EuSOS) revealed large
variations in outcomes among countries. In-hospital mortality and ICU admission
rates in Sweden were low, going against the assumption that access to ICU
improves outcome. Long-term mortality was not reported in EuSOS and is generally
poorly described in the current literature. OBJECTIVE: To describe the
characteristics of the Swedish subset of EuSOS and identify predictors of short
and long-term mortality after surgery. DESIGN: An observational cohort study.
SETTING: Six universities and two regional hospitals in Sweden. PATIENTS: A
cohort of 1314 adult patients scheduled for surgery between 4 April and 11 April
2011. MAIN OUTCOME MEASURES: 30-day and 1-year mortality. RESULTS: A total of 303
patients were lost to follow-up, leaving 1011 for analysis; 69% of patients were
classified as American Society of Anesthesiologists' physical status 1 or 2, and
68% of surgical procedures were elective. The median length of stay in
postanaesthesia care units (PACUs) was 175 min (interquartile range 110-270);
6.6% of patients had PACU length of stay of more than 12 h and 3.6% of patients
were admitted to the ICU postoperatively. Thirty-day mortality rate was 1.8% [95%
confidence interval (CI) 1.0-2.6] and 8.5% (CI 6.8-10.2) at 1 year (n = 18 and
86). The risk of death was higher than in an age and sex-matched population after
30 days (standardised mortality ratio 10.0, CI 5.9-15.8), and remained high after
1 year (standardised mortality ratio 3.9, CI 3.1-4.8). Factors predictive of 30
day mortality were age, American Society of Anesthesiologists' physical status,
number of comorbidities, urgency of surgery and ICU admission. For 1-year
mortality, age, number of comorbidities and urgency of surgery were independently
predictive. ICU admission and long stay in PACU were not significant predictors
of long-term mortality. CONCLUSION: Mortality rate increased almost five-fold at
1 year compared with 30-day mortality after surgery, demonstrating a
significantly sustained long-term risk of death in this surgical population. In
Sweden, factors associated with long-term postoperative mortality were age,
number of comorbidities and surgical urgency.
PMID- 26555870
TI - Warming before and after epidural block before general anaesthesia for major
abdominal surgery prevents perioperative hypothermia: A randomised controlled
trial.
AB - BACKGROUND: Epidural analgesia (EDA) is known to be an independent risk factor
for perioperative hypothermia and its many known adverse effects. Combined
general and epidural anaesthesia decreases intraoperative core temperature more
rapidly than general anaesthesia alone. Hence, adequate warming procedures are
needed for these patients. OBJECTIVE: We evaluated the effects of active skin
surface warming before and/or after initiation of EDA during general anaesthesia
as a procedure to prevent perioperative hypothermia. DESIGN: A randomised
controlled trial. SETTING: Department of Anaesthesiology in a general hospital in
Germany from January 2013 until August 2014. PATIENTS: After obtaining written
informed consent, we included 99 adult patients undergoing elective major
abdominal surgery under combined general anaesthesia and EDA with an expected
duration of surgery of at least 120 min. Patients were excluded if they were
under 18 years of age, classified as American Society of Anesthesiologists'
physical status 4 or higher or if patients refused EDA. INTERVENTIONS: Patients
were randomly assigned to one of three groups and received either only passive
insulation, 15 min of active air-forced warming after EDA and before induction of
general anaesthesia, or two periods, each of 15 min, of active air-forced warming
before and after EDA. Core and skin temperatures were measured at several time
points throughout the study. MAIN OUTCOME MEASURES: The primary outcome measure
was the incidence of hypothermia on arrival in the ICU. The secondary outcome
measure was the incidence of postoperative shivering. In addition, the
perioperative change in body core temperature was recorded. RESULTS: Without
prewarming (n = 32), 72% of patients became hypothermic (<36 degrees C) at the
end of anaesthesia. Fifteen minutes of warming after insertion of the epidural
catheter and before initiation of general anaesthesia reduced the incidence of
postoperative hypothermia to 6% (n = 33). After two periods of 15 min of warming
before and after insertion of the epidural catheter, no patient became
hypothermic (n = 34). Prewarming in either 'warming' group prevents the initial
temperature drop which was observed in the control group. CONCLUSION: Warming for
15 min before and after initiation of EDA in patients receiving combined
anaesthesia is effective in preventing postoperative hypothermia. TRIAL
REGISTRATION: This trial was registered with ClinicalTrials.gov (identifier:
NCT01795482).
PMID- 26555871
TI - Tizanidine for the management of acute postoperative pain after inguinal hernia
repair: A placebo-controlled double-blind trial.
AB - BACKGROUND: alpha2-Agonists are used postoperatively as a component of multimodal
analgesia. Tizanidine is a centrally acting alpha2-agonist with muscle relaxant
properties. OBJECTIVE: The aim of this study was to compare the efficacy of
tizanidine with placebo in terms of postoperative pain scores, analgesic
consumption, return to daily activity and health-related quality of life. DESIGN:
A randomised double-blind study. SETTING: Diskapi Yildirim Beyazit Training and
Research Hospital. INTERVENTIONS: After obtaining ethical approval and informed
patient consent, 60 patients undergoing inguinal hernia repair under general
anaesthesia were randomly allocated into one of the two groups. The patients in
Group T received tizanidine 4 mg orally 1 h before surgery and twice daily during
the first postoperative week. The patients in Group P received the same treatment
with a placebo pill. Both the groups received a standard analgesic treatment
regimen comprising intravenous dexketoprofen 25 mg prior to induction of
anaesthesia, dexketoprofen 25 mg orally three times daily for 1 week and
intravenous paracetamol 1 g at the end of surgery. Supplemental analgesia was
provided with paracetamol if the visual numerical rating scale (NRS) was at least
4 cm. MAIN OUTCOME MEASURES: Postoperative pain was assessed using the NRS. Total
analgesic consumption was determined. Return to normal daily activity was
evaluated using a five-point daily activity score after the first postoperative
week, and health-related quality of life was evaluated using the short form-36
one month after surgery. RESULTS: The patients in Group T had significantly lower
NRS pain scores than those in Group P 6, 12 and 24 h postoperatively both at rest
and during movement (P < 0.001), and on postoperative days 1, 2, 3 and 4. The
analgesic consumption was also lower in patients who received tizanidine. Ten
patients (33%) in Group T and 23 patients (77%) in Group P consumed supplemental
paracetamol (P < 0.001) after discharge. The daily activity score was lower in
Group T than in Group P (P < 0.001), and the short form-36 scores were
significantly different in the pain dimension [74 (74 to 100) in Group T and 74
(31 to 80) in Group P, (P < 0.001)] and in the physical component summary score.
CONCLUSION: The addition of tizanidine to the postoperative pain therapy after
herniorrhaphy decreased postoperative pain and analgesic consumption and improved
return to normal activity and quality of life. TRIAL REGISTRATION:
ClinicalTrials.gov NCT02016443 (10 October 2013, Principal investigator D.
Yazicioglu).
PMID- 26555872
TI - Delayed diagnosis of anaphylaxis secondary to ondansetron: A case report.
PMID- 26555873
TI - Effects of Chilling and Partial Freezing on Rigor Mortis Changes of Bighead Carp
(Aristichthys nobilis) Fillets: Cathepsin Activity, Protein Degradation and
Microstructure of Myofibrils.
AB - To investigate the effects of chilling and partial freezing on rigor mortis
changes in bighead carp (Aristichthys nobilis), pH, cathepsin B, cathepsin B+L
activities, SDS-PAGE of sarcoplasmic and myofibrillar proteins, texture, and
changes in microstructure of fillets at 4 degrees C and -3 degrees C were
determined at 0, 2, 4, 8, 12, 24, 48, and 72 h after slaughter. The results
indicated that pH of fillets (6.50 to 6.80) was appropriate for cathepsin
function during the rigor mortis. For fillets that were chilled and partially
frozen, the cathepsin activity in lysosome increased consistently during the
first 12 h, followed by a decrease from the 12 to 24 h, which paralleled an
increase in activity in heavy mitochondria, myofibrils and sarcoplasm. There was
no significant difference in cathepsin activity in lysosomes between fillets at 4
degrees C and -3 degrees C (P > 0.05). Partially frozen fillets had greater
cathepsin activity in heavy mitochondria than chilled samples from the 48 to 72
h. In addition, partially frozen fillets showed higher cathepsin activity in
sarcoplasm and lower cathepsin activity in myofibrils compared with chilled
fillets. Correspondingly, we observed degradation of alpha-actinin (105 kDa) by
cathepsin L in chilled fillets and degradation of creatine kinase (41 kDa) by
cathepsin B in partially frozen fillets during the rigor mortis. The decline of
hardness for both fillets might be attributed to the accumulation of cathepsin in
myofibrils from the 8 to 24 h. The lower cathepsin activity in myofibrils for
fillets that were partially frozen might induce a more intact cytoskeletal
structure than fillets that were chilled.
PMID- 26555874
TI - Tinea profunda of the genital area. Successful treatment of a rare skin disease.
AB - We present a rare case of 36-year-old female patient, who developed a severe
Majocchi granuloma in the pubis after waxing, with isolated causative agent
Trichophyton mentagrophytes. The condition was initially misdiagnosed as a
bacterial folliculitis and treated unsuccessfully with topical corticosteroids
and antibiotics. After the adequate diagnose was confirmed by mycological
examination, followed by histopathological verification, complete remission of
the symptoms was achieved at the 4th week after initiating 6 weeks course of
antifungal therapy with Terbinafine 250 mg/daily dose, while good therapeutic
response was observed even in the 10th day. The etiopathogenesis of the disease,
as well as its current treatment options are considered, in respect to the rare
occurrence of this condition in the pubic area and its frequent misdiagnosis.
PMID- 26555875
TI - Pharmacogenomics considerations in the control of hypertension.
AB - The response to antihypertensive therapy is very heterogeneous and the need by
the physicians to account for it has driven much interest in pharmacogenomics of
antihypertensive drugs. The Human Genome Project and the initiatives in genomics
that followed, generated a huge number of genetic data that furnished the tools
to explore the genotype-phenotype association in candidate genes and at genome
wide level. In spite of the efforts and the great number of publications,
pharmacogenomics of antihypertensive drugs is far from being used in clinical
practice. In this review, we analyze the main findings available in PubMed from
2010 to 2015, in relation to the major classes of antihypertensive drugs. We also
describe a new Phase II drug that targets two specific hypertension predisposing
mechanisms.
PMID- 26555876
TI - Facile biosynthesis of silver nanoparticles using Barleria cristata:
mosquitocidal potential and biotoxicity on three non-target aquatic organisms.
AB - Mosquitoes (Diptera: Culicidae) act as vectors of important pathogens and
parasites, such as malaria, dengue, chikungunya, Japanese encephalitis and
lymphatic filariasis. The use of synthetic mosquitocides often leads to high
operational costs and adverse non-target effects. Recently, plant-borne compounds
have been proposed for rapid extracellular biosynthesis of mosquitocidal
nanoparticles. However, the impact of these nanomosquitocides against biological
control agents of mosquito larval populations has been poorly studied. In this
research, we biosynthesized silver nanoparticles (Ag NP) using the Barleria
cristata leaf extract as a reducing and stabilizing agent. The biosynthesis of Ag
NP was confirmed analyzing the excitation of surface plasmon resonance using
ultraviolet-visible (UV-vis) spectrophotometry. Scanning electron microscopy
(SEM) and transmission electron microscopy (TEM) showed the clustered and
irregular shapes of Ag NP. The presence of silver was confirmed by energy
dispersive X-ray (EDX) spectroscopy. Fourier transform infrared (FTIR)
spectroscopy investigated the identity of secondary metabolites, which may also
act as Ag NP capping agents. The acute toxicity of B. cristata leaf extract and
biosynthesized Ag NP was evaluated against larvae of Anopheles subpictus, Aedes
albopictus, and Culex tritaeniorhynchus. Compared to the leaf aqueous extract,
biosynthesized Ag NP showed higher toxicity against An. subpictus, Ae.
albopictus, and Cx. tritaeniorhynchus with lethal concentration (LC)50 values of
12.46, 13.49, and 15.01 MUg/mL, respectively. Notably, biosynthesized Ag NP were
found safer to non-target organisms Diplonychus indicus, Anisops bouvieri, and
Gambusia affinis, with respective LC50 values ranging from 633.26 to 866.92
MUg/mL. Overall, our results highlight that B. cristata-fabricated Ag NP are a
promising and eco-friendly tool against young instar populations of mosquito
vectors of medical and veterinary importance.
PMID- 26555877
TI - Experimental evidence of negative interspecific interactions among imago fleas:
flea and host identities matter.
AB - We investigated interspecific interactions between two flea species (Parapulex
chephrenis and Xenopsylla ramesis) via evaluation of their feeding success (the
size of a blood meal and time to death after a single blood meal) when they
exploited rodent hosts [Acomys cahirinus (a characteristic host of the former) or
Meriones crassus (a characteristic host of the latter)] in single-species or
mixed-species groups. We predicted that the negative interactions between the two
fleas will result in smaller blood meals and shorter survival time in mixed-
versus single-species infestations. We also predicted that the negative effect of
mixed-species infestation on feeding performance would be less pronounced when
fleas exploited their characteristic host rather than a non-characteristic host.
When exploiting a characteristic host, P. chephrenis took larger blood meals in
single- than in mixed-species groups, whereas the blood meal size in X. ramesis
did not differ between treatments. When exploiting a non-characteristic host, no
effect of group composition was found in either flea species. Survival time after
a single blood meal was not affected by co-infestation or host species in either
flea. Our results suggest context-dependence of the negative effect of co
infestation on feeding performance in fleas with the manifestation of this effect
varying in dependence of flea and host species identities.
PMID- 26555878
TI - Early objective response may not be a prognostic factor of survival for patients
with metastatic urothelial carcinoma: from a retrospective analysis of a cohort
of 113 patients.
AB - BACKGROUND: This study aims to better define prognostic factors for patients with
metastatic urothelial carcinoma (mUC), and to identify patients who will benefit
from first-line cisplatin-based chemotherapy. We test the hypothesis that early
objective response (EOR), defined as the occurrence of an objective response
following 2 or 3 courses of chemotherapy, could be a prognostic factor for
overall survival (OS) and thus be used to guide treatment decisions. Data from
113 patients with evaluable mUC receiving first-line cisplatin-based treatment
between January 2004 and December 2006 was collected retrospectively from
prospectively-maintained databases across seven French cancer centers. Clinical
factors potentially associated with survival and EOR were analyzed in univariate
and multivariate analysis. RESULTS: One hundred three patient records were
complete and available for inclusion in the multivariate model. Four factors were
independently associated with OS: Performance status 1 and 2 (HR 2.3 [95 % CI 1.3
3.9], p = 0.002; HR 3.4 [95 % CI 1.6-7.2], p = 0.001 respectively); presence of
visceral metastases (HR 2.2 [95 % CI 1.3-3.9], p = 0.004); abnormal hemoglobin
levels (HR 1.7 [95 % CI 1.01-2.8], p = 0.045); disease progression (HR 10.1 [95 %
CI 4.2-24.1], p < 0.001). CONCLUSIONS: This study confirms the prognostic factors
previously reported in first-line chemotherapy for mUC. However, we failed to
demonstrate that EOR was an independent predictive factor of OS. Nevertheless, an
early response evaluation is recommended since early progression is an important
parameter that can be used to decide whether treatment should be interrupted and
changed for alternative strategies integrating the concept of personalized
medicine or new immune therapies.
PMID- 26555879
TI - Fetal metabolic influences of neonatal anthropometry and adiposity.
AB - BACKGROUND: Large for gestational age infants have an increased risk of obesity,
cardiovascular and metabolic complications during life. Knowledge of the key
predictive factors of neonatal adiposity is required to devise targeted antenatal
interventions. Our objective was to determine the fetal metabolic factors that
influence regional neonatal adiposity in a cohort of women with previous large
for gestational age offspring. METHODS: Data from the ROLO [Randomised COntrol
Trial of LOw Glycaemic Index in Pregnancy] study were analysed in the ROLO Kids
study. Neonatal anthropometric and skinfold measurements were compared with fetal
leptin and C-peptide results from cord blood in 185 cases. Analyses were
performed to examine the association between these metabolic factors and
birthweight, anthropometry and markers of central and generalised adiposity.
RESULTS: Fetal leptin was found to correlate with birthweight, general adiposity
and multiple anthropometric measurements. On multiple regression analysis, fetal
leptin remained significantly associated with adiposity, independent of gender,
maternal BMI, gestational age or study group assignment, while fetal C-peptide
was no longer significant. CONCLUSION: Fetal leptin may be an important predictor
of regional neonatal adiposity. Interventional studies are required to assess the
impact of neonatal adiposity on the subsequent risk of childhood obesity and to
determine whether interventions which reduce circulating leptin levels have a
role to play in improving neonatal adiposity measures.
PMID- 26555880
TI - Biosorption and degradation of decabromodiphenyl ether by Brevibacillus brevis
and the influence of decabromodiphenyl ether on cellular metabolic responses.
AB - There is global concern about the effects of decabromodiphenyl ether (BDE209) on
environmental and public health. The molecular properties, biosorption,
degradation, accumulation, and cellular metabolic effects of BDE209 were
investigated in this study to identify the mechanisms involved in the aerobic
biodegradation of BDE209. BDE209 is initially absorbed by wall teichoic acid and
N-acetylglucosamine side chains in peptidoglycan, and then, BDE209 is transported
and debrominated through three pathways, giving tri-, hepta-, octa-, and nona
bromodiphenyl ethers. The C-C bond energies decrease as the number of bromine
atoms on the diphenyl decreases. Polybrominated diphenyl ethers (PBDEs) inhibit
protein expression or accelerate protein degradation and increase membrane
permeability and the release of Cl(-), Na(+), NH4 (+), arabinose, proteins,
acetic acid, and oxalic acid. However, PBDEs increase the amounts of K(+),
Mg(2+), PO4 (3-), SO4 (2-), and NO3 (-) assimilated. The biosorption,
degradation, accumulation, and removal efficiencies when Brevibacillus brevis (1
g L(-1)) was exposed to BDE209 (0.5 mg L(-1)) for 7 days were 7.4, 69.5, 16.3,
and 94.6 %, respectively.
PMID- 26555881
TI - How contamination sources and soil properties can influence the Cd and Pb
bioavailability to snails.
AB - To better understand the fate of metals in the environment, numerous parameters
must be studied, such as the soil properties and the different sources of
contamination for the organisms. Among bioindicators of soil quality, the garden
snail (Cantareus aspersus) integrates multiple sources (e.g. soil, plant) and
routes (e.g. digestive, cutaneous) of contamination. However, the contribution of
each source on metal bioavailability and how soil properties influence these
contributions have never been studied when considering the dynamic process of
bioavailability. Using accumulation kinetics, this study showed that the main
assimilation source of Cd was lettuce (68%), whereas the main source of Pb was
the soil (90%). The plant contribution increased in response to a 2-unit soil pH
decrease. Unexpectedly, an increase in the soil contribution to metal
assimilation accompanied an increase in the organic matter (OM) content of the
soil. For both metals, no significant excretion and influence of source on
excretion have been modelled either during exposure or depuration. This study
highlights how the contribution of different sources to metal bioavailability
changes based on changes in soil parameters, such as pH and OM, and the
complexity of the processes that modulate metal bioavailability.
PMID- 26555883
TI - Erratum to: A review of the direct and indirect effects of neonicotinoids and
fipronil on vertebrate wildlife.
PMID- 26555882
TI - Photo-Fenton oxidation of 3-amino-5-methylisoxazole: a by-product from biological
breakdown of some pharmaceutical compounds.
AB - The present study aims to assess the removal of 3-amino-5-methylisoxazole (AMI),
a recalcitrant by-product resulting from the biological breakdown of some
pharmaceuticals, applying a solar photo-Fenton process assisted by ferrioxalate
complexes (SPFF) (Fe3+/H2O2/oxalic acid/UVA-Vis) and classical solar photo-Fenton
process (SPF) (Fe2+/H2O2/UVA-Vis). The oxidation ability of SPFF was evaluated at
different iron/oxalate molar ratios (1:3, 1:6, and 1:9, with [total iron] = 3.58
* 10-2 mM and [oxalic acid] = 1.07 * 10-1, 2.14 * 10-1 and 3.22 * 10-1 mM,
respectively) and pH values (3.5-6.5), using low iron contents (2.0 mg Fe3+ L-1).
Additionally, the use of other organic ligands such as citrate and
ethylenediamine-N,N'-disuccinic acid (EDDS) was tested. The oxidation power of
the classical SPF was assessed at different pH values (2.8-4.0) using 2.0 mg Fe2+
per liter. Furthermore, the effect of AMI concentration (2-20 mg L-1), presence
of inorganic ions (Cl-, SO42-, NO3-, HCO3-, NH4+), and radical scavengers (sodium
azide and D-mannitol) on the SPF method at pH 3.5 was also assessed. Experiments
were done using a lab-scale photoreactor with a compound parabolic collector
(CPC) under simulated solar radiation. A pilot-scale assay was conducted using
the best operation conditions. While at near neutral pH, an iron/oxalate molar
ratio of 1:9 led to the removal of 72 % of AMI after 90 min of SPFF, at pH 3.5,
an iron/oxalate molar ratio of 1:3 was enough to achieve complete AMI degradation
(below the detection limit) after 30 min of reaction. The SPF process at pH 3.5
underwent a slower AMI degradation, reaching total AMI degradation after 40 min
of reaction. The scale up of SPF process showed a good reproducibility. Oxalic
and oxamic acids were identified as the main low-molecular-weight carboxylic
acids detected during the pilot-scale SPF reaction. Graphical abstract ?.
PMID- 26555884
TI - Effects of realistic concentrations of TiO2 and ZnO nanoparticles in Prochilodus
lineatus juvenile fish.
AB - The impact of nanoparticles on fish health is still a matter of debate, since
nanotechnology is quite recent. In this study, freshwater benthonic juvenile fish
Prochilodus lineatus were exposed through water to three concentrations of TiO2
(0.1, 1, and 10 MUg l(-1)) and ZnO (7, 70, and 700 MUg l(-1)) nanoparticles, as
well as to a mixture of both (TiO2 1 MUg l(-1) + ZnO 70 MUg l(-1)) for 5 and 30
days. Nanoparticle characterization revealed an increase of aggregate size in the
function of concentration, but suspensions were generally stable. Fish mortality
was high at subchronic exposure to 70 and 700 MUg l(-1) of ZnO. Nanoparticle
exposure led to decreased acetylcholinesterase activity either in the muscle or
in the brain, depending on particle composition (muscle-TiO2 10 MUg l(-1); brain
ZnO 7 and 700 MUg l(-1)), and protein oxidative damage increased in the brain
(ZnO 70 MUg l(-1)) and gills (ZnO 70 MUg l(-1) and mixture) but not in the liver.
Exposed fish had more frequent alterations in the liver (necrosis, vascular
congestion, leukocyte infiltration, and basophilic foci) and gills (hyperplasia
and epithelial damages, e.g., epithelial disorganization and epithelial loss)
than the control fish. Thus, predicted concentrations of TiO2 and ZnO
nanoparticles caused detectable effects on P. lineatus that may have important
consequences to fish health. But, these effects are much more subtle than those
usually reported in the scientific literature for high concentrations or doses of
metal nanoparticles.
PMID- 26555885
TI - Effects of sediment burial disturbance on macro and microelement dynamics in
decomposing litter of Phragmites australis in the coastal marsh of the Yellow
River estuary, China.
AB - From April 2008 to November 2009, a field decomposition experiment was conducted
to investigate the effects of sediment burial on macro (C, N) and microelement
(Pb, Cr, Cu, Zn, Ni, and Mn) variations in decomposing litter of Phragmites
australis in the coastal marsh of the Yellow River estuary. Three one-off
sediment burial treatments [no sediment burial (0 mm year(-1), S0), current
sediment burial (100 mm year(-1), S10), and strong sediment burial (200 mm year(
1), S20)] were laid in different decomposition sites. Results showed that
sediment burials showed significant influence on the decomposition rate of P.
australis, in the order of S10 (0.001990 day(-1)) ~ S20 (0.001710 day(-1)) > S0
(0.000768 day(-1)) (p < 0.05). The macro and microelement in decomposing litters
of the three burial depths exhibited different temporal variations except for Cu,
Zn, and Ni. No significant differences in C, N, Pb, Cr, Zn, and Mn concentrations
were observed among the three burial treatments except for Cu and Ni (p > 0.05).
With increasing burial depth, N, Cr, Cu, Ni, and Mn concentrations generally
increased, while C, Pb, and Zn concentrations varied insignificantly. Sediment
burial was favorable for C and N release from P. australis, and, with increasing
burial depth, the C release from litter significantly increased, and the N in
litter shifted from accumulation to release. With a few exceptions, Pb, Cr, Zn,
and Mn stocks in P. australis in the three treatments evidenced the export of
metals from litter to environment, and, with increasing burial depth, the export
amounts increased greatly. Stocks of Cu and Ni in P. australis in the S10 and S20
treatments were generally positive, evidencing incorporation of the two metals in
most sampling times. Except for Ni, the variations of C, N, Pb, Cr, Cu, Zn, and
Mn stocks in P. australis in the S10 and S20 treatments were approximated,
indicating that the strong burial episodes (S20) occurred in P. australis marsh
in the future would have little influence on the stocks of these elements. With
increasing burial depths, the P. australis was particularly efficient in binding
Cu and Ni and releasing C, N, Pb, Cr, Zn, and Mn, implying that the potential eco
toxic risk of Pb, Cr, Zn, and Mn exposure might be very serious. This study
emphasized the effects of different burials on nutrient and metal cycling and
mass balance in the P. australis marsh of the Yellow River estuary.
PMID- 26555886
TI - Repetitive Transcranial Magnetic Stimulation in Managing Mild Traumatic Brain
Injury-Related Headaches.
AB - OBJECTIVE: Headache is one of the most common debilitating chronic pain
conditions in either active or retired military personnel with mild traumatic
brain injury (MTBI). This study assessed the effect of repetitive transcranial
magnetic stimulation (rTMS) in alleviating MTBI-related headache (MTBI-HA).
MATERIALS AND METHOD: Veterans with MTBI-HA were randomized to receive either
real rTMS (REAL group) at 10 hz for a total of 2000 pulses divided into 20 trains
with one-sec inter-train interval or sham rTMS (SHAM group) at the left motor
cortex (LMC) with brain magnetic resonance imaging neuronavigation guidance.
Pretreatment, posttreatment one-week and four-week headache and
neuropsychological assessments were conducted. RESULT: Thirty veterans were
screened and twenty four (21 men and 3 women with average year-old +/- SD at 14.3
+/- 12.6) subjects' data were analyzed. A two-factor (visit * treatment) repeated
measures analysis of variance (RM-ANOVA) indicated a close to significant (p =
0.06) trend of interaction between pretreatment and posttreatment one-week
assessment with the intensity of the persistent daily headache decreasing from
5.7 +/- 1.9 to 2.2 +/- 2.7 and 4.6 +/- 1.3 to 3.5 +/- 2.0 for the REAL and SHAM
groups, respectively. Subsequent analyses indicated REAL group demonstrated a
significantly (p = 0.041) higher % of reduction in persistent headache intensity
than the SHAM group (56.3 +/- 48.2% vs.15.4 +/- 43.6%) at the posttreatment one
week assessment and the trend continued to the four-week assessment. Overall, a
significantly (p = 0.035) higher percentage of the subjects in the REAL group
(58.3%) demonstrated at least a 50% headache intensity reduction at posttreatment
one-week assessment compared with the SHAM group (16.6%). The overall composite
score of functionally debilitating headache exacerbation is significantly (p =
0.017) reduced in REAL group at the posttreatment four-week assessment in
comparison with the SHAM group. No major sustained change in neuropsychological
assessments was noted. CONCLUSION: The studied rTMS protocol appears to be a
clinically feasible and effective treatment option in managing MTBI-HA.
PMID- 26555888
TI - Elucidation of Molecular Identity of the W3 Locus and Its Implication in
Determination of Flower Colors in Soybean.
AB - The wide range of flower colors in soybean is controlled by six independent loci
(W1, W2, W3, W4, Wm, and Wp). Among these loci, mutations in the W3 locus under
the w4 allelic background (i.e., w3w4) produce near-white flowers, while the W3w4
genotype produces purple throat flowers. Although a gene encoding dihydroflavonol
4-reductase, DFR1, has been known to be closely associated with the W3 locus, its
molecular identity has not yet been characterized. In the present study, we aimed
to determine whether DFR1 is responsible for allelic variations in the W3 locus.
On the basis of the sequence of a DFR probe, Glyma.14G072700 was identified as a
candidate gene for DFR1, and nucleotide sequences of Glyma.14G072700 from
cultivars with previously validated genotypes for the W3 locus were determined.
As a result, a number of nucleotide polymorphisms, mainly single-base
substitutions, between both coding and 5'-upstream region sequences of the W3 and
w3 alleles were identified. Among them, an indel of 311-bp in the 5'-upstream
region was noteworthy, since the Glyma.14G072700 in all the w3 alleles examined
contained the indel, whereas that in all the W3 alleles did not; the former was
barely expressed, but the latter was well expressed. These results suggest that
Glyma.14G072700 is likely to correspond to DFR1 for the W3 locus and that its
expression patterns may lead to allelic color phenotypes of W3 and w3 alleles
under the w4 allelic background.
PMID- 26555887
TI - Investigating the role of filamin C in Belgian patients with frontotemporal
dementia linked to GRN deficiency in FTLD-TDP brains.
AB - TAR DNA-binding protein 43 (TDP-43) inclusions are pathological hallmarks of
patients with frontotemporal lobar degeneration (FTLD) and amyotrophic lateral
sclerosis (ALS). Loss of TDP-43 in zebrafish engenders a severe muscle and
vascular phenotype with a concomitant elevation of filamin C (FLNC) levels, an
observation confirmed in the frontal cortex of FTLD-TDP patients. Here, we aimed
to further assess the contribution of FLNC to frontotemporal dementia (FTD)
etiology. We conducted a mutational screening of FLNC in a cohort of 529
unrelated Belgian FTD and FTD-ALS patients, and a control cohort of 920 unrelated
and age-matched individuals. Additionally we performed an in-depth
characterization of FLNC expression levels in FTD patients and a murine FTD
model.In total 68 missense variants were identified of which 19 (MAF < 1%) were
patient-only. Gene burden analysis demonstrated a significant association between
the presence of rare variants in FLNC and disease (P = 0.0349, RR = 1.46 [95% CI
1.03-2.07]). Furthermore, elevated FLNC expression levels, observed previously in
FTLD-TDP patients, were mainly attributable to FTD patients with the progranulin
(GRN) p.0(IVS1 + 5G > C) loss-of-function mutation. Increased FLNC levels were,
to a lesser extent, also identified in a FLNC p.V831I variant carrier and in FTD
patients with the p.R159H mutation in valosin-containing protein (VCP). The GRN
associated increase of FLNC was confirmed in the frontal cortex of aged Grn
knockout mice starting at 16-18 months of age. Combined quantitative proteomic
and bioinformatic analyses of the frontal cortex of FTD patients possessing
elevated FLNC levels, identified multiple altered protein factors involved in
accelerated aging, neurodegeneration and synaptogenesis.Our findings further
support the involvement of aberrant FLNC expression levels in FTD pathogenesis.
Identification of increased FLNC levels in aged Grn mice and impaired pathways
related to aging and neurodegeneration, implies a potential role for FLNC in
mediating or accelerating the aging process.
PMID- 26555889
TI - Morphological, structural and biophysical properties of French and Brazilian
photoaged skin.
AB - BACKGROUND: Knowledge of skin biology and its alterations in different
populations is very important for the development of appropriate skincare
strategies. OBJECTIVES: To evaluate and compare morphological, structural and
biophysical properties of photoaged skin in French and Brazilian populations,
using biophysical and skin-imaging techniques. METHODS: Forty-one French and 41
Brazilian healthy, female volunteers aged between 40 and 65 years were enrolled.
Each participant completed a questionnaire concerning habits related to cosmetic
use, sun exposure and sun protection during different life periods. Skin on the
face and volar forearm was evaluated using noninvasive techniques, to determine
skin colour, transepidermal water loss (TEWL), stratum corneum water content,
skin microrelief, skin viscoelasticity and dermis structure. Reflectance confocal
microscopy was used to measure epidermal layer thickness and epidermal
morphological and structural characteristics. RESULTS: Compared with Brazilian
skin, French skin was more hydrated, had a lower TEWL and presented a distinct
viscoelastic profile on the forearms and face. Brazilian facial skin was more
wrinkled, and the dermis was less echogenic on the forearms and face. The French
participants had thicker stratum corneum. Brazilian facial skin presented a
higher prevalence of rete ridge effacement, low interkeratinocyte reflectance,
huddled collagen and solar elastosis. CONCLUSIONS: Morphological, structural and
biophysical differences were found when assessing the skin of the Brazilian and
French participants, who were exposed to different environmental factors.
PMID- 26555890
TI - Comparative genomics study for identification of putative drug targets in
Salmonella typhi Ty2.
AB - Typhoid presents a major health concern in developing countries with an estimated
annual infection rate of 21 million. The disease is caused by Salmonella typhi, a
pathogenic bacterium acquiring multiple drug resistance. We aim to identify
proteins that could prove to be putative drug targets in the genome of S. typhi
str. Ty2. We employed comparative and subtractive genomics to identify targets
that are absent in humans and are essential to S. typhi Ty2. We concluded that 46
proteins essential to pathogen are absent in the host genome. Filtration on the
basis of drug target prioritization singled out 20 potentially therapeutic
targets. Their absence in the host and specificity to S. typhi Ty2 makes them
ideal targets for treating typhoid in Homo sapiens. 3D structures of two of the
final target enzymes, MurA and MurB have been predicted via homology modeling
which are then used for a docking study.
PMID- 26555891
TI - Endothelial Nitric Oxide Mediates Caffeine Antagonism of Alcohol-Induced Cerebral
Artery Constriction.
AB - Despite preventive education, the combined consumption of alcohol and caffeine
(particularly from "energy drinks") continues to rise. Physiologic perturbations
by separate intake of ethanol and caffeine have been widely documented. However,
the biologic actions of the alcohol-caffeine combination and their underlying
subcellular mechanisms have been scarcely studied. Using intravital microscopy on
a closed-cranial window and isolated, pressurized vessels, we investigated the in
vivo and in vitro action of ethanol-caffeine mixtures on cerebral arteries from
rats and mice, widely recognized models to address cerebrovascular
pathophysiology and pharmacology. Caffeine at concentrations found in human
circulation after ingestion of one to two cups of coffee (10 uM) antagonized the
endothelium-independent constriction of cerebral arteries evoked by ethanol
concentrations found in blood during moderate-heavy alcohol intoxication (40-70
mM). Caffeine antagonism against alcohol was similar whether evaluated in vivo or
in vitro, suggesting independence of systemic factors and drug metabolism, but
required a functional endothelium. Moreover, caffeine protection against alcohol
increased nitric oxide (NO*) levels over those found in the presence of ethanol
alone, disappeared upon blocking NO* synthase, and could not be detected in
pressurized cerebral arteries from endothelial nitric-oxide synthase knockout
(eNOS(-/-)) mice. Finally, incubation of de-endothelialized cerebral arteries
with the NO* donor sodium nitroprusside (10 uM) fully restored the protective
effect of caffeine. This study demonstrates for the first time that caffeine
antagonizes ethanol-induced cerebral artery constriction and identifies
endothelial NO* as the critical caffeine effector on smooth muscle targets.
Conceivably, situations that perturb endothelial function and/or NO* availability
will critically alter caffeine antagonism of alcohol-induced cerebrovascular
constriction without significantly disrupting endothelium-independent, alcohol
induced cerebral artery constriction itself.
PMID- 26555892
TI - Testing Local Adaptation in a Natural Great Tit-Malaria System: An Experimental
Approach.
AB - Finding out whether Plasmodium spp. are coevolving with their vertebrate hosts is
of both theoretical and applied interest and can influence our understanding of
the effects and dynamics of malaria infection. In this study, we tested for local
adaptation as a signature of coevolution between malaria blood parasites,
Plasmodium spp. and its host, the great tit, Parus major. We conducted a
reciprocal transplant experiment of birds in the field, where we exposed birds
from two populations to Plasmodium parasites. This experimental set-up also
provided a unique opportunity to study the natural history of malaria infection
in the wild and to assess the effects of primary malaria infection on juvenile
birds. We present three main findings: i) there was no support for local
adaptation; ii) there was a male-biased infection rate; iii) infection occurred
towards the end of the summer and differed between sites. There were also site
specific effects of malaria infection on the hosts. Taken together, we present
one of the few experimental studies of parasite-host local adaptation in a
natural malaria system, and our results shed light on the effects of avian
malaria infection in the wild.
PMID- 26555893
TI - A new look at oxide formation at the copper/electrolyte interface by in situ
spectroscopies.
AB - The widely used engineering material copper is a prototype of an
electrochemically passive metal. In this work, the passive films on evaporated
copper in 0.1 M NaOH are investigated in situ and operando by spectroscopic
ellipsometry and Raman spectroscopy, both conducted during oxidation in
potentiostatic step experiments. Oxide growth is initiated by jumping from a
potential at which the surface is oxide-free to -0.1 V vs. Ag|AgCl|3 M KCl (+0.11
V vs. standard hydrogen electrode, SHE). At subsequent electrode potential jumps,
no corresponding jumps in the thickness are observed; instead, oxide growth
proceeds steadily. Above +0.3 V vs. Ag|AgCl|3 M KCl (+0.51 V vs. SHE), the oxide
layer thickness remains constant at ~7 nm. Raman spectra show a peak at 530 cm(
1), which agrees with the dominant peak in spectra of copper mixed oxide, Cu4O3
(Cu2(I)Cu2(II)O3). Crystalline Cu4O3 nucleates from a precursor state showing
strong photoluminescence (PL), which hints at the involvement of Cu2O. Overall,
the PL spectra of the growing oxide and absorption spectra indicate the presence
of Cu2O in the thin films. Absorption spectra cannot be understood as a
superposition of the spectra from different well-described copper oxides, which
points to defect-rich oxides that show rather different spectra. Raman spectra
also point to an involvement of both crystalline and amorphous oxides that
coexist. The results show that the passive layers on copper are more complex than
the duplex layers described in the literature; they do contain an oxide with a
mixed valency of copper.
PMID- 26555894
TI - miR-17-92 fine-tunes MYC expression and function to ensure optimal B cell
lymphoma growth.
AB - The synergism between c-MYC and miR-17-19b, a truncated version of the miR-17-92
cluster, is well-documented during tumor initiation. However, little is known
about miR-17-19b function in established cancers. Here we investigate the role of
miR-17-19b in c-MYC-driven lymphomas by integrating SILAC-based quantitative
proteomics, transcriptomics and 3' untranslated region (UTR) analysis upon miR-17
19b overexpression. We identify over one hundred miR-17-19b targets, of which 40%
are co-regulated by c-MYC. Downregulation of a new miR-17/20 target, checkpoint
kinase 2 (Chek2), increases the recruitment of HuR to c-MYC transcripts,
resulting in the inhibition of c-MYC translation and thus interfering with in
vivo tumor growth. Hence, in established lymphomas, miR-17-19b fine-tunes c-MYC
activity through a tight control of its function and expression, ultimately
ensuring cancer cell homeostasis. Our data highlight the plasticity of miRNA
function, reflecting changes in the mRNA landscape and 3' UTR shortening at
different stages of tumorigenesis.
PMID- 26555895
TI - A Unifying Organ Model of Pancreatic Insulin Secretion.
AB - The secretion of insulin by the pancreas has been the object of much attention
over the past several decades. Insulin is known to be secreted by pancreatic beta
cells in response to hyperglycemia: its blood concentrations however exhibit both
high-frequency (period approx. 10 minutes) and low-frequency oscillations (period
approx. 1.5 hours). Furthermore, characteristic insulin secretory response to
challenge maneuvers have been described, such as frequency entrainment upon
sinusoidal glycemic stimulation; substantial insulin peaks following minimal
glucose administration; progressively strengthened insulin secretion response
after repeated administration of the same amount of glucose; insulin and glucose
characteristic curves after Intra-Venous administration of glucose boli in
healthy and pre-diabetic subjects as well as in Type 2 Diabetes Mellitus.
Previous modeling of beta-cell physiology has been mainly directed to the
intracellular chain of events giving rise to single-cell or cell-cluster hormone
release oscillations, but the large size, long period and complex morphology of
the diverse responses to whole-body glucose stimuli has not yet been coherently
explained. Starting with the seminal work of Grodsky it was hypothesized that the
population of pancreatic beta-cells, possibly functionally aggregated in islets
of Langerhans, could be viewed as a set of independent, similar, but not
identical controllers (firing units) with distributed functional parameters. The
present work shows how a single model based on a population of independent islet
controllers can reproduce very closely a diverse array of actually observed
experimental results, with the same set of working parameters. The model's
success in reproducing a diverse array of experiments implies that, in order to
understand the macroscopic behaviour of the endocrine pancreas in regulating
glycemia, there is no need to hypothesize intrapancreatic pacemakers, influences
between different islets of Langerhans, glycolitic-induced oscillations or beta
cell sensitivity to the rate of change of glycemia.
PMID- 26555897
TI - Predictors of Fatigue in Rheumatoid Arthritis Patients in Remission or in a Low
Disease Activity State.
AB - OBJECTIVE: Fatigue is a frequently occurring symptom in patients with rheumatoid
arthritis (RA). Our aims were to assess the level of reported fatigue in RA
patients who had achieved remission or low disease activity after 6 months of
treatment with disease-modifying antirheumatic drugs (DMARDs), and to explore
associations between fatigue and demographics, disease activity, and other
patient-reported outcomes in this patient group. METHODS: A total of 2,193 RA
patients (ages >=18 years) starting either methotrexate (MTX) monotherapy or a
tumor necrosis factor inhibitor in combination with MTX were retrieved from the
Norwegian Disease-Modifying Antirheumatic Drugs Register (NOR-DMARD). At the 6
month followup, 699 patients (31.9%) were in remission or in a low disease
activity state. Bivariate and multivariate linear regression analyses were
conducted, with the fatigue visual analog scale (VAS) at 6 months as the
dependent variable. Age, sex, disease duration, treatment group, erythrocyte
sedimentation rate (ESR), the swollen and tender joint count in 28 joints, the
pain VAS score, and disability at baseline and at 6 months were tested as
predictors of fatigue at 6 months. RESULTS: At 6 months, the median (25th, 75th
percentile) level of fatigue was 20.0 mm (6.0, 43.0), and a fatigue VAS score of
>=40 mm was reported by 27.9% of patients. In the multivariate analysis, lower
ESR and higher pain at baseline were statistically significant predictors of
higher levels of fatigue (P < 0.001). In the multivariate cross-sectional
analysis at 6 months, younger age and greater pain were significantly associated
with higher levels of fatigue (P < 0.001). CONCLUSION: Pain levels at baseline
and at 6 months were associated with a higher level of fatigue. Patients in
remission or in a low disease activity state may need nonpharmacologic
interventions to manage their pain and fatigue.
PMID- 26555896
TI - Chronic Diseases in North-West Tanzania and Southern Uganda. Public Perceptions
of Terminologies, Aetiologies, Symptoms and Preferred Management.
AB - BACKGROUND: Research has shown that health system utilization is low for chronic
diseases (CDs) other than HIV. We describe the knowledge and perceptions of CDs
identified from rural and urban communities in north-west Tanzania and southern
Uganda. METHODS: Data were collected through a quantitative population survey, a
quantitative health facility survey and focus group discussions (FGDs) and in
depth interviews (IDIs) in subgroups of population survey participants. The main
focus of this paper is the findings from the FGDs and IDIs. RESULTS: We conducted
24 FGDs, involving approximately 180 adult participants and IDIs with 116
participants (>=18 years). CDs studied included: asthma/chronic obstructive lung
disease (COPD), diabetes, epilepsy, hypertension, cardiac failure and HIV-
related disease. The understanding of most chronic conditions involved a
combination of biomedical information, gleaned from health facility visits, local
people who had suffered from a complaint or knew others who had and beliefs drawn
from information shared in the community. The biomedical contribution shows some
understanding of the aetiology of a condition and the management of that
condition. However, local beliefs for certain conditions (such as epilepsy)
suggest that biomedical treatment may be futile and therefore work counter to
biomedical prescriptions for management. CONCLUSION: Current perceptions of
selected CDs may represent a barrier that prevents people from adopting
efficacious health and treatment seeking behaviours. Interventions to improve
this situation must include efforts to improve the quality of existing health
services, so that people can access relevant, reliable and trustworthy services.
PMID- 26555898
TI - Exclusion of solar UV radiation improves photosynthetic performance and yield of
wheat varieties.
AB - Field studies were conducted to determine the potential for alterations in
photosynthetic performance and grain yield of four wheat (Triticum aestivum)
varieties of India- Vidisha, Purna, Swarna and Naveen Chandausi by ambient
ultraviolet radiation (UV). The plants were grown in specially designed UV
exclusion chambers, wrapped with filters that excluded UV-B (<315 nm), UV-A/B
(<400 nm) or transmitted ambient UV or lacked filters. The results indicated that
solar UV exclusion increased the leaf mass per area ratio, leaf weight ratio and
chlorophylls per unit area of flag leaves in all the four varieties of wheat.
Polyphasic chlorophyll a fluorescence transients from the flag leaves of UV
excluded wheat plants gave a higher fluorescence yield. Exclusion of solar UV
significantly enhanced photosynthetic performance as a consequence of increased
efficiency of PS II, performance index (PIABS) and rate of photosynthesis in the
flag leaves of wheat varieties along with a remarkable increase in carbonic
anhydrase, Rubisco and nitrate reductase activities. This additional fixation of
carbon and nitrogen by exclusion of UV was channelized towards the improvement in
grain yield of wheat varieties as there was a decrease in the UV-B absorbing
substances and an increase in soluble protein content in flag leaves of all the
four varieties of wheat. The magnitude of response for UV exclusion for all the
measured parameters was higher in two varieties of wheat Vidisha and Purna as
compared to Swarna and Naveen Chandausi. Cumulative stress response index (CSRI)
for each variety was developed from the cumulative sum of physiological and yield
parameters such as leaf mass area ratio of flag leaf, total chlorophyll content,
performance index at absorption basis, rate of photosynthesis and grain yield.
All the varieties had a negative CSRI, demonstrating a negative impact of ambient
UV radiation. Naveen Chandausi and Swarna are less sensitive to ambient UV
radiation; Vidisha is more sensitive to both UV-A and UV-B and Purna is more
sensitive to ambient UV-B radiation.
PMID- 26555899
TI - Mechanistic study of programmed cell death of root border cells of cucumber
(Cucumber sativus L.) induced by copper.
AB - Programmed cell death (PCD) in root border cells (RBCs) induced by Copper (Cu)
has been little studied. This study explored whether Cu induced PCD in RBCs of
cucumber or not and investigated the possible mechanisms. The results showed that
the percentage of apoptotic and necrotic RBCs increased with increasing
concentration of Cu treatment. A quick burst of ROS in RBCs was detected, while
mitochondrial membrane potential (DeltaPsim) decreased sharply with Cu treatment.
Caspase-3 like protease activity showed a tendency of increase with Cu treatment.
The potential of Cu to induce PCD in RBCs of cucumber was first proved. Our
results showed that ROS generation and mitochondrial membrane potential loss
played important roles in Cu-induced caspase-3-like activation and PCD in RBCs of
cucumber, which provided new insight into the signaling cascades that modulate Cu
phytotoxicity mechanism.
PMID- 26555900
TI - Multiple abiotic stress tolerance of the transformants yeast cells and the
transgenic Arabidopsis plants expressing a novel durum wheat catalase.
AB - Catalases are reactive oxygen species scavenging enzymes involved in response to
abiotic and biotic stresses. In this study, we described the isolation and
functional characterization of a novel catalase from durum wheat, designed
TdCAT1. Molecular Phylogeny analyses showed that wheat TdCAT1 exhibited high
amino acids sequence identity to other plant catalases. Sequence homology
analysis showed that TdCAT1 protein contained the putative calmodulin binding
domain and a putative conserved internal peroxisomal targeting signal PTS1 motif
around its C-terminus. Predicted three-dimensional structural model revealed the
presence of four putative distinct structural regions which are the N-terminal
arm, the beta-barrel, the wrapping and the alpha-helical domains. TdCAT1 protein
had the heme pocket that was composed by five essential residues. TdCAT1 gene
expression analysis showed that this gene was induced by various abiotic stresses
in durum wheat. The expression of TdCAT1 in yeast cells and Arabidopsis plants
conferred tolerance to several abiotic stresses. Compared with the non
transformed plants, the transgenic lines maintained their growth and accumulated
more proline under stress treatments. Furthermore, the amount of H2O2 was lower
in transgenic lines, which was due to the high CAT and POD activities. Taken
together, these data provide the evidence for the involvement of durum wheat
catalase TdCAT1 in tolerance to multiple abiotic stresses in crop plants.
PMID- 26555901
TI - Isolation and expression analysis of 18 CsbZIP genes implicated in abiotic stress
responses in the tea plant (Camellia sinensis).
AB - Basic leucine zipper (bZIP) transcription factors (TFs) play essential roles in
regulating stress processes in plants. Despite the economic importance of this
woody crop, there is little information about bZIP TFs in tea plants. In this
study, 18 bZIP genes were isolated from the tea plant (Camellia sinensis) and
named sequentially from CsbZIP1 to CsbZIP18. According to the phylogenetic
classification as in Arabidopsis, the CsbZIP genes spanned ten subgroups (Group
A, B, C, D, E, F, H, I, S and K) of bZIP TFs. When analyzed for organ specific
expression, all CsbZIP genes were found to be ubiquitously expressed in roots,
stems, leaves and flowers. Expression analysis of CsbZIP genes in response to
four abiotic stresses showed that in leaves, 9, 9, 15 and 11 CsbZIPs have 2-fold
greater variation in transcript abundance under cold, exogenous ABA, high
salinity and dehydration conditions, respectively. In roots, 5, 12, 14 and 11
CsbZIPs were differentially expressed under conditions of cold, exogenous ABA,
high salinity and dehydration stresses. Moreover, CsbZIP genes in Groups F, H, S
and K exhibited several folds up-and/or down-regulation against the above four
stresses. Notably, CsbZIP18 of group K showed significant up-regulation in
response to these same stresses, suggesting a vital functional role in stress
response. Together, these findings increase our knowledge of bZIP TFs in the tea
plant and suggest the significance of CsbZIP genes in plant abiotic responses.
PMID- 26555903
TI - The relation between flow mediated dilation and atrial fibrillation.
PMID- 26555904
TI - Response to "Pacemaker indication in first-degree AV block patients: Factors
beyond the PR interval/HR slope".
PMID- 26555902
TI - Knockdown of Inner Arm Protein IC138 in Trypanosoma brucei Causes Defective
Motility and Flagellar Detachment.
AB - Motility in the protozoan parasite Trypanosoma brucei is conferred by a single
flagellum, attached alongside the cell, which moves the cell forward using a beat
that is generated from tip-to-base. We are interested in characterizing
components that regulate flagellar beating, in this study we extend the
characterization of TbIC138, the ortholog of a dynein intermediate chain that
regulates axonemal inner arm dynein f/I1. TbIC138 was tagged In situ-and shown to
fractionate with the inner arm components of the flagellum. RNAi knockdown of
TbIC138 resulted in significantly reduced protein levels, mild growth defect and
significant motility defects. These cells tended to cluster, exhibited slow and
abnormal motility and some cells had partially or fully detached flagella. Slight
but significant increases were observed in the incidence of mis-localized or
missing kinetoplasts. To document development of the TbIC138 knockdown phenotype
over time, we performed a detailed analysis of flagellar detachment and motility
changes over 108 hours following induction of RNAi. Abnormal motility, such as
slow twitching or irregular beating, was observed early, and became progressively
more severe such that by 72 hours-post-induction, approximately 80% of the cells
were immotile. Progressively more cells exhibited flagellar detachment over time,
but this phenotype was not as prevalent as immotility, affecting less than 60% of
the population. Detached flagella had abnormal beating, but abnormal beating was
also observed in cells with no flagellar detachment, suggesting that TbIC138 has
a direct, or primary, effect on the flagellar beat, whereas detachment is a
secondary phenotype of TbIC138 knockdown. Our results are consistent with the
role of TbIC138 as a regulator of motility, and has a phenotype amenable to more
extensive structure-function analyses to further elucidate its role in the
control of flagellar beat in T. brucei.
PMID- 26555905
TI - There is a link between carotid intima media thickness and coronary artery
disease: It might be inflammation.
PMID- 26555906
TI - Preferential nitrite inhibition of the mitochondrial F1FO-ATPase activities when
activated by Ca(2+) in replacement of the natural cofactor Mg(2+).
AB - BACKGROUND: The mitochondrial F1FO-ATP synthase has not only the known life
function in building most cellular ATP, but also, as recently hinted, an amazing
involvement in cell death. Accordingly, the two-faced enzyme complex, which
catalyzes both ATP synthesis and ATP hydrolysis, has been involved in the
mitochondrial permeability transition, the master player in apoptosis and
necrosis. Nitrite, a cellular nitric oxide reservoir, has a recognized role in
cardiovascular protection, through still unclear mechanisms. METHODS: In swine
heart mitochondria the effect of nitrite on the F1FO-ATPase activity activated by
Ca(2+), henceforth defined as Ca-ATPase(s), or by the natural cofactor Mg(2+),
was investigated by evaluating ATP hydrolysis under different assay conditions.
RESULTS: Ca(2+) is far less efficient than the natural cofactor Mg(2+) in the
ATPase activation. However, when activated by Ca(2+) the ATPase activity is
especially responsive to nitrite, which acts as uncompetitive inhibitor and up to
2 mM inhibits the Ca2+-activated-ATPase(s), probably by promoting dytirosine
formation on the enzyme proteins, leaving the Mg-ATPase(s) unaffected. Most
likely these ATPases refer to the same F1FO complex, even if coexistent ATPases
may overlap. CONCLUSIONS: The preferential inhibition by nitrite of the Ca
ATPase(s), due to post-translational tyrosine modifications, may prevent the
calcium-dependent functionality of the mitochondrial F1FO complex and related
events. GENERAL SIGNIFICANCE: In mitochondria the preferential inhibition of the
Ca-ATPase activity/ies by nitrite concentrations which do not affect the
coexistent Mg-ATPase(s) may quench the negative events linked to the calcium
dependent functioning mode of the F1FO complex under pathological conditions.
PMID- 26555907
TI - [Early Postoperative Outcomes and Evaluation of Hemodynamics after Mitral Valve
Replacement with Epic Mitral Bioprosthesis].
AB - BACKGROUND: In Guideline for Surgical and Interventional Treatment of Valvular
Heart Disease, revised by Japanese Circulation Society in 2012, mitral valve
replacement (MVR) with bioprosthesis is class II b recommendation for patients
aged 70 years or older who have no risk factors for thromboembolism. The aim of
this study was to evaluate the early postoperative surgical outcomes and the
hemodynamic performance with the Epic mitral bioprosthesis. METHODS: Twenty-six
consecutive patients underwent MVR with Epic mitral bioprostesis at Tohoku
University Hospital between April 2011 and July 2014. Twenty-five cases of 26
were evaluated their hemodynamics at discharge, and of which 19 cases of 26 were
evaluated at the outpatient clinic during follow-up period. RESULTS: There was 1
hospital death. Long-term mortality or reoperation for any valve abnormality was
not observed in the median follow-up of 23.9 +/- 11.3 months. Hemodynamic date at
discharge obtained by transthoracic echocardiography included mean hemodynamics
of mitral valve bioprosthesis as below. Effective orifice area (EOA):2.44 +/-
0.62 cm2, peak mitral pressure gradient (pMPG):15.8 +/- 5.3 mmHg, mean mitral
pressure gradient(mMPG):7.2 +/- 2.4 mmHg. Hemodynamic parameters at follow-up
were found to be stable as EOA:2.25 +/- 0.64 cm2, pMPG:17.3 +/- 5.7 mmHg,
mMPG:6.2 +/- 2.3 mmHg, respectively. CONCLUSION: We have attempted to elucidate
our preliminary postoperative outcomes and hemodynamics after MVR with Epic
mitral bioprosthesis. These in vivo hemodynamic data can serves a clinical
reference.
PMID- 26555908
TI - [Postoperative Superior Mesenteric Artery and Cerebral Infarction Possibly due to
the Thrombus at the Left Superior Pulmonary Vein Stump].
AB - Pulmonary vein stump thrombus (PVST) was thought to be a rare complication after
lung resection. Several cases of embolism due to PVST were reported previously.
However, in recent paper, PVST was reported to be found in 13.5% of patients
after left upper lobectomy ( LUL). We experienced a case of PVST that induced
acute embolism of the superior mesenteric artery at 2 weeks after LUL. After
discontinuation of anticoagulation therapy, development of PVST was confirmed by
computed tomography scan at 12 months after LUL resulting in cerebral infarction.
PMID- 26555909
TI - [Additional Lobectomy after Pulmonary Excision for Non-invasive Lung
Adenocarcinoma due to Malignant Positive Cytology at the Residual Lube].
AB - A 67-year-old male was pointed out a pure ground grass opacity sized 1.7 cm in
computed tomography (CT), which reminded unchanged size in CT findings for 18
months. This region was removed using pulmonary wedge resection with margin
length of 0.5 cm and clustered pulmonary adenocarcinoma cells were revealed by
cytological examination at the margin of the residual lung, thus additional left
upper lobectomy was performed later.
PMID- 26555910
TI - [In situ Replacement with Bovine Pericardial Roll Graft for Infected Aneurysm of
the Thoracoabdominal Aorta].
AB - A 63-year-old man presented to a nearby doctor with fever and lumbago. Enhanced
computed tomography showed a thoracoabdominal aortic aneurysm and enhancement of
soft tissue around the aneurysm. He was diagnosed with an infected
thoracoabdominal aortic aneurysm and given antibiotics. After 2 weeks, the
infection was controlled and he underwent in situ replacement with a bovine
pericardial roll graft. A week after the operation, the inflammatory reaction was
increased, but the bovine pericardial roll graft was not infected. This suggests
that a bovine pericardial roll graft is a suitable material for use in patients
with bacterial infections.
PMID- 26555911
TI - [Mitral Valve Plasty in a Patient with Situs Inversus Totalis; Usefulness of
Retrograde Cardioprotective Beating Test].
AB - We report the usefulness of retrograde cardioprotective(RC)-beating test as a
method to evaluate mitral valve plasty (MVP). MVP has been established as an
effective procedure for mitral regurgitation, but nevertheless, a problem remains
as to how to reduce postoperative residual regurgitation. In order to solve this
problem, it is crucial to image the 3 dimensional structures of the mitral valve
and its systolic condition. However, it is quite difficult especially in cases of
situs inversus totalis (SIT). RC-beating test gives a clear view of the mitral
valve and precisely evaluates the performance of MVP, which is particulary
helpful in SIT patients. It also shows where to revise in cases of residual
regurgitation.
PMID- 26555912
TI - [Mitral Valvuloplasty of Infective Endocarditis During Pregnancy].
AB - This report describes a case in which we treated a patient who developed
infective endocarditis in the mitral valve at 28 weeks' gestation. The condition
was resolved by performing mitral valvuloplasty 2 days after an emergency
cesarean section. Although the patient was in a relatively stable period at 32
weeks' gestation, the mother had an extremely high risk of embolism;thus,
emergency surgery was required. We believe that an accurate diagnosis in a timely
manner and a valvuloplasty shortly after cesarean section saved the life of the
mother and child.
PMID- 26555913
TI - [Tiny Size Lung Cancer which was not Pathologically Diagnosed before Hilar and
Mediastinal Dissection].
AB - A 63-year-old man was found to have abnormally high carcinoembryonic antigen
levels in a health examination. Computed tomography scan revealed lymphadenopathy
at the right hilum of lung and right upper mediastinum. Malignancy was suggested
by endobronchial ultrasound-guided transbronchial needle aspiration(EBUS-TBNA)for
lymph node. Lymph node metastases of lung cancer was suspected, but primary site
was unidentified. In order to perform complete hilar dissection, right upper
lobectomy was done with systematic mediastinal dissection. Pathological diagnosis
was adenocarcinoma of 3 * 3 mm in size in the fibrous scar of the right upper
lobe. Immunostaining revealed thyroid transcription factor-1(TTF-1) [+]and paired
box-8(PAX-8) [-] indicating the tumor to be a primary lung cancer.
PMID- 26555914
TI - [Alpha Fetoprotein-producing Lung Adenocarcinoma].
AB - We report a case of alpha fetoprotein (AFP) -producing lung adenocarcinoma. A 53
year-old man was referred to our hospital due to right pneumothorax. Computed
tomography showed right moderate pneumothorax, a solid tumor in the upper lobe
(S3) and mediastinal lymph node swelling. The serum AFP level was as high as
223.0 ng/ml. Frozen examination revealed a low-differentiated adenocarcinoma.
Based on the pathological and immunohistochemical findings, the tumor was
diagnosed as AFP-producing lung adenocarcinoma.
PMID- 26555915
TI - [Pulmonary Metastasis from a Phyllodes Tumor of the Breast Developing Sixteen
Years after Initial Surgery].
AB - We report a case of solitary pulmonary metastasis from a phyllodes tumor of the
breast appearing 16 years after initial surgery. The patient was a 56-year-old
woman who had undergone surgical extirpation of a left breast tumor diagnosed as
phyllodes tumor (borderline malignancy) in 1998, and a right breast tumor
diagnosed as fibromatosis in 2000. Sixteen years after the initial operation, she
consulted our hospital because of a chest X-ray abnormality detected at a
screening examination. Chest computed tomography revealed a well defined nodular
shadow in the left upper lobe of the lung. Surgery was done since primary lung
cancer was suspected. However, pathological diagnosis was a pulmonary metastasis
from the phyllodes tumor of the left breast. Right breast tumor was also
diagnosed as a metastasis from the left breast tumor by histopathological re
evaluation.
PMID- 26555916
TI - [Pulmonary Lipomatous Hamartoma Suspected to be an Endobronchial Lipoma].
AB - We report a rare case of pulmonary lipomatous hamartoma. A 61-year-old male was
referred to our hospital due to abnormal mass densities on a chest radiograph.
Thoracic computed tomography (CT) revealed a tumor with a maximum diameter of 42
mm. Bronchoscopic examination indicated the presence of a tumor at the orifice of
the lateral segmental bronchus which was obstructed by the tumor. Endobronchial
lipoma, was suspected by transbronchial biopsy, and we carried out a left upper
lobectomy to prevent obstructive pneumonia. The pathological diagnosis was
lipomatous hamartoma.
PMID- 26555917
TI - [Emergency Redo Off-pump Coronary Artery Bypass Surgery after 22 Years in an
Elderly; Report of a Case].
AB - An 84-year-old male presented to our hospital with recurrent angina. He had
undergone coronary artery bypass with a saphenous vein graft to the left anterior
descending branch (LAD) 22 years before. Coronary angiography showed occulusion
of the proximal LAD and significant stenosis of the saphenous vein graft. An
emergency redo operation was conducted using the left internal thoracic artery
for LAD revascularization. The postoperative course was uneventful and the
patient was discharged in a week. Emergency redo coronary bypass surgery can be
indicated even in octogenarians who are resistant to medical treatment.
PMID- 26555918
TI - [Discrete Subaortic Stenosis in an Adult; Report of a Case].
AB - Discrete subaortic stenosis (DSS) is a well-described cause of isolated left
ventricular outflow tract obstruction( LVOTO) in children. But prevalence, rate
of progression and postoperative data in adults are limited. We report a case of
a 30-year-old woman, who was referred to our institution because of chest pain
and loss of consciousness. Echocardiography revealed DSS with LVOTO (peak
gradient 81 mmHg) and mild aortic regurgitation. Increased age at the time of
diagnosis, female sex and preoperative left ventricular outflow tract(LVOT)
gradient >=80 mmHg were thought to be predictors for reoperation, therefore the
obstructing membrane was circumferentially excised and concomitant localized
myectomy of the ventricular septum was performed to achieve complete relief of
the LVOT obstruction. Her postoperative course was uneventful, and she was
discharged on the 5th postoperative day.
PMID- 26555919
TI - [Papillary Muscle Rupture after Repair of Ischemic Left Ventricular Free Wall
Rupture; Report of a Case].
AB - A 67-year-old man experienced acute inferior myocardial infarction.
Echocardiography and computed tomography showed massive pericardial effusion. He
underwent emergency operation for ischemic ventricular free wall rupture. During
the operation, an oozing type rupture was found on the inferior wall and the
bleeding was completely controlled by applying fibrin glue sheets. On the 5th day
after the operation, ventricular tachycardia appeared with hemodynamic
deterioration. Echocardiography showed a ruptured posteromedial papillary muscle
with massive mitral regurgitation. Intra-aortic balloon pumping was introduced
and emergency repair operation was performed. The mitral valve was replaced with
a bioprosthetic valve. The postoperative course was uneventful.
PMID- 26555920
TI - [Unroofed Coronary Sinus in an Adult; Report of a Case].
AB - Unroofed coronary sinus( UCS) is a rare cardiac anomaly and the most uncommon
type of atrial septal defect. It is rarely diagnosed by only echocardiography
prior to surgery. We herein report a case of UCS (partially unroofed terminal
portion) without persistent left superior vena cava that was precisely diagnosed
by cardiac multi-detector low computed tomography (MDCT). A 50-year-old
asymptomatic man was admitted for cardiac evaluation. A transesophageal
echocardiography showed a left to right shunt at the atrial level. MDCT clearly
showed a defect in the coronary sinus and lower part of the interatrial septum.
At the time of surgery, the defect was closed using a Gore-Tex patch, such that
the coronary sinus drained entirely into the left atrium. The postoperative
course was uneventful. Cardiac MDCT provides accurate anatomic details about
defects as well as the associated anomalies of the heart and pulmonary
vasculature.
PMID- 26555921
TI - [Cardiac Amyloidosis Diagnosed Following Mitral Valve Repair; Report of a Case].
AB - We present a case of a 60-year-old female who underwent elective mitral valve
repair for mitral valve regurgitation. Intra-aortic balloon pumping was necessary
to wean her from cardiopulmonary bypass, and a sudden cardiac arrest happened on
postoperative day 17. Due to such unexpected postoperative course, a right
ventricular biopsy was done, and she was diagnosed with light chain amyloidosis
(AL) type cardiac amyloidosis. Despite long percutaneous cardiopulmonary bypass
support, she never recovered from severe heart failure. Cardiac surgeons should
be aware of this fatal disease, and preoperative screening with various tests is
required.
PMID- 26555922
TI - [Spontaneous Pneumothorax During Pregnancy Successfully Managed with a Thoracic
Vent before Surgical Therapy; Report of a Case].
AB - A 34-year-old pregnant woman presented to our department at 31 weeks of gestation
after being diagnosed as spontaneous pneumothorax based on chest X-ray findings.
We inserted a Thoracic Vent( TV), and she was followed as an outpatient. However,
since pneumothorax recurred twice after the TV was removed, she was finally
admitted to the Department of Obstetrics because threatened premature delivery
was suspected. The collapsed lung did not re-expand, and the surgery for
pneumothorax was done before childbirth. After thoracic surgery, she safely gave
birth to a girl at 40 weeks of gestation. Outpatient therapy for spontaneous
pneumothorax with TV is concerned to be a useful treatment even for pregnant
women.
PMID- 26555923
TI - [Successful Treatment of Empyema with Bronchial Fistulas by Filling under
Thoracoscopic Observation; Report of a Case].
AB - Empyema with fistula usually resists conservative treatment such as thoracic
cavity drainage and administration of antibacterial agents, thus it often
requires surgeries such as fenestration, omental/muscle filling, and
thoracoplasty. However, due to advanced age and poor condition, conducting
invasive surgeries is often difficult in elderly patients. We report a case with
the improvement of empyema by bronchial filling with endobronchial Watanabe
spigot (EWS) under thoracoscopic observation for an 89-year-old patient who had
developed chronic empyema with a bronchial fistulas. After filling EWS, air
leakage from bronchial fistula disappeared and the patient could discharged from
the hospital successfully.
PMID- 26555924
TI - A case of unilateral coronal synostosis from Medieval Hungary (9th century A.D.).
AB - A unique single suture craniosynostosis case from the 9(th) century is presented
in this paper. Although craniosynostosis is a fairly common pediatric anomaly
nowadays, its occurrence in archaeological collections is an uncommon precedent.
Since the diagnosis and treatment of premature cranial suture closure usually
happens at an early age, evaluation of the whole developmental process is a rare
opportunity. The right-sided coronal suture synostosis of this 30-35 years old
woman gives an interesting opportunity to observe the effect of this phenomenon
in adulthood. Only slight distortion of the viscerocranium and no bony signs of
elevated intracranial pressure can be seen on the skull. The women suffered
remarkable bending of the whole face and cranial base of the midsagittal plane.
Besides the aesthetic disorder, the condition might have contributed to a chronic
headache and visual disturbances. The lack of any other typical symptom suggests
the diagnosis of isolated craniosynostosis or a milder type of syndromic
craniosynostosis, the possibility of an underlying causative mutation cannot be
ruled out.
PMID- 26555926
TI - Engineered 3D Silk-collagen-based Model of Polarized Neural Tissue.
AB - Despite huge efforts to decipher the anatomy, composition and function of the
brain, it remains the least understood organ of the human body. To gain a deeper
comprehension of the neural system scientists aim to simplistically reconstruct
the tissue by assembling it in vitro from basic building blocks using a tissue
engineering approach. Our group developed a tissue-engineered silk and collagen
based 3D brain-like model resembling the white and gray matter of the cortex. The
model consists of silk porous sponge, which is pre-seeded with rat brain-derived
neurons, immersed in soft collagen matrix. Polarized neuronal outgrowth and
network formation is observed with separate axonal and cell body localization.
This compartmental architecture allows for the unique development of niches
mimicking native neural tissue, thus enabling research on neuronal network
assembly, axonal guidance, cell-cell and cell-matrix interactions and electrical
functions.
PMID- 26555925
TI - Inter-patient variability of platelet reactivity in patients treated with
prasugrel and ticagrelor.
AB - The aim of this study was to evaluate the distribution of platelet reactivity
values in patients treated with prasugrel and ticagrelor. This prospective
observational study enrolled 200 patients treated with prasugrel or ticagrelor.
Platelet aggregation was determined by multiple electrode aggregometry after
stimulation with adenosine diphosphate (ADP) in the maintenance phase of
treatment with prasugrel or ticagrelor. Only 3% of patients in the prasugrel
group and 2% of study participants in the ticagrelor group had high on treatment
platelet reactivity (HTPR). The majority of patients displayed low on treatment
platelet reactivity (LTPR; prasugrel: 69%; ticagrelor: 64%). The pharmacodynamic
effect was similar in patients treated with prasugrel and ticagrelor: the median
level of ADP-induced platelet aggregation was 15U (interquartile range IQR 9-21U)
under prasugrel treatment and 17U (IQR 8-24U) under ticagrelor treatment
(p=0.370). In conclusion, our study suggests that there is some degree of
variability in ADP-induced platelet aggregation under treatment with prasugrel
and ticagrelor.
PMID- 26555927
TI - Sucralose as co-crystal co-former for hydrochlorothiazide: development of oral
disintegrating tablets.
AB - Development of oral disintegrating tablets requires enhancement of drug
dissolution and selection of sweetener. Co-crystallization of drugs with inert co
former is an emerging technique for enhancing dissolution rate. The benefit of
this technique will become even greater if one of the sweeteners can act as co
crystal co-former to enhance dissolution and mask the taste. Accordingly, the
objective of this work was to investigate the efficacy of sucralose as a
potential co-crystal co-former for enhancing the dissolution rate of
hydrochlorothiazide. This was extended to prepare oral disintegrating tablets. Co
crystallization was achieved after dissolving hydrochlorothiazide with increasing
molar ratios of sucralose in the least amount of acetone. The co-crystallization
products were characterized using Fourier transform infrared spectroscopy,
differential thermal analysis and powder X-ray diffraction. These measurements
indicated that co-crystallization process started at a drug sucralose molar ratio
of 1:1 and completed at 1:2. The developed co-crystals exhibited faster drug
dissolution compared with the control, with co-crystal containing the drug with
sucralose at 1:2 molar ratio being optimum. The later was used to prepare fast
disintegrating tablets. These tablets had acceptable physical characteristics and
showed fast disintegration with subsequent rapid dissolution. The study
introduced sucralose as co-crystal co-former for enhanced dissolution and masking
the taste.
PMID- 26555928
TI - Polynuclear platinum phosphanido/phosphinito complexes: formation of P-O and P-O
P bonds through reductive coupling processes.
AB - A mixture of the asymmetric complexes of formula [(RF)2Pt(MU-Ph2PO)(MU-PPh2)Pt(MU
PPh2)2Pt(solv)(solv')] [(1-(solv)(solv')] (solv, solv' = acetone, H2O, CH3CN) has
been prepared by reaction of [(RF)2Pt(II)(MU-PPh2)2Pt(II)(MU
PPh2)2Pt(II)(NCCH3)2] with AgClO4 in CH3CN/acetone. The lability of the Pt
solvent bonds allows the displacement of the coordinated solvent molecules by
dppm or Cl(-) and the isolation of the tri- or hexanuclear
phosphanido/phosphinito Pt(ii) complexes [(C6F5)2Pt(MU-PPh2)(MU-PPh2O)Pt(MU
PPh2)2Pt(dppm)] (2) or [NBu4]2[(C6F5)2Pt(MU-PPh2)(MU-PPh2O)Pt(MU-PPh2)2Pt(MU
Cl)2Pt(MU-PPh2)2Pt(MU-PPh2)(MU-PPh2O)Pt(C6F5)2] (as a mixture of the two possible
isomers 4a and 4b). Complex 2 reacts with AgClO4 to form the tetranuclear
derivative [(C6F5)2Pt(MU-PPh2)(MU-PPh2O)Pt(MU-PPh2)2Pt(dppm)Ag(OClO3)] (3), which
displays two Pt-Ag donor-acceptor bonds. The mixture of the hexanuclear isomers
4a-4b reacts with Tl(acac) producing the acetylacetonato complex
[NBu4][(C6F5)2Pt(MU-PPh2)(MU-PPh2O)Pt(MU-PPh2)2Pt(acac)] (5) which, upon reaction
with HCl, yields back the mixture of 4a-4b. The reaction of 4a-4b with PPh3
produces [NBu4][(C6F5)2Pt(MU-PPh2)(MU-PPh2O)Pt(MU-PPh2)2Pt(Cl)(PPh3)] (6) as a
mixture of isomers with the chloro ligand located syn (6a) or anti (6b) to the
PPh2O(-) group. Either the reaction of 6 with AgClO4 or the treatment of 5 with
HPPh3ClO4 results in the formation of the species [(C6F5)2Pt(II)(MU
PPh2)2Pt(I)(MU-PPh2OPPh2)Pt(I)(PPh3)] (7) (44 VEC), which can be explained as the
consequence of a PPh2O/PPh2 reductive coupling and a rearrangement of ligands in
the molecule generating a Pt(ii),Pt(i),Pt(i) compound. All complexes were
characterised in the solid state by XRD (only one of the isomers, in the cases of
4 and 6) and in solution by NMR spectroscopy.
PMID- 26555929
TI - Influence of the Compatible Solute Ectoine on the Local Water Structure:
Implications for the Binding of the Protein G5P to DNA.
AB - Microorganisms accumulate molar concentrations of compatible solutes like ectoine
to prevent proteins from denaturation. Direct structural or spectroscopic
information on the mechanism and about the hydration shell around ectoine are
scarce. We combined surface plasmon resonance (SPR), confocal Raman spectroscopy,
molecular dynamics simulations, and density functional theory (DFT) calculations
to study the local hydration shell around ectoine and its influence on the
binding of a gene-5-protein (G5P) to a single-stranded DNA (dT25). Due to the
very high hygroscopicity of ectoine, it was possible to analyze the highly stable
hydration shell by confocal Raman spectroscopy. Corresponding molecular dynamics
simulation results revealed a significant change of the water dielectric constant
in the presence of a high molar ectoine concentration as compared to pure water.
The SPR data showed that the amount of protein bound to DNA decreases in the
presence of ectoine, and hence, the protein-DNA dissociation constant increases
in a concentration-dependent manner. Concomitantly, the Raman spectra in terms of
the amide I region revealed large changes in the protein secondary structure. Our
results indicate that ectoine strongly affects the molecular recognition between
the protein and the oligonucleotide, which has important consequences for osmotic
regulation mechanisms.
PMID- 26555930
TI - Tet-on, or Tet-off, that is the question: Advanced conditional gene expression in
Aspergillus.
AB - In Aspergillus, controlled gene expression is often achieved using the reverse
tetracycline-controlled transactivator (rtTA) dependent Tet-on system, whereby
transcription is activated in a titratable manner by addition of the tetracycline
derivative doxycycline. The complementary Tet-off system utilises the
tetracycline-controlled transactivator (tTA) component to quantitatively reduce
gene expression. In this study, we utilised a synthetic biological approach to
engineer highly optimised Tet-off conditional expression systems in Aspergillus
niger and Aspergillus fumigatus. Steps for delivery of these tools include
utilising codon optimised cassette components, testing several promoters for
improved genetic stability and validating two modified luciferase reporters for
highly accurate measurements of gene expression. The Tet-off cassettes developed
in this study enable facile and quantitative functional analysis, as validated by
Tet-off analysis of genes involved in chitin synthesis and cell wall polarity in
A. niger, and para-aminobenzoic acid synthesis in A. fumigatus. We also used a
racA(G18V) dominant allele to demonstrate that Tet-off in A. niger enables gene
over-expression and downregulation in a single isolate. Additionally, we used the
improved luciferase reporters to show that the Tet-off cassette in A. niger
enables quantification of gene oscillations. In order to demonstrate that
synthetic biological approaches developed here are broadly applicable to
engineering transcriptional circuits in filamentous fungi, we used our strategy
for improving cassette stability by promoter replacement in the A. niger Tet-on
system, which resulted in a modified Tet-on cassette with higher stability in
recipient genomes.
PMID- 26555932
TI - Electronic Cigarette Use Among Adults: United States, 2014.
AB - The National Health Interview Survey (NHIS) first began collecting data about e
cigarette use in 2014. The estimates presented in this report provide a
foundation for understanding who is using e-cigarettes and for monitoring changes
in e-cigarette use among U.S. adults over time. In 2014, men were more likely
than women to have ever tried e-cigarettes but were not more likely to be current
users. Younger adults were more likely than older adults to have tried e
cigarettes and to currently use e-cigarettes. Both non-Hispanic AIAN and non
Hispanic white adults were more likely than non-Hispanic black, non-Hispanic
Asian, and Hispanic adults to have ever tried e-cigarettes and to be current e
cigarette users. When examined in the context of conventional cigarette smoking,
use of e-cigarettes was highest among current and recent former cigarette
smokers, and among current smokers who had made a quit attempt in the past year.
Although fewer than 4% of adults who had never smoked conventional cigarettes had
ever tried an e-cigarette, nearly 1 in 10 never-smokers aged 18-24 had tried an e
cigarette at least once.
PMID- 26555931
TI - Efficient engineering of marker-free synthetic allotetraploids of Saccharomyces.
AB - Saccharomyces interspecies hybrids are critical biocatalysts in the fermented
beverage industry, including in the production of lager beers, Belgian ales,
ciders, and cold-fermented wines. Current methods for making synthetic
interspecies hybrids are cumbersome and/or require genome modifications. We have
developed a simple, robust, and efficient method for generating allotetraploid
strains of prototrophic Saccharomyces without sporulation or nuclear genome
manipulation. S. cerevisiae*S. eubayanus, S. cerevisiae*S. kudriavzevii, and S.
cerevisiae*S. uvarum designer hybrid strains were created as synthetic lager,
Belgian, and cider strains, respectively. The ploidy and hybrid nature of the
strains were confirmed using flow cytometry and PCR-RFLP analysis, respectively.
This method provides an efficient means for producing novel synthetic hybrids for
beverage and biofuel production, as well as for constructing tetraploids to be
used for basic research in evolutionary genetics and genome stability.
PMID- 26555933
TI - Analysis of 27 antibiotic residues in raw cow's milk and milk-based products-
validation of Delvotest(r) T.
AB - Delvotest(r) T was evaluated for its capability at detecting residues of 27
antibiotics in raw cow's milk and in some dairy ingredients (skimmed and full
cream milk powders). The kit was used as a screening tool for the qualitative
determination of antibiotics from different families in a single test. Results
delivered by such a method are expressed as 'positive' or 'negative', referring
to the claimed screening target concentration (STC). Validation was conducted
according to the European Community Reference Laboratories' (CRLs) residues
guidelines of 20 January 2010 and performed by two laboratories, one located in
Europe and the other in Asia. Five criteria were evaluated including detection
capability at STC, false-positive (FP) rate, false-negative (FN) rate, robustness
and cross-reactivity using visual reading and Delvoscan(r). STCs were set at or
below the corresponding maximum residue limit (MRL), as fixed by European
Regulation EC No. 37/2010. Four antibiotics (nafcillin, oxytetracycline,
tetracycline and rifaximin) out of 27 had a false-negative rate ranging from 1.7%
to 4.9%; however, it was still compliant with the CRLs' requirements. Globally,
Delvotest T can be recommended for the analysis of the surveyed antibiotics in
raw cow's milk, skimmed and full-cream milk powders. Additional compounds were
tested such as sulfamethazine, spiramycin and erythromycin; however, detection at
the corresponding MRL was not achievable and these compounds were removed from
the validation. Other drugs from the sulfonamide, aminoglycoside or macrolide
families not detected by the test at the MRL were not evaluated in this study.
Regarding the reliability of this rapid test to milk-based preparations,
additional experiments should be performed on a larger range of compounds and
samples to validate the Delvotest T in such matrices.
PMID- 26555934
TI - Compliance with mechanical venous thromboproembolism prophylaxis after cesarean
delivery.
AB - OBJECTIVE: Universal perioperative mechanical thromboprophylaxis is recommended
for patients undergoing cesarean delivery because of increased risk for venous
thromboembolism (VTE) associated with this mode of delivery. While research
supports clinical benefits from this approach, other specialties have
demonstrated suboptimal compliance with prophylaxis device use. The objective of
this study was to review patient compliance with sequential compression devices
(SCDs). METHODS: This cross-sectional observational study utilized data from a
prospective quality assurance analysis to evaluate demographic, medical and
obstetrical factors associated with postoperative SCD compliance after cesarean
delivery. Observations were performed before 7 a.m. on the first postoperative
day, a time point when patients were unlikely to be fully ambulatory and would
most benefit from device use. The reason for failure was documented in cases
where the device was not being properly used. RESULTS: Two hundred and ninety
three patients underwent cesarean delivery, had SCD compliance assessed and were
included in the analysis. Twenty one percent of patients (n=60) were non
compliant with SCD use. Reasons for noncompliance included patient discomfort,
machine malfunction and incorrect device use. Patients who were non-compliant had
similar risk factors for thromboembolism compared to women who were compliant.
CONCLUSION: Although SCD's are effective in preventing thromboembolism, device
use was suboptimal in this cohort of post-cesarean patients. These findings are
similar to those from other fields. For institutions that rely primarily on
mechanical thromboprophylaxis for obstetric patients, quality assurance and
auditing of use may be necessary to ensure patients are receiving adequate
prophylaxis. For post-cesarean patients with additional VTE risk factors,
pharmacologic prophylaxis may be beneficial.
PMID- 26555935
TI - DICER1 Mutations and Differentiated Thyroid Carcinoma: Evidence of a Direct
Association.
AB - CONTEXT: DICER1 germline mutation carriers have an increased predisposition to
cancer, such as pleuropulmonary blastoma (PPB) and Sertoli-Leydig cell tumor
(SLCT), and a high prevalence of multinodular goiter (MNG). Although
differentiated thyroid carcinoma (DTC) has been reported in some DICER1 mutation
carriers with PPB treated with chemotherapy, the association of DTC with DICER1
mutations is not well established. CASE DESCRIPTION: We report a family with
DICER1 mutation and familial DTC without a history of chemotherapy. A 12-year-old
female (patient A) and her 14-year-old sister (patient B) presented with MNG.
Family history was notable for a maternal history of DTC and bilateral ovarian
SLCT. Both sisters underwent total thyroidectomy. Pathological examination showed
nodular hyperplasia and focal papillary thyroid carcinoma within hyperplastic
nodules. Subsequently, patient A developed virilization secondary to a unilateral
ovarian SLCT. During her evaluation, an incidental cystic nephroma was also
found. Three other siblings had MNG on surveillance ultrasound examination; two
had thyroidectomies, and one had two microscopic foci of papillary carcinoma.
Patient A, her mother, and four affected siblings had a germline heterozygous
pathogenic DICER1 mutation c.5441C>T in exon 25, resulting in an amino acid
change from p.Ser1814Leu of DICER1. Somatic DICER1 RNase IIIb missense mutations
were identified in thyroid nodules from three of the four siblings. CONCLUSIONS:
This family provides novel insight into an emerging phenotype for DICER1
syndrome, with evidence that germline DICER1 mutations are associated with an
increased risk of developing familial DTC, even in the absence of prior treatment
with chemotherapy.
PMID- 26555936
TI - Lipolytic Rate Associated With Intramyocardial Lipid in an HIV Cohort Without
Increased Lipolysis.
AB - CONTEXT: Individuals with HIV have an elevated risk for developing cardiovascular
disease compared to controls, particularly in relationship to abnormal deposition
of lipid within various body compartments. Dysregulation of lipolysis may
contribute to abnormal deposition of lipid in non-adipose tissues such as the
heart, leading to untoward health consequences. OBJECTIVE: To evaluate potential
relationships between rates of whole-body lipolysis and intramyocardial lipid
content in HIV-infected subjects compared to healthy controls. DESIGN: Cross
sectional study. SETTING: National Institutes of Health Clinical Research Center
in Bethesda, Maryland. PARTICIPANTS: Forty-six HIV-infected adults and 12
controls without known cardiovascular disease. MAIN OUTCOME MEASURE:
Intramyocardial lipid content quantified by MRI and rates of lipolysis determined
using stable isotope tracer techniques. RESULTS: We observed a significant
positive correlation between the rate of appearance of glycerol and
intramyocardial lipid overall (r = 0.323; P = .014) and among the HIV group
separately (r = 0.361; P = .014). Multivariate regression analyses including HIV,
lipid-lowering therapy, and diabetes identified both rate of appearance of
glycerol and age as independent significant predictors of intramyocardial lipid
(P = .01 and P = .03, respectively), but these were not significant with
inclusion of visceral adipose in the analyses. CONCLUSIONS: To our knowledge,
this study is among the first in humans to characterize the relationship between
lipid deposition in the myocardium and direct measurement of whole-body fatty
acid metabolism. Our current findings contribute to the growing understanding of
factors that promote myocardial steatosis, such as visceral adiposity, and
implicate lipolysis as a potential target for interventions to optimize
myocardial health.
PMID- 26555938
TI - Do psychosocial sleep interventions improve infant sleep or maternal mood in the
postnatal period? A systematic review and meta-analysis of randomised controlled
trials.
AB - Sleep complaints are common amongst mothers of infants and insufficient,
inefficient or fragmented sleep is associated with postnatal depression. The aim
of this review is to determine whether psychosocial sleep-focused interventions
offered in the perinatal period improve infant sleep or maternal mood. We
searched PubMed, PsycInfo, EMBASE and CINAHL with no date restriction. We
reviewed 1097 articles, resulting in nine papers (n = 1,656) that fit the
eligibility criteria for inclusion in the analyses. The primary outcome was
infant sleep, defined as maternal reports of infant nocturnal total sleep time
and number of night-time wakes. The secondary outcome was maternal mood. The meta
analysis indicated improvements in reported infant nocturnal total sleep time
(Hedge's g = 0.204, p < 0.01). However, there was no evidence for reducing infant
night wakes (Hedge's g = 0.103, p = 0.134). There was evidence of maternal mood
improvements (Hedge's g = 0.152, p = 0.014), however, this could have been
influenced by publication bias. Psychosocial sleep interventions appear to impact
the amount of sleep that a mother reports her baby to have, although the infants
continue to wake as frequently. More research is needed to confirm whether sleep
related improvements can translate into improvements in maternal mood.
PMID- 26555940
TI - Subpubic Arch Angle and Mode of Delivery in Low-Risk Nulliparous Women.
AB - OBJECTIVE: To assess whether subpubic arch angle (SPA) measurement before labor
onset can predict labor outcome among low-risk pregnant women. METHODS: 3D
ultrasound volume was transperineally acquired from a series of nulliparous women
with uncomplicated pregnancy at term before the onset of labor. SPA was measured
offline using Oblique View Extended Imaging (OVIX) on each volume performed by an
investigator not involved in the clinical management. Labor outcome was
prospectively investigated in the whole study group. RESULTS: Overall, 145 women
were enrolled in the study. Of these, 83 underwent spontaneous vaginal delivery,
whereas obstetric intervention was performed in 62 cases (Cesarean section in 40
and vacuum extraction in 22). The SPA appeared to be significantly narrower in
the women submitted to obstetric intervention compared with those undergoing
spontaneous vaginal delivery (116.8 +/- 10.3 degrees vs. 123.7 +/- 9.6 degrees ,
p < 0.01). At multivariate analysis SPA and maternal age were identified as
independent predictors of the mode of delivery. On the other hand, the duration
of labor did not show a significant relationship with SPA. CONCLUSIONS: In low
risk nulliparous women at term gestation, SPA measurement obtained by 3D
ultrasound before the onset of labor seems to predict the likelihood of an
obstetric intervention but not the duration of labor.
PMID- 26555939
TI - The Efficient Derivation of Trophoblast Cells from Porcine In Vitro Fertilized
and Parthenogenetic Blastocysts and Culture with ROCK Inhibitor Y-27632.
AB - Trophoblasts (TR) are specialized cells of the placenta and play an important
role in embryo implantation. The in vitro culture of trophoblasts provided an
important tool to investigate the mechanisms of implantation. In the present
study, porcine trophoblast cells were derived from pig in vitro fertilized (IVF)
and parthenogenetically activated (PA) blastocysts via culturing in medium
supplemented with KnockOut serum replacement (KOSR) and basic fibroblast growth
factor (bFGF) on STO feeder layers, and the effect of ROCK (Rho-associated coiled
coil protein kinases) inhibiter Y-27632 on the cell lines culture was tested. 5
PA blastocyst derived cell lines and 2 IVF blastocyst derived cell lines have
been cultured more than 20 passages; one PA cell lines reached 110 passages
without obvious morphological alteration. The derived trophoblast cells exhibited
epithelium-like morphology, rich in lipid droplets, and had obvious defined
boundaries with the feeder cells. The cells were histochemically stained positive
for alkaline phosphatase. The expression of TR lineage markers, such as CDX2,
KRT7, KRT18, TEAD4, ELF5 and HAND1, imprinted genes such as IGF2, PEG1 and PEG10,
and telomerase activity related genes TERC and TERF2 were detected by
immunofluorescence staining, reverse transcription PCR and quantitative real-time
PCR analyses. Both PA and IVF blastocysts derived trophoblast cells possessed the
ability to differentiate into mature trophoblast cells in vitro. The addition of
Y-27632 improved the growth of both PA and IVF blastocyst derived cell lines and
increased the expression of trophoblast genes. This study has provided an
alternative highly efficient method to establish trophoblast for research focused
on peri-implantation and placenta development in IVF and PA embryos.
PMID- 26555941
TI - Generation of Gradients on a Microfluidic Device: Toward a High-Throughput
Investigation of Spermatozoa Chemotaxis.
AB - Various research tools have been used for in vitro detection of sperm chemotaxis.
However, they are typically poor in maintenance of gradient stability, not to
mention their low efficiency. Microfluidic device offers a new experimental
platform for better control over chemical concentration gradient than traditional
ones. In the present study, an easy-handle diffusion-based microfluidic chip was
established. This device allowed for conduction of three parallel experiments on
the same chip, and improved the performance of sperm chemotaxis research. In such
a chip, there were six channels surrounding a hexagonal pool. The channels are
connected to the hexagon by microchannels. Firstly, the fluid flow in the system
was characterized; secondly, fluorescein solution was used to calibrate gradient
profiles formed in the central hexagon; thirdly, sperm behavior was observed
under two concentration gradients of progesterone (100 pM and 1 mM, respectively)
as a validation of the device. Significant differences in chemotactic parameters
were recognized between experimental and control groups (p < 0.05). Compared with
control group, sperm motility was greatly enhanced in 1 mM group (p < 0.05), but
no significant difference was found in 100 pM group. In conclusion, we proposed a
microfluidic device for the study of sperm chemotaxis that was capable of
generating multi-channel gradients on a chip and would help reduce experimental
errors and save time in experiment.
PMID- 26555942
TI - Genome-Wide Identification of New Reference Genes for qRT-PCR Normalization under
High Temperature Stress in Rice Endosperm.
AB - qRT-PCR is one of the most popular approaches to analyze specific gene expression
level, and stably expressed reference genes are essential to obtain reliable
results. However, many reference genes are only stable under certain
circumstances and different reference genes might be required in different
experiments. High temperature is a common stress that affects rice endosperm
development and it has become a hot topic recently. Although study about
reference genes at different developmental stages in rice has been reported,
these genes may not be suitable to study high temperature mediated responses
especially in endosperm. In our quest for proper reference genes to quantify gene
expression in rice endosperm under high temperature, we studied 6 candidate genes
selected from the transcriptome data and 11 housekeeping genes. All genes were
analyzed with qRT-PCR and the expression stability was assessed with software
geNorm and NormFinder. Fb15 and eIF-4a were identified as the two most stable
genes in endosperm at different developmental stages, while high temperature
treatment has a least effect on expression of Fb15 and UBQ5 in rice endosperm.
Our results provide some good candidate reference genes for qRT-PCR normalization
in rice endosperm under different temperatures.
PMID- 26555943
TI - Evolutionary fate and implications of retrocopies in the African coelacanth
genome.
AB - BACKGROUND: The coelacanth is known as a "living fossil" because of its
morphological resemblance to its fossil ancestors. Thus, it serves as a useful
model that provides insight into the fish that first walked on land. Retrocopies
are a type of novel genetic element that are likely to contribute to genome or
phenotype innovations. Thus, investigating retrocopies in the coelacanth genome
can determine the role of retrocopies in coelacanth genome innovations and
perhaps even water-to-land adaptations. RESULTS: We determined the dS values,
dN/dS ratios, expression patterns, and enrichment of functional categories for
472 retrocopies in the African coelacanth genome. Of the retrocopies, 85-355 were
shown to be potentially functional (i.e., retrogenes). The distribution of
retrocopies based on their dS values revealed a burst pattern of young
retrocopies in the genome. The retrocopy birth pattern was shown to be more
similar to that in tetrapods than ray-finned fish, which indicates a genomic
transformation that accompanied vertebrate evolution from water to land. Among
these retrocopies, retrogenes were more prevalent in old than young retrocopies,
which indicates that most retrocopies may have been eliminated during evolution,
even though some retrocopies survived, attained biological function as
retrogenes, and became old. Transcriptome data revealed that many retrocopies
showed a biased expression pattern in the testis, although the expression was not
specifically associated with a particular retrocopy age range. We identified 225
Ensembl genes that overlapped with the coelacanth genome retrocopies. GO
enrichment analysis revealed different overrepresented GO (gene ontology) terms
between these "retrocopy-overlapped genes" and the retrocopy parent genes, which
indicates potential genomic functional organization produced by
retrotranspositions. Among the 225 retrocopy-overlapped genes, we also identified
46 that were coelacanth-specific, which could represent a potential molecular
basis for coelacanth evolution. CONCLUSIONS: Our study identified 472 retrocopies
in the coelacanth genome. Sequence analysis of these retrocopies and their parent
genes, transcriptome data, and GO annotation information revealed novel insight
about the potential role of genomic retrocopies in coelacanth evolution and
vertebrate adaptations during the evolutionary transition from water to land.
PMID- 26555944
TI - One-year Results of the Effects of Rituximab on Acute Antibody-Mediated Rejection
in Renal Transplantation: RITUX ERAH, a Multicenter Double-blind Randomized
Placebo-controlled Trial.
AB - BACKGROUND: Treatment of acute antibody-mediated rejection (AMR) is based on a
combination of plasma exchange (PE), IVIg, corticosteroids (CS), and rituximab,
but the place of rituximab is not clearly specified in the absence of randomized
trials. METHODS: In this phase III, multicenter, double-blind, placebo-controlled
trial, we randomly assigned patients with biopsy-proven AMR to receive rituximab
(375 mg/m) or placebo at day 5. All patients received PE, IVIg, and CS. The
primary endpoint was a composite of graft loss or no improvement in renal
function at day 12. RESULTS: Among the 38 patients included, at 1 year, no deaths
occurred, but 1 graft loss occurred in each group. The primary endpoint frequency
was 52.6% (10/19) and 57.9% (11/19) in the rituximab and placebo groups,
respectively (P = 0.744). Renal function improved in both groups, as soon as day
12 with no difference in serum creatinine level and proteinuria at 1, 3, 6, and
12 months. Supplementary administration of rituximab and total number of IVIg and
PE treatments did not differ between the 2 groups. Both groups showed improved
histological features of AMR and Banff scores at 1 and 6 months, with no
significant difference between groups but with a trend in favor of the rituximab
group. Both groups showed decreased mean fluorescence intensity of donor-specific
antibodies as soon as day 12, with no significant difference between them but
with a trend in favor of the rituximab group at 12 months. CONCLUSIONS: After 1
year of follow-up, we observed no additional effect of rituximab in patients
receiving PE, IVIg, and CS for AMR. Nevertheless, our study was underpowered and
important differences between groups may have been missed. Complementary trials
with long-term follow-up are needed.
PMID- 26555946
TI - Simultaneous Quantification of the 8 Human Herpesviruses in Allogeneic
Hematopoietic Stem Cell Transplantation.
AB - BACKGROUND: Human herpesviruses may cause severe complications after allogeneic
hematopoietic stem cell transplantation (HSCT). However, the impact of some of
these infections on transplant outcomes is still unclear. A prospective survey on
the incidence and clinical features of herpesviruses infections after HSCT has
not yet been conducted in Brazilian patients, and the impact of these infections
on HSCT outcome remains unclear. METHODS: We prospectively analyzed the incidence
of infection of the eight human herpesviruses simultaneously in 1 045 peripheral
blood samples from 98 allogeneic HSCT recipients. Samples were collected weekly
starting at the time of transplant until day +100. All herpesviruses were
screened and quantified in plasma by quantitative real-time polymerase chain
reaction. Median follow up time was 24 months. RESULTS: The incidences of
infection for each herpesvirus were as follows: cytomegalovirus (CMV), 44%; human
herpesvirus [HHV] 6, 18%; HHV8, 6%; Epstein-Barr virus, 3%; herpes simplex virus
1, 3%; varicella zoster virus, 3%; HHV7, 2%; and herpes simplex virus 2, 1%. The
CMV infection was significantly more frequent among adults and was associated
with a higher risk of developing acute graft-versus-host disease. The HHV6
infection was significantly more frequent after umbilical cord blood transplant
and was associated with an increased risk of platelet engraftment failure. There
was no significant impact of these infections on the other transplant outcomes.
CONCLUSIONS: Herpesviruses infections were uncommon after HSCT, except for CMV
and HHV6, which, although relatively frequent, had no clinically relevant impact
on the outcomes.
PMID- 26555947
TI - The Role of TCF7L2 rs7903146 in Diabetes After Kidney Transplant: Results From a
Single-Center Cohort and Meta-Analysis of the Literature.
AB - BACKGROUND: Several genetic polymorphisms modulate the risk of posttransplant
diabetes mellitus (PTDM), a complication associated with an increased morbidity
and mortality after kidney transplantation; however, their clinical utility is
still undefined. METHODS: Genetic analysis was performed in 464 kidney
transplantation recipients to evaluate whether transcription factor 7-like 2
(TCF7L2) rs7903146 gene polymorphism is associated with the risk of PTDM and a
meta-analysis of similar studies including our results was performed (total
kidney transplantation recipients, n = 3105). A predictive model of PTDM was
built on the basis of this polymorphism and clinical parameters. RESULTS: In our
cohort, 163 patients possessed the CC genotype of rs7903146 (35.1%), 237 were CT
(51.1%), and 64 were TT (13.8%): their 2 years PTDM incidence was, respectively,
7.8%, 11.9%, and 22.7%. At multivariate analysis, age (per year; hazard ratio
[HR], 1.029; 95% confidence interval [95% CI], 1.005-1.054; P = 0.017), body mass
index (25.0-29.9 vs <25.0; HR, 2.43; 95% CI, 1.40-4.23; P = 0.0018; >=30 vs
<25.0; HR, 5.70; 95% CI, 2.77-11.74; P < 0.0001), TCF7L2 rs7903146 (per each T
allele; HR, 1.81; 95% CI, 1.26-2.59; P = 0.001) and previous transplants (HR,
2.80; 95% CI, 1.39-5.64; P = 0.004) emerged as independent predictive factors for
PTDM.Meta-analysis of present and 5 previous studies showed higher risk of PTDM
in carriers of rs7903146 TT genotype (odds ratio, 1.95; 95% CI, 1.39-2.74; P <
0.0001) and absence of heterogeneity among studies (I = 0%).Inclusion of this
polymorphism in a predictive model appeared to improve its ability to stratify
patients according to the risk of PTDM. CONCLUSIONS: In renal transplant
patients, TCF7L2 rs7903146 is strongly and independently associated with PTDM and
might hold the potential to identify patients at risk for this complication.
PMID- 26555945
TI - Sirolimus Use in Liver Transplant Recipients With Hepatocellular Carcinoma: A
Randomized, Multicenter, Open-Label Phase 3 Trial.
AB - BACKGROUND: We investigated whether sirolimus-based immunosuppression improves
outcomes in liver transplantation (LTx) candidates with hepatocellular carcinoma
(HCC). METHODS: In a prospective-randomized open-label international trial, 525
LTx recipients with HCC initially receiving mammalian target of rapamycin
inhibitor-free immunosuppression were randomized 4 to 6 weeks after
transplantation into a group on mammalian target of rapamycin inhibitor-free
immunosuppression (group A: 264 patients) or a group incorporating sirolimus
(group B: 261). The primary endpoint was recurrence-free survival (RFS);
intention-to-treat (ITT) analysis was conducted after 8 years. Overall survival
(OS) was a secondary endpoint. RESULTS: Recurrence-free survival was 64.5% in
group A and 70.2% in group B at study end, this difference was not significant (P
= 0.28; hazard ratio [HR], 0.84; 95% confidence interval [95% CI], 0.62; 1.15).
In a planned analysis of RFS rates at yearly intervals, group B showed better
outcomes 3 years after transplantation (HR, 0.7; 95% CI, 0.48-1.00). Similarly,
OS (P = 0.21; HR, 0.81; 95% CI, 0.58-1.13) was not statistically better in group
B at study end, but yearly analyses showed improvement out to 5 years (HR, 0.7;
95% CI, 0.49-1.00). Interestingly, subgroup (Milan Criteria-based) analyses
revealed that low-risk, rather than high-risk, patients benefited most from
sirolimus; furthermore, younger recipients (age <=60) also benefited, as well
sirolimus monotherapy patients. Serious adverse event numbers were alike in
groups A (860) and B (874). CONCLUSIONS: Sirolimus in LTx recipients with HCC
does not improve long-term RFS beyond 5 years. However, a RFS and OS benefit is
evident in the first 3 to 5 years, especially in low-risk patients. This trial
provides the first high-level evidence base for selecting immunosuppression in
LTx recipients with HCC.
PMID- 26555948
TI - Coronary Calcium Score May Replace Cardiovascular Risk Factors as Primary Risk
Stratification Tool Before Kidney Transplantation.
AB - BACKGROUND: Cardiac evaluation before kidney transplantation is recommended, but
no unequivocal screening strategy has yet been identified. We investigated if
coronary artery calcium score (CACS) can replace cardiovascular risk factor
assessment in selection of kidney transplantation candidates for cardiac
evaluation and the choice of noninvasive modality for diagnosing obstructive
coronary artery disease (CAD). METHODS: We conducted a prospective study of 167
patients referred for pretransplantation cardiac evaluation. Patients underwent
risk factor assessment, CACS, coronary computed tomography angiography (CCTA),
single-photon emission computed tomography (SPECT), and invasive coronary
angiography. In total, 138 patients completed all diagnostic tests. RESULTS: In
patients with CAD (22%), the number of risk factors and CACS score were higher
than that in patients without CAD. The accuracy evaluated by the receiver
operating characteristic curve was higher for CACS than for risk factors, 0.85
versus 0.71 (P = 0.01). Adding CACS to the risk factor increased correct
categorical net reclassification (0.58, P < 0.0001). Combining risk factors (>=3)
with SPECT to identify patients with obstructive CAD resulted in less sensitivity
(47% vs 80%) and higher specificity (94% vs 74%), compared with CCTA. In patients
with low CACS (<400), SPECT had a lower sensitivity than CCTA (60% versus 80%)
but the same specificity (80%). In patients with high CACS (>=400), SPECT had
lower sensitivity than CCTA (50% vs 100%) and higher specificity (88% vs 8%).
CONCLUSIONS: In kidney transplantation candidates, CACS outperformed risk factor
assessment for predicting obstructive CAD and is a better tool for selecting
patients and guiding the choice of noninvasive diagnostic modality in CAD.
PMID- 26555949
TI - Calcineurin Inhibitors Downregulate HNF-1beta and May Affect the Outcome of HNF1B
Patients After Renal Transplantation.
AB - BACKGROUND: Patients with HNF1B mutations develop progressive chronic renal
failure, diabetes mellitus (40-50%), and liver tests abnormalities (40-70%). In
HNF1B patients who reach end-stage renal disease, single kidney transplantation
(SKT) or combined kidney-pancreas transplantation can be considered. METHODS: A
retrospective multicenter study including 18 HNF1B patients receiving SKT or
kidney-pancreas transplantation, and in vitro experiments including the
characterization of the HNF1B expression after calcineurin inhibitor (CNI)
exposure. RESULTS: After SKT, 50% of the HNF1B patients develop early
posttransplantation diabetes mellitus, whereas 40% experience new-onset or severe
worsening of preexisting abnormalities of liver tests, including severe
cholestasis. In liver biopsies, disorders of the cholangiocytes primary cilium
and various degrees of bile duct paucity and dysplasia were identified. In vitro
studies combining CNI exposure and siRNA-mediated inhibition of NFATc revealed
that calcineurin inhibition decreases HNF1B expression in epithelial cells but
independent of NFATc. CONCLUSIONS: Because HNF1B-related disease is a
heterozygous condition, CNIs used to prevent rejection may induce reduced
expression of the nonmutated allele of HNF1B leading to a superimposed defect of
HNF-1beta transcriptional activity. Taking into account the specific risk of
posttransplantation diabetes mellitus and liver disorders in HNF1B patients,
these findings advocate for in-depth characterization of pathways that regulate
HNF1B and plead for considering individually tailored graft management that may
include a CNI-free immunosuppressive regimen. Interventional studies will have to
confirm this individualized approach.
PMID- 26555950
TI - Vascular effects of flavonoids.
AB - Flavonoids are natural plant-derived polyphenolic compounds with various
biological properties particularly in the cardiovascular system, including
antiatherogenic, antioxidant, vasodilation, antihypertensive, and antiplatelet
activities. These biological properties have been evaluated in several
experimental and clinical studies. In addition, extensive reviews have discussed
the antiatherogenic effect of these polyphenols. However, limited studies have
investigated the potential therapeutic vascular effects of these compounds. This
review brings together some recent studies, to establish the different signaling
pathways involved in the molecular mechanisms that underlie the vasodilation
induced by flavonoids.
PMID- 26555951
TI - Assessment of family functioning: evaluation of the General Functioning Scale in
a Swedish Bariatric Sample.
AB - BACKGROUND: The General Functioning Scale (GFS) was developed to assess self
perceived overall family functioning. The scale has satisfactory psychometric
properties, is internationally recognised and has been used in different
contexts. However, no validated Swedish version is available. Healthy family
functioning can support patients and help them adhere to treatment regimens.
Moreover, it maintains the physical and emotional health and that of the family
as a unit. Yet, there is limited information regarding family functioning
postgastric bypass surgery. Thus, it is important to use validated instruments to
understand family functioning in bariatric contexts. AIM: To evaluate aspects of
reliability and validity in GFS in a Swedish bariatric sample, focusing on factor
structure. METHOD: The Swedish version of the GFS (S-GFS) was administered on two
occasions to 163 participants who had undergone gastric bypass surgery 6-8 weeks
prior to testing. Internal consistency, temporal stability and construct validity
were assessed. RESULTS: Data were positively skewed. The S-GFS showed good
internal consistency (ordinal alpha = 0.92) with a sufficient overall mean
interitem correlation (0.500) and adequate temporal stability (intraclass
correlation coefficient = 0.833). After modifying response alternatives,
confirmatory factor analysis indicated acceptable fit for a one-factor model.
CONCLUSION: The scale is a promising tool for assessing family functioning in
bariatric settings. The S-GFS showed satisfactory reliability - consistent with
prior research - and acceptable validity in the study sample. This study
contributes to the limited research on the scale's validity. However, the S-GFS
needs to be evaluated in different cultural and clinical contexts, focusing on
various aspects of validity and responsiveness (sensitivity to detect significant
change over time) in different samples.
PMID- 26555952
TI - Chromophobe Renal Cell Carcinoma is the Most Common Nonclear Renal Cell Carcinoma
in Young Women: Results from the SEER Database.
AB - PURPOSE: The renal cell cancer incidence is relatively low in younger patients,
encompassing 3% to 7% of all renal cell cancers. While young patients may have
renal tumors due to hereditary syndromes, in some of them sporadic renal cancers
develop without any family history or known genetic mutations. Our recent
observations from clinical practice have led us to hypothesize that there is a
difference in histological distribution in younger patients compared to the older
cohort. MATERIALS AND METHODS: We queried the SEER (Surveillance, Epidemiology
and End Results) 18-registry database for all patients 20 years old or older who
were surgically treated for renal cell carcinoma between 2001 and 2008. Patients
with unknown race, grade, stage or histology and those with multiple tumors were
excluded from study. Four cohorts were created by dividing patients by gender,
including 1,202 females and 1,715 males younger than 40 years old, and 18,353
females and 30,891 males 40 years old or older. Chi-square analysis was used to
compare histological distributions between the cohorts. RESULTS: While clear cell
carcinoma was still the most common renal cell cancer subtype across all genders
and ages, chromophobe renal cell cancer was the most predominant type of nonclear
renal cell cancer histology in young females, representing 62.3% of all nonclear
cell renal cell cancers (p <0.0001). In all other groups papillary renal cell
cancer remained the most common type of nonclear renal cell cancer. CONCLUSIONS:
It is possible that hormonal factors or specific pathway dysregulations
predispose chromophobe renal cell cancer to develop in younger women. We hope
that this work provides some new observations that could lead to further studies
of gender and histology specific renal tumorigenesis.
PMID- 26555953
TI - A Prospective Randomized Controlled Trial of the Efficacy of External Physical
Vibration Lithecbole after Extracorporeal Shock Wave Lithotripsy for a Lower Pole
Renal Stone Less Than 2 cm.
AB - PURPOSE: We evaluate the efficacy and safety of external physical vibration
lithecbole in improving the clearance rates of lower pole renal stones after
shock wave lithotripsy. MATERIALS AND METHODS: A total of 71 patients with lower
pole renal stones (6 to 20 mm) were prospectively randomized into 2 groups. In
the treatment group 34 patients were treated with external physical vibration
lithecbole after shock wave lithotripsy. In the control group 37 patients
underwent shock wave lithotripsy only. External physical vibration lithecbole was
performed without anesthesia by the same team using the Friend-I External
Physical Vibration Lithecbole (Fu Jian Da Medical Instrument Co., Ltd, Zhengzhou,
China). The stone-free rate, stone expulsion rate, stone expulsion time and
incidence of complications were monitored. RESULTS: External physical vibration
lithecbole was successful in assisting the discharge of stone fragments. The
stone-free status was 76.5% in the treatment group and 48.6% in the control group
(p=0.008). Stone expulsion rates at day 1, week 1 and week 3 were 76.5% (26),
94.1% (32) and 94.1% (32) in the treatment group vs 43.2% (16), 73.0% (27) and
89.2% (33) in the control group, respectively. Mean stone fragment expulsion time
was 11.2 minutes in the treatment group and 9.17 hours in the control group
(p=0.016). There was no significant difference in complications between the 2
groups (p >0.05). CONCLUSIONS: External physical vibration lithecbole was
efficacious in assisting the discharge of lower pole renal stone fragments and
can be used as an adjunctive method of minimally invasive stone treatment.
However, additional investigations are needed to confirm the efficacy.
PMID- 26555954
TI - Salvage Percutaneous Nephrolithotomy: Analysis of Outcomes following Initial
Treatment Failure.
AB - PURPOSE: Percutaneous nephrolithotomy has high potential for morbidity or
failure. There are limited data regarding risk factors for failure and to our
knowledge no published reports of surgical outcomes in patients with prior failed
attempts at percutaneous stone removal. MATERIALS AND METHODS: We identified
patients referred to 3 medical centers after prior failed attempts at
percutaneous nephrolithotomy. A retrospective chart review was performed to
analyze reasons for initial failure and outcomes of salvage percutaneous
nephrolithotomy. Outcomes were compared to those in a prospectively maintained
database of more than 1,200 patients treated with a primary procedure. RESULTS:
Salvage percutaneous nephrolithotomy was performed in 31 patients. Unsuitable
access to the stone was the reason for failure in 80% of cases. Other reasons
included infection, bleeding and inadequate instrument availability in 6.5% of
cases each. Compared to patients who underwent primary percutaneous
nephrolithotomy those treated with salvage were more likely to have staghorn
calculi (61.3% vs 31.4%, p <0.01) and a larger maximum stone diameter (3.7 vs 2.5
cm, p <0.01), and require a secondary procedure (65.5% vs 42.1%, p <0.01). There
was no significant difference between the cohorts in the remaining demographics
or perioperative outcomes. All patients were deemed completely stone free except
one who elected observation for a 3 mm nonobstructing fragment. CONCLUSIONS:
Despite the more challenging nature and prior unsuccessful attempts at treatment,
the outcomes of salvage percutaneous nephrolithotomy were no different from those
of primary percutaneous nephrolithotomy when performed by experienced surgeons.
PMID- 26555955
TI - Predictive Factors of Chronic Kidney Disease in Patients with Vesicoureteral
Reflux Treated Surgically and Followed after Puberty.
AB - PURPOSE: We delineated clinical features and determined predictors of chronic
kidney disease during long-term postpubertal followup in patients with
vesicoureteral reflux treated surgically. MATERIALS AND METHODS: We analyzed the
data of 101 patients who were surgically treated for vesicoureteral reflux and
had gone through puberty. Patients underwent preoperative and postoperative
voiding cystourethrography to assess reflux status, and dimercaptosuccinic acid
scan to assess renal cortical defects. We compared several variables
preoperatively and postpubertally, including body mass index; blood urea
nitrogen, creatinine and uric acid levels; estimated glomerular filtration rate;
microalbuminuria; blood pressure; renal function and renal scarring. Kaplan-Meier
analysis was used to predict chronic kidney disease-free survival rates
throughout the followup periods. Cox regression model was adopted to identify
independent predictors of chronic kidney disease. We defined chronic kidney
disease as estimated glomerular filtration rate less than 60 ml/minute/1.73 m(2).
RESULTS: Median followup was 100.0 months (IQR 69.0 to 136.5). Median age was 16
years at last followup (IQR 14 to 18). A total of 11 patients (10.9%) were
diagnosed with de novo chronic kidney disease during postpubertal followup. It is
noteworthy that serum uric acid levels (HR 1.96) and presence of high grade
reflux (HR 7.40) were significant predictors of chronic kidney disease on
multivariate analysis. CONCLUSIONS: In children who were treated surgically for
vesicoureteral reflux preoperative uric acid levels and high grade reflux were
independent predictors of de novo chronic kidney disease during postpubertal
followup. Our results offer valuable information for predicting long-term renal
outcomes in patients with vesicoureteral reflux treated surgically.
PMID- 26555956
TI - Root Causes and Modifiability of 30-Day Hospital Readmissions after Radical
Cystectomy for Bladder Cancer.
AB - PURPOSE: Radical cystectomy is associated with high complication and
rehospitalization rates. An understanding of the root causes of hospital
readmissions and the modifiability of factors contributing to readmissions may
decrease the morbidity associated with radical cystectomy. We characterize the
indications for rehospitalization following radical cystectomy, and determine
whether these indications represent immutable patient disease and procedure
factors or whether they are modifiable. MATERIALS AND METHODS: From MarketScan(r)
databases we identified patients younger than 65 years with a diagnosis of
bladder cancer who underwent radical cystectomy between 2008 and 2011 and were
readmitted to the hospital within 30 days of radical cystectomy. All associated
ICD-9 codes in the index admission, subsequent outpatient claims and readmission
claims were independently reviewed by 3 surgeons to determine a root cause of
rehospitalization. Causes were broadly categorized as medical, surgical or
infectious, and reviewers determined whether the readmission was modifiable.
Multivariate logistical regression models were used to identify factors
associated with rehospitalization. RESULTS: A total of 1,163 patients were
included in the study and 242 (21%) were readmitted to the hospital within 30
days. Of these readmissions 26% were considered modifiable (kappa=0.71). Of the
nonmodifiable readmissions an infectious cause accounted for 52% and a medical
cause accounted for 48%, whereas of the modifiable readmissions 62% were due to
surgical causes, 30% to medical and 8% to infectious causes. On multivariate
analysis only discharge to a skilled nursing facility was associated with
modifiable (OR 6.12, 95% CI 2.32-16.14) or nonmodifiable (OR 3.27, 95% CI 1.63
6.53) hospital readmissions. CONCLUSIONS: The majority of rehospitalizations
after radical cystectomy are attributable its inherent morbidity. However,
optimization of aspects of peri-cystectomy care could minimize the morbidity of
radical cystectomy.
PMID- 26555957
TI - Frameworks for Disaster Research and Evaluation.
PMID- 26555958
TI - Basal Lamina Mimetic Nanofibrous Peptide Networks for Skeletal Myogenesis.
AB - Extracellular matrix (ECM) is crucial for the coordination and regulation of cell
adhesion, recruitment, differentiation and death. Therefore, equilibrium between
cell-cell and cell-matrix interactions and matrix-associated signals are
important for the normal functioning of cells, as well as for regeneration. In
this work, we describe importance of adhesive signals for myoblast cells' growth
and differentiation by generating a novel ECM mimetic peptide nanofiber scaffold
system. We show that not only structure but also composition of bioactive signals
are important for cell adhesion, growth and differentiation by mimicking the
compositional and structural properties of native skeletal muscle basal lamina.
We conjugated laminin-derived integrin binding peptide sequence, "IKVAV", and
fibronectin-derived well known adhesive sequence, "RGD", into peptide
nanostructures to provide adhesive and myogenic cues on a nanofibrous morphology.
The myogenic and adhesive signals exhibited a synergistic effect on model
myoblasts, C2C12 cells. Our results showed that self-assembled peptide nanofibers
presenting laminin derived epitopes support adhesion, growth and proliferation of
the cells and significantly promote the expression of skeletal muscle-specific
marker genes. The functional peptide nanofibers used in this study present a
biocompatible and biodegradable microenvironment, which is capable of supporting
the growth and differentiation of C2C12 myoblasts into myotubes.
PMID- 26555959
TI - Interfacial adsorption and surfactant release characteristics of magnetically
functionalized halloysite nanotubes for responsive emulsions.
AB - Magnetically responsive oil-in-water emulsions are effectively stabilized by a
halloysite nanotube supported superparamagnetic iron oxide nanoparticle system.
The attachment of the magnetically functionalized halloysite nanotubes at the oil
water interface imparts magnetic responsiveness to the emulsion and provides a
steric barrier to droplet coalescence leading to emulsions that are stabilized
for extended periods. Interfacial structure characterization by cryogenic
scanning electron microscopy reveals that the nanotubes attach at the oil-water
interface in a side on-orientation. The tubular structure of the nanotubes is
exploited for the encapsulation and release of surfactant species that are
typical of oil spill dispersants such as dioctyl sulfosuccinate sodium salt and
polyoxyethylene (20) sorbitan monooleate. The magnetically responsive halloysite
nanotubes anchor to the oil-water interface stabilizing the interface and
releasing the surfactants resulting in reduction in the oil-water interfacial
tension. The synergistic adsorption of the nanotubes and the released surfactants
at the oil-water interface results in oil emulsification into very small droplets
(less than 20MUm). The synergy of the unique nanotubular morphology and
interfacial activity of halloysite with the magnetic properties of iron oxide
nanoparticles has potential applications in oil spill dispersion, magnetic
mobilization and detection using magnetic fields.
PMID- 26555960
TI - Barrierless growth of precursor-free, ultrafast laser-fragmented noble metal
nanoparticles by colloidal atom clusters - A kinetic in situ study.
AB - Unintended post-synthesis growth of noble metal colloids caused by excess amounts
of reactants or highly reactive atom clusters represents a fundamental problem in
colloidal chemistry, affecting product stability or purity. Hence, quantified
kinetics could allow defining nanoparticle size determination in dependence of
the time. Here, we investigate in situ the growth kinetics of ps pulsed laser
fragmented platinum nanoparticles in presence of naked atom clusters in water
without any influence of reducing agents or surfactants. The nanoparticle growth
is investigated for platinum covering a time scale of minutes to 50days after
nanoparticle generation, it is also supplemented by results obtained from gold
and palladium. Since a minimum atom cluster concentration is exceeded, a
significant growth is determined by time resolved UV/Vis spectroscopy, analytical
disc centrifugation, zeta potential measurement and transmission electron
microscopy. We suggest a decrease of atom cluster concentration over time, since
nanoparticles grow at the expense of atom clusters. The growth mechanism during
early phase (<1day) of laser-synthesized colloid is kinetically modeled by rapid
barrierless coalescence. The prolonged slow nanoparticle growth is kinetically
modeled by a combination of coalescence and Lifshitz-Slyozov-Wagner kinetic for
Ostwald ripening, validated experimentally by the temperature dependence of Pt
nanoparticle size and growth quenching by Iodide anions.
PMID- 26555961
TI - Influence of Ag-Au microstructure on the photoelectrocatalytic performance of
TiO2 nanotube array photocatalysts.
AB - In this work, vertically-aligned TiO2 nanotube arrays (TiO2 NTs) were grown on Ti
substrates via a facile electrochemical anodization method followed by
calcinations. Then, Ag-Au alloy nanoparticles and Ag@Au core-shell nanoparticles
were deposited on the obtained TiO2 NTs via UV reduction and displacement
reaction, respectively. X-ray diffraction, scanning electron microscopy and
transmission electron microscopy indicated that Ag-Au alloy nanoparticles and
Ag@Au core-shell nanoparticles grew uniformly on the walls of TiO2 NTs.
Investigation results from removal of methyl orange (MO) and Cr(IV) ions
indicated that the as-prepared bimetal plasmonic photocatalysts exhibited
excellent photoelectrocatalytic (PEC) activities. The influences of Ag-Au alloy
and core-shell microstructures on PEC properties of TiO2 NTs were investigated
and the TiO2 NTs/Ag@Au photocatalyst showed more outstanding PEC removal
efficiency than that of TiO2 NTs/Ag-Au due to the regular core-shell
microstructure and low recombination of photogenerated electrons and holes.
PMID- 26555962
TI - High Incidence of Mammalian Orthoreovirus Identified by Environmental
Surveillance in Taiwan.
AB - Wild poliovirus (WPV) persists in diverse locales worldwide, spreading outward
from endemic areas. In response to the international threat of WPV transmission
and changes in the national vaccination policy, we established an environmental
surveillance system to monitor the circulation of wild and vaccine-related
poliovirus in Taiwan. From July 2012 to December 2013, we collected sewage
specimens every month from 10 sewage treatment plants located throughout Taiwan.
The specimens were concentrated by the two-phase separation method and then
inoculated into L20B, RD, and A549 cells for virus isolation. Viral isolates were
identified and serotyped by immunofluorescence assay or molecular analysis. A
total of 300 sewage samples were collected, and the results showed 163 samples
(54.3%) were positive for virus, and 268 isolates were identified. Among these,
75 samples (25%) were positive for enterovirus (EV), but no poliovirus was found.
In addition, 92 isolates were identified as enteroviruses and the most common
serotypes were coxsackievirus B4, coxsackievirus B3, and coxsackievirus B2.
Interestingly, 102 (34%) and 82 (27.3%) specimens were positive for mammalian
orthoreovirus (MRV) and adenovirus, respectively. This study confirmed that
sewage surveillance can be a useful additional modality for monitoring the
possible presence of wild-type or vaccine-derived poliovirus in wastewater, and
can indicate the current types of viruses circulating in the population.
Furthermore, since MRV was found in children with acute necrotizing
encephalopathy and meningitis, the high incidence of MRV detected by
environmental surveillance warrants further investigation.
PMID- 26555964
TI - Pentoxifylline Loaded Floating Microballoons: Design, Development and
Characterization.
AB - The floating microballoons have been utilized to obtain prolonged and uniform
release in the stomach. The objective of the present study involves design,
development, and characterization of pentoxifylline loaded floating microballoons
to prolong their gastric residence time. Pentoxifylline (trisubstituted xanthine
derivative) loaded microballoons were prepared by the solvent evaporation
technique using different concentrations of polymers like HPMC K4M and ethyl
cellulose (EC) in ethyl alcohol and dichloromethane organic solvent system.
Microballoons were characterized for their particle size, surface morphology,
production yield, loading efficiency, buoyancy percentage, and in vitro drug
release studies. From the characterization it was observed that increases in
amount of polymers (HPMC K4M and EC) led to increased particle size, loading
efficiency, and buoyancy percentage, and retarded drug release. The particle
size, particle yield, loading efficiency, buoyancy percentage and in vitro drug
release for optimized formulation (F3) were found to be 104.0 +/- 2.87 um, 80.89
+/- 2.24%, 77.85 +/- 0.61%, 77.52 +/- 2.04%, and 82.21 +/- 1.29%, respectively.
The data was fitted to different kinetic models to illustrate its anomalous (non
Fickian) diffusion. The in vitro result showed that formulations comprised of
varying concentrations of ethyl cellulose in higher proportion exhibited much
retarded drug release as compared to formulations comprised of higher proportion
of varying concentrations of HPMC K4M.
PMID- 26555963
TI - Microencapsulation for the Therapeutic Delivery of Drugs, Live Mammalian and
Bacterial Cells, and Other Biopharmaceutics: Current Status and Future
Directions.
AB - Microencapsulation is a technology that has shown significant promise in
biotherapeutics, and other applications. It has been proven useful in the
immobilization of drugs, live mammalian and bacterial cells and other cells, and
other biopharmaceutics molecules, as it can provide material structuration,
protection of the enclosed product, and controlled release of the encapsulated
contents, all of which can ensure efficient and safe therapeutic effects. This
paper is a comprehensive review of microencapsulation and its latest developments
in the field. It provides a comprehensive overview of the technology and primary
goals of microencapsulation and discusses various processes and techniques
involved in microencapsulation including physical, chemical, physicochemical, and
other methods involved. It also summarizes the state-of-the-art successes of
microencapsulation, specifically with regard to the encapsulation of
microorganisms, mammalian cells, drugs, and other biopharmaceutics in various
diseases. The limitations and future directions of microencapsulation
technologies are also discussed.
PMID- 26555965
TI - Preparation and Comparative Bioavailability Studies of Indomethacin-Loaded Cetyl
Alcohol Microspheres.
AB - The purpose of the present study was to compare the in vitro release and to find
out whether the bioavailability of a 75 mg indomethacin capsule (Microcid SR) was
equivalent to optimized formulation (indomethacin-loaded cetyl alcohol
microspheres). Indomethacin-loaded cetyl alcohol microspheres were prepared by
meltable emulsified cooling-induced technique. Surface morphology of microspheres
has been evaluated using scanning electron microscopy. A single dose, randomized,
complete cross over study of IM microspheres was carried out on 10 healthy male
and female Albino sheep's under fasting conditions. The plasma was separated and
the concentrations of the drug were determined by HPLC-UV method. Plasma
indomethacin concentrations and other pharmacokinetic parameters obtained were
statistically analyzed. The SEM images revealed the spherical shape of fat
microspheres, and more than 98.0% of the isolated microspheres were in the size
range 12-32 MUm. DSC, FTIR spectroscopy and stability studies indicated that the
drug after encapsulation with fat microspheres was stable and compatible. Both
formulations were found to be bioequivalent as evidenced by in vivo studies.
Based on this study, it can be concluded that cetyl alcohol microspheres and
Microcid SR capsule are bioequivalent in terms of the rate and extent of
absorption.
PMID- 26555966
TI - Transdermal Delivery of Small Interfering RNA with Elastic Cationic Liposomes in
Mice.
AB - We developed elastic cationic liposomal vectors for transdermal siRNA delivery.
These liposomes were prepared with 1,2-dioleoyl-3-trimethylammonium-propane
(DOTAP) as a cationic lipid and sodium cholate (NaChol) or Tween 80 as an edge
activator. When NaChol or Tween 80 was included at 5, 10, and 15% (w/w) into
DOTAP liposomal formulations (C5-, C10-, and C15-liposomes and T5-, T10-, and T15
liposomes), C15- and T10-liposomes showed 2.4- and 2.7-fold-higher elasticities
than DOTAP liposome, respectively. Although the sizes of all elastic liposomes
prepared in this study were about 80-90 nm, the sizes of C5-, C10- and C15
liposome/siRNA complexes (lipoplexes) were about 1,700-1,800 nm, and those of T5
, T10-, and T15-lipoplexes were about 550-780 nm. Their elastic lipoplexes showed
strong gene suppression by siRNA without cytotoxicity when transfected into human
cervical carcinoma SiHa cells. Following skin application of the fluorescence
labeled lipoplexes in mice, among the elastic lipoplexes, C15- and T5-lipoplexes
showed effective penetration of siRNA into skin, compared with DOTAP lipoplex and
free siRNA solution. These data suggest that elastic cationic liposomes
containing an appropriate amount of NaChol or Tween 80 as an edge activator could
deliver siRNA transdermally.
PMID- 26555967
TI - Plantago ovata F. Mucilage-Alginate Mucoadhesive Beads for Controlled Release of
Glibenclamide: Development, Optimization, and In Vitro-In Vivo Evaluation.
AB - The current study deals with the development and optimization of ispaghula
(Plantago ovata F.) husk mucilage- (IHM-) alginate mucoadhesive beads containing
glibenclamide by ionotropic gelation technique. The effects of sodium alginate
(SA) to IHM and cross-linker (CaCl2) concentration on the drug encapsulation
efficiency (DEE, %), as well as cumulative drug release after 10 hours (R10 h,
%), were optimized using 3(2) factorial design based on response surface
methodology. The observed responses were coincided well with the predicted values
by the experimental design. The optimized mucoadhesive beads exhibited 94.43 +/-
4.80% w/w of DEE and good mucoadhesivity with the biological membrane in wash-off
test and sustained drug release profile over 10 hours. The beads were also
characterized by SEM and FTIR analyses. The in vitro drug release from these
beads was followed by controlled release (zero-order) pattern with super case-II
transport mechanism. The optimized glibenclamide-loaded IHM-alginate mucoadhesive
beads showed significant antidiabetic effect in alloxan-induced diabetic rats
over prolonged period after oral administration.
PMID- 26555968
TI - Artemether-Soluplus Hot-Melt Extrudate Solid Dispersion Systems for Solubility
and Dissolution Rate Enhancement with Amorphous State Characteristics.
AB - This work studied artemether (ARTM) solid dispersion (SD) formulation using
mixture of polymer excipient Soluplus, PEG 400, Lutrol F127, and Lutrol F68 melts
at temperatures lower than the melting point of ARTM using a laboratory-size,
single-screw rotating batch extruder. The effects of three surfactants PEG 400,
Lutrol F127, and Lutrol F68 and parameters like mixing temperature, screw
rotating speed, and residence time were systematically studied. SEM, XRD, and FT
IR were employed to investigate the evolution of ARTM's dissolution into the
molten excipient. Differential scanning calorimetry (DSC) was used to
quantitatively study the melting enthalpy evolution of the drug. The results
showed that the dissolution rate increased with increasing the ratio of polymer
and surfactant to that of drug. It was concluded that the dissolution of the drug
in the polymer melt is a convective diffusion process and that laminar
distributive mixing can significantly enhance the dissolution rate. The aqueous
solubility and dissolution rate of prepared solid dispersion were significantly
enhanced. In vitro antimalarial studies revealed marked improvement in IC50
values. Thus hot-melt extrusion (HME) is a promising technology for improving
solubility and dissolution profile of ARTM.
PMID- 26555969
TI - Cellular Redox Status Regulates Emodin-Induced Radiosensitization of
Nasopharyngeal Carcinoma Cells In Vitro and In Vivo.
AB - Here, we report that regulation of cellular redox status is required for
radiosensitization of nasopharyngeal carcinoma (NPC) cells by emodin. We
evaluated emodin's radiosensitivity-enhancing ability by using NPC cells in vitro
and xenografts in vivo. A clonogenic assay was performed to evaluate NPC cell
survival and to determine dose modification factors. Flow cytometry, western blot
analysis, and in vivo radiation-induced tumor regrowth delay assays were
performed to characterize emodin's effects. Exposure of CNE-1 NPC cells to emodin
enhanced their radiosensitivity. HIF-1alpha expression significantly increased
under hypoxic conditions but did not change after treatment with emodin alone.
Emodin downregulated mRNA and protein expression of HIF-1alpha. Cells exposed to
radiation and emodin underwent significant cell cycle arrest at the G2/M phase.
The percentage of apoptotic cells and reactive oxygen species (ROS) levels were
significantly higher in the group exposed to emodin and radiation hypoxic group
than in the other groups. Compared to the CNE-1 xenografts exposed to radiation
alone, CNE-1 xenografts exposed to radiation with emodin showed significantly
enhanced radiation effects. Our data suggest that emodin effectively enhanced the
radiosensitivity of CNE-1 cells in vitro and in vivo. The mechanism appears to
involve ROS generation and ROS-mediated inhibition of HIF-1alpha expression.
PMID- 26555970
TI - Preparation, Characterization and Evaluation of Quetiapine Fumarate Solid Lipid
Nanoparticles to Improve the Oral Bioavailability.
AB - Quetiapine fumarate is an antipsychotic drug with poor oral bioavailability (9%)
due to first-pass metabolism. Present work is an attempt to improve oral
bioavailability of quetiapine fumarate by incorporating in solid lipid
nanoparticles (SLN). Six quetiapine fumarate SLN formulations were developed
using three different lipids by hot homogenisation followed by ultrasonication.
The drug excipient compatibility was studied by differential scanning calorimetry
(DSC). Stable quetiapine fumarate SLNs having a mean particle size of 200-250 nm
with entrapment efficiency varying in between 80% and 92% were developed. The
physical stability of optimized formulation F3 was checked at room temperature
for 2 months. Comparative bioavailability studies were conducted in male Wistar
rats after oral administration of quetiapine fumarate suspension and SLN
formulation. The relative bioavailability of quetiapine fumarate from optimized
SLN preparation was increased by 3.71 times when compared with the reference
quetiapine fumarate suspension. The obtained results are indicative of SLNs as
potential lipid carriers for improving the bioavailability of quetiapine fumarate
by minimizing first-pass metabolism.
PMID- 26555971
TI - HPLC Quantification of Phenolic Acids from Vetiveria zizanioides (L.) Nash and
Its Antioxidant and Antimicrobial Activity.
AB - Extraction procedure was standardized and for the soluble, glycoside, and wall
bound fractions of phenolic acids from Vetiveria zizanioides. The water soluble
alkaline extract which represents the cell wall-bound fraction contained the
highest amount of phenolic acids (2.62 +/- 1.2 MUM/g fwt GA equivalents).
Increased phenolic content in the cell wall indicates more lignin deposition
which has an important role in plant defense and stress mitigation. Antioxidant
property expressed as percentage TEAC value obtained by ABTS assay was correlated
with the amount of phenolic acids and showed a Pearson's coefficient 0.988
(significant at 0.01 level). The compounds p-coumaric acid, p-dihydroxybenzoic
acid, and ferulic acid were detected in the acidic extracts by HPLC analysis. The
plant extracts exhibited considerable antimicrobial activity against tested
bacterial and fungal strains.
PMID- 26555972
TI - Dissolution Enhancement of Rosuvastatin Calcium by Liquisolid Compact Technique.
AB - In present investigation liquisolid compact technique is investigated as a tool
for enhanced dissolution of poorly water-soluble drug Rosuvastatin calcium (RVT).
The model drug RVT, a HMG-Co A reductase inhibitor was formulated in form of
directly compressed tablets and liquisolid compacts; and studied for in-vitro
release characteristics at different dissolution conditions. In this technique,
liquid medications of water insoluble drugs in non-volatile liquid vehicles can
be converted into acceptably flowing and compressible powders. Formulated systems
were assessed for precompression parameters like flow properties of liquisolid
system, Fourior transform infra red spectra (FTIR) analysis, X-ray powder
diffraction (XRPD), differential scanning calorimetry (DSC), and post compression
parameters like content uniformity, weight variation, hardness and friability,
disintegration test, wetting time, in vitro dissolution studies, effect of
dissolution volume on drug release rate, and estimation of fraction of
molecularly dispersed drug in liquid medication. As liquisolid compacts
demonstrated significantly higher drug release rates, we lead to conclusion that
it could be a promising strategy in improving the dissolution of poor water
soluble drugs and formulating immediate release solid dosage forms.
PMID- 26555973
TI - Self-Microemulsifying Drug Delivery System: Formulation and Study Intestinal
Permeability of Ibuprofen in Rats.
AB - The study was aimed at developing a self-microemulsifying drug delivery system
(SMEDDS) of Ibuprofen for investigating its intestinal transport behavior using
the single-pass intestinal perfusion (SPIP) method in rat. Methods. Ibuprofen
loaded SMEDDS (ISMEDDS) was developed and was characterized. The permeability
behavior of Ibuprofen over three different concentrations (20, 30, and 40 ug/mL)
was studied in each isolated region of rat intestine by SPIP method at a flow
rate of 0.2 mL/min. The human intestinal permeability was predicted using the
Lawrence compartment absorption and transit (CAT) model since effective
permeability coefficients (P eff) values for rat are highly correlated with those
of human, and comparative intestinal permeability of Ibuprofen was carried out
with plain drug suspension (PDS) and marketed formulation (MF). Results. The
developed ISMEDDS was stable, emulsified upon mild agitation with 44.4 nm +/-
2.13 and 98.86% +/- 1.21 as globule size and drug content, respectively. Higher P
eff in colon with no significant P eff difference in jejunum, duodenum, and ileum
was observed. The estimated human absorption of Ibuprofen for the SMEDDS was
higher than that for PDS and MF (P < 0.01). Conclusion. Developed ISMEDDS would
possibly be advantageous in terms of minimized side effect, increased
bioavailability, and hence the patient compliance.
PMID- 26555974
TI - Health Technology Assessment Fireside: Antibiotic Prophylaxis and Dental
Treatment in Canada.
AB - Objectives. This paper discusses the controversies surrounding the antibiotic
prophylaxis preceding dental interventions within the following research
question: how effective is dental antibiotic prophylaxis in preventing
comorbidity and complications in those at risk? Methods. A synthesis of the
available literature regarding antibiotic prophylaxis in dentistry was conducted
under the lenses of Kazanjian's framework for health technology assessment with a
focus on economic concerns, population impact, social context, population at
risk, and the effectiveness of the evidence to support its use. Results. The
papers reviewed show that we have been using antibiotic prophylaxis without a
clear and full understanding of its benefits. Although the first guideline for
antibiotic prophylaxis was introduced in 1990, it has been revised on several
occasions, from 1991 to 2011. Evidence-based clinical guidelines are yet to be
seen. Conclusions. Any perceived potential benefit from administering antibiotic
prophylaxis before dental procedures must be weighed against the known risks of
lethal toxicity, allergy, and development, selection, and transmission of
microbial resistance. The implications of guideline changes and lack of evidence
for the full use of antibiotic prophylaxis for the teaching of dentistry have to
be further discussed.
PMID- 26555975
TI - Some Pharmacodynamic Aspects of Cefepime.
AB - Some pharmacodynamic effects of cefepime, a new injectable semisynthetic
cephalosporin, were studied in laboratory animals and the following results were
obtained. Cefepime maximally stimulated isolated guinea pig's ileum, rat's colon
(80 MUg/mL bath), and rabbit's duodenum (400 MUg/mL bath). Contrarily, complete
relaxation of isolated rat's fundic strip was produced by 80 MUg/mL bath. Effects
of cefepime on isolated rat's uterine muscle were different according to stage of
sex cycle. Cefepime did not induce any effects on the resting tonus of isolated
guinea pig's tracheal chain and rabbit's aortic strip. Concentrations of 200 and
400 MUg/mL bath induced marked inhibition in the force of muscular twitches of
the isolated frog's gastrocnemius muscle which was less potent than that induced
by procaine hydrochloride 2%. Cefepime completely blocked the neuromuscular
transmission of frog's rectus abdominis muscle (40 MUg/mL bath) and rat's phrenic
nerve hemidiaphragm preparation (200 MUg/mL bath). This blockade was reversed by
acetylcholine and neostigmine. Cefepime produced dose-dependent negative
inotropic effect on isolated rabbit's heart and guinea pig's auricles. There were
no changes in blood pressure and rate of respiration in anaesthetized dog after
cefepime injection. These findings indicate that cefepime has a low potential to
produce adverse reactions at therapeutic doses.
PMID- 26555976
TI - Innovative Approach for Interstitial Cystitis: Vaginal Pessaries Loaded Diazepam
A Preliminary Study.
AB - Bladder pain is a characteristic disorder of interstitial cystitis. Diazepam is
well known for its antispasmodic activity in the treatment of muscular
hypertonus. The aim of this work was to develop and characterize vaginal
pessaries as an intravaginal delivery system of diazepam for the treatment of
interstitial cystitis. In particular, the performance of two types of
formulations, with and without beta-glucan, was compared. In particular, the
preparation of pessaries, according to the modified Pharmacopeia protocol, the
setup of the analytical method to determine diazepam, pH evaluation, dissolution
profile, and photostability assay were reported. Results showed that the modified
protocol permitted obtaining optimal vaginal pessaries, without air bubbles, with
good consistency and handling and with good pH profiles. In order to determine
the diazepam amount, calibration curves with good correlation coefficients were
obtained, by the spectrophotometric method, using placebo pessaries as matrix
with the addition of diazepam standard solution. This method was demonstrated
sensible and accurate to determine the amount of drug in batches. Dissolution
profiles showed a complete diazepam release just after 15 minutes, even if beta
glucan pessaries released drug more gradually. Finally, a possible drug
photodegradation after exacerbated UV-visible exposition was evaluated.
PMID- 26555977
TI - Optimization of Carboxymethyl-Xyloglucan-Based Tramadol Matrix Tablets Using
Simplex Centroid Mixture Design.
AB - The aim was to determine the release-modifying effect of carboxymethyl xyloglucan
for oral drug delivery. Sustained release matrix tablets of tramadol HCl were
prepared by wet granulation method using carboxymethyl xyloglucan as matrix
forming polymer. HPMC K100M was used in a small amount to control the burst
effect which is most commonly seen with natural hydrophilic polymers. A simplex
centroid design with three independent variables and two dependent variables was
employed to systematically optimize drug release profile. Carboxymethyl
xyloglucan (X 1), HPMC K100M (X 2), and dicalcium phosphate (X 3) were taken as
independent variables. The dependent variables selected were percent of drug
release at 2nd hour (Y 1) and at 8th hour (Y 2). Response surface plots were
developed, and optimum formulations were selected on the basis of desirability.
The formulated tablets showed anomalous release mechanism and followed matrix
drug release kinetics, resulting in regulated and complete release from the
tablets within 8 to 10 hours. The polymer carboxymethyl xyloglucan and HPMC K100M
had significant effect on drug release from the tablet (P > 0.05). Polynomial
mathematical models, generated for various response variables using multiple
regression analysis, were found to be statistically significant (P > 0.05). The
statistical models developed for optimization were found to be valid.
PMID- 26555978
TI - Simultaneous Determination of Clidinium Bromide and Chlordiazepoxide in Combined
Dosage Forms by High-Performance Liquid Chromatography.
AB - A sensitive and precise RP-HPLC method has been developed for the simultaneous
estimation of clidinium bromide (CDB) and chlordiazepoxide (CDZ) in pure and
pharmaceutical formulations. The separation was achieved on a Nucleodur C8 (250 *
4.6 mm i.d., 5 MUm particle size) column at 25 degrees C. CH3CN-MeOH-NH4OAc 0.1M
(30 : 40 : 30, v/v/v) was used as the mobile phase at a flow rate of 1.0 mL min(
1) and detector wavelength at 218 nm. Almotriptan (ALT) was used as internal
standard. The validation of the proposed method was carried out for linearity,
accuracy, precision, LOD, LOQ, and robustness. The method showed good linearity
in the ranges of 2.5-300.0 and 3.0-500.0 MUg mL(-1) for CDB and CDZ,
respectively. The percentage recovery obtained for CDB and CDZ was 100.40-103.38
and 99.98-105.59%, respectively. LOD and LOQ were 0.088 and 0.294 MUg mL(-1) for
CDB and 0.121 and 0.403 MUg mL(-1) for CDZ, respectively. The proposed method was
successfully applied to the determination of CDB and CDZ in combined dosage forms
and the results tallied well with the label claim.
PMID- 26555979
TI - Preparation and Evaluation of Valsartan Liquid Filling Formulations for Soft
Gels.
AB - The present investigation includes the preparation of liquid filling formulations
for soft gels using an antihypertensive drug, valsartan (VAL), in order to
improve its dissolution properties and thereby its bioavailability. Formulations
were prepared using excipients like polyethylene glycol 400 (PEG 400), propylene
glycol (PG), polyvinylpyrrolidone (PVP K-30), antioxidants, ethanol, and purified
water. Prepared formulations were evaluated for appearance, pH, drug content
percentage, viscosity, stability, and in vitro dissolution studies. The
compatibility between the drug and excipients in formulations was confirmed by
FTIR spectra. The drug contents were in the range of 99.62-99.63 and the
viscosity was in the range of 60.9-591.7 cps with all the formulations developed.
Formulations containing 10 mg PVP K 30 gave better dissolution properties when
compared to formulations without PVP K 30, and a complete drug dissolution was
observed within 10 min and followed the first-order release kinetics. Stability
studies were conducted for selected formulations (F4-F9) for a period of 6 months
at room temperature (~30 degrees C/65% RH). From the studies, it can be concluded
that VAL liquid filling formulations for soft gels were successfully prepared
with in vitro dissolution properties superior when compared to VAL itself.
PMID- 26555980
TI - Quantification of Lumefantrine in Human Plasma Using LC-MS/MS and Its Application
to a Bioequivalence Study.
AB - An analytical method based on protein precipitation has been developed and
validated for analysis of lumefantrine in human plasma. Artesunate was used as an
internal standard for lumefantrine. Inertsil ODS column provided chromatographic
separation of analytes followed by detection with mass spectrometry. The method
involves simple isocratic chromatographic condition and mass spectrometric
detection in the positive ionization mode using an API-3000 system. The total run
time was 2.5 minutes. The proposed method has been validated with linear range of
200-20000 ng/mL for lumefantrine. The intrarun and interrun precision values are
within 6.66% and 5.56%, respectively, for lumefantrine at the lower limit of
quantification level. The overall recovery for lumefantrine and artesunate was
93.16% and 91.05%, respectively. This validated method was used successfully for
analysis of plasma samples from a bioequivalence study.
PMID- 26555981
TI - Determination of Zalcitabine in Medicaments by Differential Pulse Voltammetry.
AB - The zalcitabine (ddC) has been extensively used in the treatment of HIV patients
due to its antiretroviral activity. The quality control of this active principle
in medications is of outstanding importance to public health. The principal
objective of the current study was the development of an alternative analytical
methodology for the zalcitabine determination using a voltammetric process. The
zalcitabine gives a reduction peak (at -1.22 V versus Ag/AgCl) at the hanging
mercury drop electrode (HMDE). The differential pulse voltammetric response is
evaluated with respect to the scan rate (20 mV/s), pulse amplitude (50 mV),
support electrolyte (Clark-Lubs buffer), pH (2.0), and other variables. The
response is linear over the 10.0 to 28.0 mg/L (47 to 133 MUM) concentration
range, and the detection limit is 2.08 mg/L. The validation of this method was
realized using a governmental Brazilian document (Inmetro, 2007) and the results
are reported for medication drugs.
PMID- 26555982
TI - Optimization of Metronidazole Emulgel.
AB - The purpose of the present study was to develop and optimize the emulgel system
for MTZ (Metronidazole), a poorly water soluble drug. The pseudoternary phase
diagrams were developed for various microemulsion formulations composed of Capmul
908 P, Acconon MC8-2, and propylene glycol. The emulgel was optimized using a
three-factor, two-level factorial design, the independent variables selected were
Capmul 908 P, and surfactant mixture (Acconon MC8-2 and gelling agent), and the
dependent variables (responses) were a cumulative amount of drug permeated across
the dialysis membrane in 24 h (Y 1) and spreadability (Y 2). Mathematical
equations and response surface plots were used to relate the dependent and
independent variables. The regression equations were generated for responses Y 1
and Y 2. The statistical validity of the polynomials was established, and
optimized formulation factors were selected. Validation of the optimization study
with 3 confirmatory runs indicated a high degree of prognostic ability of
response surface methodology. Emulgel system of MTZ was developed and optimized
using 2(3) factorial design and could provide an effective treatment against
topical infections.
PMID- 26555983
TI - Antibacterial Derivatives of Ciprofloxacin to Inhibit Growth of Necrotizing
Fasciitis Associated Penicillin Resistant Escherichia coli.
AB - Escherichia coli (E. coli) is associated with necrotizing fasciitis (type I) and
can induce enough damage to tissue causing hypoxia. Three ester derivatives of
the broad-spectrum antibiotic ciprofloxacin were placed into bacteria culture
simultaneously with the parent ciprofloxacin (drug 1) to ascertain the level of
antibacterial activity. The n-propyl (drug 2), n-pentyl (drug 3), and n-octyl
(drug 4) esters of ciprofloxacin were synthesized under mixed phase conditions
and by microwave excitation. The formation of ester derivatives of ciprofloxacin
modified important molecular properties such as Log P and polar surface area
which improves tissue penetration, yet preserved strong antibacterial activity.
The Log P values for drugs 1, 2, 3, and 4 became -0.701, 0.437, 1.50, and 3.02,
respectively. The polar surface areas for drugs 1, 2, 3, and 4 were determined to
be 74.6 Angstroms(2), 63.6 Angstroms(2), 63.6 Angstroms(2), and 63.6
Angstroms(2), respectively. These values of Log P and polar surface area improved
tissue penetration, as indicated by the determination of dermal permeability
coefficient (K p ) and subsequently into the superficial fascial layer. All drugs
induced greater than 60% bacterial cell death at concentrations less than 1.0
micrograms/milliliter. The ester derivatives of ciprofloxacin showed strong
antibacterial activity toward penicillin resistant E. coli.
PMID- 26555984
TI - Solubility Enhancement of Raloxifene Using Inclusion Complexes and Cogrinding
Method.
AB - The objective of the present work was to enhance the solubility and dissolution
of practically water-insoluble drug raloxifene HCl (RLX), for the same two
approaches that were used. In the first approach, drug was kneaded with
hydroxypropyl-beta-cyclodextrin (HPbetaCD), and in the second one drug was
cogrinded with modified guar gum (MGG). The drug-cyclodextrin complex and drug
MGG cogrind mixtures were characterized by differential scanning calorimetry, X
ray diffraction studies, scanning electron microscopy, and Fourier transform
infrared spectroscopy. The solubility and dissolution study reveals that
solubility and dissolution rate of RLX remarkably increased in both methods. It
was concluded that the prepared inclusion complex showed a remarkable increase in
solubility and dissolution of poorly water-soluble drug raloxifene. In the
cogrinding mixture, a natural modified gum is used as a surfactant and enhances
the solubility and dissolution of RLX without requiring addition of organic
solvent or high temperature for its preparation; thus, process is less cumbersome
and cost effective. But when both methods were compared; HPbetaCD complexation
method showed significant enhancement of drug solubility.
PMID- 26555985
TI - Design, Development, and Optimization of Sterculia Gum-Based Tablet Coated with
Chitosan/Eudragit RLPO Mixed Blend Polymers for Possible Colonic Drug Delivery.
AB - The purpose of this study is to explore the possible applicability of Sterculia
urens gum as a novel carrier for colonic delivery system of a sparingly soluble
drug, azathioprine. The study involves designing a microflora triggered colon
targeted drug delivery system (MCDDS) which consists of a central polysaccharide
core and is coated to different film thicknesses with blends of chitosan/Eudragit
RLPO, and is overcoated with Eudragit L00 to provide acid and intestinal
resistance. The microflora degradation property of gum was investigated in rat
caecal medium. Drug release study in simulated colonic fluid revealed that
swelling force of the gum could concurrently drive the drug out of the
polysaccharide core due to the rupture of the chitosan/Eudargit coating in
microflora-activated environment. Chitosan in the mixed film coat was found to be
degraded by enzymatic action of the microflora in the colon. Release kinetic data
revealed that the optimized MCDDS was fitted well into first-order model, and
apparent lag time was found to be 6 hours, followed by Higuchi release kinetics.
In vivo study in rabbits shows delayed T max, prolonged absorption time,
decreased C max, and absorption rate constant (Ka), indicating a reduced systemic
toxicity of the drug as compared to other dosage forms.
PMID- 26555986
TI - Quality Assessment of Serially Ultradiluted and Agitated Drug Digitalis purpurea
by Emission Spectroscopy and Clinical Analysis of Its Effect on the Heart Rate of
Indian Bufo melanostictus.
AB - The investigation of ultradiluted (homeopathic) drugs is extremely interesting
and challenging, and from that point of view this study shows novelty. A study of
in vivo changes in heart rate of the Indian Bufo melanostictus caused by
commercially available serially ultra-diluted and agitated extract of Digitalis
purpurea has been tried in order to understand their pharmacological role. RR
interval (of ECG) was compared after intraperitoneal administration of serially
diluted and agitated Digitalis purpurea extract, diluent rectified spirit, and
Digoxin in anesthetized animals. The study revealed statistically significant
changes in the heart rate after application of these drugs except in case of
Digoxin and the 200th serial dilution of Digitalis purpurea. The duration of RR
intervals after application of the drugs was corroborative of the effect of
Digoxin and Digitalis purpurea extract up to 30th dilution. Emission spectra were
obtained for the experimental ultra-diluted Digitalis purpurea extract and
Digoxin to identify and characterize them. The observed RR pattern and emission
spectra show an association. The quality assessment of the commercial ultra
diluted organic drugs obtained from natural products may be initiated by
monitoring in vivo studies on animal models.
PMID- 26555987
TI - Development of Orodispersible Tablets of Candesartan Cilexetil- beta
cyclodextrin Complex.
AB - The aim of this study was to investigate the use of inclusion complexation
technique employing beta-cyclodextrin in improving the dissolution profile of
candesartan cilexetil, a BCS class-II drug, and to formulate the inclusion
complex into orodispersible tablets. The inclusion complexes were formed by
physical mixing, kneading, coevaporation, and lyophilisation methods. Inclusion
complexes were characterized by FTIR, DSC, XRD, NMR, and mass spectral studies.
Inclusion complexes prepared using kneading, and lyophilisation techniques in the
molar ratio 1 : 5 with beta-cyclodextrin were used for formulating orodispersible
tablets by direct compression with different superdisintegrants like
croscarmellose sodium, crospovidone, sodium starch glycolate, and low substituted
hydroxypropyl cellulose in varying concentrations. The directly compressible
powder was evaluated for precompression parameters, and the prepared
orodispersible tablets were evaluated for postcompression parameters. Drug
excipient compatibility studies showed no interaction, and characterization
proved the formation of inclusion complex. In vitro disintegration time was found
to be within 3 minutes, and all the formulations showed complete drug release of
100% within 20 minutes. The optimized formulation was found to be stable after 6
months and showed no significant change in drug content. This work proved beta
cyclodextrins to be effective solubilizing agent in improving the solubility of
poorly water soluble drugs.
PMID- 26555988
TI - In Vitro and In Vivo Evaluation of Oxatomide beta -Cyclodextrin Inclusion
Complex.
AB - The objective of this study was to evaluate the influence of oxatomide beta
cyclodextrin inclusion complex on the physicochemical properties and
bioavailability of the drug. Oxatomide beta-cyclodextrin solid complex was
prepared with equimolar ratio of both oxatomide and beta-cyclodextrin in presence
or absence of water soluble polymers using different techniques. The coevaporated
complex prepared in presence of PVP-K15 showed a prompt drug release and
significantly increased % dissolution efficiency (P < 0.05) compared to the pure
oxatomide. Moreover, the results of bioavailability evaluation of this complex in
rabbits compared to commercial drug product indicated a 73.15% increase in the
oral bioavailability of oxatomide. In conclusion, inclusion complex of oxatomide
with beta-cyclodextrin prepared by coevaporation in presence of PVP-K15 not only
results in an enhancement of the oxatomide dissolution rate but also improves the
bioavailability of oxatomide.
PMID- 26555989
TI - Study on Mixed Solvency Concept in Formulation Development of Aqueous Injection
of Poorly Water Soluble Drug.
AB - In the present investigation, mixed-solvency approach has been applied for the
enhancement of aqueous solubility of a poorly water- soluble drug, zaltoprofen
(selected as a model drug), by making blends (keeping total concentrations 40%
w/v, constant) of selected water-soluble substances from among the hydrotropes
(urea, sodium benzoate, sodium citrate, nicotinamide); water-soluble solids (PEG
4000, PEG-6000); and co-solvents (propylene glycol, glycerine, PEG-200, PEG-400,
PEG-600). Aqueous solubility of drug in case of selected blends (12 blends)
ranged from 9.091 +/- 0.011 mg/ml-43.055 +/- 0.14 mg/ml (as compared to the
solubility in distilled water 0.072 +/- 0.012 mg/ml). The enhancement in the
solubility of drug in a mixed solvent containing 10% sodium citrate, 5% sodium
benzoate and 25 % S cosolvent (25% S cosolvent contains PEG200, PEG 400, PEG600,
Glycerine and Propylene glycol) was more than 600 fold. This proved a synergistic
enhancement in solubility of a poorly water-soluble drug due to mixed cosolvent
effect. Each solubilized product was characterized by ultraviolet and infrared
techniques. Various properties of solution such as pH, viscosity, specific
gravity and surface tension were studied. The developed formulation was studied
for physical and chemical stability. This mixed solvency shall prove definitely a
boon for pharmaceutical industries for the development of dosage form of poorly
water soluble drugs.
PMID- 26555990
TI - Ufasomes Mediated Cutaneous Delivery of Dexamethasone: Formulation and Evaluation
of Anti-Inflammatory Activity by Carrageenin-Induced Rat Paw Edema Model.
AB - The purpose of study is to formulate and evaluate ufasomal gel of dexamethasone.
Ufasomal suspension was made by sonication method using different concentrations
of Span 80, Span 20 and cholesterol along with 25 mg of drug. Ufasomal gel was
formulated by hydration method using carbopol 940. Ufasomal vesicles appeared as
spherical and multilamellar under Transmission Electron Microscope. Ufasomal
formulation prepared with drug to oleic acid molar ratio 8:2 (UF-2) produced
greater number of vesicles and greater entrapment efficiency. UF-2 was optimized
for further evaluation. The transdermal permeation and skin partitioning of from
optimized formulation was significantly higher (P < 0.05) as compared to plain
drug and plain gel formulation which is due to presence of surfactant acting as
permeation enhancer. Permeation of optimized formulation was found to be about
4.7 times higher than plain drug gel. Anti-inflammatory activity evaluated by
inhibition Carrageenan induced rat paw edema model. Significant reduction of
edema (P < 0.10) was observed in comparison to the commercial product. Hence
oleic acid based vesicles can be used as alternate carrier for topical delivery.
PMID- 26555991
TI - Preparation and Characterization of Self-Microemulsifying Drug Delivery System of
Olmesartan Medoxomil for Bioavailability Improvement.
AB - Olmesartan medoxomil (OLM) is an angiotensin II receptor blocker (ARB)
antihypertensive agent administered orally that has absolute bioavailability of
only 26% due to the poor aqueous solubility (7.75 MUg/ml). The aim of the present
investigation was to develop a self-microemulsifying drug delivery system
(SMEDDS) to enhance the oral absorption of OLM. The solubility of OLM in various
oils, surfactants, and cosurfactants was determined. Pseudoternary phase diagrams
were constructed using Acrysol EL 135, Tween 80, Transcutol P, and distilled
water to identify the efficient self-microemulsification region. Prepared SMEDDS
was further evaluated for its emulsification time, drug content, optical clarity,
droplet size, zeta potential, in vitro dissolution, and in vitro and ex vivo drug
diffusion study. The optimized formulation S2 contained OLM (20 mg), Tween 80
(33%v/v), Transcutol P (33%v/v), and Acrysol EL 135 (34%v/v) had shown the
smallest particle size, maximum solubility, less emulsification time, good
optical clarity, and in vitro release. The in vitro and ex vivo diffusion rate of
the drug from the SMEDDS was significantly higher than that of the plain drug
suspension. It was concluded that SMEDDS would be a promising drug delivery
system for poorly water-soluble drugs by the oral route.
PMID- 26555993
TI - Hydrotropic Solubilization by Urea Derivatives: A Molecular Dynamics Simulation
Study.
AB - Hydrotropy is a phenomenon where the presence of a large quantity of one solute
enhances the solubility of another solute. The mechanism of this phenomenon
remains a topic of debate. This study employed molecular dynamics simulation to
investigate the hydrotropic mechanism of a series of urea derivatives, that is,
urea (UR), methylurea (MU), ethylurea (EU), and butylurea (BU). A poorly water
soluble compound, nifedipine (NF), was used as the model solute that was
solubilized. Structural, dynamic, and energetic changes upon equilibration were
analyzed to supply insights to the solubilization mechanism. The study
demonstrated that NF and urea derivatives underwent significant nonstoichiometric
molecular aggregation in the aqueous solution, a result consistent with the self
aggregation of urea derivatives under the same conditions. The analysis of
hydrogen bonding and energy changes revealed that the aggregation was driven by
the partial restoration of normal water structure. The energetic data also
suggested that the promoted solubilization of NF is favored in the presence of
urea derivatives. While the solutes aggregated to a varying degree, the systems
were still in single-phase liquid state as attested by their active dynamics.
PMID- 26555992
TI - Seasonal Monitoring of Cardiovascular and Antiulcer Agents' Concentrations in
Stream Waters Encompassing a Capital City.
AB - Nowadays monitoring pharmaceutical residues from surface waters is a widespread
analytical task. Most of the studies are conducted from river waters or sewage
treatment plants and mainly in Western Europe or North America. Such studies are
seldom published from Eastern Europe, especially from stream waters, even though
the prescription and consumption patterns of drugs as well as wastewater
treatment procedures are very dissimilar. In Hungary the active substance of the
most often prescribed drugs are cardiovascular and antiulcer agents. Hence in our
study compounds belonging to these two groups were seasonally monitored in two
main streams encompassing the Buda side of the Hungarian capital city and flowing
into the Danube. To obtain data on the occurrence, fate, and seasonal variation
of the compounds, samples were taken from altogether eleven points located near
wastewater treatment plants and confluences. The results gave no identifiable
pattern in the seasonal variation of concentrations but the contribution of the
tributaries and wastewater treatment plants could be followed as expected. From
the runoff corrected estuary concentrations the annual contribution of these
streams to pharmaceutical pollution of the Danube could be estimated to be in
excess of 1 kilogram for atenolol, famotidine, metoprolol, ranitidine, and
sotalol.
PMID- 26555994
TI - Use of the Charge Transfer Reactions for the Spectrophotometric Determination of
Risperidone in Pure and in Dosage Forms.
AB - The aim of study was to develop and validate two simple, sensitive, and
extraction-free spectrophotometric methods for the estimation of risperidone in
both pure and pharmaceutical preparations. They are based on the charge transfer
complexation reactions between risperidone (RSP) as n-electron donor and p
chloranilic acid (p-CA) in method A and 2,3-dichloro-5,6-dicyano-1,4-benzoquinone
(DDQ) in method B as pi-acceptors. In method A, RSP reacts with p-CA in methanol
to produce a bright pink-colored chromogen measured at 530 nm whereas, in method
B, RSP reacts with DDQ in dichloromethane to form orange-colored complex with a
maximum absorption at 460 nm. Beer's law was obeyed in the concentration range of
0-25 and 0-50 MUg/mL with molar absorptivity of 1.29 * 10(4) and 0.48 * 10(4)
L/moL/cm for RSP in methods A and B, respectively. The effects of variables such
as reagents, time, and stability of the charge transfer complexes were
investigated to optimize the procedures. The proposed methods have been
successfully applied to the determination of RSP in pharmaceutical formulations.
Results indicate that the methods are accurate, precise, and reproducible
(relative standard deviation <2 %).
PMID- 26555995
TI - Intranasal, siRNA Delivery to the Brain by TAT/MGF Tagged PEGylated Chitosan
Nanoparticles.
AB - Neurodegeneration is characterized by progressive loss of structure and function
of neurons. Several therapeutic methods and drugs are available to alleviate the
symptoms of these diseases. The currently used delivery strategies such as
implantation of catheters, intracarotid infusions, surgeries, and chemotherapies
are invasive in nature and pose a greater risk of postsurgical complications,
which can have fatal side effects. The current study utilizes a peptide (TAT and
MGF) tagged PEGylated chitosan nanoparticle formulation for siRNA delivery,
administered intranasally, which can bypass the blood brain barrier. The study
investigates the optimal dose, duration, biodistribution, and toxicity, of the
nanoparticle-siRNA formulation, in-vivo. The results indicate that 0.5 mg/kg of
siRNA is delivered successfully to the hippocampus, thalamus, hypothalamus, and
Purkinje cells in the cerebellum after 4 hrs of post intranasal delivery. The
results indicate maximum delivery to the brain in comparison to other tissues
with no cellular toxic effects. This study shows the potential of peptide-tagged
PEGylated chitosan nanoparticles to be delivered intranasally and target brain
tissue for the treatment of neurological disorders.
PMID- 26555996
TI - Preparation, Characterization, and In Vivo Evaluation of Olanzapine Poly(D,L
lactide-co-glycolide) Microspheres.
AB - The aim of this study was to prepare injectable depot formulations of Olanzapine
using four poly(D,L-lactide-co-glycolide) (PLGA) polymers of varying molecular
weight and copolymer composition, and evaluate in vivo performance in rats. In
vivo release profiles from the formulations were governed chiefly by polymer
molecular weight and to a lesser extent, copolymer composition. Formulations A
and B, manufactured using low molecular weight PLGA and administered at 10 mg/kg
dose, released drug within 15 days. Formulation C, prepared from intermediate
molecular weight PLGA and administered at 20 mg/kg dose, released drug in 30
days, while Formulation D, manufactured using a high molecular weight polymer and
administered at 20 mg/kg dose, released drug in 45 days. A simulation of multiple
dosing at 7- and 10-day intervals for Formulations A and B revealed that steady
state was achieved within 7-21 days and 10-30 days, respectively. Similarly,
simulations at 15-day intervals for Formulations C and D indicated that steady
state levels were reached during days 15-45. Overall, steady state levels for 7-,
10-, or 15-day dosing ranged between 45 and 65 ng/mL for all the formulations,
implying that Olanzapine PLGA microspheres can be tailored to treat patients with
varying clinical needs.
PMID- 26555997
TI - Quantum Dot-Loaded Liposomes to Evaluate the Behavior of Drug Carriers after Oral
Administration.
AB - We have developed submicron-sized liposomes modified with a mucoadhesive polymer
to enhance peptide drug absorption after oral administration. Liposomal behavior
in the gastrointestinal tract is a critical factor for effective peptide drug
delivery. The purpose of this study was to prepare quantum dot- (QD-) loaded
submicron-sized liposomes and examine liposomal behavior in the body after oral
administration using in vivo fluorescence imaging. Two types of CdSe/CdZnS QDs
with different surface properties were used: hydrophobic (unmodified) QDs and
hydrophilic QDs with glutathione (GSH) surface modifications. QD- and GSH-QD
loaded liposomes were prepared by a thin film hydration method. Transmission
electron microscopy revealed that QDs were embedded in the liposomal lipid
bilayer. Conversely, GSH-QDs were present in the inner aqueous phase. Some of the
GSH-QDs were electrostatically associated with the lipid membrane of stearylamine
bearing cationic liposomes. QD-loaded liposomes were detected in Caco-2 cells
after exposure to the liposomes, and these liposomes were not toxic to the Caco-2
cells. Furthermore, we evaluated the in vivo bioadhesion and intestinal
penetration of orally administered QD-loaded liposomes by observing the
intestinal segment using confocal laser scanning microscopy.
PMID- 26555998
TI - Analysis of Hydroxy Fatty Acids from the Pollen of Brassica campestris L. var.
oleifera DC. by UPLC-MS/MS.
AB - Ultraperformance liquid chromatography coupled with negative electrospray tandem
mass spectrometry (UPLC-ESI-MS/MS) was used to determine 7 hydroxy fatty acids in
the pollen of Brassica campestris L. var. oleifera DC. All the investigated
hydroxy fatty acids showed strong deprotonated molecular ions [M-H](-), which
underwent two major fragment pathways of the allyl scission and the beta-fission
of the alcoholic hydroxyl group. By comparison of their molecular ions and
abundant fragment ions with those of reference compounds, they were tentatively
assigned as 15,16-dihydroxy-9Z,12Z-octadecadienoic acid (1), 10,11,12-trihydroxy
(7Z,14Z)-heptadecadienoic acid (2), 7,15,16-trihydroxy-9Z,12Z-octadecadienoic
acid (3), 15,16-dihydroxy-9Z,12Z-octadecadienoic acid (4), 15-hydroxy-6Z,9Z,12Z
octadecatrienoic acid (5), 15-hydroxy-9Z,12Z- octadecadienoic acid (6), and 15
hydroxy-12Z-octadecaenoic acid (7), respectively. Compounds 3, 5, and 7 are
reported for the first time.
PMID- 26555999
TI - Biological Atomic Force Microscopy for Imaging Gold-Labeled Liposomes on Human
Coronary Artery Endothelial Cells.
AB - Although atomic force microscopy (AFM) has been used extensively to characterize
cell membrane structure and cellular processes such as endocytosis and
exocytosis, the corrugated surface of the cell membrane hinders the visualization
of extracellular entities, such as liposomes, that may interact with the cell. To
overcome this barrier, we used 90 nm nanogold particles to label FITC liposomes
and monitor their endocytosis on human coronary artery endothelial cells (HCAECs)
in vitro. We were able to study the internalization process of gold-coupled
liposomes on endothelial cells, by using AFM. We found that the gold-liposomes
attached to the HCAEC cell membrane during the first 15-30 min of incubation,
liposome cell internalization occurred from 30 to 60 min, and most of the gold
labeled liposomes had invaginated after 2 hr of incubation. Liposomal uptake took
place most commonly at the periphery of the nuclear zone. Dynasore monohydrate,
an inhibitor of endocytosis, obstructed the internalization of the gold
liposomes. This study showed the versatility of the AFM technique, combined with
fluorescent microscopy, for investigating liposome uptake by endothelial cells.
The 90 nm colloidal gold nanoparticles proved to be a noninvasive contrast agent
that efficiently improves AFM imaging during the investigation of biological
nanoprocesses.
PMID- 26556000
TI - Influence of Air Temperature and Humidity on Dehydration Equilibria and Kinetics
of Theophylline.
AB - The effect of hygrothermal conditions (air temperature and relative humidity) on
the dehydration of theophylline monohydrate was investigated. Firstly, the
equilibrium states of theophylline were investigated. The data from gravimetric
analysis at constant temperature and humidity were reported as desorption
isotherms. The PXRD analysis was used to identify the different polymorphic forms
of theophylline: the monohydrate, the metastable anhydrate, and the stable
anhydrate. Solid-solid phase diagrams for two processing times were proposed.
Secondly, the dehydration kinetics were studied. The water content evolutions
with time were recorded at several temperatures from 20 degrees C to 80 degrees C
and several relative humidities from 4% to 50%. Different mathematical models
were used to fit the experimental data. The spatially averaged solution of 2D
Fickian transient diffusion equation best represented the water mass loss versus
time experimental relationship. The dehydration rate constant was found to
increase exponentially with air temperature and to decrease exponentially with
air relative humidity.
PMID- 26556001
TI - Development and Evaluation of Dual Cross-Linked Pulsatile Beads for Chronotherapy
of Rheumatoid Arthritis.
AB - In the present investigation, pulsatile release beads were prepared by ionic
gelation technique. Lornoxicam dual cross-linked beads were prepared by dropping
dispersed phase of lornoxicam, pectin, and sodium alginate into the dispersion
phase of different concentrations of calcium chloride solution followed by
aluminium chloride solution. The formulated beads were further coated by Eudragit
L & S 100 in the ratio 1 : 2 w/w in order to achieve desired lag time. In vitro
release study showed lag time of 5-8 h before release of lornoxicam from the
formulated beads. Thus, formulated dual cross-linked beads when administered at
bed time may release lornoxicam when needed most for chronotherapeutics of early
morning rheumatoid arthritis attacks in chronic patients.
PMID- 26556002
TI - Synthesis, Characterization, and Anti-Inflammatory Activity of Newer
Quinazolinone Analogs.
AB - A series of 3-[2'-(Substitutedbenzylideneamino)phenyl]-2-methyl-6-substituted
quinazolin-4-ones (5-10), 3-[2'-(3(")-chloro-2(")-oxo-4(")
substitutedphenylazetidin-1(")-yl)phenyl]-2-methyl-6-substitutedquinazolin-4-ones
(11-16), and 3-[2'-(2(")-substitutedphenyl-4(")-oxo-1("),3(")-thiazolidin-3(")
yl)phentl]-2-methyl-6-substitutedquinazolin-4-ones (17-22) have been synthesized
in the present study. The structures of the synthesized compounds were assigned
on the basis of elemental analysis, IR, (1)H NMR, and mass spectral data. All the
newly synthesized compounds were screened for anti-inflammatory and analgesic
activities.
PMID- 26556003
TI - Dissolution Rate Enhancement, Design and Development of Buccal Drug Delivery of
Darifenacin Hydroxypropyl beta-Cyclodextrin Inclusion Complexes.
AB - Darifenacin is a urinary antispasmodic. The oral absorption of darifenacin is
poor due to its low solubility and poor bioavailability (15-19%). Darifenacin was
complexed with hydroxylropyl beta-cyclodextrin (Hpbeta-CD). The best results were
obtained with the coevaporation that interacts in a 1 : 1 drug : cyclodextrin
molar ratio. The solid inclusion complexes were found to be amorphous in the
characterization. The dissolution rate of darifenacin from the Hpbeta-CD solid
inclusion complex was increased compared to the powdered drug. The controlled
release buccoadhesive patches for the delivery of darifenacin were prepared using
HPMC K100M CR and HPMC K15. The coevaporation complex of the drug was used in the
formulation due to its increased saturation solubility and increased ease of
dissolution. The patches were evaluated for their surface pH, folding endurance,
swelling, mucoadhesive properties, in vitro residence time, vapour transmission
test, and in vitro and ex vivo release studies. Formulations Hb2 (2%) and Pb4
(4%) were found to be optimized. These two formulations can be used for buccal
delivery of darifenacin which avoids first pass effect and leads to increased
bioavailability of darifenacin.
PMID- 26556004
TI - Using Ex Vivo Upright Droplet Cultures of Whole Fetal Organs to Study
Developmental Processes during Mouse Organogenesis.
AB - Investigating organogenesis in utero is a technically challenging process in
placental mammals due to inaccessibility of reagents to embryos that develop
within the uterus. A newly developed ex vivo upright droplet culture method
provides an attractive alternative to studies performed in utero. The ex vivo
droplet culture provides the ability to examine and manipulate cellular
interactions and diverse signaling pathways through use of various blocking and
activating compounds; additionally, the effects of various pharmacological
reagents on the development of specific organs can be studied without unwanted
side effects of systemic drug delivery in utero. As compared to other in vitro
systems, the droplet culture not only allows for the ability to study three
dimensional morphogenesis and cell-cell interactions, which cannot be reproduced
in mammalian cell lines, but also requires significantly less reagents than other
ex vivo and in vitro protocols. This paper demonstrates proper mouse fetal organ
dissection and upright droplet culture techniques, followed by whole organ
immunofluorescence to demonstrate the effectiveness of the method. The ex vivo
droplet culture method allows the formation of organ architecture comparable to
what is observed in vivo and can be utilized to study otherwise difficult-to
study processes due to embryonic lethality in in vivo models. As a model
application system, a small-molecule inhibitor will be utilized to probe the role
of vascularization in testicular morphogenesis. This ex vivo droplet culture
method is expandable to other fetal organ systems, such as lung and potentially
others, although each organ must be extensively studied to determine any organ
specific modifications to the protocol. This organ culture system provides
flexibility in experimentation with fetal organs, and results obtained using this
technique will help researchers gain insights into fetal development.
PMID- 26556005
TI - Association Between Trabecular Meshwork Anteroposterior Length and Anterior
Chamber Angle Width.
AB - PURPOSE: To investigate the association between trabecular meshwork
anteroposterior length and anterior chamber angle width in nonglaucomatous
subjects. DESIGN: Prospective, cross-sectional study. METHODS: Time-domain and
spectral-domain anterior segment optical coherence tomography images for 561 eyes
from 366 nonglaucomatous subjects were analyzed to determine trabecular meshwork
anteroposterior length and 3 anterior chamber angle width parameters measured at
different distances from the scleral spur: angle opening distance at 250 MUm
(AOD250), 500 MUm (AOD500), and 750 MUm (AOD750) from the scleral spur;
trabecular-iris space area at 500 MUm (TISA500) and 750 MUm (TISA750) from the
scleral spur; angle recess area at 750 MUm (ARA750) from the scleral spur.
Univariable and multivariable linear mixed-effect regression models, the latter
adjusting for age, sex, ethnicity, axial length, and the use of both eyes in the
same subject, were used to evaluate the association between trabecular meshwork
anteroposterior length and anterior chamber angle width parameters. RESULTS: Mean
trabecular meshwork anteroposterior length was 824.86 +/- 181.77 MUm. Univariate
regression analyses showed a significant positive association between trabecular
meshwork anteroposterior length and all anterior chamber angle width parameters:
AOD250 (P < .001; beta = 335.13), AOD500 (P < .001; beta = 271.84), AOD750 (P <
.001; beta = 202.56), TISA500 (P < .001; beta = 780.78), TISA750 (P < .001; beta
= 449.17), ARA750 (P < .001; beta = 381.39). Multivariate regression analyses
showed a significant positive association between trabecular meshwork
anteroposterior length and all anterior chamber angle width parameters: AOD250 (P
= .005; beta = 294.02), AOD500 (P = .036; beta = 172.94), AOD750 (P = .049; beta
= 125.58), TISA500 (P = .004; beta = 611.51), TISA750 (P = .011; beta = 333.96),
ARA750 (P < .0001; beta = 309.12). CONCLUSIONS: Wider anterior chamber angle is
associated with greater trabecular meshwork anteroposterior length.
PMID- 26556006
TI - Fine Needle Aspiration Biopsy in Uveal Melanoma: Technique, Complications, and
Outcomes.
AB - PURPOSE: To report the technical aspects, complications, and outcomes concerning
fine needle aspiration biopsy (FNAB) in uveal melanoma. DESIGN: Retrospective
cohort study. METHODS: Patients with uveal melanoma who underwent transscleral or
transvitreal FNAB at an ocular oncology center were retrospectively evaluated.
FNAB was performed if the tumor was more than 5 mm in thickness. Array
comparative genomic hybridization analysis was performed on biopsy samples with
sufficient tissue. The main outcome measures were success (sample that gave a
successful result for biomarker analysis) rate, complications, liver metastasis,
and overall survival. RESULTS: There were 217 (114 male, 52%) consecutive study
patients with a mean age of 56.7 (16-84) years. The mean follow-up period was 31
(range 3.6-61.3) months. Mean tumor thickness was 8.4 (range 5-12) mm. The
overall success rate of the procedure was 169 patients (77.9%). Thirty-one
patients (14.3%) experienced intravitreal hemorrhage, of whom 9 (4.1%) required
vitreal surgery. There was no case of endophthalmitis, orbital dissemination,
local recurrence, or rhegmatogenous retinal detachment. Thirty-two patients
(14.7%) developed metastasis during the study, of whom 20 (9.2%) died. Of the 169
successful samples, 53 patients (31%) were classified as low risk, 41 (24%) as
intermediate risk, and 54 (32%) as high risk. Fifteen patients (9%) did not have
any detectable chromosomal abnormality and 6 (4%) could not be classified.
CONCLUSION: FNAB is a relatively safe and successful technique that can be
routinely used to obtain tissue for molecular genomic analysis; such analysis
helps determine the diagnosis and prognosis in uveal melanoma.
PMID- 26556007
TI - Conjunctival Primary Acquired Melanosis: Is It Time for a New Terminology?
AB - PURPOSE: To review the diagnostic categories of a group of conditions referred to
as "primary acquired melanosis." DESIGN: Literature review on the subject and
proposal of an alternative diagnostic schema with histopathologic and
immunohistochemical illustrations. METHODS: Standard hematoxylin-eosin-stained
sections and immunohistochemical stains for MART-1, HMB-45, microphthalmia
associated transcription factor (MiTF), and Ki-67 for calculating the
proliferation index are illustrated. RESULTS: "Melanosis" is an inadequate and
misleading term because it does not distinguish between conjunctival
intraepithelial melanin overproduction ("hyperpigmentation") and intraepithelial
melanocytic proliferation. It is recommended that "intraepithelial melanocytic
proliferation" be adopted for histopathologic diagnosis. Atypical proliferations
are characterized either by bloated dendritic melanocytes with enlarged cell
components (dendrites, cell bodies, and nuclei) or by epithelioid melanocytes
without dendrites. Atypical polygonal or epithelioid pagetoid cells may reach
higher levels of the epithelium beyond the basal layer. Immunohistochemistry
defines the degree of melanocytic proliferation or the cellular shape (dendritic
or nondendritic) (MART-1, HMB-45) or identifies the melanocytic nuclei (MiTF).
Intraepithelial melanocytic proliferation without atypia represents increased
numbers of normal-appearing dendritic melanocytes (hyperplasia or early
neoplasia) that generally remain confined to the basal/basement membrane region.
Intraepithelial nonproliferative melanocytic pigmentation signifies the usually
small number of conjunctival basal dendritic melanocytes that synthesize
increased amounts of melanin that is transferred to surrounding keratinocytes.
CONCLUSION: All pre- and postoperative biopsies of flat conjunctival melanocytic
disorders should be evaluated immunohistochemically if there is any question
regarding atypicality. This should lead to a clearer microscopic descriptive
diagnosis that is predicated on an analysis of the participating cell types and
their architectural patterns. This approach is conducive to a better appreciation
of features indicating when to intervene therapeutically. An accurate early
diagnosis should forestall unnecessary later surgery.
PMID- 26556008
TI - Reliability of Pentacam HR Thickness Maps of the Entire Cornea in Normal, Post
Laser In Situ Keratomileusis, and Keratoconus Eyes.
AB - PURPOSE: To measure the repeatability and reproducibility of Pentacam HR system
thickness maps for the entire cornea in normal, post-laser in situ keratomileusis
(post-LASIK), and keratoconus (KC) eyes. DESIGN: Reliability study. METHODS:
Sixty normal subjects (60 eyes), 30 post-LASIK subjects (60 eyes), and 14 KC
patients (27 eyes) were imaged with the Pentacam HR system by 2 well-trained
operators. For pachymetry the cornea was divided into 4 zones: a central zone (2
mm diameter) and concentric pericentral zone (2-5 mm), transitional zone (5-7
mm), and peripheral zone (7-10 mm). The 3 concentric zones were subdivided into 8
sectors. Intraobserver repeatability and interobserver reproducibility of entire
corneal thickness maps were tested by the repeatability and reproducibility
coefficients, intraclass correlation coefficients, coefficient of variation, and
95% limits of agreement. RESULTS: From central to peripheral zones, the precision
of corneal thickness measurements became gradually smaller. Central zone
repeatability and reproducibility were the best in the normal, post-LASIK, and KC
groups. The peripheral superior sectors showed poorer repeatability and
reproducibility for all subjects. The intraobserver repeatability and
interobserver reproducibility for all zones were <=19.3 MUm, <=22.1 MUm, and
<=20.7 MUm, in the normal, post-LASIK, and KC groups, respectively. The
intraobserver and interobserver coefficients of variation for all zones were
<=1.3%, <=1.6%, and <=1.6% for all 3 groups. CONCLUSIONS: Pentacam HR system
pachymetry of the entire cornea provided good precision in normal, post-LASIK,
and KC corneas. Thickness measurements in the peripheral cornea should be
interpreted with caution in abnormal corneas after surgery or with diseases.
PMID- 26556009
TI - Development and preliminary validation of an Observation List for detecting
mental disorders and social Problems in the elderly in primary and home care
(OLP).
AB - OBJECTIVE: Even though the prevalence of mental disorders and social problems is
high among elderly patients, it is difficult to detect these in a primary (home)
care setting. Goal was the development and preliminary validation of a short
observation list to detect six problem areas: anxiety, depression, cognition,
suspicion, loneliness, and somatisation. METHODS: A draft list of indicators
identified from a short review of the literature and the opinions of 22 experts
was evaluated by general practitioners (GPs) and home care organisations for
feasibility. It was then used by GPs and home care personnel to observe patients,
who also completed validated tests for psychological disorders (General Health
Questionnaire 12 item version (GHQ-12)), depression (Geriatric Depression Scale
15-item version (GDS-15)), anxiety and suspicion (Symptom Checklist-90 (SCL-90)),
loneliness (University of California, Los Angeles (UCLA)), somatisation (Illness
Attitude Scale (IAS)), and cognition (Mini-Mental State Examination (MMSE)).
RESULTS: GPs and home care personnel observed 180 patients (mean age 78.4 years;
66% female) and evaluated the draft list during a regular visit. Cronbach's alpha
was 0.87 for the draft list and >=0.80 for the draft problem areas (loneliness
and suspicion excepted). Principal component analysis identified six components
(cognition, depression + loneliness, somatisation, anxiety + suspicion,
depression (other signs), and an ambiguous component). Convergent validity was
shown for the indicators list as a whole (using the GHQ-12), and the subscales of
depression, anxiety, loneliness, cognition, and somatisation. Using pre-set
agreed criteria, the list was reduced to 14 final indicators divided over five
problem areas. CONCLUSION: The Observation List for mental disorders and social
Problems (OLP) proved to be preliminarily valid, reliable, and feasible for use
in primary and home care settings. Copyright (c) John Wliey & Sons, Ltd.
PMID- 26556010
TI - Collaborative treatment of huge intrathoracic meningoceles associated with
neurofibromatosis type 1: a case report.
AB - BACKGROUND: An intrathoracic meningocele is a relatively rare disease, and it
commonly accompanies neurofibromatosis type 1. Patients tend to have no symptom
but if its size is too large and compresses a lung and neighboring organs, it
needs shunt drainage or surgical resection. CASE PRESENTATION: Herein, we present
the case of a 52 year-old female patient with huge intrathoracic meningoceles
associated with neurofibromatosis type 1, who has complained about chest
discomfort and dyspnea at rest. As for a preliminary treatment, a neurosurgeon
had performed a cystoperitoneal shunt, but the symptoms continued and the size of
mass and the amount of pleural effusion did not change significantly. Therefore,
the huge thoracic meningoceles were successfully treated through the thoracotomic
approach in combination with lumbar puncture and cerebrospinal fluid drainage.
CONCLUSIONS: It is reported that double huge intrathoracic meningoceles
associated with neurofibromatosis type 1 was successfully treated by a shunting
procedure followed by thoracotomic resection with collaboration of a
neurosurgeon.
PMID- 26556011
TI - MYB3Rs, plant homologs of Myb oncoproteins, control cell cycle-regulated
transcription and form DREAM-like complexes.
AB - Plant MYB3R transcription factors, homologous to Myb oncoproteins, regulate the
genes expressed at G2 and M phases in the cell cycle. Recent studies showed that
MYB3Rs constitute multiprotein complexes that may correspond to animal complexes
known as DREAM or dREAM. Discovery of the putative homologous complex in plants
uncovered their significant varieties in structure, function, dynamics, and
heterogeneity, providing insight into conserved and diversified aspects of cell
cycle-regulated gene transcription.
PMID- 26556012
TI - Walking through doorways causes forgetting: Event structure or updating
disruption?
AB - According to event cognition theory, people segment experience into separate
event models. One consequence of this segmentation is that when people transport
objects from one location to another, memory is worse than if people move across
a large location. In two experiments participants navigated through a virtual
environment, and recognition memory was tested in either the presence or the
absence of a location shift for objects that were recently interacted with (i.e.,
just picked up or set down). Of particular concern here is whether this location
updating effect is due to (a) differences in retention intervals as a result of
the navigation process, (b) a temporary disruption in cognitive processing that
may occur as a result of the updating processes, or (c) a need to manage multiple
event models, as has been suggested in prior research. Experiment 1 explored
whether retention interval is driving this effect by recording travel times from
the acquisition of an object and the probe time. The results revealed that travel
times were similar, thereby rejecting a retention interval explanation.
Experiment 2 explored whether a temporary disruption in processing is producing
the effect by introducing a 3-second delay prior to the presentation of a memory
probe. The pattern of results was not affected by adding a delay, thereby
rejecting a temporary disruption account. These results are interpreted in the
context of the event horizon model, which suggests that when there are multiple
event models that contain common elements there is interference at retrieval,
which compromises performance.
PMID- 26556013
TI - The one and the many: the search for unity in nature.
AB - The essence of physical reality-what the world consists of-has been a heated
focus of contention for millennia. First with philosophers and then with
physicists, the debate has been polarized since the beginning: while those
loosely known as Platonists search for an underlying unity in nature, others
caution that such unity is unachievable in practice and in principle. In this
essay, we review both positions, arguing strongly for the latter in anticipation
of experimental results from the Large Hadron Collider, the particle accelerator
from the European Center for Nuclear Research. We further argue that, for the
first time in history, the material essence of reality could be determined from
an empirical standpoint as opposed to a purely dialectic one, settling the age
old debate.
PMID- 26556014
TI - Picrorhiza kurroa Inhibits Experimental Arthritis Through Inhibition of Pro
inflammatory Cytokines, Angiogenesis and MMPs.
AB - The present study investigates the anti-arthritic activity of Picrorhiza kurroa
(PK), on formaldehyde and adjuvant-induced arthritis (AIA) in rat. Administration
of Picrorhiza kurroa rhizome extract (PKRE) significantly inhibited joint
inflammation in both animal models. In AIA-induced arthritic rat, treatment with
PKRE considerably decreased synovial expression of interleukin-1beta (IL-1beta),
interleukin-6 (IL-6), tumor necrosis factor receptor-1 (TNF-R1) and vascular
endothelial growth factor as compared with control. The anti-arthritic activity
was found to be well substantiated with significant suppression of oxidative and
inflammatory markers as there was decreased malonaldehyde, Nitric oxide, tumor
necrosis factor alpha levels accompanied with increased glutathione and
superoxide dismutase, catalase activities. Additionally, PKRE significantly
inhibited the expression of degrading enzymes, matrix metalloproteinases-3 and
matrix metalloproteinases-9 in AIA-induced arthritic rat. Histopathology of paw
tissue displayed decreased inflammatory cell infiltration as compared with
control. Taken together, these results demonstrated the anti-arthritic activity
of PKRE against experimental arthritis, and the underlying mechanism behind this
efficacy might be mediated by inhibition of inflammatory mediators and
angiogenesis, improvement of the synovium redox status and decreased expression
of matrix metalloproteinases.
PMID- 26556016
TI - Aggregating tags for column-free protein purification.
AB - Protein purification remains a central need for biotechnology. In recent years, a
class of aggregating tags has emerged, which offers a quick, cost-effective and
column-free alternative for producing recombinant proteins (and also peptides)
with yield and purity comparable to that of the popular His-tag. These column
free tags induce the formation of aggregates (during or after expression) when
fused to a target protein or peptide, and upon separation from soluble
impurities, the target protein or peptide is subsequently released via a cleavage
site. In this review, we categorize these tags as follows: (i) tags that induce
inactive protein aggregates in vivo; (ii) tags that induce active protein
aggregates in vivo; and (iii) tags that induce soluble expression in vivo, but
aggregates in vitro. The respective advantages and disadvantages of these tags
are discussed, and compared to the three conventional tags (His-tag, maltose
binding protein [MBP] tag, and intein-mediated purification with a chitin-binding
tag [IMPACT-CN]). While this new class of aggregating tags is promising, more
systematic tests are required to further the use. It is conceivable, however,
that the combination of these tags and the more traditional columns may
significantly reduce the costs for resins and columns, particularly for the
industrial scale.
PMID- 26556015
TI - Psychological Support, Puberty Suppression, and Psychosocial Functioning in
Adolescents with Gender Dysphoria.
AB - INTRODUCTION: Puberty suppression by gonadotropin-releasing hormone analogs
(GnRHa) is prescribed to relieve the distress associated with pubertal
development in adolescents with gender dysphoria (GD) and thereby to provide
space for further exploration. However, there are limited longitudinal studies on
puberty suppression outcome in GD. Also, studies on the effects of psychological
support on its own on GD adolescents' well-being have not been reported. AIM:
This study aimed to assess GD adolescents' global functioning after psychological
support and puberty suppression. METHODS: Two hundred one GD adolescents were
included in this study. In a longitudinal design we evaluated adolescents' global
functioning every 6 months from the first visit. MAIN OUTCOME MEASURES: All
adolescents completed the Utrecht Gender Dysphoria Scale (UGDS), a self-report
measure of GD-related discomfort. We used the Children's Global Assessment Scale
(CGAS) to assess the psychosocial functioning of adolescents. RESULTS: At
baseline, GD adolescents showed poor functioning with a CGAS mean score of 57.7
+/- 12.3. GD adolescents' global functioning improved significantly after 6
months of psychological support (CGAS mean score: 60.7 +/- 12.5; P < 0.001).
Moreover, GD adolescents receiving also puberty suppression had significantly
better psychosocial functioning after 12 months of GnRHa (67.4 +/- 13.9) compared
with when they had received only psychological support (60.9 +/- 12.2, P =
0.001). CONCLUSION: Psychological support and puberty suppression were both
associated with an improved global psychosocial functioning in GD adolescents.
Both these interventions may be considered effective in the clinical management
of psychosocial functioning difficulties in GD adolescents.
PMID- 26556017
TI - [Scapular fractures].
AB - Scapular fractures are still a challenge in traumatology of the musculoskeletal
apparatus. Their proper understanding is impossible without fundamental
anatomical and clinical knowledge. A considerable part of scapular fractures is
associated with other severe injuries, particularly to the chest. Essential for
diagnosis and treatment of these fractures is radiographic examination, primarily
both Neer projections and 3D CT reconstructions. The classifications used so far
should be revised as they do not reflect real types of these fractures. Operative
treatment should be considered in displaced scapular fractures. Such treatment is
not urgent as these fractures may be operated on within up to three weeks of the
primary injury. Due to the fact that this is a severe but rare injury, they
should be referred to specialized centres. KEY WORDS: scapular fractures
classification of scapular fractures operative treatment of scapular fractures.
PMID- 26556018
TI - [Kocher approach to the elbow and its options].
AB - The original Kocher approach was published several times in the 18921907 period.
It extends in the interval between the extensor carpi ulnaris and the anconeus
and consists in subperiostal release of the lateral collateral ligament (LCL),
joint capsule and origin of extensors at the lateral epicondyle and their
retraction anteriorly, and a similar release of the anconeus from the distal
humerus and its reflection posteriorly. This provides an extensive approach to
the elbow. Today this approach is described in the textbooks in various
modifications that have little in common with the original description except for
the fact that dissection is made in the so called Kocher interval between the
extensor carpi ulnaris and the anconeus. Therefore it is often called a limited
Kocher approach.The study describes our modification of the Kocher approach that
we use primarily in fractures of the head and neck of the radius, in certain
fractures of the distal humerus, and also in irreducible dislocations and certain
fracture-dislocations of the elbow.The incision is made along the line connecting
the lateral epicondyle of the humerus and the border between the proximal and
middle thirds of the ulna. The incision is pulled open and the strong, white
opalescent common extensor fascia incised in order to identify the interval
between the extensor carpi ulnaris and the anconeus. The two muscles are
separated by thin vascularized fatty connective tissue which is split in order to
expose a typical tendon reinforcing the upper half of the anterior margin of the
anconeus. In this phase it is beneficial to detach the origin of the extensor
carpi ulnaris from the lateral epicondyle. It facilitates retraction of the
extensor carpi ulnaris anteriorly and of the anconeus slightly posteriorly. In
contrast with the original Kocher approach, we do not release the anconeus from
the lateral epicondyle of the humerus.The muscles are retracted to expose the
anterolateral surface of the joint capsule and to identify the course of the LCL
complex. The capsule is incised along the anterior margin of LCL, starting from
the lateral epicondyle up to and including the radial annular ligament.
Arthrotomy performed anterior to LCL spares the insertion of the lateral ulnar
collateral ligament on the ulna and, consequently, preserves the elbow stability.
If dissection more distally is required in order to expose the radial neck, part
of the supinator must be incised as well. In such case the forearm is first
carefully pronated as much as possible, as a result of which the canalis
supinatorius including the deep branch of the radial nerve will move anteriorly,
thus reducing the risk of injury to the nerve.The capsule is incised and opened,
revealing the anterolateral surface of the head of humerus and radial head. In
this phase it is beneficial to flex the elbow to 90100 degrees, when the anterior
part of the capsule will get flabby and allow a better visualization of the
joint. The joint capsule must be released from the distal humerus together with
extensors originating at the lateral epicondyle of humerus. This will
considerably improve visualization of the anterior part of the joint cavity.
During wound closure the common extensor fascia must be firmly sutured, as it is
a significant but often underestimated stabilizer of the lateral part of the
elbow.The extended option of the Kocher approach consists in retraction of the
anconeus proximally. It is indicated in certain fracture-dislocations of the
proximal forearm, i.e. fractures of the radial head and the entire proximal ulna.
After dissection of the whole anconeus, this muscle is detached from the ulnar
shaft and entirely reflected proximally. The muscle remains attached by its short
proximal margin to the lateral epicondyle of humerus and to olecranon. This
eliminates the risk of injury to the neurovascular hilus of the muscle, as the
motoric nerve enters the muscle in the middle of its upper border. Retraction of
the muscle exposes both the lateral surface of the joint capsule and the lateral
surface of the proximal ulna. Further procedure, i.e. incision of the capsule and
inspection of the joint, is the same as in the limited Kocher approach.
PMID- 26556019
TI - [Approaches to radial shaft].
AB - In the clinical practice, radial shaft may be exposed via two approaches, namely
the posterolateral Thompson and volar (anterior) Henry approaches. A feared
complication of both of them is the injury to the deep branch of the radial
nerve. No consensus has been reached, yet, as to which of the two approaches is
more beneficial for the proximal half of radius. According to our anatomical
studies and clinical experience, Thompson approach is safe only in fractures of
the middle and distal thirds of the radial shaft, but highly risky in fractures
of its proximal third. Henry approach may be used in any fracture of the radial
shaft and provides a safe exposure of the entire lateral and anterior surfaces of
the radius.The Henry approach has three phases. In the first phase, incision is
made along the line connecting the biceps brachii tendon and the styloid process
of radius. Care must be taken not to damage the lateral cutaneous nerve of
forearm.In the second phase, fascia is incised and the brachioradialis identified
by the typical transition from the muscle belly to tendon and the shape of the
tendon. On the lateral side, the brachioradialis lines the space with the radial
artery and veins and the superficial branch of the radial nerve running at its
bottom. On the medial side, the space is defined by the pronator teres in the
proximal part and the flexor carpi radialis in the distal part. The superficial
branch of the radial nerve is retracted together with the brachioradialis
laterally, and the radial artery medially.In the third phase, the attachment of
the pronator teres is identified by its typical tendon in the middle of convexity
of the lateral surface of the radial shaft. The proximal half of the radius must
be exposed very carefully in order not to damage the deep branch of the radial
nerve. Dissection starts at the insertion of the pronator teres and proceeds
proximally along its lateral border in interval between this muscle and insertion
of the supinator. During release and retraction of the supinator
posterolaterally, it is beneficial to supinate the proximal fragment of the shaft
as much as possible, preferably by K-wire drilled perpendicular into the anterior
surface of the fragment and rotated externally. As a result, canalis supinatorius
is moved posteriorly which reduces the risk of injury to the deep branch of the
radial nerve. The supinator is released always from distal to proximal.
Approximately at the level of the biceps brachii tendon, it is usually necessary
to identify and ligate the radial recurrent artery and vein which prevent
retraction of the radial vessels medially. After detachment of the whole
supinator, a small Hohmann elevator is carefully inserted between the muscle and
the bone. If necessary, it is now possible to open the anterior surface of the
joint capsule and revise the humeroradial joint.
PMID- 26556020
TI - [Internal fixation of radial shaft fractures: Anatomical and biomechanical
principles].
AB - Radius is a critical bone for functioning of the forearm and therefore its
reconstruction following fracture of its shaft must be anatomical in all planes
and along all axes. The method of choice is plate fixation. However, it is still
associated with a number of unnecessary complications that were not resolved even
by introduction of locking plates, but rather the opposite. All the more it is
surprising that discussions about anatomical and biomechanical principles of
plate fixation have been reduced to minimum or even neglected in the current
literature. This applies primarily to the choice of the surgical approach, type
of plate, site of its placement and contouring, its working length, number of
screws and their distribution in the plate. At the same time it has to be taken
into account that a plate used to fix radius is exposed to both bending and
torsion stress. Based on our 30-year experience and analysis of literature we
present our opinions on plate fixation of radial shaft fractures:We always prefer
the volar Henry approach as it allows expose almost the whole of radius, with a
minimal risk of injury to the deep branch of the radial nerve.The available
studies have not so far found any substantial advantage of LCP plates as compared
to 3.5mm DCP or 3.5mm LC DCP plates, quite the contrary. The reason is high
rigidity of the locking plates, a determined trajectory of locking screws which
is often unsuitable, mainly in plates placed on the anterior surface of the
shaft, and failure to respect the physiological curvature of the radius.
Therefore based on our experience we prefer "classical" 3.5mm DCP plates.Volar
placement of the plate, LCP in particular, is associated with a number of
problems. The volar surface covered almost entirely by muscles, must be fully
exposed which negatively affects blood supply to the bone. A straight plate, if
longer, either lies with its central part partially off the bone and overlaps the
interosseous border, or its ends overhang the bone laterally. In a locking plate
with a fixed determined trajectory of screws, the locking screws in the central
holes of the plate pass off the shaft centre only through a thin interosseous
border (medial position), or screws at the ends of the plate are inserted
eccentrically (lateral position). Both these techniques reduce stability of
internal fixation. Where the plate overlaps the interosseous border, it is
difficult to control the mutual rotation of the two main fragments. A shorter LCP
plate increases rigidity of fixation, suppresses bone healing and often leads to
non-union.Placement of the plate on the lateral surface of the radius is more
beneficial from the viewpoint of the bending and torsion stress. Lateral surface
of the radius is a tension site, its distal half is not covered by muscles which
eliminates the necessity to release them, the interosseous border is not obscured
by plate and all this allows a safe control of rotational position of fragments.
A properly pre-bent plate follows the physiological curvature of the lateral
surface of the radius. Full tightening of standard screws will fix both main
fragments firmly to the apex of plate concavity and increase stability of the
internal fixation. Due to the shape of the cross-section of the radial shaft, the
trajectory of screws is the longest in case of lateral placement of the plate,
which increases rotational stability.We place the plate always in a minimal three
hole length on each main fragment. Transverse two-fragment fractures may be fixed
with a 2+2 configuration, i.e. with two screws on each main fragment. Fractures
with an inter-fragment or comminuted zone are fixed in the 3+3 mode. More
extensive comminutions, defects or segmental fractures require 4 plate holes on
each fragment, but not more. When drilling screw holes the drill must be directed
into the interosseous border. As a result, the screw has the longest trajectory
and the best fixation in the bone. Perforation of the anterior or posterior
surface of the radius considerably shortens the trajectory of the screw and thus
reduces stability of internal fixation.
PMID- 26556021
TI - [Surgical treatment of acromioclavicular dislocation: Tension band wiring versus
hook plate].
AB - INTRODUCTION: The aim of the prospective randomized study was to compare tension
wire cerclage and hook plate in the treatment of AC dislocation, primarily from
the viewpoint of functional and radiological results. METHOD: The cohort
comprised 80 patients with acute acromioclavicular (AC) dislocation of types 3, 4
and 5 of Rockwood classification. The diagnosis was based on the clinical
(disfiguration and instability) and radiographic examination (AP and stress
radiograph). Forty patients were treated with tension band wiring (TBW) and
another 40 with a hook plate (HP). Evaluation was performed during one year after
the surgery based on radiographs and the Constant score. RESULTS: The mean
Constant score 3 months after the surgery was 84 points for TBW and 88 points for
HP. One year after the surgery, the result was the same in both groups: 93
points. In HP group the score increased from 56 to 78 points between 2 and 4
weeks from the surgery. In 71 cases the postoperative position of the AC joint
and implant was assessed as correct. Malposition of Kirschner wires was recorded
in 6 cases and horizontal widening of the AC joint in 3 cases. Redislocation of
up to 50100% of the width of acromion was shown by radiograph in 4 TBW patients
(10%) and in 5 HP patients (13%). A visible osteolysis of the distal surface of
acromion was found in 83% of patients with HP. Complications were recorded in 30%
of TBW patients and in 5% of HP patients. CONCLUSION: Based on radiological and
clinical results assessed 3 months and 1 year after the surger, the hook plate
and tension band wiring are comparable treatment methods for AC dislocation. The
hook plate is associated with a lower complication rate and allows earlier full
weight bearing and mobility than tension wire cerclage. In TBW we recommend to
remove the implant after 8 weeks; 6 weeks are in our view too short a period for
the healing of soft tissues. In HP it is suitable to remove the hardware by 3
months due to potential subacromial irritation and pressure-induced osteolysis.
PMID- 26556022
TI - Brain injury biomarkers in the setting of cardiac surgery: Still a world to
explore.
AB - BACKGROUND: Brain injury is an important, potentially devastating, complication
in cardiac surgery. A significant number of patients suffer perioperative
complications involving the central nervous system. Clinical manifestations of
brain injury are associated with significantly increased mortality, morbidity and
health resource utilization. Serum biomarkers have been studied in cardiac
surgery to measure the degree and incidence of brain injury and to improve
patient management. METHODS: All relevant studies were identified by computerized
searches of PubMed using the following Medical Subject Headings and keywords
term: biomarker, cardiac surgery, brain injury and neurological complication.
RESULTS: The biomarkers that appear to be better indicators of brain injury
severity in cardiac surgery and its consequences are S100B protein, neuron
specific enolase, glial fibrillary acidic protein, tau protein, matrix
metalloproteinase-9, ubiquitin C terminal hydroxylase-L1 and neurofilaments.
CONCLUSIONS: These biomarkers, independently of clinical and radiological
findings, show global cerebral situation at the cellular level and the degree of
brain dysfunction. However, up to date, there is no biomarker entirely suitable
for the detection of brain injury after cardiac surgery.
PMID- 26556023
TI - A Sensitive Electrochemiluminescence Immunosensor for Celiac Disease Diagnosis
Based on Nanoelectrode Ensembles.
AB - We report here the design of a novel immunosensor and its application for celiac
disease diagnosis, based on an electrogenerated chemiluminescence (ECL) readout,
using membrane-templated gold nanoelectrode ensembles (NEEs) as a detection
platform. An original sensing strategy is presented by segregating spatially the
initial electrochemical reaction and the location of the immobilized biomolecules
where ECL is finally emitted. The recognition scaffold is the following: tissue
transglutaminase (tTG) is immobilized as a capturing agent on the polycarbonate
(PC) surface of the track-etched templating membrane. It captures the target
tissue transglutaminase antibody (anti-tTG), and finally allows the
immobilization of a streptavidin-modified ruthenium-based ECL label via reaction
with a suitable biotinylated secondary antibody. The application of an oxidizing
potential in a tri-n-propylamine (TPrA) solution generates an intense and sharp
ECL signal, suitable for analytical purposes. Voltammetric and ECL analyses
evidenced that the ruthenium complex is not oxidized directly at the surface of
the nanoelectrodes; instead ECL is generated following the TPrA oxidation, which
produces the TPrA*+ and TPrA* radicals. With NEEs operating under total overlap
diffusion conditions, high local fluxes of these reactive radicals are produced
by the nanoelectrodes in the immediate vicinity of the ECL labels, so that they
efficiently generate the ECL signal. The radicals can diffuse over short
distances and react with the Ru(bpy)32+ label. In addition, the ECL emission is
obtained by applying a potential of 0.88 V versus Ag/AgCl, which is about 0.3 V
lower than when ECL is initiated by the electrochemical oxidation of
Ru(bpy)3(2+). The immunosensor provides ECL signals which scale with anti-tTG
concentration with a linearity range between 1.5 ng.mL-1 and 10 MUg.mL-1 and a
detection limit of 0.5 ng.mL-1. The sensor is finally applied to the analysis of
anti-tTG in human serum samples, showing to be suitable to discriminate between
healthy and celiac patients.
PMID- 26556024
TI - Innate immune memory via ATF7-dependent epigenetic changes.
PMID- 26556025
TI - Multiphysics Modeling of Microwave Heating of a Frozen Heterogeneous Meal
Rotating on a Turntable.
AB - A 3-dimensional (3-D) multiphysics model was developed to understand the
microwave heating process of a real heterogeneous food, multilayered frozen
lasagna. Near-perfect 3-D geometries of food package and microwave oven were
used. A multiphase porous media model combining the electromagnetic heat source
with heat and mass transfer, and incorporating phase change of melting and
evaporation was included in finite element model. Discrete rotation of food on
the turntable was incorporated. The model simulated for 6 min of microwave
cooking of a 450 g frozen lasagna kept at the center of the rotating turntable in
a 1200 W domestic oven. Temperature-dependent dielectric and thermal properties
of lasagna ingredients were measured and provided as inputs to the model.
Simulated temperature profiles were compared with experimental temperature
profiles obtained using a thermal imaging camera and fiber-optic sensors. The
total moisture loss in lasagna was predicted and compared with the experimental
moisture loss during cooking. The simulated spatial temperature patterns
predicted at the top layer was in good agreement with the corresponding patterns
observed in thermal images. Predicted point temperature profiles at 6 different
locations within the meal were compared with experimental temperature profiles
and root mean square error (RMSE) values ranged from 6.6 to 20.0 degrees C. The
predicted total moisture loss matched well with an RMSE value of 0.54 g.
Different layers of food components showed considerably different heating
performance. Food product developers can use this model for designing food
products by understanding the effect of thickness and order of each layer, and
material properties of each layer, and packaging shape on cooking performance.
PMID- 26556026
TI - Urinary system obstruction in a preterm infant: Questions.
PMID- 26556027
TI - Urinary system obstruction in a preterm infant: Answers.
PMID- 26556029
TI - Sequence-independent amplification coupled with DNA microarray analysis for
detection and genotyping of noroviruses.
AB - Noroviruses (NoVs) have high levels of genetic sequence diversities, which lead
to difficulties in designing robust universal primers to efficiently amplify
specific viral genomes for molecular analysis. We here described the practicality
of sequence-independent amplification combined with DNA microarray analysis for
simultaneous detection and genotyping of human NoVs in fecal specimens. We showed
that single primer isothermal linear amplification (Ribo-SPIA) of genogroup I
(GI) and genogroup II (GII) NoVs could be run through the same amplification
protocol without the need to design and use any virus-specific primers. Related
virus could be subtyped by the unique pattern of hybridization with the amplified
product to the microarray. By testing 22 clinical fecal specimens obtained from
acute gastroenteritis cases as blinded samples, 2 were GI positive and 18 were
GII positive as well as 2 negative for NoVs. A NoV GII positive specimen was also
identified as having co-occurrence of hepatitis A virus. The study showed that
there was 100 % concordance for positive NoV detection at genogroup level between
the results of Ribo-SPIA/microarray and the phylogenetic analysis of viral
sequences of the capsid gene. In addition, 85 % genotype agreement was observed
for the new assay compared to the results of phylogenetic analysis.
PMID- 26556031
TI - Simvastatin inhibits oxidative stress via the activation of nuclear factor
erythroid 2-related factor 2 signaling in trophoblast cells.
AB - AIM: Nuclear factor erythroid 2-related factor 2 (Nrf2) is a key transcriptional
regulator against oxidative stress through the induction of antioxidant and
cytoprotective genes, such as heme oxygenase 1 (HO-1), glutamyl cysteine ligase
catalytic (GCLC), and glutamyl cysteine ligase modulatory (GCLM). Nrf2 signaling
is disrupted in pre-eclamptic placentas, although increased oxidative stress is
implicated in pre-eclampsia. The aims of the study were: (i) to investigate the
mechanism that underlies the impaired Nrf2 signaling in pre-eclamptic placentas,
and (ii) to examine the potential therapeutic role of statin for pre-eclampsia.
MATERIAL AND METHODS: Human choriocarcinoma JAR cells were cultured under
normoxia (20% O2 ) or hypoxia (1% O2 ). Small-interfering ribonucleic acids were
used to knockdown Nrf2. Real-time quantitative reverse transcriptase polymerase
chain reaction and Western blotting were used to evaluate the influence of
oxidative stress (H2O2 100 MUM) and simvastatin (50 MUM) on Nrf2 and its target
genes. Reactive oxygen species levels were analyzed by flow cytometry in
immortalized human trophoblast TCL1 cells treated with or without H2O2 (100 MUM)
+/- simvastatin (50 MUM). RESULTS: Nuclear factor erythroid 2-related factor 2
activation was significantly suppressed under hypoxic conditions. Nrf2 knockdown
resulted in insufficient enhancement of HO-1, GCLC and GCLM expression under
oxidative stress. In contrast, Nrf2 signaling was augmented by simvastatin, which
suppressed the induction of oxidative stress in trophoblasts. CONCLUSION: Hypoxia
is one of the important negative regulators of Nrf2 activation, and simvastatin
inhibits oxidative stress through the activation of Nrf2 signaling in
trophoblasts, indicating the potential therapeutic role of statin for pre
eclampsia.
PMID- 26556028
TI - The non-immunosuppressive management of childhood nephrotic syndrome.
AB - Idiopathic nephrotic syndrome (INS) is one of the most common renal diseases
found in the paediatric population and is associated with significant
complications, including infection and thrombosis. A high proportion of children
enter sustained remission before adulthood, and therapy must therefore mitigate
the childhood complications, while minimising the long-term risk to health. Here
we address the main complications of INS and summarise the available evidence and
guidance to aid the clinician in determining the appropriate treatment for
children with INS under their care. Additionally, we highlight areas where no
consensus regarding appropriate management has been reached. In this review, we
detail the reasons why routine prophylactic antimicrobial and antithrombotic
therapy are not warranted in INS and emphasise the conservative management of
oedema. When pharmacological intervention is required for the treatment of
oedema, we provide guidance to aid the clinician in determining the appropriate
therapy. Additionally, we discuss obesity and growth, fracture risk,
dyslipidaemia and thyroid dysfunction associated with INS. Where appropriate, we
describe how recent developments in research have identified potential novel
therapeutic targets.
PMID- 26556030
TI - High-level fed-batch fermentative expression of an engineered Staphylococcal
protein A based ligand in E. coli: purification and characterization.
AB - The major platform for high level recombinant protein production is based on
genetically modified microorganisms like Escherichia coli (E. coli) due to its
short dividing time, ability to use inexpensive substrates and additionally, its
genetics is comparatively simple, well characterized and can be manipulated
easily. Here, we investigated the possibilities of finding the best media for
high cell density fermentation, by analyzing different media samples, focusing on
improving fermentation techniques and recombinant protein production. Initial
fermentation of E. coli BL21 DE3:pAV01 in baffled flasks showed that high cell
density was achieved when using complex media, Luria-Bertani (LB) and Terrific
medium broth (TB) (10 and 14 g/L wet weight, respectively), as compared to
mineral media M9, modified minimal medium (MMM) and Riesenberg mineral medium
(RM) (7, 8 and 7 g/L, respectively). However, in fed-batch fermentation processes
when using MMM after 25 h cultivation, it was possible to yield an optical
density (OD600) of 139 corresponding to 172 g/L of wet biomass was produced in a
30 L TV Techfors-S Infors HT fermenter, with a computer controlled nutrient
supply (glucose as a carbon source) delivery system, indicating nearly 1.5 times
that obtained from TB. Upon purification, a total of 1.65 mg/g of protein per
gram cell biomass was obtained and the purified AviPure showed affinity for
immunoglobulin. High cell density fed batch fermentation was achieved by
selecting the best media and growth conditions, by utilizing a number of
fermentation parameters like media, fermentation conditions, chemical
concentrations, pO2 level, stirrer speed, pH level and feed media addition. It is
possible to reach cell densities higher than shake flasks and stirred tank
reactors with the improved oxygen transfer rate and feed.
PMID- 26556032
TI - Comparison of methods for recruiting and engaging parents in online
interventions: study protocol for the Cry Baby infant sleep and settling program.
AB - BACKGROUND: Anticipatory guidance around the management of sleep and crying
problems in early infancy has been shown to improve both infant behaviour and
parent symptoms of postnatal depression. Digital technology offers platforms for
making such programs widely available in a cost-efficient manner. However, it
remains unclear who accesses online parenting advice and in particular, whether
the parents who would most benefit are represented amongst users. It is also
unknown whether the uptake of online programs can be improved by health
professional recommendations, or whether parents require additional prompts and
reminders to use the program. In this study we aim to: (1) determine whether
weekly email prompts increase engagement with and use of a brief online program
about infant sleeping and crying, (2) determine whether encouragement from a
maternal and child health nurse promotes greater engagement with and use of the
program, (3) examine who uses a brief online program about infant sleeping and
crying; and, (4) examine the psychosocial characteristics of participants.
METHODS/DESIGN: This study is a randomised, parallel group, superiority trial,
with all participating primary carers of infants aged 2 to 12 weeks, receiving
access to the online program. Two modes of recruitment will be compared:
recruitment via an online notice published on a non-commercial, highly credible
and evidence-based website for parents and carers and via the parent's Maternal
and Child Health nurse. After baseline assessment, parents will be randomised to
one of two support conditions: online program alone or online program plus weekly
email prompts. Follow up data will be collected at 4 months of infant age.
DISCUSSION: Results from this trial will indicate whether involvement from a
health professional, and/or ongoing email contact is necessary to engage parents
in a brief online intervention, and promote parental use of strategies suggested
within the program. Results of this trial will inform the development of
recruitment and engagement strategies for other online interventions. TRIAL
REGISTRATION: Australian New Zealand Clinical Trials Registry:
ACTRN12613001098729. Registered 01 October 2013.
PMID- 26556033
TI - Generation and Disease Model Relevance of a Manganese Enhanced Magnetic Resonance
Imaging-Based NOD/scid-IL-2Rgammac(null) Mouse Brain Atlas.
AB - Strain specific mouse brain magnetic resonance imaging (MRI) atlases provide
coordinate space linked anatomical registration. This allows longitudinal
quantitative analyses of neuroanatomical volumes and imaging metrics for
assessing the role played by aging and disease to the central nervous system. As
NOD/scid-IL-2Rgamma(c)(null) (NSG) mice allow human cell transplantation to study
human disease, these animals are used to assess brain morphology. Manganese
enhanced MRI (MEMRI) improves contrasts amongst brain components and as such can
greatly help identifying a broad number of structures on MRI. To this end, NSG
adult mouse brains were imaged in vivo on a 7.0 Tesla MR scanner at an isotropic
resolution of 100 MUm. A population averaged brain of 19 mice was generated using
an iterative alignment algorithm. MEMRI provided sufficient contrast permitting
41 brain structures to be manually labeled. Volumes of 7 humanized mice brain
structures were measured by atlas-based segmentation and compared against non
humanized controls. The humanized NSG mice brain volumes were smaller than
controls (p < 0.001). Many brain structures of humanized mice were significantly
smaller than controls. We posit that the irradiation and cell grafting involved
in the creation of humanized mice were responsible for the morphological
differences. Six NSG mice without MnCl2 administration were scanned with high
resolution T2-weighted MRI and segmented to test broad utility of the atlas.
PMID- 26556034
TI - Comparative Neuroregenerative Effects of C-Phycocyanin and IFN-Beta in a Model of
Multiple Sclerosis in Mice.
AB - Multiple Sclerosis (MS) therapies approved so far are unable to effectively
reverse the chronic phase of the disease or improve the remyelination process.
Here our aim is to evaluate the effects of C-Phycocyanin (C-Pc), a biliprotein
from Spirulina platensis with anti-oxidant, anti-inflammatory and cytoprotective
properties, in a chronic model of experimental autoimmune encephalomyelitis (EAE)
in mice. C-Pc (2, 4 or 8 mg/kg i.p.) or IFN-beta (2000 IU, s.c.) was administered
daily once a day or every other day, respectively, starting at disease onset,
which differ among EAE mice between 11 and 15 days postinduction. Histological
and immunohistochemistry (anti-Mac-3, anti-CD3 and anti-APP) assessments were
performed in spinal cord in the postinduction time. Global gene expression in the
brain was analyzed with the Illumina Mouse WG-6_V2 BeadChip microarray and the
expression of particular genes, assessed by qPCR using the Fast SYBR Green RT-PCR
Master Mix. Oxidative stress parameters (malondialdehyde, peroxidation potential,
CAT/SOD ratio and GSH) were determined spectrophoto-metrically. Results showed
that C-Pc ameliorates the clinical deterioration of animals, an effect that
expresses the reduction of the inflammatory infiltrates invading the spinal cord
tissue, the axonal preservation and the down-regulation of IL-17 expression in
brain tissue and serum. C-Pc and IFN-beta improved the redox status in mice
subjected to EAE, while microarray analysis showed that both treatments shared a
common subset of differentially expressed genes, although they also
differentially modulated another subset of genes. Specifically, C-Pc mainly
modulated the expression of genes related to remyelination, gliogenesis and axon
glia processes. Taken together, our results indicate that C-Pc has significant
therapeutic effects against EAE, mediated by the dynamic regulation of multiple
biological processes.
PMID- 26556035
TI - TP53 Mutational Spectrum in Endometrioid and Serous Endometrial Cancers.
AB - Endometrial carcinomas (ECs) are heterogeneous at the genetic level. Although
TP53 mutations are highly recurrent in serous endometrial carcinomas (SECs),
these are also present in a subset of endometrioid endometrial carcinomas (EECs).
Here, we sought to define the frequency, pattern, distribution, and type of TP53
somatic mutations in ECs by performing a reanalysis of the publicly available
data from The Cancer Genome Atlas (TCGA). A total of 228 EECs (n=186) and SECs
(n=42) from the TCGA data set, for which an integrated genomic characterization
was performed, were interrogated for the presence and type of TP53 mutations, and
for mutations in genes frequently mutated in ECs. TP53 mutations were found in
15% of EECs and 88% of SECs, and in 91% of copy-number-high and 35% of polymerase
(DNA directed), epsilon, catalytic subunit (POLE) integrative genomic subtypes.
In addition to differences in prevalence, variations in the type and pattern of
TP53 mutations were observed between histologic types and between integrative
genomic subtypes. TP53 hotspot mutations were significantly more frequently found
in SECs (46%) than in EECs (15%). TP53-mutant EECs significantly more frequently
harbored a co-occurring PTEN mutation than TP53-mutant SECs. Finally, a subset of
TP53-mutant ECs (22%) was found to harbor frameshift or nonsense mutations. Given
that nonsense and frameshift TP53 mutations result in distinct p53
immunohistochemical results that require careful interpretation, and that EECs
and SECs display different patterns, types, and distributions of TP53 mutations,
the use of the TP53/p53 status alone for the differential diagnosis of EECs and
SECs may not be sufficient.
PMID- 26556036
TI - Patient Satisfaction with Methadone Maintenance Treatment in Vietnam: A
Comparison of Different Integrative-Service Delivery Models.
AB - BACKGROUND: Patient satisfaction is an important component of quality in
healthcare delivery. To inform the expansion of Methadone Maintenance Treatment
(MMT) services in Vietnam, we examined the satisfaction of patients with regards
to different services delivery models and identified its associated factors.
METHODS: We interviewed 1,016 MMT patients at 5 clinics in Hanoi and Nam Dinh
province. The modified SATIS instrument, a 10-item scale, was used to measure
three dimensions: "Services quality and convenience", "Health workers' capacity
and responsiveness" and "Inter-professional care". RESULTS: The average score was
high across three SATIS dimensions. However, only one third of patients
completely satisfied with general health services and treatment outcomes. Older
age, higher education, having any problem in self-care and anxiety/depression
were negatively associated with patient's satisfaction. Meanwhile, patients
receiving MMT at clinics, where more comprehensive HIV and general health care
services were available, were more likely to report a complete satisfaction.
CONCLUSION: Patients were highly satisfied with MMT services in Vietnam. However,
treatment for drug users should go beyond methadone maintenance to address
complicated health demands of drug users. Integrating MMT with comprehensive HIV
and general health services together with improving the capacity of health
workers and efficiency of services organisation to provide interconnected health
care for drug users are critical for improving the outcomes of the MMT program.
PMID- 26556037
TI - Dissociated rapid eye movement sleep dream experiences in type 1 narcolepsy: a
case report.
PMID- 26556039
TI - Correlation between neurochemical metabolism and memory function in adolescent
patients with depression: A multi-voxel 1H magnetic resonance spectroscopy study.
AB - AIMS: We utilized multi-voxel proton magnetic resonance spectroscopy ((1)H-MRS)
to detect biochemical abnormalities in dorsolateral prefrontal white matter and
anterior cingulate gray matter and to determine the correlation of biochemical
changes with memory function in depressed adolescents. METHODS: A total of 24
depressed patients and 23 healthy controls were enrolled in this study. MRS was
performed to assess the N-acetylaspartate (NAA)/creatine Cr and choline (Cho)/Cr
ratios in dorsolateral prefrontal white matter and anterior cingulate gray matter
of participants. Memory function was measured on the basis of Wechsler Memory
Scale scores, and depression was diagnosed on the basis of clinical observation,
interview, and Hamilton Depression Rating Scale scores. RESULTS: Compared with
controls, depressed patients had significantly lower NAA/Cr and Cho/Cr ratios in
left dorsolateral prefrontal white matter and lower NAA/Cr ratios in right
dorsolateral prefrontal white matter (P < 0.05). No biochemical differences were
identified in the bilateral anterior cingulate gray matter between the two
groups. Nevertheless, the depressed patients showed significantly lower memory
quotient than controls (P < 0.05). The NAA/Cr ratio in dorsolateral prefrontal
white matter positively correlated with memory quotient (left: P < 0.01; right: P
< 0.05). CONCLUSIONS: These findings suggest that biochemical abnormalities in
prefrontal white matter are involved in the pathophysiology of adolescent
depression. In particular, such abnormalities are already present at the early
stage of the disorder, and low NAA/Cr in bilateral anterior frontal white matter
may be associated with memory impairment and related neuropathology.
PMID- 26556040
TI - Factors contributing to the treatment duration of diphenylcyclopropenone
immunotherapy for periungual warts.
AB - Diphenylcyclopropenone (DPCP) immunotherapy has been shown to be efficacious for
the treatment of warts, especially periungual warts for which destructive
techniques are limited. However, factors affecting the duration of treatment of
periungual warts have not been studied. A total of 61 patients with periungual
warts who were completely cured with DPCP immunotherapy were included in this
study. Age, sex, disease duration, location (fingernail, toenail, or both),
number of warts, diameter of the largest wart, application number for
sensitization and two types of sensitization reactions, erythema and blister
index (EBI), and pruritus index were evaluated. Multiple linear regression
analysis was performed to find correlations of these variables with the treatment
duration. Of the nine variables, application number for sensitization (regression
coefficient = 3.251 and 2.428, respectively) and EBI (regression coefficient =
9.950 and -9.694, respectively) were independent factors significantly affecting
both the total duration of treatment and the duration of treatment after
sensitization (p < 0.05, respectively). The sample size was limited. A shorter
sensitization period and more severe EBI of the sensitization reaction contribute
to a shorter time required for a complete cure in the treatment of periungual
warts with DPCP immunotherapy.
PMID- 26556038
TI - Two alternative pathways for generating transmissible prion disease de novo.
AB - INTRODUCTION: Previous studies established that prion disease with unique strain
specific phenotypes could be induced by in vitro-formed recombinant PrP (rPrP)
fibrils with structures different from that of authentic prions, or PrP(Sc). To
explain the etiology of prion diseases, new mechanism proposed that in animals
the transition from rPrP fibrils to PrP(Sc) consists of two main steps: the first
involves fibril-induced formation of atypical PrPres, a self-replicating but
clinically silent state, and the second consists of atypical PrPres-dependent
formation of PrP(Sc) via rare deformed templating events. RESULTS: In the current
study, atypical PrPres with characteristics similar to those of brain-derived
atypical PrPres was generated in vitro. Upon inoculation into animals, in vitro
generated atypical PrPres gave rise to PrP(Sc) and prion disease with a phenotype
similar to those induced by rPrP fibrils. Significant differences in the
sialylation pattern between atypical PrPres and PrP(Sc) suggested that only a
small sub-fraction of the PrP(C) that is acceptable as a substrate for PrP(Sc)
could be also recruited by atypical PrPres. This can explain why atypical PrPres
replicates slower than PrP(Sc) and why PrP(Sc) outcompetes atypical PrPres.
CONCLUSIONS: This study illustrates that transmissible prion diseases with very
similar disease phenotypes could be produced via two alternative procedures:
direct inoculation of recombinant PrP amyloid fibrils or in vitro-produced
atypical PrPres. Moreover, this work showed that preparations of atypical PrPres
free of PrP(Sc) can give rise to transmissible diseases in wild type animals and
that atypical PrPres generated in vitro is an adequate model for brain-derived
atypical PrPres.
PMID- 26556041
TI - TLR7 Gln11Leu single nucleotide polymorphism and response to treatment with
imiquimod in patients with basal cell carcinoma: a pilot study.
AB - AIM: The Toll-like receptor 7 (TLR7) agonist, imiquimod, offers a topical and
noninvasive therapeutic method for the clinical treatment of superficial basal
cell carcinoma (BCC). In this study we explored the relationship between the
functional X-linked TLR7 rs179008/Gln11Leu polymorphism and the response to
imiquimod in patients with BCC. PATIENTS & METHODS: Thirty-four BCC patients
treated with imiquimod were included in the study. SNP genotyping of the TLR7
promoter polymorphism was performed by TaqMan allelic discrimination assay.
RESULTS: In the group of female nonresponders to imiquimod a higher frequency of
the altered genotype compared with responders was observed. Similarly, in the
group of male nonresponders to imiquimod both patients with the mutated genotype
were nonresponders. CONCLUSION: The results of this study show that patients
carrying at least one T allele of the TLR7 promoter polymorphism are associated
with an increased probability to be resistant to imiquimod therapy.
PMID- 26556042
TI - Species identification of dermatophytes in paraffin-embedded biopsies with a new
polymerase chain reaction assay targeting the internal transcribed spacer 2
region and comparison with histopathological features.
AB - BACKGROUND: Dermatophytosis is a very common skin infection with a broad clinical
spectrum. Biopsies are often used to confirm the diagnosis, especially when the
clinical presentation is unusual. Not uncommonly, organisms are hard to find even
with periodic acid-Schiff stains. Polymerase chain reaction (PCR) for
dermatophytes can be used in such cases. OBJECTIVES: To test a new PCR assay
allowing species identification of dermatophytes on paraffin-embedded biopsies,
and to reassess histopathological criteria for diagnosis of dermatophytosis.
METHODS: In total, 121 biopsies of 92 patients with clinical suspicion of tinea
were included. In 42 samples the clinical diagnosis had been confirmed
histopathologically, and in 79 no fungal elements had been identified. PCRs
targeting the internal transcribed spacer (ITS)2 region of dermatophytes were
performed on the biopsies with subsequent sequencing. Sections were reassessed
for the presence/absence of hyphae/spores, pattern and composition of infiltrate,
and epidermal/follicular changes. Patient charts were reviewed for clinical data.
RESULTS: The new ITS2 PCR assay detected 94% of the dermatophyte infections
(compared with 79% identified by microscopy). Trichophyton rubrum was the
dominant species (89%), and other species identified were Trichophyton verrucosum
(2%), Microsporum canis (4%), Epidermophyton floccosum (2%) and Trichophyton
interdigitale (4%). In particular, infections with T. interdigitale and
manifestations with prominent spongiosis were not diagnosed histologically.
Intracorneal neutrophils, which have been emphasized as a histopathological clue
to dermatophytosis, were present in only 46% of PCR-positive samples.
CONCLUSIONS: Molecular species identification of dermatophytes via ITS2 PCR can
easily be implemented in a routine dermatopathology setting. It is fast and
highly specific and improves the sensitivity of histopathological diagnosis of
dermatophytosis.
PMID- 26556043
TI - Concentration Determination of Copper in Aqueous Solution Using Deposition
Assisted Laser-Induced Breakdown Spectroscopy (LIBS).
AB - In this paper, by means of Cu(2+) converting to Cu, the sub-ppb detection of
copper in aqueous solution was successfully achieved using laser-induced
breakdown spectroscopy (LIBS), and the sensitivity was found depending on the
voltage applied for the deposition. With increasing voltage, the minimum
detectable concentration was significantly lowered, while the signal intensity
instability was increased. In order to reduce the impact from the intensity
fluctuation, an estimation method was developed to determine the copper
concentration via comparing minimum detectable concentrations. The obtained
results suggest this method is a potential way toward quantitative analysis.
PMID- 26556044
TI - A Farm to Fork Risk Assessment for the Use of Wastewater in Agriculture in Accra,
Ghana.
AB - The need to minimise consumer risk, especially for food that can be consumed
uncooked, is a continuing public health concern, particularly in places where
safe sanitation and hygienic practices are absent. The use of wastewater in
agriculture has been associated with disease risks, though its relative
significance in disease transmission remains unclear. This study aimed at
identifying key risk factors for produce contamination at different entry points
of the food chain. Over 500 produce and ready-to-eat salad samples were collected
from fields, markets, and kitchens during the dry and wet seasons in Accra,
Ghana, and over 300 soil and irrigation water samples were collected. All samples
were analysed for E. coli, human adenovirus and norovirus using standard
microbiological procedures, and real time RT-PCR. Finally, critical exposures
associated with microbial quality of produce were assessed through observations
and interviews. The study found that over 80% of produce samples were
contaminated with E. coli, with median concentrations ranging from 0.64 to 3.84
Log E. coli/g produce. Prepared salad from street food vendors was found to be
the most contaminated (4.23 Log E. coli/g), and that consumption of salad
exceeded acceptable health limits. Key risk factors identified for produce
contamination were irrigation water and soil at the farm level. Storage duration
and temperature of produce had a significant influence on the quality of produce
sold at markets, while observations revealed that the washed water used to rinse
produce before sale was dirty. The source of produce and operating with a hygiene
permit were found to influence salad microbial quality at kitchens. This study
argues for a need to manage produce risk factors at all domains along the food
chain, though it would be more effective to prioritise at markets and kitchens
due to cost, ease of implementation and public health significance.
PMID- 26556045
TI - Outcomes and Diagnostic Processes in Outpatients with Presumptive Tuberculosis in
Zomba District, Malawi.
AB - BACKGROUND: In Malawi, outpatients who have presumptive tuberculosis (TB), i.e.
fever, night sweats, weight loss and/or any-duration cough (HIV-infected) or
cough of at least 2 weeks (HIV-uninfected), are registered in chronic cough
registers. They should receive a diagnostic work-up with first-step provider
initiated HIV testing and sputum testing which includes XpertMTB/RIF, following a
national algorithm introduced in 2012. METHODS: An operational study, in which we
prospectively studied 6-month outcomes of adult outpatients who were registered
in chronic cough registers in Zomba Central Hospital and Matawale peri-urban
Health Center, between February and September 2013. We recorded implementation of
the diagnostic protocol and outcomes at 6 months from registration. RESULTS: Of
348 patients enrolled, 165(47%) were male, median age was 40 years, 72(21%) had
previous TB. At registration 154(44%) were known HIV-positive, 34(10%) HIV
negative (26 unconfirmed) and 160(46%) had unknown HIV status; 104(56%) patients
with unknown/unconfirmed HIV status underwent HIV testing. At 6 months 191(55%)
were HIV-positive, 87(25%) HIV-negative (26 unconfirmed) and 70(20%) still had
unknown HIV status. Higher age and registration in Matawale were independently
associated with remaining unknown HIV status after 6 months. 62% of patients had
sputum tested, including XpertMTB/RIF, according to the algorithm. TB was
diagnosed in 54(15%) patients. This was based on XpertMTB/RIF results in 8(15%)
diagnosed cases. In 26(48%) TB was diagnosed on clinical grounds. Coverage of ART
in HIV-positive patients was 89%. At 6 months, 236(68%) were asymptomatic,
48(14%) symptomatic, 25(7%) had been lost-to-follow-up and 39(11%) had died.
Mortality among those HIV-positive, HIV-negative and with unknown HIV-status was
15%, 2% and 10%, respectively. Male gender, being HIV-positive-not-on-ART and not
receiving antibiotics were independent risk factors for mortality. CONCLUSION:
HIV prevalence among patients with presumptive TB was high (55%). One quarter was
not HIV tested and mortality in this group was substantial (10%). The impact of
XpertMTB/RIF on TB diagnosis was limited.
PMID- 26556047
TI - Implementation of a Pan-Genomic Approach to Investigate Holobiont-Infecting
Microbe Interaction: A Case Report of a Leukemic Patient with Invasive
Mucormycosis.
AB - Disease can be conceptualized as the result of interactions between infecting
microbe and holobiont, the combination of a host and its microbial communities.
It is likely that genomic variation in the host, infecting microbe, and commensal
microbiota are key determinants of infectious disease clinical outcomes. However,
until recently, simultaneous, multiomic investigation of infecting microbe and
holobiont components has rarely been explored. Herein, we characterized the
infecting microbe, host, micro- and mycobiomes leading up to infection onset in a
leukemia patient that developed invasive mucormycosis. We discovered that the
patient was infected with a strain of the recently described Mucor velutinosus
species which we determined was hypervirulent in a Drosophila challenge model and
has a predisposition for skin dissemination. After completing the infecting M.
velutinosus genome and genomes from four other Mucor species, comparative
pathogenomics was performed and assisted in identifying 66 M. velutinosus
specific putatively secreted proteins, including multiple novel secreted aspartyl
proteinases which may contribute to the unique clinical presentation of skin
dissemination. Whole exome sequencing of the patient revealed multiple non
synonymous polymorphisms in genes critical to control of fungal proliferation,
such as TLR6 and PTX3. Moreover, the patient had a non-synonymous polymorphism in
the NOD2 gene and a missense mutation in FUT2, which have been linked to
microbial dysbiosis and microbiome diversity maintenance during physiologic
stress, respectively. In concert with host genetic polymorphism data, the micro-
and mycobiome analyses revealed that the infection developed amid a dysbiotic
microbiome with low alpha-diversity, dominated by staphylococci. Additionally,
longitudinal mycobiome data showed that M. velutinosus DNA was detectable in oral
samples preceding disease onset. Our genome-level study of the host-infecting
microbe-commensal triad extends the concept of personalized genomic medicine to
the holobiont-infecting microbe interface thereby offering novel opportunities
for using synergistic genetic methods to increase understanding of infectious
diseases pathogenesis and clinical outcomes.
PMID- 26556046
TI - The Effect of Acute and Chronic Social Stress on the Hippocampal Transcriptome in
Mice.
AB - Psychogenic stress contributes to the formation of brain pathology. Using gene
expression microarrays, we analyzed the hippocampal transcriptome of mice
subjected to acute and chronic social stress of different duration. The longest
period of social stress altered the expression of the highest number of genes and
most of the stress-induced changes in transcription were reversible after 5 days
of rest. Chronic stress affected genes involved in the functioning of the
vascular system (Alas2, Hbb-b1, Hba-a2, Hba-a1), injury response (Vwf, Mgp, Cfh,
Fbln5, Col3a1, Ctgf) and inflammation (S100a8, S100a9, Ctla2a, Ctla2b, Lcn2,
Lrg1, Rsad2, Isg20). The results suggest that stress may affect brain functions
through the stress-induced dysfunction of the vascular system. An important issue
raised in our work is also the risk of the contamination of brain tissue samples
with choroid plexus. Such contamination would result in a consistent up- or down
regulation of genes, such as Ttr, Igf2, Igfbp2, Prlr, Enpp2, Sostdc1,
1500015O10RIK (Ecrg4), Kl, Clic6, Kcne2, F5, Slc4a5, and Aqp1. Our study suggests
that some of the previously reported, supposedly specific changes in hippocampal
gene expression, may be a result of the inclusion of choroid plexus in the
hippocampal samples.
PMID- 26556048
TI - Twelve-Year Retention Rate of First-Line Tumor Necrosis Factor Inhibitors in
Rheumatoid Arthritis: Real-Life Data From a Local Registry.
AB - OBJECTIVE: To evaluate the 12-year survival of the first tumor necrosis factor
inhibitor (TNFi) treatment in a cohort of rheumatoid arthritis (RA) patients,
comparing the between-groups discontinuation rates for infliximab, etanercept,
and adalimumab. METHODS: RA patients treated with their first TNFi were
investigated from a local registry. Before and after adjusting for propensity
scores, overall and by individual TNFi 12-year drug retention was evaluated. Drug
survival rates were calculated using the Kaplan-Meier method and compared by the
Cox extended model. Subanalyses were performed according to concomitant
methotrexate (MTX) and discontinuation reasons. RESULTS: Of 583 patients, 222
were treated with infliximab, 179 with etanercept, and 182 with adalimumab; 33.7%
and 26% discontinued the first TNFi because of inefficacy or adverse events,
respectively. The overall 12-year drug survival rate for the unmatched population
was 23.4%. In the propensity score-adjusted population, the hazard ratio (HR) for
treatment discontinuation was significantly greater for adalimumab and infliximab
versus etanercept (HR 2.89 [95% confidence interval (95% CI) 2.2-3.78] and HR
2.56 [95% CI 1.92-3.4], respectively), and no difference was found between and
for adalimumab versus infliximab (HR 1.16 [95% CI 0.91-1.47]). The incidence of
withdrawal due to secondary inefficacy was stable from 3 to 12 years for
etanercept, but progressively increased for the monoclonal antibodies.
Concomitant MTX significantly increased the survival of both adalimumab and
etanercept (HR 1.48 [95% CI 1.18-1.86]). CONCLUSION: The overall 12-year drug
survival rate was 23.4%, being significantly higher for etanercept than
adalimumab and infliximab. Etanercept discontinuations for inefficacy did not
increase from 3 to 12 years. Concomitant MTX increased adalimumab and etanercept
drug survival.
PMID- 26556049
TI - Spatial and temporal analyses of metrics of tuberculosis infection in badgers
(Meles meles) from the Republic of Ireland: Trends in apparent prevalence.
AB - Badgers are a wildlife host of Mycobacterium bovis, the causative agent of bovine
tuberculosis (bTB), and an important contributor to the epidemiology of bTB in
cattle in Ireland and Britain. Repeated culling of badgers in high prevalence
cattle bTB areas has been used in the Republic of Ireland as one tool to reduce
intra- and interspecific transmission of M. bovis. We assessed factors that
influenced infection prevalence of culled badgers from 2009 to 2012 (n=4948)
where spatial, temporal and intrinsic factor data were available using
multivariable modelling. Prevalence appeared higher in western areas than eastern
areas of Ireland and badgers were more likely to be test-positive if caught at a
sett (burrow system) which was close to other infected setts (spatial clustering
of infection). There was a significant positive association between badger test
status and cattle prevalence of M. bovis infection at a spatial scale of 1km
around setts. Badgers were more likely to be deemed test positive if they were
male (OR: 1.9) or a parous female (OR: 1.7), compared to a female who had never
conceived. Our results are consistent with different groups within badger
populations having differential exposures and therefore infection risk (for
example, parous vs. non-parous females). Furthermore, bTB clusters within the
badger population, with greater risk to badgers in setts that are closest to
other infected setts. The effective scale of the association of bTB risk between
badger and cattle populations may be relatively large in Ireland. Our data
indicate that the overall trend in prevalence of M. bovis infection in badgers
has decreased in Ireland (P<0.001) while controlling for significant confounders
over the study period, and follows a longer temporal trend from 2007 to 2013,
where unadjusted apparent prevalence declined from 26% to 11% during 2007 to mid
2011, followed by a stable trend between 9 and 11% thereafter (n=10,267).
PMID- 26556050
TI - Introduction to the special issue: Diseases, dilemmas, decisions: Epidemiological
tools to find answers for difficult disease control problems. SCHWABE 2014
Symposium Honoring the Legacy of Dr Roger Morris.
PMID- 26556052
TI - African humid periods triggered the reactivation of a large river system in
Western Sahara.
AB - The Sahara experienced several humid episodes during the late Quaternary,
associated with the development of vast fluvial networks and enhanced freshwater
delivery to the surrounding ocean margins. In particular, marine sediment records
off Western Sahara indicate deposition of river-borne material at those times,
implying sustained fluvial discharges along the West African margin. Today,
however, no major river exists in this area; therefore, the origin of these
sediments remains unclear. Here, using orbital radar satellite imagery, we
present geomorphological data that reveal the existence of a large buried
paleodrainage network on the Mauritanian coast. On the basis of evidence from the
literature, we propose that reactivation of this major paleoriver during past
humid periods contributed to the delivery of sediments to the Tropical Atlantic
margin. This finding provides new insights for the interpretation of terrigenous
sediment records off Western Africa, with important implications for our
understanding of the paleohydrological history of the Sahara.
PMID- 26556053
TI - Rational design of a carboxylic esterase RhEst1 based on computational analysis
of substrate binding.
AB - A new carboxylic esterase RhEst1 which catalyzes the hydrolysis of (S)-(+)-2,2
dimethylcyclopropanecarboxylate (S-DmCpCe), the key chiral building block of
cilastatin, was identified and subsequently crystallized in our previous work.
Mutant RhEst1A147I/V148F/G254A was found to show a 5-fold increase in the
catalytic activity. In this work, molecular dynamic simulations were performed to
elucidate the molecular determinant of the enzyme activity. Our simulations show
that the substrate binds much more strongly in the A147I/V148F/G254A mutant than
in wild type, with more hydrogen bonds formed between the substrate and the
catalytic triad and the oxyanion hole. The OH group of the catalytic residue
Ser101 in the mutant is better positioned to initiate the nucleophilic attack on
S-DmCpCe. Interestingly, the "170-179" loop which is involved in shaping the
catalytic sites and facilitating the product release shows remarkable dynamic
differences in the two systems. Based on the simulation results, six residues
were identified as potential "hot-spots" for further experimental testing.
Consequently, the G126S and R133L mutants show higher catalytic efficiency as
compared with the wild type. This work provides molecular-level insights into the
substrate binding mechanism of carboxylic esterase RhEst1, facilitating future
experimental efforts toward developing more efficient RhEst1 variants for
industrial applications.
PMID- 26556054
TI - Probing the Carbonyl Functionality of a Petroleum Resin and Asphaltene through
Oximation and Schiff Base Formation in Conjunction with N-15 NMR.
AB - Despite recent advances in spectroscopic techniques, there is uncertainty
regarding the nature of the carbonyl groups in the asphaltene and resin fractions
of crude oil, information necessary for an understanding of the physical
properties and environmental fate of these materials. Carbonyl and hydroxyl group
functionalities are not observed in natural abundance 13C nuclear magnetic
resonance (NMR) spectra of asphaltenes and resins and therefore require spin
labeling techniques for detection. In this study, the carbonyl functionalities of
the resin and asphaltene fractions from a light aliphatic crude oil that is the
source of groundwater contamination at the long term USGS study site near
Bemidji, Minnesota, have been examined through reaction with 15N-labeled
hydroxylamine and aniline in conjunction with analysis by solid and liquid state
15N NMR. Ketone groups were revealed through 15N NMR detection of their oxime and
Schiff base derivatives, and esters through their hydroxamic acid derivatives.
Anilinohydroquinone adducts provided evidence for quinones. Some possible
configurations of the ketone groups in the resin and asphaltene fractions can be
inferred from a consideration of the likely reactions that lead to heterocyclic
condensation products with aniline and to the Beckmann reaction products from the
initially formed oximes. These include aromatic ketones and ketones adjacent to
quaternary carbon centers, beta-hydroxyketones, beta-diketones, and beta
ketoesters. In a solid state cross polarization/magic angle spinning (CP/MAS) 15N
NMR spectrum recorded on the underivatized asphaltene as a control, carbazole and
pyrrole-like nitrogens were the major naturally abundant nitrogens detected.
PMID- 26556051
TI - Effect of Intravascular Ultrasound-Guided vs Angiography-Guided Everolimus
Eluting Stent Implantation: The IVUS-XPL Randomized Clinical Trial.
AB - IMPORTANCE: Use of intravascular ultrasound (IVUS) promotes better clinical
outcomes for coronary intervention in complex coronary lesions. However,
randomized data demonstrating the clinical usefulness of IVUS are limited for
lesions treated with drug-eluting stents. OBJECTIVE: To determine whether the
long-term clinical outcomes with IVUS-guided drug-eluting stent implantation are
superior to those with angiography-guided implantation in patients with long
coronary lesions. DESIGN, SETTING, AND PARTICIPANTS: The Impact of Intravascular
Ultrasound Guidance on Outcomes of Xience Prime Stents in Long Lesions (IVUS-XPL)
randomized, multicenter trial was conducted in 1400 patients with long coronary
lesions (implanted stent >=28 mm in length) between October 2010 and July 2014 at
20 centers in Korea. INTERVENTIONS: Patients were randomly assigned to receive
IVUS-guided (n = 700) or angiography-guided (n = 700) everolimus-eluting stent
implantation. MAIN OUTCOMES AND MEASURES: Primary outcome measure was the
composite of major adverse cardiac events, including cardiac death, target lesion
related myocardial infarction, or ischemia-driven target lesion revascularization
at 1 year, analyzed by intention-to-treat. RESULTS: One-year follow-up was
complete in 1323 patients (94.5%). Major adverse cardiac events at 1 year
occurred in 19 patients (2.9%) undergoing IVUS-guided and in 39 patients (5.8%)
undergoing angiography-guided stent implantation (absolute difference, -2.97%
[95% CI, -5.14% to -0.79%]) (hazard ratio [HR], 0.48 [95% CI, 0.28 to 0.83], P =
.007). The difference was driven by a lower risk of ischemia-driven target lesion
revascularization in patients undergoing IVUS-guided (17 [2.5%]) compared with
angiography-guided (33 [5.0%]) stent implantation (HR, 0.51 [95% CI, 0.28 to
0.91], P = .02). Cardiac death and target lesion-related myocardial infarction
were not significantly different between the 2 groups. For cardiac death, there
were 3 patients (0.4%) in the IVUS-guided group and 5 patients (0.7%) in the
angiography-guided group (HR, 0.60 [95% CI, 0.14 to 2.52], P = .48). Target
lesion-related myocardial infarction occurred in 1 patient (0.1%) in the
angiography-guided stent implantation group (P = .32). CONCLUSIONS AND RELEVANCE:
Among patients requiring long coronary stent implantation, the use of IVUS-guided
everolimus-eluting stent implantation, compared with angiography-guided stent
implantation, resulted in a significantly lower rate of the composite of major
adverse cardiac events at 1 year. These differences were primarily due to lower
risk of target lesion revascularization. TRIAL REGISTRATION: clinicaltrials.gov
Identifier: NCT01308281.
PMID- 26556055
TI - High-Dose Benzodiazepine Dependence: A Qualitative Study of Patients' Perceptions
on Initiation, Reasons for Use, and Obtainment.
AB - BACKGROUND: High-dose benzodiazepine (BZD) dependence is associated with a wide
variety of negative health consequences. Affected individuals are reported to
suffer from severe mental disorders and are often unable to achieve long-term
abstinence via recommended discontinuation strategies. Although it is
increasingly understood that treatment interventions should take subjective
experiences and beliefs into account, the perceptions of this group of
individuals remain under-investigated. METHODS: We conducted an exploratory
qualitative study with 41 adult subjects meeting criteria for (high-dose) BZD
dependence, as defined by ICD-10. One-on-one in-depth interviews allowed for an
exploration of this group's views on the reasons behind their initial and then
continued use of BZDs, as well as their procurement strategies. Mayring's
qualitative content analysis was used to evaluate our data. RESULTS: In this
sample, all participants had developed explanatory models for why they began
using BZDs. We identified a multitude of reasons that we grouped into four broad
categories, as explaining continued BZD use: (1) to cope with symptoms of
psychological distress or mental disorder other than substance use, (2) to manage
symptoms of physical or psychological discomfort associated with somatic
disorder, (3) to alleviate symptoms of substance-related disorders, and (4) for
recreational purposes, that is, sensation-seeking and other social reasons.
Subjects often considered BZDs less dangerous than other substances and
associated their use more often with harm reduction than as recreational.
Specific obtainment strategies varied widely: the majority of participants
oscillated between legal and illegal methods, often relying on the black market
when faced with treatment termination. CONCLUSIONS: Irrespective of comorbidity,
participants expressed a clear preference for medically related explanatory
models for their BZD use. We therefore suggest that clinicians consider patients'
motives for long-term, high-dose BZD use when formulating treatment plans for
this patient group, especially since it is known that individuals are more
compliant with approaches they perceive to be manageable, tolerable, and
effective.
PMID- 26556057
TI - Patient Compliance in Home-Based Self-Care Telehealth Projects.
AB - This paper presents the findings of a literature review on patient compliance in
home-based self-care telehealth monitoring situations, intended to establish a
knowledge base for this aspect which is often neglected alongside more
conventional clinical, economic and service evaluations. A systematic search
strategy led to 72 peer-reviewed published scientific papers being selected as
most relevant to the topic, 58 of which appeared in the last 10 years. Patient
conditions in which most evidence for compliance was found were blood pressure,
heart failure and stroke, diabetes, asthma, chronic obstructive pulmonary disease
and other respiratory diseases. In general, good compliance at the start of a
study was found to drop off over time, most rapidly in the period immediately
after the start. Success factors identified in the study included the extent of
patient health education, telehealth system implementation style, user training
and competence in system usage, active human support from the healthcare provider
and maintaining strong participant motivation.
PMID- 26556058
TI - Telemedicine in the acute health setting: A disruptive innovation for specialists
(an example from stroke).
AB - INTRODUCTION: Telemedicine is a disruptive innovation within health care settings
as consultations take place via audio-visual technology rather than traditional
face-to-face. Specialist perceptions and experiences of providing audio-visual
consultations in emergency situations, however, are not well understood. The aim
of this exploratory study was to describe the experience of medical specialists
providing acute stroke decision-making support via telemedicine. METHODS: Data
from the Victorian Stroke Telemedicine (VST) programme were used. The experiences
of specialists providing an acute clinical telemedicine service to rural
emergency departments were explored, drawing on disruptive innovation theory.
Document analysis of programme consultation records, meeting minutes and in-depth
individual interviews with three neurologists were analysed using triangulation.
RESULTS: Since February 2014, 269 stroke telemedicine consultations with 12
neurologists have occurred. Retention on the roster has varied between 1 and >4
years. Overall, neurologists reported benefits of participation, as they were
addressing health equity gaps for rural patients. Negative effects were the
unpredictability of consultations impacting on their personal life, the mixed
level of experience of colleagues initiating the consult and not knowing patient
outcomes since follow-up communication was not routine. CONCLUSIONS: Insights
into workforce experience and satisfaction were identified to inform strategies
to support specialists to adapt to the disruptive innovation of telemedicine.
PMID- 26556056
TI - Evolution of the EKA family of powdery mildew avirulence-effector genes from the
ORF 1 of a LINE retrotransposon.
AB - BACKGROUND: The Avrk1 and Avra10 avirulence (AVR) genes encode effectors that
increase the pathogenicity of the fungus Blumeria graminis f.sp. hordei (Bgh),
the powdery mildew pathogen, in susceptible barley plants. In resistant barley,
MLK1 and MLA10 resistance proteins recognize the presence of AVRK1 and AVRA10,
eliciting the hypersensitive response typical of gene for gene interactions.
Avrk1 and Avra10 have more than 1350 homologues in Bgh genome, forming the EKA
(Effectors homologous to Avr k 1 and Avr a 10) gene family. RESULTS: We tested
the hypothesis that the EKA family originated from degenerate copies of Class I
LINE retrotransposons by analysing the EKA family in the genome of Bgh isolate
DH14 with bioinformatic tools specially developed for the analysis of
Transposable Elements (TE) in genomes. The Class I LINE retrotransposon copies
homologous to Avrk1 and Avra10 represent 6.5 % of the Bgh annotated genome and,
among them, we identified 293 AVR/effector candidate genes. We also
experimentally identified peptides that indicated the translation of several
predicted proteins from EKA family members, which had higher relative abundance
in haustoria than in hyphae. CONCLUSIONS: Our analyses indicate that Avrk1 and
Avra10 have evolved from part of the ORF1 gene of Class I LINE retrotransposons.
The co-option of Avra10 and Avrk1 as effectors from truncated copies of
retrotransposons explains the huge number of homologues in Bgh genome that could
act as dynamic reservoirs from which new effector genes may evolve. These data
provide further evidence for recruitment of retrotransposons in the evolution of
new biological functions.
PMID- 26556059
TI - A telegeriatric service in a small rural hospital: A case study and cost
analysis.
AB - INTRODUCTION: Small hospitals in rural areas usually have an insufficient
caseload of frail old people to justify the regular presence of a geriatrician.
This study examined the costs of providing a telegeriatric service by
videoconference in a rural hospital, compared to the costs of a visiting
geriatrician that travels to undertake in-person consultations. METHODS: A cost
analysis was undertaken to compare the costs of the telegeriatric service model
with the costs of a visiting geriatrician service model. A recently established
telegeriatric service at Warwick Hospital was used as a case study. RESULTS: In
the base case model (assuming four patients per round and a round-trip travel
distance of 312 kilometres), an estimated AUD$131 per patient consultation can be
saved in favour of the telegeriatric service model. Key drivers of costs are the
number of patients per round and the travel distance and time in the visiting
geriatrician model. At a workload of four patients per round, it is less
expensive to conduct a telegeriatric service than a visiting geriatrician service
when the round-trip travel time exceeds 76 minutes. DISCUSSION: Even under quite
conservative assumptions, a telegeriatric service offers an economically feasible
approach to the delivery of specialist geriatric assessment in rural and remote
settings.
PMID- 26556060
TI - Effectiveness of a teleaudiology approach to hearing aid fitting.
AB - This research was conducted to evaluate the efficacy of an online speech
perception test (SPT) for the measurement of hearing and hearing aid fitting in
comparison with conventional methods. Phase 1 was performed with 88 people to
evaluate the SPT for the detection of significant hearing loss. The SPT had high
sensitivity (94%) and high selectivity (98%). In Phase 2, phonetic stimulus
response matrices derived from the SPT results for 408 people were used to
calculate "InfogramsTM." At every frequency, there was a highly significant
correlation (p < 0.001) between hearing thresholds derived from the Infogram and
conventional audiograms. In Phase 3, initial hearing aid fittings were derived
from conventional audiograms and Infograms for two groups of hearing impaired
people. Unaided and aided SPTs were used to measure the perceptual benefit of the
aids for the two groups. The mean increases between unaided and aided SPT scores
were 19.6%, and 22.2% (n = 517, 484; t = 2.2; p < 0.05) for hearing aids fitted
using conventional audiograms and Infograms respectively. The research provided
evidence that the SPT is a highly effective tool for the detection and
measurement of hearing loss and hearing aid fitting. Use of the SPT reduces the
costs and increases the effectiveness of hearing aid fitting, thereby enabling a
sustainable teleaudiology business model.
PMID- 26556061
TI - Identifying perceived barriers to videoconferencing by rehabilitation medicine
providers.
AB - The aim of this study was to identify barriers to the utilisation of
videoconferencing within a multidisciplinary rehabilitation medicine healthcare
team, as the first step towards creating a telerehabilitation service. A survey
was developed on videoconference use and barriers to use, and distributed to
healthcare providers including rehabilitation medicine societies and allied
health societies through an anonymous link to SurveyMonkey((r)). There were 254
respondents, practicing primarily in Australia (n = 245), in various healthcare
roles. One-hundred and fifty-nine (66%) of respondents used videoconferencing
regularly, primarily for their own education. Respondents not currently utilising
videoconferencing (n = 82, 34%) ranked the reasons for this and provided free
text responses to explain why this modality was not being utilised in practice.
Respondents were reluctant to use videoconferencing because of perceived increase
in time needed for video consultations compared to face-to-face consultations,
concerns with lack of privacy and confidentiality, and a lack of clinical
practice guidelines for video consultation. We believe many barriers to
videoconferencing by healthcare providers can be managed with appropriate
education and targeted training. Future research studies, which focus on
standards and clinical practice guidelines for videoconferencing by healthcare
providers, may result in increased utilisation of this modality for healthcare
delivery in rehabilitation medicine.
PMID- 26556062
TI - An evaluation of the benefits and challenges of video consulting between general
practitioners and residential aged care facilities.
AB - This research evaluated a project that provided video consultations between
general practitioners (GPs) and residential aged care facilities (RACFs), with
the aim of enabling faster access to medical care and avoidance of unnecessary
hospital transfers. GPs were paid for video consultations at a rate equivalent to
existing insurance reimbursement for supporting telehealth services. Evaluation
data were gathered by direct observation at the project sites, semi-structured
interviews and video call data from the technical network. Three pairs of general
practices and RACFs were recruited to the project. 40 video consultations
eligible for payment occurred over a 6 month period, three of which were judged
to have avoided hospital attendance. The process development and change
management aspects of the project required substantially more effort than was
anticipated. This was due to problems with RACF technical infrastructure, the
need for repeated training and awareness raising in RACFs, the challenge of
establishing new clinical procedures, the short length of the project and broader
difficulties in the relationships between GPs and RACFs. Video consulting between
GPs and RACFs was clinically useful and avoided hospital attendance on a small
scale, but further focus on process development is needed to embed this as a
routine method of service delivery.
PMID- 26556063
TI - miRNAs are required for the terminal differentiation of white matter astrocytes
in the developing CNS.
AB - While the regulation of the neurogenesis and oligodendrogenesis by microRNAs has
been intensively studied, little is known about the role of microRNAs (miRNAs) in
the development of astrocytes. Here, we report that microRNAs play an essential
role in the differentiation and maturation of white matter astrocytes in mouse
spinal cord tissues. In glial fibrillary acidic protein (GFAP)/Dicer conditional
mutants, the initial generation of astrocyte progenitor cells was normal in the
spinal cord. However, there was a much reduced number of GFAP+ astrocytes with
shorter processes in the white matter of mutant tissues. In contrast, the
expression of gray matter protoplasmic astrocyte marker was not affected.
Together, our studies indicated that miRNAs are required for the differentiation
and morphological maturation of white matter fibrous astrocytes in the developing
spinal cord.
PMID- 26556064
TI - Effectiveness of different corticosterone administration methods to elevate
corticosterone serum levels, induce depressive-like behavior, and affect
neurogenesis levels in female rats.
AB - High levels of chronic stress or stress hormones are associated with depressive
like behavior in animal models. However, slight elevations in corticosterone
(CORT) - the major stress hormone in rodents - have also been associated with
improved performances, albeit in a sex-dependent manner. Some of the
discrepancies in the literature regarding the effects of high CORT levels may be
due to different administrations methods. The current study aims to compare the
effects of ~40mg/kg given either via subcutaneous injection, through an implanted
pellet, or in the drinking water, for ~21days on CORT serum levels, depressive
like behavior in the forced swim test (FST), and neurogenesis levels in the
dentate gyrus (DG) in adult female rats. We found that animals exposed to the
daily injections showed elevated CORT levels throughout the administration
period, while the pellet animals showed only a transient increase, and drinking
water animals revealed no elevation in CORT in serum. In addition, only the
injection group exhibited higher levels of immobility in the FST. Interestingly,
animals receiving CORT via injection or drinking water had lower numbers of
doublecortin-positive cells in the ventral DG one week after the last CORT
administration compared to animals implanted with a CORT pellet. These results
will contribute to the growing literature on the effects of chronic CORT exposure
and may help to clarify some of the discrepancies among previous studies,
particularly in females.
PMID- 26556065
TI - Phantom hand and wrist movements in upper limb amputees are slow but naturally
controlled movements.
AB - After limb amputation, patients often wake up with a vivid perception of the
presence of the missing limb, called "phantom limb". Phantom limbs have mostly
been studied with respect to pain sensation. But patients can experience many
other phantom sensations, including voluntary movements. The goal of the present
study was to quantify phantom movement kinematics and relate these to intact limb
kinematics and to the time elapsed since amputation. Six upper arm and two
forearm amputees with various delays since amputation (6months to 32years)
performed phantom finger, hand and wrist movements at self-chosen comfortable
velocities. The kinematics of the phantom movements was indirectly obtained via
the intact limb that synchronously mimicked the phantom limb movements, using a
Cyberglove(r) for measuring finger movements and an inertial measurement unit for
wrist movements. Results show that the execution of phantom movements is
perceived as "natural" but effortful. The types of phantom movements that can be
performed are variable between the patients but they could all perform thumb
flexion/extension and global hand opening/closure. Finger extension movements
appeared to be 24% faster than finger flexion movements. Neither the number of
types of phantom movements that can be executed nor the kinematic characteristics
were related to the elapsed time since amputation, highlighting the persistence
of post-amputation neural adaptation. We hypothesize that the perceived slowness
of phantom movements is related to altered proprioceptive feedback that cannot be
recalibrated by lack of visual feedback during phantom movement execution.
PMID- 26556066
TI - Harmaline-induced amnesia: Possible role of the amygdala dopaminergic system.
AB - In this study, we examined the effect of bilateral intra-basolateral amygdala
(intra-BLA) microinjections of dopamine receptor agents on amnesia induced by a
beta-carboline alkaloid, harmaline in mice. We used a step-down method to assess
memory and then, hole-board method to assess exploratory behaviors. The results
showed that pre-training intra-BLA injections of dopamine D1 receptor antagonist
and agonist (SCH23390 (0.5MUg/mouse) and SKF38393 (0.5MUg/mouse), respectively)
impaired memory acquisition. In contrast, pre-training intra-BLA injections of
dopamine D2 receptor antagonist and agonist (sulpiride and quinpirole,
respectively) have no significant effect on memory acquisition. Pre-training
intra-peritoneal (i.p.) injection of harmaline (1mg/kg) decreased memory
acquisition. However, co-administration of SCH 23390 (0.01MUg/mouse) with
different doses of harmaline did not alter amnesia. Conversely, pre-training
intra-BLA injection of SKF38393 (0.1MUg/mouse), sulpiride (0.25MUg/mouse) or
quinpirole (0.1MUg/mouse) reversed harmaline (1mg/kg, i.p.)-induced amnesia.
Furthermore, all above doses of drugs had no effect on locomotor activity. In
conclusion, the dopamine D1 and D2 receptors of the BLA may be involved in the
impairment of memory acquisition induced by harmaline.
PMID- 26556067
TI - Optimization of Manganese Reduction in Biotreated POME onto 3A Molecular Sieve
and Clinoptilolite Zeolites.
AB - Availability of quality-certified water is pertinent to the production of food
and pharmaceutical products. Adverse effects of manganese content of water on the
corrosion of vessels and reactors necessitate that process water is scrutinized
for allowable concentration levels before being applied in the production
processes. In this research, optimization of the adsorption process conditions
germane to the removal of manganese from biotreated palm oil mill effluent
(BPOME) using zeolite 3A subsequent to a comparative adsorption with
clinoptilolite was studied. A face-centered central composite design (FCCCD) of
the response surface methodology (RSM) was adopted for the study. Analysis of
variance (ANOVA) for response surface quadratic model revealed that the model was
significant with dosage and agitation speed connoting the main significant
process factors for the optimization. R(2) of 0.9478 yielded by the model was in
agreement with predicted R(2). Langmuir and pseudo-second-order suggest the
adsorption mechanism involved monolayer adsorption and cation exchanging.
PMID- 26556068
TI - Activity-Dependent Neurorehabilitation Beyond Physical Trainings: "Mental
Exercise" Through Mirror Neuron Activation.
AB - The activity dependent brain repair mechanism has been widely adopted in many
types of neurorehabilitation. The activity leads to target specific and non
specific beneficial effects in different brain regions, such as the releasing of
neurotrophic factors, modulation of the cytokines and generation of new neurons
in adult hood. However physical exercise program clinically are limited to some
of the patients with preserved motor functions; while many patients suffered from
paralysis cannot make such efforts. Here the authors proposed the employment of
mirror neurons system in promoting brain rehabilitation by "observation based
stimulation". Mirror neuron system has been considered as an important basis for
action understanding and learning by mimicking others. During the action
observation, mirror neuron system mediated the direct activation of the same
group of motor neurons that are responsible for the observed action. The effect
is clear, direct, specific and evolutionarily conserved. Moreover, recent
evidences hinted for the beneficial effects on stroke patients after mirror
neuron system activation therapy. Finally some music-relevant therapies were
proposed to be related with mirror neuron system.
PMID- 26556069
TI - Effects of Exercise on Physical and Mental Health, and Cognitive and Brain
Functions in Schizophrenia: Clinical and Experimental Evidence.
AB - Exercise promotes several health benefits, such as cardiovascular,
musculoskeletal and cardiorespiratory improvements. It is believed that the
practice of exercise in individuals with psychiatric disorders, e.g.
schizophrenia, can cause significant changes. Schizophrenic patients have
problematic lifestyle habits compared with general population; this may cause a
high mortality rate, mainly caused by cardiovascular and metabolic diseases.
Thus, the aim of this study is to investigate changes in physical and mental
health, cognitive and brain functioning due to the practice of exercise in
patients with schizophrenia. Although still little is known about the benefits of
exercise on mental health, cognitive and brain functioning of schizophrenic
patients, exercise training has been shown to be a beneficial intervention in the
control and reduction of disease severity. Type of training, form of execution,
duration and intensity need to be better studied as the effects on physical and
mental health, cognition and brain activity depend exclusively of interconnected
factors, such as the combination of exercise and medication. However, one should
understand that exercise is not only an effective nondrug alternative, but also
acts as a supporting linking up interventions to promote improvements in process
performance optimization. In general, the positive effects on mental health,
cognition and brain activity as a result of an exercise program are quite
evident. Few studies have been published correlating effects of exercise in
patients with schizophrenia, but there is increasing evidence that positive and
negative symptoms can be improved. Therefore, it is important that further
studies be undertaken to expand the knowledge of physical exercise on mental
health in people with schizophrenia, as well as its dose-response and the most
effective type of exercise.
PMID- 26556070
TI - Effects of Different Types of Physical Exercise on the Perceived Quality of Life
in Active Elderly.
AB - There is a lack of knowledge about the influence of individual physical
activities on the quality of life (QoL) of older adults, especially in strength
training and swimming, two popular activities. OBJECTIVES: To assess and compare
the perceived QoL levels among sedentary, swimming and strength training groups
in elderly individuals. METHODS: Twenty one subjects were evaluated: 9 sedentary,
10 swimming and 12 strength training. Physical activity levels were assessed by
the International Physical Activity Questionnaire short version, and the
physical, psychological, social and environmental domains, as well the general
QoL of each group, were assessed through the World Health Organization
questionnaire short version. In addition, the contribution of each domain in
general QoL was also verified. RESULTS: The sedentary group presented a
statistically lower score in each variable examined (p<0.05). The physical domain
was higher only in the strength training group and the psychological and social
domains were higher only in the swimming group. In relation to the influence on
general QoL, the physical domain (i.e., sedentary); the physical and
psychological domains (i.e., swimming) and the social and environmental domains
(i.e., strength training) were statistically significant. CONCLUSION: The results
suggest that the QoL levels were always lower in the sedentary group. However,
the determination of the domain depended on the physical activity level of each
subject. Further, the domains with better scores were not necessarily the ones
that showed a higher association with the general QoL in each group.
PMID- 26556071
TI - From Mind to Body: Is Mental Practice Effective on Strength Gains? A Meta
Analysis.
AB - Mental practice is an internal reproduction of a motor act (whose intention is to
promote learning and improving motor skills). Some studies have shown that other
cognitive strategies also increase the strength and muscular resistance in
healthy people by the enhancement of the performance during dynamic tasks. Mental
training sessions may be primordial to improving muscle strength in different
subjects. The aim of this study was to systematically review and meta-analiyze
studies that assessed whether mental practice is effective in improving muscular
strength. We conducted an electronic-computed search in Pub-Med/Medline and ISI
Web of Knowledge, Scielo and manual searchs, searching papers written in English
between 1991 and 2014. There were 44 studies in Pub-Med/Medline, 631 in ISI Web
of Knowledge, 11 in Scielo and 3 in manual searchs databases. After exclusion of
studies for duplicate, unrelated to the topic by title and summary, different
samples and methodologies, a meta-analysis of 4 studies was carried out to
identify the dose-response relationship. We did not find evidence that mental
practice is effective in increasing strength in healthy individuals. There is no
evidence that mental practice alone can be effective to induce strength gains or
to optimize the training effects.
PMID- 26556072
TI - Adult Neurogenic and Antidepressant Effects of Adiponectin: A Potential
Replacement for Exercise?
AB - Physical exercise has long been recognized to benefit locomotor and
cardiovascular systems. Although an increasing body of evidence also suggests it
to be an effective non-medicinal remedy for mental disorders such as depression,
the underlying mechanisms remain elusive. A recent study has demonstrated that
increases of the adipocyte-secreted hormone adiponectin in the central nervous
system following exercise may be responsible for these neuropsychological
changes, including enhanced generation of neurons in the adult hippocampus, as
well as mitigation of depressive severity. The present review introduces the
previously-reported functions of adult hippocampal neurogenesis and adiponectin,
and discusses the potential relevance of adiponectin signaling in exercise
induced neural changes. Revealing these novel biological effects of adiponectin
in the brain may help hunt reliable biomarkers to better guide the anti
depressive therapy with exercise intervention; meanwhile, pharmaceutical agents
that raise endogenous levels of adiponectin or mimic its biological effects might
serve as a replacement for physical exercise.
PMID- 26556073
TI - Aerobic Exercise Does Not Predict Brain Derived Neurotrophic Factor And Cortisol
Alterations in Depressed Patients.
AB - The pathophysiology of depression is related to neurobiological changes that
occur in the monoamine system, hypothalamic-pituitary-adrenal axis, neurogenesis
system and the neuroimmune system. In recent years, there has been a growing
interest in the research of the effects of exercise on brain function, with a
special focus on its effects on brain-derived neurotrophic factor (BDNF),
cortisol and other biomarkers. Thus, the aim of this study is to present a review
investigating the acute and chronic effects of aerobic exercise on BDNF and
cortisol levels in individuals with depression. It was not possible to establish
an interaction between aerobic exercise and concentration of BDNF and cortisol,
which may actually be the result of the divergence of methods, such as type of
exercises, duration of the sessions, and prescribed intensity and frequency of
sessions.
PMID- 26556074
TI - Whole Body Vibration Training Improves Walking Performance of Stroke Patients
with Knee Hyperextension: A Randomized Controlled Pilot Study.
AB - OBJECTIVE: To investigate the effect of 8-week whole body vibration training on
gait performance and lower extremity function in stroke patients with knee
hyperextension. METHODS: Total 30 subjects with stroke were randomized into the
control group (n=15) or the intervention group (n=15). The patients of
intervention group were treated with whole body vibration while the control group
was treated with placebo. The walking function, lower limb function and knee
hyperextension times were assessed in this study. Gait performances were
evaluated by 10-meter walk test. The knee hyperextension times was visually
observed and counted. The lower limb function was evaluated by Fugl-Meyer motor
assessment. RESULTS: The times of the knee hyperextension of the intervention
group was significantly decreased compared with control groups (P=0.000, d=1.749,
95%CI[2.915,7.285]). The walking function assessed by 10-meter test of
intervention group was significantly improved compared with control group
(P=0.001, d=1.345, 95%CI[1.896,6.704]). The performances of all the three tests
were improved after training in both groups (P=0.000/P=0.000, d=1.500/d=1.952,
95%CI[3.309,9.891]/ 95%CI[5.549,12.45]; P=0.000/P=0.000, d=2.015/d=2.952,
95%CI[5.214,11.39]/95%CI[9.423, 15.98]; P=0.000/P=0.000, d=3.537/d=5.108,
95%CI[19.05,12.35]/95%CI[16.52,22.28]). CONCLUSION: The results suggest that 8
weeks whole body vibration training can reduce knee hyperextension and increase
ambulatory speed in stroke patients.
PMID- 26556075
TI - Neural Mechanisms of Exercise: Effects on Gut Miccrobiota and Depression.
AB - Microbiota is a set of microorganisms resident in gut ecosystem that reacts to
psychological stressful stimuli, and is involved in depressed or anxious status
in both animals and human being. Interestingly, a series of studies have shown
the effects of physical exercise on gut microbiota dynamics, suggesting that gut
microbiota regulation might act as one mediator for the effects of exercise on
the brain. Recent studies found that gut microbiota dynamics are also regulated
by metabolism changes, such as through physical exercise or diet change.
Interestingly, physical exercise modulates different population of gut bacteria
in compared to food restriction or rich diet, and alleviates gut syndromes to
toxin intake. Gut microbiota could as well contribute to the beneficial effects
of exercise on cognition and emotion, either directly through serotonin signaling
or indirectly by modulating metabolism and exercise performance.
PMID- 26556076
TI - Neural Mechanism of Exercise: Neurovascular Responses to Exercise.
AB - Physical exercise is responsible for different metabolic and hemodynamic changes,
including increased cerebral blood flow and perfusion. It is known that running
increases vascular endothelial growth factor expression in the brain, which is
critical for the anti-depressive effects of adult neurogenesis induced by
physical exercise. Both animal and human studies revealed that neurovascular
responses to physical exercise are well correlated to adult hippocampal
neurogenesis and cognition improvement. Yet it is unknown if the increased blood
perfusion to hippocampus is affecting the adult neurogenesis. Manipulating
systemic blood pressure, or stimulating the cerebral blood flow with alternative
measures, might provide useful tools to understand how much neurovascular
plasticity contributes to the brain cognition enhancement by physical exercise.
In addition, it will be interesting to examine the responses of brain cells
(including neuron, glia and endothelia cells) to increased shear stress and
oxygen load, to investigate the underlying molecular mechanisms.
PMID- 26556077
TI - Neural Mechanisms of Exercise: Anti-Depression, Neurogenesis, and Serotonin
Signaling.
AB - Depression is associated with decreased serotonin metabolism and functioning in
the central nervous system, evidenced by both animal models of depression and
clinical patient studies. Depression is also accompanied by decreased hippocampal
neurogenesis in diverse animal models. Neurogenesis is mainly defined in dentate
gyrus of hippocampus as well as subventricular zone. Moreover, hypothalamus,
amygdala, olfactory tubercle, and piriform cortex are reported with evidences of
adult neurogenesis. Physical exercise is found to modulate adult neurogenesis
significantly, and results in mood improvement. The cellular mechanism such as
adult neurogenesis upregulation was considered as one major mood regulator
following exercise. The recent advances in molecular mechanisms underlying
exercise-regulated neurogenesis have widen our understanding in brain plasticity
in physiological and pathological conditions, and therefore better management of
different psychiatric disorders.
PMID- 26556078
TI - 5 Hz Repetitive Transcranial Magnetic Stimulation with Maximum Voluntary Muscle
Contraction Facilitates Cerebral Cortex Excitability of Normal Subjects.
AB - BACKGROUND: Recently, high-frequency repetitive transcranial magnetic stimulation
(rTMS) is reported to evaluating the corticospinal pathway and improving both
cortical excitability and motor function significantly in subjects. According to
some previous reports, the maximum voluntary muscle contraction (MVC) of target
muscle can reinforce the influence by rTMS. The aim of this study was to confirm
5 Hz rTMS with MVC in healthy individuals is an effective method to facilitate
motor neuron excitability and the efficiency can last at least 30 min post
stimulation. OBJECTIVE: To compare the motor evoked potentials (MEPs) elicited by
5Hz rTMS and 5Hz rTMS combined with MVC. METHODS: In this randomized, controlled,
assessor-blinded, crossover trial, 40 healthy right-handed subjects were divided
into group A (n=20) and group B (n=20). All subjects received rTMS over the
primary motor cortex area (M1) in the left hemisphere. The parameters of rTMS
were 5 Hz, 90.of the resting motor threshold (RMT), for a total of 500 pulses in
100 trains (1-sec inter-stimulus and 8- sec inter-interval). Method 1: All
subjects received rTMS over the hand area of left M1. Method 2: All subjects
received rTMS at the same stimulated point, combined with maximum voluntary hand
griping in each 10 trains. Test 1: group A underwent method 1, while group B
underwent method 2. Test 2: A week later, group B underwent method 1, while group
A underwent method 2. In each test, the MEP amplitude and latency was measured
before (P-rTMS), 5 min after (Post 1) and 30 min after (Post 2) the rTMS
intervention. RESULTS: MEP amplitude increased significantly from baseline at 5
minutes post intervention under both treatment regimes. However for both
sequences, it decreased towards baseline under the rTMS intervention at 30
minutes post intervention but remained relatively high when rTMS was combined
with MVC. MEP latency decreased significantly from baseline at 5 minutes post
intervention under both treatment regimes. For both sequences, it then increased
again towards baseline under both treatment regimes at 30 minutes post
intervention. Although there was a trend for a less pronounced increase under the
combined treatment, this effect was not significant. CONCLUSION: Both 5 Hz rTMS
and 5 Hz rTMS combined with MVC facilitate motor cortical excitability, but the
enhancement in rTMS with MVC is more pronounced and maintained longer than simple
rTMS.
PMID- 26556079
TI - Comparing the Effects of Drug Therapy, Perceptual Motor Training, and Both
Combined on the Motor Skills of School-Aged Attention Deficit Hyperactivity
Disorder Children.
AB - The purpose of this research was to compare the effects of drug therapy,
perceptual motor training and a combination of drug therapy and perceptual motor
training on gross and fine motor skills of 6 to 12 year-old Iranian attention
deficit hyperactivity disorder children. Thirty-six attention deficit
hyperactivity disorder children currently under treatment in three Iranian
psychological-neurological clinics participated in this research study.
Participants were sampled from the accessible population and randomly assigned to
three experimental groups (n = 12 each). The Conners Parent Rating Scale was used
to classify the children and the Bruininks-Oseretsky Test of Motor Proficiency
was administered before and after a three month treatment/ training session.
Participants in the first experimental group received drug therapy (including
methylphenidate). In the second group participants took part in 18 sessions of
perceptual-motor skill training for six consecutive weeks, and in the third group
children received both interventions. The results indicated that interventions
using perceptual-motor training alone or in combination with a drug therapy
significantly improved both gross and fine motor skills over a period of six
weeks. Participants in the drug-only group showed no improvement in motor
performance.
PMID- 26556080
TI - The Effects of Aerobic Exercise on Cognitive Function of Alzheimer's Disease
Patients.
AB - To evaluate the effect of moderate intensity of aerobic exercise on elderly
people with mild Alzheimer's disease, we recruited fifty volunteers aged 50 years
to 80 years with cognitive impairment. They were randomized into two groups:
aerobic group (n=25) or control group (n=25). The aerobic group was treated with
cycling training at 70% of maximal intensity for 40 min/d, 3 d/wk for 3 months.
The control group was only treated with heath education. Both groups were
received cognitive evaluation, laboratory examination before and after 3 months.
The results showed that the Minimum Mental State Examination score, Quality of
Life Alzheimer's Disease score and the plasma Apo-a1 level was significantly
increased (P<0.05), the Alzheimer's Disease Assessment Scale-cognition score,
Neuropsychiatric Inventory Questionnaire score was significantly
decreased.(P<0.05) in aerobic group before and after 3 months in aerobic group.
For the control group, there was no significant difference in scores of
Alzheimer's Disease Assessment Scale-cognition, Neuropsychiatric Inventory
Questionnaire, Quality of Life Alzheimer's Disease, Apo-a1 (P>0.05), while
Minimum Mental State Examination scores decreased significantly after 3 months
(P<0.05). In conclusion, moderate intensity of aerobic exercise can improve
cognitive function in patients with mild Alzheimer's disease.
PMID- 26556081
TI - The implicit Power Motive and Adolescents' Salivary Cortisol Responses to Acute
Psychosocial Stress and Exercise in School.
AB - In the present study we examined the moderating effect of the power motive on
salivary cortisol responses to acute psychosocial stress and exercise in
adolescents. Fifty-seven high school students aged M = 14.8 years participated in
the study. The Operant Motive Test was applied to measure the implicit power
motive and the Personality Research Form was used to measure the explicit power
motive. Salivary cortisol levels were assessed before and after the stress
stimuli. Participants were randomly assigned to three experimental groups. An
exercise group ran 15 minutes at a defined heart rate of 65-75% HRmax. A
psychosocial stress group worked on a standard intelligence test for the same
amount of time under the assumption, that their test scores will be made public
in class after the test. The control group participated in a regular class
session. The implicit power motive was significantly associated with increased
cortisol levels in the psychosocial stress group. The explicit power motive was
not associated with cortisol responses. Findings suggest that the implicit power
motive moderates the cortisol responses to acute stress in an adolescent age
group with higher responses to psychosocial stress in comparison to exercise or
control conditions.
PMID- 26556082
TI - Visual Spatial Attention Training Improve Spatial Attention and Motor Control for
Unilateral Neglect Patients.
AB - OBJECTIVE: To compare the effect of visual spatial training on the spatial
attention to that on motor control and to correlate the improvement of spatial
attention to motor control progress after visual spatial training in subjects
with unilateral spatial neglect (USN). METHOD: 9 cases with USN after right
cerebral stroke were randomly divided into Conventional treatment group + visual
spatial attention and Conventional treatment group. The Conventional treatment
group + visual spatial attention received conventional rehabilitation therapy
(physical and occupational therapy) and visual spatial attention training
(optokinetic stimulation and right half-field eye patching). The Conventional
treatment group was only treated with conventional rehabilitation training
(physical and occupational therapy). All patients were assessed by behavioral
inattention test (BIT), Fugl-Meyer Assessment of motor function (FMA),
equilibrium coordination test (ECT) and non-equilibrium coordination test (NCT)
before and after 4 weeks treatment. RESULT: Total scores in both groups (without
visual spatial attention/with visual spatial attention) improved significantly
(BIT: P=0.021/P=0.000, d=1.667/d=2.116, power=0.69/power=0.98, 95%CI[
0.8839,45.88]/95%CI=[16.96,92.64]; FMA: P=0.002/P=0.000, d=2.521/d=2.700,
power=0.93/power=0.98, 95%CI[5.707,30.79]/95%CI=[16.06,53.94]; ECT: P=0.002/
P=0.000, d=2.031/d=1.354, power=0.90/power=0.17, 95%CI[3.380,42.61]/95%CI=[
1.478,39.08]; NCT: P=0.013/P=0.000, d=1.124/d=1.822, power=0.41/power=0.56,
95%CI[-7.980,37.48]/95%CI=[4.798,43.60],) after treatment. Among the 2 groups,
the group with visual spatial attention significantly improved in BIT (P=0.003,
d=3.103, power=1, 95%CI[15.68,48.92]), FMA of upper extremity (P=0.006, d=2.771,
power=1, 95%CI[5.061,20.14]) and NCT (P=0.010, d=2.214, power=0.81-0.90,
95%CI[3.018,15.88]). Correlative analysis shows that the change of BIT scores is
positively correlated to the change of FMA total score (r=0.77, P<;0.01), FMA of
upper extremity (r=0.81, P<0.01), NCT (r=0.78, P<0.01). CONCLUSION: Four weeks
visual spatial training could improve spatial attention as well as motor control
functions in hemineglect patients. The improvement of motor function is
positively correlated to the progresses of visual spatial functions after visual
spatial attention training.
PMID- 26556083
TI - What Do Athletes Know on the Effect of Steroids? An Exploratory Study in Italy.
AB - Despite the evidence of risks related to the use of anabolic steroids for the
improvement of athletic performances, the diffusion of such drugs appears to be
increasing. An exploratory study was conducted in Cagliari, Italy, to assess the
level of information on this issue, to esteem the use of steroids among athletes,
to measure the wellbeing of athletes and the risks related to steroid use. A
sample of 192 athletes, including 142 non-agonists and 50 agonists (age range: 18
to 36) was invited to fill in a booklet including several self-report
questionnaires. The questionnaire for the assessment of the beliefs regarding the
effects of anabolic steroids was developed and validated for the study, while the
Self Reporting Questionnaire was used for the assessment of the mental health
aspects. A general lack of information on the specific effects of steroid use on
general and psychic health, as well as on sportive performances was found.
Athletes were also quite unaware of the diffusion of steroids among them. Since
the sportive environment seems to be the main source of information, this channel
should be targeted to address the prevention and information campaigns. The use
of more specific tools and the investigation of the perception of reliability of
the information sources as well as the social desirability issues should be
explored in future studies.
PMID- 26556084
TI - Comparison of the Effects of Contralaterally Controlled Functional Electrical
Stimulation and Neuromuscular Electrical Stimulation on Upper Extremity Functions
in Patients with Stroke.
AB - BACKGROUND: Contralaterally controlled functional electrical stimulation (CCFES)
is an innovative method to improve upper extremity functions after stroke.
OBJECTIVE: To compare the effects of CCFES versus neuromuscular electrical
stimulation (NMES) on the upper extremity functions in patients with stroke.
METHODS: Sixty patients with stroke were randomly assigned into CCFES group
(n=30) or NMES group (n=30). All patients were also treated with conventional
medical treatment and rehabilitation training. Patients in CCFES group received
CCFES to the affected wrist extensors while the NMES group received NMES. The
stimulus current was biphasic wave with a pulse duration of 200 MUs and a
frequency of 60 Hz. The electrical stimulation lasted for 20 min per session, 5
sessions per week for 3 weeks. The intensity of the CCFES was based on the
electromyography (EMG) value of the unaffected side while the subjects
voluntarily extended their unaffected wrist slightly (<10% range of motion, ROM),
moderately (about 50% ROM) and completely (100% ROM). Fugl-Meyer assessment
(FMA), motricity index (MI), the Hong Kong version of functional test for the
hemiplegic upper extremity (FTHUE-HK) and active range of motion (AROM) of wrist
extension were measured before and after 3 weeks of treatment. RESULTS: Compared
with the baseline values, both groups showed significant improvements in all the
measurements after treatment (p<0.05). Patients in CCFES group showed
significantly higher upper extremity FMA, FTHUE-HK scores and AROM of wrist
extension than those in NMES group (p<0.05). CONCLUSION: Compared with the
conventional NMES, CCFES provides better recovery of upper extremity function in
patients with stroke.
PMID- 26556085
TI - Potential Therapeutic Effects of Physical Exercise for Bipolar Disorder.
AB - Cognitive deficits are observed in a variety of domains in patients with bipolar
disorder (BD). These deficits are attributed to neurobiological, functional and
structural brain factors, particularly in prefrontal cortex. Furthermore,
cortical alterations in each phase (mania/hypomania, euthymia and depression) are
also present. A growing basis of evidence supports aerobic exercise as an
alternative treatment method for BD symptoms. Its benefits for physical health in
healthy subjects and some psychiatric disorders are fairly established; however
evidence directly addressed to BD is scant. Lack of methodological consistency,
mainly related to exercise, makes it difficult accuracy and extrapolation of the
results. Nevertheless, mechanisms related to BD physiopathology, such as hormonal
and neurotransmitters alterations and mainly related to brain-derived
neurotrophic factors (BDNF) can be explored. BDNF, specially, have a large
influence on brain ability and its gene expression is highly responsive to
aerobic exercise. Moreover, aerobic exercise trough BDNF may induce chronic
stress suppression, commonly observed in patients with BD, and reduce deleterious
effects caused by allostatic loads. Therefore, it is prudent to propose that
aerobic exercise plays an important role in BD physiopathological mechanisms and
it is a new way for the treatment for this and others psychiatric disorders.
PMID- 26556086
TI - Prediction of Affective Responses in Aerobic Exercise Sessions.
AB - The objective of the present study was to verify which physiological (percentage
of maximum oxygen consumption--%VO2 or percentage of maximum heart rate--%HR) or
psychological (ratings of perceived exertion--RPE) variable is the best predictor
of affective responses during continuous (CT) and interval (HIT) exercise
sessions. Fourteen men underwent 3 exercise sessions on the treadmill. In the
first session, a graded exercise test was performed to determine the maximum HR,
peak VO2, and the respiratory compensation point (RCP). Then, participants
performed the CT and HIT exercise in a counterbalanced order. The HIT session
consisted of 2 min stimuli with an intensity of 100% of peak VO2 interspersed
with periods of passive recovery. The average intensities of both exercise
sessions were equalized at 85% of RCP. Linear regression analyses of both
exercise sessions showed higher prediction values of RPE (CT--R2=.54, p <.01; HIT
-R2=.68, p<.01) compared to %VO2 (CT--R2=.04, p=.08; HIT--R2=0.3, p=.12) and %HR
(CT--R2=.07, p=.02; HIT--R2=.05, p=.05). Additionally, the results of the linear
regression analysis between the Feeling Scale and physiological variables were
not significant, indicating that the slope of the regression analysis was not
different from zero. These results may be explained by the conscious mental
processing required for the manifestation of both the RPE and the affective
responses. In conclusion, the affective responses seem to be modulated not only
by the intensity of exercise but also mostly by how the individual perceives this
intensity.
PMID- 26556087
TI - Aging process, cognitive decline and Alzheimer's disease: can strength training
modulate these responses?
AB - Some evidence shows that aerobic training can attenuate the aging effects on the
brain structures and functions. However, the strength exercise effects are poorly
discussed. Thus, in the present study, the effects of strength training on the
brain in elderly people and Alzheimer's disease (AD) patients were revised.
Furthermore, it a biological explanation relating to strength training effects on
the brain is proposed. Brain atrophy can be related to neurotransmission
dysfunction, like oxidative stress, that generates mitochondrial damage and
reduced brain metabolism. Another mechanism is related to amyloid deposition and
amyloid tangles, that can be related to reductions on insulin-like growth factor
I concentrations. The brain-derived neurotrophic factor also presents reduction
during aging process and AD. These neuronal dysfunctions are also related to
cerebral blood flow decline that influence brain metabolism. All of these
alterations contribute to cognitive impairment and AD. After a long period of
strength training, the oxidative stress can be reduced, the brain-derived
neurotrophic factor and insulin-like growth factor I serum concentrations
enhance, and the cognitive performance improves. Considering these results, we
can infer that strength training can be related to increased neurogenesis,
neuroplasticity and, consequently, counteracts aging effects on the brain. The
effect of strength training as an additional treatment of AD needs further
investigation.
PMID- 26556088
TI - Effects of School-Based Physical Activity Interventions on Cognition and Academic
Achievement: A Systematic Review.
AB - Schools are an ideal setting to implement physical activity programs targeted at
youths' learning and intellectual abilities, as exercise has been associated with
improvement in cognitive skills and academic proficiency. A systematic review of
the literature was performed to examine the effects of school-based physical
activity interventions on academic achievement and cognitive outcomes. A search
for relevant papers was carried out on PubMed/Medline, Scopus and Google Scholar.
Only quasi-experimental and experimental studies were included, if focused on
school-based physical activity interventions targeting 3 to 18 year-old healthy
pupils, and designed to establish a relationship between exercise performed in a
school setting and cognitive/academic performance. Thirty-one papers were
retrieved by the search, reporting the findings of twenty-eight school-based
physical activity interventions. Most of the included studies were published in
the past five years. A large majority of the studies showed positive results in
terms of academic achievement and, above all, cognitive skills. In the recent
years, the number of studies on school-based physical interventions aimed to
establish a relationship between physical activity performed in school setting
and cognitive/academic outcomes significantly increased, as well as high quality
assessments and designs. This review highlights the effectiveness of school-based
physical activity interventions on academic achievement and, above all, on
youths' cognitive performance. Some interesting findings come from studies
assessing brain functional changes, from interventions targeting culturally
diverse or low-income samples, and from interventions where physical activity is
in the form of active videogames.
PMID- 26556089
TI - Effects of Aerobic Exercise on Anxiety Disorders: A Systematic Review.
AB - Anxiety disorders are the most common psychiatric disorders observed currently.
It is a normal adaptive response to stress that allows coping with adverse
situations. Nevertheless, when anxiety becomes excessive or disproportional in
relation to the situation that evokes it or when there is not any special object
directed at it, such as an irrational dread of routine stimuli, it becomes a
disabling disorder and is considered to be pathological. The traditional
treatment used is medication and cognitive behavioral psychotherapy, however,
last years the practice of physical exercise, specifically aerobic exercise, has
been investigated as a new non-pharmacological therapy for anxiety disorders.
Thus, the aim of this article was to provide information on research results and
key chains related to the therapeutic effects of aerobic exercise compared with
other types of interventions to treat anxiety, which may become a useful clinical
application in a near future. Researches have shown the effectiveness of
alternative treatments, such as physical exercise, minimizing high financial
costs and minimizing side effects. The sample analyzed, 66.8% was composed of
women and 80% with severity of symptoms anxiety as moderate to severe. The data
analyzed in this review allows us to claim that alternative therapies like
exercise are effective in controlling and reducing symptoms, as 91% of anxiety
disorders surveys have shown effective results in treating. However, there is
still disagreement regarding the effect of exercise compared to the use of
antidepressant symptoms and cognitive function in anxiety, this suggests that
there is no consensus on the correct intensity of aerobic exercise as to achieve
the best dose-response, with intensities high to moderate or moderate to mild.
PMID- 26556090
TI - Comparison Among Aerobic Exercise and Other Types of Interventions to Treat
Depression: A Systematic Review.
AB - Depression is a common and disabling disease that affects over 100 million people
worldwide and can have a significant impact on physical and mental health,
reducing their quality of life. Thus, the aim of this article was to provide
information on research results and key chains related to the therapeutic effects
of chronic aerobic exercise compared with other types of interventions to treat
depression, which may become a useful clinical application in a near future.
Researches have shown the effectiveness of alternative treatments, such as
physical exercise, minimizing high financial costs and minimizing side effects.
In this review, the data analyzed allows us to claim that alternative therapies,
such as exercise, are effective on controlling and reducing symptoms. 69.3% of
the studies that investigated the antidepressant effects of exercise on
depressive were significant, and the other 30.7% of the studies improved only in
general physiological aspects, such as increased oxygen uptake, increased use of
blood glucose and decreased body fat percentage, with no improvement on symptoms
of depression. From the sample analyzed, 71.4% was composed of women, and
regarding the severity of symptoms, 85% had mild to moderate depression and only
15% had moderate to severe depression. However, there is still disagreement
regarding the effect of exercise compared to the use of antidepressants in
symptomatology and cognitive function in depression, this suggests that there is
no consensus on the correct intensity of aerobic exercise as to achieve the best
dose-response, with intensities high to moderate or moderate to mild.
PMID- 26556091
TI - Wii Balance Board: Reliability and Clinical Use in Assessment of Balance in
Healthy Elderly Women.
AB - Force plate is considered gold standard tool to assess body balance. However the
Wii Balance Board (WBB) platform is a trustworthy equipment to assess
stabilometric components in young people. Thus, we aim to examine the reliability
of measures of center of pressure with WBB in healthy elderly women. Twenty one
healthy and physically active women were enrolled in the study (age: 64 +/- 7
years; body mass index: 29 +/- 5 kg/m2. The WBB was used to assess the center of
pressure measures in the individuals. Pressure was linearly applied to different
points to test the platform precision. Three assessments were performed, with two
of them being held on the same day at a 5- to 10-minute interval, and the third
one was performed 48 h later. A linear regression analysis was used to find out
linearity, while the intraclass correlation coefficient was used to assess
reliability. The platform precision was adequate (R2 = 0.997, P = 0.01). Center
of pressure measures showed an excellent reliability (all intraclass correlation
coefficient values were > 0.90; p < 0.01). The WBB is a precise and reliable tool
of body stability quantitative measure in healthy active elderly women and its
use should be encouraged in clinical settings.
PMID- 26556092
TI - Wii-Workouts on Chronic Pain, Physical Capabilities and Mood of Older Women: A
Randomized Controlled Double Blind Trial.
AB - Chronic Low Back Pain (CLBP) is a public health problem and older women have
higher incidence of this symptom, which affect body balance, functional capacity
and behavior. The purpose of this study was to verifying the effect of exercises
with Nintendo Wii on CLBP, functional capacity and mood of elderly. Thirty older
women (68 +/- 4 years; 68 +/- 12 kg; 154 +/- 5 cm) with CLBP participated in this
study. Elderly individuals were divided into a Control Exercise Group (n = 14)
and an Experimental Wii Group (n = 16). Control Exercise Group did strength
exercises and core training, while Experimental Wii Group did ones additionally
to exercises with Wii. CLBP, balance, functional capacity and mood were assessed
pre and post training by the numeric pain scale, Wii Balance Board, sit to stand
test and Profile of Mood States, respectively. Training lasted eight weeks and
sessions were performed three times weekly. MANOVA 2 x 2 showed no interaction on
pain, siting, stand-up and mood (P = 0.53). However, there was significant
difference within groups (P = 0.0001). ANOVA 2 x 2 showed no interaction for each
variable (P > 0.05). However, there were significant differences within groups in
these variables (P < 0.05). Tukey's post-hoc test showed significant difference
in pain on both groups (P = 0.0001). Wilcoxon and Mann-Whitney tests identified
no significant differences on balance (P > 0.01). Capacity to Sit improved only
in Experimental Wii Group (P = 0.04). In conclusion, physical exercises with
Nintendo Wii Fit Plus additional to strength and core training were effective
only for sitting capacity, but effect size was small.
PMID- 26556093
TI - [Obituary for Prof. Dr. Stavros Mentzos (1930-2015)].
PMID- 26556094
TI - ["Time is brain" in relapsing remitting multiple sclerosis. Current treatment
concepts in immunotherapy].
AB - BACKGROUND: Despite highly divergent time scales of disease evolution in multiple
sclerosis (MS) and ischemic stroke, clear analogies are apparent that may point
the way to optimization of MS treatment. Inflammatory disease activity and
neurodegeneration may induce potentially irreversible damage to central nervous
system structures and thus lead to permanent disability. For the treatment of MS
early detection of disease activity and early immunotherapy or treatment
optimization are pivotal determinants of long-term outcomes. Such therapeutic
concepts may be described with the catchy phrase "time is brain" as coined for
the acute thrombolytic treatment of ischemic stroke. RESULTS AND DISCUSSION: For
MS a "time is brain" concept would comprise an early initiation of first line
therapy as well as sensitive and structured monitoring of disease activity under
therapy in conjunction with a low threshold for timely treatment optimization to
achieve sustained freedom from measurable disease activity. This approach may
substantially improve the long-term outcome in patients who show insufficient
response to platform therapies. The intersectorial collaboration in regional MS
care networks involving office-based neurologists and specialized MS centers may
facilitate the timely use of highly active therapies with their specific benefit
risk profiles thus supporting sustained stabilization of patient quality of life.
PMID- 26556096
TI - [Reperfusion of the artery of Percheron by mechanical recanalization of the
posterior cerebral artery].
PMID- 26556095
TI - [Admission and discharge decisions in psychiatric hospitals : The 7-day cycle:
analysis based on the VIPP database].
AB - BACKGROUND: The legislation requires all scientific societies in the field of
inpatient psychiatric and psychosomatic healthcare to survey and assess the
effects and financial incentives of the new flat rate day-based remuneration
system in psychiatric and psychosomatic facilities (PEPP system). As day-based
remuneration systems may be an incentive to extend treatment, it is necessary to
measure and analyze the future development of the number of cases and the
duration of treatment. OBJECTIVES: This article surveys admission and discharge
decisions of psychiatric and psychosomatic facilities. The distribution of
admissions and discharges throughout the days of the week were analyzed to search
for evidence of a systematic extension of treatment over the weekend. MATERIAL
AND METHODS: The analysis is based on data from the Versorgungsrelevante
Indikatoren in der Psychiatrie und Psychosomatik (VIPP, treatment-relevant
indicators in psychiatry and psychosomatics) project database, which contains
routine data from psychiatric and psychosomatic facilities. On this basis the
distributions of admissions and discharges throughout the days of the week were
analyzed on aggregate and diagnosis-specific levels. RESULTS: Patients were
mostly admitted to hospitals within the first 3 weekdays. The discharge mostly
took place on Fridays and not as a financial incentive on Mondays. Regarding the
patient length of stay a 7-day cycle can be observed, which may indicate the
importance of medical and organizational factors in discharge decisions.
CONCLUSION: The results do not show evidence for a systematic extension of
treatment over the weekend. Over the next years it will be important to observe
the development of the duration of treatment and the number of cases to assess
the influence of the economic incentives of the PEPP system on the utilization of
psychiatric and psychosomatic healthcare.
PMID- 26556097
TI - Icatibant for Multiple Hereditary Angioedema Attacks across the Controlled and
Open-Label Extension Phases of FAST-3.
AB - BACKGROUND: In randomized, controlled, double-blind, multicenter phase 3 studies,
one icatibant injection was efficacious and generally well tolerated in patients
with a single hereditary angioedema (HAE) attack. Here, the efficacy and safety
of icatibant for multiple HAE attacks was evaluated across the controlled and
open-label extension phases of the For Angioedema Subcutaneous Treatment (FAST)-3
study (NCT00912093). METHODS: In the controlled phase, adults with HAE type I or
II were randomized (1:1) to receive a single subcutaneous injection of icatibant
30 mg or placebo within 6 h of an attack becoming mild (laryngeal) or moderate
(cutaneous/abdominal). Open-label icatibant was administered for severe laryngeal
symptoms. In the open-label extension phase, patients could receive up to three
icatibant injections per attack. Efficacy and safety were analyzed for the first
five icatibant-treated attacks at any location (prospective analysis) and
laryngeal attacks (post hoc analysis) across both phases. Efficacy outcomes were
based on patient-reported symptom severity (visual analog scale). RESULTS: In
groups of patients with one to five icatibant-treated attacks at any location (n
= 88), the median times to onset of symptom relief, onset of primary symptom
relief and almost complete symptom relief were 1.9-2.1, 1.5-2.0 and 3.5-19.7 h,
respectively. The same outcomes for laryngeal attacks (n = 25) were 1.0-2.0, 1.0
2.0 and 1.5-8.1 h, respectively. The most frequently reported adverse events were
a worsening or recurrence of HAE attack, headache and nasopharyngitis. Two
serious adverse events (arrhythmia and noncardiac chest pain) were considered to
be related to icatibant. CONCLUSIONS: Icatibant was efficacious and generally
well tolerated across multiple HAE attacks, including laryngeal attacks.
PMID- 26556098
TI - Developing a Novel Gene-Delivery Vector System Using the Recombinant Fusion
Protein of Pseudomonas Exotoxin A and Hyperthermophilic Archaeal Histone HPhA.
AB - Non-viral gene delivery system with many advantages has a great potential for the
future of gene therapy. One inherent obstacle of such approach is the uptake by
endocytosis into vesicular compartments. Receptor-mediated gene delivery method
holds promise to overcome this obstacle. In this study, we developed a receptor
mediated gene delivery system based on a combination of the Pseudomonas exotoxin
A (PE), which has a receptor binding and membrane translocation domain, and the
hyperthermophilic archaeal histone (HPhA), which has the DNA binding ability.
First, we constructed and expressed the rPE-HPhA fusion protein. We then examined
the cytotoxicity and the DNA binding ability of rPE-HPhA. We further assessed the
efficiency of transfection of the pEGF-C1 plasmid DNA to CHO cells by the rPE
HPhA system, in comparison to the cationic liposome method. The results showed
that the transfection efficiency of rPE-HPhA was higher than that of cationic
liposomes. In addition, the rPE-HPhA gene delivery system is non-specific to DNA
sequence, topology or targeted cell type. Thus, the rPE-HPhA system can be used
for delivering genes of interest into mammalian cells and has great potential to
be applied for gene therapy.
PMID- 26556099
TI - Mechanism of Thermal Adaptation in the Lactate Dehydrogenases.
AB - The mechanism of thermal adaptation of enzyme function at the molecular level is
poorly understood but is thought to lie within the structure of the protein or
its dynamics. Our previous work on pig heart lactate dehydrogenase (phLDH) has
determined very high resolution structures of the active site, via isotope edited
IR studies, and has characterized its dynamical nature, via laser-induced
temperature jump (T-jump) relaxation spectroscopy on the Michaelis complex. These
particular probes are quite powerful at getting at the interplay between
structure and dynamics in adaptation. Hence, we extend these studies to the
psychrophilic protein cgLDH (Champsocephalus gunnari; 0 degrees C) and the
extreme thermophile tmLDH (Thermotoga maritima LDH; 80 degrees C) for comparison
to the mesophile phLDH (38-39 degrees C). Instead of the native substrate
pyruvate, we utilize oxamate as a nonreactive substrate mimic for experimental
reasons. Using isotope edited IR spectroscopy, we find small differences in the
substate composition that arise from the detailed bonding patterns of oxamate
within the active site of the three proteins; however, we find these differences
insufficient to explain the mechanism of thermal adaptation. On the other hand, T
jump studies of reduced beta-nicotinamide adenine dinucleotide (NADH) emission
reveal that the most important parameter affecting thermal adaptation appears to
be enzyme control of the specific kinetics and dynamics of protein motions that
lie along the catalytic pathway. The relaxation rate of the motions scale as
cgLDH > phLDH > tmLDH in a way that faithfully matches kcat of the three
isozymes.
PMID- 26556100
TI - Integration of Visual and Olfactory Cues in Host Plant Identification by the
Asian Longhorned Beetle, Anoplophora glabripennis (Motschulsky) (Coleoptera:
Cerambycidae).
AB - Some insects use host and mate cues, including odor, color, and shape, to locate
and recognize their preferred hosts and mates. Previous research has shown that
the Asian longicorn beetle, Anoplophora glabripennis (Motschulsky), uses
olfactory cues to locate host plants and differentiate them from non-host plants.
However, whether A. glabripennis adults use visual cues or a combination of
visual and olfactory cues remains unclear. In this study, we tested the host
location and recognition behavior in A. glabripennis, which infests a number of
hardwood species and causes considerable economic losses in North America, Europe
and Asia. We determined the relative importance of visual and olfactory cues from
Acer negundo in host plant location and recognition, as well as in the
discrimination of non-host plants (Sabina chinensis and Pinus bungeana), by
female and male A. glabripennis. Visual and olfactory cues from the host plants
(A. negundo), alone and combined, attracted significantly more females and males
than equivalent cues from non-host plants (S. chinensis and P. bungeana).
Furthermore, the combination of visual and olfactory cues of host plants
attracted more adults than either cue alone, and visual cues alone attracted
significantly more adults than olfactory cues alone. This finding suggests that
adult A. glabripennis has an innate preference for the visual and/or olfactory
cues of its host plants (A. negundo) over those of the non-host plant and visual
cues are initially more important than olfactory cues for orientation;
furthermore, this finding also suggests that adults integrate visual and
olfactory cues to find their host plants. Our results indicate that different
modalities of host plant cues should be considered together to understand fully
the communication between host plants and Asian longhorned beetles.
PMID- 26556101
TI - Antiplatelet effects of clopidogrel and aspirin after interventional patent
foramen ovale/ atrium septum defect closure.
AB - The optimal antiplatelet therapy after patent foramen ovale (PFO)/ atrium septum
defect (ASD) closure is a matter of discussion. It is challenging as inter
individual responses to antiplatelet medication vary significantly and common
complications are bleeding and ischemic events. In this study, we aimed to
analyze the incidence of high on-treatment platelet reactivity (HTPR) to
antiplatelet medication in patients undergoing PFO/ASD closure as well as
clinical complications and thrombus formation on the occluder during six-month
follow-up. This hypothesis generating pilot study was observed, which included
140 patients undergoing PFO/ASD closure. The primary endpoint was pharmacodynamic
response to antiplatelet medication. A composite of death, myocardial infarction,
bleeding, stroke and thrombus formation on the occluder during six-month follow
up was the secondary endpoint. HTPR to clopidogrel was analyzed using the
vasodilator-stimulated protein phosphorylation (VASP), HTPR to aspirin by light
transmission aggregometry (LTA). In 71% of patients HTPR to clopidogrel was
detected, HTPR to aspirin in only 4%. We observed 12 complications, 9 bleeding
events (including 3 major bleeding events) and 3 transient ischemic attacks. No
stroke and no thrombus formation on the occluder occurred. The primary endpoint
was not associated with the secondary endpoint. The incidence of HTPR to
clopidogrel in PFO/ASD closure patients is very high. Despite this high
incidence, no stroke or thrombus formation on the occluder occurred at all. This
leads to the hypothesis, that the benefit of additional clopidogrel medication is
questionable and has to be investigated in large-scale clinical trials.
PMID- 26556102
TI - Very High Brightness Quantum Dot Light-Emitting Devices via Enhanced Energy
Transfer from a Phosphorescent Sensitizer.
AB - We demonstrate very efficient and bright quantum dot light-emitting devices
(QDLEDs) with the use of a phosphorescent sensitizer and a thermal annealing
step. Utilizing CdSe/CdS core/shell quantum dots with 560 nm emission peak,
bis(4,6-difluorophenylpyridinatoN,C2) picolinatoiridium as a sensitizer, and
thermal annealing at 50 degrees C for 30 min, green-emitting QDLEDs with a
maximum current efficiency of 23.9 cd/A, a power efficiency of 31 lm/W, and a
brightness of 65,000 cd/m(2) are demonstrated. The high efficiency and brightness
are attributed to annealing-induced enhancements in both the Forster resonance
energy transfer (FRET) process from the phosphorescent energy donor to the QD
acceptor and hole transport across the device. The FRET enhancement is attributed
to annealing-induced diffusion of the phosphorescent material molecules from the
sensitizer layer into the QD layer, which results in a shorter donor-acceptor
distance. We also find, quite interestingly, that FRET to a QD acceptor is
strongly influenced by the QD size, and is generally less efficient to QDs with
larger sizes despite their narrower bandgaps.
PMID- 26556103
TI - Cytokine profile in the synovial fluid of patients with temporomandibular joint
disorders: A systematic review.
AB - The aim of this study was to review the cytokine profiles in the synovial fluid
(SF) of patients with temporomandibular joint disorders (TMJD). Databases were
searched from 1965 till September 2015 using different combinations of the
following key words: "Temporomandibular joint"; "Cytokine"; "disorder"; and
"synovial fluid" and "inflammation". Titles and abstracts of studies identified
using the above-described protocol were screened and checked for agreement. Full
texts of articles judged by title and abstract to be relevant were read and
independently evaluated. Hand-searching of the reference lists of potentially
relevant original and review articles was also performed. The pattern of the
present systematic review was customized to mainly summarize the relevant data.
Fifteen studies were included. In 12 studies, cytokine profile of patients with
TMJD was assessed using enzyme linked immunosorbent assay; and in 2 studies,
histological analysis was performed to assess the cytokine profile of patients
with TMJD. Patients with TMJD presented raised levels of interleukin (IL)-6 in 8
studies, IL-1beta (1beta) in 5 studies and tumor necrosis factor-alpha (TNF
alpha) in 5 studies. Two studies showed no significant difference in TNF-alpha
levels in patients with and without TMJD; and IL-1beta levels were comparable in
patients with and without TMJD in 2 studies. Raised levels of IL-6, TNF-alpha, IL
1beta, IL-8, and IFN-gamma in the SF have been associated with inflammation in
patients with TMJD. Cytokines IL-10, osteoclastogenesis inhibitory
factor/osteoprotegerin (OCIF/OPG), and VEGF found in the SF of TMJs could have an
anti-inflammatory effect.
PMID- 26556104
TI - Proinflammatory cytokine interleukin-1beta suppresses cold-induced thermogenesis
in adipocytes.
AB - In this study, we investigated the effects of interleukin-1beta (IL-1beta), a
typical proinflammatory cytokine on the beta-adrenoreceptor-stimulated induction
of uncoupling protein 1 (UCP1) expression in adipocytes. IL-1beta mRNA expression
levels were upregulated in white adipose tissues of obese mice and in RAW264.7
macrophages under conditions designed to mimic obese adipose tissue.
Isoproterenol-stimulated induction of UCP1 mRNA expression was significantly
inhibited in C3H10T1/2 adipocytes by conditioned medium from lipopolysaccharide
(LPS)-stimulated RAW264.7 macrophages in comparison with control conditioned
medium. This inhibition was significantly attenuated in the presence of
recombinant IL-1 receptor antagonist and IL-1beta antibody, suggesting that
activated macrophage-derived IL-1beta is an important cytokine for inhibition of
beta-adrenoreceptor-stimulated UCP1 induction in adipocytes. IL-1beta suppressed
isoproterenol-induced UCP1 mRNA expression in C3H10T1/2 adipocytes, and this
effect was partially but significantly abrogated by inhibition of extracellular
signal-regulated kinase (ERK). IL-1beta also suppressed the isoproterenol-induced
activation of the UCP1 promoter and transcription factors binding to the cAMP
response element. Moreover, intraperitoneal administration of IL-1beta suppressed
cold-induced UCP1 expression in adipose tissues. These findings suggest that IL
1beta upregulated in obese adipose tissues suppresses beta-adrenoreceptor
stimulated induction of UCP1 expression through ERK activation in adipocytes.
PMID- 26556105
TI - Majoon ushba, a polyherbal compound ameliorates rheumatoid arthritis via
regulating inflammatory and bone remodeling markers in rats.
AB - The present study was aimed to investigate the anti-arthritic effect of majoon
ushba (MU) and its underlying mechanism in adjuvant induced arthritis (AIA) rats.
Arthritis was induced by intradermal injection of complete freund's adjuvant
(0.1ml) into the right hind paw of the Wistar albino rats. MU (1000mg/kg/b.wt)
and methotrexate (3mg/kg/b.wt) were administered from day 11 to day 18th for
8days after adjuvant induction. We have found that MU treatment significantly
increased the level of anti-inflammatory cytokine (IL-10) and inhibited the over
production of pro-inflammatory cytokines (TNF-alpha, IL-1beta, and IL-6) and
monocyte chemoattractant protein-1 (MCP-1) (ELISA) in the serum of adjuvant
induced arthritic rats. The mRNA expression of pro-inflammatory cytokines (TNF
alpha, IL-1beta, IL-6, and IL-17), inflammatory enzymes (inducible nitric oxide
synthase (iNOS) and cyclo-oxygenase-2 (COX-2)), MCP-1, receptor activator of
nuclear factor-kB ligand (RANKL) and transcription factors (NF-kB and AP-1) (Real
Time PCR) was found significantly downregulated in the synovial tissues of MU
treated arthritic rats. In addition, the protein expression of NF-kB, IL-17, COX
2, and RANKL (western blotting and immunohistochemistry analysis) was found
reduced. On the other hand, osteoprotegerin (OPG), a bone remodeling marker was
found to be elevated in synovial tissues of MU treated arthritic rats.
Furthermore, MU treatment prevented body weight loss and reduced the joint paw
edema, cell infiltration, cartilage and bone degradation as evidenced by the
histopathological and radiological analysis. In conclusion, our current findings
provide scientific evidence for the traditional claim of MU as an anti-arthritic
drug.
PMID- 26556107
TI - Blood-Conservation Strategies in a Blood-Refusal Parturient with Placenta Previa
and Placenta Percreta.
AB - Abnormal placentation can be associated with significant blood loss and massive
blood transfusions. Caring for parturients with abnormal placentation who refuse
blood transfusion is very challenging. We present a 35-year-old, gravida 3, para
1, Jehovah's Witness at 35 weeks of gestation with placenta percreta, who
underwent cesarean delivery and delayed hysterectomy. A multidisciplinary team
developed a plan, including the use of perioperative erythropoietin and IV iron
dextran, intraoperative acute normovolemic hemodilution, cell salvage, tranexamic
acid, and uterine artery embolization. This strategy was successful in avoiding
the need for allogeneic transfusion and ensuring an uneventful recovery after
both surgical procedures.
PMID- 26556106
TI - Argatroban in the management of heparin-induced thrombocytopenia: a multicenter
clinical trial.
AB - INTRODUCTION: The aim of this study was to collect data in France in patients
with heparin-induced thrombocytopenia who required parenteral anticoagulation and
for whom other non-heparin anticoagulant therapies were contraindicated including
patients with renal failure, cross-reactivity to danaparoid or at high
hemorrhagic risk. METHODS: A total of 20 patients, of mean age 72 +/- 10 years,
were enrolled in this open-label, multicenter clinical study. Exploratory
statistical data analysis was performed with descriptive interpretation of intra
individual comparisons using simple univariate statistics. RESULTS: The diagnosis
of HIT was confirmed in 16 subjects by an independent scientific committee.
Fourteen patients (70 %) were in an intensive care unit during the course of the
study. Patients were treated with argatroban for a mean duration of 8.5 +/- 6.1
days. The mean starting dose of argatroban was 0.77 +/- 0.45 MUg/kg/min. Platelet
recovery was rapid. aPTT and anti-IIa activity assays were used to monitor the
dose of argatroban. The mean baseline aPTT value was 45.0 +/- 9.8 sec and
increased to 78.2 +/- 35.8 sec two hours after initiating argatroban. At this
time mean argatroban concentration was 0.34 +/- 0.16 and 0.61 +/- 0.28 MUg/ml
using ECT and TT measurements, respectively. New and/or extended thromboses were
reported in 25 % of patients and major bleedings were documented in 15 %. Six
patients died due to their underlying medical condition. CONCLUSION: Considering
its hepatic elimination and its short half-life, argatroban can be considered as
a safe therapeutic option in HIT patients at high hemorrhagic risk and with renal
failure, particularly in an ICU setting.
PMID- 26556108
TI - The Critical Importance of Hepatic Venous Blood Flow Doppler Assessment for
Patients in Shock.
AB - Hepatic venous blood flow can be easily obtained using bedside ultrasound with
either transthoracic or transesophageal echocardiography. Six critically ill
patients with shock associated with absent or significantly reduced hepatic
venous blood flow in the presence of normal or increased pulmonary venous flow
are presented. In all these patients, the etiology of shock was secondary to
increased resistance to venous return from either an intraabdominal process or
through extrinsic or intrinsic occlusion of the proximal inferior vena cava or
right atrium. These shock situations are secondary to increased resistance to
venous return. Their treatment is highly specific and typically involves a
surgical intervention.
PMID- 26556109
TI - Prophylactic Antibiotic Management of Surgical Patients Noted as "Allergic" to
Penicillin at Two Academic Hospitals.
AB - We studied prophylactic antibiotics administered at 2 academic medical centers
during a 6-year period where a cephalosporin was indicated but an "allergy" to
penicillin was noted. Another drug (typically vancomycin or clindamycin) was
substituted approximately 80% of the time; this occurred frequently even when
symptoms unrelated to acute hypersensitivity were listed. In >50% of cases, the
reaction was either omitted or vague (e.g., simply "rash"). Given the estimated
1% cross-reactivity between penicillins and cephalosporins with similar R1 side
chains, many of these patients could have received either the prescribed
cephalosporin or another cephalosporin with a different R1 side chain.
PMID- 26556110
TI - The Effect of Peribulbar Block with General Anesthesia for Vitreoretinal Surgery
in Premature and Ex-Premature Infants with Retinopathy of Prematurity.
AB - Safe anesthesia in premature and ex-premature infants remains a challenge for the
anesthesiologist. These infants are at risk of postoperative apnea, desaturation,
and bradycardia after general anesthesia. We describe our experience of
peribulbar block in 24 infants who underwent vitreoretinal surgery for
retinopathy of prematurity. None of our patients had postoperative apnea or
required neonatal intensive care admission. A possible opioid and muscle relaxant
sparing effect of peribulbar block might have reduced the incidence of
postoperative complications.
PMID- 26556113
TI - Contrast enhanced Voiding Urosonography (ce-VUS) as a radiation-free technique in
the diagnosis of vesicoureteric reflux: Our early experience.
AB - OBJECTIVE: Contrast-enhanced ultrasound has become increasingly utilised as an
alternative imaging modality for the diagnosis of vesicoureteric reflux (VUR) in
paediatric patients. The study objective is to evaluate the efficacy of contrast
enhanced Voiding Urosonography (ce-VUS) compared with fluoroscopic micturating
cystourethrography (MCU) in the detection of VUR. METHODS: This prospective study
was carried out between July 2011 and January 2013 on paediatric patients who
underwent MCU. All consented patients would undergo ce- VUS prior to MCU. We
documented the epidemiology details, the number of Kidney-Ureter (K-U) unit
studied, baseline renal and bladder sonogram, as well as presence of VUR on ce
VUR. The technique for ce-VUS was standardized using normal saline to fill the
bladder prior to administration of SonoVue(r) (2.5 ml) to assess the kidney
ureter (K-U) unit. Dedicated contrast detection software was used to discern the
presence of microbubbles in the pelvicaliceal system (PCS). The findings were
then compared with MCU. RESULTS: 27 paediatric patients were involved in the
study [17 males (63%) and 10 females (37%)] involving 55 K-U units (one patient
had a complete duplex system). MCU detected VUR in 10 K-U units while ce-VUS
detected VUR in 8 out of the 10 K-U units. There were 2 false negative cases
(both Grade 1) with ce-VUS. The sensitivity, specificity, accuracy, positive
predictive value, and negative predictive value of ce-VUS were 80%, 98%, 95%, 89%
and 96%, respectively. CONCLUSION: ce-VUS is a sensitive and specific radiation
free alternative for the detection of VUR in the paediatric population.
PMID- 26556114
TI - Causes of mortality in patients with psoriasis in Malaysia - Evidence from the
Malaysian Psoriasis Registry.
AB - BACKGROUND: Patients with severe psoriasis, namely those requiring phototherapy
or systemic treatment, have an increased risk of death. The aim of this study was
to determine the prevalence, aetiology and risk factors for mortality among adult
patients aged 18 years and above with psoriasis in Malaysia. METHODS: This was a
retrospective study involving adult patients notified by dermatologists to the
Malaysian Psoriasis Registry between July 2007 and December 2013. Data were cross
checked against the National Death Registry. Patients certified dead were
identified and the cause of death was analysed. Multivariate analysis using
multiple logistic regression were conducted on potential factors associated with
higher risk of mortality. RESULTS: A total of 419 deaths were identified among
the 9775 patients notified. There were four significant risk factors for higher
mortality: age>40 years (age 41-60 years old, Odds Ratio (OR) 2.70, 95%CI 1.75,
4.18; age>60 years OR 7.46, 95%CI 4.62, 12.02), male gender (OR 1.72, 95%CI
1.33,2.22), severe psoriasis with body surface area (BSA) >10% (OR 1.52, 95%CI
1.19, 1.96) and presence of at least one cardiovascular co-morbidity (OR 1.67,
95% CI 1.30, 2.14). Among the 301 patients with verifiable causes of death, the
leading causes were infection (33.9%), cardiovascular disease (33.6%) and
malignancy (15.9%). CONCLUSION: Infection was the leading cause of death among
psoriasis patients in Malaysia. Although cardiovascular diseases are well-known
to cause significant morbidity and mortality among psoriasis patients, the role
of infections and malignancy should not be overlooked.
PMID- 26556112
TI - Fabrication of a Bioactive, PCL-based "Self-fitting" Shape Memory Polymer
Scaffold.
AB - Tissue engineering has been explored as an alternative strategy for the treatment
of critical-sized cranio-maxillofacial (CMF) bone defects. Essential to the
success of this approach is a scaffold that is able to conformally fit within an
irregular defect while also having the requisite biodegradability, pore
interconnectivity and bioactivity. By nature of their shape recovery and fixity
properties, shape memory polymer (SMP) scaffolds could achieve defect "self
fitting." In this way, following exposure to warm saline (~60 oC), the SMP
scaffold would become malleable, permitting it to be hand-pressed into an
irregular defect. Subsequent cooling (~37 oC) would return the scaffold to its
relatively rigid state within the defect. To meet these requirements, this
protocol describes the preparation of SMP scaffolds prepared via the
photochemical cure of biodegradable polycaprolactone diacrylate (PCL-DA) using a
solvent-casting particulate-leaching (SCPL) method. A fused salt template is
utilized to achieve pore interconnectivity. To realize bioactivity, a
polydopamine coating is applied to the surface of the scaffold pore walls.
Characterization of self-fitting and shape memory behaviors, pore
interconnectivity and in vitro bioactivity are also described.
PMID- 26556115
TI - Port assisted closure of laparoscopic wound: A safe and feasible technique.
AB - OBJECTIVE: Various techniques and instruments have been developed to provide safe
and secure closure of laparoscopic wounds. Herein we describe a simple method to
close laparoscopic supraumbilical wounds with the aid of a laparoscopic port.
METHOD: This was a retrospective review of prospective data, which were from 151
patients who underwent laparoscopic cholecystectomy for symptomatic gallstone
disease from December 2009 to December 2010 in Sultanah Bahiyah Hospital. A
senior consultant hepato-pancreato-biliary (HPB) surgeon and two HPB trainee
surgeons performed the operations. Postoperatively, all patients were followed up
at 4 weeks. RESULTS: All patients successfully underwent closure of the
supraumbilical wound with the assistance of a 5mm laparoscopic port. None of the
patients had incisional hernia on follow up. CONCLUSION: Port assisted closure of
supraumbilical laparoscopic wounds is a feasible and safe technique.
PMID- 26556116
TI - Treating hepatitis C in HIV/HCV co-infected patients in Malaysia - the outcomes
and challenges.
AB - BACKGROUND: Co-infection by human immunodeficiency and hepatitis C viruses
(HIV/HCV) is common and results in significant morbidity and mortality despite
effective antiretroviral therapies (ART). METHOD: A retrospective and prospective
evaluation of the efficacy and safety of pegylated interferon alfa 2a/2b plus
ribavirin (PEG-IFN/RBV) in consecutive HIV/HCV co-infected patients treated in
real life clinical practice in Malaysia. RESULTS: Forty-five HIV/HCV co-infected
patients with a median age (interquartile range, IQR) of 41 years (37; 47) were
assessed for treatment with PEG-IFN/RBV. All except one are of male gender and
the most common risk behaviour was injecting drug use. At baseline 75.5% was on
ART and the median (IQR) CD4 count was 492 cells/MUl (376; 621). The HCV
genotypes (GT) were 73 % GT3 and 27% GT1. Liver biopsies in forty patients showed
10% had liver cirrhosis and another 50% had significant liver fibrosis. The
treatment completion rate was 79.5% with 15.9% dropped out of treatment due to
adverse effects (AE) or default and 4.6% due to lack of early virological
response. The AE causing premature discontinuations were neuropsychiatric and
haematological. The overall sustained virological response (SVR) was 63.6% with a
trend towards higher SVR in GT3 compared with GT1 (71.9% vs. 41.7%; p=0.064). In
patients with bridging fibrosis plus occasional nodules or cirrhosis on liver
biopsy, the SVR was significantly lower at 20% (p=0.030) compared to those with
milder fibrosis. CONCLUSION: HIV/HCV co-infected patients can be successfully and
safely treated with PEG-IFN/RBV achieving high rates of SVR except in cirrhotic
patients.
PMID- 26556117
TI - Seroepidemiological study of leptospirosis among the communities living in
periurban areas of Sarawak, Malaysia.
AB - INTRODUCTION: Leptospirosis is endemic to tropical regions of the world and is re
emerging as a new danger to public health in Malaysia. the purpose of this
particular study was to determine the common leptospiral serovars present in
human communities living around wildlife reserves/disturbed forest habitats. the
objective of this study was to estimate the seroprevalence of leptospirosis and
finding infecting serovars in villages surrounded habitats where wildlife lives
in Sarawak, Malaysia. METHODS: A cross-sectional serological survey of 198 humans
was conducted in four villages around Kuching, Sarawak between January 2011 and
March 2012. RESULTS: A seroprevalence of 35.9% (95%cI 29.2-43.0) to the MAt was
detected in the tested humans. Antibodies to serovar Lepto 175 Sarawak were most
commonly detected (31.3%; 95%cI 24.9-38.3) and were detected in individuals at
all four locations. the presence of skin wounds (Or 3.1), farm animals (Or 2.5)
and rats (Or 11.2) were all significantly associated with seropositivity in a
multivariable logistic regression model. CONCLUSIONS: the results of the current
study are important as wildlife may act as reservoirs of leptospires for humans.
Health authorities should expand disease control measures to minimise the spill
over from wildlife to humans visiting, living or working in the sampled
locations. the pathogenic status of serovar Lepto 175 Sarawak also requires
further investigation.
PMID- 26556118
TI - Parents' perspectives on the important aspects of care in children dying from
life limiting conditions: A qualitative study.
AB - INTRODUCTION: The importance of Paediatric Palliative Care (PPC) is increasingly
recognised worldwide, with the World Health Organzsation (WHO) and the American
Academy of Pediatrics (AAP) endorsing the development and wide availability of
PPC. When these children are in the terminal phase of their illness, PPC should
be tailored to the different needs and desires of the child and the family, with
the goal of providing the best possible quality of life (QOL) for the days that
remain. METHOD: Malaysia has yet to develop a national PPC policy. In
anticipation of this, as part of a needs based qualitative study, parents' views
were solicited, as to the unmet needs of their children during the terminal
phases of their illness. A purposive sampling was conducted amongst fifteen
parents of nine deceased children (ages 2-14 years, eight cancer, one Prader
Willi Syndrome) who had received care in the Paediatric Department, Malacca
General Hospital, a Malaysian government hospital. Two focus group discussions
and three in-depth interviews were conducted, based on a semi-structured
interview guideline. The interviews were audiotaped with permission and the tape
recordings were transcribed verbatim. The data were managed and analysed by NVivo
9 software using a thematic analysis approach. RESULTS: The frequently emerging
themes were the strengths and weaknesses pertaining to the healthcare system,
processes within palliative care. These were symptom control, closed
communication and lack of support and anticipatory guidance as death approached.
CONCLUSION: Dying Malaysian children and their families deserve to receive care
that is more consistent with optimal palliative care.
PMID- 26556119
TI - Improving the self-confidence level of medical undergraduates during emergencies
using high fidelity simulation.
AB - INTRODUCTION: Medical practice involves routinely making critical decisions
regarding patient care and management. Many factors influence the decision-making
process, and self-confidence has been found to be an important factor in
effective decision-making. With the proper transfer of knowledge during their
undergraduate studies, selfconfidence levels can be improved. The purpose of this
study was to evaluate the use of High Fidelity Simulation as a component of
medical education to improve the confidence levels of medical undergraduates
during emergencies. METHODOLOGY: Study participants included a total of 60 final
year medical undergraduates during their rotation in Medical Senior Posting. They
participated in a simulation exercise using a high fidelity simulator, and their
confidence level measured using a self-administered questionnaire. RESULTS: The
results found that the confidence levels of 'Assessment of an Emergency Patient',
'Diagnosing Arrhythmias', 'Emergency Airway Management', 'Performing Cardio
pulmonary Resuscitation', 'Using the Defibrillator' and 'Using Emergency Drugs'
showed a statistically significant increase in confidence levels after the
simulation exercise. The mean confidence levels also rose from 2.85 to 3.83
(p<0.05). CONCLUSION: We recommend further use of High Fidelity Simulation in
medical education to improve the confidence levels of medical undergraduates.
PMID- 26556120
TI - Depression among chronic pain patients at Hospital Tengku Ampuan Rahimah, Klang.
AB - INTRODUCTION: Despite the high prevalence rates of depression amongst chronic
pain patients reported globally, the condition is often under-recognised and
under-treated. Depression frequently complicates the effective management of pain
and is associated with poor quality of life. This study aimed to explore the
incidence of depression and its' associated factors in a sample of chronic pain
patients in Malaysia. MATERIALS AND METHODS: This descriptive cross-sectional
study was conducted amongst clinically diagnosed chronic pain patients from the
pain management clinic of Hospital Tengku Ampuan Rahimah over a period of seven
months. Socio-demographics and clinical data were obtained from patients'
interview and medical records. The validated Depression Anxiety Stress Scale-21
(DASS-21) was used for screening and Mini International Neuropsychiatric
Interview (MINI) was used to establish the depression diagnoses among the
patients. Numeric pain intensity scale was used to assess the severity of pain.
RESULTS: Eighty-three patients with a mean age of 50.4+/-12.50 years participated
in this study. The majority of the patients were females (56.6%), married (85.5%)
and being employed (49.4%). The percentage of depression was 37.4%. Depression
was significantly associated with severity of pain (p<0.001) and the duration of
pain (p <0.05). CONCLUSION: Almost one third of chronic pain patients in this
study have depression. Depression was significantly associated with the severity
and duration of pain. Depression should be regularly screened among patient with
chronic pain.
PMID- 26556121
TI - Knowledge, attitude and practice of antibiotics prescribing among medical
officers of public health care facilities in the state of Kedah, Malaysia.
AB - BACKGROUND: Antibiotic resistance is a rising problem in Malaysia. For instance,
high antibiotic prescribing rate for upper respiratory tract infection and
inappropriate choice of antibiotic is a significant healthcare concern in
Malaysia. Our main objective was to study knowledge, attitude and practice of
antibiotic prescribing among medical officers in Kedah, Malaysia. METHODS: A
cross sectional study was conducted in outpatient departments of health clinics
and hospitals in Kedah from June 2013 until December 2013. Sample size was 118
and systematic sampling was conducted. Research tool used was a validated
questionnaire from studies conducted in Congo and Peru. RESULTS: Response rate
was 84.8%. Majority of our respondents were female doctors (71.0%), local
graduates (63.0%), and practiced for 4 years or less (61.0%). 52.0% of the
respondents prescribed antibiotics more than once daily. Mean knowledge score on
antibiotics was 5.31 +/-1.19 (95% CI: 5.06; 5.54). More than half (62.0%) of our
respondents were confident in antibiotic prescribing and there were merely 18.0%
of them consulted any colleagues prior to prescription. There was a significant
difference in frequency of antibiotic prescribing between junior doctors and
senior doctors (P-value: 0.036). In addition, there was also a significant
association between frequency of antibiotic prescribing and awareness of
antibiotic resistance in their daily practice. (P-value: 0.002). CONCLUSION:
Knowledge on antibiotic was moderate among our medical officers and antibiotic
prescribing was frequent. Training and courses on appropriate antibiotic
prescribing should be emphasized to ensure the best practice in antibiotic
prescription.
PMID- 26556122
TI - Wandering intrauterine contraceptive device: An unusual travel to the sigmoid
colon.
AB - Intrauterine contraceptive device (IUCD) is common choice for contraception.
Migration of IUCD is one of the complications that are encountered. Here we
report a case of IUCD migration to the sigmoid colon. A 39-year-old Malay lady
carrying a copper T type of IUCD presented with missing thread then underwent
examination under anaesthesia, proceeded to hysteroscopy but failed removal.
Abdominal ultrasound detected it in the left lower quadrant of abdomen. She then
underwent diagnostic laparoscopy where the device was found to be embedded in the
sigmoid colon. Technical difficulty necessitated conversion to mini laparotomy
and sigmoidotomy to remove the IUCD and the bowel closed primarily. IUCD is a
relatively simple and safe contraceptive procedure but possible complications are
bleeding and pain that usually co-exist, pelvic infection, expulsion and
perforation. Investigations should be based on clinical suspicion and migrated
IUCD in symptomatic patients should be surgically removed whereas, asymptomatic
patients can be managed conservatively under certain circumstances. However in
the presence of a concurrent pathology that requires exploration then retrieval
of the migrated IUCD should be undertaken.
PMID- 26556123
TI - Embryonal rhabdomyosarcoma of the middle ear presenting with aural polyp and
facial nerve palsy.
AB - Rhabdomyosarcoma is a rare tumour in the middle ear and mastoid cavity in
children and the diagnosis is difficult. Repeated histological examination may be
essential to confirm the diagnosis. We report a 6 year old boy with a left aural
polyp, otorrhoea and facial nerve palsy who was initially thought to have otitis
media and mastoiditis. He had polypectomy and the tissue taken for histopathology
suggested an inflammatory condition. Subsequently he had mastoidectomy. Tissue
taken during mastoidectomy was however reported as rhabdomyosarcoma. The child
developed a cerebral abscess and eventually succumbed. A literature review of the
disease, radiological findings, immunohistochemical features and treatment
options is described.
PMID- 26556124
TI - Orbital compartment syndrome in idiopathic orbital inflammatory disease: A case
report.
AB - Orbital compartment syndrome (OCS) is a visual threatening ocular emergency. We
report a 50-year-old male with acute presentation of OCS, a rare manifestation of
idiopathic orbital inflammatory disease. At presentation, high intraocular
pressure was reduced by prompt lateral canthotomy and cantholysis. The disease
responded to systemic steroids and treatment resulted in good visual outcome.
Detail evaluation and early detection and treatment are mandatory to prevent
permanent vision loss.
PMID- 26556125
TI - Sharing a microbe with man's best friend: A case of canine streptococcal
infection in a diabetic patient.
AB - We report a case of a diabetic patient with an infected leg wound leading to
septicemia and abscess formation in the contra-lateral leg due to Streptococcus
canis. This organism belongs to the Lancefield group G and is more commonly found
in dogs. It is often mistaken for Streptococcus dysgalactiae which is a human
strain of streptococci. Infections in humans are not common and usually involve
infected wounds or ulcers and the surrounding soft tissue. In most reported
cases, patients had close contact with domestic dogs and a pre-existing wound as
a portal of entry. Our patient recovered after surgical debridement and drainage
of abscess together with antibiotics. This organism is sensitive to common
antibiotics like penicillin, amoxycillin, cephalosporins and erythromycin. The
incidence of infections due to Streptococcus canis may be under-reported as
laboratories may just report an isolate as group G streptococcus. Susceptible
patients with wounds or ulcers should be counselled on proper wound care and
advised to avoid or minimise contact with the family dog.
PMID- 26556126
TI - Innovative oral spray-dried Idebenone systems to improve patient compliance.
AB - Idebenone is a high permeable drug with very slight water solubility that affects
the dissolution rate in the biological fluids, causing an irregular and limited
in vivo absorption after oral administration. Moreover, it is marketed in Europe
as tablets equivalent to 150 mg, with the consequent administration of multiple
dose of solid unit to obtain the correct dose, a deterrent for the patients'
compliance. According to these considerations, our goal was to develop spray
dried microparticles using a soluble beta-cyclodextrin (CD) polymer and an
enhancer of dissolution rate, such as carboxymethyl cellulose, to obtain a
formulation easily dosable and soluble in water. The complex in solution was
evaluated by phase solubility studies and the Idebenone/CD molar ratio selected
was 1:1. According to Higuchi and Connors, adding carboxymethyl cellulose, a Bs
type profile was obtained. This result was due to the presence of carboxymethyl
cellulose that competes with the CD in forming Idebenone microsystems, reducing
of 10-fold the formulation bulk. UV-Vis absorption, (1)H nuclear magnetic
resonance and circular dichroism showed the formation of the CD/Idebenone
inclusion complex confirmed also by differential scanning calorimetry, Fourier
transform infrared spectroscopy and fluorescence microscope (FM). The water
solubility data and the in vitro dissolution tests performed in simulated gastric
fluid, showed an increase of the drug water interaction due to the presence of
the CD and carboxymethyl cellulose, both able to improve drug wettability, water
solubility and dissolution rate. This approach seems to be suitable to produce
microsystems which are able to enhance the in vivo absorption of Idebenone after
oral administration and to increase the patient compliance.
PMID- 26556127
TI - Tensile strain-induced softening of iron at high temperature.
AB - In weakly ferromagnetic materials, already small changes in the atomic
configuration triggered by temperature or chemistry can alter the magnetic
interactions responsible for the non-random atomic-spin orientation. Different
magnetic states, in turn, can give rise to substantially different macroscopic
properties. A classical example is iron, which exhibits a great variety of
properties as one gradually removes the magnetic long-range order by raising the
temperature towards its Curie point of TC degrees = 1043 K. Using first
principles theory, here we demonstrate that uniaxial tensile strain can also
destabilise the magnetic order in iron and eventually lead to a ferromagnetic to
paramagnetic transition at temperatures far below TC degrees . In consequence,
the intrinsic strength of the ideal single-crystal body-centred cubic iron
dramatically weakens above a critical temperature of ~500 K. The discovered
strain-induced magneto-mechanical softening provides a plausible atomic-level
mechanism behind the observed drop of the measured strength of Fe whiskers around
300-500 K. Alloying additions which have the capability to partially restore the
magnetic order in the strained Fe lattice, push the critical temperature for the
strength-softening scenario towards the magnetic transition temperature of the
undeformed lattice. This can result in a surprisingly large alloying-driven
strengthening effect at high temperature as illustrated here in the case of Fe-Co
alloy.
PMID- 26556128
TI - Fluorescence Recovery after Merging a Droplet to Measure the Two-dimensional
Diffusion of a Phospholipid Monolayer.
AB - We introduce a new method to measure the lateral diffusivity of a surfactant
monolayer at the fluid-fluid interface, called fluorescence recovery after
merging (FRAM). FRAM adopts the same principles as the fluorescence recovery
after photobleaching (FRAP) technique, especially for measuring fluorescence
recovery after bleaching a specific area, but FRAM uses a drop coalescence
instead of photobleaching dye molecules to induce a chemical potential gradient
of dye molecules. Our technique has several advantages over FRAP: it only
requires a fluorescence microscope rather than a confocal microscope equipped
with high power lasers; it is essentially free from the selection of fluorescence
dyes; and it has far more freedom to define the measured diffusion area.
Furthermore, FRAM potentially provides a route for studying the mixing or inter
diffusion of two different surfactants, when the monolayers at a surface of
droplet and at a flat air/water interface are prepared with different species,
independently.
PMID- 26556129
TI - Maternal risk factors associated with lead, mercury and cadmium.
PMID- 26556130
TI - Metabolic engineering of Corynebacterium glutamicum for the de novo production of
ethylene glycol from glucose.
AB - Development of sustainable biological process for the production of bulk
chemicals from renewable feedstock is an important goal of white biotechnology.
Ethylene glycol (EG) is a large-volume commodity chemical with an annual
production of over 20 million tons, and it is currently produced exclusively by
petrochemical route. Herein, we report a novel biosynthetic route to produce EG
from glucose by the extension of serine synthesis pathway of Corynebacterium
glutamicum. The EG synthesis is achieved by the reduction of glycoaldehyde
derived from serine. The transformation of serine to glycoaldehyde is catalyzed
either by the sequential enzymatic deamination and decarboxylation or by the
enzymatic decarboxylation and oxidation. We screened the corresponding enzymes
and optimized the production strain by combinatorial optimization and metabolic
engineering. The best engineered C. glutamicum strain is able to accumulate 3.5
g/L of EG with the yield of 0.25 mol/mol glucose in batch cultivation. This study
lays the basis for developing an efficient biological process for EG production.
PMID- 26556131
TI - Modular and selective biosynthesis of gasoline-range alkanes.
AB - Typical renewable liquid fuel alternatives to gasoline are not entirely
compatible with current infrastructure. We have engineered Escherichia coli to
selectively produce alkanes found in gasoline (propane, butane, pentane, heptane,
and nonane) from renewable substrates such as glucose or glycerol. Our modular
pathway framework achieves carbon-chain extension by two different mechanisms. A
fatty acid synthesis route is used to generate longer chains heptane and nonane,
while a more energy efficient alternative, reverse-beta-oxidation, is used for
synthesis of propane, butane, and pentane. We demonstrate that both upstream
(thiolase) and intermediate (thioesterase) reactions can act as control points
for chain-length specificity. Specific free fatty acids are subsequently
converted to alkanes using a broad-specificity carboxylic acid reductase and a
cyanobacterial aldehyde decarbonylase (AD). The selectivity obtained by different
module pairings provides a foundation for tuning alkane product distribution for
desired fuel properties. Alternate ADs that have greater activity on shorter
substrates improve observed alkane titer. However, even in an engineered host
strain that significantly reduces endogenous conversion of aldehyde intermediates
to alcohol byproducts, AD activity is observed to be limiting for all chain
lengths. Given these insights, we discuss guiding principles for pathway
selection and potential opportunities for pathway improvement.
PMID- 26556132
TI - Synthesis and cation distribution in the new bismuth oxyhalides with the Sillen
Aurivillius intergrowth structures.
AB - About 20 new compounds with the Sillen-Aurivillius intergrowth structure,
Me(1)Me(2)Bi3Nb2O11X (Me(1) = Pb, Sr, Ba; Me(2) = Ca, Sr, Ba; X = Cl, Br, I),
have been prepared. They are composed of stacking of [ANb2O7] perovskite blocks,
fluorite-type [M2O2] blocks and halogen sheets. The cation distribution between
the fluorite and perovskite layers has been studied for Ba2Bi3Nb2O11I,
Ca1.25Sr0.75Bi3Nb2O11Cl, BaCaBi3Nb2O11Br and Sr2Bi3Nb2O11Cl. The smaller Me
cations tend to reside in the perovskite block while the larger ones are situated
in the fluorite-type block. The distribution of the elements was confirmed for
BaCaBi3Nb2O11Br using energy dispersive X-ray analysis combined with scanning
transmission electron microscopy (STEM-EDX). An electron diffraction study of
this compound reveals a local symmetry lowering caused by weakly correlated
rotation of NbO6 octahedra. Based on our findings, we suggest a new stability
criterion for mixed-layer structures, which is that net charges of any two
consecutive layers do not compensate for each other and only the whole layer
sequence is electroneutral.
PMID- 26556133
TI - Comparison of various semen extenders and addition of prostaglandin F2alpha on
pregnancy rate in cows.
AB - This investigation comprises three trials. Trial 1 consists of an in vitro
comparison of three semen extenders: two egg yolk based (customized Tris-egg yolk
glycerol and Triladyl(r)), the third (AndroMed(r)) soybean lecithin based. With
regard to post-thaw motility, the phytoextender AndroMed(r) proved to be superior
(59+/-3% v. 53+/-2% and 53+/-2%, P<0.05). It had earlier been shown that addition
of the commercial prostaglandin F2alpha preparation Dinolytic(r) before freezing
compromises post-thaw motility; therefore, in Trial 2, Dinolytic(r) was added
after thawing. Frozen-thawed spermatozoa tolerated addition of Dinolytic(r) at a
concentration of 30% (v/v). In Trial 3, cows were inseminated using straws in
which diluted semen and Dinolytic(r) were frozen in the same straw, separated by
an air bubble, so intermingling could only take place in the course of
insemination. Pregnancy rates at Dinolytic(r) dosages of 0%, 30% or 60% amounted
to 44%, 41% and 56%, respectively (P>0.05), a result that encourages a large
scale field study, which is envisioned.
PMID- 26556134
TI - Neural Devices: New Ethics?
PMID- 26556135
TI - CAHPS Surveys: Valid and Valuable Measures of Patient Experience.
PMID- 26556136
TI - The Authors Reply.
PMID- 26556137
TI - Courage, Context, and Contemporary Health Care.
PMID- 26556138
TI - A Clinical Ethicist's Thank-You.
PMID- 26556139
TI - Fever.
PMID- 26556140
TI - A Buyer's Market? Fixing the Price for Human Ova for Assisted Reproduction.
PMID- 26556141
TI - A Vaping Matter: E-cigarette Use in Health Care Organizations.
PMID- 26556142
TI - "Lethal" Fetal Anomalies and Elective Cesarean.
PMID- 26556143
TI - Bioethics Casebook 2.0: Using Web-Based Design and Tools to Promote Ethical
Reflection and Practice in Health Care.
PMID- 26556144
TI - Engineering the Brain: Ethical Issues and the Introduction of Neural Devices.
AB - Neural devices now under development stand to interact with and alter the human
brain in ways that may challenge standard notions of identity, normality,
authority, responsibility, privacy and justice.
PMID- 26556145
TI - Neural Technologies: The Ethics of Intimate Access to the Mind.
PMID- 26556149
TI - Waving Goodbye to Waivers of Consent.
PMID- 26556150
TI - Looking up: Views from our fellows' retreat.
PMID- 26556151
TI - Application of encapsulation technology in stem cell therapy.
AB - Stem cells are characterized by their capacity for self-renewal and their ability
to differentiate into specific cell types under the influence of their
microenvironment. These cells are potent therapeutic tools to treat various
regenerative diseases based on their ability to produce a therapeutic protein or
restore natural tissue function with minimal side effects. However, a major
problem that must be overcome is to find a suitable stem cell delivery system.
Cell encapsulation is a novel concept in which cells are immobilized inside a
biocompatible and semi-permeable natural or synthetic matrix. The purpose of
encapsulation is to protect the cell from the host's immune system, improve cell
expansion and maintain cell viability, self-renewal potency and direct cell
differentiation toward a desired lineage. This review will provide an overview of
the application of encapsulation technology for phenotypic and functional
improvement of stem cells and using these encapsulated cells to treat various
diseases.
PMID- 26556152
TI - Research "push", long term-change, and general practice.
AB - PURPOSE: Intervention evaluations have not always accounted for long-term
implementation of interventions. The purpose of this paper is to explore
implementation of a primary care intervention during the lifespan of the trial
and beyond. DESIGN/METHODOLOGY/APPROACH: Eight general practices participated in
the trial (four control and four intervention). In-depth interviews (with nine
GPs and four practices nurses who delivered the intervention) and observation
methods were employed. Thematic analysis was utilized and Normalization Process
Theory (NPT) constructs were compared with emergent themes. FINDINGS: Macro-level
policy imperatives shaped practice priorities which resulted in the "whole
system" new intervention not being perceived to be sustainable. Continued
routinization of the intervention into usual care beyond the lifespan of the
funded study was dependent on individualized monitoring and taking forward tacit
knowledge. RESEARCH LIMITATIONS/IMPLICATIONS: The authors discuss the
implications of these findings for sociological theories of implementation and
understanding outcomes of research led complex interventions. ORIGINALITY/VALUE:
The study describes the complex interplay between macro processes and individual
situated practices and contributes to understanding if, how, and why
interventions are sustained beyond initial "research push". The value of the
study lies in describing the conditions and potential consequences of long-term
implementation, which might be translated to other contexts.
PMID- 26556153
TI - The contribution of community leadership upon the performance of mutual health
organisations in Ghana.
AB - PURPOSE: The purpose of this paper is to investigate the effect of social
dynamics on the performance of mutual health organisations (MHOs) exploring the
influence of community wealth and community leadership on policy implementation.
DESIGN/METHODOLOGY/APPROACH: Four operating district mutual health insurance
schemes were selected using geographical locations, among other criteria, as case
studies. Data were gathered through interviews and documentary review. The
findings were analysed using community field and social capital theories.
FINDINGS: Traditional leaders like the Chiefs serve as the pivot around which
social and human capital of the communities revolve in the developmental process
of the country. RESEARCH LIMITATIONS/IMPLICATIONS: Lack of exhaustive examination
of the financial and institutional viability issues of the MHOs. Future studies
could assess the interplay between financial, institutional and social viability
models when measuring the financial and overall sustainability of MHOs. PRACTICAL
IMPLICATIONS: Health policy makers need to involve traditional leaders in the
formulation and implementation of national policies since their acceptance or
rejection of central government policy could have negative consequences. SOCIAL
IMPLICATIONS: Ghana is a dynamic country and there is the need to utilise
existing social networks: inter-family and inter-tribal relationships to ensure
the viability of MHOs. ORIGINALITY/VALUE: There is and can be a successful
interplay between public sector funding and community sector revenue mobilisation
for financing the health sector in Ghana. This justifies the complementarity
between government funding and community's resource mobilisation efforts in the
health sector.
PMID- 26556154
TI - Participation in medical college activities: a case study of the Australasian
experience.
AB - PURPOSE: Medical Colleges rely on the volunteer labour of their Fellows to
undertake their key functions. In the Australasian context, there is anecdotal
evidence to suggest that Fellow participation is declining. The purpose of this
paper is to examine the main factors that influence Fellows' participation in the
activities of a Medical College. DESIGN/METHODOLOGY/APPROACH: The authors
conducted three focus groups with Fellows who exhibit varying levels of
participation with the Medical College in 2012. FINDINGS: The research identified
individual and organisational factors which influence the propensity of Fellows
to volunteer their time to the Medical College. At an individual level, Fellows
cite a number of factors which motivate them to volunteer their time to the
Medical College, including: altruistic reasons; giving back to the profession and
community; and the benefits that Fellow's receive from their participation in
College activities, including enhancing their status within the profession.
However, Fellows also report issues of work-family integration, balancing Medical
College and work-related responsibilities as factors precluding them from
participating in Medical College activities. Fellows also noted several factors
related to the operation of the Medical College which inhibited their
participation in the Medical College, including the perceived exclusivity of the
Medical College, a lack of service orientation towards Fellows, a lack of
recognition of the work of Fellows and a perceived lack of advocacy on the part
of the Medical College. ORIGINALITY/VALUE: This paper highlights that the
participation of Fellows in their Medical Colleges is essential to maintaining
quality standards and the effective operation of Australasian healthcare. These
preliminary results indicate that there are several factors which discourage
Fellow participation in Medical College activities, suggesting a need for Medical
Colleges to develop strategies to address these issues.
PMID- 26556155
TI - Reorganising hospitals to implement a patient-centered model of care: Effects on
clinical practice and professional relationships in the Italian NHS.
AB - PURPOSE: The purpose of this paper is to understand how the introduction of a
patient-centered model (PCM) in Italian hospitals affects the pre-existent
configuration of clinical work and interacts with established intra/inter
professional relationships. DESIGN/METHODOLOGY/APPROACH: Qualitative multi-phase
study based on three main sources: health policy analysis, an exploratory
interview study with senior managers of eight Italian hospitals implementing the
PCM, and an in-depth case study that involved managerial and clinical staff of
one Italian hospital implementing the PCM. FINDINGS: The introduction of the PCM
challenges clinical work and professional relationships, but such challenges are
interpreted differently by the organisational actors involved, thus giving rise
to two different "narratives of change". The "political narrative" (the views
conveyed by formal policies and senior managers) focuses on the power shifts and
conflict between nurses and doctors, while the "workplace narrative" (the
experiences of frontline clinicians) emphasises the problems linked to the
disruption of previous discipline-based inter-professional groups. PRACTICAL
IMPLICATIONS: Medical disciplines, rather than professional groupings, are the
main source of identification of doctors and nurses, and represent a crucial
aspect of clinicians' professional identity. Although the need for collaboration
among medical disciplines is acknowledged, creating multi-disciplinary groups in
practice requires the sustaining of new aggregators and binding forces.
ORIGINALITY/VALUE: This study suggests further acknowledgment of the inherent
complexity of the political and workplace narratives of change rather than
interpreting them as the signal of irreconcilable perspectives between managers
and clinicians. By addressing the specific issues regarding which the political
and workplace narratives clash, relationship of trust may be developed through
which problems can be identified, mutually acknowledged, articulated, and solved.
PMID- 26556156
TI - Culture and cognition in health systems change.
AB - PURPOSE: Large-scale change involves modifying not only the structures and
functions of multiple organizations, but also the mindsets and behaviours of
diverse stakeholders. This paper focuses on the latter: the informal, less
visible, and often neglected psychological and social factors implicated in
change efforts. The purpose of this paper is to differentiate between the
concepts of organizational culture and mental models, to argue for the value of
applying a shared mental models (SMM) framework to large-scale change, and to
suggest directions for future research. DESIGN/METHODOLOGY/APPROACH: The authors
provide an overview of SMM theory and use it to explore the dynamic relationship
between culture and cognition. The contributions and limitations of the theory to
change efforts are also discussed. FINDINGS: Culture and cognition are
complementary perspectives, providing insight into two different levels of the
change process. SMM theory draws attention to important questions that add value
to existing perspectives on large-scale change. The authors outline these
questions for future research and argue that research and practice in this domain
may be best served by focusing less on the potentially narrow goal of "achieving
consensus" and more on identifying, understanding, and managing cognitive
convergences and divergences as part of broader research and change management
programmes. ORIGINALITY/VALUE: Drawing from both cultural and cognitive paradigms
can provide researchers with a more complete picture of the processes by which
coordinated action are achieved in complex change initiatives in the healthcare
domain.
PMID- 26556157
TI - The impact of mindfulness on leadership effectiveness in a health care setting: a
pilot study.
AB - PURPOSE: The purpose of this paper is to examine the impact of mindfulness
awareness practice (MAP) on mid-level health-care managers' leadership.
DESIGN/METHODOLOGY/APPROACH: In total, 11 mid-level health-care managers in
eastern Canada took part in an intensive weekend retreat and a follow-up webinar
on mindfulness awareness. Perceived stress and leadership effectiveness were
assessed pre- and post-intervention (i.e. four and eight weeks). A control group
(n=10) also completed the same measures twice. Additionally, informants (n=28)
provided assessments of participants' leadership pre- and post-intervention.
Follow-up interviews were carried out with eight participants 12-16 weeks post
intervention. FINDINGS: In comparison to controls, retreat participants showed
significant increases in mindfulness and corresponding decreases in stress that
were sustained across eight weeks post-retreat; retreat participants reported
significant positive changes in their leadership effectiveness that were
corroborated by informants. Qualitative data, however, suggest that sustaining a
mindfulness practice presents significant challenges to middle managers in a
health care setting. RESEARCH LIMITATIONS/IMPLICATIONS: The findings are useful
to management working in health services that are plagued by increasing demands
and changes. Despite the small sample and lack of random assignment, the pilot
data support the efficacy of MAP in improving leadership. ORIGINALITY/VALUE:
Little empirical research supports the claim that MAP enhances leadership. The
present study employed a mixed methods approach to address this gap and
demonstrates the potential benefits of MAP among mid-level managers.
PMID- 26556158
TI - Examining challenges to reliability of health service accreditation during a
period of healthcare reform in Australia.
AB - PURPOSE: Health systems are changing at variable rates. Periods of significant
change can create new challenges or amplify existing barriers to accreditation
program credibility and reliability. The purpose of this paper is to examine,
during the transition to a new Australian accreditation scheme and standards,
challenges to health service accreditation survey reliability, the salience of
the issues and strategies to manage threats to survey reliability.
DESIGN/METHODOLOGY/APPROACH: Across 2013-2014, a two-phase, multi-method study
was conducted, involving five research activities (two questionnaire surveys and
three group discussions). This paper reports data from the transcribed group
discussions involving 100 participants, which was subject to content and thematic
analysis. Participants were accreditation survey coordinators employed by the
Australian Council on Healthcare Standards. FINDINGS: Six significant issues
influencing survey reliability were reported: accreditation program governance
and philosophy; accrediting agency management of the accreditation process,
including the program's framework; survey coordinators; survey team dynamics;
individual surveyors; and healthcare organizations' approach to accreditation. A
change in governance arrangements promoted reliability with an independent
authority and a new set of standards, endorsed by Federal and State governments.
However, potential reliability threats were introduced by having multiple
accrediting agencies approved to survey against the new national standards.
Challenges that existed prior to the reformed system remain. ORIGINALITY/VALUE:
Capturing lessons and challenges from healthcare reforms is necessary if
improvements are to be realized. The study provides practical and theoretical
strategies to promote reliability in accreditation programs.
PMID- 26556159
TI - Lessons from Albion: Can Australia learn from England's approach to primary
healthcare funding?
AB - PURPOSE: As Australia struggles to meet increased demand for healthcare and
contain expenditure there has been a focus on primary care and its role in demand
management and keeping people out of expensive secondary care. However, with
domestic policy struggling to find a suitable approach consideration of English
policy could well be fruitful in the quest to strengthen and develop primary care
in Australia. The purpose of this paper is to consider policy developments in
England and explores these in relation to the Australian healthcare system.
DESIGN/METHODOLOGY/APPROACH: The authors highlight the key changes to policy that
have occurred in the English healthcare system in recent years, and discuss
whether they have proven successful. The authors discuss the barriers to
implementing similar approaches in Australia, particularly the difference in
system structure that would necessitate policy adaptation. FINDINGS: Whilst there
are differences in the structure and organisation of funding and service
provision between countries, there are developments in England that are worthy of
consideration from an Australian perspective. These include a focus on funding
and commissioning that rewards quality not just activity and volume. As Australia
sees the development of new primary care organisations that are tasked with
commissioning then developments and lessons around the technical and relational
aspects will be important to consider. ORIGINALITY/VALUE: The work highlights
that Australia might consider learning from the English experience in this area
and the types of incentives that may increase efficiency and quality of health
service provision. This is important as it potentially gives greater certainty
about those approaches most likely to yield beneficial outcomes for patients and
the broader system.
PMID- 26556160
TI - How hospitalists work to pull healthcare teams together.
AB - PURPOSE: The purpose of this paper is to document everyday practices by which
hospitalist physicians negotiate barriers to effective teamwork.
DESIGN/METHODOLOGY/APPROACH: Ethnographic observation with a sample of
hospitalists chosen to represent a range of hospital and practice types.
FINDINGS: Hospitals rely on effective, interprofessional teamwork but typically
do not support it. Hospitalist physicians must bridge the internal boundaries
within their hospitals to coordinate their patients' care, but they face
challenges - scattered patients, fragmented information, uncoordinated teams, and
unreliable processes - that can impact the timeliness and safety of care.
Hospitalists largely rely on personal presence and memory to deal with these
challenges. Some invent low-tech supports for teamwork, but these are typically
neither tested nor shared with others. Formal support for teamwork, primarily
case management rounds, is applied unevenly and may not be respected by all team
members. RESEARCH LIMITATIONS/IMPLICATIONS: The findings are drawn from
observation over a limited period of time with a small, purposefully chosen
sample of physicians and hospitals. Practical implications - Hospitals must
recognize the issues hospitalists and other providers face, evaluate and
disseminate supports for teamwork, and make interprofessional teamwork a core
feature of hospital design and evaluation. ORIGINALITY/VALUE: The authors show
the nuances of how hospitalists struggle to practice teamwork in a challenging
context, and how the approaches they take (relying on memory and personal
presence) do not address, and may actually contribute to, the system-level
problems they face.
PMID- 26556161
TI - Providing rehabilitation online - invisible work and diagnostic agents.
AB - PURPOSE: Telecare promises to deliver healthcare services more efficiently while,
at the same time, improving the quality of care. The purpose of this paper is to
challenge these promises by analysing the implications of introducing telecare in
the rehabilitation of patients suffering from chronic obstructive pulmonary
disease. DESIGN/METHODOLOGY/APPROACH: Empirically, the paper is based on
interviews with and observations of rehabilitation therapists and patients taking
part in a Danish telerehabilitation programme. Theoretically, the paper draws on
Science and Technology Studies. FINDINGS: The introduction of telecare alters
rehabilitation practices in multiple ways. First, several new time-consuming work
routines, carried out in collaboration between therapists, patients and technical
professions, emerge. Although crucial in establishing and maintaining
telerehabilitation infrastructures, this work remains invisible in evaluations of
the programme. Second, rather than simply increasing patient agency,
responsibilities are redistributed and negotiated in subtle and non-uniform ways.
These negotiations make it less transparent where one responsibility begins and
where another potentially conflicting one ends. PRACTICAL IMPLICATIONS:
Evaluations of telecare technologies should pay more attention to work- and
responsibility-related effects of introducing telecare in order better to account
for predicted and unpredicted as well as desirable and undesirable socio
technical changes. ORIGINALITY/VALUE: Using an ethnographic approach, the paper
points to the discrepancy between simplistic political promises that telecare
technologies can serve as tools for improvement, on the one hand, and the
substantial changes in the organisation and management of healthcare observed in
practice, on the other. Rather than regarding telecare as technologies of
improvement, it is more productive to regard them as technologies of change.
PMID- 26556162
TI - Hospital management training for the Eastern Mediterranean Region: time for a
change?
AB - PURPOSE: The WHO Eastern Mediterranean Regional Office has emphasized health
system strengthening among the top five strategic priorities. One of the integral
elements of health systems are the hospitals. The purpose of this paper is to
review the need for formalized training in hospital management to improve the
quality of care. DESIGN/METHODOLOGY/APPROACH: Literature review and hands on
experience of conducting a regional training in hospital management for Eastern
Mediterranean Region (EMR) countries. FINDINGS: Majority of patients in EMR
bypass Primary Health Care facilities due to inadequate quality of services and
prefer seeking specialized care at a tertiary level. There is mounting evidence
of mediocre to poor patient satisfaction due to inefficient health care practices
in hospitals of EMR. Strengthening the management capacity of the hospitals
through a formal training programme is therefore necessary for improving the
performance of health care delivery and the overall health system. Hospital
management encompasses hospital planning and operational activities including
development and implementation of organizational strategies to ensure adequate
numbers and quality of trained human resources and effective financial
management, disaster management, health management information system
utilization, support services, biomedical engineering, transport and waste
management. Such training will prepare health care professionals with leadership
skills to deliver quality hospital services. ORIGINALITY/VALUE: This is one of
the first papers emphasizing the need for a formal structured regional training
in hospital management for the countries of EMR. A modular incremental training
approach developing an EMR Credit Transfer and Accumulation system is proposed.
PMID- 26556163
TI - Evaluating Schwartz Center Rounds in an urban hospital center.
AB - PURPOSE: Schwartz Center Rounds (SCRs) bring multidisciplinary caregivers
together to discuss authentic patient cases from the social and emotional
perspective. The monthly sessions provide a forum to share personal thoughts and
feelings. The purpose of this paper is to learn why people attend SCR, understand
what is gained from the experience, and identify key elements to use in measuring
the program's effectiveness. DESIGN/METHODOLOGY/APPROACH: This qualitative
descriptive study used four focus groups and three telephone interviews for data
collection. Purposive sampling resulted in a multidisciplinary sample of 30
participants. Thematic analysis was conducted with complete transcripts by all
researchers. FINDINGS: All parties viewed SCR as beneficial. Six themes emerged
during data analysis: culture change, exposing emotions, walking in another's
shoes, inequality of topics, influence of rules and boundaries, and personal
impact. Institutional culture was positively influenced through SCR. RESEARCH
LIMITATIONS/IMPLICATIONS: Limitations include a single institution and restricted
data gathered from physicians. Future research should focus on identifying
outcome measures to evaluate the long-term impact of SCR on healthcare
organizations. PRACTICAL IMPLICATIONS: This study confirms that the SCR program
should be continued at the study organization, and expanded to increase
availability to all staff. The growth of this program in healthcare organizations
across the country is encouraged. ORIGINALITY/VALUE: This research provides
support for healthcare organizations to offer SCR and highlights how the
emotional aspects of patient care can be acknowledged, explored, and discussed.
PMID- 26556164
TI - Strategies to promote practice nurse capacity to deliver evidence-based care: An
example from sexual healthcare.
AB - PURPOSE: Evidence-based practice is pivotal to effective patient care. However,
its translation into practice remains limited. Given the central role of primary
care in many healthcare systems, it is important to identify strategies that
bolster clinician-capacity to promote evidence-based care. The purpose of this
paper is to identify strategies to increase Practice Nurse capacity to promote
evidence-based sexual healthcare within general practice.
DESIGN/METHODOLOGY/APPROACH: A survey of 217 Practice Nurses in an Australian
state and ten respondent-interviews regarding two resources to promote evidence
based sexual healthcare - namely, a clinical aide and online training. FINDINGS:
The perceived impact of both resources was determined by views on relevance and
design - particularly for the clinical aide. Resource-use was influenced by role
and responsibilities within the workplace, accessibility, and support from
patients and colleagues. RESEARCH LIMITATIONS/IMPLICATIONS: This is the first
Australian study to reveal strategies to promote evidence-based sexual healthcare
among Practice Nurses. The findings provide a platform for future research on
knowledge translation processes, particularly among clinicians who might be
disengaged from sexual healthcare. PRACTICAL IMPLICATIONS: Given the benefits of
evidence-based practices, it is important that managers recognize their role, and
the role of their services, in promoting these. Without explicit support for
evidence-based care and recognition of the Practice Nurse role in such care,
knowledge translation is likely to be limited. ORIGINALITY/VALUE: Knowledge
translation among Practice Nurses can be facilitated by: resources-deemed
informative, relevant, and user-friendly, as well as support from patients,
colleagues, and their workplace.
PMID- 26556165
TI - Responses to professional identity threat: Identity management strategies in
incident narratives of health care professionals.
AB - PURPOSE: The purpose of this paper is to explore sensemaking of incidents by
health care professionals through an analysis of the role of professional
identity in narratives of incidents. Using insights from social identity theory,
the authors argue that incidents may create a threat of professional identity,
and that professionals make use of identity management strategies in response to
this identity threat. DESIGN/METHODOLOGY/APPROACH: The paper draws on a
qualitative analysis of incident narratives in 14 semi-structured interviews with
physicians, nurses, and residents at a Dutch specialist hospital. The authors
used an existing framework of identity management strategies to categorize the
narratives. FINDINGS: The analysis yielded two main results. First, nurses and
residents employed multiple types of identity management strategies
simultaneously, which points to the possible benefit of combining different
strategies. Second, physicians used the strategy of patronization of other
professional groups, a specific form of downward comparison. RESEARCH
LIMITATIONS/IMPLICATIONS: The authors discuss the implications of the findings in
terms of the impact of identity management strategies on the perpetuation of
hierarchical differences in health care. PRACTICAL IMPLICATIONS: The authors
argue that efforts to manage incident handling may profit from considering social
identity processes in sensemaking of incidents. ORIGINALITY/VALUE: This is the
first study that systematically explores how health care professionals use
identity management strategies to maintain a positive professional identity in
the face of incidents. This study contributes to research on interdisciplinary
cooperation in health care.
PMID- 26556166
TI - Managing processes of inpatient care and treatment: Swedish healthcare process
managers' descriptions.
AB - PURPOSE: The purpose of this paper is to establish a knowledge bank for the
development of overall hospital processes. Description and analysis are used to
show how process managers experience their situation and the various
possibilities it offers for active management in the context of managing
processes of inpatient care and treatment at Swedish hospitals.
DESIGN/METHODOLOGY/APPROACH: A qualitative and explorative design with open-ended
interviews with 12 process managers at three Swedish hospitals was used.
Transcribed interviews were analysed by means of latent content analysis.
FINDINGS: The two main categories emerging from the analysis were characteristics
of process leadership and prerequisites of process management. Quality,
relational and knowledge dimensions, and structure, time and information
dimensions emerged as their respective sub-categories. The overall theme
describes the interdependence between leadership characteristics and the
prerequisites necessary for effective process management. RESEARCH
LIMITATIONS/IMPLICATIONS: No generalizations could be made from the results of
the qualitative interview studies but a deeper understanding of the phenomenon
was reached, which in turn can be transferred to similar settings.
ORIGINALITY/VALUE: This study contributes qualitative descriptions of leadership
characteristics and the prerequisites necessary for active process management in
the context of managing processes of inpatient care and treatment at Swedish
hospitals, a subject that has not been investigated earlier.
PMID- 26556167
TI - The importance of role sending in the sensemaking of change agent roles.
AB - PURPOSE: The purpose of this paper is to investigate what happens when a lack of
role-sending results in ambiguous change agent roles during a large scale
organisational reconfiguration. The authors consider the role of sensemaking in
resolving role ambiguity of middle manager change agents and the consequences of
this for organisational restructuring. DESIGN/METHODOLOGY/APPROACH: Data were
collected from a case study analysis of significant organisational
reconfiguration across a local National Health Service Trust in the UK. Data
consists of 82 interviews, complemented by analysis of over 100 documents and
field notes from 51 hours of observations collected over five phases covering a
three year period before, during and after the reconfiguration. An inductive
qualitative analysis revealed the sensemaking processes by which ambiguity in
role definition was resolved. FINDINGS: The data explains how change agents
collectively make sense of a role in their own way, drawing on their own
experiences and views as well as cues from other organisational members. The
authors also identified the organisational outcomes which resulted from this
freedom in sensemaking. This study demonstrates that by leaving too much
flexibility in the definition of the role, agents developed their own sensemaking
which was subsequently very difficult to manipulate. PRACTICAL IMPLICATIONS: In
creating new roles, management first needs to have a realistic vision of the task
and roles that their agents will perform, and second, to communicate these
expectations to both those responsible for recruiting these roles and to the
agents themselves. ORIGINALITY/VALUE: Much of the focus in sensemaking research
has been on the importance of change agents' sensemaking of the change but there
has been little focus on how change agents sensemake their own role in the
change.
PMID- 26556168
TI - Is psychotropic medication use related to organisational and treatment culture in
residential care.
AB - PURPOSE: The purpose of this paper is to establish the relationship between
organisational culture and psychotropic medication use in residential care.
DESIGN/METHODOLOGY/APPROACH: Cross-sectional analyses of staff and resident's
record survey in residential aged care facilities in Auckland, New Zealand (NZ).
The competing values framework categorised organisational culture as clan,
hierarchical, market driven or adhocracy and was completed by all staff. The
treatment culture tool categorised facilities as having resident centred or
traditional culture and was completed by registered nursing staff and general
practitioners (GP). Functional and behavioural characteristics of residents were
established by staff report and health characteristics and medications used were
ascertained from the health record. Multiple regression was used to test for
associations between measures of culture with psychotropic medication use
(anxiolytics, sedatives, major tranquillisers). FINDINGS: In total 199 staff, 27
GP and 527 residents participated from 14 facilities. On average 8.5 medications
per resident were prescribed and 42 per cent of residents received psychotropic
medication. Having a diagnosis of anxiety or depression (odds ratio (OR) 3.18, 95
per cent confidence interval (CI) 1.71, 5.91), followed by persistent wandering
(OR 2.53, 95 per cent CI 1.59, 4.01) and being in a dementia unit (OR 2.45, 95
per cent CI 1.17, 5.12) were most strongly associated with psychotropic use.
Controlling for resident- and facility-level factors, health care assistants'
assignation of hierarchical organisational culture type was independently
associated with psychotropic medication use, (OR 1.29, CI 1.08, 1.53) and a
higher treatment culture score from the GP was associated with lower use of
psychotropic medication (OR 0.95, CI 0.92, 0.98). ORIGINALITY/VALUE: Psychotropic
medication use remains prevalent in residential care facilities in NZ.
Interventions aimed at changing organisational culture towards a less
hierarchical and more resident-centred culture may be another avenue to improve
prescribing in residential aged care.
PMID- 26556169
TI - Managing different forms of distances in Dutch healthcare organizations: The
relation between managers and professionals as a dynamic continuum of distance
and proximity.
AB - PURPOSE: Dichotomous "gap" thinking about professionals and managers has
important limits. The purpose of this paper is to study the specific ontology of
"the gap" in which different forms of distances are defined.
DESIGN/METHODOLOGY/APPROACH: In order to deepen the knowledge of the actual day
to-day tasks of Dutch healthcare executives an ethnographic study of the daily
work of Dutch healthcare executives and an ontological exploration of the concept
"gap" was provided. The study empirically investigates the meaning given to the
concept of "distance" in healthcare governance practices. FINDINGS: The study
reveals that healthcare executives have to fulfil a dual role of maintaining
distance and creating proximity. Coping with different forms of distances seems
to be an integral part of their work. They make use of four potential mechanisms
to cope with distance in their healthcare organization practices.
ORIGINALITY/VALUE: The relationship between managers and professionals is often
defined as a dichotomous gap. The findings in this research suggest a more
dynamic picture of the relationship between managers and professionals than is
currently present in literature. This study moves "beyond" the gap and
investigates processes of distancing in-depth.
PMID- 26556170
TI - New nurse transition: success through aligning multiple identities.
AB - PURPOSE: The purpose of this paper is to explore the perceptions of new nurses in
Singapore of their experiences of role transition and to examine the implications
for managers in terms of employee training, development and retention.
DESIGN/METHODOLOGY/APPROACH: This qualitative study was conducted using a
constructivist grounded theory approach. In total 26 novice nurses and five
preceptors (n=31) from five different hospitals participated in the study. Data
were collected from semi-structured interviews and reflective journal entries and
analysed using the constant comparative method. FINDINGS: The findings revealed
that novice nurses remained emotionally and physically challenged when
experiencing role transition. Two major constructs appear to play an important
part in the transition process; learning how to Fit in and aligning personal with
professional and organisational identities. The findings highlight factors that
facilitate or impede Fitting in and aligning these identities. ORIGINALITY/VALUE:
Although the concept of Fitting in and its relation to the attrition of novice
nurses has been explored in global studies, that relationship has not yet been
theorised as the dynamic alignment of multiple identities. Also, whilst most
research around Fitting in, identity and retention has been conducted in western
countries, little is known about these issues and their interrelationship in the
context of Singapore. The study should inform decision making by healthcare
organisations, nurse managers and nursing training institutions with respect to
improving the transition experience of novice nurses.
PMID- 26556171
TI - Configurations of leadership practices in hospital units.
AB - PURPOSE: The purpose of this paper is to explore how leadership is practiced
across four different hospital units. DESIGN/METHODOLOGY/APPROACH: The study is a
comparative case study of four hospital units, based on detailed observations of
the everyday work practices, interactions and interviews with ten
interdisciplinary clinical managers. FINDINGS: Comparing leadership as
configurations of practices across four different clinical settings, the author
shows how flexible and often shared leadership practices were embedded in and
central to the core clinical work in all units studied here, especially in more
unpredictable work settings. Practices of symbolic work and emotional support to
staff were particularly important when patients were severely ill. RESEARCH
LIMITATIONS/IMPLICATIONS: Based on a study conducted with qualitative methods,
these results cannot be expected to apply in all clinical settings. Future
research is invited to extend the findings presented here by exploring leadership
practices from a micro-level perspective in additional health care contexts:
particularly the embedded and emergent nature of such practices. PRACTICAL
IMPLICATIONS: This paper shows leadership practices to be primarily embedded in
the clinical work and often shared across organizational or professional
boundaries. ORIGINALITY/VALUE: This paper demonstrated how leadership practices
are embedded in the everyday work in hospital units. Moreover, the analysis shows
how configurations of leadership practices varied in four different clinical
settings, thus contributing with contextual accounts of leadership as practice,
and suggested "configurations of practice" as a way to carve out similarities and
differences in leadership practices across settings.
PMID- 26556172
TI - Investigating healthcare IT innovations: a "conceptual blending" approach.
AB - PURPOSE: The purpose of this paper is to better understand how and why adoption
and implementation of healthcare IT innovations occur. The authors examine two IT
applications, computerised physician order entry (CPOE) and picture archiving and
communication systems (PACS) at the meso and micro levels, within the context of
the National Programme for IT in the English National Health Service (NHS).
DESIGN/METHODOLOGY/APPROACH: To analyse these multi-level dynamics, the authors
blend Rogers' diffusion of innovations theory (DoIT) with Webster's sociological
critique of technological innovation in medicine and healthcare systems to
illuminate a wider range of interacting factors. Qualitative data collected
between 2004 and 2006 uses semi-structured, in-depth interviews with 72
stakeholders across four English NHS hospital trusts. FINDINGS: Overall, PACS was
more successfully implemented (fully or partially in three out of four trusts)
than CPOE (implemented in one trust only). Factors such as perceived benefit to
users and attributes of the application - in particular speed, ease of use,
reliability and flexibility and levels of readiness - were highly relevant but
their influence was modulated through interaction with complex structural and
relational issues. PRACTICAL IMPLICATIONS: Results reveal that combining
contextual system level theories with DoIT increases understanding of real-life
processes underpinning implementation of IT innovations within healthcare. They
also highlight important drivers affecting success of implementation, including
socio-political factors, the social body of practice and degree of "co
construction" between designers and end-users. ORIGINALITY/VALUE: The originality
of the study partly rests on its methodological innovativeness and its value on
critical insights afforded into understanding complex IT implementation
programmes.
PMID- 26556173
TI - A suite of de novo c-type cytochromes for functional oxidoreductase engineering.
AB - Central to the design of an efficient de novo enzyme is a robust yet mutable
protein scaffold. The maquette approach to protein design offers precisely this,
employing simple four-alpha-helix bundle scaffolds devoid of evolutionary
complexity and with proven tolerance towards iterative protein engineering. We
recently described the design of C2, a de novo designed c-type cytochrome
maquette that undergoes post-translational modification in E. coli to covalently
graft heme onto the protein backbone in vivo. This de novo cytochrome is capable
of reversible oxygen binding, an obligate step in the catalytic cycle of many
oxygen-activating oxidoreductases. Here we demonstrate the flexibility of both
the maquette platform and the post-translational machinery of E. coli by creating
a suite of functional de novo designed c-type cytochromes. We explore the
engineering tolerances of the maquette by selecting alternative binding sites for
heme C attachment and creating di-heme maquettes either by appending an
additional heme C binding motif to the maquette scaffold or by binding heme B
through simple bis-histidine ligation to a second binding site. The new designs
retain the essential properties of the parent design but with significant
improvements in structural stability. Molecular dynamics simulations aid the
rationalization of these functional improvements while providing insight into the
rules for engineering heme C binding sites in future iterations. This versatile,
functional suite of de novo c-type cytochromes shows significant promise in
providing robust platforms for the future engineering of de novo oxygen
activating oxidoreductases. This article is part of a Special Issue entitled
Biodesign for Bioenergetics--the design and engineering of electron transfer
cofactors, proteins and protein networks, edited by Ronald L. Koder and J.L. Ross
Anderson.
PMID- 26556174
TI - Comment on New Mathematical Model for Interpreting pH-Stat Digestion Profiles:
Impact of Lipid Droplet Characteristics on in Vitro Digestibility.
PMID- 26556175
TI - Leading Causes of Death Contributing to Decrease in Life Expectancy Gap Between
Black and White Populations: United States, 1999-2013.
AB - Life expectancy at birth has increased steadily since 1900 to a record 78.8 years
in 2013. But differences in life expectancy between the white and black
populations still exist, despite a decrease in the life expectancy gap from 5.9
years in 1999 to 3.6 years in 2013. Differences in the change over time in the
leading causes of death for the black and white populations have contributed to
this decrease in the gap in life expectancy. Between 1999 and 2013, the decrease
in the life expectancy gap between the black and white populations was mostly due
to greater decreases in mortality from heart disease, cancer, HIV disease,
unintentional injuries, and perinatal conditions among the black population.
Similarly, the decrease in the gap between black and white male life expectancy
was due to greater decreases in death rates for HIV disease, cancer,
unintentional injuries, heart disease, and perinatal conditions in black males.
For black females, greater decreases in diabetes death rates, combined with
decreased rates for heart disease and HIV disease, were the major causes
contributing to the decrease in the life expectancy gap with white females. The
decrease in the gap in life expectancy between the white and black populations
would have been larger than 3.6 years if not for increases in death rates for the
black population for aortic aneurysm, Alzheimer's disease, and maternal
conditions. For black males, the causes that showed increases in death rates over
white males were hypertension, aortic aneurysm, diabetes, Alzheimer's disease,
and kidney disease, while the causes that showed increases in death rates for
black females were Alzheimer's disease, maternal conditions, and atherosclerosis.
This NCHS Data Brief is the second in a series of data briefs that explore the
causes of death contributing to differences in life expectancy between detailed
ethnic and racial populations in the United States. The first data brief focused
on the racial differences in life expectancy for a single year, 2010 (3).
PMID- 26556176
TI - Systematic and differential myelination of axon collaterals in the mammalian
auditory brainstem.
AB - A brainstem circuit for encoding the spatial location of sounds involves neurons
in the cochlear nucleus that project to medial superior olivary (MSO) neurons on
both sides of the brain via a single bifurcating axon. Neurons in MSO act as
coincidence detectors, responding optimally when signals from the two ears arrive
within a few microseconds. To achieve this, transmission of signals along the
contralateral collateral must be faster than transmission of the same signals
along the ipsilateral collateral. We demonstrate that this is achieved by
differential regulation of myelination and axon caliber along the ipsilateral and
contralateral branches of single axons; ipsilateral axon branches have shorter
internode lengths and smaller caliber than contralateral branches. The
myelination difference is established prior to the onset of hearing. We conclude
that this differential myelination and axon caliber requires local interactions
between axon collaterals and surrounding oligodendrocytes on the two sides of the
brainstem.
PMID- 26556177
TI - Diagnostic accuracy for apical and chronic periodontitis biomarkers in gingival
crevicular fluid: an exploratory study.
AB - AIM: The aim of this study was to assess the levels and diagnostic accuracy of a
set of potential biomarkers of periodontal tissue metabolism in gingival
crevicular fluid (GCF) from patients with chronic periodontitis (CP) and
asymptomatic apical periodontitis ( AAP). MATERIALS AND METHODS: Thirty one GCF
samples from 11 CP patients, 44 GCF samples from 38 AAP patients and 31 GCF
samples from 13 healthy volunteers were obtained (N = 106). Matrix
metalloproteinases (MMPs) -2 and -9 were determined by zymography; levels of MMP
8 by ELISA and IFMA and MPO by ELISA. IL-1, IL-6, TNFalpha, DKK-1, Osteonectin,
Periostin, TRAP-5 and OPG were determined by a multiplex quantitative panel.
Statistical analysis was performed using linear mixed-effects models. RESULTS:
The MMP-9 and MMP-8 were higher in CP, followed by AAP, versus healthy
individuals (p < 0.05). ProMMP-2, MPO, IL-1, IL-6, PTN, TRAP-5 and OPG were
significantly higher in CP when compared with AAP and healthy patients (p <
0.05). The highest diagnostic accuracies were observed for ProMMP-2, ProMMP-9,
MMP-8 and TRAP-5 (AUC > 0.97) in CP, and for the active form of MMP-9 and MMP-8
(AUC > 0.90) in AAP. CONCLUSION: Gingival crevicular fluid composition is
modified by CP and AAP. MMP-9 and MMP-8 show diagnostic potential for CP and AAP,
whereas MMP-2 and TRAP-5 are useful only for CP.
PMID- 26556178
TI - Compatibility between livestock databases used for quantitative biosecurity
response in New Zealand.
AB - AIM: To characterise New Zealand's livestock biosecurity databases, and
investigate their compatibility and capacity to provide a single integrated data
source for quantitative outbreak analysis. METHODS: Contemporary snapshots of the
data in three national livestock biosecurity databases, AgriBase, FarmsOnLine
(FOL) and the National Animal Identification and Tracing Scheme (NAIT), were
obtained on 16 September, 1 September and 30 April 2014, respectively, and loaded
into a relational database. A frequency table of animal numbers per farm was
calculated for the AgriBase and FOL datasets. A two dimensional kernel density
estimate was calculated for farms reporting the presence of cattle, pigs, deer,
and small ruminants in each database and the ratio of farm densities for AgriBase
versus FOL calculated. The extent to which records in the three databases could
be matched and linked was quantified, and the level of agreement amongst them for
the presence of different species on properties assessed using Cohen's kappa
statistic. RESULTS: AgriBase contained fewer records than FOL, but recorded
animal numbers present on each farm, whereas FOL contained more records, but
captured only presence/absence of animals. The ratio of farm densities in
AgriBase relative to FOL for pigs and deer was reasonably homogeneous across New
Zealand, with AgriBase having a farm density approximately 80% of FOL. For cattle
and small ruminants, there was considerable heterogeneity, with AgriBase showing
a density of cattle farms in the Central Otago region that was 20% of FOL, and a
density of small ruminant farms in the central West Coast area that was twice
that of FOL. Only 37% of records in FOL could be linked to AgriBase, but the
level of agreement for the presence of different species between these databases
was substantial (kappa>0.6). Both NAIT and FOL shared common farm identifiers
which could be used to georeference animal movements, and there was a fair to
substantial agreement (kappa 0.32-0.69) between these databases for the presence
of cattle and deer on properties. CONCLUSIONS: The three databases broadly agreed
with each other, but important differences existed in both species composition
and spatial coverage which raises concern over their accuracy. Importantly, they
cannot be reliably linked together to provide a single picture of New Zealand's
livestock industry, limiting the ability to use advanced quantitative techniques
to provide effective decision support during disease outbreaks. We recommend that
a single integrated database be developed, with alignment of resources and
legislation for its upkeep.
PMID- 26556179
TI - Conformational analysis of small organic molecules using NOE and RDC data: A
discussion of strychnine and alpha-methylene-gamma-butyrolactone.
AB - To understand the properties and/or reactivity of an organic molecule, an
understanding of its three-dimensional structure is necessary. Simultaneous
determination of configuration and conformation often poses a daunting challenge.
Thus, the more information accessible for a given molecule, the better.
Additionally to (3)J-couplings, two sources of information, quantitative NOE and
more recently also RDCs, are used for conformational analysis by NMR
spectroscopy. In this paper, we compare these sources of conformational
information in two molecules: the configurationally well-characterized strychnine
1, and the only recently configurationally and conformationally characterized
alpha-methylene-gamma-butyrolactone 2. We discuss possible sources of error in
the measurement and analysis process, and how to exclude them. By this means, we
are able to bolster the previously proposed flexibility for these two molecules.
PMID- 26556180
TI - Effects of Aging and Cardiovascular Disease Risk Factors on the Expression of
Sirtuins in the Human Corpus Cavernosum.
AB - INTRODUCTION: Sirtuin (SIRT)1 was recently identified in human corpus cavernosum
(CC). We hypothesized that other sirtuins could also be expressed in the CC.
Expression of these enzymes in tissues is affected by aging, the main independent
risk factor for erectile dysfunction besides other cardiovascular disease risk
factors (CVDRF), such as diabetes or obesity. AIM: The aim of this study was to
characterize the expression of SIRT1-3 and SIRT5-7 in human CC relatively to age
and CVDRF. METHODS: Samples of CC collected from patients submitted to programmed
surgeries or organ donors were divided in three groups according to age and
presence of CVDRF. Expression of SIRT1-3 and SIRT5-7 mRNAs was assessed by real
time polymerase chain reaction. Cellular localization and semi-quantification of
sirtuins proteins were performed by immunofluorescence and Western blotting (WB),
respectively. Nuclear factor kappa B (NFkB)-p65, inducible (iNOS) and endothelial
nitric oxide synthase (eNOS) levels were also assayed by WB. MAIN OUTCOME
MEASURES: The main outcome measure was to characterize the expression of SIRT1-3
and SIRT5-7 in human CC. RESULTS: SIRT1-3 and SIRT5-7 mRNAs were detected in all
individuals, without statistical differences among groups, excepting SIRT7 that
decreased four times in aged groups relatively to young (P = 0.013). WB analysis
demonstrated that aged individuals with CVDRF presented higher levels of SIRT7
protein relatively to young (P = 0.0495) and lower levels of SIRT3 protein
relatively to healthy aged (P = 0.0077). Expression of NFkB-p65 and iNOS were
higher in aged than in young individuals (P = 0.0185; P = 0.004, respectively).
No differences in other sirtuins or total eNOS were seen among groups although
phospho eNOS Ser(1177) levels decreased in groups of aged men relatively to young
(P = 0.0043; P = 0.0099). CONCLUSIONS: Our results demonstrate for the first time
expression of SIRT2-3 and SIRT5-7 in the human CC. Aged individuals with CVDRF
presented an increase in SIRT7 protein levels and a decrease in mitochondrial
SIRT3. This finding suggests that CVDRF induces the loss of antioxidant defense
mechanisms leading to endothelial injury.
PMID- 26556181
TI - Diffusion tensor imaging in abdominal organs.
AB - Initially, diffusion tensor imaging (DTI) was mainly applied in studies of the
human brain to analyse white matter tracts. As DTI is outstanding for the
analysis of tissue's microstructure, the interest in DTI for the assessment of
abdominal tissues has increased continuously in recent years. Tissue
characteristics of abdominal organs differ substantially from those of the human
brain. Further peculiarities such as respiratory motion and heterogenic tissue
composition lead to difficult conditions that have to be overcome in DTI
measurements. Thus MR measurement parameters have to be adapted for DTI in
abdominal organs. This review article provides information on the technical
background of DTI with a focus on abdominal imaging, as well as an overview of
clinical studies and application of DTI in different abdominal regions. Copyright
(c) 2015 John Wiley & Sons, Ltd.
PMID- 26556182
TI - A new microplatform based on titanium dioxide nanofibers/graphene oxide
nanosheets nanocomposite modified screen printed carbon electrode for
electrochemical determination of adenine in the presence of guanine.
AB - The current techniques for determining adenine have several shortcomings such as
high cost, high time consumption, tedious pretreatment steps and the requirements
for highly skilled personnel often restrict their use in routine analytical
practice. This paper describes the development and utilization of a new
nanocomposite consisting of titanium dioxide nanofibers (TNFs) and graphene oxide
nanosheets (GONs) for screen printed carbon electrode (SPCE) modification. The
synthesized GONs and TNFs were characterized by transmission electron microscopy
(TEM), scanning electron microscopy (SEM), X-ray diffraction (XRD) and Fourier
transform infrared spectroscopy (FT-IR). The modified electrode (TNFs/GONs/SPCE)
was used for electrochemical characterization of adenine. The TNFs/GONs/SPCE
exhibited an increase in peak current and the electron transfer kinetics and
decrease in the overpotential for the oxidation reaction of adenine. Using
differential pulse voltammetry (DPV), the prepared sensor showed good sensitivity
for determining adenine in two ranges from 0.1-1 and 1-10 MUM, with a detection
limit (DL) of 1.71 nM. Electrochemical studies suggested that the TNFs/GONs/SPCE
provided a synergistic augmentation on the voltammetric behavior of
electrochemical oxidation of adenine, which was indicated by the improvement of
anodic peak current and a decrease in anodic peak potential. The amount of
adenine in pBudCE4.1 plasmid was determined via the proposed sensor and the
result was in good compatibility with the sequence data of pBudCE4.1 plasmid.
PMID- 26556183
TI - Synergetic catalysis based on the proline tailed metalloporphyrin with graphene
sheet as efficient mimetic enzyme for ultrasensitive electrochemical detection of
dopamine.
AB - In this paper, linking with the butoxycarbonyl (BOC) protection of proline, a new
tailed metalloporphyrin with many useful active functions, nickel (II) 5-[4-N
(tert-Butoxycarbonyl)-l-prolinecoxylpropyloxy]phenyl-10,15,20-triphenylporphyrin
(NiTBLPyP), was designed and synthesized. And the NiTBLPyP polymer
(poly(NiTBLPyP)) was successfully obtained via a low-cost electrochemical method
and exploited as an efficient mimic enzyme. Subsequently, a noncovalent
nanohybrid of poly(NiTBLPyP) with graphene (rGO) sheet (rGO-poly(NiTBLPyP)) was
prepared through pi-pi stacking interaction for the ultrasensitive and selective
detection of DA. The nanohybrid was characterized by UV-vis spectroscopy, Fourier
transform infrared spectra, Raman spectroscopy, scanning electron microscopy and
electrochemical impedance spectroscopy. Due to the excellent electrocatalytic
ability of poly(NiTBLPyP) film and aromatic pi-pi stacking interaction between
poly(NiTBLPyP and rGO sheet, the obtained rGO-poly(NiTBLPyP) film exhibited a
great synergistic amplification effect toward dopamine oxidation. Under optimum
experimental conditions, the logarithm of catalytic currents showed a good linear
relationship with that of the dopamine concentration in the range of 0.01-200 MUM
with a low detection limit of 1.40 nM. With good sensitivity and selectivity, the
present method was applied to the determination of DA in real sample and the
results was satisfactory. Thus, the rGO-poly(NiTBLPyP) film is one of the
promising mimetic enzyme for electrocatalysis and relevant fields.
PMID- 26556184
TI - A simple microfluidic aggregation analyzer for the specific, sensitive and
multiplexed quantification of proteins in a serum environment.
AB - Portable and low-cost platforms for protein biomarker detection are highly sought
after for point of care applications. We demonstrate a simple microfluidic device
for the rapid, electrically-based detection of proteins in serum. Our aggregation
analyzer relies on detecting the protein-induced aggregation of sub-micron
particles, using a one-step procedure followed by a fast, particle-by-particle
measurement with a very high count rate. This enables the rapid and precise
quantification of C-Reactive protein levels, within the clinically relevant
range, using unprocessed human serum and a disposable microfluidic device; no
optics are involved in the implementation. Due to the single particle detection
format and the use of microfluidics, only a small volume of serum (~50 nL) is
needed to complete the analysis. The method can be easily extended to multiplexed
biomarker detection by combining an assay using differently sized particles, each
targeting a separate protein. We illustrate this by using two sizes of latex
beads and demonstrating the simultaneous detection of two different proteins in a
serum environment with minimal cross-interference. This confirms that our
aggregation analyzer platform provides a simple and straightforward method for
multiplexed biomarker detection in a low cost, portable design.
PMID- 26556185
TI - A double signal electrochemical human immunoglobulin G immunosensor based on gold
nanoparticles-polydopamine functionalized reduced graphene oxide as a sensor
platform and AgNPs/carbon nanocomposite as signal probe and catalytic substrate.
AB - In this paper, a double signal electrochemical Human immunoglobulin G (HIgG)
immunosensor based on AgNPs/carbon nanocomposite (Ag/C NC) as the signal probe
and catalytic substrate was developed for fast and sensitive detection of HIgG.
The as-prepared AuNPs-PDA-rGO nanocomposite and Ag/C NC were confirmed by UV-vis,
Fourier transform infrared spectroscopy, scanning electron microscopy and
transmission electron microscopy. Electrochemical impedance spectroscopy, cyclic
voltammetry and differential pulse voltammetry were used to investigate the
electrochemical properties of the proposed immunosensor. The AuNPs-PDA-rGO
nanocomposite can improve the electron transfer rate and capture more Ab1. In the
sandwich-type immunoassay process, the Ag/C NC functionalized bioconjugates were
captured on HIgG/Ab1/AuNPs-PDA-rGO surface and the electrochemical double-signal
strategy was employed. These double electrochemical detection signals were
directly monitored the oxidation current originated from Ag/C NC and indirectly
detected the reduction current of benzoquinone which was produced from the
reaction of H2O2 and HQ by catalysis of Ag/C NC in electrochemical detection of
HIgG. Under the optimized conditions, the current responses were changed with the
concentrations of HIgG for the proposed immunosensor with wide linear ranges of
0.1 to 100 ngmL(-1) and 0.01-100 ngmL(-1) with the lowest detection concentration
of 0.001 ng mL(-1) in the absence and presence of H2O2 and HQ. The double-signal
strategy is used for detection of HIgG, and the results came from the two signals
were well consistent with each other. The proposed immunosensor was successfully
applied in analysis of human IgG in real samples and this strategy may provide a
relative simple and effective method for construction of other immunsensors in
detection of other biomarkers in clinical medicine.
PMID- 26556186
TI - Spin-coated Au-nanohole arrays engineered by nanosphere lithography for a
Staphylococcus aureus 16S rRNA electrochemical sensor.
AB - The nanopatterning of gold nanoparticle (AuNP) arrays on an indium tin oxide
(ITO) electrode using efficient and low-cost methods is described. This process
used nanosphere lithography (NSL) encompassing the deposition of monolayered
Polystyrene (PS) followed by a convective self-assembly drop coating protocol
onto the ITO substrate that further acted as the mask after the AuNP assembly.
The results showed that spin-coating allowed AuNPs to follow the contour and
adhere to the PS nanospheres. The final products, after etching the PS, generated
a highly ordered Au-nanohole array on an ITO substrate. The Au-nanohole arrays on
the ITO electrode provided a greater surface area and successfully enhanced the
peak current of electrochemical measurements by 82% compared with bare ITO and
was used to detect Staphylococcus aureus 16S rRNA hybridization. In contrast to
non-templated AuNP structures, the Au-nanohole arrays on the ITO electrode
contributed to an optimum sensitivity improvement in DNA hybridization detection
by 23%, along with an impressive limit of detection (LOD) of 10 pM. The high
specificity of this distinguished structure was also achieved in the
hybridization measurements of multi-analyte pathogens. These findings indicate
that the combination of PS nanosphere lithography, followed by the spin-coating
of AuNPs, leads to an inexpensive and simple engineering process that effectively
generates uniform Au-nanohole arrays on ITO, which provides a greater surface
area to optimize the electrochemical performance of the DNA biosensor.
PMID- 26556187
TI - Formulation and Characterization of Solid Dispersion Prepared by Hot Melt Mixing:
A Fast Screening Approach for Polymer Selection.
AB - Solid dispersion is molecular dispersion of drug in a polymer matrix which leads
to improved solubility and hence better bioavailability. Solvent evaporation
technique was employed to prepare films of different combinations of polymers,
plasticizer, and a modal drug sulindac to narrow down on a few polymer
plasticizer-sulindac combinations. The sulindac-polymer-plasticizer combination
that was stable with good film forming properties was processed by hot melt
mixing, a technique close to hot melt extrusion, to predict its behavior in a hot
melt extrusion process. Hot melt mixing is not a substitute to hot melt extrusion
but is an aid in predicting the formation of molecularly dispersed form of a
given set of drug-polymer-plasticizer combination in a hot melt extrusion
process. The formulations were characterized by advanced techniques like optical
microscopy, differential scanning calorimetry, hot stage microscopy, dynamic
vapor sorption, and X-ray diffraction. Subsequently, the best drug-polymer
plasticizer combination obtained by hot melt mixing was subjected to hot melt
extrusion process to validate the usefulness of hot melt mixing as a predictive
tool in hot melt extrusion process.
PMID- 26556189
TI - Recently Investigated Natural Gums and Mucilages as Pharmaceutical Excipients: An
Overview.
AB - Due to advances in drug delivery technology, currently, excipients are included
in novel dosage forms to fulfil specific functions and in some cases they
directly or indirectly influence the extent and/or rate of drug release and drug
absorption. Recent trends towards use of plant based and natural products demand
the replacement of synthetic additives with natural ones. Today, the whole world
is increasingly interested in natural drugs and excipients. These natural
materials have many advantages over synthetic ones as they are chemically inert,
nontoxic, less expensive, biodegradable, and widely available. This review
discusses majority of the plant-derived polymeric compounds (gums and
mucilage's), their sources, chemical constituents, uses, and some recent
investigations as excipients in novel drug delivery systems.
PMID- 26556188
TI - A Critical Appraisal of Solubility Enhancement Techniques of Polyphenols.
AB - Polyphenols constitute a family of natural substances distributed widely in plant
kingdom. These are produced as secondary metabolites by plants and so far 8000
representatives of this family have been identified. Recently, there is an
increased interest in the polyphenols because of the evidence of their role in
prevention of degenerative diseases such as neurodegenerative diseases, cancer,
and cardiovascular diseases. Although a large number of drugs are available in
the market for treatment of these diseases, however, the emphasis these days is
on the exploitation of natural principles derived from plants. Most polyphenols
show low in vivo bioavailability thus limiting their application for oral drug
delivery. This low bioavailability could be associated with low aqueous
solubility, first pass effect, metabolism in GIT, or irreversible binding to
cellular DNA and proteins. Therefore, there is a need to devise strategies to
improve oral bioavailability of polyphenols. Various approaches like nanosizing,
self-microemulsifying drug delivery systems (SMEDDS), microencapsulation,
complexation, and solid dispersion can be used to increase the bioavailability.
This paper will highlight the various methods that have been employed till date
for the solubility enhancement of various polyphenols so that a suitable drug
delivery system can be formulated.
PMID- 26556190
TI - Solubility Enhancement of Budesonide and Statistical Optimization of Coating
Variables for Targeted Drug Delivery.
AB - The purpose of the research was to present Budesonide (BUD) as a novel
formulation showing improved aqueous solubility, which may decrease variability
in C max? and T max? found in inflammatory bowel disease (IBD) patients, and drug
targeting to colon. To improve aqueous solubility, solid dispersion (SD) of the
BUD with poloxamer 188 was prepared by melting method. Physical characterization
of solid dispersion was performed. The SD was used to prepare tablet equivalent
to 9 mg of BUD. The tablet was coated with enteric polymers Eudragit S100 and
Eudragit L100 to target colon. The ratio of polymers and percentage coating was
optimized using statistical design. Variables studied in design were ratio of
enteric polymers and the effect of percentage coating on in vitro drug release.
Dissolution at different pH showed that drug release in colon could be modified
by optimizing the ratio of polymers and percentage coating. The dissolution data
showed that the percentage coating and ratio of polymers are very important to
get lag time and optimum formulation. The optimized batch from statistical design
was kept under accelerated condition for three months. After accelerated
stability study, there was no significant change in the drug release.
PMID- 26556191
TI - Combinative Particle Size Reduction Technologies for the Production of Drug
Nanocrystals.
AB - Nanosizing is a suitable method to enhance the dissolution rate and therefore the
bioavailability of poorly soluble drugs. The success of the particle size
reduction processes depends on critical factors such as the employed technology,
equipment, and drug physicochemical properties. High pressure homogenization and
wet bead milling are standard comminution techniques that have been already
employed to successfully formulate poorly soluble drugs and bring them to market.
However, these techniques have limitations in their particle size reduction
performance, such as long production times and the necessity of employing a
micronized drug as the starting material. This review article discusses the
development of combinative methods, such as the NANOEDGE, H 96, H 69, H 42, and
CT technologies. These processes were developed to improve the particle size
reduction effectiveness of the standard techniques. These novel technologies can
combine bottom-up and/or top-down techniques in a two-step process. The
combinative processes lead in general to improved particle size reduction
effectiveness. Faster production of drug nanocrystals and smaller final mean
particle sizes are among the main advantages. The combinative particle size
reduction technologies are very useful formulation tools, and they will continue
acquiring importance for the production of drug nanocrystals.
PMID- 26556192
TI - Development and Evaluation of Novel Self-Nanoemulsifying Drug Delivery Systems
Based on a Homolipid from Capra hircus and Its Admixtures with Melon Oil for the
Delivery of Indomethacin.
AB - In this study, goat fat (Capra hircus) and melon oil were extracted and used to
formulate self-nanoemulsifying drug delivery systems (SNEDDS) based on either
goat fat alone or its admixture with melon oil by employing escalating ratios of
oil(s), surfactant blend (1 : 1 Tween 60 and Tween 80), and cosurfactant (Span
85), with or without carbosil, a glidant, for the delivery of indomethacin. The
formulations were encapsulated in hard gelatin capsules and then assessed using
isotropicity test, aqueous dilution stability and precipitation propensity,
absolute drug content, emulsification time, in vitro drug release, and anti
inflammatory activity. The SNEDDS exhibited low precipitation propensity and
excellent stability on copious dilution, as well as high drug release in vitro
and in vivo. The inhibition produced by the SNEDDS was comparable to that of
indomethacin injection (positive control) for much of the 5 h test period,
indicating a high degree of bioavailability of the administered SNEDDS. The
absolute drug contents and emulsification times fell within narrow limits. This
study has shown that a 1 : 1 ratio of melon oil and goat fat could confer
favourable properties with respect to drug release and anti-inflammatory activity
on SNEDDS for the delivery of indomethacin, thus encouraging further development
of the formulations.
PMID- 26556194
TI - Emerging Trends in Noninvasive Insulin Delivery.
AB - This paper deals with various aspects of oral insulin delivery system. Insulin is
used for the treatment of diabetes mellitus, which is characterized by the
elevated glucose level (above the normal range) in the blood stream, that is,
hyperglycemia. Oral route of administration of any drug is the most convenient
route. Development of oral insulin is still under research. Oral insulin will
cause the avoidance of pain during the injection (in subcutaneous
administration), anxiety due to needle, and infections which can be developed.
Different types of enzyme inhibitors, like sodium cholate, camostat, mesilate,
bacitracin, leupeptin, and so forth, have been used to prevent insulin from
enzymatic degradation. Subcutaneous route has been used for administration of
insulin, but pain and itching at the site of administration can occur. That is
why various alternative routes of insulin administration like oral route are
under investigation. In this paper authors summarized advancement in insulin
delivery with their formulation aspects.
PMID- 26556195
TI - Serratiopeptidase Niosomal Gel with Potential in Topical Delivery.
AB - The objective of present study was to develop nonionic surfactant vesicles of
proteolytic enzyme serratiopeptidase (SRP) by adapting reverse phase evaporation
(REV) technique and to evaluate the viability of SRP niosomal gel in treating the
topical inflammation. The feasibility of SRP niosomes by REV method using Span 40
and cholesterol has been successfully demonstrated in this investigation. The
entrapment efficiency was found to be influenced by the molar ratio of Span 40 :
cholesterol and concentration of SRP in noisome. The developed niosomes were
characterized for morphology, particle size, and in vitro release. Niosomal gel
was prepared by dispersing xanthan gum into optimized batch of SRP niosomes. Ex
vivo permeation and in vivo anti-inflammatory efficacy of gel formulation were
evaluated topically. SRP niosomes obtained were round in nanosize range. At Span
40 : cholesterol molar ratio 1 : 1 entrapment efficiency was maximum, that is,
54.82% +/- 2.08, and showed consistent release pattern. Furthermore ex vivo skin
permeation revealed that there was fourfold increase in a steady state flux when
SRP was formulated in niosomes and a significant increase in the permeation of
SRP, from SRP niosomal gel containing permeation enhancer. In vivo efficacy
studies indicated that SRP niosomal gel had a comparable topical anti
inflammatory activity to that of dicolfenac gel.
PMID- 26556193
TI - Microbicides for the Treatment of Sexually Transmitted HIV Infections.
AB - Approximately 34 million people were living with human immunodeficiency virus
(HIV-1) at the end of 2011. From the last two decades, researchers are actively
involved in the development of an effective HIV-1 treatment, but the results
intended are still doubtful about the eradication of HIV. The HIV-1 virus has
gone from being an "inherently untreatable" infectious agent to the one liable to
be affected by a range of approved therapies. Candidate microbicides have been
developed to target specific steps in the process of viral transmission.
Microbicides are self-administered agents that can be applied to vaginal or
rectal mucosal surfaces with the aim of preventing, or reducing, the transmission
of sexually transmitted infections (STIs) including HIV-1. The development of
efficient, widely available, and low-cost microbicides to prevent sexually
transmitted HIV infections should be given high priority. In this review, we
studied the various forms of microbicides, their mechanism of action, and their
abundant approaches to control the transmission of sexually transmitted
infections (STIs).
PMID- 26556196
TI - Design and Evaluation of Voriconazole Eye Drops for the Treatment of Fungal
Keratitis.
AB - Voriconazole is a novel antifungal agent with excellent broad spectrum activity
commercially available for oral and intravenous administration. The purpose of
this study was to prepare ophthalmic formulation of hydroxypropyl beta
cyclodextrin (HP-beta-CD) based voriconazole containing benzalkonium chloride BAK
and EDTA with or without viscosity modifiers and study its permeation
characteristics through freshly excised goat cornea. The results were observed
that viscosity and force of bioadhesion of the voriconazole HP-beta-CD solutions
containing xanthan gum (XG) are more as compared to polyvinyl alcohol. The
results revealed that voriconazole drop containing PVA provided least viscosity
and higher corneal permeation of drug, while drop formulated with XG had maximum
viscosity and least permeation. The HP-beta-CD based voriconazole (1.5%)
ophthalmic formulation containing xanthan gum (1.5), preserved with BAK and EDTA,
could provide shelf life of 2 years. The microbiological studies showed that
voriconazole ophthalmic solution containing xanthan gum shows better antifungal
activity as compared to voriconazole and xanthan gum alone. Thus, it can be
concluded that HP-beta-CD based voriconazole (1.5%, pH 7.0) ophthalmic solution
containing BAK and EDTA with viscosity modifier XG provided maximum precorneal
residence time as compared to other viscosity modifiers and polyvinyl alcohol
provided less precorneal residence time than other viscosity modifiers.
PMID- 26556197
TI - Development and Evaluation of Mouth Dissolving Films of Amlodipine Besylate for
Enhanced Therapeutic Efficacy.
AB - The present investigation was undertaken with an objective of formulating mouth
dissolving films (MDFs) of Amlodipine Besylate (AMLO) to enhance convenience and
compliance of the elderly and pediatric patients for better therapeutic efficacy.
Film formers like hydroxy propyl methyl cellulose (HPMC) and methyl cellulose
(MC) along with film modifiers like poly vinyl pyrrolidone K30 (PVP K30), and
sodium lauryl sulphate (SLS) as solubilizing agents were evaluated. The prepared
MDFs were evaluated for in vitro dissolution characteristics, in vitro
disintegration time, and their physicomechanical properties. All the prepared
MDFs showed good mechanical properties like tensile strength, folding endurance,
and % elongation. MDFs were evaluated by means of FTIR, SEM, and X-RD studies.
MDFs with 7.5% (w/w) of HPMC E3 gave better dissolution properties when compared
to HPMC E5, HPMC E15, and MC. MDFs with PVP K30 and SLS gave superior dissolution
properties when compared to MDFs without PVP K30 and SLS. The dissolution
properties of MDFs with PVP K30 were superior when compared to MDFs with SLS. In
the case of F3 containing 7.5% of HPMC E3 and 0.04% of PVP K30, complete and
faster release was observed within 60 sec when compared to other formulations.
Release kinetics data reveals diffusion is the release mechanism.
PMID- 26556198
TI - Fast Disintegrating Combination Tablet of Taste Masked Levocetrizine
Dihydrochloride and Montelukast Sodium: Formulation Design, Development, and
Characterization.
AB - The aim of this study was to prepare fast disintegrating combination tablet of
taste masked Levocetrizine dihydrochloride and Montelukast sodium by using direct
compression method. To prevent bitter taste and unacceptable odour of the
Levocetrizine dihydrochloride drug, the drug was taste masked with ion exchange
resins like Kyron-T-104 and Tulsion-412. Among the two resins, Kyron-T-104 was
selected for further studies because of high drug loading capacity, low cost, and
better drug release profile. An ion exchange resin complex was prepared by the
batch technique and various parameters; namely, resin activation, drug: resin
ratio, pH, temperature, and stirring time, and swelling time were optimized to
successfully formulate the tasteless drug resin complex (DRC). The tablets were
prepared using microcrystalline cellulose (MCC) PH 102 as diluent along with
crospovidone (CP), croscarmellose sodium (CCM), and sodium starch glycolate (SSG)
as a superdisintegrants. The tablets were evaluated for weight variation,
hardness, friability, wetting time, water absorption ratio, disintegration time
(DT), and dissolution study and it was concluded that the tablet formulation
prepared with 2% SSG + CCS showed better disintegration time in comparison with
other formulation and good drug release. The stability studies were carried out
for the optimized batch for three months and it showed acceptable results.
PMID- 26556199
TI - The Population Pharmacokinetic Models of Tacrolimus in Chinese Adult Liver
Transplantation Patients.
AB - Aim. The aim of this study was to establish population pharmacokinetic models of
tacrolimus in Chinese adult liver transplantation patients. Methods. Tacrolimus
dose and concentration data (n = 435) were obtained from 47 Chinese adult liver
transplant recipients, and the data were analyzed using a nonlinear mixed-effect
modeling (NONMEM) method. Results. The structural model was a two-compartment
model with first-order absorption. The typical population values of tacrolimus
for the pharmacokinetic parameters of apparent clearance (CL/F), apparent
distribution volume of the central compartment (V 2/F), intercompartmental
clearance (Q/F), apparent distribution volume of the peripheral compartment (V
3/F), and absorption rate (k a ) were 11.2 L/h, 406 L, 57.3 L/h, 503 L, and 0.723
h(-1), respectively. The interindividual variabilities of these parameters were
16.2%, 163%, 19.7%, 199%, and 74.3%, respectively, and the intraindividual
variability of observed concentration was 26.54%. The covariates retained in the
final models were postoperative days (POD) and dosage per day (DOSE) on CL/F.
Conclusion. Population pharmacokinetic models of tacrolimus were developed in
Chinese adult liver transplant patients. These results could provide the
interpretation of the outcome of pharmacokinetics modeling and the impact of
covariate tested on individualized tacrolimus therapy.
PMID- 26556200
TI - Development and Evaluation of Taste Masked Granular Formulation of Satranidazole
by Melt Granulation Technique.
AB - Drugs from nitroimidazole category are generally bitter in taste. Oral
formulation with bitter taste is not palatable. Geriatrics and pediatrics
patients usually suffer from swallowing difficulties. Many other patients in some
disease conditions avoid swallowing tablets. Satranidazole is a new nitro
imidazole derivative with bitter taste and is available in market as film coated
tablet. The purpose of this research was to mask the bitter taste of
Satranidazole by coating complexation with low melting point wax and Eudragit
EPO. Different types of wax (glyceryl monostearate, stearic acid and cetyl
alcohol) were tried for taste masking. The drug to stearic acid ratio 1 : 2 was
found to be optimum on the basis of taste evaluation and in vitro release. The
formulated granules were found to possess good flow property. FTIR studies
confirmed that there was no interaction between drug and excipients. Scanning
Electron Microscopy of drug and the optimized batch of granules was performed.
The in vitro release of drug from granules was compared with marketed tablet
formulation. The taste masked granules of optimized batch showed 87.65% release
of drug in 1 hr which is comparable to that of marketed tablet formulation.
PMID- 26556201
TI - Development of Budesonide Loaded Biopolymer Based Dry Powder Inhaler:
Optimization, In Vitro Deposition, and Cytotoxicity Study.
AB - The progress in the development of DPI technology has boosted the use of
sensitive drug molecules for lung diseases. However, delivery of these molecules
from conventional DPI to the active site still poses a challenge with respect to
deposition efficiency in the lung. At same time, serious systemic side effects of
drugs have become a cause for concern. The developed budesonide loaded biopolymer
based controlled release DPI had shown maximum in vitro lung deposition with
least toxicity. The subject of present study, lactose-free budesonide loaded
biopolymer based DPI, further corroborates the great potential of antiasthmatic
drugs. This technology is expected to revolutionize the approaches towards
enhanced therapeutic delivery of prospective drugs.
PMID- 26556202
TI - Lipid-Based Drug Delivery Systems.
AB - The principle objective of formulation of lipid-based drugs is to enhance their
bioavailability. The use of lipids in drug delivery is no more a new trend now
but is still the promising concept. Lipid-based drug delivery systems (LBDDS) are
one of the emerging technologies designed to address challenges like the
solubility and bioavailability of poorly water-soluble drugs. Lipid-based
formulations can be tailored to meet a wide range of product requirements
dictated by disease indication, route of administration, cost consideration,
product stability, toxicity, and efficacy. These formulations are also a
commercially viable strategy to formulate pharmaceuticals, for topical, oral,
pulmonary, or parenteral delivery. In addition, lipid-based formulations have
been shown to reduce the toxicity of various drugs by changing the
biodistribution of the drug away from sensitive organs. However, the number of
applications for lipid-based formulations has expanded as the nature and type of
active drugs under investigation have become more varied. This paper mainly
focuses on novel lipid-based formulations, namely, emulsions, vesicular systems,
and lipid particulate systems and their subcategories as well as on their
prominent applications in pharmaceutical drug delivery.
PMID- 26556203
TI - Formulation Development and Evaluation of Fast Disintegrating Tablet of
Cetirizine Hydrochloride: A Novel Drug Delivery for Pediatrics and Geriatrics.
AB - Recent developments in fast disintegrating tablets have brought convenience in
dosing to pediatric and elderly patients who have trouble in swallowing tablets.
The objective of the present study was to prepare the fast disintegrating tablet
of Cetirizine Hydrochloride for allergic and respiratory disorders. As precision
of dosing and patient's compliance become important prerequisite for a long-term
treatment, there is a need to develop a formulation for this drug which overcomes
problems such as difficulty in swallowing, inconvenience in administration while
travelling, and patient's acceptability. Hence, the present investigation was
undertaken with a view to develop a fast disintegrating tablet of Cetirizine
Hydrochloride which offers a new range of products having desired characteristics
and intended benefits. Superdisintegrants such as Sodium Starch Glycolate were
optimized. Different binders were optimized along with optimized
superdisintegrant concentration. The tablets were prepared by direct compression
technique. The tablets were evaluated for hardness, friability, weight variation,
wetting time, disintegration time and uniformity of content. Optimized
formulation was evaluated by in vitro dissolution test, drug excipient
compatibility and accelerated stability study. It was concluded that fast
disintegrating tablets of Cetirizine Hydrochloride were formulated successfully
with desired characteristics which disintegrated rapidly, provide rapid onset of
action, and enhance the patient convenience and compliance.
PMID- 26556204
TI - Formulation and Evaluation of Multilayered Tablets of Pioglitazone Hydrochloride
and Metformin Hydrochloride.
AB - In the treatment of type 2 diabetes mellitus a continuous therapy is required
which is a more complex one. As in these patients there may be a defect in both
insulin secretion and insulin action exists. Hence, the treatment depends on the
pathophysiology and the disease state. In the present study, multilayered tablets
of pioglitazone hydrochloride 15 mg and metformin hydrochloride 500 mg were
prepared in an attempt for combination therapy for the treatment of type 2
diabetes mellitus. Pioglitazone HCl was formulated as immediate release layer to
show immediate action by direct compression method using combination of
superdisintegrants, namely, crospovidone and avicel PH 102. Crospovidone at 20%
concentration showed good drug release profile at 2 hrs. Metformin HCl was
formulated as controlled release layer to prolong the drug action by
incorporating hydrophilic polymers such as HPMC K4M by direct compression method
and guar gum by wet granulation method in order to sustain the drug release from
the tablets and maintain its integrity so as to provide a suitable formulation.
The multilayered tablets were prepared after carrying out the optimization of
immediate release layer and were evaluated for various precompression and
postcompression parameters. Formulation F13 showed 99.97% of pioglitazone release
at 2 hrs in 0.1 N HCl and metformin showed 98.81% drug release at 10 hrs of
dissolution in 6.8 pH phosphate buffer. The developed formulation is equivalent
to innovator product in view of in vitro drug release profile. The results of all
these evaluation tests are within the standards. The procedure followed for the
formulation of these tablets was found to be reproducible and all the
formulations were stable after accelerated stability studies. Hence, multilayered
tablets of pioglitazone HCl and metformin HCl can be a better alternative way to
conventional dosage forms.
PMID- 26556205
TI - Development and Validation of Liquid Chromatographic Method for Estimation of
Naringin in Nanoformulation.
AB - A simple, precise, accurate, rapid, and sensitive reverse phase high performance
liquid chromatography (RP-HPLC) method with UV detection has been developed and
validated for quantification of naringin (NAR) in novel pharmaceutical
formulation. NAR is a polyphenolic flavonoid present in most of the citrus plants
having variety of pharmacological activities. Method optimization was carried out
by considering the various parameters such as effect of pH and column. The
analyte was separated by employing a C18 (250.0 * 4.6 mm, 5 MUm) column at
ambient temperature in isocratic conditions using phosphate buffer pH 3.5:
acetonitrile (75 : 25% v/v) as mobile phase pumped at a flow rate of 1.0 mL/min.
UV detection was carried out at 282 nm. The developed method was validated
according to ICH guidelines Q2(R1). The method was found to be precise and
accurate on statistical evaluation with a linearity range of 0.1 to 20.0 MUg/mL
for NAR. The intra- and interday precision studies showed good reproducibility
with coefficients of variation (CV) less than 1.0%. The mean recovery of NAR was
found to be 99.33 +/- 0.16%. The proposed method was found to be highly accurate,
sensitive, and robust. The proposed liquid chromatographic method was
successfully employed for the routine analysis of said compound in developed
novel nanopharmaceuticals. The presence of excipients did not show any
interference on the determination of NAR, indicating method specificity.
PMID- 26556206
TI - Preparation and In Vitro Evaluation of Ethylcellulose and Polymethacrylate Resins
Loaded Microparticles Containing Hydrophilic Drug.
AB - Objective. The purpose of the recent study was to prepare and estimate sustained
release of Ethylcellulose (300 cps) and Eudragit (RS 100 and RL 100)
microparticles containing Propranolol hydrochloride used as a treatment of
cardiovascular system, especially hypertension. Method. Propranolol hydrochloride
was microencapsulated with different polymers (Ethylcellulose, Eudragit RS, and
Eudragit RL) using modified hydrophobic (O/O) solvent evaporation method using 1
: 1 combination of acetone and isopropanol as the internal phase. Obtained
microparticles were showing higher batch yield with higher encapsulation
efficiency. Microparticles were prepared with different ratios of 1 : 1, 1 : 3, 1
: 5, and 1 : 7 (%, wt/wt) using span 80 (%, v/v) as a surfactant. Results. The
influence of formulation factors like drug: polymer ratio, internal phase, and
type of polymers on obtained microparticles was characterized with respect to
particle size distribution, encapsulation efficiency, percentage yield, FTIR, and
FE-SEM. Higher encapsulation efficiencies were obtained with various polymers
like Ethylcellulose (96.63 +/- 0.5) compared to Eudragit RS 100 (83.70 +/- 0.6)
and RL 100 (89.62 +/- 0.6). The in vitro release study was characterized by
initial burst. Conclusion. The result of study displays that Ethylcellulose and
Eudragit loaded microparticles of Propranolol hydrochloride can be effectively
prepared using modified hydrophobic emulsification solvent evaporation technique.
Therefore, the modified hydrophobic emulsion technique can also be applied to the
preparation of microparticles for low molecular weight and highly water soluble
drugs.
PMID- 26556207
TI - FDA-Approved Natural Polymers for Fast Dissolving Tablets.
AB - Oral route is the most preferred route for administration of different drugs
because it is regarded as safest, most convenient, and economical route. Fast
disintegrating tablets are very popular nowadays as they get dissolved or
facilely disintegrated in mouth within few seconds of administration without the
need of water. The disadvantages of conventional dosage form, especially
dysphagia (arduousness in swallowing), in pediatric and geriatric patients have
been overcome by fast dissolving tablets. Natural materials have advantages over
synthetic ones since they are chemically inert, non-toxic, less expensive,
biodegradable and widely available. Natural polymers like locust bean gum, banana
powder, mango peel pectin, Mangifera indica gum, and Hibiscus rosa-sinenses
mucilage ameliorate the properties of tablet and utilized as binder, diluent, and
superdisintegrants increase the solubility of poorly water soluble drug, decrease
the disintegration time, and provide nutritional supplement. Natural polymers are
obtained from the natural origin and they are cost efficacious, nontoxic,
biodegradable, eco-friendly, devoid of any side effect, renewable, and provide
nutritional supplement. It is proved from the studies that natural polymers are
more safe and efficacious than the synthetic polymers. The aim of the present
article is to study the FDA-approved natural polymers utilized in fast dissolving
tablets.
PMID- 26556208
TI - Temporal stability and responsiveness of the Montreal Cognitive Assessment
following acquired brain injury.
AB - OBJECTIVES: To evaluate the temporal stability and responsiveness of the Montreal
Cognitive Assessment (MoCA) in acquired brain injury (ABI). RESEARCH DESIGN AND
METHODS: English-speaking adults with stroke or moderate-to-severe traumatic
brain injury were administered alternate forms of the MoCA (version 1, then 2), 6
weeks apart. Chronic group participants (n = 40) were community-dwelling, at
least 1 year post-ABI (mean = 12.1 years, SD = 9.0), and presumed clinically
stable. Sub-acute group participants (n = 36) were 30.8 days post-ABI (SD = 12.4)
and were undergoing intensive rehabilitation. Individuals with an unstable
medical or psychiatric condition or severe receptive aphasia were not eligible.
RESULTS: The chronic group scored 21.6 (SD = 4.5) initially and 22.7 (SD = 3.8)
on the second administration, demonstrating a small but significant practise
effect (p = 0.009). The Pearson test-re-test correlation coefficient was 0.83.
Using reliable change methodology in the chronic group, the 80% confidence
interval (CI) for change across the two administrations was -2 to +4, adjusting
for practise. Applied to the sub-acute group, 39% improved and 0% declined.
CONCLUSIONS: The MoCA is a brief standardized tool that appears useful for
monitoring cognitive change after ABI. The findings enable clinicians to detect
statistically reliable change across serial MoCA administrations in individuals
with an ABI.
PMID- 26556209
TI - Home management of acute medical complications in cancer patients: a prospective
pilot study.
AB - BACKGROUND: The development of reliable alternatives to conventional
hospitalization in patients with cancer would have great clinical and economical
value. The aim of the present study was to assess the feasibility of a home-based
nursing intervention model as a safe alternative for the management of acute
medical complications in cancer patients who would otherwise require conventional
hospitalization. PATIENTS AND METHODS: From October 2013 to October 2014, we
prospectively evaluated the outcomes of consecutive acute medical episodes
treated at home under the home-based intervention program named the Bridge
Project (BP). Episodes were classified as "avoided hospitalization in
outpatients" (AHO) vs. "reduced hospitalization in inpatients" (RHI). The primary
end-point was to assess the rate and causes of BP intervention failure (unplanned
hospital readmission or death). RESULTS: Two hundred and forty-six consecutive
episodes (52 % AHO and 48 % RHI) involving 203 patients (55 % male; mean age 63
years) were enrolled. The main conditions managed at home were non-neutropenic
infections (40 %), febrile neutropenia (20 %), and cancer-related complications
(28 %). The median duration of the BP intervention was 5 days (range 1-16 days).
No deaths were reported at home. Unplanned hospital readmissions occurred in 9 %
of episodes (14 % in AHO vs. 4 % in RHI; p = 0.001). Five of the 22 readmitted
patients (22.7 % of the BP failures; 2.5 % of the whole series) died during
hospitalization. The BP intervention burden was 1353 days, representing a
potential saving of 14 % of days of hospitalization during the study period.
CONCLUSIONS: The BP is a safe intervention which can potentially avoid or reduce
the length of hospitalization in selected cancer patients with acute medical
complications. Our findings support further development of innovative home-based
clinical approaches to promote potentially avoidable hospitalization in this
setting.
PMID- 26556210
TI - Aromatase inhibitor induced musculoskeletal syndrome: a significant problem with
limited treatment options.
AB - BACKGROUND: Aromatase inhibitor induced musculoskeletal syndrome is experienced
by approximately half of women taking aromatase inhibitors, impairing quality of
life and leading some to discontinue treatment. Evidence for effective treatments
is lacking. We aimed to understand the manifestations and impact of this syndrome
in the Australian breast cancer community, and strategies used for its
management. METHODS: A survey invitation was sent to 2390 members of the Breast
Cancer Network Australia Review and Survey Group in April 2014. The online
questionnaire included 45 questions covering demographics, aromatase inhibitor
use, clinical manifestations and risk factors for the aromatase inhibitor
musculoskeletal syndrome, reasons for treatment discontinuation and efficacy of
interventions used. RESULTS: Aromatase inhibitor induced musculoskeletal syndrome
was reported by 302 (82 %) of 370 respondents. Twenty-seven percent had
discontinued treatment for any reason and of these, 68 % discontinued because of
the musculoskeletal syndrome. Eighty-one percent had used at least one
intervention from the following three categories to manage the syndrome: doctor
prescribed medications, over-the-counter/complementary medicines or
alternative/non-drug therapies. Anti-inflammatories, paracetamol (acetaminophen)
and yoga were most successful in relieving symptoms in each of the respective
categories. Almost a third of respondents reported that one or more interventions
helped prevent aromatase inhibitor discontinuation. However, approximately 20 %
of respondents found no intervention effective in any category. CONCLUSION: We
conclude that aromatase inhibitor induced musculoskeletal syndrome is a
significant issue for Australian women and is an important reason for treatment
discontinuation. Women use a variety of interventions to manage this syndrome;
however, their efficacy appears limited.
PMID- 26556213
TI - Construction, Enzyme Response, and Substrate Capacity of a Hyaluronan
Cyclodextrin Supramolecular Assembly.
AB - A supramolecular assembly was constructed with a cationic cyclodextrin (EICD) and
native hyaluronan (HA). The cationic carboxylic ester pendants on HA support
hyaluronidase (HAase)-responsive sites and the EICD supports artificial
carboxylic esterase responsive sites. Substrate-binding models were investigated
by using environment-sensitive fluorescence probes 2-p-toluidino-6
naphthalenesulfoniate sodium (2,6-TNS) and thioflavin T (ThT). On a HA/EICD
assembly, EICD was able to bind an anionic substrate and HA and EICD constructed
the cationic substrate binding site together. This assembly could be used as a
sequential dual-substrate carrier.
PMID- 26556212
TI - Mother-caregiver expectations for function among survivors of childhood brain
tumors.
AB - PURPOSE: Children diagnosed with brain tumors increasingly survive to adulthood,
although they do so with needs often requiring continued parental caregiving. We
sought to describe the nature of caregivers' expectations about survivors'
function and how expectations connect to ongoing management and decision-making.
METHODS: Forty-five qualitative interviews with mother-caregivers were conducted
and coded for themes related to expectations for their adolescent/young adult
children living post-childhood brain tumors. RESULTS: Five main themes emerged as
integral to mother-caregiver expectations: realizing a difference in the
survivor, noticing limitations to independence in the survivor, memories of
learning about clinical prognoses as understood from consent meetings and
education, managing these realizations, and acknowledging unresolved challenges.
CONCLUSIONS: Caregiver expectations are influenced by both initial clinical
interactions and contemporary family dynamics and require individual- and family
specific survivorship planning. As caregiver expectations can influence
management behaviors that impact outcomes and possibly independence, implications
for clinician-caregiver shared decision-making are substantial.
PMID- 26556211
TI - Non-pharmacological cancer pain interventions in populations with social
disparities: a systematic review and meta-analysis.
AB - INTRODUCTION: Global advances in pain relief have improved the quality of life of
cancer populations. Yet, variation in cancer pain outcomes has been found in
populations with social disparities compared to mainstream groups. Populations
with social disparities bear an inequitable distribution of resources such as
ethnic minorities, low income individuals, and women in vulnerable circumstances.
RESEARCH PURPOSE: A systematic review and meta-analysis of the effect of non
pharmacological cancer pain interventions in cancer populations with social
disparities of income, ethnicity, or gender. METHODS: Randomized controlled
trials, controlled trials, and before and after studies were targeted through
comprehensive multidatabase searches. Two reviewers independently screened
titles/abstracts for potentially relevant studies and reviewed the full text of
relevant articles for inclusion. Data were extracted from included studies by one
reviewer and verified by another reviewer. Four reviewers independently completed
quality assessment. Studies were grouped by intervention. Effects were evaluated
for heterogeneity and pooled. RESULTS: The search found 5219 potential records.
Full text of 26 reports was evaluated. Three randomized controlled trials (RCTs)
met inclusion criteria, targeting ethnic minorities and underserved populations
and/or women. Interventions included education, coaching, and online support
groups. Studies found no significant differences in pain reduction between
intervention and control groups or between ethnic minorities and their
counterparts. A high risk of bias was found in all studies. Meta-analysis found
no statistically significant difference on pain intensity among underserved
groups, ethnic minorities, or between ethnic minorities and white counterparts.
CONCLUSION: Results show the need to examine supportive care interventions
particularly in populations with social disparities.
PMID- 26556214
TI - Mitochondrial DNA Fragmentation to Monitor Processing Parameters in High Acid,
Plant-Derived Foods.
AB - Mitochondrial DNA (mtDNA) fragmentation was assessed in acidified foods. Using
quantitative polymerase chain reaction, Ct values measured from fresh, fermented,
pasteurized, and stored cucumber mtDNA were determined to be significantly
different (P > 0.05) based on processing and shelf-life. This indicated that the
combination of lower temperature thermal processes (hot-fill at 75 degrees C for
15 min) and acidified conditions (pH = 3.8) was sufficient to cause mtDNA
fragmentation. In studies modeling high acid juices, pasteurization (96 degrees
C, 0 to 24 min) of tomato serum produced Ct values which had high correlation to
time-temperature treatment. Primers producing longer amplicons (approximately 1
kb) targeting the same mitochondrial gene gave greater sensitivity in correlating
time-temperature treatments to Ct values. Lab-scale pasteurization studies using
Ct values derived from the longer amplicon differentiated between heat treatments
of tomato serum (95 degrees C for <2 min). MtDNA fragmentation was shown to be a
potential new tool to characterize low temperature (<100 degrees C) high acid
processes (pH < 4.6), nonthermal processes such as vegetable fermentation and
holding times of acidified, plant-derived products.
PMID- 26556215
TI - Why the war on drugs in sport will never be won.
AB - Recent exposes of drug use in sports suggest that doping might be more
problematic than doping-control test results reveal. A zero-tolerance (ZT) model,
which aims to eliminate the use, has dominated the thinking of sport's policy
makers over the last 15 years. In light of the limitations associated with ZT
based policy, we propose an alternative policy, one based on controlled use and
harm reduction principles. We argue that substance control policies underpinned
by harm reduction (HR) principles of social utility and public value will deliver
superior social outcomes. First, a harm reduction approach better accommodates
the competitive realities of sports and the impact of elite sports' emphasis on
performance at all costs. Second, HR prioritises athlete welfare over sport and
brand reputation. Finally, while appreciating the regulatory and risk management
responsibilities of sports' governing bodies, the HR model offers greater space
to the athlete's right to privacy, and right to personal autonomy.
PMID- 26556216
TI - The Interservice Physician Assistant Program: The veterans' solution.
PMID- 26556217
TI - Primary care assessment of patients at risk for suicide.
AB - Primary care providers (PCPs) play a crucial role caring for patients with
depression, managing antidepressant therapy, and assessing patients for suicide
risk. Ten percent of the more than 20 million primary care visits for depression
each year involve mental health issues, and account for 62% of the
antidepressants prescribed in the United States. Psychiatric disorders appear to
be underrecognized and undertreated in primary care. Suicidal ideation is present
in a significant percentage of depressed primary care patients but rarely
discussed. This article describes the warning signs and risk factors associated
with suicide and recommends screening tools that can help PCPs identify patients
at risk.
PMID- 26556218
TI - Chemical Shifts to Metabolic Pathways: Identifying Metabolic Pathways Directly
from a Single 2D NMR Spectrum.
AB - Identifying cellular processes in terms of metabolic pathways is one of the
avowed goals of metabolomics studies. Currently, this is done after relevant
metabolites are identified to allow their mapping onto specific pathways. This
task is daunting due to the complex nature of cellular processes and the
difficulty in establishing the identity of individual metabolites. We propose
here a new method: ChemSMP (Chemical Shifts to Metabolic Pathways), which
facilitates rapid analysis by identifying the active metabolic pathways directly
from chemical shifts obtained from a single two-dimensional (2D) [(13)C-(1)H]
correlation NMR spectrum without the need for identification and assignment of
individual metabolites. ChemSMP uses a novel indexing and scoring system
comprised of a "uniqueness score" and a "coverage score". Our method is
demonstrated on metabolic pathways data from the Small Molecule Pathway Database
(SMPDB) and chemical shifts from the Human Metabolome Database (HMDB). Benchmarks
show that ChemSMP has a positive prediction rate of >90% in the presence of
decluttered data and can sustain the same at 60-70% even in the presence of
noise, such as deletions of peaks and chemical shift deviations. The method
tested on NMR data acquired for a mixture of 20 amino acids shows a success rate
of 93% in correct recovery of pathways. When used on data obtained from the cell
lysate of an unexplored oncogenic cell line, it revealed active metabolic
pathways responsible for regulating energy homeostasis of cancer cells. Our
unique tool is thus expected to significantly enhance analysis of NMR-based
metabolomics data by reducing existing impediments.
PMID- 26556219
TI - Association of NR3C1/Glucocorticoid Receptor gene SNP with azoospermia in
Japanese men.
AB - AIM: The molecular pathogenesis of non-obstructive azoospermia (NOA) is unclear.
Our aim was to identify the genetic susceptibility for NOA in Japanese men by
using a combination of transcriptome network analysis and SNP genotyping.
MATERIAL AND METHODS: We searched for candidate genes using RNA transcriptome
network analysis of 2611 NOA-related genes that we had previously reported. We
analyzed candidate genes for disease linkage with single nucleotide polymorphisms
(SNP) in the genomes of 335 Japanese men with NOA and 410 healthy controls using
SNP-specific real-time polymerase chain reaction TaqMan assays. RESULTS: Three
candidate genes (NR3C1, YBX2, and BCL2) were identified by the transcriptome
network analysis, each with three SNP. Allele frequency analysis of the nine SNP
indicated a significantly higher frequency of the NR3C1 rs852977 G allele in NOA
cases compared with controls (corrected P = 5.7e-15; odds ratio = 3.20; 95%
confidence interval, 2.40-4.26). The other eight candidate polymorphisms showed
no significant association. CONCLUSION: The NR3C1 rs852977 polymorphism is a
potential marker for genetic susceptibility to NOA in Japanese men. Further
studies are necessary to clarify the association between the NR3C1 polymorphism
and alterations of glucocorticoid signaling pathway leading to male infertility.
PMID- 26556221
TI - Enhancing therapeutic effects after augmentation of omega-3 fatty acid with long
term antidepressant therapy in a chronic case of panic disorder.
PMID- 26556220
TI - Use of Becaplermin for nondiabetic ulcers: pyoderma gangrenosum and
calciphylaxis.
AB - Large difficult to heal ulcers of various etiologies carry a high morbidity and
mortality rate. Becaplermin is a recombinant platelet-derived growth factor
approved for treatment of diabetic ulcers. In this two-case series, we report the
use of becaplermin in the treatment of ulcers due to (i) calciphylaxis, an often
fatal condition resulting from systemic calcification and thrombosis of vessels
and (ii) pyoderma gangrenosum (PG), a neutrophilic dermatosis. We also report
that topical collagenase worsened PG ulcers, consistent with pathergy.
Becaplermin can be used to help treat ulcers resulting from calciphylaxis and PG.
These encouraging results lend support for the utilization of becaplermin in the
treatment of nondiabetic chronic ulcers of various etiologies.
PMID- 26556222
TI - [Cryoablation: Clinical applications in cardiac electrophysiology from their
biophysical bases].
AB - Cryoablation is an energy alternative to radiofrequency for ablation of various
arrhythmias, where its unique biophysical properties offer a greater safety
profile. Since its first use for the surgical treatment of different arrhythmias
until its conceptualization in the current technical transcatheter, cryoablation
has proven not to be only a safe source of energy, but also an effective source
in the long-term. While the radiofrequency has been the energy most used for
isolation of pulmonary veins in atrial fibrillation ablation, technological
advances in cryoballon have managed to simplify the procedure without sacrificing
its effectiveness. Cryoablation has been widely used for arrhythmias located in
high-risk locations, such as the ablation of the atrioventricular nodal reentrant
tachycardia and septal accessory pathways, due to its theoretical null risk of
complete AV block. This review intends to give the clinical applications of the
cryothermy through a thorough understanding of their biophysical basis.
PMID- 26556223
TI - [Dextrocardia, aortic coarctation and coronary artery disease. A case report and
review of the literature].
PMID- 26556224
TI - Temporal Levels of Urinary Neonicotinoid and Dialkylphosphate Concentrations in
Japanese Women Between 1994 and 2011.
AB - Over the last two decades, usage of neonicotinoid (NEO) insecticides has
increased due to their high selectivity for insects versus mammals and their
effectiveness for extermination of insects resistant to conventional pesticides
such as pyrethroids and organophosphates (OPs). However, historical change of the
NEO exposure level in humans is poorly understood. The aim of this study is to
reveal changes in the levels of NEO and OP exposure in the human body over the
last two decades using biomonitoring technique. We quantified urinary
concentrations of 7 NEOs (acetamiprid, clothianidin, dinotefuran, imidacloprid,
nitenpyram, thiacloprid, and thiamethoxam) and 4 metabolites of OPs
(dimethylphosphate, dimethylthiophosphate, diethylphosphate, and
diethylthiophosphate) in 95 adult females aged 45-75 in 1994, 2000, 2003, 2009,
and 2011 (n = 17-20 different individuals in each year). The results show that
the detection rates of urinary NEOs in Japanese women increased significantly
between 1994 and 2011, suggesting that intakes of NEOs into the human body rose
during that period. In contrast, exposure to OPs having O,O-dimethyl moieties
decreased steadily according to a finding that geometric means of urinary
dimethylphosphate concentrations kept diminishing considerably. These changes may
reflect the amounts of NEOs and OPs used as insecticides in Japan.
PMID- 26556225
TI - Gaps and gains from engaging districts stakeholders for community-based health
professions education in Uganda: a qualitative study.
AB - Community-based education research and service (COBERS) is a brand of community
based education that has been adopted by the Medical Education and Service for
All Ugandans consortium. The COBERS programme is aimed at equipping students in
health professional education with the knowledge, attitudes and skills required
to provide appropriate health care services. For sustainability purposes, the
health professional training institutions have made efforts to involve various
stakeholders in the implementation of the programme. However, the actual
engagement process and outcome of such efforts have not been documented. This
paper documents gaps and gains made in engaging district stakeholders for
community-based education. Key informant interviews, focus group discussions and
document review were used to collect data. Atlas.ti, computer software for
qualitative data was used to aid analysis. The analysis revealed that the adopted
engagement model has registered some gains including increased awareness among
district leaders about potential opportunities offered by COBERS such as boosting
of human resources at health facilities, opportunities for professional
development for health care workers at health facilities, and establishment of
linkages between prospective employees and employers. However, the engagement
model left some gaps in terms of knowledge, awareness and ownership of the
programme among some sections of stakeholders. The apparent information gap about
the programme among district stakeholders, especially the political leadership,
may hinder concerted partnership. The findings highlight the need for health
professional education institutions to broaden the scope of actively engaged
stakeholders with the district level.
PMID- 26556226
TI - A pharmacogenomic study revealed an association between SLC6A4 and risperidone
induced weight gain in Chinese Han population.
AB - AIM: We carried out a pharmacogenomic study in order to identify susceptible
genes for antipsychotics induced weight gain within the Chinese Han population.
MATERIALS & METHODS: We enrolled 216 patients with schizophrenia in our study.
All of them underwent risperidone monotherapy, and fulfilled 4-week follow-up.
Weight gain was measured before treatment and 4 weeks later. Seven hundred and
sixty-eight SNPs from 85 genes were calculated for association with weight gain
percentage. RESULTS: Fifty-seven SNPs located at 16 genes with a p-value less
than 0.05.4 SNPs located on serotonin transporter gene (solute carrier family 6,
member 4, SLC6A4) remained significant after multitest correction (rs3813034, p =
0.000357, q = 0.08, rs1042173, rs4325622, rs9303628, p = 0.000451, q = 0.08).
CONCLUSION: SLC6A4 might be susceptible gene for risperidone-induced weight gain
within the Chinese Han population.
PMID- 26556227
TI - Adsorption Kinetics Dictate Monolayer Self-Assembly for Both Lipid-In and Lipid
Out Approaches to Droplet Interface Bilayer Formation.
AB - The droplet interface bilayer (DIB)--a method to assemble planar lipid bilayer
membranes between lipid-coated aqueous droplets--has gained popularity among
researchers in many fields. Well-packed lipid monolayer on aqueous droplet-oil
interfaces is a prerequisite for successfully assembling DIBs. Such monolayers
can be achieved by two different techniques: "lipid-in", in which phospholipids
in the form of liposomes are placed in water, and "lipid-out", in which
phospholipids are placed in oil as inverse micelles. While both approaches are
capable of monolayer assembly needed for bilayer formation, droplet pairs
assembled with these two techniques require significantly different incubation
periods and exhibit different success rates for bilayer formation. In this study,
we combine experimental interfacial tension measurements with molecular dynamics
simulations of phospholipids (DPhPC and DOPC) assembled from water and oil
origins to understand the differences in kinetics of monolayer formation. With
the results from simulations and by using a simplified model to analyze dynamic
interfacial tensions, we conclude that, at high lipid concentrations common to
DIBs, monolayer formation is simple adsorption controlled for lipid-in technique,
whereas it is predominantly adsorption-barrier controlled for the lipid-out
technique due to the interaction of interface-bound lipids with lipid structures
in the subsurface. The adsorption barrier established in lipid-out technique
leads to a prolonged incubation time and lower bilayer formation success rate,
proving a good correlation between interfacial tension measurements and bilayer
formation. We also clarify that advective flow expedites monolayer formation and
improves bilayer formation success rate by disrupting lipid structures, rather
than enhancing diffusion, in the subsurface and at the interface for lipid-out
technique. Additionally, electrical properties of DIBs formed with varying lipid
placement and type are characterized.
PMID- 26556228
TI - Direct and indirect immunofluorescence staining patterns in the diagnosis of
paraneoplastic pemphigus.
PMID- 26556229
TI - Spotted in the News: Using Media Reports to Examine Leopard Distribution,
Depredation, and Management Practices outside Protected Areas in Southern India.
AB - There is increasing evidence of large carnivore presence outside protected areas,
globally. Although this spells conservation success through population
recoveries, it makes carnivore persistence in human-use landscapes tenuous. The
widespread distribution of leopards in certain regions of India typifies this
problem. We obtained information on leopard-human interactions at a regional
scale in Karnataka State, India, based on systematic surveys of local media
reports. We applied an innovative occupancy modelling approach to map their
distribution patterns and identify hotspots of livestock/human depredation. We
also evaluated management responses like removals of 'problem' leopards through
capture and translocations. Leopards occupied around 84,000 km2 or 47% of the
State's geographic area, outside designated national parks and wildlife
sanctuaries. Their presence was facilitated by extent of vegetative cover-
including irrigated croplands, rocky escarpments, and prey base in the form of
feral and free-ranging dogs. Higher probabilities of livestock/human attacks by
leopards were associated with similar ecological features as well as with
capture/removals of leopards. Of the 56 cases of leopard removals reported, 91%
did not involve human attacks, but followed livestock predation or only leopard
sightings. The lack of knowledge on leopard ecology in human-use areas has
resulted in unscientific interventions, which could aggravate the problem rather
than mitigating it. Our results establish the presence of resident, breeding
leopards in human-use areas. We therefore propose a shift in management focus,
from current reactive practices like removal and translocation of leopards, to
proactive measures that ensure safety of human lives and livelihoods.
PMID- 26556231
TI - Porous Silicon Covered with Silver Nanoparticles as Surface-Enhanced Raman
Scattering (SERS) Substrate for Ultra-Low Concentration Detection.
AB - Microporous and macro-mesoporous silicon templates for surface-enhanced Raman
scattering (SERS) substrates were produced by anodization of low doped p-type
silicon wafers. By immersion plating in AgNO3, the templates were covered with
silver metallic film consisting of different silver nanostructures. Scanning
electron microscopy (SEM) micrographs of these SERS substrates showed diverse
morphology with significant difference in an average size and size distribution
of silver nanoparticles. Ultraviolet-visible-near-infrared (UV-Vis-NIR)
reflection spectroscopy showed plasmonic absorption at 398 and 469 nm, which is
in accordance with the SEM findings. The activity of the SERS substrates was
tested using rhodamine 6G (R6G) dye molecules and 514.5 nm laser excitation.
Contrary to the microporous silicon template, the SERS substrate prepared from
macro-mesoporous silicon template showed significantly broader size distribution
of irregular silver nanoparticles as well as localized surface plasmon resonance
closer to excitation laser wavelength. Such silver morphology has high SERS
sensitivity that enables ultralow concentration detection of R6G dye molecules up
to 10(-15) M. To our knowledge, this is the lowest concentration detected of R6G
dye molecules on porous silicon-based SERS substrates, which might even indicate
possible single molecule detection.
PMID- 26556230
TI - Murine versus human apolipoprotein E4: differential facilitation of and co
localization in cerebral amyloid angiopathy and amyloid plaques in APP transgenic
mouse models.
AB - INTRODUCTION: Amyloid beta (Abeta) accumulates in the extracellular space as
diffuse and neuritic plaques in Alzheimer's disease (AD). Abeta also deposits on
the walls of arterioles as cerebral amyloid angiopathy (CAA) in most cases of AD
and sometimes independently of AD. Apolipoprotein E (apoE) E4 is associated with
increases in both Abeta plaques and CAA in humans. Studies in mouse models that
develop Abeta deposition have shown that murine apoE and human apoE4 have
different abilities to facilitate plaque or CAA formation when studied
independently. To better understand and compare the effects of murine apoE and
human apoE4, we bred 5XFAD (line 7031) transgenic mice so that they expressed one
copy of murine apoE and one copy of human apoE4 under the control of the normal
murine apoE regulatory elements (5XFAD/apoE(m/4)). RESULTS: The 5XFAD/apoE(m/4)
mice contained levels of parenchymal CAA that were intermediate between
5XFAD/apoE(m/m) and 5XFAD/apoE(4/4) mice. In 5XFAD/apoE(m/4) mice, we found that
Abeta parenchymal plaques co-localized with much more apoE than did parenchymal
CAA, suggesting differential co-aggregation of apoE with Abeta in plaques versus
CAA. More importantly, within the brain parenchyma of the 5XFAD/apoE(m/4) mice,
plaques contained more murine apoE, which on its own results in more pronounced
and earlier plaque formation, while CAA contained more human apoE4 which on its
own results in more pronounced CAA formation. We further confirmed the co
aggregation of mouse apoE with Abeta in plaques by showing a strong correlation
between insoluble mouse apoE and insoluble Abeta in PS1APP-21/apoE(m/4) mice
which develop plaques without CAA. CONCLUSIONS: These studies suggest that both
murine apoE and human apoE4 facilitate differential opposing effects in
influencing Abeta plaques versus CAA via different co-aggregation with these two
amyloid lesions and set the stage for understanding these effects at a molecular
level.
PMID- 26556232
TI - Pincer-Nickel-Catalyzed Allyl-Aryl Coupling between Allyl Methyl Ethers and
Arylzinc Chlorides.
AB - The P,N,N-pincer nickel complex [Ni(Cl){N(2-Ph2PC6H4)(2'-Me2NC6H4)}]-catalyzed
allyl-aryl coupling was studied. The reaction of allyl methyl ethers, including
(1-methoxyallyl)arenes and (3-methoxyprop-1-en-1-yl)arenes, with arylzinc
chlorides afforded linear (E)-alkenes in high yields, whereas the reaction of (E)
1-methoxytridec-2-ene with p-Me2NC6H4ZnCl generated a mixture of linear and
branched alkenes.
PMID- 26556233
TI - Editorial: Is the NLPR3 Inflammasome "Overheated" by Pneumococcal Vaccination in
Cryopyrin-Associated Periodic Syndromes?
PMID- 26556234
TI - Glycemic load, exercise, and monitoring blood glucose (GEM): A paradigm shift in
the treatment of type 2 diabetes mellitus.
AB - AIMS: This preliminary RCT investigated whether an integrated lifestyle
modification program that focuses on reducing postprandial blood glucose through
replacing high with low glycemic load foods and increasing routine physical
activities guided by systematic self-monitoring of blood glucose (GEM) could
improve metabolic control of adults with type 2 diabetes mellitus, without
compromising other physiological parameters. METHODS: Forty-seven adults (mean
age 55.3 years) who were diagnosed with type 2 diabetes mellitus for less than 5
years (mean 2.1 years), had HbA1c >= 7% (mean 8.4%) and were not taking blood
glucose lowering medications, were randomized to routine care or five 1-h
instructional sessions of GEM. Assessments at baseline and 6 months included a
physical exam, metabolic and lipid panels, and psychological questionnaires.
RESULTS: The GEM intervention led to significant improvements in HbA1c
(decreasing from 8.4 to 7.4% [69-57 mmol/mol] compared with 8.3 to 8.3% [68-68
mmol/mol] for routine care; Interaction p<.01) and psychological functioning
without compromising other physiological parameters. CONCLUSIONS: Consistent with
a patient-centered approach, GEM appears to be an effective lifestyle
modification option for adults recently diagnosed with type 2 diabetes mellitus.
PMID- 26556236
TI - Unusually high soil nitrogen oxide emissions influence air quality in a high
temperature agricultural region.
AB - Fertilized soils have large potential for production of soil nitrogen oxide
(NOx=NO+NO2), however these emissions are difficult to predict in high
temperature environments. Understanding these emissions may improve air quality
modelling as NOx contributes to formation of tropospheric ozone (O3), a powerful
air pollutant. Here we identify the environmental and management factors that
regulate soil NOx emissions in a high-temperature agricultural region of
California. We also investigate whether soil NOx emissions are capable of
influencing regional air quality. We report some of the highest soil NOx
emissions ever observed. Emissions vary nonlinearly with fertilization,
temperature and soil moisture. We find that a regional air chemistry model often
underestimates soil NOx emissions and NOx at the surface and in the troposphere.
Adjusting the model to match NOx observations leads to elevated tropospheric O3.
Our results suggest management can greatly reduce soil NOx emissions, thereby
improving air quality.
PMID- 26556235
TI - A Novel Adeno-Associated Virus-Based Genetic Vaccine Encoding the Hepatitis C
Virus NS3/4 Protein Exhibits Immunogenic Properties in Mice Superior to Those of
an NS3-Protein-Based Vaccine.
AB - More than 170 million individuals worldwide are infected with hepatitis C virus
(HCV), and up to an estimated 30% of chronically infected individuals will go on
to develop progressive liver disease. Despite the recent advances in antiviral
treatment of HCV infection, it remains a major public health problem. Thus,
development of an effective vaccine is urgently required. In this study, we
constructed novel adeno-associated virus (AAV) vectors expressing the full-length
NS3 or NS3/4 protein of HCV genotype 1b. The expression of the NS3 or NS3/4
protein in HepG2 cells was confirmed by western blotting. C57BL/6 mice were
intramuscularly immunised with a single injection of AAV vectors, and the
resultant immune response was investigated. The AAV2/rh32.33.NS3/4 vaccine
induced stronger humoral and cellular responses than did the AAV2/rh32.33.NS3
vaccine. Our results demonstrate that AAV-based vaccines exhibit considerable
potential for the development of an effective anti-HCV vaccine.
PMID- 26556237
TI - Captive Reptile Mortality Rates in the Home and Implications for the Wildlife
Trade.
AB - The trade in wildlife and keeping of exotic pets is subject to varying levels of
national and international regulation and is a topic often attracting
controversy. Reptiles are popular exotic pets and comprise a substantial
component of the live animal trade. High mortality of traded animals raises
welfare concerns, and also has implications for conservation if collection from
the wild is required to meet demand. Mortality of reptiles can occur at any stage
of the trade chain from collector to consumer. However, there is limited
information on mortality rates of reptiles across trade chains, particularly
amongst final consumers in the home. We investigated mortality rates of reptiles
amongst consumers using a specialised technique for asking sensitive questions,
additive Randomised Response Technique (aRRT), as well as direct questioning
(DQ). Overall, 3.6% of snakes, chelonians and lizards died within one year of
acquisition. Boas and pythons had the lowest reported mortality rates of 1.9% and
chameleons had the highest at 28.2%. More than 97% of snakes, 87% of lizards and
69% of chelonians acquired by respondents over five years were reported to be
captive bred and results suggest that mortality rates may be lowest for captive
bred individuals. Estimates of mortality from aRRT and DQ did not differ
significantly which is in line with our findings that respondents did not find
questions about reptile mortality to be sensitive. This research suggests that
captive reptile mortality in the home is rather low, and identifies those taxa
where further effort could be made to reduce mortality rates.
PMID- 26556238
TI - SHP-2 Mediates Cryptosporidium parvum Infectivity in Human Intestinal Epithelial
Cells.
AB - The parasite, Cryptosporidium parvum, induces human gastroenteritis through
infection of host epithelial cells in the small intestine. During the initial
stage of infection, C. parvum is reported to engage host mechanisms at the host
cell-parasite interface to form a parasitophorous vacuole. We determined that
upon infection, the larger molecular weight proteins in human small intestinal
epithelial host cells (FHs 74 Int) appeared to globally undergo tyrosine
dephosphorylation. In parallel, expression of the cytoplasmic protein tyrosine
phosphatase Src homology-2 domain-containing phosphatase 2 (SHP-2) increased in a
time-dependent manner. SHP-2 co-localized with the C. parvum sporozoite and this
interaction increased the rate of C. parvum infectivity through SH2-mediated SHP
2 activity. Furthermore, we show that one potential target that SHP-2 acts upon
is the focal adhesion protein, paxillin, which undergoes moderate
dephosphorylation following infection, with inhibition of SHP-2 rescuing paxillin
phosphorylation. Importantly, treatment with an inhibitor to SHP-2 and with an
inhibitor to paxillin and Src family kinases, effectively decreased the
multiplicity of C. parvum infection in a dose-dependent manner. Thus, our study
reveals an important role for SHP-2 in the pathogenesis of C. parvum.
Furthermore, while host proteins can be recruited to participate in the
development of the electron dense band at the host cell-parasite interface, our
study implies for the first time that SHP-2 appears to be recruited by the C.
parvum sporozoite to regulate infectivity. Taken together, these findings suggest
that SHP-2 and its down-stream target paxillin could serve as targets for
intervention.
PMID- 26556239
TI - Diagnostic Ureterorenoscopy Is Associated with Increased Intravesical Recurrence
following Radical Nephroureterectomy in Upper Tract Urothelial Carcinoma.
AB - Diagnostic ureterorenoscopy is powerful tool to confirm upper tract urothelial
cancer (UTUC). However, URS and associated manipulation may be related to the
risk of intravesical recurrence (IVR) following radical nephroureterectomy (RNU).
We aimed to investigate whether preoperative ureterorenoscopy would increase IVR
after RNU in patients with UTUC. We performed a retrospective analysis of 630
patients who had RNU with bladder cuff excision due to UTUC. Diagnostic URS was
performed in 282 patients (44.7%). Patients were divided into two groups
according to the URS. Survival analysis and multivariate Cox regression model
were performed to address risk factors for the IVR. The interval from URS to RNU
was measured. During URS, manipulation such as biopsy and resection was
determined. The median age was 64 (IQR 56-72) years with follow-up duration of
34.3 (15.7-64.9) months. Median time from URS to RNU was 16 (0-38) days. The IVR
developed in 42.5% (n = 268) patients at 8.2 (4.9-14.7) months. The five-year IVR
free survival rate was 42.6 +/- 8.0% and 63.6 +/- 6.9% in patients with and
without preoperative URS, respectively (P < 0.001). In multivariate analysis,
previous history of bladder tumour, extravesical excision of distal ureter,
multifocal tumour, and URS (HR, 95% CI; 1.558, 1.204-2.016, P = 0.001) were
independent predictors for higher IVR. The IVR rate in patients without
manipulation during URS was not different to those with manipulation (P = 0.658).
The duration from URS to RNU was not associated with IVR (P = 0.799). Diagnostic
URS for UTUC increased IVR rate after RNU. However, the lessening of interval
from URS to radical surgery or URS without any manipulation could not reduce the
IVR rate.
PMID- 26556240
TI - Willed-movement training reduces brain damage and enhances synaptic plasticity
related proteins synthesis after focal ischemia.
AB - It has been wildly accepted that willed movement(WM) training promotes
neurological rehabilitation in patients with stroke. However, it was not clear
whether the effect of WM is better than other forms of exercise. The purpose of
this study is to assess different effects of WM and other forms of exercise on
rats with focal ischemia. The subjects are all had right middle cerebral artery
occlusion (MCAO) surgery and randomly allocated to three groups of training and
one control group with no training. Infarct volume by 2,3,5-triphenyltetrazolium
chloride (TTC) dye, expression of PICK1 and synaptophysin in cerebral cortex and
striatum of injured side by western blotting and immunofluorescence performed are
analyzed. Exercise has done respectively on rats in each group for 15 days and 30
days. Compared with the control group, the brain damage is reduced in other
groups after 15 days exercise. The protein expressions levels of synaptophysin
and PICK1 are upregulated after exercise. Concentration of PICK1 protein in WM is
greater than other exercise groups, and the expression of synaptophysin in WM and
SM groups are higher than EM groups. The number of PICK1 positive cells,
synaptophysin and PICK1 co-positive cells are increased by exercise.
Synaptophysin is widely distributed in cortex surrounding the injury area in WM
and EM. It is indicated in our result that willed-movement training is the most
effective intervention in enhancing the PICK1-mediated synaptic plasticity in the
area adjacent to the damage region of ischemic rats.
PMID- 26556241
TI - TAK-242, a Toll-Like Receptor 4 Antagonist, Protects against Aldosterone-Induced
Cardiac and Renal Injury.
AB - Cardiovascular and renal inflammation induced by Aldosterone (Aldo) plays an
important role in the pathogenesis of hypertension and renal fibrosis. Toll-like
receptor 4 (TLR4) signaling contributes to inflammatory cardiovascular and renal
diseases, but its role in Aldo-induced hypertension and renal damage is not
clear. In the current study, rats were treated with Aldo-salt combined with TAK
242 (a TLR4 signaling antagonist) for 4 weeks. Hemodynamic, cardiac and renal
parameters were assayed at the indicated time. We found that Aldo-salt-treated
rats present cardiac and renal hypertrophy and dysfunction. Cardiac and renal
expression levels of TLR4 as well as levels of molecular markers attesting
inflammation and fibrosis are increased by Aldo infusion, whereas the treatment
of TAK-242 reverses these alterations. TAK-242 suppresses cardiac and renal
inflammatory cytokines levels (TNF-a, IL-1beta and MCP-1). Furthermore, TAK-242
inhibits hypertension, cardiac and renal fibrosis, and also attenuates the Aldo
induced Epithelial-Mesenchymal Transition (EMT). In experimental
hyperaldosteronism, upregulation of TLR4 is correlated with cardiac and renal
fibrosis and dysfunction, and a TLR4 signaling antagonist, TAK-242, can reverse
these alterations. TAK-242 may be a therapeutic option for salt-sensitive
hypertension and renal fibrosis.
PMID- 26556244
TI - Special Care Dentistry - An Evolving Aspect of Dentistry.
PMID- 26556242
TI - Integrative Genomics Implicates EGFR as a Downstream Mediator in NKX2-1 Amplified
Non-Small Cell Lung Cancer.
AB - NKX2-1, encoding a homeobox transcription factor, is amplified in approximately
15% of non-small cell lung cancers (NSCLC), where it is thought to drive cancer
cell proliferation and survival. However, its mechanism of action remains largely
unknown. To identify relevant downstream transcriptional targets, here we carried
out a combined NKX2-1 transcriptome (NKX2-1 knockdown followed by RNAseq) and
cistrome (NKX2-1 binding sites by ChIPseq) analysis in four NKX2-1-amplified
human NSCLC cell lines. While NKX2-1 regulated genes differed among the four cell
lines assayed, cell proliferation emerged as a common theme. Moreover, in 3 of
the 4 cell lines, epidermal growth factor receptor (EGFR) was among the top NKX2
1 upregulated targets, which we confirmed at the protein level by western blot.
Interestingly, EGFR knockdown led to upregulation of NKX2-1, suggesting a
negative feedback loop. Consistent with this finding, combined knockdown of NKX2
1 and EGFR in NCI-H1819 lung cancer cells reduced cell proliferation (as well as
MAP-kinase and PI3-kinase signaling) more than knockdown of either alone.
Likewise, NKX2-1 knockdown enhanced the growth-inhibitory effect of the EGFR
inhibitor erlotinib. Taken together, our findings implicate EGFR as a downstream
effector of NKX2-1 in NKX2-1 amplified NSCLC, with possible clinical
implications, and provide a rich dataset for investigating additional mediators
of NKX2-1 driven oncogenesis.
PMID- 26556246
TI - Special Care Dentistry - Drilling Down to the Detail.
PMID- 26556243
TI - Integrative enrichment analysis: a new computational method to detect
dysregulated pathways in heterogeneous samples.
AB - BACKGROUND: Pathway enrichment analysis is a useful tool to study biology and
biomedicine, due to its functional screening on well-defined biological
procedures rather than separate molecules. The measurement of malfunctions of
pathways with a phenotype change, e.g., from normal to diseased, is the key issue
when applying enrichment analysis on a pathway. The differentially expressed
genes (DEGs) are widely focused in conventional analysis, which is based on the
great purity of samples. However, the disease samples are usually heterogeneous,
so that, the genes with great differential expression variance (DEVGs) are
becoming attractive and important to indicate the specific state of a biological
system. In the context of differential expression variance, it is still a
challenge to measure the enrichment or status of a pathway. To address this
issue, we proposed Integrative Enrichment Analysis (IEA) based on a novel
enrichment measurement. RESULTS: The main competitive ability of IEA is to
identify dysregulated pathways containing DEGs and DEVGs simultaneously, which
are usually under-scored by other methods. Next, IEA provides two additional
assistant approaches to investigate such dysregulated pathways. One is to infer
the association among identified dysregulated pathways and expected target
pathways by estimating pathway crosstalks. The other one is to recognize subtype
factors as dysregulated pathways associated to particular clinical indices
according to the DEVGs' relative expressions rather than conventional raw
expressions. Based on a previously established evaluation scheme, we found that,
in particular cohorts (i.e., a group of real gene expression datasets from human
patients), a few target disease pathways can be significantly high-ranked by IEA,
which is more effective than other state-of-the-art methods. Furthermore, we
present a proof-of-concept study on Diabetes to indicate: IEA rather than
conventional ORA or GSEA can capture the under-estimated dysregulated pathways
full of DEVGs and DEGs; these newly identified pathways could be significantly
linked to prior-known disease pathways by estimated crosstalks; and many
candidate subtype-factors recognized by IEA also have significant relation with
the risk of subtypes of genotype-phenotype associations. CONCLUSIONS: Totally,
IEA supplies a new tool to carry on enrichment analysis in the complicate context
of clinical application (i.e., heterogeneity of disease), as a necessary
complementary and cooperative approach to conventional ones.
PMID- 26556247
TI - Dean's Update: A Bold New Tomorrow.
PMID- 26556248
TI - Membership Affairs.
PMID- 26556249
TI - Policy Update: Towards Better Regulation in Cosmetic Practice Post-Keogh.
PMID- 26556250
TI - Five Minutes with Dean-Elect Mick Horton.
PMID- 26556251
TI - Foundation Dentists Open Day.
PMID- 26556252
TI - FGDP(UK) Divisional Update: Contemporary Periodontal and Peri-implant Management.
PMID- 26556253
TI - My Dental Experience - Special Care Patients' Views.
PMID- 26556254
TI - Managing Bariatric Patients in Dentistry.
AB - Obesity is a growing issue across the world, presenting a range of challenges to
society. Management of obese or bariatric patients in the dental environment has
become more commonplace. This article considers an overview of obesity, reviews
its dental impact and offers some solutions to minimising those challenges in the
dental setting.
PMID- 26556255
TI - A Care Pathway for Children Unable to Accept Dental Care Within the General
Dental Services Involving the Use of Inhalation Sedation and General Anaesthesia.
AB - Dental treatment is the commonest reason for a child to be in hospital in the UK.
This is a shocking statistic for a preventable disease. How can we reduce the
high numbers of dental general anaesthetics? It is essential that dental
treatment under general anaesthesia (GA) is fully justifiable, ensuring that the
right patients receive the right treatment. Guidance for general dental
practitioners on when to refer a child for a dental GA is discussed. Treatment
planning for this dentally high-risk group of children requires a holistic
approach. It is complex and requires an experienced and competent clinical team,
including dental care professionals with additional postgraduate qualifications.
Often, alternative treatments are successful and a GA can be avoided. An audit of
85 patients referred for GA with Oldham Community Dental Service demonstrated 35%
of patients accepted treatment with local anaesthesia only, 25% required
inhalation sedation and only 25% were actually referred on for GA. Treatment for
this group of patients must include the availability and provision of appropriate
alternative treatment modalities, with the right staff and facilities, including
those for dental general anaesthetic sessions. Ongoing follow-up within the
general dental services is essential for this group of patients.
PMID- 26556256
TI - Are Dental Implants the Answer to Tooth Loss in Patients with Parkinson's
Disease?
AB - Individuals with Parkinson's disease present a challenge to dental clinicians as
this degenerative disease leads to problems accessing care and maintaining an
adequate level of oral health. This article provides an overview of the
implications of Parkinson's disease on oral health and explores the role of
dental implants in the management of such patients.
PMID- 26556257
TI - The Use of Intranasal Midazolam in a Special Care Dentistry Department: Technique
and Cases.
AB - Intranasal (IN) administered midazolam has allowed patients who require conscious
sedation but struggle to tolerate cannulation another option by which they can
receive dental treatment. Studies have demonstrated that a mean bioavailability
(F) of more than 73% can be achieved with IN-administered midazolam. This is due
to the high vascularity within the nose. These studies have also demonstrated
that peak plasma concentrations can be reached within 10 minutes. This signifies
a fast onset of action. The standard bolus dose of IN midazolam is 10mg; this
bolus can be reduced or increased depending on the patient's age and
susceptibility to benzodiazepines. The bolus can also be repeated if adequate
sedation is not reached. A protocol for administering IN midazolam is outlined
and two cases are discussed.
PMID- 26556258
TI - Psychoactive Substance Dependence: A Dentist's Challenge.
AB - Given the number of individuals who are dependent on alcohol and/or drugs, it is
inevitable that they will present for dental treatment. They are at an increased
risk of dental disease for multiple reasons. This paper aims to provide an
overview for general dental practitioners (GDPs) of the challenges that can arise
in treating such patients, alongside some suggestions for meeting these
challenges. General issues are taken into consideration first, then a focus is
made on each of the most common substances, together with their implications in
dentistry.
PMID- 26556259
TI - Dental Management of Patients with Dementia in Primary Dental Care.
AB - Dementia is an umbrella term for a set of symptoms that include memory loss,
changes in mood and problems with reasoning, attention and communication. It is a
progressive condition and there is ample evidence that oral health declines as
the severity of dementia increases. Most of this decline is attributable to the
effects of cognitive impairment on oral hygiene capability and/or acceptance of
help from others in supporting oral hygiene. Factors such as altered salivary
flow, taste change, use of high-energy food supplements and syrup-based
medications also contribute to the risk of oral and dental diseases. In its role
as part of the wider health and social care network, the primary dental care team
can make an important contribution to securing the oral health of people living
with dementia.
PMID- 26556260
TI - Learning from a Special Care Dentistry Needs Assessment.
AB - The General Dental Council recognised special care dentistry (SCD) as a
speciality in 2008 and local service reviews have been carried out in order to
develop SCD services. A needs assessment was completed to inform the
implementation of recommendations from a 2010 review of SCD in Wales. AIM: The
aim of this paper is to outline the process, findings and learning from the needs
assessment and the implications for SCD. METHOD: A focused needs assessment
approach was used. Stakeholder consultations were used to develop a working
definition for the needs assessment. Data were collected from existing health and
social care sources and analysed using descriptives and geographic information
system (GIS) mapping. RESULTS: Data sources for needs assessment were limited.
Analysis showed that health conditions were common in the population and
increased with age. The majority of people who reported seeing a dentist were
seen in general dental practice. Older people with health conditions were less
likely to report seeing a dentist. Patients often needed to travel for specialist
care services. CONCLUSION: General dental practice teams have a significant role
in caring for SCD patients. Careful planning of specialist care, joint working
and enhancing skills across the general practice team will reduce the burden of
care and enhance patient safety. Improvements in data for assessment of SCD needs
are required to help this process.
PMID- 26556261
TI - Capacity, Consent and Dentistry - Who Decides and How Do They Do It?
AB - Dental interventions can only occur within a legal framework. This means either
the patient gives their informed consent to the procedure or, if this is not
possible, an assessment is made whether they lack the ability to consent, in
which case a decision on treatment is made under the Mental Capacity Act (MCA).
This article takes a brief look at how the MCA sets out the test of capacity, who
makes decisions when the patient is unable to do so and how 'best interest'
decisions are made in a way that protects the rights of the patient and protects
the dental professional from legal liability.
PMID- 26556262
TI - Giving a Voice to the Person in My Dental Chair: Communication with People with
Learning Disabilities.
AB - This article aims to increase the dental teams' awareness of communicating with
people with learning disabilities who have additional communication impairments.
The paper presents a brief account of the factors behind why some people with
learning disabilities may find it difficult to verbally communicate, and
highlights the importance of ensuring high levels of care for all patients. It
provides an overview of the principles of communication development and some of
the most commonly used augmentative and alternative communication approaches. The
paper concludes with suggestions of simple communication techniques as well as
practical ideas, which can be easily incorporated into daily general dental
practice in order to increase opportunities for successful interactions and
minimise communication breakdown. By becoming more aware of the range of
communication methods used to support those who have learning disabilities, the
dental team will be more able to provide a better experience to their patients
and ensure that their needs are met.
PMID- 26556263
TI - A Case Report for a Complex Denture Case on a Special Care Patient with
Osteogenesis Imperfecta.
AB - This case report presents a patient with Dentogenesis Imperfecta (DI) associated
with Osteogenesis Imperfecta (OI) and its subsequent dental manifestations. The
patient in this report (see Figure 1) has spent his life living with his
disability type III OI (also known as brittle bone disease) and its degenerative
affects. The patient is independent and enjoys his social life but felt his
existing dentures were having an adverse effect on the quality of his life. The
patient attended Dorset County Hospitals Special Care Dentistry and on clinical
examination it was noted the patient was partially dentate with a class III
malocclusion and brownish discoloration of the remaining teeth caused by enamel
hypoplasia. Treatment for this patient would entail making a maxillary complete
denture and a mandibular partial chrome denture, normally quite simple tasks but
due to the DI and its dental manifestations, the treatment would be complicated.
This case demonstrates how a complex case treated by a collaborative dental team
using their different skills and knowledge can lead to a successful and rewarding
treatment for both patient and team.
PMID- 26556264
TI - Special Care Dentistry: Experiences of a DCP.
PMID- 26556266
TI - [Leiomyomatous renal cell carcinoma : Controversy around a new entity].
AB - While clear cell, papillary and chromophobic renal cell carinoma (RCC) represent
the most common malignant renal neoplasms, the evaluation and classification of
rare renal carcinomas has currently come into focus. One of these is the
leiomyomatous RCC, which shows morphologic similarities to clear cell RCCs,
however exhibiting additional, atypical smooth muscle differentiation. We report
the clinical case of a patient simultaneously presenting with leiomyomatous and
papillary RCC and discuss new tumor entities of RCC.
PMID- 26556267
TI - [Social freezing - the male perspective].
AB - BACKGROUND: In Germany there is an emerging trend for postponing parenthood due
to non-medical, sociocultural reasons. This clearly impacts on the reproductive
success due to an age-dependent decrease in fertility. Thus, strategies and
techniques are currently discussed which could preserve the female fertility
status, among which social freezing (cryopreservation of oocytes) for later
fertilization is the most realistic one; however, while there is an intensive
discussion on the procedure and timing of oocyte cryopreservation, virtually no
attention has been paid to the male side and the aging effects on the male germ
cells. AIM: To evaluate the risk paternal age poses for the integrity of germ
cells. METHODS: For this review a literature search using PubMed, data from the
Federal Statistical Office of Germany, the German in vitro fertilization (IVF)
register as well as own data were used. RESULTS: Sperm cell integrity is clearly
affected by age both at the genetic as well as at the epigenetic levels. The
estimated mutation rate for spermatozoa doubles every 16.5 years. Monogenic and
multifactorial diseases are strongly associated with paternal age. Men aged >40
years have an increased risk of passing age-related mutations to their children.
CONCLUSIONS: Cryopreservation of spermatozoa is an option for men who postpone
planning a family. Genetic counseling is recommended for couples undertaking
social freezing and a male age of >40 years.
PMID- 26556268
TI - [Value of MRI/ultrasound fusion in primary biopsy for the diagnosis of prostate
cancer].
AB - BACKGROUND: Multiparametric magnetic resonance imaging (mpMRI) plays an emerging
role in prostate cancer diagnosis. We compared the cancer detection rates of
targeted biopsy (tB) of suspicious lesions in mpMRI versus systematic
transperineal saturation biopsy (sB) in men with primary suspicion of prostate
cancer (PCa). METHODS: A total of 437 consecutive primary biopsy patients, who
underwent transperineal systematic and fusion-guided biopsy between 2012 and
2014, were enrolled. mpMRI was evaluated based on PI-RADS. Analysis of biopsy
specimen was performed following START criteria. RESULTS: Of the 437 men, 334
harbored 426 MR lesions. Overall, 274 PCa and 203 significant PCa (Gleason score
(GS) >= 3 + 4, GS = 3 + 3 and PSA values >= 10 ng/ml) were detected. There were
52 (26 %) significant PCa exclusively found by sB, whereas only 18 (9 %) were
identified by tB (p < 0.001). Of 80 high-grade PCa with GS >= 4 + 3, 70 were
diagnosed by sB, and 60 by tB (p = 0.007). In addition, 54 % of all insignificant
PCa (GS < 7, PSA < 10 ng/ml) were detected by sB alone (p < 0.001). AUC of mpMRI
was 0.76-0.78. CONCLUSION: The combination of tB + sB detects PCa most
accurately. Ongoing prospective (multicenter) studies are evaluating the status
of the 12 core TRUS-guided random biopsy.
PMID- 26556269
TI - [YB-1-based virotherapy: A new therapeutic intervention for transitional cell
carcinoma of the bladder?].
AB - Therapeutic intervention using oncolytic viruses is called virotherapy. This type
of virus is defined by the ability to replicate in tumor cells only and to
destroy these cells upon replication. In addition, this virus type is able to
induce a tumor-directed immune response. Early clinical trials have confirmed the
safety profile of oncolytic viruses. Currently, different groups are working on
the development of oncolytic viruses with a focus on treatment of nonmuscle
invasive bladder cancer (NMIBC). A preliminary active recruiting clinical phase
II/III trial ongoing in patients with a NMIBC was recently implemented in the
United States. Our research group developed an oncolytic adenovirus that will
soon enter a clinical phase I trial in patients diagnosed with glioma. This virus
is being further modified for the treatment of NMIBC. In this review article,
recent developments in the design and use of virotherapy in bladder cancer are
summarized.
PMID- 26556270
TI - Adipose Tissue Oxygenation in Obesity: A Matter of Cardiovascular Risk?
AB - Obesity, a chronic low-grade inflammation disorder characterized by an expansion
in adipose tissue mass, is rapidly expanding worldwide leading to an increase in
the incidence of comorbidities such as insulin resistance, type 2 diabetes and
cardiovascular diseases. This has led to a renewed interest in the adipose tissue
function, historically considered as a passive fat storage. It is now well
established that adipose tissue is an organ with an active role in production and
release of a variety of molecules called adipocytokines. Dysregulated production
of adipocytokines seems to be responsible for the pathogenesis of insulin
resistance and type 2 diabetes; however, the mechanisms are still unclear.
Hypoxia, that occurs when adipocytes expand in obesity, has been proposed as a
possible cause of adipose tissue inflammation. On the other hand, recent studies
have shown that adipose tissue oxygen tension was actually higher (hyperoxia)
than normal and associated with insulin resistance in obesity, despite a
reduction in blood flow. This might be explained by the role of mitochondrial
oxygen consumption. Hence, further studies are needed to understand the role of
adipose tissue oxygenation and perfusion in obesity to assess pathophysiology and
novel opportunities for treating the diseases.
PMID- 26556271
TI - Symbiotic Human Gut Bacteria with Variable Metabolic Priorities for Host Mucosal
Glycans.
AB - Many symbiotic gut bacteria possess the ability to degrade multiple
polysaccharides, thereby providing nutritional advantages to their hosts. Like
microorganisms adapted to other complex nutrient environments, gut symbionts give
different metabolic priorities to substrates present in mixtures. We investigated
the responses of Bacteroides thetaiotaomicron, a common human intestinal
bacterium that metabolizes more than a dozen different polysaccharides, including
the O-linked glycans that are abundant in secreted mucin. Experiments in which
mucin glycans were presented simultaneously with other carbohydrates show that
degradation of these host carbohydrates is consistently repressed in the presence
of alternative substrates, even by B. thetaiotaomicron previously acclimated to
growth in pure mucin glycans. Experiments with media containing systematically
varied carbohydrate cues and genetic mutants reveal that transcriptional
repression of genes involved in mucin glycan metabolism is imposed by simple
sugars and, in one example that was tested, is mediated through a small
intergenic region in a transcript-autonomous fashion. Repression of mucin glycan
responsive gene clusters in two other human gut bacteria, Bacteroides
massiliensis and Bacteroides fragilis, exhibited variable and sometimes
reciprocal responses compared to those of B. thetaiotaomicron, revealing that
these symbionts vary in their preference for mucin glycans and that these
differences occur at the level of controlling individual gene clusters. Our
results reveal that sensing and metabolic triaging of glycans are complex
processes that vary among species, underscoring the idea that these phenomena are
likely to be hidden drivers of microbiota community dynamics and may dictate
which microorganisms preferentially commit to various niches in a constantly
changing nutritional environment. IMPORTANCE: Human intestinal microorganisms
impact many aspects of health and disease, including digestion and the propensity
to develop disorders such as inflammation and colon cancer. Complex carbohydrates
are a major component of the intestinal habitat, and numerous species have
evolved and refined strategies to compete for these coveted nutrients. Our
findings reveal that individual bacteria exhibit different preferences for
carbohydrates emanating from host diet and mucosal secretions and that some of
these prioritization strategies are opposite to one another. Thus, we reveal new
aspects of how individual bacteria, some with otherwise similar metabolic
potential, partition to "preferred niches" in the complex gut ecosystem, which
has important and immediate implications for understanding and predicting the
behavioral dynamics of this community.
PMID- 26556272
TI - Antibiotic-Driven Dysbiosis Mediates Intraluminal Agglutination and Alternative
Segregation of Enterococcus faecium from the Intestinal Epithelium.
AB - The microbiota of the mammalian gastrointestinal tract is a complex ecosystem of
bacterial communities that continuously interact with the mucosal immune system.
In a healthy host, the mucosal immune system maintains homeostasis in the
intestine and prevents invasion of pathogenic bacteria, a phenomenon termed
colonization resistance. Antibiotics create dysbiosis of microbiota, thereby
decreasing colonization resistance and facilitating infections caused by
antibiotic-resistant bacteria. Here we describe how cephalosporin antibiotics
create dysbiosis in the mouse large intestine, allowing intestinal outgrowth of
antimicrobial-resistant Enterococcus faecium. This is accompanied by a reduction
of the mucus-associated gut microbiota layer, colon wall, and Muc-2 mucus layer.
E. faecium agglutinates intraluminally in an extracellular matrix consisting of
secretory IgA (sIgA), polymeric immunoglobulin receptor (pIgR), and epithelial
cadherin (E-cadherin) proteins, thereby maintaining spatial segregation of E.
faecium from the intestinal wall. Addition of recombinant E-cadherin and pIgR
proteins or purified IgA to enterococci in vitro mimics agglutination of E.
faecium in vivo. Also, the Ca(2+) levels temporarily increased by 75% in feces of
antibiotic-treated mice, which led to deformation of E-cadherin adherens
junctions between colonic intestinal epithelial cells and release of E-cadherin
as an extracellular matrix entrapping E. faecium. These findings indicate that
during antibiotic-induced dysbiosis, the intestinal epithelium stays separated
from an invading pathogen through an extracellular matrix in which sIgA, pIgR,
and E-cadherin are colocalized. Future mucosal vaccination strategies to control
E. faecium or other opportunistic pathogens may prevent multidrug-resistant
infections, hospital transmission, and outbreaks. IMPORTANCE: Infections with
antibiotic-resistant enterococci are an emerging worldwide problem because
enterococci are resistant to most of the antibiotics used in hospitals. During
antibiotic treatment, the normal bacteria are replaced by resistant enterococci
within the gut, from which they can spread and cause infections. We studied
antibiotic-mediated intestinal proliferation of multidrug-resistant Enterococcus
faecium and the effects on intestinal architecture. We demonstrated that
antibiotics allow proliferation of E. faecium in the gut, alter the mucus
associated gut bacterial layer, and reduce the colon wall, mucus thickness, and
amount of Muc-2 protein. E. faecium is agglutinated in the intestine in a matrix
consisting of host molecules. We hypothesize that this matrix maintains a
segregation of E. faecium from the epithelium. Understanding the processes that
occur in the gut during antibiotic treatment may provide clues for future mucosal
vaccination strategies to control E. faecium or other multidrug-resistant
opportunistic pathogens, thereby preventing infections, hospital transmission,
and outbreaks.
PMID- 26556273
TI - Chlamydial Lytic Exit from Host Cells Is Plasmid Regulated.
AB - Chlamydia trachomatis is an obligate intracellular bacterium that is a globally
important human pathogen. The chlamydial plasmid is an attenuating virulence
factor, but the molecular basis for attenuation is not understood. Chlamydiae
replicate within a membrane-bound vacuole termed an inclusion, where they undergo
a biphasic developmental growth cycle and differentiate from noninfectious into
infectious organisms. Late in the developmental cycle, the fragile chlamydia
laden inclusion retains its integrity by surrounding itself with scaffolds of
host cytoskeletal proteins. The ability of chlamydiae to developmentally free
themselves from this cytoskeleton network is a fundamental virulence trait of the
pathogen. Here, we show that plasmidless chlamydiae are incapable of disrupting
their cytoskeletal entrapment and remain intracellular as stable mature
inclusions that support high numbers of infectious organisms. By using deletion
mutants of the eight plasmid-carried genes (Deltapgp1 to Deltapgp8), we show that
Pgp4, a transcriptional regulator of multiple chromosomal genes, is required for
exit. Exit of chlamydiae is dependent on protein synthesis and is inhibited by
the compound C1, an inhibitor of the type III secretion system (T3S). Exit of
plasmid-free and Deltapgp4 organisms, which failed to lyse infected cells, was
rescued by latrunculin B, an inhibitor of actin polymerization. Our findings
describe a genetic mechanism of chlamydial exit from host cells that is dependent
on an unknown pgp4-regulated chromosomal T3S effector gene. IMPORTANCE:
Chlamydia's obligate intracellular life style requires both entry into and exit
from host cells. Virulence factors that function in exiting are unknown. The
chlamydial inclusion is stabilized late in the infection cycle by F-actin. A
prerequisite of chlamydial exit is its ability to disassemble actin from the
inclusion. We show that chlamydial plasmid-free organisms, and also a plasmid
gene protein 4 (pgp4) null mutant, do not disassociate actin from the inclusion
and fail to exit cells. We further provide evidence that Pgp4-regulated exit is
dependent on the chlamydial type III secretion system. This study is the first to
define a genetic mechanism that functions in chlamydial lytic exit from host
cells. The findings also have practical implications for understanding why
plasmid-free chlamydiae are highly attenuated and have the ability to elicit
robust protective immune responses.
PMID- 26556274
TI - Joint Transcriptional Control of Virulence and Resistance to Antibiotic and
Environmental Stress in Acinetobacter baumannii.
AB - The increasing emergence of antibiotic-resistant bacterial pathogens represents a
serious risk to human health and the entire health care system. Many currently
circulating strains of Acinetobacter baumannii exhibit resistance to multiple
antibiotics. A key limitation in combating A. baumannii is that our understanding
of the molecular mechanisms underlying the pathogenesis of A. baumannii is
lacking. To identify potential virulence determinants of a contemporary multidrug
resistant isolate of A. baumannii, we used transposon insertion sequencing
(TnSeq) of strain AB5075. A collection of 250,000 A. baumannii transposon mutants
was analyzed for growth within Galleria mellonella larvae, an insect-based
infection model. The screen identified 300 genes that were specifically required
for survival and/or growth of A. baumannii inside G. mellonella larvae. These
genes encompass both known, established virulence factors and several novel
genes. Among these were more than 30 transcription factors required for growth in
G. mellonella. A subset of the transcription factors was also found to be
required for resistance to antibiotics and environmental stress. This work thus
establishes a novel connection between virulence and resistance to both
antibiotics and environmental stress in A. baumannii. IMPORTANCE: Acinetobacter
baumannii is rapidly emerging as a significant human pathogen, largely because of
disinfectant and antibiotic resistance, causing lethal infection in fragile
hosts. Despite the increasing prevalence of infections with multidrug-resistant
A. baumannii strains, little is known regarding not only the molecular mechanisms
that allow A. baumannii to resist environmental stresses (i.e., antibiotics and
disinfectants) but also how these pathogens survive within an infected host to
cause disease. We employed a large-scale genetic screen to identify genes
required for A. baumannii to survive and grow in an insect disease model. While
we identified many known virulence factors harbored by A. baumannii, we also
discovered many novel genes that likely play key roles in A. baumannii survival
of exposure to antibiotics and other stress-inducing chemicals. These results
suggest that selection for increased resistance to antibiotics and environmental
stress may inadvertently select for increased virulence in A. baumannii.
PMID- 26556275
TI - Same Exposure but Two Radically Different Responses to Antibiotics: Resilience of
the Salivary Microbiome versus Long-Term Microbial Shifts in Feces.
AB - Due to the spread of resistance, antibiotic exposure receives increasing
attention. Ecological consequences for the different niches of individual
microbiomes are, however, largely ignored. Here, we report the effects of widely
used antibiotics (clindamycin, ciprofloxacin, amoxicillin, and minocycline) with
different modes of action on the ecology of both the gut and the oral microbiomes
in 66 healthy adults from the United Kingdom and Sweden in a two-center
randomized placebo-controlled clinical trial. Feces and saliva were collected at
baseline, immediately after exposure, and 1, 2, 4, and 12 months after
administration of antibiotics or placebo. Sequences of 16S rRNA gene amplicons
from all samples and metagenomic shotgun sequences from selected baseline and
post-antibiotic-treatment sample pairs were analyzed. Additionally, metagenomic
predictions based on 16S rRNA gene amplicon data were performed using PICRUSt.
The salivary microbiome was found to be significantly more robust, whereas the
antibiotics negatively affected the fecal microbiome: in particular, health
associated butyrate-producing species became strongly underrepresented.
Additionally, exposure to different antibiotics enriched genes associated with
antibiotic resistance. In conclusion, healthy individuals, exposed to a single
antibiotic treatment, undergo considerable microbial shifts and enrichment in
antibiotic resistance in their feces, while their salivary microbiome composition
remains unexpectedly stable. The health-related consequences for the gut
microbiome should increase the awareness of the individual risks involved with
antibiotic use, especially in a (diseased) population with an already
dysregulated microbiome. On the other hand, understanding the mechanisms behind
the resilience of the oral microbiome toward ecological collapse might prove
useful in combating microbial dysbiosis elsewhere in the body. IMPORTANCE: Many
health care professionals use antibiotic prophylaxis strategies to prevent
infection after surgery. This practice is under debate since it enhances the
spread of antibiotic resistance. Another important reason to avoid nonessential
use of antibiotics, the impact on our microbiome, has hardly received attention.
In this study, we assessed the impact of antibiotics on the human microbial
ecology at two niches. We followed the oral and gut microbiomes in 66 individuals
from before, immediately after, and up to 12 months after exposure to different
antibiotic classes. The salivary microbiome recovered quickly and was
surprisingly robust toward antibiotic-induced disturbance. The fecal microbiome
was severely affected by most antibiotics: for months, health-associated butyrate
producing species became strongly underrepresented. Additionally, there was an
enrichment of genes associated with antibiotic resistance. Clearly, even a single
antibiotic treatment in healthy individuals contributes to the risk of resistance
development and leads to long-lasting detrimental shifts in the gut microbiome.
PMID- 26556276
TI - Human Coronavirus 229E Remains Infectious on Common Touch Surface Materials.
AB - The evolution of new and reemerging historic virulent strains of respiratory
viruses from animal reservoirs is a significant threat to human health.
Inefficient human-to-human transmission of zoonotic strains may initially limit
the spread of transmission, but an infection may be contracted by touching
contaminated surfaces. Enveloped viruses are often susceptible to environmental
stresses, but the human coronaviruses responsible for severe acute respiratory
syndrome (SARS) and Middle East respiratory syndrome (MERS) have recently caused
increasing concern of contact transmission during outbreaks. We report here that
pathogenic human coronavirus 229E remained infectious in a human lung cell
culture model following at least 5 days of persistence on a range of common
nonbiocidal surface materials, including polytetrafluoroethylene (Teflon; PTFE),
polyvinyl chloride (PVC), ceramic tiles, glass, silicone rubber, and stainless
steel. We have shown previously that noroviruses are destroyed on copper alloy
surfaces. In this new study, human coronavirus 229E was rapidly inactivated on a
range of copper alloys (within a few minutes for simulated fingertip
contamination) and Cu/Zn brasses were very effective at lower copper
concentration. Exposure to copper destroyed the viral genomes and irreversibly
affected virus morphology, including disintegration of envelope and dispersal of
surface spikes. Cu(I) and Cu(II) moieties were responsible for the inactivation,
which was enhanced by reactive oxygen species generation on alloy surfaces,
resulting in even faster inactivation than was seen with nonenveloped viruses on
copper. Consequently, copper alloy surfaces could be employed in communal areas
and at any mass gatherings to help reduce transmission of respiratory viruses
from contaminated surfaces and protect the public health. IMPORTANCE: Respiratory
viruses are responsible for more deaths globally than any other infectious agent.
Animal coronaviruses that "host jump" to humans result in severe infections with
high mortality, such as severe acute respiratory syndrome (SARS) and, more
recently, Middle East respiratory syndrome (MERS). We show here that a closely
related human coronavirus, 229E, which causes upper respiratory tract infection
in healthy individuals and serious disease in patients with comorbidities,
remained infectious on surface materials common to public and domestic areas for
several days. The low infectious dose means that this is a significant infection
risk to anyone touching a contaminated surface. However, rapid inactivation,
irreversible destruction of viral RNA, and massive structural damage were
observed in coronavirus exposed to copper and copper alloy surfaces.
Incorporation of copper alloy surfaces in conjunction with effective cleaning
regimens and good clinical practice could help to control transmission of
respiratory coronaviruses, including MERS and SARS.
PMID- 26556277
TI - In Vitro CRISPR/Cas9 System for Efficient Targeted DNA Editing.
AB - The clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR
associated protein 9 (Cas9) system, an RNA-guided nuclease for specific genome
editing in vivo, has been adopted in a wide variety of organisms. In contrast,
the in vitro application of the CRISPR/Cas9 system has rarely been reported. We
present here a highly efficient in vitro CRISPR/Cas9-mediated editing (ICE)
system that allows specific refactoring of biosynthetic gene clusters in
Streptomyces bacteria and other large DNA fragments. Cleavage by Cas9 of circular
pUC18 DNA was investigated here as a simple model, revealing that the 3'->5'
exonuclease activity of Cas9 generates errors with 5 to 14 nucleotides (nt)
randomly missing at the editing joint. T4 DNA polymerase was then used to repair
the Cas9-generated sticky ends, giving substantial improvement in editing
accuracy. Plasmid pYH285 and cosmid 10A3, harboring a complete biosynthetic gene
cluster for the antibiotics RK-682 and holomycin, respectively, were subjected to
the ICE system to delete the rkD and homE genes in frame. Specific insertion of
the ampicillin resistance gene (bla) into pYH285 was also successfully performed.
These results reveal the ICE system to be a rapid, seamless, and highly efficient
way to edit DNA fragments, and a powerful new tool for investigating and
engineering biosynthetic gene clusters. IMPORTANCE: Recent improvements in
cloning strategies for biosynthetic gene clusters promise rapid advances in
understanding and exploiting natural products in the environment. For
manipulation of such biosynthetic gene clusters to generate valuable bioactive
compounds, efficient and specific gene editing of these large DNA fragments is
required. In this study, a highly efficient in vitro DNA editing system has been
established. When combined with end repair using T4 DNA polymerase, Cas9
precisely and seamlessly catalyzes targeted editing, including in-frame deletion
or insertion of the gene(s) of interest. This in vitro CRISPR editing (ICE)
system promises a step forward in our ability to engineer biosynthetic pathways.
PMID- 26556279
TI - Probing the Viromic Frontiers.
AB - Modern molecular technology, and particularly high-throughput sequencing (HTS),
has revolutionized virus discovery and expanded the depth and breadth of the
virome. Recent HTS was used to identify and discover a previously undescribed
member of the family Flaviviridae that has genomic features characteristic of
both hepaciviruses and pegiviruses. This virus, designated human hepegivirus-1
(HHpgV-1), may represent a previously undescribed new genus in the Flaviviridae
family with implications for public health and blood supply safety. Detecting
uncharacterized viruses such as HHpgV-1 in clinical samples requires an unbiased
screening method that is as sensitive as PCR, while simultaneously detecting
multiple rare viral sequences. The virome-capture-sequencing platform for
vertebrate viruses (VirCapSeq-VERT) uses positive-selection oligonucleotide
capture to sensitively detect sequences from every known vertebrate virus, even
in high-background specimens with low-abundance viruses. VirCapSeq-VERT can also
detect uncharacterized viruses with sequence homology to known viruses, enabling
a new paradigm for virus detection.
PMID- 26556278
TI - Riboflavin Provisioning Underlies Wolbachia's Fitness Contribution to Its Insect
Host.
AB - Endosymbiotic bacteria of the genus Wolbachia represent the most successful
symbiotic bacteria in the terrestrial ecosystem. The success of Wolbachia has
been ascribed to its remarkable phenotypic effects on host reproduction, such as
cytoplasmic incompatibility, whereby maternally inherited bacteria can spread in
their host populations at the expense of their host's fitness. Meanwhile, recent
theoretical as well as empirical studies have unveiled that weak and/or
conditional positive fitness effects may significantly facilitate invasion and
spread of Wolbachia infections in host populations. Here, we report a previously
unrecognized nutritional aspect, the provision of riboflavin (vitamin B2), that
potentially underpins the Wolbachia-mediated fitness benefit to insect hosts. A
comparative genomic survey for synthetic capability of B vitamins revealed that
only the synthesis pathway for riboflavin is highly conserved among diverse
insect-associated Wolbachia strains, while the synthesis pathways for other B
vitamins were either incomplete or absent. Molecular phylogenetic and genomic
analyses of riboflavin synthesis genes from diverse Wolbachia strains revealed
that, in general, their phylogenetic relationships are concordant with
Wolbachia's genomic phylogeny, suggesting that the riboflavin synthesis genes
have been stably maintained in the course of Wolbachia evolution. In rearing
experiments with bedbugs (Cimex lectularius) on blood meals in which B vitamin
contents were manipulated, we demonstrated that Wolbachia's riboflavin
provisioning significantly contributes to growth, survival, and reproduction of
the insect host. These results provide a physiological basis upon which Wolbachia
mediated positive fitness consequences are manifested and shed new light on the
ecological and evolutionary relevance of Wolbachia infections. IMPORTANCE:
Conventionally, Wolbachia has been regarded as a parasitic bacterial endosymbiont
that manipulates the host insect's reproduction in a selfish manner, which tends
to affect a host's fitness negatively. Meanwhile, some theories predict that, at
the same time, Wolbachia can directly affect the host's fitness positively, which
may potentially reconcile the negative effect and facilitate spread and stability
of the symbiotic association. Here we demonstrate, by using comparative genomic
and experimental approaches, that among synthetic pathways for B vitamins, the
synthetic pathway for riboflavin (vitamin B2) is exceptionally conserved among
diverse insect-associated Wolbachia strains, and Wolbachia's riboflavin
provisioning certainly contributes to growth, survival, and reproduction in an
insect. These findings uncover a nutritional mechanism of a Wolbachia-mediated
fitness benefit, which provides empirical evidence highlighting a "Jekyll and
Hyde" aspect of Wolbachia infection.
PMID- 26556280
TI - Simple Genome Editing of Rodent Intact Embryos by Electroporation.
AB - The clustered regularly interspaced short palindromic repeat (CRISPR)/CRISPR
associated (Cas) system is a powerful tool for genome editing in animals.
Recently, new technology has been developed to genetically modify animals without
using highly skilled techniques, such as pronuclear microinjection of
endonucleases. Technique for animal knockout system by electroporation (TAKE)
method is a simple and effective technology that produces knockout rats by
introducing endonuclease mRNAs into intact embryos using electroporation. Using
TAKE method and CRISPR/Cas system, the present study successfully produced
knockout and knock-in mice and rats. The mice and rats derived from embryos
electroporated with Cas9 mRNA, gRNA and single-stranded oligodeoxynucleotide
(ssODN) comprised the edited targeted gene as a knockout (67% of mice and 88% of
rats) or knock-in (both 33%). The TAKE method could be widely used as a powerful
tool to produce genetically modified animals by genome editing.
PMID- 26556281
TI - High-Resolution Melting Curve Analysis for Identification of Pasteurellaceae
Species in Experimental Animal Facilities.
AB - Pasteurellaceae are among the most prevalent bacterial pathogens isolated from
mice housed in experimental animal facilities. Reliable detection and
differentiation of Pasteurellaceae are essential for high-quality health
monitoring. In this study, we combined a real-time PCR assay amplifying a
variable region in the 16S rRNA sequence with high-resolution melting curve
analysis (HRM) to identify and differentiate among the commonly isolated species
Pasteurella pneumotropica biotypes "Jawetz" and "Heyl", Actinobacillus muris, and
Haemophilus influenzaemurium. We used a set of six reference strains for assay
development, with the melting profiles of these strains clearly distinguishable
due to DNA sequence variations in the amplicon. For evaluation, we used real-time
PCR/HRM to test 25 unknown Pasteurellaceae isolates obtained from an external
diagnostic laboratory and found the results to be consistent with those of
partial 16S rRNA sequencing. The real-time PCR/HRM method provides a sensitive,
rapid, and closed-tube approach for Pasteurellaceae species identification for
health monitoring of laboratory mice.
PMID- 26556282
TI - Bioelectrical impedance vector analysis in the critically ill: cool tool or just
another 'toy'?
AB - Assessment of volume and hydration status is far from easy and therefore
technology such as bioelectrical impedance vector analysis (BIVA) may complement
our examination techniques. This study highlights the fact that clinical
assessment of volume balance and BIVA may correlate, but whether the routine use
of BIVA will avoid significant volume overload in the critically ill remains
unknown. Further studies are needed but at the moment appear a little way off.
PMID- 26556283
TI - CO2 Chemistry of Phenolate-Based Ionic Liquids.
AB - We synthesized ionic liquids (ILs) comprising an alkylphosphonium cation paired
with phenolate, 4-nitrophenolate, and 4-methoxyphenolate anions that span a wide
range of predicted reaction enthalpies with CO2. Each phenolate-based IL was
characterized by spectroscopic techniques, and their physical properties
(viscosity, conductivity, and CO2 solubility) were determined. We use the
computational quantum chemical approach paired with experimental results to
reveal the reaction mechanism of CO2 with phenolate ILs. Model chemistry shows
that the oxygen atom of phenolate associates strongly with phosphonium cations
and is able to deprotonate the cation to form an ylide with an affordable
activation barrier. The ATR-FTIR and (31)P NMR spectra indicate that the
phosphonium ylide formation and its reaction with CO2 are predominantly
responsible for the observed CO2 uptake rather than direct anion-CO2 interaction.
PMID- 26556284
TI - Effects of deep brain stimulation on prepulse inhibition in obsessive-compulsive
disorder.
AB - Owing to a high response rate, deep brain stimulation (DBS) of the ventral
striatal area has been approved for treatment-refractory obsessive-compulsive
disorder (tr-OCD). Many basic issues regarding DBS for tr-OCD are still not
understood, in particular, the mechanisms of action and the origin of side
effects. We measured prepulse inhibition (PPI) in treatment-refractory OCD
patients undergoing DBS of the nucleus accumbens (NAcc) and matched controls. As
PPI has been used in animal DBS studies, it is highly suitable for translational
research. Eight patients receiving DBS, eight patients with pharmacological
treatment and eight age-matched healthy controls participated in our study. PPI
was measured twice in the DBS group: one session with the stimulator switched on
and one session with the stimulator switched off. OCD patients in the
pharmacologic group took part in a single session. Controls were tested twice, to
ensure stability of data. Statistical analysis revealed significant differences
between controls and (1) patients with pharmacological treatment and (2) OCD DBS
patients when the stimulation was switched off. Switching the stimulator on led
to an increase in PPI at a stimulus-onset asynchrony of 200 ms. There was no
significant difference in PPI between OCD patients being stimulated and the
control group. This study shows that NAcc-DBS leads to an increase in PPI in tr
OCD patients towards a level seen in healthy controls. Assuming that PPI
impairments partially reflect the neurobiological substrates of OCD, our results
show that DBS of the NAcc may improve sensorimotor gating via correction of
dysfunctional neural substrates. Bearing in mind that PPI is based on a complex
and multilayered network, our data confirm that DBS most likely takes effect via
network modulation.
PMID- 26556285
TI - Peripheral telomere length and hippocampal volume in adolescents with major
depressive disorder.
AB - Several studies have reported that adults with major depressive disorder have
shorter telomere length and reduced hippocampal volumes. Moreover, studies of
adult populations without major depressive disorder suggest a relationship
between peripheral telomere length and hippocampal volume. However, the
relationship of these findings in adolescents with major depressive disorder has
yet to be explored. We examined whether adolescent major depressive disorder is
associated with altered peripheral telomere length and hippocampal volume, and
whether these measures relate to one another. In 54 unmedicated adolescents (13
18 years) with major depressive disorder and 63 well-matched healthy controls,
telomere length was assessed from saliva using quantitative polymerase chain
reaction methods, and bilateral hippocampal volumes were measured with magnetic
resonance imaging. After adjusting for age and sex (and total brain volume in the
hippocampal analysis), adolescents with major depressive disorder exhibited
significantly shorter telomere length and significantly smaller right, but not
left hippocampal volume. When corrected for age, sex, diagnostic group and total
brain volume, telomere length was not significantly associated with left or right
hippocampal volume, suggesting that these cellular and neural processes may be
mechanistically distinct during adolescence. Our findings suggest that shortening
of telomere length and reduction of hippocampal volume are already present in
early-onset major depressive disorder and thus unlikely to be only a result of
accumulated years of exposure to major depressive disorder.
PMID- 26556286
TI - Increased serum levels of sortilin are associated with depression and correlated
with BDNF and VEGF.
AB - Neurotrophic factors have been investigated in relation to depression. The aim of
the present study was to widen this focus to sortilin, a receptor involved in
neurotrophic signalling. The serum sortilin level was investigated in 152
individuals with depression and 216 control individuals, and eight genetic
markers located within the SORT1 gene were successfully analysed for association
with depression. Genotyping was performed using the Sequenom MassARRAY platform.
All the individuals returned a questionnaire and participated in a semi
structured diagnostic interview. Sortilin levels were measured by immunoassay,
and potential determinants of the serum sortilin level were assessed by
generalized linear models. Serum levels of brain-derived neurotrophic factor
(BDNF) and vascular endothelial growth factor (VEGF) were measured in previous
studies. We identified a significant increase of serum sortilin levels in
depressed individuals compared with controls (P=0.0002) and significant positive
correlation between serum sortilin levels and the corresponding levels of BDNF
and VEGF. None of the genotyped SNPs were associated with depression. Additional
analyses showed that the serum sortilin level was influenced by several other
factors. Alcohol intake and body mass index, as well as depression, serum BDNF
and serum VEGF were identified as predictors of serum sortilin levels in our
final multivariate model. In conclusion, the results suggest a role of
circulating sortilin in depression which may relate to altered activity of
neurotrophic factors.
PMID- 26556288
TI - Bioinert Anodic Alumina Nanotubes for Targeting of Endoplasmic Reticulum Stress
and Autophagic Signaling: A Combinatorial Nanotube-Based Drug Delivery System for
Enhancing Cancer Therapy.
AB - Although nanoparticle-based targeted delivery systems have gained promising
achievements for cancer therapy, the development of sophisticated strategies with
effective combinatorial therapies remains an enduring challenge. Herein, we
report the fabrication of a novel nanomaterial, so-called anodic alumina
nanotubes (AANTs) for proof-of-concept cancer therapy by targeting cell signaling
networks. This strategy is to target autophagic and endoplasmic reticulum (ER)
stress signaling by using thapsigargin (TG)-loaded AANTs cotreated with an
autophagy inhibitor 3-methyladenine (3-MA). We first show that AANTs are nontoxic
and can activate autophagy in different cell types including human fibroblast
cells (HFF), human monocyte cells (THP-1), and human breast cancer cells (MDA-MB
231-TXSA). Treatment with 3-MA at a nontoxic dose reduced the level of autophagy
induced by AANTs, and consequently sensitized breast cancer cells to AANTs
induced cellular stresses. To target autophagic and ER stress signaling
networking, breast cancer cells were treated with 3-MA together with AANTs loaded
with the prototype ER stress inducer TG. We demonstrated that 3-MA enhanced the
cancer cell killing effect of AANTs loaded with TG. This effect was associated
with enhanced ER stress signaling due to the combination effect of TG and 3-MA.
These findings not only demonstrate the excellent biocompatibility of AANTs as
novel biomaterials but also provide new opportunities for developing ER- and
autophagy-targeted delivery systems for future clinical cancer therapy.
PMID- 26556290
TI - The Strategic Value of Succession Planning for Department Chairs.
AB - Most faculty who aspire to be department chairs are unaware of succession
processes at their institution. This Commentary highlights the importance of
succession planning, emphasizing the general need for transparency. Succession
planning provides institutional leaders the opportunity to optimize, renew, and
revitalize their organization by ensuring successful leadership transitions. In
contrast to leadership pathways in the military, corporate business, and hospital
administration, planned succession of medical school department chairs has
received little attention. Different approaches to succession planning are
essential for emergency and planned transitions. Emergency succession plans
should be in place at all times, regularly revisited, and modified as needed.
Department chairs should begin considering their planned succession between one
and five years after their initial appointment. The succession discussion between
a chair and medical school dean requires cautious, thoughtful, and open
discussions. Intradepartmental annual faculty performance evaluations permit the
chair to mentor potential successors in acquiring future-oriented, institution
based leadership qualities necessary to be considered for a future department
chair position. If health and time permit, the successful chair should remain in
his or her current position until a successor is named or, preferably, is in
place. Appointment of an interim chair as part of succession planning can be
useful for on-the-job training of an internal candidate, yet awkwardness might
ensue if there is more than one internal candidate.Succession development offers
the great advantage of maintaining smooth organizational performance while
optimizing talent management and exploring opportunities for transitioning
individuals into leadership roles.
PMID- 26556289
TI - Defective Function of CD24(+)CD38(+) Regulatory B Cells in Ankylosing
Spondylitis.
AB - Ankylosing spondylitis (AS) is a chronic inflammatory rheumatic disease strongly
associated with HLA-B*27, an major histocompatibility complex (MHC) molecule that
presents peptide antigen to T cells. Previously, regulatory B cells were found to
suppress T cell-mediated autoimmunity induction and chronic inflammation,
partially through interleukin (IL)-10 production. Here, we examined the role of
regulatory B cells in AS pathogenesis. Apheresis samples from HLA-B*27-positive
AS patients and non-AS healthy controls were collected. We found that although AS
patients and non-AS controls presented similar frequencies of CD24(+)CD38(+) B
cells, compared to non-AS controls, those from AS patients produced less IL-10
under ex vivo condition and after CD40 and B-cell receptor (BCR) stimulation.
Purified T cell-B cell cocultures showed that compared to non-AS controls,
CD24(+)CD38(+) B cells from AS patients were defective at suppressing naive and
memory CD8(+) T cell activation. The suppression of memory CD8(+) T cells in non
AS controls appeared to be mediated by IL-10, since the addition of IL-10 mAb
suppressed CD24(+)CD38(+) B cell-mediated downregulation of proinflammatory
cytokine production and proliferation. To rescue the defect in AS patients,
CD24(+)CD38(+) B cells were pretreated by CD40 and BCR stimulation, which
enhanced CD24(+)CD38(+) B cell-mediated memory CD8(+) T cell suppression.
Together, our data discovered a regulatory B cell defect in AS patients.
PMID- 26556291
TI - Evaluating the Productivity of VA, NIH, and AHRQ Health Services Research Career
Development Awardees.
AB - PURPOSE: To evaluate the academic advancement and productivity of Department of
Veterans Affairs Health Services Research and Development (HSR&D) Career
Development Award (CDA) program recipients, National Institutes of Health (NIH) K
awardees in health services research (HSR), and Agency for Healthcare Research
and Quality (AHRQ) K awardees. METHOD: In all, 219 HSR&D CDA recipients from
fiscal year (FY) 1991 through FY2010; 154 NIH K01, K08, and K23 awardees FY1991
FY2010; and 69 AHRQ K01 and K08 awardees FY2000-FY2010 were included. Most data
were obtained from curricula vitae. Academic advancement, publications, grants,
recognition, and mentoring were compared after adjusting for years since award,
and personal characteristics, training, and productivity prior to the award.
RESULTS: No significant differences emerged in covariate-adjusted tenure-track
academic rank, number of grants as primary investigator (PI), major journal
articles as first/sole author, Hirsch h-index scores, likelihood of a journal
editorship position or membership in a major granting review panel, or mentoring
postgraduate researchers between the HSR&D CDA and NIH K awardees from FY1991
FY2010, or among the three groups of awardees from FY2000 or later. Among those
who reported grant funding levels, HSR&D CDAs from FY1991-2010 had been PI on
more grants of $100,000 than NIH K awardees. HSR&D CDAs had a higher mean number
of major journal articles than NIH K awardees from FY1991-2010. CONCLUSIONS:
Findings show that all three HSR career development programs are successfully
selecting and mentoring awardees, ensuring additional HSR capacity to improve the
quality and delivery of high-value care.
PMID- 26556287
TI - Genome-wide analysis implicates microRNAs and their target genes in the
development of bipolar disorder.
AB - Bipolar disorder (BD) is a severe and highly heritable neuropsychiatric disorder
with a lifetime prevalence of 1%. Molecular genetic studies have identified the
first BD susceptibility genes. However, the disease pathways remain largely
unknown. Accumulating evidence suggests that microRNAs, a class of small
noncoding RNAs, contribute to basic mechanisms underlying brain development and
plasticity, suggesting their possible involvement in the pathogenesis of several
psychiatric disorders, including BD. In the present study, gene-based analyses
were performed for all known autosomal microRNAs using the largest genome-wide
association data set of BD to date (9747 patients and 14 278 controls).
Associated and brain-expressed microRNAs were then investigated in target gene
and pathway analyses. Functional analyses of miR-499 and miR-708 were performed
in rat hippocampal neurons. Ninety-eight of the six hundred nine investigated
microRNAs showed nominally significant P-values, suggesting that BD-associated
microRNAs might be enriched within known microRNA loci. After correction for
multiple testing, nine microRNAs showed a significant association with BD. The
most promising were miR-499, miR-708 and miR-1908. Target gene and pathway
analyses revealed 18 significant canonical pathways, including brain development
and neuron projection. For miR-499, four Bonferroni-corrected significant target
genes were identified, including the genome-wide risk gene for psychiatric
disorder CACNB2. First results of functional analyses in rat hippocampal neurons
neither revealed nor excluded a major contribution of miR-499 or miR-708 to
dendritic spine morphogenesis. The present results suggest that research is
warranted to elucidate the precise involvement of microRNAs and their downstream
pathways in BD.
PMID- 26556292
TI - Needles and Haystacks: Finding Funding for Medical Education Research.
AB - Medical education research suffers from a significant and persistent lack of
funding. Although adequate funding has been shown to improve the quality of
research, there are a number of factors that continue to limit it. The
competitive environment for medical education research funding makes it essential
to understand strategies for improving the search for funding sources and the
preparation of proposals. This article offers a number of resources, strategies,
and suggestions for finding funding. Investigators must be able to frame their
research in the context of significant issues and principles in education. They
must set their proposed work in the context of prior work and demonstrate its
potential for significant new contributions. Because there are few funding
sources earmarked for medical education research, researchers much also be
creative, flexible, and adaptive as they seek to present their ideas in ways that
are appealing and relevant to the goals of funders. Above all, the search for
funding requires persistence and perseverance.
PMID- 26556293
TI - Medical Students' Perception of Their Educational Environment and Quality of
Life: Is There a Positive Association?
AB - PURPOSE: To assess perceptions of educational environment of students from 22
Brazilian medical schools and to study the association between these perceptions
and quality of life (QoL) measures. METHOD: The authors performed a multicenter
study (August 2011 to August 2012), examining students' views both of (1)
educational environment using the Dundee Ready Education Environment Measure
(DREEM) and (2) QoL using the World Health Organization Quality of Life
Assessment, abbreviated version (WHOQOL-BREF). They also examined students' self
assessment of their overall QoL and medical-school-related QoL (MSQoL). The
authors classified participants' perceptions into four quartiles according to
DREEM total score, overall QoL, and MSQoL. RESULTS: Of 1,650 randomly selected
students, 1,350 (81.8%) completed the study. The mean total DREEM score was 119.4
(standard deviation = 27.1). Higher total DREEM scores were associated with
higher overall QoL and MSQoL scores (P < .001 for all comparisons) and younger
ages (P < .001). Mean overall QoL scores were higher than MSQoL scores (mean
difference, 1.35; 95% confidence interval [CI] 1.28-1.43; P < .001). Multinomial
regression models showed significant dose-response patterns: Higher DREEM
quartile scores were associated with better QoL. The psychological health domain
of WHOQOL-BREF was most closely associated with DREEM scores (odds ratio 4.70;
95% CI = 3.80-5.81). CONCLUSIONS: The authors observed a positive association
between QoL measures and DREEM scores. This association had a dose-response
effect, independent of age, sex, and year of medical training, showing that
educational environment appears to be an important moderator of medical student
QoL.
PMID- 26556294
TI - Increasing Resident Diversity in an Emergency Medicine Residency Program: A Pilot
Intervention With Three Principal Strategies.
AB - PROBLEM: Much work remains to be done to align the diversity of the health care
workforce with the changing racial and ethnic backgrounds of patients, especially
in the field of emergency medicine. APPROACH: In academic year (AY) 2012-2013, to
increase the number of underrepresented minority (URM) candidates who were
interviewed and matched, the Denver Health Residency in Emergency Medicine
program (DHREM) initiated a focused pilot intervention with three principal
strategies: (1) a scholarship-based externship program, (2) a funded second-look
event, and (3) increased involvement and visibility of URM faculty in the
interview and recruitment process. OUTCOMES: One year after implementation of the
pilot intervention, the percentage of URMs among all applicants invited to
interview at the DHREM doubled (7.1% [20/282] in AY 2011-2012, 7.0% [24/344] in
AY 2012-2013, and 14.8% [58/393] in AY 2013-2014) (95% confidence interval [CI] =
5-10, 4-11, and 11-19, respectively). Of all DHREM interviewees in AY 2013-2014,
17.6% (49/279) (95% CI = 12-23) were URMs, nearly a threefold increase from AY
2012-2013 (6.2% [14/226], 95% CI = 3-10). In AY 2013-2014, 23.5% (4/17) (95% CI =
7-50) of all new DHREM residents were URMs, compared with 5.9% (1/17) in AY 2011
2012 and 5.6% (1/18) in AY 2012-2013 (95% CI = 0-29 and 0-27, respectively). NEXT
STEPS: Additional studies are needed to determine whether these results are
sustainable and generalizable to other residency programs in emergency medicine
and other specialties.
PMID- 26556295
TI - Developing Entrustable Professional Activities for Entry Into Clerkship.
AB - PURPOSE: Despite the growing emphasis on early clinical experiences, preceptors
still face challenges integrating preclerkship medical students into their
practices. Entrustable professional activities (EPAs), which frame competencies
in the context of clinical workplace activities, may provide explicit guidance on
appropriate student roles and activities. This study aimed to develop and
appraise content validity evidence for EPAs for clerkship entry. METHOD: The
authors employed a multistep process from November 2012 to June 2014. They
identified EPA content domains using study data, student focus groups, and
preceptor interviews. They then mapped each domain to preclerkship course
objectives, graduation competencies, and resident-level EPAs to ensure relevancy
and adequacy. Next, they developed seven-part EPA descriptions for each domain
with specifications/limitations; expected knowledge, skills, and attitudes;
associated competencies; and assessment information. Subsequently, they conducted
local, national, and international workshops to verify the appropriateness of the
content and supervision level, before finalizing each EPA with additional expert
and stakeholder review. RESULTS: This process resulted in five EPAs for entry
into clerkship: (1) information gathering, (2) information integration for a
differential diagnosis and plan, (3) health care team communication, (4)
information sharing with patients, and (5) resource identification. Workshop
participants approved and refined the content for each EPA and agreed that a
single level of supervision (practice under reactive supervision) was
appropriate. CONCLUSIONS: The development of these EPAs indicates support for
setting a standard for entry into clerkship, provides guidance for engaging
preclerkship students in clinical workplace activities, and can be adapted for
use by any institution.
PMID- 26556296
TI - Integrating Continuing Professional Development With Health System Reform:
Building Pillars of Support.
AB - Clinical failures sparked a widespread desire for health system reform at the
beginning of the 21st century, but related efforts have resulted in changes that
are either slow or nonexistent. In response, academic medicine has moved in two
directions: (1) system-wide reform using electronic health records, practice
networks, and widespread data applications (a macro pathway); and (2)
professional development of individual clinicians through continuous performance
improvement (a micro pathway). Both pathways exist to improve patient care and
population health, yet each suffers from limitations in widespread
implementation. The authors call for a better union between these two parallel
pathways through four pillars of support: (1) an acknowledgment that both
pathways are essential to each other and to the final outcome they intend to
achieve, (2) a strong faculty commitment to educate about quality improvement and
patient safety at all education levels, (3) a reengineering of tools for
professional development to serve as effective change agents, and (4) the
development of standards to sustain this alignment of pathways. With these
pillars of support integrating continuing professional development with health
system reform, the authors envision a better functioning system, with improved
metrics and value to enhance patient care and population health.
PMID- 26556297
TI - Using a Smartphone App and Coaching Group Sessions to Promote Residents'
Reflection in the Workplace.
AB - PROBLEM: Reflecting on workplace-based experiences is necessary for professional
development. However, residents need support to raise their awareness of valuable
moments for learning and to thoughtfully analyze those learning moments
afterwards. APPROACH: From October to December 2012, the authors held a
multidisciplinary six-week postgraduate training module focused on general
competencies. Residents were randomly assigned to one of four conditions with
varying degrees of reflection support; they were offered (1) a smartphone app,
(2) coaching group sessions, (3) a combination of both, or (4) neither type of
support. The app allowed participants to capture in real time learning moments as
a text note, audio recording, picture, or video. Coaching sessions held every two
weeks aimed to deepen participants' reflection on captured learning moments.
Questionnaire responses and reflection data were compared between conditions to
assess the effects of the app and coaching sessions on intensity and frequency of
reflection. OUTCOMES: Sixty-four residents participated. App users reflected more
often, captured more learning moments, and reported greater learning progress
than nonapp users. Participants who attended coaching sessions were more alert to
learning moments and pursued more follow-up learning activities to improve on the
general competencies. Those who received both types of support were most alert to
these learning moments. NEXT STEPS: A simple mobile app for capturing learning
moments shows promise as a tool to support workplace-based learning, especially
when combined with coaching sessions. Future research should evaluate these tools
on a broader scale and in conjunction with residents' and students' personal
digital portfolios.
PMID- 26556298
TI - Perceptions of Peer-to-Peer Interprofessional Feedback Among Students in the
Health Professions.
AB - PURPOSE: Interprofessional teamwork should include interprofessional feedback to
optimize performance and collaboration. Social identity theory predicts that
hierarchy and stereotypes may limit receptiveness to interprofessional feedback,
but literature on this is sparse. This study explores perceptions among health
professions students regarding interprofessional peer feedback received after a
team exercise. METHOD: In 2012-2013, students from seven health professions
schools (medicine, pharmacy, nursing, dentistry, physical therapy, dietetics, and
social work) participated in a team-based interprofessional exercise early in
clinical training. Afterward, they wrote anonymous feedback comments for each
other. Each student subsequently completed an online survey to rate the
usefulness and positivity (on five-point scales) of feedback received and guessed
each comment's source. Data analysis included analysis of variance to examine
interactions (on usefulness and positivity ratings) between profession of
feedback recipients and providers. RESULTS: Of 353 study participants, 242
(68.6%) accessed the feedback and 221 (62.6%) completed the survey. Overall,
students perceived the feedback as useful (means across professions = 3.84-4.27)
and positive (means = 4.17-4.86). There was no main effect of profession of the
feedback provider, and no interactions between profession of recipient and
profession of provider regardless of whether the actual or guessed provider
profession was entered into the analysis. CONCLUSIONS: These findings suggest
that students have positive perceptions of interprofessional feedback without
systematic bias against any specific group. Whether students actually use
interprofessional feedback for performance improvement and remain receptive
toward such feedback as they progress in their professional education deserves
further study.
PMID- 26556299
TI - Germline Variants in Targeted Tumor Sequencing Using Matched Normal DNA.
AB - IMPORTANCE: Tumor genetic sequencing identifies potentially targetable genetic
alterations with therapeutic implications. Analysis has concentrated on detecting
tumor-specific variants, but recognition of germline variants may prove valuable
as well. OBJECTIVE: To estimate the burden of germline variants identified
through routine clinical tumor sequencing. DESIGN, SETTING, AND PARTICIPANTS:
Patients with advanced cancer diagnoses eligible for studies of targeted agents
at Memorial Sloan Kettering Cancer Center are offered tumor-normal sequencing
with MSK-IMPACT, a 341-gene panel. We surveyed the germline variants seen in 187
overlapping genes with Mendelian disease associations in 1566 patients who had
undergone tumor profiling between March and October 2014. MAIN OUTCOMES AND
MEASURES: The number of presumed pathogenic germline variants (PPGVs) and
variants of uncertain significance per person in 187 genes associated with single
gene disorders and the proportions of individuals with PPGVs in clinically
relevant gene subsets, in genes consistent with known tumor phenotypes, and in
genes with evidence of second somatic hits in their tumors. RESULTS: The mean age
of the 1566 patients was 58 years, and 54% were women. Presumed pathogenic
germline variants in known Mendelian disease-associated genes were identified in
246 of 1566 patients (15.7%; 95% CI, 14.0%-17.6%), including 198 individuals with
mutations in genes associated with cancer susceptibility. Germline findings in
cancer susceptibility genes were concordant with the individual's cancer type in
only 81 of 198 cases (40.9%; 95% CI, 34.3%-47.9%). In individuals with PPGVs
retained in the tumor, somatic alteration of the other allele was seen in 39 of
182 cases (21.4%; 95% CI, 16.1%-28.0%), of which 13 cases did not show a known
correlation of the germline mutation and a known syndrome. Mutations in non
cancer-related Mendelian disease genes were seen in 55 of 1566 cases (3.5%; 95%
CI, 27.1%-45.4%). Almost every individual had more than 1 variant of uncertain
significance (1565 of 1566 patients; 99.9%; 95% CI, 99.6%-99.9%). CONCLUSIONS AND
RELEVANCE: Germline variants are common in individuals undergoing tumor-normal
sequencing and may reveal otherwise unsuspected syndromic associations.
PMID- 26556300
TI - Combined Shuttle-Box Training with Electrophysiological Cortex Recording and
Stimulation as a Tool to Study Perception and Learning.
AB - Shuttle-box avoidance learning is a well-established method in behavioral
neuroscience and experimental setups were traditionally custom-made; the
necessary equipment is now available by several commercial companies. This
protocol provides a detailed description of a two-way shuttle-box avoidance
learning paradigm in rodents (here Mongolian gerbils; Meriones unguiculatus) in
combination with site-specific electrical intracortical microstimulation (ICMS)
and simultaneous chronical electrophysiological in vivo recordings. The detailed
protocol is applicable to study multiple aspects of learning behavior and
perception in different rodent species. Site-specific ICMS of auditory cortical
circuits as conditioned stimuli here is used as a tool to test the perceptual
relevance of specific afferent, efferent and intracortical connections. Distinct
activation patterns can be evoked by using different stimulation electrode arrays
for local, layer-dependent ICMS or distant ICMS sites. Utilizing behavioral
signal detection analysis it can be determined which stimulation strategy is most
effective for eliciting a behaviorally detectable and salient signal. Further,
parallel multichannel-recordings using different electrode designs (surface
electrodes, depth electrodes, etc.) allow for investigating neuronal observables
over the time course of such learning processes. It will be discussed how changes
of the behavioral design can increase the cognitive complexity (e.g. detection,
discrimination, reversal learning).
PMID- 26556301
TI - Platelet response to a small molecule inhibitor of alpha2beta1 integrin is
associated with ITGA2 C807T dimorphism.
AB - High expression of the collagen receptor, alpha2beta1 integrin, on platelets of
ITGA2 807T-allele carriers has been identified as a risk factor for
thromboembolic conditions, and alpha2beta1 inhibitors are considered to be
potential therapeutic agents. In 59 genotyped individuals, we measured alpha2
expression levels on platelets and analyzed platelet adhesion to collagen under
flow conditions. A sulfonamide-type small-molecule inhibitor of alpha2beta1
integrin decreased average platelet adhesion in individuals with the C/T807T
genotype but not in those harboring C807C. Thus, genotype can be used to select a
human subpopulation that has the highest probability of showing a positive
response to alpha2beta1 inhibitors.
PMID- 26556303
TI - Quantitative monitoring of the removal of non-encapsulated material external to
filled carbon nanotube samples.
AB - The endohedral functionalization of carbon nanotubes with both organic and
inorganic materials allows the development of tailored functional hybrids whose
properties benefit from the synergistic effects of the constituent compounds.
Bulk filling of carbon nanotubes (CNTs) results in samples that contain a large
amount of non-encapsulated material external to the CNTs. The presence of the
external material is detrimental to the processing and application of the
resulting hybrids. Here we introduce the use of UV-Vis spectroscopy to monitor
the cleaning process, i.e. the elimination of non-encapsulated compounds. Chrome
azurol S has been employed to assess the bulk removal of external samarium(iii)
chloride from filled single-walled carbon nanotubes. Chrome azurol S is of
interest since it can be used to quantify a large variety of materials in a fast,
accurate and reliable manner. The parameters that control the cleaning process
have been optimized, including the time, temperature, volume and sonication, to
achieve a fast and complete removal of the external material.
PMID- 26556302
TI - Efficient Gene Transfer in Chick Retinas for Primary Cell Culture Studies: An Ex
ovo Electroporation Approach.
AB - The cone photoreceptor-enriched cultures derived from embryonic chick retinas
have become an indispensable tool for researchers around the world studying the
biology of retinal neurons, particularly photoreceptors. The applications of this
system go beyond basic research, as they can easily be adapted to high throughput
technologies for drug development. However, genetic manipulation of retinal
photoreceptors in these cultures has proven to be very challenging, posing an
important limitation to the usefulness of the system. We have recently developed
and validated an ex ovo plasmid electroporation technique that increases the rate
of transfection of retinal cells in these cultures by five-fold compared to other
currently available protocols(1). In this method embryonic chick eyes are
enucleated at stage 27, the RPE is removed, and the retinal cup is placed in a
plasmid-containing solution and electroporated using easily constructed custom
made electrodes. The retinas are then dissociated and cultured using standard
procedures. This technique can be applied to overexpression studies as well as to
the downregulation of gene expression, for example via the use of plasmid-driven
RNAi technology, commonly achieving transgene expression in 25% of the
photoreceptor population. The video format of the present publication will make
this technology easily accessible to researchers in the field, enabling the study
of gene function in primary retinal cultures. We have also included detailed
explanations of the critical steps of this procedure for a successful outcome and
reproducibility.
PMID- 26556304
TI - Expression of metabolic sensing receptors in adipose tissues of periparturient
dairy cows with differing extent of negative energy balance.
AB - We recently showed that the mRNA expression of genes encoding for specific
nutrient sensing receptors, namely the free fatty acid receptors (FFAR) 1, 2, 3,
and the hydroxycarboxylic acid receptor (HCAR) 2, undergo characteristic changes
during the transition from late pregnancy to lactation in certain adipose tissues
(AT) of dairy cows. We hypothesised that divergent energy intake achieved by
feeding diets with either high or low portions of concentrate (60% v. 30%
concentrate on a dry matter basis) will alter the mRNA expression of FFAR 1, 2,
3, as well as HCAR2 in subcutaneous (SCAT) and retroperitoneal AT (RPAT) of dairy
cows in the first 3 weeks postpartum (p.p.). For this purpose, 20 multiparous
German Holstein cows were allocated to either the high concentrate ration (HC,
n=10) or the low concentrate ration (LC, n=10) from day 1 to 21 p.p. Serum
samples and biopsies of SCAT (tail head) and RPAT (above the peritoneum) were
obtained at day -21, 1 and 21 relative to parturition. The mRNA abundances were
measured by quantitative PCR. The concentrations of short-chain fatty acid (SCFA)
in serum were measured by gas chromatography-flame ionisation detector. The FFAR1
and FFAR2 mRNA abundance in RPAT was higher at day -21 compared to day 1. At day
21 p.p. the FFAR2 mRNA abundance was 2.5-fold higher in RPAT of the LC animals
compared to the HC cows. The FFAR3 mRNA abundance tended to lower values in SCAT
of the LC group at day 21. The HCAR2 mRNA abundance was neither affected by time
nor by feeding in both AT. On day 21 p.p. the HC group had 1.7-fold greater serum
concentrations of propionic acid and lower concentrations of acetic acid (trend:
1.2-fold lower) compared with the LC group. Positive correlations between the
mRNA abundance of HCAR2 and peroxisome proliferator-activated receptor gamma-2
(PPARG2) indicate a link between HCAR2 and PPARG2 in both AT. We observed an
inverse regulation of FFAR2 and FFAR3 expression over time and both receptors
also showed an inverse mRNA abundance as induced by different portions of
concentrate. Thus, indicating divergent nutrient sensing of both receptors in AT
during the transition period. We propose that the different manifestation of
negative EB in both groups at day 21 after parturition affect at least FFAR2
expression in RPAT.
PMID- 26556305
TI - A Nanobody Activation Immunotherapeutic that Selectively Destroys HER2-Positive
Breast Cancer Cells.
AB - We report a rationally designed nanobody activation immunotherapeutic that
selectively redirects anti-dinitrophenyl (anti-DNP) antibodies to the surface of
HER2-positive breast cancer cells, resulting in their targeted destruction by
antibody-dependent cellular cytotoxicity. As nanobodies are relatively easy to
express, stable, can be humanized, and can be evolved to potently and selectively
bind virtually any disease-relevant cell surface receptor, we anticipate broad
utility of this therapeutic strategy.
PMID- 26556306
TI - In vitro testing of thiolated poly(aspartic acid) from ophthalmic formulation
aspects.
AB - Ocular drug delivery formulations must meet anatomical, biopharmaceutical,
patient-driven and regulatory requirements. Mucoadhesive polymers can serve as a
better alternative to currently available ophthalmic formulations by providing
improved bioavailability. If all requirements are addressed, a polymeric
formulation resembling the tear film of the eye might be the best solution. The
optimum formulation must not have high osmotic activity, should provide
appropriate surface tension, pH and refractive index, must be non-toxic and
should be transparent and mucoadhesive. We would like to highlight the importance
of in vitro polymer testing from a pharmaceutical aspect. We, therefore, carried
out physical-chemical investigations to verify the suitability of certain systems
for ophthalmic formulations. In this work, in situ gelling, mucoadhesive
thiolated poly(aspartic acid)s were tested from ophthalmic formulation aspects.
The results of preformulation measurements indicate that these polymers can be
used as potential carriers in ophthalmic drug delivery.
PMID- 26556307
TI - Speciation of americium in seawater and accumulation in the marine sponge
Aplysina cavernicola.
AB - The fate of radionuclides in the environment is a cause of great concern for
modern society, seen especially in 2011 after the Fukushima accident. Among the
environmental compartments, seawater covers most of the earth's surface and may
be directly or indirectly impacted. The interaction between radionuclides and the
marine compartment is therefore essential for better understanding the transfer
mechanisms from the hydrosphere to the biosphere. This information allows for the
evaluation of the impact on humans via our interaction with the biotope that has
been largely undocumented up to now. In this report, we attempt to make a link
between the speciation of heavy elements in natural seawater and their uptake by
a model marine organism. More specifically, because the interaction of actinides
with marine invertebrates has been poorly studied, the accumulation in a
representative member of the Mediterranean coralligenous habitat, the sponge
Aplysina cavernicola, was investigated and its uptake curve exposed to a
radiotracer (241)Am was estimated using a high-purity Ge gamma spectrometer. But
in order to go beyond the phenomenological accumulation rate, the speciation of
americium(III) in seawater must be assessed. The speciation of (241)Am (and
natural europium as its chemically stable surrogate) in seawater was determined
using a combination of different techniques: Time-Resolved Laser-Induced
Fluorescence (TRLIF), Extended X-ray Absorption Fine Structure (EXAFS) at the
LIII edge, Attenuated Total Reflectance Fourier Transform Infrared (ATR-FTIR)
spectroscopy and Scanning Electron Microscopy (SEM) and the resulting data were
compared with the speciation modeling. In seawater, the americium(III) complex
(as well as the corresponding europium complex, although with conformational
differences) was identified as a ternary sodium biscarbonato complex, whose
formula can be tentatively written as NaAm(CO3)2.nH2O. It is therefore this
chemical form of americium that is accumulated by the sponge A. cavernicola.
PMID- 26556308
TI - Migration and young people's mental health in Canada: A scoping review.
AB - BACKGROUND: Young people's mental health is a public health priority. Given the
influences of migration and resettlement on mental health, synthesis of current
research with young people from migrant backgrounds can help inform mental health
promotion initiatives that account for and are responsive to their needs. AIMS:
This article distils the results of a review of published literature on the
mental health of adolescent immigrants (ages 10-19) living in Canada. METHOD:
Scoping review methods were used to define inclusion and exclusion criteria;
inform the search strategies; and extract and synthesize key findings. RESULTS:
Fourteen articles met criteria for inclusion. Analysis of the studies indicate
diversity in mental health indicators, e.g., mental distress, emotional problems
and behavioral problems, as well as a wide range of influences on mental health
from age at migration and length of stay to place of residence, income and
discrimination. CONCLUSIONS: Findings support the need to account for the array
of influences on young people's mental health in relation to migration and to
augment initiatives beyond the level of individual intervention.
PMID- 26556310
TI - Characterization of genetic variation in TLR8 in relation to allergic rhinitis.
AB - BACKGROUND: A previous investigation of all 10 TLR genes for associations with
allergic rhinitis (AR) detected a number of significant SNPs in the TLR8 locus.
The associations indicated that an accumulation of rare variants could explain
the signal. This study therefore searches for rare variants in the TLR8 region
and also investigates the reproducibility of previous SNP associations. METHODS:
The TLR8 gene was resequenced in 288 AR patients from Malmo and the data were
compared with publically available data. Seven previously AR-associated SNPs from
TLR8 were analyzed for AR associations in 422 AR patients and 859 controls from
the BAMSE cohort. The associations detected in present and previous studies were
compared. RESULTS: Sequencing detected 13 polymorphisms (three promotor and 10
coding) among 288 AR patients. Four of the coding polymorphisms were rare (MAF <
1%) and three of those were novel. Two coding polymorphisms were benign missense
mutations and the rest were synonymous. Comparison with 1000Genomes and Exome
Aggregation Consortium data revealed no accumulation of rare variants in the AR
cases. The AR association tests made using the BAMSE cohort yielded five P-values
<0.05. Tests of IgE levels yielded four significant SNP associations to birch
pollen. Comparing results between different populations revealed opposing risk
alleles, different gender effects, and response to different allergens in the
different populations. CONCLUSIONS: Rare variants in TLR8 are not associated with
AR. Comparison of present and previous association studies reveals contradictory
results for common variants. Thus, no associations exist between genetic
variation in TLR8 and AR.
PMID- 26556311
TI - Phenylephrine preconditioning in embryonic heart H9c2 cells is mediated by up
regulation of SUR2B/Kir6.2: A first evidence for functional role of SUR2B in
sarcolemmal KATP channels and cardioprotection.
AB - ATP-sensitive K(+) (KATP) channels were originally described in cardiomyocytes,
where physiological levels of intracellular ATP keep them in a closed state.
Structurally, these channels are composed of pore-forming inward rectifier,
Kir6.1 or Kir6.2, and a regulatory, ATP-binding subunit, SUR1, SUR2A or SUR2B.
SUR1 and Kir6.2 form pancreatic type of KATP channels, SUR2A and Kir6.2 form
cardiac type of KATP channels, SUR2B and Kir6.1 form vascular smooth muscle type
of KATP channels. The presence of SUR2B has been described in cardiomyocytes, but
its functional significance and role has remained unknown. Pretreatment with
phenylephrine (100nM) for 24h increased mRNA levels of SUR2B and Kir6.2, without
affecting those levels of SUR1, SUR2A and Kir6.1 in embryonic heart H9c2 cells.
Such increase was associated with increased K(+) current through KATP channels
and Kir6.2/SUR2B protein complexes as revealed by whole cell patch clamp
electrophysiology and immunoprecipitation/Western blotting respectively.
Pretreatment with phenylephrine (100nM) generated a cellular phenotype that
acquired resistance to chemical hypoxia induced by 2,4-dinitrophenol (DNP; 10mM),
which was accompanied by increased in K(+) current in response to DNP (10mM).
Cytoprotection afforded by phenylephrine (100nM) was abolished by infection of
H9c2 cells with adenovirus containing Kir6.2AFA, a mutant form of Kir6.2 with
largely reduced K(+) conductance. Taking all together, the present findings
demonstrate that the activation of alpha1-adrenoceptors up-regulates SUR2B/Kir6.2
to confer cardioprotection. This is the first account of possible physiological
role of SUR2B in cardiomyocytes.
PMID- 26556312
TI - Intentional formation of a protein corona on nanoparticles: Serum concentration
affects protein corona mass, surface charge, and nanoparticle-cell interaction.
AB - The protein corona, which immediately is formed after contact of nanoparticles
and biological systems, plays a crucial role for the biological fate of
nanoparticles. In the here presented study we describe a strategy to control the
amount of corona proteins which bind on particle surface and the impact of such a
protein corona on particle-cell interactions. For corona formation,
polyethyleneimine (PEI) coated magnetic nanoparticles (MNP) were incubated in a
medium consisting of fetal calf serum (FCS) and cell culture medium. To modulate
the amount of proteins bind to particles, the composition of the incubation
medium was varied with regard to the FCS content. The protein corona mass was
estimated and the size distribution of the participating proteins was determined
by means of sodium dodecyl sulfate polyacrylamide gel electrophoresis (SDS-PAGE).
Additionally, the zeta potential of incubated particles was measured. Human blood
brain barrier-representing cell line HBMEC was used for in vitro incubation
experiments. To investigate the consequences of the FCS dependent protein corona
formation on the interaction of MNP and cells flow cytometry and laser scanning
microscopy were used. Zeta potential as well as SDS-PAGE clearly reveal an
increase in the amount of corona proteins on MNP with increasing amount of FCS in
incubation medium. For MNP incubated with lower FCS concentrations especially
medium-sized proteins of molecular weights between 30kDa and 100kDa could be
found within the protein corona, whereas for MNP incubated within higher FCS
concentrations the fraction of corona proteins of 30kDa and less increased. The
presence of the protein corona reduces the interaction of PEI-coated MNP with
HBMEC cells within a 30min-incubation.
PMID- 26556313
TI - Spiro-oxindole derivative 5-chloro-4',5'-diphenyl-3'-(4-(2-(piperidin-1-yl)
ethoxy) benzoyl) spiro[indoline-3,2'-pyrrolidin]-2-one triggers apoptosis in
breast cancer cells via restoration of p53 function.
AB - Breast cancer remains a significant health problem due to the involvement of
multiple aberrant and redundant signaling pathways in tumorigenesis and the
development of resistance to the existing therapeutic agents. Therefore, the
search for novel chemotherapeutic agents for effective management of breast
cancer is still warranted. In an effort to develop new anti-breast cancer agents,
we have synthesized and identified novel spiro-oxindole derivative G613 i.e. 5
chloro-4',5'-diphenyl-3'-(4-(2-(piperidin-1-yl) ethoxy) benzoyl) spiro[indoline
3,2'-pyrrolidin]-2-one, which has shown growth inhibitory activity in breast
cancer cells. The present study was aimed to explore the mechanism of anti
tumorigenic action of this newly identified spiro-oxindole compound. Compound
G613 inhibited the Mdm2-p53 interaction in breast cancer cells and tumor
xenograft. It caused restoration of p53 function by activating its promoter
activity, triggering its nuclear accumulation and preventing its ubiquitination
and proteasomal degradation. Supportively, molecular docking studies revealed
considerable homology in the docking mode of G613 and the known Mdm2 inhibitor
Nutlin-3, to p53 binding pocket of Mdm2. The activation of p53 led to
upregulation of p53 dependent pro-apoptotic proteins, Bax, Pumaalpha and Noxa and
enhanced interaction of p53 with bcl2 member proteins thus triggering both
transcription-dependent and transcription-independent apoptosis, respectively.
Additionally, the compound decreased estrogen receptor activity through
sequestration of estrogen receptor alpha by p53 thereby causing a decreased
transcriptional activation and expression of proliferation markers. In
conclusion, G613 represents a potent small-molecule inhibitor of the Mdm2-p53
interaction and can serve as a promising lead for developing a new class of anti
cancer therapy for breast cancer patients.
PMID- 26556314
TI - APC functions at the centrosome to stimulate microtubule growth.
AB - The adenomatous polyposis coli (APC) tumor suppressor is multi-functional. APC is
known to localize at the centrosome, and in mitotic cells contributes to
formation of the mitotic spindle. To test whether APC contributes to nascent
microtubule (MT) growth at interphase centrosomes, we employed MT regrowth assays
in U2OS cells to measure MT assembly before and after nocodazole treatment and
release. We showed that siRNA knockdown of full-length APC delayed both initial
MT aster formation and MT elongation/regrowth. In contrast, APC-mutant SW480
cancer cells displayed a defect in MT regrowth that was unaffected by APC
knockdown, but which was rescued by reconstitution of full-length APC. Our
findings identify APC as a positive regulator of centrosome MT initial assembly
and suggest that this process is disrupted by cancer mutations. We confirmed that
full-length APC associates with the MT-nucleation factor gamma-tubulin, and found
that the APC cancer-truncated form (1-1309) also bound to gamma-tubulin through
APC amino acids 1-453. While binding to gamma-tubulin may help target APC to the
site of MT nucleation complexes, additional C-terminal sequences of APC are
required to stimulate and stabilize MT growth.
PMID- 26556316
TI - Synthesis, Hydrolysis, and Protonation-Promoted Intramolecular Reductive
Breakdown of Potential NRTIs: Stavudine alpha-P-Borano-gamma-P-N-l
tryptophanyltriphosphates.
AB - Phosphorus-modified prodrugs of dideoxynucleoside triphosphates (ddNTPs) have
shown promise as pronucleotide strategies for improving antiviral activity
compared to their parent dideoxynucleosides. Borane modified NTPs offer a
promising choice as nucleoside/nucleotide reverse transcriptase inhibitors
(NRTIs). However, the availability of alpha-P-borano-gamma-P-substituted NTP
analogs remains limited due to challenges with synthesis and purification. Here,
we report the chemical synthesis and stability of a new potential class of NRTI
prodrugs: stavudine (d4T) 5'-alpha-P-borano-gamma-P-N-L
tryptophanyltriphosphates. One-pot synthesis of these compounds was achieved via
a modified cyclic trimetaphosphate approach. Pure Rp and Sp diastereomers were
obtained after HPLC separation. Based on LC-MS analysis, we report degradation
pathways, half-lives (5-36 days) and mechanisms arising from structural
differences to generate the corresponding borano tri- and di-phosphates, and H
phosphonate, via several parallel routes in buffer at physiologically relevant pH
and temperature. Here, the major hydrolysis products, d4T alpha-P
boranotriphosphate Rp and Sp isomers, were isolated by HPLC and identified with
spectral data. We first propose that one of the major degradation products, d4T H
phosphonate, was generated from the d4T pronucleotides via a protonation-promoted
intramolecular reduction followed by a second step nucleophilic attack. This
report could provide valuable information for pronucleotide-based drug design in
terms of selective release of target nucleotides.
PMID- 26556315
TI - Cladribine Analogues via O6-(Benzotriazolyl) Derivatives of Guanine Nucleosides.
AB - Cladribine, 2-chloro-2'-deoxyadenosine, is a highly efficacious, clinically used
nucleoside for the treatment of hairy cell leukemia. It is also being evaluated
against other lymphoid malignancies and has been a molecule of interest for well
over half a century. In continuation of our interest in the amide bond-activation
in purine nucleosides via the use of (benzotriazol-1yl
oxy)tris(dimethylamino)phosphonium hexafluorophosphate, we have evaluated the use
of O6-(benzotriazol-1-yl)-2'-deoxyguanosine as a potential precursor to
cladribine and its analogues. These compounds, after appropriate deprotection,
were assessed for their biological activities, and the data are presented herein.
Against hairy cell leukemia (HCL), T-cell lymphoma (TCL) and chronic lymphocytic
leukemia (CLL), cladribine was the most active against all. The bromo analogue of
cladribine showed comparable activity to the ribose analogue of cladribine
against HCL, but was more active against TCL and CLL. The bromo ribose analogue
of cladribine showed activity, but was the least active among the C6-NH2
containing compounds. Substitution with alkyl groups at the exocyclic amino group
appears detrimental to activity, and only the C6 piperidinyl cladribine analogue
demonstrated any activity. Against adenocarcinoma MDA-MB-231 cells, cladribine
and its ribose analogue were most active.
PMID- 26556319
TI - A Click Chemistry Approach towards Flavin-Cyclodextrin Conjugates-Bioinspired
Sulfoxidation Catalysts.
AB - A click chemistry approach based on the reaction between alkynylflavins and
mono(6-azido-6-deoxy)-beta-cyclodextrin has proven to be a useful tool for the
synthesis of flavin-cyclodextrin conjugates studied as monooxygenase mimics in
enantioselective sulfoxidations.
PMID- 26556317
TI - Purification and Partial Characterization of beta-Glucosidase in Chayote (Sechium
edule).
AB - beta-Glucosidase (EC 3.2.1.21) is a prominent member of the GH1 family of
glycoside hydrolases. The properties of this beta-glucosidase appear to include
resistance to temperature, urea, and iodoacetamide, and it is activated by 2-ME,
similar to other members. beta-Glucosidase from chayote (Sechium edule) was
purified by ionic-interchange chromatography and molecular exclusion
chromatography. Peptides detected by LC-ESI-MS/MS were compared with other beta
glucosidases using the BLAST program. This enzyme is a 116 kDa protein composed
of two sub-units of 58 kDa and shows homology with Cucumis sativus beta
glucosidase (NCBI reference sequence XP_004154617.1), in which seven peptides
were found with relative masses ranging from 874.3643 to 1587.8297. The stability
of beta-glucosidase depends on an initial concentration of 0.2 mg/mL of protein
at pH 5.0 which decreases by 33% in a period of 30 h, and then stabilizes and is
active for the next 5 days (pH 4.0 gives similar results). One hundred MUg/mL
beta-D-glucose inhibited beta-glucosidase activity by more than 50%. The enzyme
had a Km of 4.88 mM with p-NPG and a Kcat of 10,000 min(-1). The optimal
conditions for the enzyme require a pH of 4.0 and a temperature of 50 degrees C.
PMID- 26556321
TI - Polyphenolic Compositions and Chromatic Characteristics of Bog Bilberry Syrup
Wines.
AB - Phenolic compounds determine the color quality of fruit wines. In this study, the
phenolic compound content and composition, color characteristics and changes
during 6 months of bottle aging were studied in wines fermented with bog bilberry
syrup under three different pHs. The total anthocyanins and total phenols were
around 15.12-16.23 mg/L and 475.82 to 486.50 mg GAE/L in fresh wines and declined
22%-31% and about 11% in bottle aged wines, respectively. In fresh wines, eight
anthocyanins, six phenolic aids and 14 flavonols, but no flavon-3-ols were
identified; Malvidin-3-O-glucoside, petunidin-3-O-glucoside and delphinium-3-O
glucoside were the predominant pigments; Chlorogentic acid was the most abundant
phenolic acid, and quercetin-3-O-galactoside and myricetin-3-O-galactoside
accounted for nearly 90% of the total flavonols. During 6 months of bottle
storage, the amounts of all the monomeric anthocyanins and phenolic acids were
reduced dramatically, while the glycosidyl flavonols remained constant or were
less reduced and their corresponding aglycones increased a lot. The effects of
aging on blueberry wine color were described as the loss of color intensity with
a dramatic change in color hue, from initial red-purple up to final red-brick
nuances, while the pH of the fermentation matrix was negatively related to the
color stability of aged wine.
PMID- 26556320
TI - Anti-Lymphoma Efficacy Comparison of Anti-Cd20 Monoclonal Antibody-Targeted and
Non-Targeted Star-Shaped Polymer-Prodrug Conjugates.
AB - Here we describe the synthesis and biological properties of two types of star
shaped polymer-doxorubicin conjugates: non-targeted conjugate prepared as long
circulating high-molecular-weight (HMW) polymer prodrugs with a dendrimer core
and a targeted conjugate with the anti-CD20 monoclonal antibody (mAb) rituximab
(RTX). The copolymers were linked to the dendrimer core or to the reduced mAb via
one-point attachment forming a star-shaped structure with a central antibody or
dendrimer surrounded by hydrophilic polymer chains. The anticancer drug
doxorubicin (DOX) was attached to the N-(2-hydroxypropyl)methacrylamide (HPMA)
based copolymer chain in star polymer systems via a pH-labile hydrazone linkage.
Such polymer-DOX conjugates were fairly stable in aqueous solutions at pH 7.4,
and the drug was readily released in mildly acidic environments at pH 5-5.5 by
hydrolysis of the hydrazone bonds. The cytotoxicity of the polymer conjugates was
tested on several CD20-positive or negative human cell lines. Similar levels of
in vitro cytotoxicity were observed for all tested polymer conjugates regardless
of type or structure. In vivo experiments using primary cell-based murine
xenograft models of human diffuse large B-cell lymphoma confirmed the superior
anti-lymphoma efficacy of the polymer-bound DOX conjugate when compared with the
original drug. Targeting with RTX did not further enhance the anti-lymphoma
efficacy relative to the non-targeted star polymer conjugate. Two mechanisms
could play roles in these findings: changes in the binding ability to the CD-20
receptor and a significant loss of the immunological properties of RTX in the
polymer conjugates.
PMID- 26556322
TI - Long-Term Lithium Treatment Increases cPLA2 and iPLA2 Activity in Cultured
Cortical and Hippocampal Neurons.
AB - BACKGROUND: Experimental evidence supports the neuroprotective properties of
lithium, with implications for the treatment and prevention of dementia and other
neurodegenerative disorders. Lithium modulates critical intracellular pathways
related to neurotrophic support, inflammatory response, autophagy and apoptosis.
There is additional evidence indicating that lithium may also affect membrane
homeostasis. OBJECTIVE: To investigate the effect of lithium on cytosolic
phospholipase A2 (PLA2) activity, a key player on membrane phospholipid turnover
which has been found to be reduced in blood and brain tissue of patients with
Alzheimer's disease (AD). METHODS: Primary cultures of cortical and hippocampal
neurons were treated for 7 days with different concentrations of lithium chloride
(0.02 mM, 0.2 mM and 2 mM). A radio-enzymatic assay was used to determine the
total activity of PLA2 and two PLA2 subtypes: cytosolic calcium-dependent
(cPLA2); and calcium-independent (iPLA2). RESULTS: cPLA2 activity increased by
82% (0.02 mM; p = 0.05) and 26% (0.2 mM; p = 0.04) in cortical neurons and by 61%
(0.2 mM; p = 0.03) and 57% (2 mM; p = 0.04) in hippocampal neurons. iPLA2
activity was increased by 7% (0.2 mM; p = 0.04) and 13% (2 mM; p = 0.05) in
cortical neurons and by 141% (0.02 mM; p = 0.0198) in hippocampal neurons.
CONCLUSION: long-term lithium treatment increases membrane phospholipid
metabolism in neurons through the activation of total, c- and iPLA2. This effect
is more prominent at sub-therapeutic concentrations of lithium, and the
activation of distinct cytosolic PLA2 subtypes is tissue specific, i.e., iPLA2 in
hippocampal neurons, and cPLA2 in cortical neurons. Because PLA2 activities are
reported to be reduced in Alzheimer's disease (AD) and bipolar disorder (BD), the
present findings provide a possible mechanism by which long-term lithium
treatment may be useful in the prevention of the disease.
PMID- 26556323
TI - Photostabilizing Efficiency of PVC in the Presence of Schiff Bases as
Photostabilizers.
AB - The photostabilization of polyvinyl chloride (PVC) films by Schiff bases was
investigated. Polyvinyl chloride films containing 0.5 wt % Schiff bases were
produced using the same casting method as that used for additive-free PVC films
from tetrahydrofuran (THF) solvent. The photostabilization activities of these
compounds were determined by monitoring the carbonyl, polyene and hydroxyl
indices with irradiation time. The changes in viscosity average molecular weight
of PVC with irradiation time were also monitored using THF as a solvent. The
quantum yield of chain scission (Phics) for the studied complexes in PVC was
estimated to range between 4.72 and 8.99 * 10(-8). According to the experimental
results, several mechanisms were suggested, depending on the structure of the
additive. Ultra violet (UV) absorption, peroxide decomposition and radical
scavenging were suggested as the photostabilizing mechanisms.
PMID- 26556324
TI - Hazardous Doping for Photo-Electrochemical Conversion: The Case of Nb-Doped Fe2O3
from First Principles.
AB - The challenge of improving the efficiency of photo-electrochemical devices is
often addressed through doping. However, this strategy could harm performance.
Specifically, as demonstrated in a recent experiment, doping one of the most
widely used materials for water splitting, iron (III) oxide (Fe2O3), with niobium
(Nb) can still result in limited efficiency. In order to better understand the
hazardous effect of doping, we use Density Functional Theory (DFT)+U for the case
of Nb-doped Fe2O3. We find a direct correlation between the charge of the dopant,
the charge on surface of the Fe2O3 material, and the overpotential required for
water oxidation reaction. We believe that this work contributes to advancing our
understanding of how to select effective dopants for materials.
PMID- 26556325
TI - Radical Scavenging by Acetone: A New Perspective to Understand Laccase/ABTS
Inactivation and to Recover Redox Mediator.
AB - The biosynthetic utilization of laccase/mediator system is problematic because
the use of organic cosolvent causes significant inhibition of laccase activity.
This work explored how the organic cosolvent impacts on the laccase catalytic
capacity towards 2,2'-azino-bis(3-ethylbenzothiazoline-6-sulfonic acid) (ABTS) in
aqueous solution. Effects of acetone on the kinetic constants of laccase were
determined and the results showed Km and Vmax varied exponentially with
increasing acetone content. Acetone as well as some other cosolvents could
transform ABTS radicals into its reductive form. The content of acetone in media
significantly affected the radical scavenging rates. Up to 95% of the oxidized
ABTS was successfully recovered in 80% (v/v) acetone in 60 min. This allows ABTS
recycles at least six times with 70%-75% of active radicals recovered after each
cycle. This solvent-based recovery strategy may help improve the economic
feasibility of laccase/ABTS system in biosynthesis.
PMID- 26556326
TI - Synthesis, Density Functional Theory (DFT), Urease Inhibition and Antimicrobial
Activities of 5-Aryl Thiophenes Bearing Sulphonylacetamide Moieties.
AB - A variety of novel 5-aryl thiophenes 4a-g containing sulphonylacetamide
(sulfacetamide) groups were synthesized in appreciable yields via Pd[0] Suzuki
cross coupling reactions. The structures of these newly synthesized compounds
were determined using spectral data and elemental analysis. Density functional
theory (DFT) studies were performed using the B3LYP/6-31G (d, p) basis set to
gain insight into their structural properties. Frontier molecular orbital (FMOs)
analysis of all compounds 4a-g was computed at the same level of theory to get an
idea about their kinetic stability. The molecular electrostatic potential (MEP)
mapping over the entire stabilized geometries of the molecules indicated the
reactive sites. First hyperpolarizability analysis (nonlinear optical response)
were simulated at the B3LYP/6-31G (d, p) level of theory as well. The compounds
were further evaluated for their promising antibacterial and anti-urease
activities. In this case, the antibacterial activities were estimated by the agar
well diffusion method, whereas the anti-urease activities of these compounds were
determined using the indophenol method by quantifying the evolved ammonia
produced. The results revealed that all the sulfacetamide derivatives displayed
antibacterial activity against Bacillus subtiles, Escherichia coli,
Staphylococcus aureus, Shigella dysenteriae, Salmonella typhae, Pseudomonas
aeruginosa at various concentrations. Furthermore, the compound 4g N-((5-(4
chlorophenyl)thiophen-2-yl)sulfonyl) acetamide showed excellent urease inhibition
with percentage inhibition activity ~46.23 +/- 0.11 at 15 ug/mL with IC50 17.1
ug/mL. Moreover, some other compounds 4a-f also exhibited very good inhibition
against urease enzyme.
PMID- 26556327
TI - Development and Characterization of Polymorphic Microsatellite Markers for Sedum
sarmentosum (Crassulaceae) and Their Cross-Species Transferability.
AB - Sedum sarmentosum is an important Chinese medicinal herb that exhibits anti
inflammatory, anti-angiogenic and anti-nociceptive properties. However, little is
known about its genetic background. The first set of 14 microsatellite markers
were isolated and characterized for S. sarmentosum using an SSR-enriched library.
Fourteen polymorphic microsatellite markers were acquired with satisfactory
amplifications and a polymorphic pattern in 48 S. sarmentosum individuals. The
number of alleles ranged from 3 to 15. The observed and expected heterozygosities
varied from 0.0833 to 0.8750 and 0.2168 to 0.9063, respectively. Two loci showed
significant departure from the Hardy-Weinberg equilibrium. Cross-species
amplification was carried out in other Sedum species. High rates of cross-species
amplification were observed. The transferability value ranged from 85.7% in S.
lineare to 64.3% in S. ellacombianum. These markers will be valuable for studying
the genetic variation, population structure and germplasm characterization of S.
sarmentosum and related Sedum species.
PMID- 26556328
TI - Production of Nanoemulsions from Palm-Based Tocotrienol Rich Fraction by
Microfluidization.
AB - In the present study, tocotrienol rich fraction (TRF) nanoemulsions were produced
as an alternative approach to improve solubility and absorption of tocotrienols.
In the present study, droplet size obtained after 10 cycles of homogenization
with increasing pressure was found to decrease from 120 to 65.1 nm. Nanoemulsions
stabilized with Tween series alone or emulsifier blend Brij 35:Span 80 (0.6:0.4
w/w) homogenized at 25,000 psi and 10 cycles, produced droplet size less than 100
nm and a narrow size distribution with a polydispersity index (PDI) value lower
than 0.2. However blend of Tween series with Span 80 produced nanoemulsions with
droplet size larger than 200 nm. This work has also demonstrated the amount of
tocols losses in TRF nanoemulsion stabilized Tweens alone or emulsifier blend
Brij 35:Span 80 (0.6:0.4 w/w) ranged between 3%-25%. This can be attributed to
the interfacial film formed surrounding the droplets exhibited different level of
oxidative stability against heat and free radicals created during high pressure
emulsification.
PMID- 26556329
TI - Phenolic Compounds from the Flowers of Bombax malabaricum and Their Antioxidant
and Antiviral Activities.
AB - Three new phenolic compounds 1-3 and twenty known ones 4-23 were isolated from
the flowers of Bombax malabaricum. Their chemical structures were elucidated by
spectroscopic analyses (IR, ESI-MS, HR-ESI-MS, 1D- and 2D-NMR) and chemical
reactions. The antioxidant capacities of the isolated compounds were tested using
FRAP and DPPH radical-scavenging assays, and compounds 4, 6, 8, 12, as well as
the new compound 2, exhibited stronger antioxidant activities than ascorbic acid.
Furthermore, all of compounds were tested for their antiviral activities against
RSV by the CPE reduction assay and plaque reduction assay. Compounds 4, 10, 12
possess in vitro antiviral activities, and compound 10 exhibits potent anti-RSV
effects, comparable to the positive control ribavirin.
PMID- 26556330
TI - Water-Soluble Lignins from Different Bioenergy Crops Stimulate the Early
Development of Maize (Zea mays, L.).
AB - The molecular composition of water-soluble lignins isolated from four non-food
bioenergy crops (cardoon CAR, eucalyptus EUC, and two black poplars RIP and LIM)
was characterized in detail, and their potential bioactivity towards maize
germination and early growth evaluated. Lignins were found to not affect seed
germination rates, but stimulated the maize seedling development, though to a
different extent. RIP promoted root elongation, while CAR only stimulated the
length of lateral seminal roots and coleoptile, and LIM improved only the
coleoptile development. The most significant bioactivity of CAR was related to
its large content of aliphatic OH groups, C-O carbons and lowest hydrophobicity,
as assessed by (31)P-NMR and (13)C-CPMAS-NMR spectroscopies. Less bioactive RIP
and LIM lignins were similar in composition, but their stimulation of maize
seedling was different. This was accounted to their diverse content of aliphatic
OH groups and S- and G-type molecules. The poorest bioactivity of the EUC lignin
was attributed to its smallest content of aliphatic OH groups and largest
hydrophobicity. Both these features may be conducive of a EUC conformational
structure tight enough to prevent its alteration by organic acids exuded from
vegetal tissues. Conversely the more labile conformational arrangements of the
other more hydrophilic lignin extracts promoted their bioactivity by releasing
biologically active molecules upon the action of exuded organic acids. Our
findings indicate that water-soluble lignins from non-food crops may be
effectively used as plant biostimulants, thus contributing to increase the
economic and ecological liability of bio-based industries.
PMID- 26556331
TI - Immobilization of Cyclooxygenase-2 on Silica Gel Microspheres: Optimization and
Characterization.
AB - In this study, immobilized COX-2 was successfully constructed through
glutaraldehyde-mediated covalent coupling on functional silica gel microspheres.
The optimum conditions, properties, and morphological characteristics of the
immobilized COX-2 were investigated. The optimal immobilization process was as
follows: about 0.02 g of aminated silica gel microspheres was activated by 0.25%
GA solution for 6 h and mixed with 5 U of free recombinant COX-2 solution. Then,
the mixture was shaken for 8 h at 20 degrees C. Results showed that the
immobilized COX-2 produced by this method exhibited excellent biocatalytic
activity, equivalent to that of free COX-2 under the test conditions employed.
The best biocatalytic activity of immobilized COX-2 appeared at pH 8.0 and still
maintained at about 84% (RSD < 7.39%, n = 3) at pH 10.0. For temperature
tolerance, immobilized COX-2 exhibited its maximum biocatalytic activity at 40
degrees C and about 68% (RSD < 6.99%, n = 3) of the activity was maintained at 60
degrees C. The immobilized COX-2 retained over 85% (RSD < 7.26%, n = 3) of its
initial biocatalytic activity after five cycles, and after 10 days storage, the
catalytic activity of immobilized COX-2 still maintained at about 95% (RSD <
3.08%, n = 3). These characteristics ensured the convenient use of the
immobilized COX-2 and reduced its production cost.
PMID- 26556332
TI - Efficient Syntheses of 1,2,3-Triazoloamide Derivatives Using Solid- and Solution
Phase Synthetic Approaches.
AB - Efficient synthetic routes for the preparation of secondary and tertiary 1,2,3
triazoloamide derivatives were developed. A secondary alpha-1,2,3-triazoloamide
library was constructed and expanded by a previously developed solid-phase
synthetic route and a tertiary 1,2,3-triazoloamide library was constructed by a
parallel solution-phase synthetic route. The synthetic routes rely on amide
formation with secondary amines and chloro-acid chlorides; SN2 reaction with
sodium azide; and the selective [3 + 2] Huisgen cycloaddition with appropriate
terminal alkynes. The target secondary and tertiary 1,2,3-triazoloamide
derivatives were obtained with three-diversity points in excellent overall yields
and purities using the reported solid- and solution-phase synthetic routes,
respectively.
PMID- 26556333
TI - Bitter Gentian Teas: Nutritional and Phytochemical Profiles, Polysaccharide
Characterisation and Bioactivity.
AB - As a result of the wide distribution of herbal teas the data on nutritional
characterisation, chemical profile and biological activity of these products are
required. The decoctions of Gentiana algida, G. decumbens, G. macrophylla and G.
triflora herb teas were nutritionally characterized with respect to their
macronutrients, demonstrating the predominance of polysaccharides and low lipid
content. Gentian decoctions were also submitted to a microcolumn RP-HPLC-UV
analysis of phytochemicals demonstrating a high content of iridoids (177.18
641.04 MUg/mL) and flavonoids (89.15-405.71 MUg/mL). Additionally, mangiferin was
detected in samples of G. triflora tea (19.89 MUg/mL). Five free sugars
(fructose, glucose, sucrose, gentiobiose, gentianose) were identified in all
gentian teas studied, as well as six organic acids (malic, citric, tartaric,
oxalic, succinic, quinic). Pectic polysaccharides with a high content of
rhamnogalacturonans and arabinogalactans were also identified and characterized
in gentian decoctions for the first time. Gentian tea decoctions and their
specific compounds (gentiopicroside, loganic acid-6'-O-beta-d-glucoside,
isoorientin, isoorientin-4'-O-beta-d-glucoside, mangiferin, water-soluble
polysaccharides) showed a promising antimicrobial, anti-inflammatory and
antioxidant potentials. Evidences obtained indicate the prospective use of
gentian herb teas as food products and medicines.
PMID- 26556334
TI - Constituents and Pharmacological Activities of Myrcia (Myrtaceae): A Review of an
Aromatic and Medicinal Group of Plants.
AB - Myrcia is one of the largest genera of the economically important family
Myrtaceae. Some of the species are used in folk medicine, such as a group known
as "pedra-hume-caa" or "pedra-ume-caa" or "insulina vegetal" (insulin plant) that
it is used for the treatment of diabetes. The species are an important source of
essential oils, and most of the chemical studies on Myrcia describe the chemical
composition of the essential oils, in which mono- and sesquiterpenes are
predominant. The non-volatile compounds isolated from Myrcia are usually
flavonoids, tannins, acetophenone derivatives and triterpenes. Anti-inflammatory,
antinociceptive, antioxidant, antimicrobial activities have been described to
Myrcia essential oils, while hypoglycemic, anti-hemorrhagic and antioxidant
activities were attributed to the extracts. Flavonoid glucosides and acetophenone
derivatives showed aldose reductase and alpha-glucosidase inhibition, and could
explain the traditional use of Myrcia species to treat diabetes. Antimicrobial
and anti-inflammatory are some of the activities observed for other isolated
compounds from Myrcia.
PMID- 26556336
TI - Gas-Phase Thermal Tautomerization of Imidazole-Acetic Acid: Theoretical and
Computational Investigations.
AB - The gas-phase thermal tautomerization reaction between imidazole-4-acetic (I) and
imidazole-5-acetic (II) acids was monitored using the traditional hybrid
functional (B3LYP) and the long-range corrected functionals (CAM-B3LYP and
omegaB97XD) with 6-311++G** and aug-cc-pvdz basis sets. The roles of the long
range and dispersion corrections on their geometrical parameters, thermodynamic
functions, kinetics, dipole moments, Highest Occupied Molecular Orbital-Lowest
Unoccupied Molecular Orbital (HOMO-LUMO) energy gaps and total
hyperpolarizability were investigated. All tested levels of theory predicted the
preference of I over II by 0.750-0.877 kcal/mol. The origin of predilection of I
is assigned to the H-bonding interaction (nN8->sigma*O14-H15). This interaction
stabilized I by 15.07 kcal/mol. The gas-phase interconversion between the two
tautomers assumed a 1,2-proton shift mechanism, with two transition states (TS),
TS1 and TS2, having energy barriers of 47.67-49.92 and 49.55-52.69 kcal/mol,
respectively, and an sp3-type intermediate. A water-assisted 1,3-proton shift
route brought the barrier height down to less than 20 kcal/mol in gas-phase and
less than 12 kcal/mol in solution. The relatively high values of total
hyperpolarizability of I compared to II were interpreted and discussed.
PMID- 26556337
TI - Synthesis of Polyamidoamine Dendrimer for Encapsulating Tetramethylscutellarein
for Potential Bioactivity Enhancement.
AB - The biomedical potential of flavonoids is normally restricted by their low water
solubility. However, little has been reported on their encapsulation into
polyamidoamine (PAMAM) dendrimers to improve their biomedical applications.
Generation four (G4) PAMAM dendrimer containing ethylenediaminetetraacetic acid
core with acrylic acid and ethylenediamine as repeating units was synthesized by
divergent approach and used to encapsulate a flavonoid tetramethylscutellarein
(TMScu, 1) to study its solubility and in vitro release for potential bioactivity
enhancement. The as-synthesized dendrimer and the dendrimer-TMScu complex were
characterized by spectroscopic and spectrometric techniques. The encapsulation of
1 into dendrimer was achieved by a co-precipitation method with the encapsulation
efficiency of 77.8% +/- 0.69% and a loading capacity of 6.2% +/- 0.06%. A phase
solubility diagram indicated an increased water solubility of 1 as a function of
dendrimer concentration at pH 4.0 and 7.2. In vitro release of 1 from its
dendrimer complex indicated high percentage release at pH 4.0. The stability
study of the TMScu-dendrimer at 0, 27 and 40 degrees C showed the formulations
to be stable when stored in cool and dark conditions compared to those stored in
light and warmer temperatures. Overall, PAMAM dendrimer-G4 is capable of
encapsulating 1, increasing its solubility and thus could enhance its
bioactivity.
PMID- 26556335
TI - Isolation and Culture of Pig Spermatogonial Stem Cells and Their in Vitro
Differentiation into Neuron-Like Cells and Adipocytes.
AB - Spermatogonial stem cells (SSCs) renew themselves throughout the life of an
organism and also differentiate into sperm in the adult. They are multipopent and
therefore, can be induced to differentiate into many cells types in vitro. SSCs
from pigs, considered an ideal animal model, are used in studies of male
infertility, regenerative medicine, and preparation of transgenic animals. Here,
we report on a culture system for porcine SSCs and the differentiation of these
cells into neuron-like cells and adipocytes. SSCs and Sertoli cells were isolated
from neonatal piglet testis by differential adhesion and SSCs were cultured on a
feeder layer of Sertoli cells. Third-generation SSCs were induced to
differentiate into neuron-like cells by addition of retinoic acid, beta
mercaptoethanol, and 3-isobutyl-1-methylxanthine (IBMX) to the induction media
and into adipocytes by the addition of hexadecadrol, insulin, and IBMX to the
induction media. The differentiated cells were characterized by biochemical
staining, qRT-PCR, and immunocytochemistry. The cells were positive for SSC
markers, including alkaline phosphatase and SSC-specific genes, consistent with
the cells being undifferentiated. The isolated SSCs survived on the Sertoli cells
for 15 generations. Karyotyping confirmed that the chromosomal number of the SSCs
were normal for pig (2n = 38, n = 19). Pig SSCs were successfully induced into
neuron-like cells eight days after induction and into adipocytes 22 days after
induction as determined by biochemical and immunocytochemical staining. qPCR
results also support this conclusion. The nervous tissue markers genes, Nestin
and beta-tubulin, were expressed in the neuron-like cells and the adipocyte
marker genes, PPARgamma and C/EBPalpha, were expressed in the adipocytes.
PMID- 26556338
TI - Carbon Fluxes between Primary Metabolism and Phenolic Pathway in Plant Tissues
under Stress.
AB - Higher plants synthesize an amazing diversity of phenolic secondary metabolites.
Phenolics are defined secondary metabolites or natural products because,
originally, they were considered not essential for plant growth and development.
Plant phenolics, like other natural compounds, provide the plant with specific
adaptations to changing environmental conditions and, therefore, they are
essential for plant defense mechanisms. Plant defensive traits are costly for
plants due to the energy drain from growth toward defensive metabolite
production. Being limited with environmental resources, plants have to decide how
allocate these resources to various competing functions. This decision brings
about trade-offs, i.e., promoting some functions by neglecting others as an
inverse relationship. Many studies have been carried out in order to link an
evaluation of plant performance (in terms of growth rate) with levels of defense
related metabolites. Available results suggest that environmental stresses and
stress-induced phenolics could be linked by a transduction pathway that involves:
(i) the proline redox cycle; (ii) the stimulated oxidative pentose phosphate
pathway; and, in turn, (iii) the reduced growth of plant tissues.
PMID- 26556339
TI - Accumulation and Phosphorylation of RecQ-Mediated Genome Instability Protein 1
(RMI1) at Serine 284 and Serine 292 during Mitosis.
AB - Chromosome instability usually leads to tumorigenesis. Bloom syndrome (BS) is a
genetic disease associated with chromosome instability. The BS gene product, BLM,
has been reported to function in the spindle assembly checkpoint (SAC) to prevent
chromosome instability. BTR complex, composed of BLM, topoisomerase IIIalpha
(Topo IIIalpha), RMI1 (RecQ-mediated genome instability protein 1, BLAP75) and
RMI2 (RecQ-mediated genome instability protein 2, BLAP18), is crucial for
maintaining genome stability. Recent work has demonstrated that RMI2 also plays
critical role in SAC. However, little is know about RMI1 regulation during the
cell cycle. Here we present that RMI1 protein level does not change through G1, S
and G2 phases, but significantly increases in M phase. Moreover, phosphorylation
of RMI1 occurs in mitosis. Upon microtubule-disturbing agent, RMI1 is
phosphorylated primarily at the sites of Serine 284 and Serine 292, which does
not interfere with the formation of BTR complex. Additionally, this
phosphorylation is partially reversed by roscovitine treatment, implying cycling
dependent kinase 1 (CDK1) might be one of the upstream kinases.
PMID- 26556340
TI - Roles of Sestrin2 and Ribosomal Protein S6 in Transient Global Ischemia-Induced
Hippocampal Neuronal Injury.
AB - Recent studies suggested that sestrin2 is a crucial modulator for the production
of reactive oxygen species (ROS). In addition, sestrin2 may also regulate
ribosomal protein S6 (RpS6), a molecule important for protein synthesis, through
the effect of mammalian target of rapamycin (mTOR) complex that is pivotal for
longevity. However, the roles of sestrin2 in cerebral ischemia, in which
oxidative stress is one of the major pathogenic mechanisms, are still less
understood. In this study, we hypothesized that sestrin2 may protect hippocampal
CA1 neurons against transient global ischemia (TGI)-induced apoptosis by
regulating RpS6 phosphorylation in rats. We found that sestrin2 expression was
progressively increased in the hippocampal CA1 subfield 1-48 h after TGI,
reaching the maximal level at 24 h, and declined thereafter. Further, an
increased extent of RpS6 phosphorylation, but not total RpS6 protein level, was
observed in the hippocampal CA1 subfield after TGI. The sestrin2 siRNA, which
substantially blocked the expression of TGI-induced sestrin2, also abolished RpS6
phosphorylation. TGI with reperfusion may induce oxidative stress with the
resultant formation of 8-hydroxy-deoxyguanosine (8-OHdG). We found that sestrin2
siRNA further augmented the formation of 8-OHdG induced by TGI with reperfusion
for 4 h. Consistently, sestrin2 siRNA also enhanced apoptosis induced by TGI with
reperfusion for 48 h based on the analysis of DNA fragmentation by agarose gel
electrophoresis, DNA fragmentation sandwich ELISA, and the terminal
deoxynucleotidyl transferase-mediated dUTP-biotin nick end labeling (TUNEL)
assay. Together these findings indicated that TGI-induced sestrin2 expression
contributed to RpS6 phosphorylation and neuroprotection against ischemic injury
in the hippocampal CA1 subfield.
PMID- 26556342
TI - The Estrogen Receptor-beta Expression in De Quervain's Disease.
AB - Stenosing tenosynovitis of the first dorsal compartment of the wrist (a.k.a. de
Quervain's disease) is common but how estrogen is involved is still unknown. We
previously reported that inflammation was involved in the pathogenesis of this
ailment. In the present study, we extended our investigation of estrogen receptor
(ER)-beta expression to determine whether estrogen is involved in the
pathogenesis of de Quervain's. Intraoperative retinaculum samples were collected
from 16 patients with the ailment. Specimens were histologically graded by
collagen structure and immunohistochemically evaluated by quantifying the
expression of ER-beta, interleukin (IL)-1beta and IL-6 (inflammatory cytokines),
cyclooxygenase (COX)-2 (an inflammatory enzyme), and vascular endothelial growth
factor (VEGF), and Von Willebrand's factor (vWF). De Quervain's occurs primarily
in women. The female:male ratio in our study was 7:1. We found that ER-beta
expression in the retinaculum was positively correlated with disease grade and
patient age. Additionally, disease severity was associated with inflammatory
factors--IL-1beta and IL-6, COX-2, and VEGF and vWF in tenosynovial tissue. The
greater the levels of ER-beta expression, tissue inflammation, and angiogenesis
are, the more severe de Quervain's disease is. ER-beta might be a useful target
for novel de Quervain's disease therapy.
PMID- 26556343
TI - Long Non-Coding RNAs in Endometrial Carcinoma.
AB - Endometrial carcinoma (EC), the second most common form of gynaecological
malignancy, can be divided into two distinct sub-types: Type I tumours arise from
hyperplastic endometrium and typically effect women around the time of menopause,
whereas type II tumours arise in postmenopausal women from atrophic endometrium.
Long non-coding RNAs (lncRNAs) are a novel class of non-protein coding molecules
that have recently been implicated in the pathogenesis of many types of cancer
including gynaecological tumours. Although they play critical physiological roles
in cellular metabolism, their expression and function are deregulated in EC
compared with paired normal tissue, indicating that they may also participate in
tumour initiation and progression. For instance, the lncRNA MALAT-1 is down
regulated in EC samples compared to normal or hyperplastic endometrium, whereas
the lncRNA OVAL is down-regulated in type II disease but up-regulated in type I
disease. Other notatble lncRNAs such as HOTAIR, H19 and SRA become up-regulated
with increasing EC tumour grade and other features associated with poor
prognosis. In the current review, we will examine the growing body of evidence
linking deregulated lncRNAs with specific biological functions of tumour cells in
EC, we will highlight associations between lncRNAs and the molecular pathways
implicated in EC tumourigenesis and we will identify critical knowledge gaps that
remain to be addressed.
PMID- 26556344
TI - Transplantation of Human Neural Stem Cells in a Parkinsonian Model Exerts
Neuroprotection via Regulation of the Host Microenvironment.
AB - Parkinson's disease (PD) is characterized by a progressive loss of dopaminergic
neurons and consequent dopamine (DA) deficit, and current treatment still remains
a challenge. Although neural stem cells (NSCs) have been evaluated as appealing
graft sources, mechanisms underlying the beneficial phenomena are not well
understood. Here, we investigate whether human NSCs (hNSCs) transplantation could
provide neuroprotection against DA depletion by recruiting endogenous cells to
establish a favorable niche. Adult mice subjected to 1-methyl-4-phenyl-1,2,3,6
tetrahydropyridine (MPTP) were transplanted with hNSCs or vehicle into the
striatum. Behavioral and histological analyses demonstrated significant
neurorescue response observed in hNSCs-treated animals compared with the control
mice. In transplanted animals, grafted cells survived, proliferated, and migrated
within the astrocytic scaffold. Notably, more local astrocytes underwent de
differentiation, acquiring the properties of NSCs or neural precursor cells
(NPCs) in mice given hNSCs. Additionally, we also detected significantly higher
expression of host-derived growth factors in hNSCs-transplanted mice compared
with the control animals, together with inhibition of local microglia and
proinflammatory cytokines. Overall, our results indicate that hNSCs
transplantation exerts neuroprotection in MPTP-insulted mice via regulating the
host niche. Harnessing synergistic interaction between the grafts and host cells
may help optimize cell-based therapies for PD.
PMID- 26556345
TI - CONSTITUTIVE PHOTOMORPHOGENIC 10 (COP10) Contributes to Floral Repression under
Non-Inductive Short Days in Arabidopsis.
AB - In Arabidopsis, CONSTITUTIVE PHOTOMORPHOGENIC/DE-ETIOLATED/FUSCA (COP/DET/FUS)
genes act in repression of photomorphogenesis in darkness, and recent reports
revealed that some of these genes, such as COP1 and DET1, also have important
roles in controlling flowering time and circadian rhythm. The COP/DET/FUS protein
COP10 interacts with DET1 and DNA DAMAGE-BINDING PROTEIN 1 (DDB1) to form a CDD
complex and represses photomorphogenesis in darkness. The cop10-4 mutants flower
normally in inductive long days (LD) but early in non-inductive short days (SD)
compared with wild type (WT); however, the role of COP10 remains unknown. Here,
we investigate the role of COP10 in SD-dependent floral repression. Reverse
transcription-quantitative PCR revealed that in SD, expression of the LD
dependent floral inducers GI, FKF1, and FT significantly increased in cop10-4
mutants, compared with WT. This suggests that COP10 mainly regulates FT
expression in a CO-independent manner. We also show that COP10 interacts with GI
in vitro and in vivo, suggesting that COP10 could also affect GI function at the
posttranslational level. Moreover, FLC expression was repressed drastically in
cop10-4 mutants and COP10 interacts with MULTICOPY SUPPRESSOR OF IRA1 4
(MSI4)/FVE (MSI4/FVE), which epigenetically inhibits FLC expression. These data
suggest that COP10 contributes to delaying flowering in the photoperiod and
autonomous pathways by downregulating FT expression under SD.
PMID- 26556346
TI - Tissue Localization of Lymphocystis Disease Virus (LCDV) Receptor-27.8 kDa and
Its Expression Kinetics Induced by the Viral Infection in Turbot (Scophthalmus
maximus).
AB - The 27.8 kDa membrane protein expressed in flounder (Paralichthys olivaceus) gill
cells was proved to be a receptor mediating lymphocystis disease virus (LCDV)
infection. In this study, SDS-PAGE and Western blotting demonstrated that 27.8
kDa receptor (27.8R) was shared by flounder and turbot (Scophthalmus maximus).
Indirect immunofluorescence assay (IIFA) and immunohistochemistry showed that
27.8R was widely expressed in tested tissues of healthy turbot. The indirect
enzyme-linked immunosorbent assay indicated that 27.8R expression was relatively
higher in stomach, gill, heart, and intestine, followed by skin, head kidney,
spleen, blood cells, kidney and liver, and lower in ovary and brain in healthy
turbot, and it was significantly up-regulated after LCDV infection. Meanwhile,
real-time quantitative PCR demonstrated that LCDV was detected in heart,
peripheral blood cells, and head kidney at 3 h post infection (p.i.), and then in
other tested tissues at 12 h p.i. LCDV copies increased in a time-dependent
manner, and were generally higher in the tissues with higher 27.8R expression.
Additionally, IIFA showed that 27.8R and LCDV were detected at 3 h p.i. in some
leukocytes. These results suggested that 27.8R also served as a receptor in
turbot, and LCDV can infect some leukocytes which might result in LCDV spreading
to different tissues in turbot.
PMID- 26556347
TI - Molecular Characterization of Endoplasmic Reticulum Oxidoreductin 1 from Bombyx
mori.
AB - We isolated a complementary DNA (cDNA) clone encoding endoplasmic reticulum
oxidoreductin 1 (bERO1, a specific oxidant of protein disulfide isomerase (PDI))
from Bombyx mori. This protein has a putative open reading frame (ORF) of 489
amino acids and a predicted size of 57.4 kDa. Although bERO1 protein shares less
than 57% amino acid sequence homology with other reported ERO1s, it contains two
conserved redox active motifs, a Cys-X-X-X-X-Cys motif of N-terminal and Cys-X-X
Cys-X-X-Cys motif of C-terminal. Both motifs are typically present in ERO1
protein family members. The bEro1 mRNA expression was highest in posterior silk
gland on the sixth day of the 5th instar larvae. Expression of bEro1 mRNA also
markedly increased during endoplasmic reticulum (ER) stress induced by
stimulation with antimycin, calcium ionophore A23187, dithiothreitol, H2O2,
monencin, and tunicamycin. In addition, expression levels of bEro1 exactly
coincided with that of bPdi. This is the first result suggesting that bERO1 plays
an essential role in ER quality control through the combined activities of bERO1
and bPDI as a catalyst of protein folding in the ER and sustaining cellular redox
homeostasis.
PMID- 26556341
TI - Alzheimer's Disease: Mechanism and Approach to Cell Therapy.
AB - Alzheimer's disease (AD) is the most common form of dementia. The risk of AD
increases with age. Although two of the main pathological features of AD, amyloid
plaques and neurofibrillary tangles, were already recognized by Alois Alzheimer
at the beginning of the 20th century, the pathogenesis of the disease remains
unsettled. Therapeutic approaches targeting plaques or tangles have not yet
resulted in satisfactory improvements in AD treatment. This may, in part, be due
to early-onset and late-onset AD pathogenesis being underpinned by different
mechanisms. Most animal models of AD are generated from gene mutations involved
in early onset familial AD, accounting for only 1% of all cases, which may
consequently complicate our understanding of AD mechanisms. In this article, the
authors discuss the pathogenesis of AD according to the two main
neuropathologies, including senescence-related mechanisms and possible treatments
using stem cells, namely mesenchymal and neural stem cells.
PMID- 26556348
TI - Validation of PDE9A Gene Identified in GWAS Showing Strong Association with Milk
Production Traits in Chinese Holstein.
AB - Phosphodiesterase9A (PDE9A) is a cyclic guanosine monophosphate (cGMP)-specific
enzyme widely expressed among the tissues, which is important in activating cGMP
dependent signaling pathways. In our previous genome-wide association study, a
single nucleotide polymorphism (SNP) (BTA-55340-no-rs(b)) located in the intron
14 of PDE9A, was found to be significantly associated with protein yield. In
addition, we found that PDE9A was highly expressed in mammary gland by analyzing
its mRNA expression in different tissues. The objectives of this study were to
identify genetic polymorphisms of PDE9A and to determine the effects of these
variants on milk production traits in dairy cattle. DNA sequencing identified 11
single nucleotide polymorphisms (SNPs) and six SNPs in 5' regulatory region were
genotyped to test for the subsequent association analyses. After Bonferroni
correction for multiple testing, all these identified SNPs were statistically
significant for one or more milk production traits (p < 0.0001~0.0077).
Interestingly, haplotype-based association analysis revealed similar effects on
milk production traits (p < 0.01). In follow-up RNA expression analyses, two SNPs
(c.-1376 G>A, c.-724 A>G) were involved in the regulation of gene expression.
Consequently, our findings provide confirmatory evidences for associations of
PDE9A variants with milk production traits and these identified SNPs may serve as
genetic markers to accelerate Chinese Holstein breeding program.
PMID- 26556349
TI - Posterior Wnts Have Distinct Roles in Specification and Patterning of the
Planarian Posterior Region.
AB - The wnt signaling pathway is an intercellular communication mechanism essential
in cell-fate specification, tissue patterning and regional-identity
specification. A betacatenin-dependent signal specifies the AP (Anteroposterior)
axis of planarians, both during regeneration of new tissues and during normal
homeostasis. Accordingly, four wnts (posterior wnts) are expressed in a nested
manner in central and posterior regions of planarians. We have analyzed the
specific role of each posterior wnt and the possible cooperation between them in
specifying and patterning planarian central and posterior regions. We show that
each posterior wnt exerts a distinct role during re-specification and maintenance
of the central and posterior planarian regions, and that the integration of the
different wnt signals (betacatenin dependent and independent) underlies the
patterning of the AP axis from the central region to the tip of the tail. Based
on these findings and data from the literature, we propose a model for patterning
the planarian AP axis.
PMID- 26556353
TI - A New Analytic Alignment Method for a SINS.
AB - Analytic alignment is a type of self-alignment for a Strapdown inertial
navigation system (SINS) that is based solely on two non-collinear vectors, which
are the gravity and rotational velocity vectors of the Earth at a stationary base
on the ground. The attitude of the SINS with respect to the Earth can be obtained
directly using the TRIAD algorithm given two vector measurements. For a
traditional analytic coarse alignment, all six outputs from the inertial
measurement unit (IMU) are used to compute the attitude. In this study, a novel
analytic alignment method called selective alignment is presented. This method
uses only three outputs of the IMU and a few properties from the remaining
outputs such as the sign and the approximate value to calculate the attitude.
Simulations and experimental results demonstrate the validity of this method, and
the precision of yaw is improved using the selective alignment method compared to
the traditional analytic coarse alignment method in the vehicle experiment. The
selective alignment principle provides an accurate relationship between the
outputs and the attitude of the SINS relative to the Earth for a stationary base,
and it is an extension of the TRIAD algorithm. The selective alignment approach
has potential uses in applications such as self-alignment, fault detection, and
self-calibration.
PMID- 26556352
TI - Natural Germacrane Sesquiterpenes Inhibit Osteoclast Formation, Bone Resorption,
RANKL-Induced NF-kappaB Activation, and IkappaBalpha Degradation.
AB - Osteolytic bone diseases are commonly presented with enhanced osteoclast
formation and bone resorption. Sesquiterpene lactone natural compounds have been
found to possess anti-inflammatory and immune-modulation effects. Here, we
identified three germacrane sesquiterpenes using computer-based virtual screening
for the structural similarity with sesquiterpene lactone, parthenolide. We showed
that natural germacrane sesquiterpene compounds A, B, and C inhibit osteoclast
formation and bone resorption in a dose-dependent manner, with relative potency
compound A > compound C > compound B based on their equimolar concentrations.
Mechanistic studies by Luciferase reporter gene assay and Western blot analysis
showed that germacrane sesquiterpene compound A inhibits RANKL-induced activation
of NF-kappaB and IkappaBalpha degradation. This study reveals that natural
germacrane sesquiterpene compounds are inhibitors for osteoclast formation and
bone resorption, and provides evidence that naturally-occurring compounds might
be beneficial as alternative medicine for the prevention and treatment of
osteolysis.
PMID- 26556351
TI - Endoplasmic Reticulum Stress Signaling in Plant Immunity--At the Crossroad of
Life and Death.
AB - Rapid and complex immune responses are induced in plants upon pathogen
recognition. One form of plant defense response is a programmed burst in
transcription and translation of pathogenesis-related proteins, of which many
rely on ER processing. Interestingly, several ER stress marker genes are up
regulated during early stages of immune responses, suggesting that enhanced ER
capacity is needed for immunity. Eukaryotic cells respond to ER stress through
conserved signaling networks initiated by specific ER stress sensors tethered to
the ER membrane. Depending on the nature of ER stress the cell prioritizes either
survival or initiates programmed cell death (PCD). At present two plant ER stress
sensors, bZIP28 and IRE1, have been described. Both sensor proteins are involved
in ER stress-induced signaling, but only IRE1 has been additionally linked to
immunity. A second branch of immune responses relies on PCD. In mammals, ER
stress sensors are involved in activation of PCD, but it is unclear if plant ER
stress sensors play a role in PCD. Nevertheless, some ER resident proteins have
been linked to pathogen-induced cell death in plants. In this review, we will
discuss the current understanding of plant ER stress signaling and its cross-talk
with immune signaling.
PMID- 26556350
TI - Protein Recognition in Drug-Induced DNA Alkylation: When the Moonlight Protein
GAPDH Meets S23906-1/DNA Minor Groove Adducts.
AB - DNA alkylating drugs have been used in clinics for more than seventy years. The
diversity of their mechanism of action (major/minor groove; mono-/bis-alkylation;
intra-/inter-strand crosslinks; DNA stabilization/destabilization, etc.) has
undoubtedly major consequences on the cellular response to treatment. The aim of
this review is to highlight the variety of established protein recognition of DNA
adducts to then particularly focus on glyceraldehyde-3-phosphate dehydrogenase
(GAPDH) function in DNA adduct interaction with illustration using original
experiments performed with S23906-1/DNA adduct. The introduction of this review
is a state of the art of protein/DNA adducts recognition, depending on the major
or minor groove orientation of the DNA bonding as well as on the molecular
consequences in terms of double-stranded DNA maintenance. It reviews the
implication of proteins from both DNA repair, transcription, replication and
chromatin maintenance in selective DNA adduct recognition. The main section of
the manuscript is focusing on the implication of the moonlighting protein GAPDH
in DNA adduct recognition with the model of the peculiar DNA minor groove
alkylating and destabilizing drug S23906-1. The mechanism of action of S23906-1
alkylating drug and the large variety of GAPDH cellular functions are presented
prior to focus on GAPDH direct binding to S23906-1 adducts.
PMID- 26556354
TI - Centrifugal Microfluidic System for Nucleic Acid Amplification and Detection.
AB - We report here the development of a rapid PCR microfluidic system comprising a
double-shaft turntable and centrifugal-based disc that rapidly drives the PCR
mixture between chambers set at different temperatures, and the bidirectional
flow improved the space utilization of the disc. Three heating resistors and
thermistors maintained uniform, specific temperatures for the denaturation,
annealing, and extension steps of the PCR. Infrared imaging showed that there was
little thermal interference between reaction chambers; the system enabled the
cycle number and reaction time of each step to be independently adjusted. To
validate the function and efficiency of the centrifugal microfluidic system, a
350-base pair target gene from the hepatitis B virus was amplified and
quantitated by fluorescence detection. By optimizing the cycling parameters, the
reaction time was reduced to 32 min as compared to 120 min for a commercial PCR
machine. DNA samples with concentrations ranging from 10 to 106 copies/mL could
be quantitatively analyzed using this system. This centrifugal-based microfluidic
platform is a useful system and possesses industrialization potential that can be
used for portable diagnostics.
PMID- 26556355
TI - Automated Identification of River Hydromorphological Features Using UAV High
Resolution Aerial Imagery.
AB - European legislation is driving the development of methods for river ecosystem
protection in light of concerns over water quality and ecology. Key to their
success is the accurate and rapid characterisation of physical features (i.e.,
hydromorphology) along the river. Image pattern recognition techniques have been
successfully used for this purpose. The reliability of the methodology depends on
both the quality of the aerial imagery and the pattern recognition technique
used. Recent studies have proved the potential of Unmanned Aerial Vehicles (UAVs)
to increase the quality of the imagery by capturing high resolution photography.
Similarly, Artificial Neural Networks (ANN) have been shown to be a high
precision tool for automated recognition of environmental patterns. This paper
presents a UAV based framework for the identification of hydromorphological
features from high resolution RGB aerial imagery using a novel classification
technique based on ANNs. The framework is developed for a 1.4 km river reach
along the river Dee in Wales, United Kingdom. For this purpose, a Falcon 8
octocopter was used to gather 2.5 cm resolution imagery. The results show that
the accuracy of the framework is above 81%, performing particularly well at
recognising vegetation. These results leverage the use of UAVs for environmental
policy implementation and demonstrate the potential of ANNs and RGB imagery for
high precision river monitoring and river management.
PMID- 26556356
TI - An Open Source Low-Cost Wireless Control System for a Forced Circulation Solar
Plant.
AB - The article describes the design phase, development and practical application of
a low-cost control system for a forced circulation solar plant in an outdoor test
cell located near Milan. Such a system provides for the use of an electric pump
for the circulation of heat transfer fluid connecting the solar thermal panel to
the storage tank. The running plant temperatures are the fundamental parameter to
evaluate the system performance such as proper operation, and the control and
management system has to consider these parameters. A solar energy-powered
wireless-based smart object was developed, able to monitor the running
temperatures of a solar thermal system and aimed at moving beyond standard
monitoring approaches to achieve a low-cost and customizable device, even in
terms of installation in different environmental conditions. To this end, two
types of communications were used: the first is a low-cost communication based on
the ZigBee protocol used for control purposes, so that it can be customized
according to specific needs, while the second is based on a Bluetooth protocol
used for data display.
PMID- 26556357
TI - A Hybrid Lifetime Extended Directional Approach for WBANs.
AB - Wireless Body Area Networks (WBANs) can provide real-time and reliable health
monitoring, attributing to the human-centered and sensor interoperability
properties. WBANs have become a key component of the ubiquitous eHealth
(electronic health) revolution that prospers on the basis of information and
communication technologies. The prime consideration in WBAN is how to maximize
the network lifetime with battery-powered sensor nodes in energy constraint.
Novel solutions in Medium Access Control (MAC) protocols are imperative to
satisfy the particular BAN scenario and the need of excellent energy efficiency
in healthcare applications. In this paper, we propose a hybrid Lifetime Extended
Directional Approach (LEDA) MAC protocol based on IEEE 802.15.6 to reduce energy
consumption and prolong network lifetime. The LEDA MAC protocol takes full
advantages of directional superiority in energy saving that employs multi-beam
directional mode in Carrier Sense Multiple Access/Collision Avoidance (CSMA/CA)
and single-beam directional mode in Time Division Multiple Access (TDMA) for
alternative in data reservation and transmission according to the traffic
varieties. Moreover, the impacts of some inherent problems of directional
antennas such as deafness and hidden terminal problem can be decreased owing to
that all nodes generate individual beam according to user priorities designated.
Furthermore, LEDA MAC employs a Dynamic Polled Allocation Period (DPAP) for burst
data transmissions to increase the network reliability and adaptability.
Extensive analysis and simulation results show that the proposed LEDA MAC
protocol achieves extended network lifetime with improved performance compared
with IEEE 802.15.6.
PMID- 26556358
TI - State Tracking and Fault Diagnosis for Dynamic Systems Using Labeled Uncertainty
Graph.
AB - Cyber-physical systems such as autonomous spacecraft, power plants and automotive
systems become more vulnerable to unanticipated failures as their complexity
increases. Accurate tracking of system dynamics and fault diagnosis are
essential. This paper presents an efficient state estimation method for dynamic
systems modeled as concurrent probabilistic automata. First, the Labeled
Uncertainty Graph (LUG) method in the planning domain is introduced to describe
the state tracking and fault diagnosis processes. Because the system model is
probabilistic, the Monte Carlo technique is employed to sample the probability
distribution of belief states. In addition, to address the sample impoverishment
problem, an innovative look-ahead technique is proposed to recursively generate
most likely belief states without exhaustively checking all possible successor
modes. The overall algorithms incorporate two major steps: a roll-forward process
that estimates system state and identifies faults, and a roll-backward process
that analyzes possible system trajectories once the faults have been detected. We
demonstrate the effectiveness of this approach by applying it to a real world
domain: the power supply control unit of a spacecraft.
PMID- 26556359
TI - A Hybrid Sender- and Receiver-Initiated Protocol Scheme in Underwater Acoustic
Sensor Networks.
AB - In this paper, we propose a method for sharing the handshakes of control packets
among multiple nodes, which we call a hybrid sender- and receiver-initiated (HSR)
protocol scheme. Handshake-sharing can be achieved by inviting neighbors to join
the current handshake and by allowing them to send their data packets without
requiring extra handshakes. Thus, HSR can reduce the signaling overhead involved
in control packet exchanges during handshakes, as well as resolve the spatial
unfairness problem between nodes. From an operational perspective, HSR resembles
the well-known handshake-sharing scheme referred to as the medium access control
(MAC) protocol using reverse opportunistic packet appending (ROPA). However, in
ROPA the waiting time is not controllable for the receiver's neighbors and thus
unexpected collisions may occur at the receiver due to hidden neighbors, whereas
the proposed scheme allows all nodes to avoid hidden-node-induced collisions
according to an elaborately calculated waiting time. Our computer simulations
demonstrated that HSR outperforms ROPA with respect to both the throughput and
delay by around 9.65% and 11.36%, respectively.
PMID- 26556360
TI - 3D Analysis of HCMV Induced-Nuclear Membrane Structures by FIB/SEM Tomography:
Insight into an Unprecedented Membrane Morphology.
AB - We show that focused ion beam/scanning electron microscopy (FIB/SEM) tomography
is an excellent method to analyze the three-dimensional structure of a fibroblast
nucleus infected with human cytomegalovirus (HCMV). We found that the previously
described infoldings of the inner nuclear membrane, which are unique among its
kind, form an extremely complex network of membrane structures not predictable by
previous two-dimensional studies. In all cases they contained further
invaginations (2nd and 3rd order infoldings). Quantification revealed 5498HCMV
capsids within two nuclear segments, allowing an estimate of 15,000 to 30,000
capsids in the entire nucleus five days post infection. Only 0.8% proved to be
enveloped capsids which were exclusively detected in 1st order infoldings
(perinuclear space). Distribution of the capsids between 1st, 2nd and 3rd order
infoldings is in complete agreement with the envelopment/de-envelopment model for
egress of HCMV capsids from the nucleus and we confirm that capsid budding does
occur at the large infoldings. Based on our results we propose the pushing
membrane model: HCMV infection induces local disruption of the nuclear lamina and
synthesis of new membrane material which is pushed into the nucleoplasm, forming
complex membrane infoldings in a highly abundant manner, which then may be also
used by nucleocapsids for budding.
PMID- 26556361
TI - The Impact of Wolbachia on Virus Infection in Mosquitoes.
AB - Mosquito-borne viruses such as dengue, West Nile and chikungunya viruses cause
significant morbidity and mortality in human populations. Since current methods
are not sufficient to control disease occurrence, novel methods to control
transmission of arboviruses would be beneficial. Recent studies have shown that
virus infection and transmission in insects can be impeded by co-infection with
the bacterium Wolbachia pipientis. Wolbachia is a maternally inherited
endosymbiont that is commonly found in insects, including a number of mosquito
vector species. In Drosophila, Wolbachia mediates antiviral protection against a
broad range of RNA viruses. This discovery pointed to a potential strategy to
interfere with mosquito transmission of arboviruses by artificially infecting
mosquitoes with Wolbachia. This review outlines research on the prevalence of
Wolbachia in mosquito vector species and the impact of antiviral effects in both
naturally and artificially Wolbachia-infected mosquitoes.
PMID- 26556362
TI - Composite Sequence-Structure Stability Models as Screening Tools for Identifying
Vulnerable Targets for HIV Drug and Vaccine Development.
AB - Rapid evolution and high sequence diversity enable Human Immunodeficiency Virus
(HIV) populations to acquire mutations to escape antiretroviral drugs and host
immune responses, and thus are major obstacles for the control of the pandemic.
One strategy to overcome this problem is to focus drugs and vaccines on regions
of the viral genome in which mutations are likely to cripple function through
destabilization of viral proteins. Studies relying on sequence conservation alone
have had only limited success in determining critically important regions. We
tested the ability of two structure-based computational models to assign sites in
the HIV-1 capsid protein (CA) that would be refractory to mutational change. The
destabilizing mutations predicted by these models were rarely found in a database
of 5811 HIV-1 CA coding sequences, with none being present at a frequency greater
than 2%. Furthermore, 90% of variants with the low predicted stability (from a
set of 184 CA variants whose replication fitness or infectivity has been studied
in vitro) had aberrant capsid structures and reduced viral infectivity. Based on
the predicted stability, we identified 45 CA sites prone to destabilizing
mutations. More than half of these sites are targets of one or more known CA
inhibitors. The CA regions enriched with these sites also overlap with peptides
shown to induce cellular immune responses associated with lower viral loads in
infected individuals. Lastly, a joint scoring metric that takes into account both
sequence conservation and protein structure stability performed better at
identifying deleterious mutations than sequence conservation or structure
stability information alone. The computational sequence-structure stability
approach proposed here might therefore be useful for identifying immutable sites
in a protein for experimental validation as potential targets for drug and
vaccine development.
PMID- 26556363
TI - Human T-Lymphotropic Virus Type I (HTLV-1) Infection among Iranian Blood Donors:
First Case-Control Study on the Risk Factors.
AB - Human T-cell lymphotropic virus type 1 (HTLV-1) infection is an endemic condition
in Northeast Iran and, as such, identification of risk factors associated with
the infection in this region seems to be a necessity. All the possible risk
factors for HTLV-1 seropositivity among first-time blood donors were evaluated in
Mashhad, Iran, during the period of 2011-2012. Blood donation volunteers were
interviewed for demographic data, medical history, and behavioral characteristics
and the frequencies of risk factors were compared between HTLV-1 positive (case)
and HTLV-1 negative (control) donors. The data was analyzed using Chi square and
t-tests. Logistic regression analysis was performed to identify independent risk
factors for the infection. Assessments were carried out on 246 cases aged 17-60
and 776 controls aged 17-59, who were matched based on their ages, gender, and
date and center of donation. Logistic analysis showed low income (OR = 1.53, p =
0.035), low educational level (OR = 1.64, p = 0.049), being born in the cities of
either Mashhad (OR = 2.47, p = 0.001) or Neyshabour (OR = 4.30, p < 0001), and a
history of blood transfusion (OR = 3.17, p = 0.007) or non-IV drug abuse (OR =
3.77, p < 0.0001) were significant predictors for infection with HTLV-1. Lack of
variability or small sample size could be reasons of failure to detect some well
known risk factors for HTLV-1 infection, such as prolonged breastfeeding and
sexual promiscuity. Pre-donation screening of possible risk factors for
transfusion-transmissible infections should also be considered as an important
issue, however, a revision of the screening criteria such as a history of
transfusion for more than one year prior to donation is strongly recommended.
PMID- 26556364
TI - Microneedle Coating Techniques for Transdermal Drug Delivery.
AB - Drug administration via the transdermal route is an evolving field that provides
an alternative to oral and parenteral routes of therapy. Several microneedle (MN)
based approaches have been developed. Among these, coated MNs (typically where
drug is deposited on MN tips) are a minimally invasive method to deliver drugs
and vaccines through the skin. In this review, we describe several processes to
coat MNs. These include dip coating, gas jet drying, spray coating,
electrohydrodynamic atomisation (EHDA) based processes and piezoelectric inkjet
printing. Examples of process mechanisms, conditions and tested formulations are
provided. As these processes are independent techniques, modifications to
facilitate MN coatings are elucidated. In summary, the outcomes and potential
value for each technique provides opportunities to overcome formulation or dosage
form limitations. While there are significant developments in solid degradable
MNs, coated MNs (through the various techniques described) have potential to be
utilized in personalized drug delivery via controlled deposition onto MN
templates.
PMID- 26556365
TI - Oral Fat Sensing and CD36 Gene Polymorphism in Algerian Lean and Obese Teenagers.
AB - Growing number of evidences have suggested that oral fat sensing, mediated by a
glycoprotein CD36 (cluster of differentiation 36), plays a significant role in
the development of obesity. Indeed, a decreased expression of CD36 in some obese
subjects is associated with high dietary fat intake. In the present study, we
examined whether an increase in body mass index (BMI) is associated with altered
oleic acid lingual detection thresholds and blood lipid profile in young Algerian
teenagers (n = 165). The obese teenagers (n = 83; 14.01 +/- 0.19 years; BMI z
score 2.67 +/- 0.29) exhibited higher lingual detection threshold for oleic acid
than lean participants (n = 82, 13.92 +/- 0.23 years; BMI z-score 0.03 +/-
0.0001). We also studied the association between rs1761667 polymorphism of CD36
gene and obesity. The AA and AG genotypes were more frequent in obese teenagers,
whereas GG genotype was more common in lean participants. The A-allele frequency
was higher in obese teenagers than that in lean children. We report that
rs1761667 polymorphism of CD36 gene and oro-gustatory thresholds for fat might
play a significant role in the development of obesity in young teenagers.
PMID- 26556366
TI - The Nutraceutical Properties of Ovotransferrin and Its Potential Utilization as a
Functional Food.
AB - Ovotransferrin or conalbumin belong to the transferrin protein family and is
endowed with both iron-transfer and protective activities. In addition to its
well-known antibacterial properties, ovotransferrin displays other protective
roles similar to those already ascertained for the homologous mammalian
lactoferrin. These additional functions, in many cases not directly related to
iron binding, are also displayed by the peptides derived from partial hydrolysis
of ovotransferrin, suggesting a direct relationship between egg consumption and
human health.
PMID- 26556367
TI - Mediterranean Alcohol-Drinking Pattern and the Incidence of Cardiovascular
Disease and Cardiovascular Mortality: The SUN Project.
AB - BACKGROUND: We assessed the still unclear effect of the overall alcohol-drinking
pattern, beyond the amount of alcohol consumed, on the incidence of
cardiovascular clinical disease (CVD). METHODS: We followed 14,651 participants
during up to 14 years. We built a score assessing simultaneously seven dimensions
of alcohol consumption to capture the conformity to a traditional Mediterranean
alcohol-drinking pattern (MADP). It positively scored moderate alcohol intake,
alcohol intake spread out over the week, low spirit consumption, preference for
wine, red wine consumption, wine consumed during meals and avoidance of binge
drinking. RESULTS: During 142,177 person-years of follow-up, 127 incident cases
of CVD (myocardial infarction, stroke or cardiovascular mortality) were
identified. Compared with the category of better conformity with the MADP, the
low-adherence group exhibited a non-significantly higher risk (HR) of total CVD
((95% CI) = 1.55 (0.58-4.16)). This direct association with a departure from the
traditional MADP was even stronger for cardiovascular mortality (HR (95% CI) =
3.35 (0.77-14.5)). Nevertheless, all these associations were statistically non
significant. CONCLUSION: Better conformity with the MADP seemed to be associated
with lower cardiovascular risk in most point estimates; however, no significant
results were found and more powered studies are needed to clarify the role of the
MADP on CVD.
PMID- 26556368
TI - Nutritional Modulation of Non-Alcoholic Fatty Liver Disease and Insulin
Resistance.
AB - Non-alcoholic fatty liver disease (NAFLD) covers a spectrum of disorders ranging
from simple steatosis (non-alcoholic fatty liver, NAFL) to non-alcoholic
steatohepatitis (NASH) and cirrhosis. NAFL increases the risk of liver fibrosis.
If the liver is fatty due to causes of insulin resistance such as obesity and
physical inactivity, it overproduces glucose and triglycerides leading to
hyperinsulinemia and a low high-density lipoprotein (HDL) cholesterol
concentration. The latter features predispose to type 2 diabetes and
cardiovascular disease (CVD). Understanding the impact of nutritional modulation
of liver fat content and insulin resistance is therefore of interest for
prevention and treatment of NAFLD. Hypocaloric, especially low carbohydrate
ketogenic diets rapidly decrease liver fat content and associated metabolic
abnormalities. However, any type of caloric restriction seems effective long
term. Isocaloric diets containing 16%-23% fat and 57%-65% carbohydrate lower
liver fat compared to diets with 43%-55% fat and 27%-38% carbohydrate. Diets rich
in saturated (SFA) as compared to monounsaturated (MUFA) or polyunsaturated
(PUFA) fatty acids appear particularly harmful as they increase both liver fat
and insulin resistance. Overfeeding either saturated fat or carbohydrate
increases liver fat content. Vitamin E supplementation decreases liver fat
content as well as fibrosis but has no effect on features of insulin resistance.
PMID- 26556369
TI - Definition of the Mediterranean Diet; a Literature Review.
AB - Numerous studies over several decades suggest that following the Mediterranean
diet (MedDiet) can reduce the risk of cardiovascular disease and cancer, and
improve cognitive health. However, there are inconsistencies among methods used
for evaluating and defining the MedDiet. Through a review of the literature, we
aimed to quantitatively define the MedDiet by food groups and nutrients.
Databases PubMed, MEDLINE, Science Direct, Academic Search Premier and the
University of South Australia Library Catalogue were searched. Articles were
included if they defined the MedDiet in at least two of the following ways: (1)
general descriptive definitions; (2) diet pyramids/numbers of servings of key
foods; (3) grams of key foods/food groups; and (4) nutrient and flavonoid
content. Quantity of key foods and nutrient content was recorded and the mean was
calculated. The MedDiet contained three to nine serves of vegetables, half to two
serves of fruit, one to 13 serves of cereals and up to eight serves of olive oil
daily. It contained approximately 9300 kJ, 37% as total fat, 18% as
monounsaturated and 9% as saturated, and 33 g of fibre per day. Our results
provide a defined nutrient content and range of servings for the MedDiet based on
past and current literature. More detailed reporting amongst studies could refine
the definition further.
PMID- 26556370
TI - Better Adherence to the Mediterranean Diet Could Mitigate the Adverse
Consequences of Obesity on Cardiovascular Disease: The SUN Prospective Cohort.
AB - Strong observational evidence supports the association between obesity and
cardiovascular events. In elderly high-risk subjects, the Mediterranean diet
(MedDiet) was reported to counteract the adverse cardiovascular effects of
adiposity. Whether this same attenuation is also present in younger subjects is
not known. We prospectively examined the association between obesity and
cardiovascular clinical events (myocardial infarction, stroke or cardiovascular
death) after 10.9 years follow-up in 19,065 middle-aged men and women (average
age 38 year) according to their adherence to the MedDiet (<6 points or >=6 points
in the Trichopoulou's Mediterranean Diet Score). We observed 152 incident cases
of cardiovascular disease (CVD). An increased risk of CVD across categories of
body mass index (BMI) was apparent if adherence to the MedDiet was low, with
multivariable-adjusted hazard ratios (HRs): 1.44 (95% confidence interval: 0.93
2.25) for >=25 - <30 kg/m2 of BMI and 2.00 (1.04-3.83) for >=30 kg/m2 of BMI,
compared to a BMI < 25 kg/m2. In contrast, these estimates were 0.77 (0.35-1.67)
and 1.15 (0.39-3.43) with good adherence to MedDiet. Better adherence to the
MedDiet was associated with reduced CVD events (p for trend = 0.029). Our results
suggest that the MedDiet could mitigate the harmful cardiovascular effect of
overweight/obesity.
PMID- 26556372
TI - A rapid and sensitive method to measure the functional activity of Shiga toxins
in human serum.
AB - Shiga toxins (Stx) have a definite role in the development of hemolytic uremic
syndrome in children with hemorrhagic colitis caused by pathogenic Stx-producing
Escherichia coli (STEC) strains. The dramatic effects of these toxins on the
microvasculature of different organs, particularly of the kidney, are well known,
whereas there is no consensus on the mechanism by which Stx reach the endothelia
of target organs and/or indirectly injure these body sites. We hereby describe a
quick (4 h), radioactive, Raji cell-based method designed for the detection of
Stx in human sera. The assay monitors the translation impairment induced by these
powerful inhibitors of protein synthesis, which are identified properly by
neutralizing their activity with specific monoclonal antibodies. By this method,
we detected for the first time the functional activity of Stx in sera of STEC
infected patients during hemorrhagic colitis. Recent research has pointed to a
dynamic process of Stx-induced renal intoxication in which concurrent and
interactive steps are involved. Our rapid and specific method could be useful for
studying the kinetics of Stx during the natural course of STEC infection and the
interplay between Stx activity in serum and Stx presence in different blood
fractions (neutrophils, monocytes, platelets, leukocyte-platelet aggregates,
microvesicles, lipoproteins).
PMID- 26556373
TI - Variation in type A trichothecene production and trichothecene biosynthetic genes
in Fusarium goolgardi from natural ecosystems of Australia.
AB - Fusarium goolgardi, isolated from the grass tree Xanthorrhoea glauca in natural
ecosystems of Australia, is closely related to fusaria that produce a subgroup of
trichothecene (type A) mycotoxins that lack a carbonyl group at carbon atom 8 (C
8). Mass spectrometric analysis revealed that F. goolgardi isolates produce type
A trichothecenes, but exhibited one of two chemotypes. Some isolates (50%)
produced multiple type A trichothecenes, including 4,15-diacetoxyscirpenol (DAS),
neosolaniol (NEO), 8-acetylneosolaniol (Ac-NEO) and T-2 toxin (DAS-NEO-T2
chemotype). Other isolates (50%) produced only DAS (DAS chemotype). In the
phylogenies inferred from DNA sequences of genes encoding the RNA polymerase II
largest (RPB1) and second largest (RPB2) subunits as well as the trichothecene
biosynthetic genes (TRI), F. goolgardi isolates were resolved as a monophyletic
clade, distinct from other type A trichothecene-producing species. However, the
relationships of F. goolgardi to the other species varied depending on whether
phylogenies were inferred from RPB1 and RPB2, the 12-gene TRI cluster, the two
gene TRI1-TRI16 locus, or the single-gene TRI101 locus. Phylogenies based on
different TRI loci resolved isolates with different chemotypes into distinct
clades, even though only the TRI1-TRI16 locus is responsible for structural
variation at C-8. Sequence analysis indicated that TRI1 and TRI16 are functional
in F. goolgardi isolates with the DAS-NEO-T2 chemotype, but non-functional in
isolates with DAS chemotype due to the presence of premature stop codons caused
by a point mutation.
PMID- 26556374
TI - Mycotoxigenic fungi and natural co-occurrence of mycotoxins in rainbow trout
(Oncorhynchus mykiss) feeds.
AB - Samples of rainbow trout feed were analyzed with the aim to determine the
mycobiota composition and the co-occurrence of mycotoxins. A total of 28 samples
of finished rainbow trout feed from hatcheries in the provinces of Rio Negro and
Neuquen, Argentina, were studied. Fungal counts were obtained on three culture
media in the ranges of <10 to 4.2 * 104 CFU/g on Dichloran Rose Bengal
Chloramphenicol Agar (DRBC), <10 to 5.1 * 104 CFU/g on Dichloran Chloramphenicol
Peptone Agar (DCPA) and <10 to 3.6 * 104 CFU/g on Dichloran 18% Glycerol Agar
(DG18). The most frequent mycotoxigenic fungi were Eurotium (frequency (Fr)
25.0%), followed by Penicillium (Fr 21.4%) and Aspergillus (Fr 3.6%). The most
prevalent mycotoxigenic species were E. repens (Fr 21.4%) and E. rubrum (Fr
14.3%). All samples were contaminated with mycotoxins: 64% samples were
contaminated with T-2 toxin (median 70.08 ppb), 50% samples with zearalenone
(median 87.97 ppb) and aflatoxins (median 2.82 ppb), 25% with ochratoxin A
(median 5.26 ppb) and 3.57% samples with deoxynivalenol (median 230 ppb). Eight
samples had a fumonisins contamination level below the limit of detection. Co
occurrence of six mycotoxins was determined in 7% of the samples.
PMID- 26556375
TI - Cell-to-cell propagation of the bacterial toxin CNF1 via extracellular vesicles:
potential impact on the therapeutic use of the toxin.
AB - Eukaryotic cells secrete extracellular vesicles (EVs), either constitutively or
in a regulated manner, which represent an important mode of intercellular
communication. EVs serve as vehicles for transfer between cells of membrane and
cytosolic proteins, lipids and RNA. Furthermore, certain bacterial protein
toxins, or possibly their derived messages, can be transferred cell to cell via
EVs. We have herein demonstrated that eukaryotic EVs represent an additional
route of cell-to-cell propagation for the Escherichia coli protein toxin
cytotoxic necrotizing factor 1 (CNF1). Our results prove that EVs from CNF1 pre
infected epithelial cells can induce cytoskeleton changes, Rac1 and NF-kappaB
activation comparable to that triggered by CNF1. The observation that the toxin
is detectable inside EVs derived from CNF1-intoxicated cells strongly supports
the hypothesis that extracellular vesicles can offer to the toxin a novel route
to travel from cell to cell. Since anthrax and tetanus toxins have also been
reported to engage in the same process, we can hypothesize that EVs represent a
common mechanism exploited by bacterial toxins to enhance their pathogenicity.
PMID- 26556371
TI - Current status and future directions of botulinum neurotoxins for targeting pain
processing.
AB - Current evidence suggests that botulinum neurotoxins (BoNTs) A1 and B1, given
locally into peripheral tissues such as skin, muscles, and joints, alter
nociceptive processing otherwise initiated by inflammation or nerve injury in
animal models and humans. Recent data indicate that such locally delivered BoNTs
exert not only local action on sensory afferent terminals but undergo transport
to central afferent cell bodies (dorsal root ganglia) and spinal dorsal horn
terminals, where they cleave SNAREs and block transmitter release. Increasing
evidence supports the possibility of a trans-synaptic movement to alter
postsynaptic function in neuronal and possibly non-neuronal (glial) cells. The
vast majority of these studies have been conducted on BoNT/A1 and BoNT/B1, the
only two pharmaceutically developed variants. However, now over 40 different
subtypes of botulinum neurotoxins (BoNTs) have been identified. By combining our
existing and rapidly growing understanding of BoNT/A1 and /B1 in altering
nociceptive processing with explorations of the specific characteristics of the
various toxins from this family, we may be able to discover or design novel,
effective, and long-lasting pain therapeutics. This review will focus on our
current understanding of the molecular mechanisms whereby BoNTs alter pain
processing, and future directions in the development of these agents as pain
therapeutics.
PMID- 26556376
TI - Studies on the bioavailability of deoxynivalenol (DON) and DON sulfonate (DONS)
1, 2, and 3 in pigs fed with sodium sulfite-treated DON-contaminated maize.
AB - Deoxynivalenol (DON) exposure of pigs might cause serious problems when critical
dietary toxin concentrations are exceeded. As DON contamination of agricultural
crops cannot be completely prevented, detoxification measures are needed. Wet
preservation with sodium sulfite resulted in a significant DON reduction of
naturally-contaminated maize in previous experiments. The preserved material had
a characteristic DON sulfonates (DONS) pattern. DONS is known to be less toxic
than DON but its stability was shown to depend on pH, which gives rise to the
question if a back-conversion to DON occurs in vivo. Therefore, the
toxicokinetics and bioavailability of DON and DONS were studied in pigs. After
the administration of a single oral or intravenous bolus of DON or DONS, serial
blood samples were collected and subsequently analyzed. DONS was not detectable
after oral administration of DONS mixtures. The results showed further that the
bioavailability of DONS as DON in pigs fed maize preserved wet with sodium
sulfite was significantly decreased compared to untreated control maize (DON),
indicating that DONS obviously did not convert back to DON to a large extent in
vivo. Moreover, the fact that DONS was not detectable in systemic blood requires
further investigations regarding their ingestive and/or metabolic fate.
PMID- 26556377
TI - Topography of Slow Sigma Power during Sleep is Associated with Processing Speed
in Preschool Children.
AB - Cognitive development is influenced by maturational changes in processing speed,
a construct reflecting the rapidity of executing cognitive operations. Although
cognitive ability and processing speed are linked to spindles and sigma power in
the sleep electroencephalogram (EEG), little is known about such associations in
early childhood, a time of major neuronal refinement. We calculated EEG power for
slow (10-13 Hz) and fast (13.25-17 Hz) sigma power from all-night high-density
electroencephalography (EEG) in a cross-sectional sample of healthy preschool
children (n = 10, 4.3 +/- 1.0 years). Processing speed was assessed as simple
reaction time. On average, reaction time was 1409 +/- 251 ms; slow sigma power
was 4.0 +/- 1.5 MUV2; and fast sigma power was 0.9 +/- 0.2 MUV2. Both slow and
fast sigma power predominated over central areas. Only slow sigma power was
correlated with processing speed in a large parietal electrode cluster (p < 0.05,
r ranging from -0.6 to -0.8), such that greater power predicted faster reaction
time. Our findings indicate regional correlates between sigma power and
processing speed that are specific to early childhood and provide novel insights
into the neurobiological features of the EEG that may underlie developing
cognitive abilities.
PMID- 26556378
TI - From Antigen Delivery System to Adjuvanticy: The Board Application of
Nanoparticles in Vaccinology.
AB - In the last years, nanotechnologies have raised great interest because of the
potential applications of engineered nanoparticles in nanomedicine (i.e., in
vaccination, in diagnostic imaging procedures, and as therapeutic drug delivery
systems). The use of nanoparticles in medicine has brought about the issue of
their interaction with the immune system for two main reasons: first,
understanding how long nanomedicines could persist in the organism and exert
their beneficial effects before being recognized and eliminated by our defensive
systems; second, understanding how the immune responses can be modulated by
nanoparticles in order to obtain optimal effects. This issue is crucial in
vaccine formulations based on the use of nanoparticles, which can operate both as
a delivery system to enhance antigen processing and as an immunostimulatory
adjuvant to induce and amplify protective immunity, in part because of their
ability to activate the inflammasome and induce the maturation of interleukin
1beta. Nanoparticles can be excellent adjuvants due to their biocompatibility and
their physicochemical properties (e.g., size, shape, and surface charge), which
can be tailored to obtain different immunological effects. This review provides
an overview of recent strategies for the use of nanoparticles as
promising/attractive adjuvants for novel prophylactic and therapeutic vaccines.
The use of nanovaccines, with their practically infinite possibilities of
specific design, could open the way to precision vaccinology, i.e., vaccine
formulations tailored on the individual immune reactivity status.
PMID- 26556379
TI - Challenges in Commercializing Biomimetic Membranes.
AB - The discovery of selective water channel proteins-aquaporins-has prompted growing
interest in using these proteins, as the building blocks for designing new types
of membranes. However, as with any other new and potentially disruptive
technology, barriers for successful market entry exist. One category includes
customer-related barriers, which can be influenced to some extent. Another
category includes market-technical-related barriers, which can be very difficult
to overcome by an organization/company aiming at successfully introducing their
innovation on the market-in particular if both the organization and the
technology are at early stages. Often, one faces barriers from both these
categories at the same time, which makes it necessary to gain insight of the
particular market when introducing a new innovative product. In this review we
present the basic concepts and discuss some of these barriers and challenges
associated with introducing biomimetic aquaporin membranes. These include
technical issues in membrane production and product testing. Then we discuss
possible business models for introducing new technologies in general, followed by
a presentation of beach-head market segments relevant for biomimetic aquaporin
membranes.
PMID- 26556380
TI - Fabrication of Poly-l-lactic Acid/Dicalcium Phosphate Dihydrate Composite
Scaffolds with High Mechanical Strength-Implications for Bone Tissue Engineering.
AB - Scaffolds were fabricated from poly-l-lactic acid (PLLA)/dicalcium phosphate
dihydrate (DCPD) composite by indirect casting. Sodium citrate and PLLA were used
to improve the mechanical properties of the DCPD scaffolds. The resulting
PLLA/DCPD composite scaffold had increased diametral tensile strength and
fracture energy when compared to DCPD only scaffolds (1.05 vs. 2.70 MPa and 2.53
vs. 12.67 N-mm, respectively). Sodium citrate alone accelerated the degradation
rate by 1.5 times independent of PLLA. Cytocompatibility of all samples were
evaluated using proliferation and differentiation parameters of dog-bone marrow
stromal cells (dog-BMSCs). The results showed that viable dog-BMSCs attached well
on both DCPD and PLLA/DCPD composite surfaces. In both DCPD and PLLA/DCPD
conditioned medium, dog-BMSCs proliferated well and expressed alkaline
phosphatase (ALP) activity indicating cell differentiation. These findings
indicate that incorporating both sodium citrate and PLLA could effectively
improve mechanical strength and biocompatibility without increasing the
degradation time of calcium phosphate cement scaffolds for bone tissue
engineering purposes.
PMID- 26556382
TI - Smart micelle@polydopamine core-shell nanoparticles for highly effective chemo
photothermal combination therapy.
AB - In this investigation, we have designed and synthesized a novel core-shell
polymer nanoparticle system for highly effective chemo-photothermal combination
therapy. A nanoscale DSPE-PEG micelle encapsulating doxorubicin (Dox-M) was
designed as a core, and then modified by a polydopamine (PDA) shell for
photothermal therapy and bortezomib (Btz) administration (Dox-M@PDA-Btz). The
facile conjugation of Btz to the catechol-containing PDA shell can form a
reversible pH-sensitive boronic acid-catechol conjugate to create a stimuli
responsive drug carrier system. As expected, the micelle@PDA core-shell
nanoparticles exhibited satisfactory photothermal efficiency, which has potential
for thermal ablation of malignant tissues. In addition, on account of the PDA
modification, both Dox and Btz release processes were pH-dependent and NIR
dependent. Both in vitro and in vivo studies illustrated that the Dox-M@PDA-Btz
nanoparticles coupled with laser irradiation could enhance the cytotoxicity, and
thus combinational therapy efficacy was achieved when integrating Dox, Btz, and
PDA into a single nanoplatform. Altogether, our current study indicated that the
micelle@polydopamine core-shell nanoparticles could be applied for NIR/pH
responsive sustained-release and synergized chemo-photothermal therapy for breast
cancer.
PMID- 26556383
TI - Realtime analysis and visualization of MinION sequencing data with npReader.
AB - MOTIVATION: The recently released Oxford Nanopore MinION sequencing platform
presents many innovative features opening up potential for a range of
applications not previously possible. Among these features, the ability to
sequence in real-time provides a unique opportunity for many time-critical
applications. While many software packages have been developed to analyze its
data, there is still a lack of toolkits that support the streaming and real-time
analysis of MinION sequencing data. RESULTS: We developed npReader, an open
source software package to facilitate real-time analysis of MinION sequencing
data. npReader can simultaneously extract sequence reads and stream them to
downstream analysis pipelines while the samples are being sequenced on the MinION
device. It provides a command line interface for easy integration into a
bioinformatics work flow, as well as a graphical user interface which
concurrently displays the statistics of the run. It also provides an application
programming interface for development of streaming algorithms in order to fully
utilize the extent of nanopore sequencing potential. AVAILABILITY AND
IMPLEMENTATION: npReader is written in Java and is freely available at
https://github.com/mdcao/npReader CONTACT: m.cao1@uq.edu.au or
l.coin@imb.uq.edu.au.
PMID- 26556384
TI - Flexible data integration and curation using a graph-based approach.
AB - MOTIVATION: The increasing diversity of data available to the biomedical
scientist holds promise for better understanding of diseases and discovery of new
treatments for patients. In order to provide a complete picture of a biomedical
question, data from many different origins needs to be combined into a unified
representation. During this data integration process, inevitable errors and
ambiguities present in the initial sources compromise the quality of the
resulting data warehouse, and greatly diminish the scientific value of the
content. Expensive and time-consuming manual curation is then required to improve
the quality of the information. However, it becomes increasingly difficult to
dedicate and optimize the resources for data integration projects as available
repositories are growing both in size and in number everyday. RESULTS: We present
a new generic methodology to identify problematic records, causing what we
describe as 'data hairball' structures. The approach is graph-based and relies on
two metrics traditionally used in social sciences: the graph density and the
betweenness centrality. We evaluate and discuss these measures and show their
relevance for flexible, optimized and automated data curation and linkage. The
methodology focuses on information coherence and correctness to improve the
scientific meaningfulness of data integration endeavors, such as knowledge bases
and large data warehouses. CONTACT: samuel.croset@roche.com SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 26556381
TI - Alcohol, Aldehydes, Adducts and Airways.
AB - Drinking alcohol and smoking cigarettes results in the formation of reactive
aldehydes in the lung, which are capable of forming adducts with several proteins
and DNA. Acetaldehyde and malondialdehyde are the major aldehydes generated in
high levels in the lung of subjects with alcohol use disorder who smoke
cigarettes. In addition to the above aldehydes, several other aldehydes like 4
hydroxynonenal, formaldehyde and acrolein are also detected in the lung due to
exposure to toxic gases, vapors and chemicals. These aldehydes react with
nucleophilic targets in cells such as DNA, lipids and proteins to form both
stable and unstable adducts. This adduction may disturb cellular functions as
well as damage proteins, nucleic acids and lipids. Among several adducts formed
in the lung, malondialdehyde DNA (MDA-DNA) adduct and hybrid malondialdehyde
acetaldehyde (MAA) protein adducts have been shown to initiate several
pathological conditions in the lung. MDA-DNA adducts are pre-mutagenic in
mammalian cells and induce frame shift and base-pair substitution mutations,
whereas MAA protein adducts have been shown to induce inflammation and inhibit
wound healing. This review provides an insight into different reactive aldehyde
adducts and their role in the pathogenesis of lung disease.
PMID- 26556385
TI - Specific identification and quantification of circular RNAs from sequencing data.
AB - MOTIVATION: Circular RNAs (circRNAs) are a poorly characterized class of
molecules that have been identified decades ago. Emerging high-throughput
sequencing methods as well as first reports on confirmed functions have sparked
new interest in this RNA species. However, the computational detection and
quantification tools are still limited. RESULTS: We developed the software
tandem, DCC and CircTest DCC uses output from the STAR read mapper to
systematically detect back-splice junctions in next-generation sequencing data.
DCC applies a series of filters and integrates data across replicate sets to
arrive at a precise list of circRNA candidates. We assessed the detection
performance of DCC on a newly generated mouse brain data set and publicly
available sequencing data. Our software achieves a much higher precision than
state-of-the-art competitors at similar sensitivity levels. Moreover, DCC
estimates circRNA versus host gene expression from counting junction and non
junction reads. These read counts are finally used to test for host gene
independence of circRNA expression across different experimental conditions by
our R package CircTest We demonstrate the benefits of this approach on previously
reported age-dependent circRNAs in the fruit fly. AVAILABILITY AND
IMPLEMENTATION: The source code of DCC and CircTest is licensed under the GNU
General Public Licence (GPL) version 3 and available from
https://github.com/dieterich-lab/[DCC or CircTest]. CONTACT:
christoph.dieterich@age.mpg.de SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 26556386
TI - contamDE: differential expression analysis of RNA-seq data for contaminated tumor
samples.
AB - MOTIVATION: Accurate detection of differentially expressed genes between tumor
and normal samples is a primary approach of cancer-related biomarker
identification. Due to the infiltration of tumor surrounding normal cells, the
expression data derived from tumor samples would always be contaminated with
normal cells. Ignoring such cellular contamination would deflate the power of
detecting DE genes and further confound the biological interpretation of the
analysis results. For the time being, there does not exists any differential
expression analysis approach for RNA-seq data in literature that can properly
account for the contamination of tumor samples. RESULTS: Without appealing to any
extra information, we develop a new method 'contamDE' based on a novel
statistical model that associates RNA-seq expression levels with cell types. It
is demonstrated through simulation studies that contamDE could be much more
powerful than the existing methods that ignore the contamination. In the
application to two cancer studies, contamDE uniquely found several potential
therapy and prognostic biomarkers of prostate cancer and non-small cell lung
cancer. AVAILABILITY AND IMPLEMENTATION: An R package contamDE is freely
available at http://homepage.fudan.edu.cn/zhangh/softwares/ CONTACT:
zhanghfd@fudan.edu.cn SUPPLEMENTARY INFORMATION: Supplementary data are available
at Bioinformatics online.
PMID- 26556388
TI - SpectralTDF: transition densities of diffusion processes with time-varying
selection parameters, mutation rates and effective population sizes.
AB - MOTIVATION: In the Wright-Fisher diffusion, the transition density function
describes the time evolution of the population-wide frequency of an allele. This
function has several practical applications in population genetics and computing
it for biologically realistic scenarios with selection and demography is an
important problem. RESULTS: We develop an efficient method for finding a spectral
representation of the transition density function for a general model where the
effective population size, selection coefficients and mutation parameters vary
over time in a piecewise constant manner. AVAILABILITY AND IMPLEMENTATION: The
method, called SpectralTDF, is available at
https://sourceforge.net/projects/spectraltdf/ CONTACT: yss@berkeley.edu
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online.
PMID- 26556387
TI - BioNetFit: a fitting tool compatible with BioNetGen, NFsim and distributed
computing environments.
AB - Rule-based models are analyzed with specialized simulators, such as those
provided by the BioNetGen and NFsim open-source software packages. Here, we
present BioNetFit, a general-purpose fitting tool that is compatible with
BioNetGen and NFsim. BioNetFit is designed to take advantage of distributed
computing resources. This feature facilitates fitting (i.e. optimization of
parameter values for consistency with data) when simulations are computationally
expensive. AVAILABILITY AND IMPLEMENTATION: BioNetFit can be used on stand-alone
Mac, Windows/Cygwin, and Linux platforms and on Linux-based clusters running
SLURM, Torque/PBS, or SGE. The BioNetFit source code (Perl) is freely available
(http://bionetfit.nau.edu). SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online. CONTACT: bionetgen.help@gmail.com.
PMID- 26556389
TI - The effects of tDCS upon sustained visual attention are dependent on cognitive
load.
AB - Transcranial Direct Current Stimulation (tDCS) modulates the excitability of
neuronal responses and consequently can affect performance on a variety of
cognitive tasks. However, the interaction between cognitive load and the effects
of tDCS is currently not well-understood. We recorded the performance accuracy of
participants on a bilateral multiple object tracking task while undergoing
bilateral stimulation assumed to enhance (anodal) and decrease (cathodal)
neuronal excitability. Stimulation was applied to the posterior parietal cortex
(PPC), a region inferred to be at the centre of an attentional tracking network
that shows load-dependent activation. 34 participants underwent three separate
stimulation conditions across three days. Each subject received (1) left cathodal
/ right anodal PPC tDCS, (2) left anodal / right cathodal PPC tDCS, and (3) sham
tDCS. The number of targets-to-be-tracked was also manipulated, giving a low (one
target per visual field), medium (two targets per visual field) or high (three
targets per visual field) tracking load condition. It was found that tracking
performance at high attentional loads was significantly reduced in both
stimulation conditions relative to sham, and this was apparent in both visual
fields, regardless of the direction of polarity upon the brain's hemispheres. We
interpret this as an interaction between cognitive load and tDCS, and suggest
that tDCS may degrade attentional performance when cognitive networks become
overtaxed and unable to compensate as a result. Systematically varying cognitive
load may therefore be a fruitful direction to elucidate the effects of tDCS upon
cognitive functions.
PMID- 26556390
TI - Validity and Reliability of the Dutch Version of the International Hip Outcome
Tool (iHOT-12NL) in Patients With Disorders of the Hip.
AB - STUDY DESIGN: Clinical measurement. OBJECTIVES: To translate and culturally adapt
the international Hip Outcome Tool (iHOT-12) into Dutch and to determine its
validity and reliability. BACKGROUND: The iHOT-12 for measuring health-related
quality of life and physical functioning in younger, active patients with hip
pathology is available in English and Swedish. The tool is scored on a 0-to-100
point scale, with higher scores reflecting better function. A Dutch version of
the questionnaire, with demonstrated high validity and reliability, is needed for
both clinical and research purposes. METHODS: The iHOT-12 was translated and
culturally adapted from English into Dutch (iHOT-12NL). Subsequently, the iHOT
12NL, RAND 36-Item Health Survey, Hip disability and Osteoarthritis Outcome Score
(HOOS), and Tegner activity scale were completed by 117 patients with hip
pathologies. Structural validity was analyzed using exploratory principal
component factor analysis. To determine construct validity, 12 hypotheses were
predefined regarding relationships between the iHOT-12NL and subscales of the
RAND 36-Item Health Survey, Hip disability and Osteoarthritis Outcome Score, and
Tegner activity scale. To determine test-retest reliability, 61 patients
completed the iHOT-12NL on a second occasion within a 2-week period. Intraclass
correlation coefficient (ICC), Cronbach alpha, standard error of measurement
(SEM), and minimal detectable change (MDC) were calculated to assess reliability.
Bland-Altman analysis was conducted to assess bias between test and retest.
RESULTS: Factor analysis revealed that the iHOT-12NL has 1 component. Construct
validity was good, as 83% of the hypotheses were confirmed. Internal consistency
was good, with a Cronbach alpha of .96. The ICC was 0.93 (95% confidence
interval: 0.88, 0.96), demonstrating good test-retest reliability. The SEM was
7.3 points. Individual- and group-level MDC values were 20.2 and 2.6 points,
respectively. Bland-Altman analysis showed an absence of bias. CONCLUSION: The
iHOT-12NL is a reliable and valid instrument for measuring physical functioning
and health-related quality of life in younger, physically active patients with
hip pathology.
PMID- 26556391
TI - The Effect of Knee Braces on Quadriceps Strength and Inhibition in Subjects With
Patellofemoral Osteoarthritis.
AB - STUDY DESIGN: Secondary analysis of a randomized controlled trial. BACKGROUND:
The use of external supports has been questioned because they may lead to
weakness in the surrounding muscles. To our knowledge, there is no investigation
into the effect of knee supports or braces on quadriceps muscle strength and
quadriceps inhibition in individuals with patellofemoral joint (PFJ)
osteoarthritis (OA). OBJECTIVES: To investigate the effects of a flexible knee
support on quadriceps maximum voluntary contraction (MVC) and arthrogenous muscle
inhibition (AMI) in patients with PFJ OA. METHODS: The study included 108
participants who had at least 3 months of patellofemoral pain and a Kellgren
Lawrence score of 2 or 3 for PFJ OA. The participants were randomized to a group
that wore a flexible knee support (brace) or a group that did not wear a support
(no brace) in a 6-week randomized controlled trial, followed by an open-label
trial, in which all participants wore the brace for a total of 12 weeks.
Quadriceps MVC, measured isometrically, and quadriceps AMI, measured by twitch
interpolation, were assessed at the 6-week and 12-week time points. RESULTS:
After 6 weeks, MVC did not differ between the brace and no-brace groups (9.09 Nm;
95% confidence interval [CI]: -4.89, 23.07; P = .20). Arthrogenous muscle
inhibition significantly decreased in the brace group (-8.62%; 95% CI: -13.90%,
3.33%; P = .002). After 12 weeks, in all of the participants who wore a flexible
knee support, MVC increased by 7.98 Nm (95% CI: 2.52, 13.45; P = .004) and AMI
decreased (-8.42%; 95% CI: -11.48%, -5.36%; P<.001). Although statistically
significant, these results have doubtful clinical significance. CONCLUSION: A
patellofemoral flexible knee support in participants with PFJ OA does not have an
adverse effect on quadriceps MVC or AMI. Using a knee support should not be
discouraged because of concerns about deleterious effects on quadriceps strength
and inhibition. LEVEL OF EVIDENCE: Therapy, level 1b.
PMID- 26556392
TI - Orthopaedic Physical Therapy: Update to the Description of Specialty Practice.
AB - STUDY DESIGN: Descriptive, cross-sectional observational study. BACKGROUND: In
the physical therapist profession, the outcomes of specialty practice analyses
are used to determine content areas for specialty board examinations and for
American Physical Therapy Association (APTA)-accredited residency curricula. To
maintain currency for specialty practices, the American Board of Physical Therapy
Specialties (ABPTS) requires any approved specialty area to revalidate its
Description of Specialty Practice (DSP) a minimum of every 10 years. OBJECTIVES:
The purpose of this article was to describe the most recent practice analysis
process and to report revisions to the DSP for orthopaedic physical therapists.
METHODS: A survey instrument was developed by a group of subject matter experts,
following guidelines established by the ABPTS. The survey was sent electronically
to a random sample of 800 orthopaedic certified specialists (OCSs). The survey
contained 5 sections: (1) knowledge areas (eg, human anatomy and physiology); (2)
professional roles, responsibilities, and values (eg, consultation); (3)
patient/client management model (eg, examination); (4) percentage of body regions
treated; and (5) demographic information. RESULTS: A total of 224 completed
surveys and 43 partially completed surveys were submitted, for a response rate of
33.4%. Based on a priori decision rules regarding survey data, consensus of the
group of subject matter experts, and ABPTS suggestions, the DSP for orthopaedic
physical therapy was revised. CONCLUSION: The revised DSP will be used to
reconstruct the blueprint for future OCS examinations, APTA-accredited
orthopaedic residency program curricula, as well as professional development
activities related to recertification in orthopaedic physical therapy.
PMID- 26556393
TI - Electrically Elicited Muscle Torque: Comparison Between 2500-Hz Burst-Modulated
Alternating Current and Monophasic Pulsed Current.
AB - STUDY DESIGN: Single-blind, block-randomization crossover design. OBJECTIVE: To
compare the knee extensor muscle torque production elicited with 2500-Hz burst
modulated alternating current (BMAC) and with a monophasic pulsed current (MPC)
at the maximum tolerated stimulation intensity. BACKGROUND: Neuromuscular
electrical stimulation (NMES) is often used for strengthening the quadriceps
following knee surgery. Strength gains are dependent on muscle torque production,
which is primarily limited by discomfort. Burst-modulated alternating current
stimulation is a clinically popular waveform for NMES. Prior research has
established that MPC with a relatively long pulse duration is effective for high
muscle torque production. METHODS: Participants in this study were 20 adults with
no history of knee injury. A crossover design was used to randomize the order in
which each participant's dominant or nondominant lower extremity received NMES
and the waveform (MPC or BMAC) this limb received. Stimulation intensity was
incrementally increased until participants reached their maximum tolerance. The
torque produced was converted to a percentage of each participant's maximum
volitional isometric contraction of the respective limb. RESULTS: A general
linear model for a 2-treatment, 2-period crossover design was utilized to analyze
the results. The mean +/- SD electrically induced percent maximum volitional
isometric contraction at maximal participant tolerance was 49.5% +/- 19.6% for
MPC and 29.8% +/- 12.4% for BMAC. This difference was statistically significant
(P = .002) after accounting for treatment order and limb, which had no effect on
torque production. CONCLUSION: Neuromuscular stimulation using MPC may be more
efficacious than using BMAC to achieve a high torque output in patients with
quadriceps weakness.
PMID- 26556394
TI - The human cathelicidin LL-37--A pore-forming antibacterial peptide and host-cell
modulator.
AB - The human cathelicidin hCAP18/LL-37 has become a paradigm for the pleiotropic
roles of peptides in host defence. It has a remarkably wide functional repertoire
that includes direct antimicrobial activities against various types of
microorganisms, the role of 'alarmin' that helps to orchestrate the immune
response to infection, the capacity to locally modulate inflammation both
enhancing it to aid in combating infection and limiting it to prevent damage to
infected tissues, the promotion of angiogenesis and wound healing, and possibly
also the elimination of abnormal cells. LL-37 manages to carry out all its
reported activities with a small and simple, amphipathic, helical structure. In
this review we consider how different aspects of its primary and secondary
structures, as well as its marked tendency to form oligomers under physiological
solution conditions and then bind to molecular surfaces as such, explain some of
its cytotoxic and immunomodulatory effects. We consider its modes of interaction
with bacterial membranes and capacity to act as a pore-forming toxin directed by
our organism against bacterial cells, contrasting this with the mode of action of
related peptides from other species. We also consider its different membrane
dependent effects on our own cells, which underlie many of its other activities
in host defence. This article is part of a Special Issue entitled: Pore-Forming
Toxins edited by Mauro Dalla Serra and Franco Gambale.
PMID- 26556396
TI - Wellness-related Use of Common Complementary Health Approaches Among Adults:
United States, 2012.
AB - OBJECTIVE: This report presents national estimates of selected wellness-related
reasons for the use of natural product supplements, yoga, and spinal manipulation
among U.S. adults in 2012. Self-reported perceived health outcomes were also
examined. METHODS: Data from 34,252 adults aged 18 and over collected as part of
the 2012 National Health Interview Survey were analyzed for this report. In
particular, whether adults who used selected complementary health approaches did
so to treat a specific health condition or for any of five wellness-related
reasons was examined, as well as whether these adults perceived that this use led
to any of nine health-related outcomes. Sampling weights were used to produce
national estimates that are representative of the civilian noninstitutionalized
U.S. adults population. RESULTS: Users of natural product supplements and yoga
were more likely to have reported using the approach for a wellness reason than
for treatment of a specific health condition, whereas more spinal manipulation
users reported using it for treatment rather than for wellness. The most common
wellness-related reason reported by user of each of the three approaches was for
"general wellness or disease prevention." The majority of users of all three
health approaches reported that they perceived this use improved their overall
health and made them feel better. Yoga users perceived higher rates of all of the
self-reported wellness-related health outcomes than users of natural product
supplements or spinal manipulation.
PMID- 26556395
TI - Muller glia as an important source of cytokines and inflammatory factors present
in the gliotic retina during proliferative vitreoretinopathy.
AB - Retinal gliosis is characterized by biochemical and physiological changes that
often lead to Muller glia proliferation and hypertrophy and is a feature of many
neuro-degenerative and inflammatory diseases such as proliferative
vitreoretinopathy (PVR). Although Muller glia are known to release inflammatory
factors and cytokines, it is not clear whether cytokine production by these cells
mirrors the pattern of factors present in the gliotic retina. Lysates from normal
cadaveric retina and gliotic retinal specimens from patients undergoing
retinectomy for treatment of PVR, the Muller cell line MIO-M1 and four human
Muller glial cell preparations isolated from normal retina were examined for
their expression of cytokines and inflammatory factors using semi-quantitative
dot blot antibody arrays and quantitative arrays. Comparative analysis of the
expression of inflammatory factors showed that in comparison with normal retina,
gliotic retina exhibited greater than twofold increase in 24/102 factors examined
by semiquantitative arrays, and a significant increase in 19 out of 27 factors
assessed by quantitative methods (P < 0.05 to P < 0.001). It was observed that
with the exception of some chemotactic factors, the majority of cytokines and
inflammatory factors were produced by Muller glia in vitro and included G-CSF,
MCP-1, PDGF-bb, RANTES, VEGF, and TGFbeta2. These results showed that a large
number of inflammatory factors expressed by Muller glia in vitro are upregulated
in the gliotic retina, suggesting that targeting the production of inflammatory
factors by Muller glia may constitute a valid approach to prevent neural damage
during retinal gliosis and this merits further investigations.
PMID- 26556397
TI - Liraglutide Improves Glycemic and Blood Pressure Control and Ameliorates
Progression of Left Ventricular Hypertrophy in Patients with Type 2 Diabetes
Mellitus on Peritoneal Dialysis.
AB - Diabetes mellitus (DM) is a multifactorial disease associated with cardiovascular
complications. Patients undergoing peritoneal dialysis also experience an
increased incidence of cardiovascular disease. To prevent progression of
cardiovascular complications in DM patients, glycemic control is important. In
this study, we examined the efficacy and safety of the glucagon-like peptide
analog liraglutide for treating type 2 diabetes patients undergoing peritoneal
dialysis. Sixteen type 2 diabetes patients on peritoneal dialysis were enrolled.
Before liraglutide initiation, 11 patients were on insulin therapy, three were on
oral antidiabetic agents, and two were on diet therapy. Of the 16 patients, 12
had switched to liraglutide because of severe hypoglycemia and four because of
hyperglycemia. Echocardiography was performed at baseline and 12 months after
liraglutide initiation. Hemoglobin A1c, glycosylated albumin, and
fasting/postprandial glucose levels gradually decreased after liraglutide
initiation. After 6 and 12 months of treatment, postprandial glucose levels
showed a significant difference from baseline. Moreover, the mean daily glucose
level and glycemic fluctuations decreased. Systolic blood pressure upon waking
also decreased. In addition, after 12 months, left ventricular mass index (LVMI)
decreased and left ventricular ejection fraction increased. Changes in LVMI
positively correlated with morning systolic blood pressure and fasting glucose
levels. One patient restarted insulin because of anorexia but severe hypoglycemia
was not observed. These findings suggest that liraglutide therapy in type 2
diabetes patients undergoing peritoneal dialysis is safe and effective for
decreasing glucose levels, glycemic fluctuations, and blood pressure, apart from
improving left ventricular function.
PMID- 26556398
TI - Controllable generation and encapsulation of alginate fibers using droplet-based
microfluidics.
AB - Herein we demonstrate the segmentation of alginate solution streams to generate
alginate fibers of precisely controllable lengths between 200 and 1000 MUm.
Moreover, we demonstrate the subsequent encapsulation of the formed fibers within
pL-volume microdroplets, produced within the same microfluidic device, in a
direct manner. Finally, we show immediate and complete on-chip gelation of
alginate fibers in a rapid and reproducible fashion.
PMID- 26556399
TI - Rural and remote young people's health career decision making within a health
workforce development program: a qualitative exploration.
AB - INTRODUCTION: One strategy aimed at resolving ongoing health workforce shortages
in rural and remote settings has been to implement workforce development
initiatives involving the early activation and development of health career
aspirations and intentions among young people in these settings. This strategy
aligns with the considerable evidence showing that rural background is a strong
predictor of rural practice intentions and preferences. The Broken Hill Regional
Health Career Academy Program (BHRHCAP) is an initiative aimed at addressing
local health workforce challenges by helping young people in the region develop
and further their health career aspirations and goals. This article reports the
factors impacting on rural and remote youths' health career decision-making
within the context of a health workforce development program. METHODS: Data were
collected using interviews and focus groups with a range of stakeholders involved
in the BHRHCAP including local secondary school students, secondary school
teachers, career advisors, school principals, parents, and pre-graduate health
students undertaking a clinical placement in Broken Hill, and local clinicians.
Data interpretation was informed by the theoretical constructs articulated within
socio cognitive career theory. RESULTS: Young people's career decision-making in
the context of a local health workforce development program was influenced by a
range of personal, contextual and experiential factors. These included personal
factors related to young people's career goals and motivations and their
confidence to engage in career decision-making, contextual factors related to
BHRHCAP program design and structure as well as the visibility and accessibility
of health career pathways in a rural setting, and experiential factors related to
the interaction and engagement between young people and role models or
influential others in the health and education sectors. CONCLUSIONS: This study
provided theoretical insight into the broader range of interrelating and complex
personal, contextual and experiential factors impacting on rural and remote
youths' career decision-making within a health workforce development initiative.
PMID- 26556400
TI - Novel screening techniques for ion channel targeting drugs.
AB - Ion channels are integral membrane proteins that regulate the flux of ions across
the cell membrane. They are involved in nearly all physiological processes, and
malfunction of ion channels has been linked to many diseases. Until recently,
high-throughput screening of ion channels was limited to indirect, e.g.
fluorescence-based, readout technologies. In the past years, direct label-free
biophysical readout technologies by means of electrophysiology have been
developed. Planar patch-clamp electrophysiology provides a direct functional
label-free readout of ion channel function in medium to high throughput. Further
electrophysiology features, including temperature control and higher-throughput
instruments, are continually being developed. Electrophysiological screening in a
384-well format has recently become possible. Advances in chip and microfluidic
design, as well as in cell preparation and handling, have allowed challenging
cell types to be studied by automated patch clamp. Assays measuring action
potentials in stem cell-derived cardiomyocytes, relevant for cardiac safety
screening, and neuronal cells, as well as a large number of different ion
channels, including fast ligand-gated ion channels, have successfully been
established by automated patch clamp. Impedance and multi-electrode array
measurements are particularly suitable for studying cardiomyocytes and neuronal
cells within their physiological network, and to address more complex
physiological questions. This article discusses recent advances in
electrophysiological technologies available for screening ion channel function
and regulation.
PMID- 26556401
TI - Dynamic global sensitivity analysis in bioreactor networks for bioethanol
production.
AB - Dynamic global sensitivity analysis (GSA) was performed for three different
dynamic bioreactor models of increasing complexity: a fermenter for bioethanol
production, a bioreactors network, where two types of bioreactors were
considered: aerobic for biomass production and anaerobic for bioethanol
production and a co-fermenter bioreactor, to identify the parameters that most
contribute to uncertainty in model outputs. Sobol's method was used to calculate
time profiles for sensitivity indices. Numerical results have shown the time
variant influence of uncertain parameters on model variables. Most influential
model parameters have been determined. For the model of the bioethanol fermenter,
MUmax (maximum growth rate) and Ks (half-saturation constant) are the parameters
with largest contribution to model variables uncertainty; in the bioreactors
network, the most influential parameter is MUmax,1 (maximum growth rate in
bioreactor 1); whereas lambda (glucose-to-total sugars concentration ratio in the
feed) is the most influential parameter over all model variables in the co
fermentation bioreactor.
PMID- 26556402
TI - Bio-oil production of softwood and hardwood forest industry residues through fast
and intermediate pyrolysis and its chromatographic characterization.
AB - Bio-oils were produced through intermediate (IP) and fast pyrolysis (FP), using
Eucalyptus sp. (hardwood) and Picea abies (softwood), wood wastes produced in
large scale in Pulp and Paper industries. Characterization of these bio-oils was
made using GC/qMS and GC*GC/TOFMS. The use of GC*GC provided a broader
characterization of bio-oils and it allowed tracing potential markers of hardwood
bio-oil, such as dimethoxy-phenols, which might co-elute in 1D-GC. Catalytic FP
increased the percentage of aromatic hydrocarbons in P. abies bio-oil, indicating
its potential for fuel production. However, the presence of polyaromatic
hydrocarbons (PAH) draws attention to the need of a proper management of
pyrolysis process in order to avoid the production of toxic compounds and also to
the importance of GC*GC/TOFMS use to avoid co-elutions and consequent
inaccuracies related to identification and quantification associated with GC/qMS.
Ketones and phenols were the major bio-oil compounds and they might be applied to
polymer production.
PMID- 26556403
TI - Polarized potential and electrode materials implication on electro-fermentative
di-hydrogen production: Microbial assemblages and hydrogenase gene copy
variation.
AB - This study examined the changes in microbial diversity in response to different
electrode materials viz., stainless steel mesh (SS) and graphite plate as anodes
in two microbial electrolysis cell (MEC) each poised at 0.2V, 0.4V, 0.6V and
0.8V. Changes in microbiota prior to and after pretreatment along with microbiota
enriched in response to various poised potentials with SS and graphite are
monitored by 16S rRNA gene based DGGE profiling. Significant shifts in microbial
community were noticed at all these experimental conditions. Correspondingly, the
level of hydrogenase belonging to genera Bacillus, Pseudomonas, Rhodopseudomonas
and Clostridium was studied by quantitative real time PCR (RT-PCR) at various
applied potentials. DGGE based 16S rRNA gene profiling revealed enriched members
belonging to phylum Firmicutes predominantly present at 0.8V in both MECs
contributing to high hydrogen production. This study first time explored the
growth behavior of mixed consortia in response to poised potentials and electrode
materials.
PMID- 26556404
TI - New insights into shear-sensitivity in dinoflagellate microalgae.
AB - A modification of a flow contraction device was used to subject shear-sensitive
microalgae to well-defined hydrodynamic forces. The aim of the study was to
elucidate if the inhibition of shear-induced growth commonly observed in
dinoflagellate microalgae is in effect due to cell fragility that results in cell
breakage even at low levels of turbulence. The microalgae assayed did not show
any cell breakage even at energy dissipation rates (EDR) around 10(12)Wm(-3),
implausible in culture devices. Conversely, animal cells, tested for comparison
purposes, showed high physical cell damage at average EDR levels of 10(7)Wm(-3).
Besides, very short exposures to high levels of EDR promoted variations in the
membrane fluidity of the microalgae assayed, which might trigger mechanosensory
cellular mechanisms. Average EDR values of only about 4.10(5)Wm(-3) increased
cell membrane fluidity in microalgae whereas, in animal cells, they did not.
PMID- 26556405
TI - Enhanced production and application of acidothermophilic Streptomyces cellulase.
AB - An efficient cellulolytic and acidothermophilic actinobacterium was isolated from
soil, adhered to decomposing tree bark and was identified as Streptomyces DSK59.
Screening of synthetic media and the media components identified that, a medium
based on starch casein minerals containing carboxy methyl cellulose (CMC) and
beef extract (BE) could support enhanced cellulase production by the organism.
CMC, BE, NaCl, temperature and pH were accounted as significant for cellulase
production and these were optimized using a response surface central composite
design (CCD). Optimization of cellulase production resulted in an enhancement of
endoglucanase activity to 27IUml(-1). Acidothermophillic Streptomyces cellulase
was found to be efficient for hydrolysis of pretreated sorghum stover and
liberated 0.413gg(-1) of total reducing sugars which was higher than previously
reported sugar yields obtained using fungal enzymes.
PMID- 26556408
TI - Hemodynamic Surveillance of Ventricular Pacing Effectiveness with the
Transvalvular Impedance Sensor.
AB - The Transvalvular Impedance (TVI) is derived between atrial and ventricular
pacing electrodes. A sharp TVI increase in systole is an ejection marker,
allowing the hemodynamic surveillance of ventricular stimulation effectiveness in
pacemaker patients. At routine follow-up checks, the ventricular threshold test
was managed by the stimulator with the supervision of a physician, who monitored
the surface ECG. When the energy scan resulted in capture loss, the TVI system
must detect the failure and increase the output voltage. A TVI signal suitable to
this purpose was present in 85% of the tested patients. A total of 230 capture
failures, induced in 115 patients in both supine and sitting upright positions,
were all promptly recognized by real-time TVI analysis (100% sensitivity). The
procedure was never interrupted by the physician, as the automatic energy
regulation ensured full patient's safety. The pulse energy was then set at 4
times the threshold to test the alarm specificity during daily activity (sitting,
standing up, and walking). The median prevalence of false alarms was 0.336%. The
study shows that TVI-based ejection assessment is a valuable approach to the
verification of pacing reliability and the autoregulation of ventricular
stimulation energy.
PMID- 26556407
TI - Advances in Proteomic Technologies and Its Contribution to the Field of Cancer.
AB - Systematic studies of the cancer genome have generated a wealth of knowledge in
recent years. These studies have uncovered a number of new cancer genes not
previously known to be causal targets in cancer. Genetic markers can be used to
determine predisposition to tumor development, but molecularly targeted treatment
strategies are not widely available for most cancers. Precision care plans still
must be developed by understanding and implementing basic science research into
clinical treatment. Proteomics is continuing to make major strides in the
discovery of fundamental biological processes as well as more recent transition
into an assay platform capable of measuring hundreds of proteins in any
biological system. As such, proteomics can translate basic science discoveries
into the clinical practice of precision medicine. The proteomic field has
progressed at a fast rate over the past five years in technology, breadth and
depth of applications in all areas of the bioscience. Some of the previously
experimental technical approaches are considered the gold standard today, and the
community is now trying to come to terms with the volume and complexity of the
data generated. Here I describe contribution of proteomics in general and
biological mass spectrometry in particular to cancer research, as well as related
major technical and conceptual developments in the field.
PMID- 26556409
TI - Comorbid Obsessive-Compulsive Symptoms in Schizophrenia: Insight into
Pathomechanisms Facilitates Treatment.
AB - Insight into the biological pathomechanism of a clinical syndrome facilitates the
development of effective interventions. This paper applies this perspective to
the important clinical problem of obsessive-compulsive symptoms (OCS) occurring
during the lifetime diagnosis of schizophrenia. Up to 25% of schizophrenia
patients suffer from OCS and about 12% fulfil the diagnostic criteria of
obsessive-compulsive disorder (OCD). This is accompanied by marked subjective
burden of disease, high levels of anxiety, depression and suicidality, increased
neurocognitive impairment, less favourable levels of social and vocational
functioning, and greater service utilization. Comorbid patients can be assigned
to heterogeneous subgroups. It is assumed that second generation antipsychotics
(SGAs), most importantly clozapine, might aggravate or even induce second-onset
OCS. Several epidemiological and pharmacological arguments support this
assumption. Specific genetic risk factors seem to dispose patients with
schizophrenia to develop OCS and risk-conferring polymorphisms has been defined
in SLC1A1, BDNF, DLGAP3, and GRIN2B and in interactions between these individual
genes. Further research is needed with detailed characterization of large
samples. In particular interactions between genetic risk constellations,
pharmacological and psychosocial factors should be analysed. Results will further
define homogeneous subgroups, which are in need for differential causative
interventions. In clinical practise, schizophrenia patients should be carefully
monitored for OCS, starting with at-risk mental states of psychosis and
longitudinal follow-ups, hopefully leading to the development of multimodal
therapeutic interventions.
PMID- 26556410
TI - Transplantation of Encapsulated Pancreatic Islets as a Treatment for Patients
with Type 1 Diabetes Mellitus.
AB - Encapsulation of pancreatic islets has been proposed and investigated for over
three decades to improve islet transplantation outcomes and to eliminate the side
effects of immunosuppressive medications. Of the numerous encapsulation systems
developed in the past, microencapsulation have been studied most extensively so
far. A wide variety of materials has been tested for microencapsulation in
various animal models (including nonhuman primates or NHPs) and some materials
were shown to induce immunoprotection to islet grafts without the need for
chronic immunosuppression. Despite the initial success of microcapsules in NHP
models, the combined use of islet transplantation (allograft) and
microencapsulation has not yet been successful in clinical trials. This review
consists of three sections: introduction to islet transplantation,
transplantation of encapsulated pancreatic islets as a treatment for patients
with type 1 diabetes mellitus (T1DM), and present challenges and future
perspectives.
PMID- 26556412
TI - Identifying a Framework for Hope in Order to Establish the Importance of
Generalised Hopes for Individuals Who Have Suffered a Stroke.
AB - Hope and hopelessness are important psychological constructs that
physiotherapists should consider when working with patients who have experienced
a stroke. The view of hope in rehabilitation is often focused around the concept
of goals and how hope works within this framework. However, the current paper
proposes a broader framework for hope and the importance of a more generalised
view of understanding why a certain hope exists or is identified by a patient. A
narrative review using an a priori thematic analysis was undertaken to consider
how more generalised hopes are expressed by individuals who have suffered a
stroke. An electronic search of 4 databases from inception until April 2014 was
undertaken. Qualitative articles were included if they considered the concept of
hope for patients who had suffered a stroke. The results identified three themes
which included (1) consideration of the patient's identity/identities, (2)
meaningful activities, experiences, and interactions, and (3) the experience of
suffering and need for relief. An awareness of patients' generalised hopes should
be a priority for HCPs. Detailed implications for HCPs are identified within the
discussion.
PMID- 26556411
TI - Percutaneous Septal Ablation in Hypertrophic Obstructive Cardiomyopathy: From
Experiment to Standard of Care.
AB - Hypertrophic cardiomyopathy (HCM) is one of the more common hereditary cardiac
conditions. According to presence or absence of outflow obstruction at rest or
with provocation, a more common (about 60-70%) obstructive type of the disease
(HOCM) has to be distinguished from the less common (30-40%) nonobstructive
phenotype (HNCM). Symptoms include exercise limitation due to dyspnea, angina
pectoris, palpitations, or dizziness; occasionally syncope or sudden cardiac
death occurs. Correct diagnosis and risk stratification with respect to
prophylactic ICD implantation are essential in HCM patient management. Drug
therapy in symptomatic patients can be characterized as treatment of heart
failure with preserved ejection fraction (HFpEF) in HNCM, while symptoms and the
obstructive gradient in HOCM can be addressed with beta-blockers, disopyramide,
or verapamil. After a short overview on etiology, natural history, and
diagnostics in hypertrophic cardiomyopathy, this paper reviews the current
treatment options for HOCM with a special focus on percutaneous septal ablation.
Literature data and the own series of about 600 cases are discussed, suggesting a
largely comparable outcome with respect to procedural mortality, clinical
efficacy, and long-term outcome.
PMID- 26556415
TI - Malaria, Typhoid Fever, and Their Coinfection among Febrile Patients at a Rural
Health Center in Northwest Ethiopia: A Cross-Sectional Study.
AB - Background. Malaria and typhoid fever are major public health problems in
tropical and subtropical countries. People in endemic areas are at risk of
contracting both infections concurrently. Objectives. The study was aimed at
determining the prevalence and associated risk factors of malaria, typhoid, and
their coinfection among febrile patients. Methods. A cross-sectional study was
conducted on 200 febrile patients suspected for malaria and/or typhoid fever from
April to May, 2013, at Ayinba Health Center, Northwest Ethiopia. Blood samples
were collected for blood culture, Widal test, and blood film preparation. Data
were analyzed using SPSS version 20 statistical software. Results. The prevalence
of malaria was 36.5% (n = 73). Among these 32 (43.8%), 30 (41.1%) and 11 (15.1%)
were positive for P. falciparum, P. vivax, and mixed infections, respectively.
The seroprevalence of typhoid fever was 38 (19%), but 1 (0.5%) with blood
culture. Malaria typhoid fever coinfection was 13 (6.5%). 2-5-year-old children
and poor hand washing habit were significantly associated with malaria and
typhoid infection, respectively (P < 0.05). Conclusions. The prevalence of
malaria and typhoid fever was found high. Further studies should be done on the
other determinants of malaria and typhoid fever coinfection in different seasons
and different study areas.
PMID- 26556414
TI - Treatment Alternatives to Negotiate Peri-Implantitis.
AB - Peri-implant diseases are becoming a major health issue in dentistry. Despite the
magnitude of this problem and the potential grave consequences, commonly
acceptable treatment protocols are missing. Hence, the present paper reviews the
literature treatment of peri-implantitis in order to explore their benefits and
limitations. Treatment of peri-implantitis may include surgical and nonsurgical
approaches, either individually or combined. Nonsurgical therapy is aimed at
removing local irritants from the implants' surface with or without surface
decontamination and possibly some additional adjunctive therapies agents or
devices. Systemic antibiotics may also be incorporated. Surgical therapy is aimed
at removing any residual subgingival deposits and additionally reducing the peri
implant pockets depth. This can be done alone or in conjunction with either
osseous respective approach or regenerative approach. Finally, if all fails,
explantation might be the best alternative in order to arrest the destruction of
the osseous structure around the implant, thus preserving whatever is left in
this site for future reconstruction. The available literature is still lacking
with large heterogeneity in the clinical response thus suggesting possible
underlying predisposing conditions that are not all clear to us. Therefore, at
present time treatment of peri-implantitis should be considered possible but not
necessarily predictable.
PMID- 26556413
TI - Mesenchymal Conversion of Mesothelial Cells Is a Key Event in the Pathophysiology
of the Peritoneum during Peritoneal Dialysis.
AB - Peritoneal dialysis (PD) is a therapeutic option for the treatment of end-stage
renal disease and is based on the use of the peritoneum as a semipermeable
membrane for the exchange of toxic solutes and water. Long-term exposure of the
peritoneal membrane to hyperosmotic PD fluids causes inflammation, loss of the
mesothelial cells monolayer, fibrosis, vasculopathy, and angiogenesis, which may
lead to peritoneal functional decline. Peritonitis may further exacerbate the
injury of the peritoneal membrane. In parallel with these peritoneal alterations,
mesothelial cells undergo an epithelial to mesenchymal transition (EMT), which
has been associated with peritoneal deterioration. Factors contributing to the
bioincompatibility of classical PD fluids include the high content of
glucose/glucose degradation products (GDPs) and their acidic pH. New generation
low-GDPs-neutral pH fluids have improved biocompatibility resulting in better
preservation of the peritoneum. However, standard glucose-based fluids are still
needed, as biocompatible solutions are expensive for many potential users. An
alternative approach to preserve the peritoneal membrane, complementary to the
efforts to improve fluid biocompatibility, is the use of pharmacological agents
protecting the mesothelium. This paper provides a comprehensive review of recent
advances that point to the EMT of mesothelial cells as a potential therapeutic
target to preserve membrane function.
PMID- 26556416
TI - Assessment of the Self-Perception of Dental Appearance, Its Comparison with
Orthodontist's Assessment and Demand for Treatment in Eastern Nepalese Patients.
AB - Aims. The aim of this study was to assess the self-perception of dental
appearance among Eastern Nepalese patients using aesthetic component (AC) of the
index of orthodontic treatment need (IOTN) and to compare it with that of an
orthodontist's assessment using the same scale and determine whether gender, area
of residence, and level of education influence subject's self-perception and
orthodontist's ratings. Methods. A total of 252 subjects (equal number of male
and female) were conveniently selected. The average ages of subjects were 22.33
+/- 2.114 years. The level of subject's perception and orthodontist's assessment
was analyzed by nonparametric Chi square test. Kappa coefficient was done to
verify its agreement. The Spearman's correlation test was used to check the
association of educational level and age. Mann-Whitney test was used to check the
associations of sex and areas of residence. Results. The demand for treatment was
significantly associated with the perception of the subject and orthodontist's
assessment. However, age, gender, and educational level were statistically
insignificant in influencing subject perception and orthodontist's assessment.
Conclusion. Patient's self-perception should be given equal importance while
planning orthodontic treatment.
PMID- 26556417
TI - Ensuring Confidentiality of Geocoded Health Data: Assessing Geographic Masking
Strategies for Individual-Level Data.
AB - Public health datasets increasingly use geographic identifiers such as an
individual's address. Geocoding these addresses often provides new insights since
it becomes possible to examine spatial patterns and associations. Address
information is typically considered confidential and is therefore not released or
shared with others. Publishing maps with the locations of individuals, however,
may also breach confidentiality since addresses and associated identities can be
discovered through reverse geocoding. One commonly used technique to protect
confidentiality when releasing individual-level geocoded data is geographic
masking. This typically consists of applying a certain amount of random
perturbation in a systematic manner to reduce the risk of reidentification. A
number of geographic masking techniques have been developed as well as methods to
quantity the risk of reidentification associated with a particular masking
method. This paper presents a review of the current state-of-the-art in
geographic masking, summarizing the various methods and their strengths and
weaknesses. Despite recent progress, no universally accepted or endorsed
geographic masking technique has emerged. Researchers on the other hand are
publishing maps using geographic masking of confidential locations. Any
researcher publishing such maps is advised to become familiar with the different
masking techniques available and their associated reidentification risks.
PMID- 26556418
TI - An Average Body Circumference Can Be a Substitute for Body Mass Index in Women.
AB - Introduction. Significant correlations between BMI and some body circumferences
have been previously reported. In this study we investigated if the average of
the sum of eight body circumferences can be a substitute for BMI. Patients and
Methods. BMI and eight body circumferences (neck, waist, hip, arm, forearm,
wrist, thigh, and ankle) were measured in 193 apparently healthy women aged 20
83, and within a wide range of BMI. Women with BMI <= 24.9 were designated as
normal, with BMI 25-29.9 as overweight and with BMI >= 30 as obese. The
relationship of the average body circumference (ABC) of the sum of the eight
circumferences, and of each individual circumference with BMI, was evaluated.
Results. ABC had the strongest correlation with BMI (r = 0.95, P < 0.001) among
all the circumferences tested. Hip circumference had the strongest correlation
with BMI (r = 0.89, P < 0.001) among the circumferences of individual body sites.
Receiver-Operator Characteristic analysis showed that women with ABC > 44.0 cm
could be recognized as having BMI >= 25 with sensitivity 90.2% and specificity
88.5%, while women with ABC > 47.1 cm could be diagnosed as having BMI >= 30 with
sensitivity 92.2% and specificity 91.5%. Conclusion. An average body
circumference strongly correlated with BMI in women and can serve as a surrogate
of BMI.
PMID- 26556419
TI - Coexistence of Upper Airway Obstruction and Primary and Secondary Enuresis
Nocturna in Children and the Effect of Surgical Treatment for the Resolution of
Enuresis Nocturna.
AB - Objective. The aim of this study is to investigate the coexistence of upper
airway obstruction (UAO) and primary enuresis nocturna (PEN) and secondary
enuresis nocturna (SEN) in children. Besides, the efficacy of surgery on
resolution of enuresis nocturna is evaluated. Materials and Methods. The children
with PEN and SEN were included in the first group and investigated for UAO in the
Department of Otorhinolaryngology. During the same period, children who had been
planned for an operation to treat UAO over 5 years old were included in the
second group and were evaluated in the Department of Urology for PEN and SEN
before the operation. Results. A hundred patients completed the study (50
patients in Group 1, 50 patients in Group 2). According to the otolaryngologic
examination, 20 of 25 PEN patients and 9 of 25 SEN patients also had UAO. The
difference was statistically different (P < 0.05). The second group consisted of
fifty patients on the surgery list for upper airway obstructive pathologies.
Coexistence of PEN and SEN is found in 12 and 3 of children, respectively. These
ratios were statistically significant (P < 0.05). The improvement rate of PEN and
SEN after operation in the second group was 83.3% and 33.3%, respectively. The
difference was statistically significant (P < 0.05). Conclusion. There is a
strong relationship between PEN and UAO, but it cannot be declared for SEN
patients. UAO should be kept in mind as a possible etiologic factor for PEN.
PMID- 26556420
TI - Quality of Life among Egyptian Patients with Upper and Lower Limb Amputation: Sex
Differences.
AB - Background. Limb amputation is a life-changing event that can cause significant
disruptions in many important areas of existence. Aim of this study. To evaluate
the quality of life (QOL) of patients with limb amputation and identify the
factors affecting the quality of life of patients with limb amputation among
Egyptian patients. Research Design. It was a descriptive exploratory design.
Setting. The study was conducted in Orthopedics and Surgical Department in
Emergency Hospital at Mansoura University Hospitals. Sample. A sample of
convenience of 100 adult male and female patients who met the inclusion criteria
was included. Tools. (a) Structured interview questionnaire (SIQ) was used to
collect personal data, (b) short form (36) health status questionnaires: this
part was utilized to assess the quality of life among Egyptian patients with
amputation. Results. The result of this study indicates that most participants
experienced a change in the quality of life. There is a statistically significant
difference between total QOL aspects and each of the following: age, gender,
educational level, and type of work. Conclusion. Limb amputation tends to cause
increased disability for those amputated patients. The age, gender, place of
amputation, and marital status are found as statistically significant factors
with physical component and psychological component.
PMID- 26556421
TI - A Study on the Association between Low Maternal Serum Magnesium Level and Preterm
Labour.
AB - Objectives. The study was aimed to assess the association between low maternal
serum magnesium levels and preterm labour. Methods. It is a cross-sectional case
control study in which eligible participants were pregnant women admitted in
labour within the labour ward complex of a Lagos tertiary hospital. Relevant data
were extracted from the case records of these women and blood samples were
obtained from all participants and serum magnesium levels measured. Results. The
study showed that 36% of the study patients had varying degrees of
hypomagnesaemia. The relative risk indicates that preterm labour is 1.83 times
higher among the patients with low serum magnesium (less than 1.6 mg/dL). The
mean difference in serum magnesium levels in both groups was statistically
significant (P<0.05). Conclusion. We can infer that low serum magnesium
(hypomagnesaemia) is associated with preterm onset of labour. We can, also from
this finding, formulate a proposition that would help in preventing preterm
labour and birth with the use of prophylactic oral magnesium supplementation
among patients with higher risk for development of preterm labour.
PMID- 26556423
TI - Evaluating the Reproducibility of Motion Analysis Scanning of the Spine during
Walking.
AB - The Formetric 4D dynamic system (Diers International GmbH, Schlangenbad, Germany)
is a rasterstereography based imaging system designed to evaluate spinal
deformity, providing radiation-free imaging of the position, rotation, and shape
of the spine during the gait cycle. Purpose. This study was designed to evaluate
whether repeated measurements with the Formetric 4D dynamic system would be
reproducible with a standard deviation of less than +/- 3 degrees. This study
looked at real-time segmental motion, measuring kyphosis, lordosis, trunk length,
pelvic, and T4 and L1 vertebral body rotation. Methods. Twenty healthy volunteers
each underwent 3 consecutive scans. Measurements for kyphosis, lordosis, trunk
length, and rotations of T4, L1, and the pelvis were recorded for each trial.
Results. The average standard deviations of same-day repeat measurements were
within +/- 3 degrees with a range of 0.51 degrees to 2.3 degrees. Conclusions.
The surface topography system calculated reproducible measurements with error
ranges comparable to the current gold standard in dynamic spinal motion analysis.
Therefore, this technique should be considered of high clinical value for
reliably evaluating segmental motion and spinal curvatures and should further be
evaluated in the setting of adolescent idiopathic scoliosis.
PMID- 26556422
TI - Current Options for Determining Fracture Union.
AB - Determining whether a bone fracture is healed is one of the most important and
fundamental clinical determinations made in orthopaedics. However, there are
currently no standardized methods of assessing fracture union, which in turn has
created significant disagreement among orthopaedic surgeons in both clinical and
research settings. An extensive amount of research has been dedicated to finding
novel and reliable ways of determining healing with some promising results.
Recent advancements in imaging techniques and introduction of new radiographic
scores have helped decrease the amount of disagreement on this topic among
physicians. The knowledge gained from biomechanical studies of bone healing has
helped us refine our tools and create more efficient and practical research
instruments. Additionally, a deeper understanding of the molecular pathways
involved in the bone healing process has led to emergence of serologic markers as
possible candidates in assessment of fracture union. In addition to our current
physician centered methods, patient-centered approaches assessing quality of life
and function are gaining popularity in assessment of fracture union. Despite
these advances, assessment of union remains an imperfect practice in the clinical
setting. Therefore, clinicians need to draw on multiple modalities that directly
and indirectly measure or correlate with bone healing when counseling patients.
PMID- 26556424
TI - Prevalence and Aetiology of Left Ventricular Thrombus in Patients Undergoing
Transthoracic Echocardiography at the University of Maiduguri Teaching Hospital.
AB - Objectives. We sought to determine the prevalence and aetiology of LVT among
patients undergoing echocardiography. Methods. We reviewed case notes and
echocardiographic data of patient diagnosed with LVT using noncontrast
transthoracic echocardiography. Definition of various conditions was made using
standard guidelines. Mean +/- SD were derived for continuous variables and
comparison was made using Student's t-test. Results. Total of 1302 transthoracic
echocardiograms were performed out of which 949 adult echocardiograms were
considered eligible. Mean age of all subjects with abnormal echocardiograms was
44.73 (16.73) years. Abnormalities associated with LVT were observed in 782/949
(82.40%) subjects among whom 84/782 (8.85%) had LVT. The highest prevalence of
39.29% (33/84) was observed in patients with dilated cardiomyopathy, followed by
myocardial infarction with a prevalence of 29.76% (25/84). Peripartum
cardiomyopathy accounted for 18/84 (21.43%) cases with some having multiple
thrombi, whereas hypertensive heart disease was responsible for 6/84 (7.14%)
cases. The lowest prevalence of 2.38% (2/84) was observed in those with rheumatic
heart disease. Left ventricular EF of <35% was recorded in 55/84 (65.48%).
Conclusions. Left ventricular thrombus is common among patients undergoing echo,
with dilated cardiomyopathy being the most common underlying aetiology followed
by myocardial infarction. Multiple LVTs were documented in peripartum
cardiomyopathy.
PMID- 26556425
TI - Sepsis Associated Encephalopathy.
AB - Sepsis associated encephalopathy (SAE) is a common but poorly understood
neurological complication of sepsis. It is characterized by diffuse brain
dysfunction secondary to infection elsewhere in the body without overt CNS
infection. The pathophysiology of SAE is complex and multifactorial including a
number of intertwined mechanisms such as vascular damage, endothelial activation,
breakdown of the blood brain barrier, altered brain signaling, brain
inflammation, and apoptosis. Clinical presentation of SAE may range from mild
symptoms such as malaise and concentration deficits to deep coma. The evaluation
of cognitive dysfunction is made difficult by the absence of any specific
investigations or biomarkers and the common use of sedation in critically ill
patients. SAE thus remains diagnosis of exclusion which can only be made after
ruling out other causes of altered mentation in a febrile, critically ill patient
by appropriate investigations. In spite of high mortality rate, management of SAE
is limited to treatment of the underlying infection and symptomatic treatment for
delirium and seizures. It is important to be aware of this condition because SAE
may present in early stages of sepsis, even before the diagnostic criteria for
sepsis can be met. This review discusses the diagnostic approach to patients with
SAE along with its epidemiology, pathophysiology, clinical presentation, and
differential diagnosis.
PMID- 26556426
TI - Reconstructive Surgery for Head and Neck Cancer Patients.
AB - The field of head and neck surgery has gone through numerous changes in the past
two decades. Microvascular free flap reconstructions largely replaced other
techniques. More importantly, there has been a paradigm shift toward seeking not
only to achieve reliable wound closure to protect vital structures, but also to
reestablish normal function and appearance. The present paper will present an
algorithmic approach to head and neck reconstruction of various subsites, using
an evidence-based approach wherever possible.
PMID- 26556428
TI - Presumed Virus-Induced Punctal Occlusion.
AB - Purpose. To investigate viral infection as a cause of punctal stenosis in
individuals without any ocular or systemic risk factors. Methods. The study group
comprised patients with no known cause for punctal occlusion who underwent
surgery at one medical center during a one-year period. Excised tissue was
subjected to histological examination, PCR, and nested PCR testing for common
viruses (adenovirus, influenza A and B, enterovirus, varicella-zoster, CMV,
herpes simplex types 1 and 2, Epstein-Barr virus, and parainfluenza type 1).
Results. All nine patients identified were female, 20-38 years of age. The three
snip-procedure resolved tearing in eight of them. All excised samples showed
chronic mononuclear inflammation compatible with viral infection or with viral
infection immune inflammatory reaction. PCR testing was negative for all the
viruses examined; however, nested PCR was positive in three patients. Conclusion.
This study supports the proposition that punctal occlusion in young healthy
females may be due to viral infection.
PMID- 26556427
TI - Chemokines Referee Inflammation within the Central Nervous System during
Infection and Disease.
AB - The discovery that chemokines and their receptors are expressed by a variety of
cell types within the normal adult central nervous system (CNS) has led to an
expansion of their repertoire as molecular interfaces between the immune and
nervous systems. Thus, CNS chemokines are now divided into those molecules that
regulate inflammatory cell migration into the CNS and those that initiate CNS
repair from inflammation-mediated tissue damage. Work in our laboratory
throughout the past decade has sought to elucidate how chemokines coordinate
leukocyte entry and interactions at CNS endothelial barriers, under both
homeostatic and inflammatory conditions, and how they promote repair within the
CNS parenchyma. These studies have identified several chemokines, including
CXCL12 and CXCL10, as critical regulators of leukocyte migration from
perivascular locations. CXCL12 additionally plays an essential role in promoting
remyelination of injured white matter. In both scenarios we have shown that
chemokines serve as molecular links between inflammatory mediators and other
effector molecules involved in neuroprotective processes.
PMID- 26556431
TI - Effects of Atorvastatin on Oxidative Stress Biomarkers and Mitochondrial
Morphofunctionality in Hyperfibrinogenemia-Induced Atherogenesis.
AB - Relationship between hyperfibrinogenemia (HF), oxidative stress, and
atherogenesis was established. Effect of atorvastatin (Ator) was assessed. Wistar
male (6 months) rats were studied: Ctr, control, without HF induction; Ctr-Ator,
without HF treated with atorvastatin; AI, atherogenesis induced, and AI-Ator,
atherogenesis induced and treated with atorvastatin. Atherogenesis was induced by
daily adrenaline injection (0.1 mL/day/rat) for 90 days; treatment started 15
days after induction. Fibrinogen (mg/dL) and nitric oxide (NO) were measured in
plasma (mM) and superoxide dismutase (SOD) (U/mL) in red cell lysate by
spectrophotometry. Slices of aorta were analyzed by electron microscopy (EM).
ANOVA and chi-square test were used; P < 0.05 was established. There were no
significant differences between Ctr and Ctr-Atorv in fibrinogen, NO, and SOD
values. Comparing Ctr with AI an increase of fibrinogen is observed (P < 0.001),
but it decreased after administration of atorvastatin in AI-Ator (P < 0.001). NO
diminished in AI relative to Ctr and increased in AI-Ator (P < 0.001). SOD showed
an increase in AI and AI-Ator compared to Ctr (P < 0.001). EM revealed expansion
of intermembrane space and disorganization of crests in AI. In AI-Ator
mitochondrial areas and diameters were similar to control. Atorvastatin
normalizes HF, stabilizes NO, increases SOD, and produces a partial regression of
mitochondrial lesions.
PMID- 26556432
TI - Ivacaftor Therapy in CF Patients: Single Center Experience.
AB - Ivacaftor is the first novel cystic fibrosis pharmaceutical that acts at the
molecular level to potentiate cystic fibrosis transmembrane conductance regulator
(CFTR) function and was first approved for clinical use in 2012. We are sharing
our single center experience of five patients: four from pediatric age group and
one adult patient. All patients had both subjective and objective improvements in
their health. Despite established lung disease, our patients had significant
improvement in both their FEV1 (forced expiratory volume in 1 second) and FEF25
75 and BMI (body mass index). Larger studies demonstrated only 6.7% improvement
in mean FEV1 after starting Ivacaftor therapy but their patient population had
normal lung function to begin with. In contrast our case series demonstrates
that, in patients with established lung disease and diminished lung function,
Ivacaftor can be expected to result in much higher recovery in lung function.
Mean FEV1 improved by 35% in our case series. Ivacaftor is extremely expensive,
costing $300,000 per patient per year requiring lifelong therapy, hence requiring
prior authorizations from most third-party payers in the USA. The knowledge
shared from our experience will be useful for other clinicians to petition
healthcare policymakers on behalf of their patients.
PMID- 26556429
TI - Developmental Immunotoxicity, Perinatal Programming, and Noncommunicable
Diseases: Focus on Human Studies.
AB - Developmental immunotoxicity (DIT) is a term given to encompass the
environmentally induced disruption of normal immune development resulting in
adverse outcomes. A myriad of chemical, physical, and psychological factors can
all contribute to DIT. As a core component of the developmental origins of adult
disease, DIT is interlinked with three important concepts surrounding health
risks across a lifetime: (1) the Barker Hypothesis, which connects prenatal
development to later-life diseases, (2) the hygiene hypothesis, which connects
newborns and infants to risk of later-life diseases and, (3) fetal programming
and epigenetic alterations, which may exert effects both in later life and across
future generations. This review of DIT considers: (1) the history and context of
DIT research, (2) the fundamental features of DIT, (3) the emerging role of DIT
in risk of noncommunicable diseases (NCDs) and (4) the range of risk factors that
have been investigated through human research. The emphasis on the human DIT
related literature is significant since most prior reviews of DIT have largely
focused on animal research and considerations of specific categories of risk
factors (e.g., heavy metals). Risk factors considered in this review include air
pollution, aluminum, antibiotics, arsenic, bisphenol A, ethanol, lead (Pb),
maternal smoking and environmental tobacco smoke, paracetamol (acetaminophen),
pesticides, polychlorinated biphenyls, and polyfluorinated compounds.
PMID- 26556433
TI - The Efficacy of Sucralfate and Chlorhexidine as an Oral Rinse in Patients with
Recurrent Aphthous Stomatitis.
AB - Aim. In this study, we compared the efficacy of sucralfate suspension with
chlorhexidine as an oral rinse in patients with recurrent aphthous stomatitis
(RAS) in terms of pain relief and healing time. Materials and Methods. The
subjects with a complaint of recurrent oral aphthous ulcers less than 1 cm in
diameter on the first day of the occurrence of the ulcer and between 20 and 40
years were included in the study. Seventy patients completed the study. The
patients were randomized into two groups as SCH group and CHX group. Changes in
pain scores, healing time, and side effects of the treatments were evaluated.
Results. The mean value of pain scores on the days after the treatment from the
first day to the seventh day was significantly higher in CHX group than SCH group
(P <= 0.05). On the seventh day after the treatment, the ulcers were completely
reepithelialized in 23 patients in SCH group and in 19 patients in CHX group. The
difference was statistically significant (P <= 0.05). In SCH group, the mean
healing time of ulcers was 1.97 +/- 1.56 days whereas it was 2.80 +/- 3.00 days
in CHX group. The difference was statistically significant (P <= 0.05). No side
effects were recorded in either of the groups. Conclusion. Topical sucralfate
suspension is an easy, safe, inexpensive, and effective treatment option for RAS
to obtain pain relief and shorten the healing time of oral ulcers.
PMID- 26556430
TI - Targeting BCL2-Proteins for the Treatment of Solid Tumours.
AB - Due to their central role in the regulation of apoptosis, the antiapoptotic BCL2
proteins are highly promising targets for the development of novel anticancer
treatments. To this end, several strategies have been developed to inhibit BCL2,
BCL-XL, BCL-w, and MCL1. While early clinical trials in haematological
malignancies demonstrated exciting single-agent activity of BCL2-inhibitors, the
response in solid tumours was limited, indicating that, in solid tumours,
different strategies have to be developed in order to successfully treat patients
with BCL2-inhibitors. In this review, the function of the different antiapoptotic
BCL2-proteins and their role in solid tumours will be discussed. In addition, a
comprehensive analysis of current small molecules targeting these antiapoptotic
BCL2-proteins (e.g., ABT-737, ABT-263, ABT-199, TW-37, sabutoclax, obatoclax, and
MIM1) will be provided including a discussion of the results of any clinical
trials. This analysis will summarise the potential of BCL2-inhibitors for the
treatment of solid tumours and will unravel novel approaches to utilise these
inhibitors in clinical applications.
PMID- 26556434
TI - Amphiphilic Cyanine-Platinum Conjugates as Fluorescent Nanodrugs.
AB - Two fluorescent nanomedicines based on small molecular cyanine-platinum
conjugates have been prepared via a nanoprecipitation method and characterized by
transmission electron microscopy (TEM) as well as dynamic light scattering (DLS).
The conjugates exhibited an enhanced fluorescence in their nanoparticle
formulation compared to that in solution. The nanomedicines could be endocytosed
by cancer cells as revealed by confocal laser scanning microscopy (CLSM) and
showed high cellular proliferation inhibition. Fluorescent platinum nanomedicines
prepared directly from small molecules could be an alternative strategy for
developing new drugs with simultaneous cellular imaging and cancer therapy
functions.
PMID- 26556435
TI - Antimicrobial Effect of Malpighia Punicifolia and Extension of Water Buffalo
Steak Shelf-Life.
AB - In the present study, a multiple approach was used to characterize Malpighia
punicifolia extract and to evaluate its inhibitory activity against several meat
spoilage bacteria. First, volatile fraction, vitamins and phenolic compounds of
the extract obtained by supercritical fluid extraction were determined by GC-MS
and HPLC. Then, the antimicrobial action of the extract was in vitro evaluated
against Pseudomonas putida DSMZ 291(T), Pseudomonas fluorescens DSMZ 50009(T),
Pseudomonas fragi DSMZ 3456(T), and Brochothrix thermosphacta DSMZ 20171(T) by
the agar well diffusion assay and by the agar dilution test. Based on the results
of the minimum inhibitory concentration (MIC) against the assayed bacteria, 4
different concentrations of the extract were used in a challenge test on water
buffalo steaks stored for 21 d at 4 degrees C. Results of chemical analyses
showed that M. punicifolia extract is characterized by the presence of several
compounds, already described for their antimicrobial (phenolic acids, flavonones,
and furanes) and antioxidant (ascorbic acid) properties. The in vitro detection
of antimicrobial activities highlighted that the extract, used at 8%
concentration, was able to inhibit all the target bacteria. Moreover, very low
MIC values (up to 0.025%) were detected. In situ tests, performed on water
buffalo steaks treated with the extract in the concentration range 0.025% to
0.05%, showed a strong inhibition of both intentionally inoculated bacteria and
naturally occurring microorganisms. Positive results, in terms of color and odor,
were also observed during the entire storage of steaks preserved with the
extract.
PMID- 26556437
TI - Gout tophus on an intradural fascicle: a case description.
AB - STUDY DESIGN: Case report and review of literature. OBJECTIVE: Detailed
description of case and review of literature to determine its uniqueness with
special regard to intradural gout tophus formation without any boney attachment
or underlying systemic gout. Gout tophi commonly involve the peripheral joints of
the upper and lower extremities. Rarely, gout tophi are located within the spinal
cord, especially without any underlying hyperuricemia. METHODS: We report the
case of a 64-year-old patient presenting with radiculopathy along the right L2
dermatome and bladder dysfunction and review literature for further discussion.
RESULTS: Imaging studies showed a partly calcified round intradural lesion at the
level L2 without contrast enhancement. The lesion was removed via a
hemilaminectomy L2. It was adherent to a dorsal sensory fascicle exiting with the
L2 nerve root. The neuropathological examination showed a gout tophus. Serologic
testing revealed no signs of hyperuricemia. CONCLUSION: To the best of our
knowledge, this is the first report of a gout tophus originating from an
intradural fascicle and without any boney attachment or underlying systemic gout.
The literature is reviewed and possible pathophysiological mechanisms are
discussed.
PMID- 26556438
TI - Cervical subtotal en-bloc spondylectomy of C6 mesenchymal chondrosarcoma.
AB - INTRODUCTION: We present a case of C6 mesenchymal chondrosarcoma and discuss safe
posterior to anterior approach subtotal en-bloc spondylectomy. MATERIALS AND
METHODS: A 29-year-old male consulted for our department with severe posterior
neck pain doing exercise. CT scan demonstrated a primary osteolytic lesion on C6
left transverse foramen and MRI demonstrated the tumor involved C6 vertebra from
layers B, C and F sectors 4-6 encasing left vertebral artery. Preoperatively
neurointerventional radiology service occluded the left vertebral artery and
tumor feeding artery using coil embolization. Posterior approach consist of C5-C7
laminectomy, left sided C6 and C7 nerve root sacrifice, posterior disc removal
and release of C5-6-7 and posterior reconstruction. Then, position was changed to
supine, and the anterior approach was followed as C5-6, C6-7 discectomy, left
vertebral artery ligation and cut, longus coli resection and C6 subtotal
spondylectomy with en-bloc resection of mass, mesh cage insertion and C5-C7
anterior plate fixation. During operation, frozen biopsy was performed on 8 areas
(longus coli, lateral margin, anteroinferior margin, posterior margin,
posterosuperior margin, C5 transverse foramen, posteroinferior margin, inferior
margin) after wide resection. Tumor free margin was confirmed. RESULTS: After
operation, he complained of tingling sensation of left thumb and forearm medial
side, and elbow extensor motor grade was checked to 4/5 postoperatively. In the
followed-up radiograph, the tumor was completely removed, and the instability of
joint was not seen. As a result of observing follow-up CT at a year after the
surgery, recurrence findings have not been shown up to now, and the progression
of neurologic symptoms has not been shown either. CONCLUSION: Based on the Grand
Round case and relevant literature, we discuss the case of mesenchymal
chondrosarcoma occurring from the C6 cervical spine treated with cervical
subtotal en-bloc spondylectomy. Successful en-bloc resection of the tumor was
achieved using posterior to anterior approach.
PMID- 26556439
TI - Role of peritoneal washing cytology in ovarian malignancies: correlation with
histopathological parameters.
AB - BACKGROUND: Peritoneal dissemination of ovarian tumors is a major prognostic
parameter in ovarian malignancies. Analysis of peritoneal washing cytology serves
as a useful predictor of ovarian surface involvement and peritoneal metastasis
even in the absence of clinical omental spread. The aim of the current study is
to correlate peritoneal cytology with various histologic features of ovarian
cancers in our setup. METHODS: A total of 60 cases of ovarian tumors were
included in the study that underwent total abdominal hysterectomy with bilateral
salpingo-oophorectomy and omental and lymph node sampling during 2009 till 2014
at the Liaquat National Hospital, Karachi. Any free abdominal fluid was aspirated
at the time of surgery. In the absence of free fluid, peritoneal washing was done
with 50-100 ml of normal saline. Four cytospin preparations were done along with
a cell block preparation. Correlation of peritoneal cytology with various
histologic parameters was performed. RESULTS: Out of the 60 cases of ovarian
tumors involved in the study, 56 were surface epithelial tumors, 2 germ cell
tumors, and 2 metastatic carcinomas. The mean tumor size was 9.6 cm. Capsular
invasion was seen in 61 % of the cases, and omental metastasis in 51 % of the
cases. Serous carcinoma was found to have a significantly higher frequency of
positive peritoneal cytology (76.9 %) compared to endometrioid and mucinous
carcinomas (44 and 25 %, respectively). A significant positive correlation was
seen between positive peritoneal cytology and capsular invasion and omental
metastasis with a p value of <0.001. CONCLUSIONS: Positive peritoneal washing
cytology has been implemented in ovarian cancer guidelines because of its
prognostic significance in ovarian tumors. In addition to being an indicator of
peritoneal metastasis, positive cytology also correlates with capsular invasion
and histologic type in ovarian tumors. Therefore, it should always be used as an
adjunctive tool in the surgical management of ovarian tumors.
PMID- 26556440
TI - Prolonged QT interval in ST-elevation myocardial infarction: predictors and
prognostic value in medium-term follow-up.
AB - AIMS: The prognostic role of corrected QT interval in ST-elevation myocardial
infarction is still unknown. This study aims to identify the prognostic value of
corrected QT interval prolongation (>=480 ms) in acute coronary syndrome.
METHODS: One hundred and eighty-five consecutive patients with ST-elevation
myocardial infarction were prospectively enrolled and electrocardiographic
monitoring of corrected QT interval was performed during the hospitalization.
RESULTS: Over a mean period of 17.6 +/- 11 months, 16 (8.6%) patients died
because of cardiovascular diseases, 6 (3.2%) patients experienced aborted sudden
cardiac death, 3 (1.6%) cerebral ischemic strokes, 11 (6%) recurrent myocardial
ischemia and 6 (3.2%) acute heart failure. At univariate analysis a corrected QT
interval peak of at least 480 ms relates to cardiovascular death (P < 0.001),
aborted sudden cardiac death (P = 0.037), cerebral ischemic stroke (P = 0.016)
and recurrences of myocardial infarction (P = 0.032). Multivariate analysis
confirms its role an independent predictor of cardiovascular death [odds ratio
6.38, 95% confidence interval (CI) 1.77-22.92, P = 0.004], together with an
ejection fraction of 35% or less (odds ratio 4.20, 95% CI 1.24-14.16, P = 0.021).
The presence of either corrected QT of at least 480 ms or ejection fraction of
35% or less increases the sensitivity and the accuracy to correctly predict
cardiovascular death without a significant reduction in specificity (sensitivity
88%, specificity 69%, accuracy 88%, area under curve 0.83, 95% CI 0.72-0.94, P <
0.01). CONCLUSION: A corrected QT interval peak of at least 480 ms in the acute
phase of ST-elevation myocardial infarction is an independent predictor of
cardiovascular death. Its association with reduced ejection fraction (<=35%)
increases risk stratification accuracy.
PMID- 26556441
TI - Clinical recommendations on Cardiac-CT in 2015: a position paper of the Working
Group on Cardiac-CT and Nuclear Cardiology of the Italian Society of Cardiology.
AB - We worked out a position paper on cardiac-computed tomography (CCT) endorsed by
the Working Group on CCT and Nuclear Cardiology of the Italian Society of
Cardiology. The CCT clinical indications were discussed and formulated according
to the following two modalities: a brief paragraph dedicated to each indication,
with the description of clinical usefulness of different indications; and each
indication was rated by the technical panel for appropriateness, using a score
assessing whether the use of CCT for each indication is appropriate, uncertain,
or inappropriate. All conventional CCT clinical indications, regarding coronary
and noncoronary evaluation, were discussed and rated. Moreover, we wrote specific
sections regarding the newest CCT applications, such as stress perfusion computed
tomography, noninvasive evaluation of fractional flow reserve, and CCT use in
athletes. The present study has the following two main objectives: because the
diagnostic performance of coronary computed tomography angiography (CCTA) is
strictly dependent on adequate technology and local expertise, we strove to
provide clinical recommendations on CCTA that may help Italian physicians
involved with this diagnostic tool; and to give an update on new indications of
CCTA, such as its use for safely discharging patients with suspected acute
coronary syndromes from the emergency department, and latest clinical results
that have been made possible by the remarkable technology developments of the
scanners.
PMID- 26556443
TI - Do left atrial appendage morphology and function help predict thromboembolic risk
in atrial fibrillation?
AB - Clinical scores (i.e. CHA2DS2-VASc) are the mainstay of thromboembolic risk
management in nonvalvular atrial fibrillation. Nonetheless, they bear some
limitations to precisely define risk-benefit ratio of oral anticoagulation (OAC),
both with vitamin K antagonists and with novel direct oral anticoagulants,
especially in patients with low-intermediate scores. Cardiovascular imaging,
allowing directly visualization of those pathophysiological alterations, which
may lead to the formation of intracardiac thrombi, offers itself as a unique tool
helping to refine thromboembolic risk stratification. Many parameters have been
tested, focusing primarily on functional and morphological variables of the left
atrium and left atrial appendage (LAA). Left atrium volume and LAA peak flow
velocity have, for a longtime, been associated with increased thromboembolic
risk, whereas some new parameters, such as left atrium fibrosis assessed by late
gadolinium enhanced (LGE) MRI, left atrium and LAA strain and LAA morphology have
more recently shown some ability in predicting embolic events in atrial
fibrillation patients. Overall, however, these parameters have seen, to date,
scarce clinical implementation, especially because of the inconsistency of
validated cutoffs and/or strong clinical evidence driven by technical
limitations, such as expensiveness of the technologies (i.e. MRI or computed
tomography), invasiveness (i.e. transesophageal echocardiography) or limited
reproducibility (i.e. LGE MRI). In conclusion, to date, cardiovascular imaging
plays a limited role; however, validation and diffusion of the new techniques
hereby systematically presented hold the potential to refine thromboembolic risk
stratification in nonvalvular atrial fibrillation.
PMID- 26556442
TI - Cardiac surgery with extracorporeal circulation and concomitant malignancy: early
and long-term results.
AB - AIMS: We retrospectively evaluated early and long-term results of cardiac surgery
using extracorporeal circulation (ECC) in patients affected by malignancies and
the potential influence of ECC on malignancy progression during follow-up.
METHODS: Out of 7078 patients referred for cardiac surgery from January 2001 to
December 2012, 241 consecutive patients (3.4%) (mean age 72 +/- 8 years; men 170)
had malignancy either known before or detected during hospital stay. Organ
malignancies were present in 201 patients (83%) and hematological malignancies in
40 (17%). Early stages of cancer (I-II, in remission) were present in 180 (75%)
patients, and advanced stages (III-IV for organ malignancies , multiple organ
involvement for hematological malignancies) in 61 (25%). EuroSCORE I and II were
8.6 +/- 5.4 and 3.8 +/- 2.1%, respectively. Cardiac surgery with ECC consisted in
isolated (n = 176) or multiple procedures (n = 65). Follow-up (mean 57 +/- 40
months) was 99% complete. RESULTS: In-hospital mortality was 5.8% (n = 14); 1.67%
(n = 4) died from cancer-related causes. Ten-year survival was 65 +/- 5%, and
freedom from cardiac death was 92 +/- 3.5%. Freedom from cancer-related death was
90 +/- 3% for patients operated on in early stages of cancer compared with 60 +/-
8.4% for those who operated on in advanced stages (P < 0.0001), and 89 +/- 2.6%
for organ malignancies compared with 48 +/- 13% for hematological malignancies (P
= 0.0002); hematological malignancies different from Hodgkin/non-Hodgkin lymphoma
affected long-term survival (P < 0.05). Progression of malignancy was observed in
29 patients (12.8%) at 18 +/- 10 months. CONCLUSION: Cardiac surgery in cancer
patients is not associated with increased in-hospital mortality and provides
satisfactory freedom from cardiac death. Long-term survival in early stages of
cancer appears satisfactory. Time interval between ECC and progression of
malignancy during follow-up should apparently exclude a close relationship of ECC
on cancer progression. Hematological malignancies seem to have a negative impact
on the overall outcome.
PMID- 26556444
TI - Paradoxical low-flow aortic stenosis is defined by increased ventricular
hydraulic load and reduced longitudinal strain.
AB - AIMS: Patients with paradoxical low-flow severe aortic stenosis (PLF-AS)
reportedly have higher left ventricular hydraulic load and more systolic strain
dysfunction than patients with normal-flow aortic stenosis. This study
investigates the relationship of systolic loading and strain to PLF-AS to further
define its pathophysiology. METHODS: One hundred and twenty patients (age 79 +/-
12 years, 37% men) with an indexed aortic valve area (AVAi) of 0.6 cm/m or less
and an ejection fraction of 50% or higher were divided into two groups based on
indexed stroke volume (SVi): PLF-AS, SVi <= 35 ml/m, N = 46; normal-flow aortic
stenosis, SVi > 35 ml/m, N = 74). Valvular and arterial load were assessed using
multiple measurements, and strain was assessed using speckle-tracking
echocardiography. RESULTS: Patients with PLF-AS were found to have more valvular
load (lower AVAi, P = 0.028; lower energy loss coefficient, P = 0.001), more
arterial load [decreased arterial compliance and increased systemic vascular
resistance (SVR), both P < 0.001] and more total hydraulic load [increased
valvuloarterial impedance (Zva), P < 0.001]. Transvalvular gradients and arterial
pressures were similar. Longitudinal strain was lower in PLF-AS (P < 0.001), but
circumferential and rotation strains were similar. On adjusted regression, AVAi,
SVR and longitudinal strain were associated with PLF-AS [odds ratio (OR) = 1.34,
P = 0.043; OR = 1.31, P = 0.004; OR = 1.34, P = 0.011, respectively]. When SVR
and AVAi were replaced with Zva, longitudinal strain and Zva (OR = 1.38, P =
0.015; OR = 1.33, P < 0.001 for both, respectively) were associated with PLF-AS.
CONCLUSION: Increased hydraulic load, from more severe valvular stenosis and
increased vascular resistance, and longitudinal strain impairment are associated
with PLF-AS and their interplay is likely fundamental to its pathophysiology.
PMID- 26556445
TI - A life-threatening presentation of eosinophilic granulomatosis with polyangiitis.
AB - : Necrotizing eosinophilic myocarditis (NEM) is a life-threatening condition that
needs rapid diagnosis by endomyocardial biopsy and hemodynamic support usually by
mechanical circulatory systems. We present the case of a 25-year-old Caucasian
man who developed a refractory cardiogenic shock due to a NEM that was supported
with a peripheral veno-arterial extracorporeal membrane oxygenation associated
with intravenous steroids and recovered after 2 weeks. Further instrumental
investigations lead to the final diagnosis of NEM as first presentation of
eosinophilic granulomatosis with polyangiitis (formerly Churg-Strauss syndrome),
remarking the importance of identifying the systemic disorder that usually
triggers the eosinophilic damage of the myocardium.
PMID- 26556446
TI - Trans-catheter mitral valve implantation for mitral regurgitation: clinical case
description and literature review.
AB - Trans-catheter mitral valve implantation (TMVI) is actually the most attractive
technique for treating patients with severe mitral regurgitation, who are denied
surgical therapy. Recently, trans-catheter implantation of aortic biological
prosthesis in mitral position has been done in compassionate cases, and very few
experiences of TMVI in native non-calcified valves have been recently reported in
very-high-risk patients, mainly with functional mitral regurgitation.Here, we
report our case of TMVI using the second-generation CardiAQ prostheisis (CardiAQ
Valve Technologies, Irvine, California, USA), reviewing the current state of the
art.
PMID- 26556449
TI - [Not Available].
PMID- 26556448
TI - Transcatheter occlusion of left atrial appendage with persistent thrombus using a
trans-radial embolic protection device.
AB - : The image describes a case of left atrial appendage occlusion in a patient with
atrial fibrillation, previous haemorrhagic stroke, and left atrial appendage
thrombosis. A cerebral vascular filter was used during the device implantation.
The use of cerebral embolic protection device can increase the safety of left
atrial appendage occlusion, in selected high-risk patients.
PMID- 26556450
TI - [Compatibility of intravenous medications needs attention. Catheter occlusion,
treatment failure and embolisms can be prevented].
AB - When dealing with more drugs than available lumens, intravenous medications need
to be co-administered in the same catheter. This type of scenario may induce
therapeutic risks, such as catheter occlusion, changes in drug effect or
embolization of precipitated particles. Various sources are available to provide
information on compatibilities of intravenous medications. When using these
sources, the applicability of the information must be assessed, comparing
concentrations, diluents used and other pharmaceutical aspects. For the last
three years, a group of pharmacists at Sahlgrenska University Hospital has worked
on a project collecting and validating compatibility data for intravenous
medications. In the future, this data will be available to more hospitals in
Sweden.
PMID- 26556451
TI - [Slow-healing ulcers on the feet can be skin tumors--two cases described].
PMID- 26556452
TI - [The point of being wise when the world is insane. The great betrayal].
PMID- 26556453
TI - [Not Available].
PMID- 26556454
TI - [Not Available].
PMID- 26556455
TI - [The Swedish Society of Medicine: Ethics and jurisprudence concerning life
sustaining treatment is clear].
PMID- 26556456
TI - [The Swedish National Board of Health and Welfare on life-sustaining treatment:
The decision always lies with the physician].
PMID- 26556457
TI - [Suicide figures among young are high based on zero vision].
PMID- 26556458
TI - [Reduced risk of suicide with SSRIs a medical triumph].
PMID- 26556459
TI - ["First line" new early intervention for young people's mental health].
PMID- 26556460
TI - [Not Available].
PMID- 26556461
TI - Triticeous Cartilage CT Imaging Characteristics, Prevalence, Extent, and
Distribution of Ossification.
AB - OBJECTIVE: The triticeous cartilage is a small ovoid cartilaginous structure
variably present as a component of the laryngeal skeleton. This structure has
received scant attention in the literature and has yet to be described adequately
on cross-sectional imaging. STUDY DESIGN AND SETTING: Retrospective study in a
tertiary medical center. SUBJECTS AND METHODS: We investigated triticeous
cartilage prevalence in a large population utilizing computed tomography images.
The cases of all patients with computed tomography angiography images of the neck
from October 1, 2013, to September 31, 2014, were examined. A total of 663
patients were included in this study (age: range, 18-97 years; mean +/- SD, 65 +/
15 years), 58.4% men and 41.6% women. The presence of a triticeal cartilage and
its site, number, and degree of ossification were recorded. RESULTS: A total of
53.1% of patients had at least 1 triticeous cartilage (352 of 663). Prevalence
was 57.4% (222 of 387) among men and 47.1% (130 of 276) among women. The presence
of bilateral triticeous cartilages was more common than unilateral (63.1%, 222 of
352). A minority of patients (4.5%, 16 of 352) had a cartilaginous triticeous
with no appreciable ossification, and more than half (54.0%, 190 of 352) had mild
triticeal ossification. Moderate ossification was found in 34.9% of patients (123
of 352) and marked ossification in 6.5% (23 of 352). CONCLUSION: The presence of
a triticeous cartilage is common and of variable appearance. As the clinical and
surgical significance of this anatomic structure may be misinterpreted, it is
important for imaging interpreters to be familiar with this seldom-recognized
anatomic structure and recognize its variable appearance on cross-sectional
imaging to avoid a misdiagnosis.
PMID- 26556462
TI - Short-term Quality-of-Life Outcomes following Transoral Diverticulotomy for
Zenker's Diverticulum: A Prospective Single-Group Study.
AB - OBJECTIVE: To prospectively analyze quality-of-life outcomes following transoral
diverticulotomy with cricopharyngeal myotomy (DCPM) for Zenker's diverticulum
(ZD). STUDY DESIGN: Prospective single-group study. SETTINGS: Tertiary academic
institution. SUBJECTS AND METHODS: A prospective multicenter study performed from
January 1, 2012, to July 1, 2014, included 18 patients presenting with ZD
undergoing DCPM. Standardized questionnaires-including the 10-item Eating
Assessment Tool (EAT-10), Functional Outcome of Swallowing Scale (FOSS), and the
Reflux Symptom Index (RSI)-were completed preoperatively and at 3 and 6 months
postoperatively. Videofluoroscopic studies were obtained and analyzed by our
senior speech-language pathologist, who was blinded to the clinical outcomes.
RESULTS: Eighteen patients were included (11 women; mean age, 72.6 years; range,
53-86 years). All patients had ZD on preoperative videofluoroscopic swallowing
studies. The most common comorbidities included hypertension (10 of 18, 55.6%),
dyslipidemia (8 of 18, 44.4%), hiatal hernia (6 of 18, 33.3%), and
gastroesophageal reflux disease (5 of 18, 27.8%). Median preoperative RSI was 27
(interquartile range [IQR], 22.5-31.5); FOSS, 2 (IQR, 2-3); and EAT-10, 21.5
(IQR, 13.5-27.5). The 3-month questionnaire (88.9% completion) demonstrated an
improved median RSI of 5 (IQR, 1-7.5; P < .001), FOSS of 0 (IQR, 0; P < .001),
and EAT-10 of 0 (IQR, 0-3; P < .001). Fourteen patients (77.8%) completed the 6
month questionnaire, demonstrating a median RSI of 4 (IQR, 0-8), FOSS of 0 (IQR,
0-0.5), and EAT-10 of 1 (IQR, 0-3). While regurgitation decreased following
surgery (P = .007), nighttime cough did not (P = .25). CONCLUSION: This study
supports an improvement in functional outcome and quality of life in patients
with ZD undergoing DCPM.
PMID- 26556463
TI - Potential Benefits of Combination Therapy as Primary Treatment for Sudden
Sensorineural Hearing Loss.
AB - OBJECTIVE: We analyzed the effectiveness of combination therapy (CT) for
idiopathic sudden sensorineural hearing loss (ISSNHL) and the utility of
intratympanic dexamethasone injection (ITDI) reapplication as salvage treatment
for ISSNHL refractory to CT. STUDY DESIGN: Case series with chart review.
SETTING: Academic university hospital. SUBJECTS AND METHODS: We reviewed 229
patients with ISSNHL and divided these patients into 2 groups according to
treatment: systemic steroid therapy (SST) and CT groups. The SST group received
prednisolone therapy. The CT group also received ITDI daily. Patients who
demonstrated no recovery (<10 dB) after initial treatment were defined as
refractory and received salvage ITDI therapy: ITDI reapplication in the CT group
and ITDI application in the SST group. RESULTS: Hearing recovery rates were 77.8%
(77/99) in the CT group and 60.8% (79/130) in the SST group. The difference was
statistically significant (P = .011). Initial pure-tone audiometry and vertigo
were affective factors on hearing recovery rates in the CT group. After salvage
therapy, hearing improvement of 10 dB or greater was noted in 6 of the 22 (27.3%)
patients in the CT group and 16 of the 51 (31.4%) patients in the SST group. The
difference in efficacy of salvage therapy between the CT and SST groups was
simply not significant (P = .612). CONCLUSIONS: Combination therapy was more
effective for ISSNHL in achieving hearing gain than SST alone. Furthermore, ITDI
reapplication for ISSNHL refractory to CT was as effective as salvage ITDI for
ISSNHL refractory to SST.
PMID- 26556464
TI - Amblyaudia: Review of Pathophysiology, Clinical Presentation, and Treatment of a
New Diagnosis.
AB - OBJECTIVE: Similar to amblyopia in the visual system, "amblyaudia" is a term used
to describe persistent hearing difficulty experienced by individuals with a
history of asymmetric hearing loss (AHL) during a critical window of brain
development. Few clinical reports have described this phenomenon and its
consequent effects on central auditory processing. We aim to (1) define the
concept of amblyaudia and (2) review contemporary research on its pathophysiology
and emerging clinical relevance. DATA SOURCES: PubMed, Embase, and Cochrane
databases. REVIEW METHODS: A systematic literature search was performed with
combinations of search terms: "amblyaudia," "conductive hearing loss,"
"sensorineural hearing loss," "asymmetric," "pediatric," "auditory deprivation,"
and "auditory development." Relevant articles were considered for inclusion,
including basic and clinical studies, case series, and major reviews.
CONCLUSIONS: During critical periods of infant brain development, imbalanced
auditory input associated with AHL may lead to abnormalities in binaural
processing. Patients with amblyaudia can demonstrate long-term deficits in
auditory perception even with correction or resolution of AHL. The greatest
impact is in sound localization and hearing in noisy environments, both of which
rely on bilateral auditory cues. Diagnosis and quantification of amblyaudia
remain controversial and poorly defined. Prevention of amblyaudia may be possible
through early identification and timely management of reversible causes of AHL.
IMPLICATIONS FOR PRACTICE: Otolaryngologists, audiologists, and pediatricians
should be aware of emerging data supporting amblyaudia as a diagnostic entity and
be cognizant of the potential for lasting consequences of AHL. Prevention of long
term auditory deficits may be possible through rapid identification and
correction.
PMID- 26556465
TI - Quality Improvement in Otolaryngology Residency: Survey of Program Directors.
AB - OBJECTIVES: The Clinical Learning Environment Review focuses on the
responsibility of the sponsoring institution for quality and patient safety. Very
little information is known regarding the status of quality improvement (QI)
education during otolaryngology training. The purpose of this survey is to
evaluate the extent of resident and faculty participation in QI and identify
opportunities for both resident curriculum and faculty development. STUDY DESIGN:
Cross-sectional survey SUBJECTS AND METHODS: A 15-item survey was distributed to
all 106 otolaryngology program directors. The survey was developed after an
informal review of the literature regarding education in QI and patient safety.
Questions were directed at the format and content of the QI curriculum, as well
as barriers to implementation. RESULTS: There was a 39% response rate. Ninety
percent of responding program directors considered education in QI important or
very important to a resident's future success. Only 23% of responding programs
contained an educational curriculum in QI, and only 33% monitored residents'
individual outcome measures. Barriers to implementation of a QI program included
inadequate number of faculty with expertise in QI (75%) and competing resident
educational demands (90%). Every program director considered morbidity and
mortality conferences as an integral component in QI education. CONCLUSIONS:
Program directors recognize the importance of QI in otolaryngology practice.
Unfortunately, this survey identifies a distinct lack of resources in support of
these educational goals. The results highlight the need to generate a
comprehensive and stepwise approach to QI for faculty development and resident
instruction.
PMID- 26556466
TI - Author Self-Citation in the Otolaryngology Literature: A Pilot Study.
AB - OBJECTIVE: To determine the prevalence of author self-citation in the field of
otolaryngology. STUDY DESIGN AND SETTING: A retrospective review of bibliographic
references in 5 otolaryngology journals. SUBJECTS AND METHODS: Five high-impact
otolaryngology journals were reviewed over a 3-month period between January and
March 2014 to identify the pattern of author self-citations. Data included study
type, otolaryngology topic, authorship, total citations, author self-citations,
and country of origin. RESULTS: Nearly two-thirds of articles contained at least
1 self-citation, with an average of 2.6 self-citations per article. Self
citations represented nearly 10% of total citations. Articles with at least 1
self-citation had more authors (5.8 vs 4.9, P < .01) and more citations (30.4 vs
22.2, P < .01) per article than did those without self-citations. There was no
difference in self-citation practices between articles originating within the
United States and abroad (P = .65). Last authors were the most frequent self
citers and were more likely than lead authors to cite themselves (P < .01).
Original reports contained the highest percentage of self-citations per article
as compared with reviews and case reports (P < .01). CONCLUSION: Author self
citation in the otolaryngology literature is common and compares similarly to
other medical specialties previously studied. Self-citation should not be
considered inappropriate, as it is often done to expand on earlier research.
Nevertheless, editors, researchers, and readers should be aware of this
increasingly recognized phenomenon and its associated potential implications to
the process of scientific inquiry.
PMID- 26556467
TI - Influence of aripiprazole once monthly on clinical symptoms and cognitive
function in two schizophrenia patients: Preliminary results.
PMID- 26556468
TI - Phosphorus Flow Patterns in the Chaohu Watershed from 1978 to 2012.
AB - Understanding historical patterns of phosphorus (P) cycling is critical for
sustainable P management and eutrophication mitigation in watersheds. This study
built a bottom-up model using the substance flow analysis approach to quantify P
cycling in the Chaohu watershed during 1978-2012. We found that P flows have been
intensified, with a 5-fold increase of annual P inputs to sustain the expanding
intensive agriculture. Annually, most P inputs (75%) were stored within the
watershed, which caused accelerating buildup of legacy P in cultivated land (from
4.9 Gg to 6.5 * 10(2) Gg), uncultivated land (from 2.1 Gg to 1.3 * 10(2) Gg) and
surface water (from 3.7 Gg to 2.6 * 10(2) Gg) during 1978-2012. The main legacy P
sources include fertilizer application for cultivated land, phosphogypsum
abandonment for uncultivated land, respectively. The animal husbandry contributed
about 63-66% of total P inputs to surface water. The contribution of animal food
P increased greatly during 1978-2012, from 7% to 24% and from 1% to 8% for urban
and rural residents, respectively. This work demonstrates principle for the
buildup of legacy P at the watershed-scale, and advances the knowledge of
sustainable P management, such as improving agricultural technologies to reduce
fertilizer application.
PMID- 26556469
TI - The objective measurement of physical activity and sedentary behaviour in 2-3
year olds and their parents: a cross-sectional feasibility study in the bi-ethnic
Born in Bradford cohort.
AB - BACKGROUND: The reported lower physical activity (PA) levels of British South
Asians (SA) are suggested as a key influence in their increased risk of non
communicable diseases compared to their White British peers. Differences in
objectively measured PA and sedentary behaviour (SB) between these ethnic groups
have been observed during childhood (ages: 8-10 years). However, no information
exists on objectively measured PA/SB in younger children, or how early in life
differences in these behaviours emerge. Assessing PA/SB in the Born in Bradford
(BIB) cohort study provides an opportunity to address such gaps in the
literature, but previous studies have found recruiting and retaining SA
participants challenging, and the feasibility of using accelerometers with SA
children and parents is unknown. This study investigated the feasibility of
recruiting and objectively measuring the habitual PA/SB of 2-3 year old SA and
White British children and parents from the BIB study. METHODS: Families were
informed about the study during routine BIB assessments. Consenting families were
visited at home for anthropometry measurements, interviews, material delivery and
collection. Participants (child and parents) were instructed to wear the
ActiGraph GT3X+ for 8 days. Descriptive statistics were computed, and ethnic
differences tested (Chi-square) for recruitment uptake and compliance. RESULTS:
160 families (30 % SA) provided contact details, and 97 (22 % SA) agreed to enter
the study. White British families showed lower refusal and higher intake into the
study than SA (p = 0.006). Of 89 children issued with an accelerometer, 34 %
complied with the 8-day protocol (significantly less SA; p = 0.015) and 75 %
provided enough days (>= 3) to assess habitual PA/SB (no ethnic differences).
Parental rates of compliance with the protocol did not differ between
ethnicities. Issues experienced with the protocol and accelerometer use, and
successful implementation strategies/procedures are presented. CONCLUSIONS:
Although greater efforts may be required to recruit SA, those consenting to
participate were as likely as White British to provide enough data to assess
habitual PA/SB. The issues and successful strategies reported in this feasibility
study represent valuable information for planning future studies, and enhance
recruitment and compliance with accelerometer protocols in SA and White British
toddlers and parents.
PMID- 26556470
TI - Applicability of gene expression and systems biology to develop pharmacogenetic
predictors; antipsychotic-induced extrapyramidal symptoms as an example.
AB - Pharmacogenetics has been driven by a candidate gene approach. The disadvantage
of this approach is that is limited by our current understanding of the
mechanisms by which drugs act. Gene expression could help to elucidate the
molecular signatures of antipsychotic treatments searching for dysregulated
molecular pathways and the relationships between gene products, especially
protein-protein interactions. To embrace the complexity of drug response, machine
learning methods could help to identify gene-gene interactions and develop
pharmacogenetic predictors of drug response. The present review summarizes the
applicability of the topics presented here (gene expression, network analysis and
gene-gene interactions) in pharmacogenetics. In order to achieve this, we present
an example of identifying genetic predictors of extrapyramidal symptoms induced
by antipsychotic.
PMID- 26556471
TI - Aptamer/Polydopamine Nanospheres Nanocomplex for in Situ Molecular Sensing in
Living Cells.
AB - A nanocomplex was developed for molecular sensing in living cells, based on the
fluorophore-labeled aptamer and the polydopamine nanospheres (PDANS). Due to the
interaction between ssDNA and PDANS, the aptamer was adsorbed onto the surface of
PDANS forming the aptamer/PDANS nanocomplex, and the fluorescence was quenched by
PDANS through Forster resonance energy transfer (FRET). In vitro assay, the
introduction of adenosine triphosphate (ATP) led to the dissociation of the
aptamer from the PDANS and the recovery of the fluorescence. The retained
fluorescence of the nanocomplex was found to be linear with the concentration of
ATP in the range of 0.01-2 mM, and the nanocomplex was highly selective toward
ATP. For the strong protecting capability to nucleic acids from enzymatic
cleavage and the excellent biocompatibility of PDANS, the nanocomplex was
transported into cells and successfully realized "signal on" sensing of ATP in
living cells; moreover, the nanocomplex could be employed for ATP
semiquantification. This design provides a strategy to develop biosensors based
on the polydopamine nanomaterials for intracellular molecules analysis. For the
advantages of polydopamine, it would be an excellent candidate for many
biological applications, such as gene and drug delivery, intracellular imaging,
and in vivo monitoring.
PMID- 26556472
TI - Circumscribed juvenile pityriasis rubra pilaris responsive to alitretinoin.
PMID- 26556473
TI - Atmospheric Solid Analysis Probe-Ion Mobility Mass Spectrometry: An Original
Approach to Characterize Grafting on Cyclic Olefin Copolymer Surfaces.
AB - A cyclic olefin copolymer (COC) was grafted with aryl layers from aryldiazonium
salts, and then we combined infrared spectrometry, atomic force microscopy (AFM),
and ion mobility mass spectrometry with atmospheric solid analysis probe
ionization (ASAP-IM-MS) to characterize the aryl layers. ASAP is a recent
atmospheric ionization method dedicated to the direct analysis of solid samples.
We demonstrated that ASAP-IM-MS is complementary to other techniques for
characterizing bromine and sulfur derivatives of COC on surfaces. ASAP-IM-MS was
useful for optimizing experimental grafting conditions and to elucidate
hypotheses around aryl layer formation during the grafting process. Thus, ASAP-IM
MS is a good candidate tool to characterize covalent grafting on COC surfaces.
PMID- 26556474
TI - Narrative Length and Speech Rate in Battered Women.
AB - Narrative length and speech rate of traumatic recollections have been previously
associated with different emotions and adjustment trajectories after trauma.
However, the evidence is limited and the results are mixed. The present study
aimed to evaluate length (i.e., word count) and speech rate (i.e., words per
minute) in narratives of events with different valence (i.e., neutral, positive,
and negative/traumatic) by 50 battered women (trauma group) and 50 non
traumatized women (controls). The results showed that traumatic narratives by the
trauma group were longer than those by the control group. Moreover, they were
inversely related to time since the event and anxiety during disclosure, whereas
the speech rate was also inversely associated with anxiety, as well as with
peritraumatic dissociation and avoidance. The shorter narratives for positive
events and a decelerated speech pattern for traumatic experiences predicted
psychological symptoms. Additionally, the individual's emotional state predicted
narrative aspects, with bidirectional effects. Our findings showed that
linguistic characteristics of traumatic narratives (but also of narratives of
positive events) revealed information about how the victims elaborated
autobiographical memories and coped with the trauma.
PMID- 26556475
TI - Primary Hepatic Gastrinoma.
PMID- 26556476
TI - Use of Preoperative Magnetic Resonance Imaging to Select Patients with Rectal
Cancer for Neoadjuvant Chemoradiation--Interim Analysis of the German OCUM Trial
(NCT01325649).
AB - INTRODUCTION: Introduction of total mesorectal excision (TME) surgery for rectal
cancer decreased local recurrence dramatically. Additional neoadjuvant
chemoradiation (nCR) is frequently given in UICC II and III tumors based on TNM
staging which is of limited accuracy. We aimed to evaluate determination of
circumferential margin by magnetic resonance imaging (mrCRM) as an alternative
criterium for nCR. METHODS: Multicenter prospective cohort study which enrolled
642 patients in 13 centers with non-metastasized rectal adenocarcinoma. Patients
with T4 tumors or patients with a mrCRM of 1 mm or less were treated by
neoadjuvant chemoradiation. All others proceeded directly to surgery when
inclusion criteria and no exclusion criteria were met. Quality of TME and
accuracy of mrCRM determination were assessed during pathology workup. RESULTS:
TME was complete in 381 of 389 patients after surgery without nCR (97.9%) and in
245 of 253 patients (96.8%) after nCR. Negative pathology circumferential margins
(pCRM) were seen in 97.4% without nCR and in 89% of patients after nCR. Negative
pCRM was predicted by negative mrCRM in 98.3% of rectal cancers. NCR was given to
253 of 642 patients (39.5%). Lymph node count was 23 (range 7-79; median/range)
for surgery without nCR and 19 (range 2-56) for surgery after nCR. CONCLUSIONS:
Surgical quality determined by pathology workup of specimen was very good in this
study. Magnetic resonance imaging guided indication for nCR allows to achieve
superb results concerning surrogate parameters for good oncological outcome.
Thus, use of neoadjuvant chemoradiation with its potential detrimental side
effects may be substantially reduced in selected patients.
PMID- 26556478
TI - How Much Do Metamemory Beliefs Contribute to the Font-Size Effect in Judgments of
Learning?
AB - Evidence shows that the font size of study items significantly influences
judgments of learning (JOLs) and that people's JOLs are generally higher for
larger words than for smaller words. Previous studies have suggested that font
size influences JOLs in a belief-based way. However, few studies have directly
examined how much people's beliefs contribute to the font-size effect in JOLs.
This study investigated the degree to which font size influenced JOLs in a belief
based way. In Experiment 1, one group of participants (learners) studied words
with different font sizes and made JOLs, whereas another group of participants
(observers) viewed the learners' study phase and made JOLs for the learners. In
Experiment 2, participants made both JOLs and belief-based recall predictions for
large and small words. Our results suggest that metamemory beliefs play an
important role in the font-size effect in JOLs.
PMID- 26556477
TI - Prediction of impending preterm delivery based on sonographic cervical length and
different cytokine levels in cervicovaginal fluid in preterm labor.
AB - AIMS: The aim of this study was to determine whether cervicovaginal interleukin
(IL)-1beta, IL-6 and IL-8 levels, and cervical length, alone or in combination,
could predict impending preterm delivery in women with preterm labor and intact
membranes. MATERIAL AND METHODS: Cervicovaginal swab samples for IL-1beta, IL-6,
and IL-8 assays were taken from 136 consecutive women with preterm labor (23-34
weeks) before the transvaginal ultrasonography examination to measure cervical
length. The primary outcome measurement was spontaneous preterm delivery within 7
days of sampling. RESULTS: Spontaneous preterm delivery within 7 days occurred in
28.6% (39/136) of patients. Receiver-operator characteristic (ROC) curves
indicated that cervical length (P < 0.001), cervicovaginal IL-6 (P < 0.001) and
IL-8 (P = 0.014), but not IL-1beta, could predict delivery within 7 days.
According to the logistic regression analysis, high cervicovaginal IL-8 (P =
0.008) and IL-6 (P = 0.038) levels and short cervical length (P < 0.001) were
significantly associated with delivery within 7 days, even after controlling for
baseline variables. A combination of cervix length and cervicovaginal IL-8
increased the specificity of detecting delivery within 7 days to 92.8%, which was
superior to either test alone (P < 0.001), but the sensitivity was only 56.4%.
CONCLUSION: In women with preterm labor, among the parameters assessed,
cervicovaginal IL-6 and IL-8 and cervical length are the most important
parameters in predicting impending preterm delivery. A combination of cervix
length and cervicovaginal IL-8 appeared to be the best for predicting impending
preterm delivery, but the relatively low sensitivity of this test may limit its
clinical usefulness.
PMID- 26556480
TI - Ancient Origin of the U2 Small Nuclear RNA Gene-Targeting Non-LTR
Retrotransposons Utopia.
AB - Most non-long terminal repeat (non-LTR) retrotransposons encoding a restriction
like endonuclease show target-specific integration into repetitive sequences such
as ribosomal RNA genes and microsatellites. However, only a few target-specific
lineages of non-LTR retrotransposons are distributed widely and no lineage is
found across the eukaryotic kingdoms. Here we report the most widely distributed
lineage of target sequence-specific non-LTR retrotransposons, designated Utopia.
Utopia is found in three supergroups of eukaryotes: Amoebozoa, SAR, and
Opisthokonta. Utopia is inserted into a specific site of U2 small nuclear RNA
genes with different strength of specificity for each family. Utopia families
from oomycetes and wasps show strong target specificity while only a small number
of Utopia copies from reptiles are flanked with U2 snRNA genes. Oomycete Utopia
families contain an "archaeal" RNase H domain upstream of reverse transcriptase
(RT), which likely originated from a plant RNase H gene. Analysis of Utopia from
oomycetes indicates that multiple lineages of Utopia have been maintained inside
of U2 genes with few copy numbers. Phylogenetic analysis of RT suggests the
monophyly of Utopia, and it likely dates back to the early evolution of
eukaryotes.
PMID- 26556481
TI - Understanding the Risk Factors and Long-Term Consequences of Cisplatin-Associated
Acute Kidney Injury: An Observational Cohort Study.
AB - Acute kidney injury (AKI) is a well-known complication of cisplatin-based
chemotherapy; however, its impact on long-term patient survival is unclear. We
sought to determine the incidence and risk factors for development of cisplatin
associated AKI and its impact on long-term renal function and patient survival.
We identified 233 patients who received 629 cycles of high-dose cisplatin (99+/
9mg/m2) for treatment of head and neck cancer between 2005 and 2011. These
subjects were reviewed for development of AKI. Cisplatin nephrotoxicity (CN) was
defined as persistent rise in serum creatinine, with a concomitant decline in
serum magnesium and potassium, in absence of use of nephrotoxic agents and not
reversed with hydration. All patients were hydrated per protocol and none had
baseline glomerular filtration rate (GFR) via CKD-EPI<60mL/min/1.73m2. The
patients were grouped based on development of AKI and were staged for levels of
injury, per KDIGO-AKI definition. Renal function was assessed via serum
creatinine and estimated glomerular filtration rate (eGFR) via CKD-EPI at
baseline, 6- and 12-months. Patients with AKI were screened for the absence of
nephrotoxic medication use and a temporal decline in serum potassium and
magnesium levels. Logistic regression models were constructed to determine risk
factors for cisplatin-associated AKI. Twelve-month renal function was compared
among groups using ANOVA. Kaplan-Maier curves and Cox proportional hazard models
were constructed to study its impact on patient survival. Of 233 patients,
158(68%) developed AKI; 77 (49%) developed stage I, 55 (35%) developed stage II,
and 26 (16%) developed stage III AKI. Their serum potassium and magnesium levels
correlated negatively with level of injury (p<0.05). African American race was a
significant risk factor for cisplatin-associated AKI, OR 2.8 (95% CI 1.3 to 6.3)
and 2.8 (95% CI 1.2 to 6.7) patients with stage III AKI had the lowest eGFR value
at 12 months (p = 0.05) and long-term patient survival (HR 2.1; p<0.01) than
patients with no or lower grades of AKI. Most common causes of death were
recurrent cancer (44%) or secondary malignancy elsewhere (40%). Cisplatin
associated severe AKI occurs in 20% of the patients and has a negative impact on
long-term renal function and patient survival. PEG tube placement may be
protective and should be considered in high risk-patients.
PMID- 26556479
TI - Retinoic Acid Ameliorates Pancreatic Fibrosis and Inhibits the Activation of
Pancreatic Stellate Cells in Mice with Experimental Chronic Pancreatitis via
Suppressing the Wnt/beta-Catenin Signaling Pathway.
AB - Pancreatic fibrosis, a prominent feature of chronic pancreatitis (CP), induces
persistent and permanent damage in the pancreas. Pancreatic stellate cells (PSCs)
provide a major source of extracellular matrix (ECM) deposition during pancreatic
injury, and persistent activation of PSCs plays a vital role in the progression
of pancreatic fibrosis. Retinoic acid (RA), a retinoid, has a broad range of
biological functions, including regulation of cell differentiation and
proliferation, attenuating progressive fibrosis of multiple organs. In the
present study, we investigated the effects of RA on fibrosis in experimental CP
and cultured PSCs. CP was induced in mice by repetitive cerulein injection in
vivo, and mouse PSCs were isolated and activated in vitro. Suppression of
pancreatic fibrosis upon administration of RA was confirmed based on reduction of
histological damage, alpha-smooth muscle actin (alpha-SMA) expression and mRNA
levels of beta-catenin, platelet-derived growth factor (PDGF)-Rbeta transforming
growth factor (TGF)-betaRII and collagen 1alpha1 in vivo. Wnt 2 and beta-catenin
protein levels were markedly down-regulated, while Axin 2 expression level was up
regulated in the presence of RA, both in vivo and in vitro. Nuclear translation
of beta-catenin was significantly decreased following RA treatment, compared with
cerulein-induced CP in mice and activated PSCs. Furthermore, RA induced
significant PSC apoptosis, inhibited proliferation, suppressed TCF/LEF-dependent
transcriptional activity and ECM production of PSC via down-regulation of
TGFbetaRII, PDGFRbeta and collagen 1alpha1 in vitro. These results indicate a
critical role of the Wnt/beta-catenin signaling pathway in RA-induced effects on
CP and PSC regulation and support the potential of RA as a suppressor of
pancreatic fibrosis in mice.
PMID- 26556482
TI - Persistence and concomitant medication in patients with overactive bladder
treated with antimuscarinic agents in primary care. An observational baseline
study.
AB - OBJECTIVE: To assess therapeutic persistence and its relationship with
concomitant medication in patients treated with fesoterodine versus tolterodine
and solifenacin for overactive bladder (OAB) in standard clinical practice
conditions. MATERIAL AND METHODS: An observational, multicentre retrospective
study was performed based on medical registries of patients followed-up in
primary care (PC). Three study groups were analysed. Persistence was defined as
the time (in months) without withdrawing from the initial therapy or without
changing to another medication for at least 30 days after the initial
prescription. The concomitant medications were antidepressants,
anxiolytic/hypnotic agents, antibiotics, antiseptic agents, laxatives and skin
products. We employed the SPSSWIN program version 17 (statistical significance,
P<.05). RESULTS: We selected 3094 patients for the study. The median age was 54.0
years and 62.2% were women. The patients treated with fesoterodine shown greater
treatment persistence (12 months) when compared with those who took solifenacin
and tolterodine (40.2% vs. 34.7% and 33.6%, respectively; P=.008). They also
showed a lower use of concomitant medication (1.1 vs. 1.2 and 1.2 drugs,
respectively; percentages: 61.6% vs. 67.1% and 70.1%, respectively; P<.03).
CONCLUSIONS: The patients undergoing OAB treatment with fesoterodine, when
compared with those taking solifenacin and tolterodine, were associated with
greater treatment persistence and a reduced use of concomitant medication.
PMID- 26556483
TI - Coffee Consumption Decreases Risks for Hepatic Fibrosis and Cirrhosis: A Meta
Analysis.
AB - BACKGROUND AND AIM: Previous studies have demonstrated that coffee consumption
may be inversely correlated with hepatic fibrosis and cirrhosis. However, the
reported results have been inconsistent. To summarize previous evidences
quantitatively, a meta-analysis was performed. METHODS: The Medline, Web of
Science, and Embase databases (from inception to June 2015) were searched to
identify relevant trials that evaluated the effects of coffee consumption on
hepatic fibrosis or cirrhosis. Odds ratios (ORs) of advanced hepatic fibrosis or
cirrhosis for low or moderate, high, and any coffee consumption versus no
consumption were pooled. Two cups per day was used as the cut-off level between
low or moderate and high consumption. RESULTS: Sixteen studies were included,
involving 3034 coffee consumers and 132076 people who do not consume coffee. The
pooled results of the meta-analysis indicated that coffee consumers were less
likely to develop cirrhosis compared with those who do not consume coffee, with a
summary OR of 0.61 (95%CI: 0.45-0.84). For low or moderate coffee consumption
versus no consumption, the pooled OR of hepatic cirrhosis was 0.66 (95%CI: 0.47
0.92). High coffee consumption could also significantly reduce the risk for
hepatic cirrhosis when compared with no coffee consumption (OR = 0.53, 95%CI:
0.42-0.68). The effect of coffee consumption on hepatic fibrosis was summarized
as well. The pooled OR of advanced hepatic fibrosis for coffee consumption versus
no consumption was 0.73 (95%CI: 0.58-0.92). The protective effect of coffee on
hepatic fibrosis and cirrhosis was also identified in subgroup meta-analyses of
patients with alcoholic liver disease and chronic hepatitis C virus (HCV)
infection. CONCLUSION: Coffee consumption can significantly reduce the risk for
hepatic fibrosis and cirrhosis.
PMID- 26556484
TI - Cessation of spread as a treatment objective in vitiligo: perception from the
patients' point of view.
PMID- 26556485
TI - Significance of Serum Pepsinogens as a Biomarker for Gastric Cancer and Atrophic
Gastritis Screening: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Human pepsinogens are considered promising serological biomarkers for
the screening of atrophic gastritis (AG) and gastric cancer (GC). However, there
has been controversy in the literature with respect to the validity of serum
pepsinogen (SPG) for the detection of GC and AG. Consequently, we conducted a
systematic review and meta-analysis to assess the diagnostic accuracy of SPG in
GC and AG detection. METHODS: We searched PubMed, Embase, and the Chinese
National Knowledge Infrastructure (CNKI) for correlative original studies
published up to September 30, 2014. The summary sensitivity, specificity,
positive diagnostic likelihood ratio (DLR+), negative diagnostic likelihood ratio
(DLR-), area under the summary receiver operating characteristic curve (AUC) and
diagnostic odds ratio (DOR) were used to evaluate SPG in GC and AG screening
based on bivariate random effects models. The inter-study heterogeneity was
evaluated by the I2 statistics and publication bias was assessed using Begg and
Mazumdar's test. Meta-regression and subgroup analyses were performed to explore
study heterogeneity. RESULTS: In total, 31 studies involving 1,520 GC patients
and 2,265 AG patients were included in the meta-analysis. The summary
sensitivity, specificity, DLR+, DLR-, AUC and DOR for GC screening using SPG were
0.69 (95% CI: 0.60-0.76), 0.73 (95% CI: 0.62-0.82), 2.57 (95% CI: 1.82-3.62), and
0.43 (95% CI: 0.34-0.54), 0.76 (95% CI: 0.72-0.80) and 6.01 (95% CI: 3.69-9.79),
respectively. For AG screening, the summary sensitivity, specificity, DLR+, DLR-,
AUC and DOR were 0.69 (95% CI: 0.55-0.80), 0.88 (95% CI: 0.77-0.94), 5.80 (95%
CI: 3.06-10.99), and 0.35 (95% CI: 0.24-0.51), 0.85 (95% CI: 0.82-0.88) and 16.50
(95% CI: 8.18-33.28), respectively. In subgroup analysis, the use of combination
of concentration of PGI and the ratio of PGI:PGII as measurement of SPG for GC
screening yielded sensitivity of 0.70 (95% CI: 0.66-0.75), specificity of 0.79
(95% CI: 0.79-0.80), DOR of 6.92 (95% CI: 4.36-11.00), and AUC of 0.78 (95% CI:
0.72-0.81), while the use of concentration of PGI yielded sensitivity of 0.55
(95% CI: 0.51-0.60), specificity of 0.79 (95% CI: 0.76-0.82), DOR of 6.88 (95%
CI: 2.30-20.60), and AUC of 0.77 (95% CI: 0.73-0.92). For AG screening, the use
of ratio of PGI:PGII as measurement of SPG yielded sensitivity of 0.69 (95% CI:
0.52-0.83), specificity of 0.84 (95% CI: 0.68-0.93), DOR of 11.51 (95% CI: 6.14
21.56), and AUC of 0.83 (95% CI: 0.80-0.86), the use of combination of
concentration of PGI and the ratio of PGI:PGII yield sensitivity of 0.79 (95% CI:
0.72-0.85), specificity of 0.89 (95% CI: 0.85-0.93), DOR of 24.64 (95% CI: 6.95
87.37), and AUC of 0.87 (95% CI: 0.81-0.92), concurrently, the use of
concentration of PGI yield sensitivity of 0.46 (95% CI: 0.38-0.54), specificity
of 0.93 (95% CI: 0.91-0.95), DOR of 19.86 (95% CI: 0.86-456.91), and AUC of 0.86
(95% CI: 0.52-1.00). CONCLUSION: SPG has great potential as a noninvasive,
population-based screening tool in GC and AG screening. In addition, given the
potential publication bias and high heterogeneity of the included studies,
further high quality studies are required in the future.
PMID- 26556486
TI - Higher intrinsic network excitability in ventral compared with the dorsal
hippocampus is controlled less effectively by GABAB receptors.
AB - BACKGROUND: Elucidating specializations of the intrinsic neuronal network between
the dorsal and the ventral hippocampus is a recently emerging area of research
that is expected to help us understand the mechanisms underlying large scale
functional diversification along the hippocampus. The aim of this study was to
characterize spontaneous network activity between the dorsal and the ventral
hippocampus induced under conditions of partial or complete blockade of GABAergic
inhibition (i.e. disinhibition). RESULTS: Using field recordings from the CA3 and
CA1 fields of hippocampal slices from adult rats I found that ventral compared
with dorsal hippocampus slices displayed higher propensity for and higher
frequency of occurrence of spontaneous field potentials (spfps) at every level of
disinhibition. Also NMDA receptor-depended spfps (spfps(-nmda)) occurred with
higher probability more frequently and were larger in the ventral compared with
the dorsal hippocampus. Importantly, blockade of GABA(B) receptors produced a
stronger effect in enhancing the probability of generation of spfps and spfps(
nmda) in the dorsal compared with the ventral hippocampal slices and increased
spfps(-nmda) only in dorsal slices. CONCLUSION: These results demonstrate a
higher intrinsic neuronal excitability of the ventral compared with the dorsal
local circuitry with the considerable contribution of NMDA receptors.
Furthermore, the GABA(B) receptors control the total and the NMDA receptor
dependent excitation much less effectively in the ventral part of the
hippocampus. It is proposed that NMDA and GABA(B) receptors significantly
contribute to differentiate local network dynamics between the dorsal and the
ventral hippocampus with important implications in the information processing
performed along the long hippocampal axis.
PMID- 26556487
TI - The effect of aortic stenosis on elderly hip fracture outcomes: A case control
study.
AB - INTRODUCTION: Aortic stenosis (AS) is an established predictor of perioperative
complications following both cardiac and non-cardiac surgery. The purpose of this
study was to evaluate the risk of mortality and perioperative complications among
surgically treated hip fractures in elderly patients with moderate or severe AS
compared to those without AS (negative controls). MATERIALS AND METHODS: A
retrospective case-controlled review (1:2) of elderly (>=65 years) surgically
treated hip fractures from 2011 to 2015 with moderate/severe AS (according to
American Heart Association criteria) was conducted. Postoperative complication
rates, 30 days and 1 year mortality were reviewed. RESULTS: Moderate/severe AS
was identified in 65 hip fracture cases and compared to 129 negative controls. AS
cases were significantly older with higher rates of coronary artery disease and
atrial fibrillation (p<0.05). Rates of any 30-day perioperative complication (74%
vs. 37%, p<0.001) and severe non-cardiac 30-day perioperative complication (52%
vs. 26%, p=0.002) were significantly higher among AS cases compared to controls.
Kaplan Meier estimates of 30-day mortality (14.7% vs. 4.2%, p<0.001) and 1-year
mortality (46.8% vs. 14.1%, p<0.001) were significantly higher in AS cases
compared to controls. Multivariate analysis of severe 30-day postoperative
complications identified moderate/severe AS (OR 4.02, p=0.001), pulmonary disease
(OR 7.36, p=0.002) and renal disease (OR 3.27, p=0.04) as independent predictors.
Moderate/severe AS (OR 3.38, p=0.03), atrial fibrillation (OR 3.73, p=0.03) and
renal disease (OR 4.44, p=0.02) were independent predictors of 30-day mortality.
Moderate/severe AS (OR 5.79, p<0.001) and renal disease (OR 3.39, p=0.02) were
independent predictors of 1-year mortality. CONCLUSION: Aortic stenosis is
associated with a significantly increased risk of perioperative complications, 30
day mortality and 1-year mortality in elderly patients undergoing surgical
treatment of hip fractures.
PMID- 26556488
TI - Injured patients with very high blood alcohol concentrations.
AB - OBJECTIVE: Most data regarding high blood alcohol concentrations (BAC) >=400
mg/dL have been from alcohol poisoning deaths. Few studies have described this
group and reported their alcohol consumption patterns or outcomes compared to
other trauma patients. We hypothesised trauma patients with very high BACs
arrived to the trauma centre with less severe injuries than their sober
counterparts. METHOD: Historical cohort of 46,222 patients admitted to a major
trauma centre between January 1, 2002 and October 31, 2011. BAC was categorised
into ordinal groups by 100 mg/dL intervals. Alcohol questionnaire data on
frequency and quantity was captured in the BAC >=400 mg/dL group. The primary
analysis was for BAC >=400 mg/dL. RESULTS: BAC was recorded in 44,502 (96.3%)
patients. Those with a BAC >=400 mg/dL accounted for 1.1% (147) of BAC positive
cases. These patients had the lowest proportion of severe trauma and in-hospital
death in comparison with the other alcohol groups (p<0.001). In adjusted
analysis, the risk for severe injury increased with the BAC groups between 1 and
199 mg/dL and was not different or decreased for groups above 200 mg/dL in
reference to the BAC negative group (test for trend p=0.001). BAC >=400 group
encountered more injuries caused by blunt trauma in comparison with the other
alcohol groups (p<0.001), and the group comprised mainly of falls. Admission
Glasgow Coma Scale was a poor predictor for traumatic brain injury in the high
BAC group. Readmission occurred in 22.4% (33) of patients the BAC >=400 group.
The majority of these patients reported drinking alcohol 4 or more days per week
(81, 67.5%) and five or more drinks per day (79, 65.8%), evident of risky alcohol
use. CONCLUSIONS: Most traumas admitted with BAC >=400 mg/dL survived and their
injuries were less severe than their less intoxicated and sober counterparts.
They also had evidence for risky alcohol use and nearly one-quarter returned to
the trauma centre with another injury over the study period. Recognition of this
highest BAC group presents an opportunity to provide focused care for their risky
alcohol use.
PMID- 26556490
TI - Correction: MRPack: Multi-Algorithm Execution Using Compute-Intensive Approach in
MapReduce.
PMID- 26556489
TI - [Pathophysiology of implant-associated infections: From biofilm to osteolysis and
septic loosening].
AB - Biofilm formation is the key factor in the pathogenesis of implant-associated
infections. The most common pathogens isolated are Staphylococcus species,
opportunists belonging to the physiological flora of the skin. Biofilm formation
starts with the adhesion of bacteria and colonisation preferentially occurs on
the surfaces of the foreign body material. As an interactive symbiotic "city of
microbes," biofilm formation represents an efficient survival strategy for
bacteria. In clinically apparent infections the biofilm induces a local host
response with infiltration of phagocytic immune cells. The proinflammatory
microenvironment results in a stimulation of osteoclastogenesis, with local
osteolysis, and finally septic loosening of the implant. According to the biofilm
theory, retaining the implant in primary revision surgery is only recommended in
early-stage infections with a stable implant; in late-stage infections, or when
loosening occurs, the implant should be removed. Results of previous anti-biofilm
therapies have not been satisfactory; therefore, current research is focused on
prevention strategies, especially the modification of implant surfaces. Basic
knowledge of the underlying pathophysiology is a prerequisite for the development
of innovative interdisciplinary therapy and prevention strategies; in this
context, essential aspects of biofilm formation, its consequences, and its
relevance to diagnosis and therapy are described and discussed.
PMID- 26556491
TI - [Peritonitis carcinomatosa from colorectal carcinoma: new treatment options].
AB - Peritonitis carcinomatosa occurs in 10% of patients with colorectal carcinoma.
Compared with patients with lung and liver metastases, survival in patients with
peritonitis carcinomatosa is worse if treated with systemic chemotherapy.
However, treatment with cytoreductive surgery and hyperthermic intraperitoneal
chemotherapy (HIPEC) offers longer survival than systemic chemotherapy. A Dutch
registration study shows that the 3- and 5-year survival of patients treated with
cytoreductive surgery and HIPEC had a 3-year survival of 46% and a 5-year
survival of 31%. Mortality and morbidity have dropped greatly due to
standardisation of the intervention in accordance with the Dutch protocol.
PMID- 26556492
TI - [Guideline thyroid cancer including diagnostics of the nodule].
AB - Thyroid cancer is comparatively rare. Thyroid nodules, on the other hand, are
frequently diagnosed as a result of increasing use of diagnostic imaging.
Cytological investigation of small nodules that have been found by chance often
reveals micropapillary carcinoma that is probably not clinically relevant. The
new guideline 'Thyroid cancer' advises that cytological investigation of these
non-palpable, incidentally discovered thyroid nodules should only be performed on
indication. The standard treatment for patients with papillary or follicular
thyroid cancer consists of thyroidectomy followed by, if indicated, lymph-node
dissection, ablation therapy with radioactive iodine and TSH-suppression. The
extent of this treatment is determined on the basis of known prognostic factors
and the results of initial treatment. Targeted systemic therapy is available for
patients with metastatic progressive disease. There is more focus on the effects
of short- and long-term treatment, in order to optimise quality of life.
PMID- 26556493
TI - [Do not always puncture a thyroid nodule].
AB - The incidence of thyroid cancer is increasing, especially the papillary subtype.
This increase can be mainly attributed to the detection of small - mostly
indolent - papillary thyroid cancers as a consequence of the higher sensitivity
of diagnostic techniques and the more frequent use of diagnostic applications.
Two patients are described with (non-)palpable thyroid nodules to illustrate the
risk of overdiagnostics and overtreatment. A thyroid incidentaloma detected on
ultrasound, CT or MRI does not require further diagnostic procedures as standard.
The pros and cons of diagnostic procedures must be discussed in a
multidisciplinary team.
PMID- 26556494
TI - [A man with an abnormality of the upper arm on an X-ray of the chest].
AB - A 82-year-old man was referred to our hospital because of a history of falling.
Routine radiography of the chest accidentally showed an abnormality of the left
upper arm. The x-ray showed calcifications - rings and arcs - also known as
popcorn calcifications. This is a typical radiological sign which may indicate a
tumour of the condroid matrix.
PMID- 26556495
TI - [Not Available].
AB - The management of anticoagulation in patients undergoing invasive procedures is a
complex clinical problem. Interrupting anticoagulation for a procedure increases
the risk of thromboembolism. On the other hand, bridging anticoagulation with an
alternative, short-acting anticoagulans increases the risk for peri-operative
bleeding. A recent randomized controlled trial (the BRIDGE trial) shows that in
patients with atrial fibrillation who had anticoagulant treatment interrupted for
an elective invasive procedure, a strategy of forgoing bridging anticoagulation
decreased the risk of major bleeding and was non-inferior to peri-operative
bridging with low-molecular-weight heparin for the prevention of arterial
thromboembolism. However, the study deserves comment. First, most of the patients
were classified at low risk for thromboembolism, with a mean CHADS2-score of 2.3.
Second, the majority of the procedures were low bleeding risk procedures. Whether
bridging anticoagulation is helpful for patients with moderate to high-risk for
thromboembolism undergoing high bleeding risk procedures remains unanswered.
PMID- 26556497
TI - Monomeric Chiral and Achiral Basket-Handle Porphyrins: Synthesis, Structural
Features, and Arrested Tautomerism.
AB - Chiral and achiral basket-handle porphyrins (BHPs) with different p-xylene straps
and peripheral solubilizing groups were synthesized using a previously
established synthetic approach. Subsequent modification, functionalization, and
metalation of the tetrapyrrolic macrocycle yielded more than 80 BHPs. The chiral
representatives were resolved into their enantiomers, whose absolute
configurations were determined by comparison of their ECD spectra with other
experimental or quantum chemically calculated spectra. NMR studies and coupled
cluster calculations proved that the free base BHPs, although highly symmetric,
exhibited the phenomenon of "arrested tautomerism". Comparison of the solid-state
structures of three metalated BHPs offered detailed insight into their three
dimensional shape. Finally, directly linked dimeric porphyrins with a BHP subunit
were synthesized from functionalized BHPs to prove their value as synthetic
building blocks.
PMID- 26556498
TI - Metreleptin Treatment in Patients with Non-HIV Associated Lipodystrophy.
AB - Lipodystrophies are a heterogeneous group of disorders characterized by
congenital or acquired loss of adipose tissue. Recently, metreleptin, a
recombinant human leptin analog, has been approved for the treatment of patients
with generalized lipodystrophy. Leptin is an adipokine which has a fundamental
role in glucose and lipid homeostasis. Metreleptin treatment has been
demonstrated to improve metabolic abnormalities such as hyperglycemia,
hypertriglyceridemia, increased hepatic fat content and elevated liver enzymes
alanine transaminase and aspartate transaminase in patients with generalized
lipodystrophy, and to correct hyperphagia that likely occurs as a result of
leptin deficiency. Limited data has also suggested that metreleptin treatment
might be beneficial on metabolic abnormalities in patients with partial
lipodystrophy. This review focuses on potential benefits of metreleptin in
various forms of non-HIV associated lipodystrophy. Safety issues have been
discussed. Recent patent submissions have also been reviewed.
PMID- 26556496
TI - Shotgun Mitogenomics Provides a Reference Phylogenetic Framework and Timescale
for Living Xenarthrans.
AB - Xenarthra (armadillos, sloths, and anteaters) constitutes one of the four major
clades of placental mammals. Despite their phylogenetic distinctiveness in
mammals, a reference phylogeny is still lacking for the 31 described species.
Here we used Illumina shotgun sequencing to assemble 33 new complete
mitochondrial genomes, establishing Xenarthra as the first major placental clade
to be fully sequenced at the species level for mitogenomes. The resulting data
set allowed the reconstruction of a robust phylogenetic framework and timescale
that are consistent with previous studies conducted at the genus level using
nuclear genes. Incorporating the full species diversity of extant xenarthrans
points to a number of inconsistencies in xenarthran systematics and species
definition. We propose to split armadillos into two distinct families Dasypodidae
(dasypodines) and Chlamyphoridae (euphractines, chlamyphorines, and tolypeutines)
to better reflect their ancient divergence, estimated around 42 Ma. Species
delimitation within long-nosed armadillos (genus Dasypus) appeared more complex
than anticipated, with the discovery of a divergent lineage in French Guiana.
Diversification analyses showed Xenarthra to be an ancient clade with a constant
diversification rate through time with a species turnover driven by high but
constant extinction. We also detected a significant negative correlation between
speciation rate and past temperature fluctuations with an increase in speciation
rate corresponding to the general cooling observed during the last 15 My.
Biogeographic reconstructions identified the tropical rainforest biome of
Amazonia and the Guiana Shield as the cradle of xenarthran evolutionary history
with subsequent dispersions into more open and dry habitats.
PMID- 26556499
TI - Longitudinal Change in Thigh Muscle Strength Prior to and Concurrent With Minimum
Clinically Important Worsening or Improvement in Knee Function: Data From the
Osteoarthritis Initiative.
AB - OBJECTIVE: To investigate whether a clinically relevant change in knee function
in patients with or at risk for osteoarthritis (OA) of the knee is associated
with concurrent or prior change in thigh muscle strength. METHODS: Participants
in the Osteoarthritis Initiative (n = 2,675 [1,485 women and 1,190 men]) with
available measurements of isometric muscle strength at baseline, 2-year follow
up, and 4-year follow-up were grouped into those with greater than minimum
clinically important worsening (score of >=6 [of a possible 68] on the Western
Ontario and McMaster Universities Osteoarthritis Index function subscale) between
2-year follow-up and 4-year follow-up, those with greater than minimum clinically
important improvement, and those without relevant change. Changes in isometric
muscle strength concurrent with function change (between 2-year follow-up and 4
year follow-up) and preceding function change (between baseline and 2-year follow
up), with 95% confidence intervals (95% CIs), were determined, and differences
between groups were assessed by analysis of covariance. RESULTS: Concurrent loss
in extensor muscle strength in participants with worsening knee function during
the 2-year follow-up-4-year follow-up period differed significantly from that in
participants without change in knee function (-4.6% [95% CI -6.8, -2.4] and -2.2%
[95% CI -3.0, -1.4], respectively; P = 0.03), as did the concurrent increase in
strength among those with functional improvement (2.2% [95% CI -0.3, 4.7]; P <
0.0001). This increase in strength among subjects with improved function remained
significantly different from the change in subjects with no change in function
after adjustment for covariates, but was preceded by a greater loss in strength (
7.7% [95% CI -10.3, -5.0], P = 0.02) during the baseline-year 2 period compared
to those without change in function during the year 2-year 4 period (-4.3% [95%
CI -5.2, -3.4]). The decrease in strength during the baseline-year 2 period in
those with worsening knee function during the year 2-year 4 period (-4.5% [95% CI
-6.9, -2.2]) did not differ significantly from that in patients without a change
in function (P = 0.87). No differences in changes in flexor muscle strength were
observed between groups. CONCLUSION: These findings suggest that there is a
positive concurrent longitudinal association between change in extensor muscle
strength and worsening/improvement in knee function in patients with knee OA.
However, a corresponding change in thigh muscle strength preceding the change in
function was not observed.
PMID- 26556500
TI - Considerations for initial therapy in the treatment of acute heart failure.
AB - The diagnosis of patients presenting to the emergency department with acute heart
failure (AHF) is challenging due to the similarity of AHF symptoms to other
conditions such as chronic obstructive pulmonary disease and pneumonia.
Additionally, because AHF is most common in an older population, the presentation
of coexistent pathologies further increases the challenge of making an accurate
diagnosis and selecting the most appropriate treatment. Delays in the diagnosis
and treatment of AHF can result in worse outcomes and higher healthcare costs.
Rapid initiation of treatment is thus necessary for optimal disease management.
Early treatment decisions for patients with AHF can be guided by risk
stratification models based on initial clinical data, including blood pressure,
levels of troponin, blood urea nitrogen, serum creatinine, B-type natriuretic
peptide, and ultrasound. In this review, we discuss methods for differentiating
high-risk and low-risk patients and provide guidance on how treatment decisions
can be informed by risk-level assessment. Through the use of these approaches,
emergency physicians can play an important role in improving patient management,
preventing unnecessary hospitalizations, and lowering healthcare costs. This
review differs from others published recently on the topic of treating AHF by
providing a detailed examination of the clinical utility of diagnostic tools for
the differentiation of dyspneic patients such as bedside ultrasound, hemodynamic
changes, and interrogation of implantable cardiac devices. In addition, our
clinical guidance on considerations for initial pharmacologic therapy in the
undifferentiated patient is provided. It is crucial for emergency physicians to
achieve an early diagnosis of AHF and initiate therapy in order to reduce
morbidity, mortality, and healthcare costs.
PMID- 26556501
TI - Effect of ATM and HDAC Inhibition on Etoposide-Induced DNA Damage in Porcine
Early Preimplantation Embryos.
AB - Oocyte maturation and embryonic development are sensitive to DNA damage. Compared
with somatic cells or oocytes, little is known about the response to DNA damage
in early preimplantation embryos. In this study, we examined DNA damage
checkpoints and DNA repair mechanisms in parthenogenetic preimplantation porcine
embryos. We found that most of the etoposide-treated embryos showed delay in
cleavage and ceased development before the blastocyst stage. In DNA-damaged
embryos, the earliest positive TUNEL signals were detected on Day 5 of in vitro
culture. Caffeine, which is an ATM (ataxia telangiectasia mutated) and ATR
(ataxia telangiectasia and Rad3-related protein) kinase inhibitor, and KU55933,
which is an ATM kinase inhibitor, were equally effective in rescuing the
etoposide-induced cell-cycle blocks. This indicates that ATM plays a central role
in the regulation of the checkpoint mechanisms. Treating the embryos with histone
deacetylase inhibitors (HDACi) increased embryonic development and reduced
etoposide-induced double-strand breaks (DSBs). The mRNA expression of genes
involved in non-homologous end-joining (NHEJ) or homologous recombination (HR)
pathways for DSB repair was reduced upon HDACi treatment in 5-day-old embryos.
Furthermore, HDACi treatment increased the expression levels of pluripotency
related genes (OCT4, SOX2 and NANOG) and decreased the expression levels of
apoptosis-related genes (CASP3 and BAX). These results indicate that early
embryonic cleavage and development are disturbed by etoposide-induced DNA damage.
ATMi (caffeine or KU55933) treatment bypasses the checkpoint while HDACi
treatment improves the efficiency of DSB repair to increase the cleavage and
blastocyst rate in porcine early preimplantation embryos.
PMID- 26556502
TI - Public Data Archiving in Ecology and Evolution: How Well Are We Doing?
AB - Policies that mandate public data archiving (PDA) successfully increase
accessibility to data underlying scientific publications. However, is the data
quality sufficient to allow reuse and reanalysis? We surveyed 100 datasets
associated with nonmolecular studies in journals that commonly publish ecological
and evolutionary research and have a strong PDA policy. Out of these datasets,
56% were incomplete, and 64% were archived in a way that partially or entirely
prevented reuse. We suggest that cultural shifts facilitating clearer benefits to
authors are necessary to achieve high-quality PDA and highlight key guidelines to
help authors increase their data's reuse potential and compliance with journal
data policies.
PMID- 26556503
TI - Antarctic glacio-eustatic contributions to late Miocene Mediterranean desiccation
and reflooding.
AB - The Messinian Salinity Crisis (MSC) was a marked late Neogene oceanographic event
during which the Mediterranean Sea evaporated. Its causes remain unresolved, with
tectonic restrictions to the Atlantic Ocean or glacio-eustatic restriction of
flow during sea-level lowstands, or a mixture of the two mechanisms, being
proposed. Here we present the first direct geological evidence of Antarctic ice
sheet (AIS) expansion at the MSC onset and use a delta(18)O record to model
relative sea-level changes. Antarctic sedimentary successions indicate AIS
expansion at 6 Ma coincident with major MSC desiccation; relative sea-level
modelling indicates a prolonged ~50 m lowstand at the Strait of Gibraltar, which
resulted from AIS expansion and local evaporation of sea water in concert with
evaporite precipitation that caused lithospheric deformation. Our results
reconcile MSC events and demonstrate that desiccation and refilling were timed by
the interplay between glacio-eustatic sea-level variations, glacial isostatic
adjustment and mantle deformation in response to changing water and evaporite
loads.
PMID- 26556504
TI - Exchanging the liquidity hypothesis: Delay discounting of money and self-relevant
non-money rewards.
AB - Evidence that primary rewards (e.g., food and drugs of abuse) are discounted more
than money is frequently attributed to money's high degree of liquidity, or
exchangeability for many commodities. The present study provides some evidence
against this liquidity hypothesis by contrasting delay discounting of monetary
rewards (liquid) and non-monetary commodities (non-liquid) that are self-relevant
and utility-matched. Ninety-seven (97) undergraduate students initially completed
a conventional binary-choice delay discounting of money task. Participants
returned one week later and completed a self-relevant commodity delay discounting
task. Both conventional hypothesis testing and more-conservative tests of
statistical equivalence revealed correspondence in rate of delay discounting of
money and self-relevant commodities, and in one magnitude condition, less
discounting for the latter. The present results indicate that liquidity of money
cannot fully account for the lower rate of delay discounting compared to non
money rewards.
PMID- 26556505
TI - Assessing Measurement Invariance for Spanish Sentence Repetition and Morphology
Elicitation Tasks.
AB - PURPOSE: The purpose of this study was to evaluate evidence supporting the
construct validity of two grammatical tasks (sentence repetition, morphology
elicitation) included in the Spanish Screener for Language Impairment in Children
(Restrepo, Gorin, & Gray, 2013). We evaluated if the tasks measured the targeted
grammatical skills in the same way across predominantly Spanish-speaking children
with typical language development and those with primary language impairment.
METHOD: A multiple-group, confirmatory factor analytic approach was applied to
examine factorial invariance in a sample of 307 predominantly Spanish-speaking
children (177 with typical language development; 130 with primary language
impairment). The 2 newly developed grammatical tasks were modeled as measures in
a unidimensional confirmatory factor analytic model along with 3 well-established
grammatical measures from the Clinical Evaluation of Language Fundamentals-Fourth
Edition, Spanish (Wiig, Semel, & Secord, 2006). RESULTS: Results suggest that
both new tasks measured the construct of grammatical skills for both language
ability groups in an equivalent manner. CONCLUSIONS: There was no evidence of
bias related to children's language status for the Spanish Screener for Language
Impairment in Children Sentence Repetition or Morphology Elicitation tasks.
Results provide support for the validity of the new tasks as measures of
grammatical skills.
PMID- 26556506
TI - Periodicity Dependence of the Built-in Electric Field in
(Ba0.7Ca0.3)TiO3/Ba(Zr0.2Ti0.8)O3 Ferroelectric Superlattices.
AB - Symmetric ferroelectric superlattices consisting of (Ba0.7Ca0.3)TiO3 (BCT) and
Ba(Zr0.2Ti0.8)O3 (BZT) layers were successfully grown on La0.7Sr0.3MnO3
electroded (001)-oriented SrTiO3 substrates by laser molecular beam epitaxy. With
the monitor of reflective high-energy electron diffraction, the growth mode and
rate were precisely controlled to realize the desired superlattice periodicity as
confirmed by both X-ray diffraction and transmission electron microscopy results.
The microscopic piezoelectric response and macroscopic ferroelectric properties
were investigated as a function of periodicity of the BCTm/BZTm (m = 3, 5, 10,
and 15 unit cells) superlattices. The existence of a built-in electric field was
confirmed in all the superlattices and its strength was highly dependent on the
periodicity. The excellent tunability of built-in electric field opens a path for
designing microelectronic devices with various functionalities based on BCTm/BZTm
superlattices.
PMID- 26556507
TI - Baseline Correction of Diffuse Reflection Near-Infrared Spectra Using Searching
Region Standard Normal Variate (SRSNV).
AB - An alternative baseline correction method for diffuse reflection near-infrared
(NIR) spectra, searching region standard normal variate (SRSNV), was proposed.
Standard normal variate (SNV) is an effective pretreatment method for baseline
correction of diffuse reflection NIR spectra of powder and granular samples;
however, its baseline correction performance depends on the NIR region used for
SNV calculation. To search for an optimal NIR region for baseline correction
using SNV, SRSNV employs moving window partial least squares regression (MWPLSR),
and an optimal NIR region is identified based on the root mean square error
(RMSE) of cross-validation of the partial least squares regression (PLSR) models
with the first latent variable (LV). The performance of SRSNV was evaluated using
diffuse reflection NIR spectra of mixture samples consisting of wheat flour and
granular glucose (0-100% glucose at 5% intervals). From the obtained NIR spectra
of the mixture in the 10 000-4000 cm(-1) region at 4 cm intervals (1501 spectral
channels), a series of spectral windows consisting of 80 spectral channels was
constructed, and then SNV spectra were calculated for each spectral window. Using
these SNV spectra, a series of PLSR models with the first LV for glucose
concentration was built. A plot of RMSE versus the spectral window position
obtained using the PLSR models revealed that the 8680-8364 cm(-1) region was
optimal for baseline correction using SNV. In the SNV spectra calculated using
the 8680-8364 cm(-1) region (SRSNV spectra), a remarkable relative intensity
change between a band due to wheat flour at 8500 cm(-1) and that due to glucose
at 8364 cm(-1) was observed owing to successful baseline correction using SNV. A
PLSR model with the first LV based on the SRSNV spectra yielded a determination
coefficient (R2) of 0.999 and an RMSE of 0.70%, while a PLSR model with three LVs
based on SNV spectra calculated in the full spectral region gave an R2 of 0.995
and an RMSE of 2.29%. Additional evaluation of SRSNV was carried out using
diffuse reflection NIR spectra of marzipan and corn samples, and PLSR models
based on SRSNV spectra showed good prediction results. These evaluation results
indicate that SRSNV is effective in baseline correction of diffuse reflection NIR
spectra and provides regression models with good prediction accuracy.
PMID- 26556508
TI - Team Editorial.
PMID- 26556509
TI - Dean's Update.
PMID- 26556510
TI - Membership Update.
PMID- 26556511
TI - FGDP(UK) Divisional Update.
PMID- 26556512
TI - Interface Between Dementia and Oral Health Event.
PMID- 26556513
TI - Patients' Priorities and Attitudes Towards Their Temporo-Mandibular Disorders.
AB - The diagnosis and appropriate management of temporo-mandibular disorders (TMDs)
remains controversial. Current scientific evidence highlights the importance of
psychosocial factors in sufferers and the reducing emphasis on occlusal or
dental/prosthetic factors. This paper describes the findings of a survey of 211
patients reporting pain from their temporo-mandibular joint area and associated
structures. This article offers busy primary dental care practitioners a cost
effective questionnaire for obtaining relevant information from patients about
the history of their condition and highlights what patients hope to achieve
through the management of their disorder. It also emphasises the importance of
communicating effectively with patients and offers practical tips for the
management of TMDs in primary care.
PMID- 26556514
TI - Credibility and Confidence in Your Dental Laboratory Work-How Quality Assurance
Systems Can Be Used in the Manufacturing of Individual Custom-Made Dental
Devices.
AB - Manufacturing of custom-made dental devices such as removable dentures, fixed
prosthodontics and orthodontics are subject to the requirements of the Medical
Devices Directive (MDD). Many dental laboratories often enhance these
requirements by implementing quality assurance procedures that then provide
enhanced consistency. This paper provided a personal view of some of the systems
currently being used in dental laboratories to provide a quality assured product
and associated issues.
PMID- 26556515
TI - Risk Assessment for Tooth Wear.
AB - Tooth wear has an increasing prevalence in the UK population. The aetiology is
commonly multifactorial, and the aetiopathology is through a combination of
erosion, attrition, abrasion and abfraction. Erosion is associated with intrinsic
or extrinsic acids, and therefore subjects with reflux disease and eating
disorders are at increased risk. Fruit juice, fruits and carbonated drink
consumption, frequency of consumption and specific habits are also risk factors.
Attrition is more prevalent in bruxists. Other habits need to be considered when
defining the risk of tooth wear. Abrasion is usually associated with
toothbrushing and toothpastes, especially in an already acidic environment.
Patients with extensive lesions that affect dentin may be at higher risk, as well
as those presenting with unstained lesions. Monitoring of the progress of tooth
wear is recommended to identify those with active tooth wear. Indices for tooth
wear are a helpful aid.
PMID- 26556516
TI - Bisphosphonate and Implant Dentistry - Is it Safe?
AB - Bisphosphonates are a group of drugs that are commonly used to alter bone
metabolism in order to prevent bone loss in diseases such as osteoporosis and
bone cancers. Unfortunately, the use of bisphosphonates has been associated with
bisphosphonate-related osteonecrosis of the jaws. The debate as to whether it is
wise to consider implant therapy in patients being treated with bisphosphonate
therapy remains a grey area. This review will present the latest evidence and
guidelines available on bisphosphonates and their possible effects on implant
dentistry. The risk factors, co-morbidities, clinical presentation and findings
from various imaging modalities for bisphosphonate-related osteonecrosis of the
jaws are highlighted. The management of patients being treated with
bisphosphonates, in whom dental implants might be considered or have already been
placed, will also be discussed. Finally, the areas requiring future research are
considered.
PMID- 26556517
TI - Reducing Harm in Healthcare Systems.
AB - An understanding of the importance of causation of medical errors is important
for determining strategies to reduce the harm that they can cause to patients.
This paper discusses how dentistry can learn from medicine as well as other
industries when developing approaches designed to deal with the causes of errors,
rather than their outcomes.
PMID- 26556518
TI - Risk Assessment Protocol in an Nhs Practice--A Team Approach.
PMID- 26556519
TI - Infographic: An Introduction to the Faculty.
PMID- 26556520
TI - The Prevention and Management of Dry Socket: Do Antibiotics Have a Role to Play?
PMID- 26556522
TI - Review: Assessment of completeness of reporting in intervention studies using
livestock: an example from pain mitigation interventions in neonatal piglets.
AB - Accurate and complete reporting of study methods, results and interpretation are
essential components for any scientific process, allowing end-users to evaluate
the internal and external validity of a study. When animals are used in research,
excellence in reporting is expected as a matter of continued ethical
acceptability of animal use in the sciences. Our primary objective was to assess
completeness of reporting for a series of studies relevant to mitigation of pain
in neonatal piglets undergoing routine management procedures. Our second
objective was to illustrate how authors can report the items in the Reporting
guidElines For randomized controLled trials for livEstoCk and food safety
(REFLECT) statement using examples from the animal welfare science literature. A
total of 52 studies from 40 articles were evaluated using a modified REFLECT
statement. No single study reported all REFLECT checklist items. Seven studies
reported specific objectives with testable hypotheses. Six studies identified
primary or secondary outcomes. Randomization and blinding were considered to be
partially reported in 21 and 18 studies, respectively. No studies reported the
rationale for sample sizes. Several studies failed to report key design features
such as units for measurement, means, standard deviations, standard errors for
continuous outcomes or comparative characteristics for categorical outcomes
expressed as either rates or proportions. In the discipline of animal welfare
science, authors, reviewers and editors are encouraged to use available reporting
guidelines to ensure that scientific methods and results are adequately described
and free of misrepresentations and inaccuracies. Complete and accurate reporting
increases the ability to apply the results of studies to the decision-making
process and prevent wastage of financial and animal resources.
PMID- 26556523
TI - Withdrawal from Chronic Alcohol Induces a Unique CCL2 mRNA Increase in Adolescent
But Not Adult Brain--Relationship to Blood Alcohol Levels and Seizures.
AB - BACKGROUND: The role of neuroimmune activation in withdrawal from chronic alcohol
(ethanol) has been established in both adolescent and adult models, but direct
comparisons across age are sparse. Studies need to elucidate age-dependent
neuroimmune effects of alcohol and to focus research attention on age-dependent
mechanisms and outcomes. METHODS: Adult and adolescent rats from 2 commonly used
strains, Wistar and Sprague Dawley (SD), were maintained on continuous 7%, 5.35%,
4.5% alcohol diet (CAD) or cycled 7% w/v alcohol diet for 15 days. Cortical
tissue was collected at 0, 8, 16, and 24 hours postwithdrawal followed by
measurement of chemokine (C-C motif) ligand 2 (CCL2), tumor necrosis factor
alpha, and interleukin 1 beta mRNA with quantitative real-time polymerase chain
reaction. RESULTS: Both age groups and strains showed a strong cytokine mRNA
response at 7% CAD. Further, a greater increase in CCL2 mRNA was observed in the
cortex of adolescents at 7% CAD, which correlated with higher blood alcohol
levels (BALs). Adolescents exposed to 5.35% CAD exhibited similar blood levels
and cytokine responses as adults exposed to 7% CAD. Substantial variability in
CCL2 mRNA responses was found only in adolescent rats exposed to 7% CAD. In this
group, data could be segregated into high-responding and low-responding groups.
Moreover, the data from the high-responding group were associated with seizures.
CONCLUSIONS: Relative to other cytokine mRNAs, CCL2 exhibits a unique response
profile during withdrawal from CAD. This profile is shown in adolescents, where
CCL2 is uniquely influenced by the effects of seizures. Additionally, this
profile is shown by the fact that only CCL2 expression correlated with BAL that
transcended age groups. These data emphasize the importance of BALs and treatment
regimen on developmental neuroimmune responses and suggest that select components
of the neuroimmune system are more responsive to CAD withdrawal and that
neurobiological mechanisms differentiating these responses should be further
explored.
PMID- 26556524
TI - Switching from prasugrel to clopidogrel based on Cytochrome P450 2C19 genotyping
in East Asian patients stabilized after acute myocardial infarction.
AB - To evaluate the pharmacodynamic efficacy of de-escalating P2Y12 inhibition from
prasugrel to clopidogrel based on cytochrome P450 (CYP) 2C19 genotyping, we
genotyped 50 Korean patients with AMI who underwent percutaneous coronary
intervention (PCI) for CYP2C19 *2,*3, or *17 using real-time PCR. They were
discharged on prasugrel 10 mg daily. A control group of 48 AMI patients who
underwent PCI and were discharged on clopidogrel but did not undergo genotyping
was identified retrospectively. Based on genotyping results available at 3 weeks,
12 patients found to have 2 copies of either CYP2C19 *2 or *3 loss of function
alleles continued prasugrel while the remaining 38 patients switched to
clopidogrel 75 mg daily. The rate of patients within the therapeutic window (TW)
of on-treatment platelet reactivity (OPR), 850.01). Among the 43 A.
actinomycetemcomitans-positive samples, the serotype was identified in 21
samples. Fifteen were positive for A. actinomycetemcomitans serotype a, 1 for
serotype b, 1 for serotype c, and 4 for serotype f, while serotypes d and e were
not detected. CONCLUSION: A. actinomycetemcomitans serotype a is the most
commonly found serotype among Turkish dental students without periodontitis.
PMID- 26556548
TI - Middle mesial canals in mandibular first molars: A micro-CT study in different
populations.
AB - OBJECTIVE: To describe the morphological aspects of middle mesial canals (MMC) in
mandibular first molars using micro-CT. DESIGN: Mandibular first molars collected
from the Brazilian (n=136) and Turkish (n=122) populations were scanned (voxel
size: 9.9MUm) and mesial roots with MMC (n=48) evaluated regarding several
morphological aspects. The incidence of MMC in each population was statistically
compared using Chi-square test (alpha=0.05). RESULTS: Overall, the incidence of
MMC was 18.6% (48 out of 258 molars) and was significantly higher in the
Brazilian (n=30; 22.1%) than in the Turkish (n=18; 14.8%) population (p<0.05). In
both populations, confluent configuration of the MMC was the most frequent
anatomy. Most of the specimens with MMC had 3 independent orifices (n=26; 54.2%)
and 3 apical foramina (n=21; 43.8%). The mean minor diameter of the MMC orifice
(0.16mm) was 3 times less than the other orifices (~0.50mm). In mesial roots with
independent configuration (n=3; 6.3%), the mean volumes (mm(3)) of the MMC,
mesiobuccal (MBC) and mesiolingual (MLC) canals were 0.20+/-0.10, 0.75+/-0.28,
and 0.88+/-0.19, respectively. In the specimens with canal confluence (n=26;
54.2%), MMC merged to the MBC (n=8; 16.7%), MLC (n=4; 8.3%), or to both MBC and
MLC (n=14; 29.2%). Double mesial canal was observed in only 1 specimen. MMC with
an independent foramen was observed mostly in Brazilian specimens. CONCLUSIONS:
Incidence of MMC was higher in the Brazilian molars. Confluent configuration was
the most prevalent anatomic variation, while independent and fin configurations,
as well as, double MMC, were found only in a few specimens.
PMID- 26556549
TI - Predictors of adherence to safe handling practices for antineoplastic drugs: A
survey of hospital nurses.
AB - Despite growing awareness of the hazards of exposure to antineoplastic drugs
(ADs), surveys continue to find incomplete adherence to recommended safe handling
guidelines. A 2011 survey of healthcare workers presents an opportunity to
examine factors associated with adherence among 1094 hospital nurses who
administered ADs. Data for these hypothesis-generating analyses were taken from
an anonymous, web-based survey of healthcare workers. Regression modeling was
used to examine associations between a number of predictors (engineering
controls, work practices, nurse perceptions, and nurse and hospital
characteristics) and three outcomes reported by nurses: use of personal
protective equipment (PPE); activities performed with gloves previously worn to
administer ADs; and spills of ADs. Adherence to safe handling guidelines was not
universal, and AD spills were reported by 9.5% of nurses during the week prior to
the survey. Familiarity with safe handling guidelines and training in safe
handling were associated with more reported PPE use. Nurse-perceived availability
of PPE was associated with more reported PPE use and lower odds of reported
spills. Use of closed system drug-transfer devices and luer-lock fittings also
decreased the odds of self-reported AD spills, while more frequent AD
administration increased the risk. AD administration frequency was also
associated with performing more activities with gloves previously worn to
administer ADs, and nurse perception of having adequate time for taking safety
precautions with fewer such activities. The results suggest that training and
familiarity with guidelines for safe handling of ADs, adequate time to adhere to
guidelines, and availability of PPE and certain engineering controls are key to
ensuring adherence to safe handling practices. Further assessment of training
components and engineering controls would be useful for tailoring interventions
targeting these areas.
PMID- 26556550
TI - CD20+ B-cell depletion therapy suppresses murine CD8+ T-cell-mediated immune
thrombocytopenia.
AB - Immune thrombocytopenia (ITP) is an autoimmune bleeding disorder with a complex
pathogenesis, which includes both antibody- and T-cell-mediated effector
mechanisms. Rituximab (an anti-human CD20 monoclonal antibody [mAb]) is one of
the treatments for ITP and is known to deplete B cells but may also work by
affecting the T-cell compartments. Here, we investigated the outcome of B-cell
depletion (Bdep) therapy on CD8(+) T-cell-mediated ITP using a murine model. CD61
knockout (KO) mice were immunized with CD61(+) platelets, and T-cell-mediated ITP
was initiated by transfer of their splenocytes into severe combined
immunodeficiency (SCID) mice. The CD61 KO mice were administrated an anti-mouse
CD20 mAb either before or after CD61(+) platelet immunization. This resulted in
efficient Bdep in vivo, accompanied by significant increases in splenic and lymph
node CD4(+) and CD8(+) T cells and proportional increases of FOXP3(+) in
CD4(+)and CD8(+) T cells. Moreover, Bdep therapy resulted in significantly
decreased splenic CD8(+) T-cell proliferation in vitro that could be rescued by
interleukin-2. This correlated with normalization of in vivo platelet counts in
the transferred SCID mice suggesting that anti-CD20 therapy significantly reduces
the ability of CD8(+) T cells to activate and mediate ITP.
PMID- 26556551
TI - Possible mechanism by which renal sympathetic denervation improves left
ventricular remodelling after myocardial infarction.
AB - NEW FINDINGS: What is the central question of this study? The enzyme system that
is responsible for extracellular matrix (ECM) turnover is the matrix
metalloproteinases (MMPs), which can be blocked by the tissue inhibitors of MMPs
(TIMPs). Whether renal sympathetic denervation (RSD) is able to ameliorate post
myocardial infarction left ventricular remodelling through attenuation of ECM via
regulation of MMP activity and/or the MMP-TIMP complex remains unknown. What is
the main finding and its importance? Renal sympathetic denervation has
therapeutic effects on post-myocardial infarction left ventricular remodelling,
probably by attenuating the ECM through regulation of the MMP9-TIMP1 complex in
the transforming growth factor-beta1 (a profibrotic cytokine that accelerates ECM
remodelling after ischaemia) signalling pathway. Whether renal sympathetic
denervation (RSD) is able to ameliorate post-myocardial infarction (post-MI) left
ventricular (LV) remodelling by attenuation of the extracellular matrix via
regulation of matrix metalloproteinase (MMP) activity and/or the MMP-tissue
inhibitor of matrix metalloproteinase (TIMP) complex remains unknown. Sixty-five
Sprague-Dawley rats were randomly divided into the following four groups: normal
(N, n = 15), RSD (RSD, n = 15), myocardial infarction (MI, n = 15) and RSD 3 days
after MI (MI3d+RSD, n = 20). The bilateral renal nerves were surgically
denervated 3 days after MI had been induced by coronary artery ligation. Left
ventricular function was assessed using echocardiography and a Millar catheter at
6 weeks post-MI. Plasma noradrenaline, angiotensin II and aldosterone, collagen
volume fraction, transforming growth factor-beta1 (TGF-beta1), MMP2, MMP9 and
TIMP1 in heart tissue were measured 6 weeks after MI. In rats with MI3d+RSD
compared with MI rats, RSD improved systolic and diastolic function, resulting in
an improvement in ejection fraction (P < 0.05), fractional shortening (P < 0.05)
and LV internal dimension in systole (P < 0.05) and diastole (P < 0.05).
Additionally, RSD treatment decreased left ventricular end-diastolic pressure (P
< 0.05) and increased LV systolic pressure (P < 0.05) and maximal and minimal
rate of LV pressure (both P < 0.05). Meanwhile, RSD reduced collagen content (P <
0.01). TIMP1 was upregulated (P < 0.05), whereas MMP2, MMP9 and TGF-beta1 were
downregulated in the LV of RSD-treated animals (P < 0.05). Renal sympathetic
denervation has therapeutic effects on post-MI LV remodelling, probably owing to
effects on the extracellular matrix by regulation of the MMP9-TIMP1 balance in
the TGF-beta1 signalling pathway. Renal sympathetic denervation may be considered
as a non-pharmacological approach for the improvement of post-MI cardiac
dysfunction.
PMID- 26556553
TI - Medical-legal partnerships: the role of mental health providers and legal
authorities in the development of a coordinated approach to supporting mental
health clients' legal needs in regional and rural settings.
AB - INTRODUCTION: Medical-legal partnerships (MLP) are a model in which medical and
legal practitioners are co-located and work together to support the health and
wellbeing of individuals by identifying and resolving legal issues that impact
patients' health and wellbeing. The aim of this article is to analyse the
benefits of this model, which has proliferated in the USA, and its applicability
in the context of rural and remote Australia. METHODS: This review was undertaken
with three research questions in mind: What is an MLP? Is service provision for
individuals with mental health concerns being adequately addressed by current
service models particularly in the rural context? Are MLPs a service delivery
channel that would benefit individuals experiencing mental health issues?
RESULTS: The combined searches from all EBSCO Host databases resulted in 462
citations. This search aggregated academic journals, newspapers, book reviews,
magazines and trade publications. After several reviews 38 papers were selected
for the final review based on their relevance to this review question: How do
MLPs support mental health providers and legal service providers in the
development of a coordinated approach to supporting mental health clients' legal
needs in regional and rural Australia? CONCLUSIONS: There is considerable merit
in pursuing the development of MLPs in rural and remote Australia particularly as
individuals living in rural and remote areas have far fewer opportunities to
access support services than those people living in regional and metropolitan
locations. MLPS are important channels of service delivery to assist in early
invention of legal problems that can exacerbate mental health problems.
PMID- 26556552
TI - Cardiac ion channels.
AB - Ion channels are critical for all aspects of cardiac function, including
rhythmicity and contractility. Consequently, ion channels are key targets for
therapeutics aimed at cardiac pathophysiologies such as atrial fibrillation or
angina. At the same time, off-target interactions of drugs with cardiac ion
channels can be the cause of unwanted side effects. This manuscript aims to
review the physiology and pharmacology of key cardiac ion channels. The intent is
to highlight recent developments for therapeutic development, as well as
elucidate potential mechanisms for drug-induced cardiac side effects, rather than
present an in-depth review of each channel subtype.
PMID- 26556554
TI - Study of secondary neutron interactions with 232Th, 129I, and 127I nuclei with
the uranium assembly "QUINTA" at 2, 4, and 8 GeV deuteron beams of the JINR
Nuclotron accelerator.
AB - The natural uranium assembly, "QUINTA", was irradiated with 2, 4, and 8GeV
deuterons. The (232)Th, (127)I, and (129)I samples have been exposed to secondary
neutrons produced in the assembly at a 20-cm radial distance from the deuteron
beam axis. The spectra of gamma rays emitted by the activated (232)Th, (127)I,
and (129)I samples have been analyzed and several tens of product nuclei have
been identified. For each of those products, neutron-induced reaction rates have
been determined. The transmutation power for the (129)I samples is estimated.
Experimental results were compared to those calculated with well-known stochastic
and deterministic codes.
PMID- 26556555
TI - Polymorphisms of three genes (ACE, AGT and CYP11B2) in the renin-angiotensin
aldosterone system are not associated with blood pressure salt sensitivity: A
systematic meta-analysis.
AB - Many studies have suggested that polymorphisms of three key genes (ACE, AGT and
CYP11B2) in the renin-angiotensin-aldosterone system (RAAS) play important roles
in the development of blood pressure (BP) salt sensitivity, but they have
revealed inconsistent results. Thus, we performed a meta-analysis to clarify the
association. PubMed and Embase databases were searched for eligible published
articles. Fixed- or random-effect models were used to pool odds ratios and 95%
confidence intervals based on whether there was significant heterogeneity between
studies. In total, seven studies [237 salt-sensitive (SS) cases and 251 salt
resistant (SR) controls] for ACE gene I/D polymorphism, three studies (130 SS
cases and 221 SR controls) for AGT gene M235T polymorphism and three studies (113
SS cases and 218 SR controls) for CYP11B2 gene C344T polymorphism were included
in this meta-analysis. The results showed that there was no significant
association between polymorphisms of these three polymorphisms in the RAAS and BP
salt sensitivity under three genetic models (all p > 0.05). The meta-analysis
suggested that three polymorphisms (ACE gene I/D, AGT gene M235T, CYP11B2 gene
C344T) in the RAAS have no significant effect on BP salt sensitivity.
PMID- 26556556
TI - Investigation of Suspected Pulmonary Embolism at Hutt Valley Hospital with CT
Pulmonary Angiography: Current Practice and Opportunities for Improvement.
AB - Aims. To study the use of CT pulmonary angiography (CTPA) at Hutt Hospital and
investigate the use of pretest probability scoring in the assessment of patients
with suspected pulmonary embolism (PE). Methods. We studied patients with
suspected PE that underwent CTPA between January and May 2012 and collected data
on demographics, use of pretest probability scoring, and use of D Dimer and
compared our practice with the British Thoracic Society (BTS) guideline. Results.
105 patients underwent CTPA and 15% of patients had PE. 13% of patients had a
Wells score prior to their scan. Wells score calculated by researchers revealed
54%, 36%, and 8% patients had low, medium, and high risk pretest probabilities
and 8%, 20%, and 50% of these patients had positive scans. D Dimer was performed
in 58% of patients and no patients with a negative D Dimer had a PE. Conclusion.
The CTPA positive rate was similar to other contemporary studies but lower than
previous New Zealand studies and some international guidelines. Risk
stratification of suspected PE using Wells score and D Dimer was underutilised. A
number of scans could have been safely avoided by using accepted guidelines
reducing resources use and improving patient safety.
PMID- 26556557
TI - A Critical Analysis of Claims and Their Authenticity in Indian Drug Promotional
Advertisements.
AB - Introduction. Drug promotional advertisements (DPAs) form a major marketing
technique of pharmaceutical companies for promoting their products and
disseminating ambiguous drug information which can affect prescribing pattern of
physicians. Drug information includes product characteristics, various marketing
claims with references in support to increase its credibility and authenticity.
Material and Methods. An observational study was carried out on fifty printed
drug advertisement brochures which were collected from different OPDs of Guru
Nanak Dev Hospital attached to Government Medical College, Amritsar, India. These
advertisements were analyzed and claims were categorized into true, false,
exaggerated, vague, and controversial on criteria as reported by Rohraa et al.
(2006). References of DPAs in support of the claims were critically analyzed for
their retrievability from web and validity pertaining to claims. Results. Out of
209 claims from 50 advertisements, only 46% were found to be true, 21% false, 16%
vague, 7% exaggerated, and 10% controversial in nature. Out of 160 references
given in support of claims, 49 (30%) of references were irretrievable. Out of 111
(70%) retrievable references, 92 (83%) references were found valid. Conclusion.
Drug information provided in the DPAs was biased, incomplete, unauthentic, and
unreliable with references exhibiting questionable credibility.
PMID- 26556558
TI - The Knowledge, Attitude, and Perception towards Epilepsy amongst Medical Students
in Uyo, Southern Nigeria.
AB - Background and Aim. Epilepsy remains a stigmatized disease especially in Sub
Saharan Africa. Lack of information and illiteracy has been blamed as the cause
of the stigmatization. This stigmatization stems from the fact that the
traditional African belief views epilepsy as a spiritual disease. We studied the
knowledge, attitude, and perception towards epilepsy amongst medical students
comparing the knowledge of the clinical students with that of the basic medical
(preclinical) students. Methodology. The participants were medical students in
University of Uyo. We administered questionnaires which explored the knowledge of
etiology (perceived and medically proven). We studied the beliefs in infectivity
of epilepsy, treatment together with their attitudes, and perception to persons
with epilepsy. Results. Most of the participants do not have a good knowledge of
epilepsy. The knowledge, however, was much better amongst the clinical students.
There is some difference in the attitudes of the clinical students compared with
the basic students. Conclusion. There is a knowledge gap in epilepsy even amongst
medical students. Participants still harbor the traditional African beliefs that
epilepsy is a spiritual disease. Mercifully, the knowledge is better amongst the
clinical students. This is not surprising since the clinical students have had
clinical exposure to epilepsy.
PMID- 26556559
TI - Mathematical Modelling and Tuberculosis: Advances in Diagnostics and Novel
Therapies.
AB - As novel diagnostics, therapies, and algorithms are developed to improve case
finding, diagnosis, and clinical management of patients with TB, policymakers
must make difficult decisions and choose among multiple new technologies while
operating under heavy resource constrained settings. Mathematical modelling can
provide helpful insight by describing the types of interventions likely to
maximize impact on the population level and highlighting those gaps in our
current knowledge that are most important for making such assessments. This
review discusses the major contributions of TB transmission models in general,
namely, the ability to improve our understanding of the epidemiology of TB. We
focus particularly on those elements that are important to appropriately
understand the role of TB diagnosis and treatment (i.e., what elements of better
diagnosis or treatment are likely to have greatest population-level impact) and
yet remain poorly understood at present. It is essential for modellers, decision
makers, and epidemiologists alike to recognize these outstanding gaps in
knowledge and understand their potential influence on model projections that may
guide critical policy choices (e.g., investment and scale-up decisions).
PMID- 26556560
TI - Use of Virtual Reality Tools for Vestibular Disorders Rehabilitation: A
Comprehensive Analysis.
AB - Classical peripheral vestibular disorders rehabilitation is a long and costly
process. While virtual reality settings have been repeatedly suggested to
represent possible tools to help the rehabilitation process, no systematic study
had been conducted so far. We systematically reviewed the current literature to
analyze the published protocols documenting the use of virtual reality settings
for peripheral vestibular disorders rehabilitation. There is an important
diversity of settings and protocols involving virtual reality settings for the
treatment of this pathology. Evaluation of the symptoms is often not
standardized. However, our results unveil a clear effect of virtual reality
settings-based rehabilitation of the patients' symptoms, assessed by objectives
tools such as the DHI (mean decrease of 27 points), changing symptoms handicap
perception from moderate to mild impact on life. Furthermore, we detected a
relationship between the duration of the exposure to virtual reality environments
and the magnitude of the therapeutic effects, suggesting that virtual reality
treatments should last at least 150 minutes of cumulated exposure to ensure
positive outcomes. Virtual reality offers a pleasant and safe environment for the
patient. Future studies should standardize evaluation tools, document putative
side effects further, compare virtual reality to conventional physical therapy,
and evaluate economical costs/benefits of such strategies.
PMID- 26556561
TI - Transmission in near-infrared optical windows for deep brain imaging.
AB - Near-infrared (NIR) radiation has been employed using one- and two-photon
excitation of fluorescence imaging at wavelengths 650-950 nm (optical window I)
for deep brain imaging; however, longer wavelengths in NIR have been overlooked
due to a lack of suitable NIR-low band gap semiconductor imaging detectors and/or
femtosecond laser sources. This research introduces three new optical windows in
NIR and demonstrates their potential for deep brain tissue imaging. The
transmittances are measured in rat brain tissue in the second (II, 1,100-1,350
nm), third (III, 1,600-1,870 nm), and fourth (IV, centered at 2,200 nm) NIR
optical tissue windows. The relationship between transmission and tissue
thickness is measured and compared with the theory. Due to a reduction in
scattering and minimal absorption, window III is shown to be the best for deep
brain imaging, and windows II and IV show similar but better potential for deep
imaging than window I.
PMID- 26556562
TI - Assessment of Enterotoxin Production and Cross-Contamination of Staphylococcus
aureus between Food Processing Materials and Ready-To-Eat Cooked Fish Paste.
AB - This study evaluated Staphylococcus aureus growth and subsequent staphylococcal
enterotoxin A production in tryptone soy broth and on ready-to-eat cooked fish
paste at 12 to 37 degrees C, as well as cross-contamination between stainless
steel, polyethylene, and latex glove at room temperature. A model was developed
using Barany and Roberts's growth model, which satisfactorily described the
suitable growth of S. aureus with R(2)-adj from 0.94 to 0.99. Except at 12
degrees C, S. aureus cells in TSB presented a lag time lower (14.64 to 1.65 h),
grew faster (0.08 to 0.31 log CFU/h) and produced SEA at lower cell density
levels (5.65 to 6.44 log CFU/mL) compare to those inoculated on cooked fish paste
with data of 16.920 to 1.985 h, 0.02 to 0.23 log CFU/h, and 6.19 to 7.11 log
CFU/g, respectively. Staphylococcal enterotoxin type A (SEA) visual immunoassay
test showed that primary SEA detection varied considerably among different
storage temperature degrees and media. For example, it occurred only during
exponential phase at 30 and 37 degrees C in TSB, but in cooked fish paste it
took place at late exponential phase of S. aureus growth at 20 and 25 degrees C.
The SEA detection test was negative on presence of S. aureus on cooked fish paste
stored at 12 and 15 degrees C, although cell density reached level of 6.12 log
CFU/g at 15 degrees C. Cross-contamination expressed as transfer rate of S.
aureus from polyethylene surface to cooked fish paste surface was slower than
that observed with steel surface to cooked fish paste under same conditions.
These results provide helpful information for controlling S. aureus growth, SEA
production and cross-contamination during processing of cooked fish paste.
PMID- 26556563
TI - Genetic association of left ventricular mass assessed by M-mode and two
dimensional echocardiography.
AB - BACKGROUND: Left ventricular mass offers prognostic information for assessing
cardiovascular disease risk. M-mode and two-dimensional (2D)
echocardiographically-derived left ventricular mass values have shown high
accuracy and reproducibility; however, no studies to date have compared left
ventricular mass genetic association findings on the basis of both the methods.
The aim of this study was to compare associations of single-nucleotide
polymorphisms (SNPs) from genome-wide association study analyses of left
ventricular mass using both methods in the same cohort. METHODS AND RESULTS: Left
ventricular mass was determined using 2D and M-mode echocardiography in 711
patients (390 women); SNP genotype data were obtained using the Genome-wide Human
SNP Array 6.0. Genome-wide association study analyses were performed to obtain
panels of SNPs associated with left ventricular mass and left ventricular mass
index. The unindexed left ventricular mass showed excellent agreement [M-mode:
170 +/- 47 vs. 2D: 178 +/- 56 g; intraclass correlation coefficient 0.929 (95%
confidence interval 0.932, 0.909)]. The presence of left ventricular hypertrophy
based on M-mode and 2D-derived left ventricular mass index values showed moderate
agreement (kappa = 0.49). Eleven SNPs showed suggestive association with at least
two of the four left ventricular mass traits, with one SNP in CDH13 common to all
four derived traits. CONCLUSION: M-mode and 2D echocardiography left ventricular
mass measurements in the same cohort identified suggestive genetic associations,
both shared and unshared, suggesting common left ventricular mass biology
underlying the two measures of left ventricular mass. The combined use of M-mode
and 2D echo is a novel approach that may increase the yield of genetic
association with left ventricular mass.
PMID- 26556565
TI - Pathophysiological effects of RhoA and Rho-associated kinase on cardiovascular
system.
AB - In past decades, growing evidence from basic and clinical researches reveal that
small guanosine triphosphate binding protein ras homolog gene family, member A
(RhoA) and its main effector Rho-associated kinase (ROCK) play central and
complex roles in cardiovascular systems, and increasing RhoA and ROCK activity is
associated with a broad range of cardiovascular diseases such as congestive heart
failure, atherosclerosis, and hypertension. Favorable outcomes have been observed
with ROCK inhibitors treatment. In this review, we briefly summarize the
pathophysiological roles of RhoA/ROCK signaling pathway on cardiovascular system,
displaying the potential benefits in the cardiovascular system with controlling
RhoA/ROCK signaling pathway.
PMID- 26556564
TI - Analysis and validation of traits associated with a single nucleotide
polymorphism Gly364Ser in catestatin using humanized chromogranin A mouse models.
AB - OBJECTIVE: The human prohormone chromogranin A (CHGA), an index member of the
granin family is processed to generate catestatin, a peptide that is hypotensive
in action and modulates catecholamine release within the sympathoadrenal system.
Hypertensive patients with excess sympathetic activity have diminished
catestatin. Often the study of physiological consequences of human genetic
variation is confounded by elements such as other variations in obligatory
linkage disequilibrium with the variant being studied. Also the phenotype of the
variant may be influenced by genetic background that varies amongst individuals.
This study addresses the effects of a human catestatin polymorphism (rs9658667)
using humanized CHGA mouse models. METHODS: We created pertinent humanized mouse
models wherein the mouse Chga gene locus was replaced by the human ortholog wild
type and the variant versions. This allowed for probing of the effects of
catestatin variation in vivo with controls for other variations and global
genetic background. RESULTS: Both the wild-type and variant human catestatin
expressing mouse models were normotensive. The variant catestatin mouse model
recapitulated physiological influence of the polymorphism on autonomic traits.
These mice had diminished catecholamine, attenuated stress response and increased
baroreceptor slopes that would suggest reduced risk of developing hypertension.
Elevated plasma glucose, a trait observed in humans was not observed in mice
expressing the variant catestatin. CONCLUSION: This functional genomics approach
of creating humanized mouse models to study rs9658667 polymorphism recapitulated
and validated many of the human trait associations. This approach can also be
applied in the study of other human gene polymorphisms.
PMID- 26556566
TI - Hypertension and lifetime risk of stroke.
AB - BACKGROUND: The lifetime risk (LTR) articulates the probability of disease in the
residual lifetime for an index age. These estimates can be useful for general
audience-targeted knowledge translation activities against hypertension. There
are only a few reports on lifetime of impact of hypertension on stroke events in
Asians in whom stroke incidence is higher than Westerners. METHODS: The Suita
Study, a cohort study of cardiovascular diseases in Japan, was established in
1989. We included all participants who were stroke free at baseline. Age (in
years) was used as the time scale. Age-specific incidence rates were calculated
with person-year method within 10-year bands. We estimated the sex and index-age
specific LTR of first-ever stroke with taking the competing risk of death into
account. RESULTS: We followed 5783 men and women during 1989-2007 for 74 933
person-years. During the follow-up period, 276 (149 men and 127 women)
participants had incident stroke. Of them, majority were cerebral infarction; 166
(102 men and 64 women). The LTR of stroke, accounted for competing risk of death,
at 45 years of age for men without hypertension was 17.21% and it was 32.79% for
hypertensive men. Among the hypertensive patients, participants with stage 2 or
greater hypertension had higher LTR of stroke than the participants with stage 1
hypertension. This increased LTR of stroke for hypertensive patients were also
observed among women and across all index ages for stroke. CONCLUSION: In this
urban community-based population, we observed that hypertension has significant
effect on the residual LTR of stroke among both men and women of middle age,
specifically for ischemic stroke.
PMID- 26556567
TI - Predictors of response to renal denervation for resistant arterial hypertension:
a single center experience.
AB - BACKGROUND: Renal denervation (RDN) has been shown to reduce blood pressure in
patients with resistant arterial hypertension (RAH). We aimed to investigate
predictors for response to RDN. METHODS: Patients suffering from RAH underwent
RDN after exclusion of secondary causes of hypertension. Ambulatory blood
pressure measurement (ABPM) for 24 h was performed at baseline, 6 and 12 months
after RDN. Response was defined as a at least 5 mmHg 24 h SBP drop at 6 months. A
linear regression model was used to analyze an association between baseline
variables and response to RDN. RESULTS: In total, 45.6% of patients were
responders to RDN. In those, there was a significant reduction in ABPM values at
6 and 12 months (12 months: 24 h SBP: -17.2 +/- 9.0 mmHg, P < 0.01; 24 h DBP:
9.0 +/- 11.6 mmHg, P < 0.01). Per 10 mmHg increase in baseline 24 h SBP, there
was a 5.5 mmHg 24 h SBP reduction at 6 months. Per kg/m increase in BMI, 24 h SBP
increased by 0.7 mmHg at 6 months. Per increment in antihypertensive drugs used
at baseline, there was a 2.7 mmHg 24 h SBP reduction at 6 months. CONCLUSION: Out
of a wide range of baseline variables, elevated systolic ABPM values, BMI and the
number of antihypertensive drugs used were associated with response. One has to
consider the Hawthorne effect, the regression to the mean phenomenon, the actual
effect of sympathetic denervation and the interaction of therapy modification
when interpreting data from RDN registries without a control arm.
PMID- 26556568
TI - Dose doubling, relative potency, and dose equivalence of potassium-sparing
diuretics affecting blood pressure and serum potassium: systematic review and
meta-analyses.
AB - BACKGROUND: Potassium-sparing diuretics (PSDs) are valuable antihypertensives
with additional benefits unrelated to control of systolic blood pressure (SBP).
However, their key parameters affecting SBP and serum potassium are poorly
defined, fostering underutilization. METHOD: Consequently, we conducted
systematic reviews and meta-analyses, yielding 3668 articles and ultimately 84
randomized comparisons. RESULTS: For office SBP, overall placebo-adjusted changes
were triamterene -1.9 (low dose only), amiloride -9.9, spironolactone -13.2, and
eplerenone -9.2. Differences in antihypertensive effect were due to potency
rather than efficacy. Doubling amiloride, eplerenone, and spironolactone doses
reduced SBP (95% confidence limits) on average by -2.3 (-3.1, -1.5). Relative
antihypertensive potencies were spironolactone>amiloride>eplerenone.
Spironolactone had significantly greater antihypertensive potency than amiloride,
-4.0 (-7.4, -0.6), and eplerenone, -5.5 (-7.4, -3.6). Dose equivalencies were
eplerenone-spironolactone 4.5-to-1 (e.g., eplerenone 125~spironolactone 25),
amiloride-spironolactone 3.3-to-1, and eplerenone-amiloride 1.4-to-1. Increases
in serum potassium from amiloride and spironolactone at commonly used doses
averaged 0.14-0.29 mEq/l; the dose doubling effect was 0.16 (0.10, 0.22).
Spironolactone caused greater hyperkalemia than amiloride across their dose
ranges: 0.14, P = 0.043. Seven features make important bias unlikely: a
comprehensive literature search, adjustment for covariates, all models explaining
95-100% of the between-study variability, similar dose doubling effects among
PSDs, two different methods giving the same potency sequence, similar results
from double blind comparisons, and similar results for eplerenone versus
spironolactone from analysing direct comparison data (i.e., no meta-regression)
for office and 24-h SBP. CONCLUSION: This synthesis accomplishes for PSDs what
has already been achieved for thiazide-type diuretics and other antihypertensives
and can guide the application of these underutilized medicines.
PMID- 26556569
TI - [Systemic Treatment of Metastatic Renal Cell Cancer--Back to the Future?].
AB - A variety of therapeutic agents are currently available for the systemic
treatment of metastatic renal cell carcinoma (mRCC). It was only when targeted
treatment was developed in the past decade that a significant improvement was
achieved in tumour therapy. This also led to the development of sequential
treatment for mRCC.7 molecular targeted agents are available today (axitinib,
bevacizumab/ IFNalpha, everolimus, pazopanib, sorafenib, sunitinib, and
temsirolimus). Due to the individualisation of treatment it remains a challenge
to choose the most appropriate drug in a given setting, with the choice being
based on the knowledge of the relevant clinical data as well as individual
patient parameters.During the recent past, efforts have been made to test
different inhibitors or combinations without a major breakthrough. Instead, the
development of novel specific immunotherapeutic approaches now heralds the next
level of treatment in mRCC. The first significant trial results will be expected
this year, and further trials for optimisation of treatment are warranted.
PMID- 26556570
TI - Classifying eating-related problems among institutionalized people with dementia.
AB - AIMS: Various eating-related problems are commonly observed among people with
dementia, and these problems place a huge burden on the caregivers. An
appropriate classification of these problems is important in order to understand
their underlying mechanisms and to develop a therapeutic approach for managing
them. The aim of this study was to develop a possible classification of eating
related problems and to reveal the background factors affecting each of these
problems across various conditions causing dementia. METHODS: The participants
were 208 institutionalized patients with a diagnosis of dementia. Care staff were
asked to report all kinds of eating-related problems that they observed. After
the nurses' responses were analyzed, 24 items relating to eating-related problems
were extracted. A factor analysis of these 24 items was conducted, followed by a
logistic regression analysis to investigate the independent variables that most
affected each of the eating-related factors. RESULTS: Four factors were obtained.
Factor 1 was overeating, factor 2 was swallowing problems, factor 3 was decrease
in appetite, and factor 4 was obsession with food. Each factor was associated
with different background variables, including Mini-Mental State Examination
scores, Clinical Dementia Ratings, and neuropsychiatric symptoms. CONCLUSIONS:
This study suggests that eating-related problems are common across conditions
causing dementia and should be separately considered in order to understand their
underlying mechanisms.
PMID- 26556571
TI - Antibacterial and antiplaque efficacy of a commercially available octenidine
containing mouthrinse.
AB - OBJECTIVES: The purpose of this clinical study was to determine the antibacterial
and antiplaque efficacy of a recently introduced octenidine-containing mouthrinse
(Octenidol(r)) in comparison with established antiseptic mouthrinses. MATERIALS
AND METHODS: In a 4-day plaque-regrowth study employing a four-replicate cross
over design, a 0.1 % octenidine mouthrinse (Octenidol(r)/OCT-MR) was compared
with a 0.12 % chlorhexidine mouthrinse (Paroex(r)/CHX-MR), an essential oil
mouthrinse (Listerine(r)/EO-MR), and a placebo mouthrinse/P-MR. Plaque regrowth
was assessed with a modified Quigley-Hein plaque index. The antibacterial effect
was assessed by taking bacterial counts from the tooth surface and oral mucosa
after professional tooth cleaning and after first rinsing with the allocated
mouthrinse on days 1 and 5. Sixteen volunteers suspended tooth cleaning and
rinsed twice daily with the allocated mouthrinse for 4 days. RESULTS: All tested
antiseptic mouthrinses were significantly more effective than the placebo
mouthrinse in inhibiting plaque, but no significant differences were observed
between OCT-MR and CHX-MR, OCT-MR and EO-MR, and CHX-MR and EO-MR. After 4 days,
comparable bacterial count levels were found on both the tooth surface and mucosa
applying OCT-MR and CHX-MR, which were significantly lower than that of EO-MR and
P-MR. CONCLUSION: Octenidol(r) and Paroex(r) showed comparable antibacterial and
antiplaque efficacy in the human oral cavity. CLINICAL RELEVANCE: The recently
introduced octenidine-containing mouthrinse Octenidol(r) may become a suitable
alternative to 0.12 % chlorhexidine-containing mouthrinses such as Paroex(r).
PMID- 26556572
TI - Correlative micro-Raman/EPMA analysis of the hydraulic calcium silicate cement
interface with dentin.
AB - OBJECTIVES: This study aims to characterize the chemical interplay of hydraulic
calcium silicate cements at dentin. MATERIALS AND METHODS: Class I cavities were
prepared in non-carious human third molars and filled with Biodentine (Septodont)
or ProRoot MTA (Dentsply). After 1-day, 1-week, and 1-month Dulbecco's phosphate
buffered saline (DPBS) storage, the specimens were cross-sectioned perpendicular
to the cement-dentin interface. The interfaces were evaluated using micro-Raman
(MURaman) spectroscopy and at a higher spatial resolution using field emission
gun electron probe microanalysis (Feg-SEM/EPMA). RESULTS: MURaman spectroscopy
revealed the formation of a transition zone at the interface of both Biodentine
(Septodont) and ProRoot MTA (Dentsply) with dentin, having an average thickness
of, respectively, 7.5 +/- 4.2 and 6.2 +/- 5.4 MUm, which however was not
statistically different. No difference in interfacial ultrastructure and
chemistry was found using MURaman spectroscopy between 1 day, 1 week, and 1 month
DPBS-stored specimens. The observation of a transition zone at the cement-dentin
interfaces contrasts with the EPMA data that revealed a sharper transition from
cement to dentin. Again, no difference in interfacial ultrastructure and
chemistry was found for different storage periods, with the exception of one 1
month DPBS-stored specimen prepared using Biodentine (Septodont). More
specifically, EPMA revealed a gap of about 10-MUm wide in the latter specimen
that was filled up with newly formed calcium phosphate depositions. CONCLUSIONS:
Up to 1 month, the interaction of hydraulic calcium silicate cements investigated
did not reveal ultrastructural or chemical changes at unaffected dentin with the
exception of a calcium phosphate gap-filling property. CLINICAL RELEVANCE:
Hydraulic calcium silicate cements were found to fill gaps by calcium phosphate
deposition, however, without conducting chemical changes to the adjacent dentin.
PMID- 26556573
TI - The effect of fluoride varnishes on caries lesions: an in vitro investigation.
AB - OBJECTIVES: The purpose of this study was to investigate the effect of five
commercially available fluoride varnishes (FV) on caries lesions. MATERIALS AND
METHODS: Ninety bovine enamel specimens were assigned to five varnish groups (n =
18). Early caries lesions were created in the specimens and characterized using
Vickers surface microhardness number (VHN). FV was applied to each group of
specimens. Immediately afterwards, 7.5 ml of artificial saliva (AS) were pipetted
over each group of specimens, collected and renewed every 15 min for 6 h. AS
samples were analyzed for fluoride using an ion-specific electrode. Enamel
fluoride uptake (EFU) was determined using the acid etch technique. Each group
was then subjected to a pH cycling regimen for 5 days after which VHN was
determined again. One-way analysis of variance (ANOVA) was used for data
analysis. RESULTS: FVs differed in their rehardening capability (highest mean
value was for Enamel Pro = 32.3 +/- 5.8 and lowest mean value was for Vanish =
18.9 +/- 11.3). No significant difference in EFU was found among groups. Total
fluoride release over 6 h was in the order of MI Varnish (303 MUg/ml) > Enamel
Pro (217 MUg/ml) > Flor-Opal (153 MUg/ml) > PreviDent(84 MUg/ml) > Vanish(28
MUg/ml). CONCLUSIONS: DeltaVHN and fluoride release characteristics differ among
FV products. These differences may be attributed to the different compositions
and physical properties of the tested FV. CLINICAL RELEVANCE: Fundamental,
comparable research on FV and how different formulations affect early caries
lesion rehardening, fluoride release into saliva, and uptake by teeth is scarce.
PMID- 26556574
TI - Evaluation of the mandibular volume and correlating variables in patients
affected by unilateral and bilateral cleft lip and palate: a cone-beam computed
tomography study.
AB - OBJECTIVES: The aims of the present study were to evaluate the mandibular volume
in patients affected by unilateral (UCLP) and bilateral cleft lip and palate
(BCLP) and to compare the findings with a well-matched normal occlusion sample
without cleft. MATERIALS AND METHODS: The study sample consisted of 66 patients
divided into UCLP (24 patients; mean age, 14.46 +/- 3.24 years), BCLP (17
patients; mean age, 14.28 +/- 2.85 years), and control (25 patients; mean age,
14.40 +/- 2.81 years) groups. The volume of the mandible including the condyle
and the roots of the teeth and craniofacial measurements were performed using
cone-beam computed tomography and analyzed using the one-way variance analysis
and post hoc Tukey's tests. Regression analyses were used to evaluate the
relationships of the measurements and the mandibular volume. RESULTS: Patients
affected by UCLP and BCLP had similar craniofacial features (p > 0.05), including
decreased SNB, Co-A, Co-Gn, Co-Go, and Go-Gn and increased ANB and SN-MP
measurements compared to the control group. Both UCLP and BCLP groups had
decreased values of mandibular volume compared to the normal occlusion group,
while these differences were found to be statistically insignificant (p > 0.05).
Co-Go (Beta = 0.420; p = 0.014) and Co-Gn variables (Beta = 0.708; p = 0.045)
were positively correlated with mandibular volume, while SNB (Beta = -0.669; p =
0.044) and SN-MP (Beta = -0.358; p = 0.049) variables were negatively correlated
(R (2) = 60.3 and p = 0.000). CONCLUSION: Mandibular volume insignificantly
differs in cleft patients (p > 0.05), and the variables of Co-Go, Co-Gn, SNB, and
SN-MP significantly correlate with the volume. CLINICAL RELEVANCE: This article
investigates mandibular volume in patients affected by UCLP and BCLP that has
never been investigated in the literature. The present study showed that both
UCLP and BCLP groups had insignificantly decreased values of mandibular volume
compared to the normal occlusion group.
PMID- 26556575
TI - Involvement of oral tissues by AL amyloidosis: a literature review and report of
eight new cases.
AB - OBJECTIVE: Amyloidosis is a term used to describe a group of diseases in which
there is an extracellular deposition of amorphous fibrillar proteins known as
amyloid. The aim of this study was to present clinicopathological data from eight
oral amyloidosis-affected patients and a deep review of the literature about the
disease. MATERIALS AND METHODS: A retrospective study was conducted based on the
records of oral amyloidosis-affected patients diagnosed in our institution
between 1978 and 2012. The clinicopathological features and immunohistochemical
(IHC) staining with anti-kappa and anti-lambda light chain antibodies were
carried out and analyzed. RESULTS: Eight patients were diagnosed with the
disease; the tongue and women in their sixth decade of life were mostly affected.
All lesions demonstrated apple-green birefringence and immunoreactivity for kappa
light chain, and four cases also showed lambda positivity. According to our
series, four cases were diagnosed with localized amyloidosis and four with
systemic amyloidosis. Prognosis for the systemic ones was gloomy, but good for
the localized ones, which was characterized by a slow pattern of deposition
without evolution to systemic involvement. CONCLUSIONS: This study reinforces our
knowledge about predilections, outcomes, and the importance of making a correct
and quick diagnosis of oral amyloidosis and shows the necessity of more studies
detailing oral amyloidosis predilection on a global scale. The importance and
utility of IHC in the typing of the biochemical nature of amyloid deposits are
becoming increasingly necessary for proper management of the patient. Correct
classification of the type of amyloid is important for treatment consequences.
CLINICAL RELEVANCE: This article highlights the clinicopathological data of
patients with amyloidosis affecting oral tissues and compare these new findings
with other worldwide descriptions. Because of its rarity, such data are often
unfamiliar to most clinicians and pathologists.
PMID- 26556576
TI - Can apical periodontitis affect serum levels of CRP, IL-2, and IL-6 as well as
induce pathological changes in remote organs?
AB - OBJECTIVES: The aim of this study was to investigate whether apical periodontitis
(AP) could cause systemic cytokine elevation and pathological changes in remote
organs in an experimental animal model. MATERIALS AND METHODS: AP was induced in
36 Sprague Dawley (SD) rats. Serum levels of C-reactive protein (CRP),
interleukin 2 (IL-2), and interleukin 6 (IL-6) were measured by enzyme-linked
immunosorbent assays at different time intervals (0, 6, 12, 24, 48, and 96 h and
1, 2, 3, 4, 5, and 6 weeks) after pulp exposure. Multiple organs (the aortic
arch, myocardium, liver, and spleen) were collected for histological observation.
The results were analyzed by one-way analysis of variance (ANOVA). RESULTS: Serum
levels of CRP, IL-2, and IL-6 were significantly elevated at all time points
assessed after 6, 24, and 96 h, respectively. The peak values of serum cytokines
(CRP 6.363 +/- 0.05 ng/ml, IL-2 21.997 +/- 0.15 ng/L, and IL-6 2.406 +/- 0.02
ng/L) were reached at 1, 4, and 2 weeks, respectively, followed by a decline.
Time-dependent reversible histopathological changes were detected in the aortic
arch, myocardium, and spleen, whereas irreversible changes were found in the
liver. CONCLUSIONS: AP elevated the levels of CRP, IL-2, and IL-6 in rat blood
serum, causing reversible changes in the aortic arch, myocardium, and spleen as
well as irreversible changes in the liver. CLINICAL RELEVANCE: AP may trigger a
systemic immune response, impair remote organs, and affect the general health of
patients.
PMID- 26556577
TI - Enamel matrix protein derivative and/or synthetic bone substitute for the
treatment of mandibular class II buccal furcation defects. A 12-month randomized
clinical trial.
AB - OBJECTIVE: This study aims to clinically evaluate the treatment of mandibular
class II furcation defects with enamel matrix derivative (EMD) and/or a bone
substitute graft made of beta-tricalcium phosphate/hydroxyapatite (betaTCP/HA).
MATERIALS AND METHODS: Forty-one patients, presenting a mandibular class II
buccal furcation defect, probing pocket depth (PPD) >=4 mm and bleeding on
probing, were included. They were randomly assigned to the groups: 1-EMD (n =
13); 2-betaTCP/HA (n = 14); 3-EMD + betaTCP/HA (n = 14). Plaque index (PI),
gingival index (GI), relative gingival margin position (RGMP), relative vertical
and horizontal attachment level (RVCAL and RHCAL), and PPD were evaluated at
baseline and 6 and 12 months. The mean horizontal clinical attachment level gain
was considered the primary outcome variable. RESULTS: No significant intragroup
differences were observed for RGMP, but significant changes were observed for
RVCAL, RHCAL, and PPD for all groups (p < 0.05). After 12 months, the mean
horizontal clinical attachment level gain was 2.77 +/- 0.93 mm for EMD, 2.64 +/-
0.93 mm for betaTCP/HA, and 2.93 +/- 0.83 mm for EMD + betaTCP/HA, with no
significant differences among the groups. At the end of the study, 85.3 % of the
sites were partially closed; however, no complete closure was observed.
CONCLUSION: EMD + betaTCP/HA does not provide a significant advantage when
compared to the isolated approaches. All three tested treatments promote
significant improvements and partial closure of class II buccal furcation
defects. Based on its potential to induce periodontal regeneration, EMD may be
considered an attractive option for this type of defect, but complete closure
remains an unrealistic goal. CLINICAL RELEVANCE: The partial closure of buccal
furcation defects can be achieved after the three tested approaches. However, the
combined treatment does not provide a significant benefit when compared to the
isolated approaches.
PMID- 26556578
TI - Evaluation of carbon nanotubes functionalized with sodium hyaluronate in the
inflammatory processes for oral regenerative medicine applications.
AB - OBJECTIVES: The objectives of this study were to assess the effects of hyaluronic
acid (HY), multi-walled carbon nanotubes (MWCNT), and MWCNT functionalized with
HY (HY-MWCNT) on the resolution of neutrophilic inflammation in the pleural
cavity of LPS-challenged mice and to assess the influence of these materials in
the inflammatory process of bone repair of tooth sockets of rats. MATERIALS AND
METHODS: C57Bl/6 mice were intra-pleurally injected with HY, MWCNT, HY-MWCNT,
phosphate-buffered saline (PBS), or LPS. The animals were euthanized after 8 and
24 h, and cells were harvested for total and differential cell counting. The
tooth sockets of Wistar rats were filled with HY, MWCNT, HY-MWCNT, or blood clot
(control). After 1, 3, and 7 days, histological and morphometric analyses
evaluated the number of cell nuclei and blood vessels, and bone trabeculae
formation in the sockets. Myeloperoxidase (MPO) activity quantified neutrophil
accumulation in the sockets. RESULTS: HY, MWCNT, and HY-MWCNT increased
neutrophilic recruitment at 8 h and reduced the inflammatory process at 24 h in
the pleural cavity. Histological and morphometric analyses and MPO activity
showed no significant differences in the recruitment of inflammatory cells in the
tooth sockets. HY increased the number of blood vessels, and HY and HY-MWCNT
increased bone trabeculae formation at 7 days of tooth extraction. CONCLUSIONS:
HY, MWCNT, and HY-MWCNT resolved the neutrophilic inflammation in the pleural
cavity of the mice. However, these materials did not modulate the inflammatory
process in the early stages of bone repair of the tooth sockets, thereby
excluding this action as a possible mechanism by which these biomaterials
accelerate bone repair. CLINICAL RELEVANCE: HY-MWCNT is capable of accelerating
bone repair/regeneration without affecting the inflammatory phase during the bone
healing process.
PMID- 26556579
TI - Enamel permeability and resistance to acid challenges after systemic use of
sodium alendronate: a study in rat teeth.
AB - OBJECTIVES: To evaluate the enamel resistance and permeability of rat teeth to
acid challenges after systemic use of sodium alendronate. MATERIALS AND METHODS:
Eighteen Wistar rats (36 teeth), aged 36-42 days (200-230 g), were assigned into
two groups: alendronate group (n = 20 teeth), which received two weekly doses of
1 mg/kg of alendronate, via gavage; and a non-alendronate group (n = 16 teeth),
which received only distilled water. After 60 days, the animals were killed, the
maxillary incisors were extracted and used for the artificial induction of the
caries lesion (pH cycling regimen) and erosion area (immersion cycles in cola
type soft drink) and for the enamel permeability test (dye penetration). The
teeth were divided into alendronate group (n = 10) or non-alendronate group (n =
8) for each test. The quantitative response variables were the percent
longitudinal change in Knoop microhardness (%LMC), the enamel carious/erosion
lesion area (CELA) and enamel permeability. RESULTS: Groups were not
significantly different (p > 0.05) with regard to the %LMC and enamel
permeability (25.58 MUm +/- 12.73 and 25.40 MUm +/- 4.6 for the experimental and
control groups, respectively). For CELA, it was not observed significant
difference (p > 0.05) between the non-alendronate group (24.08 +/- 1.36 and 25.22
+/- 1.60, for caries and erosion, respectively) and the alendronate group (25.46
+/- 1.60 and 25.0 +/- 1.26) for caries and erosion, respectively). CONCLUSIONS:
Based on the methodological conditions and the presented results of this study,
sodium alendronate did not affect the longitudinal microhardness,
demineralisation lesion area or permeability of the enamel after acid challenge;
therefore, alendronate did not become the enamel of rats more resistant. CLINICAL
RELEVANCE: The systemic alendronate treatment for 60 days did not change the
enamel of rats regarding the susceptibility to acids.
PMID- 26556580
TI - What factors influence the choice of urban or rural location for future practice
of Nepalese medical students? A cross-sectional descriptive study.
AB - BACKGROUND: Nepal is experiencing a public health issue similar to the rest of
the world, i.e., the geographical maldistribution of physicians. Although there
is some documentation about the reasons physicians elect to leave Nepal to work
abroad, very little is known about the salient factors that influence the choice
of an urban versus rural practice setting for those physicians who do not
migrate. In recent years, around 1000 medical students became doctors within
Nepal, but their distribution in rural locations is not adequate. The purpose of
this study was to explore what factors influence the choice of urban or rural
location for the future clinical practice of Nepalese medical students in the
final year of their program METHODS: A cross-sectional descriptive study design
was used for this study involving Nepalese medical students in their final year
of study and currently doing an internship in a medical college. The sample
consisted of 393 medical students from four medical colleges in Nepal that were
selected randomly. An anonymous self-administered questionnaire was used for data
collection. To determine the association with rural location choice for their
future practice setting, a comparison was done that involved demographic, socio
economic, and educational factors. Data were entered in EpiData and analyzed by
using SPSS version 16. RESULTS: Among the 393 respondents, two thirds were male
(66.9%) and more than half were below 25 years of age. Almost all (93%)
respondents were single and about two thirds (63.4%) were of Brahmin and Chhetri
ethnic origin. About two thirds (64.1%) of the respondents were born in a rural
setting, and 58.8% and 53.3% had a place of rearing and permanent address in a
rural location, respectively. The predictors of future rural location choice for
their clinical practice (based on the bivariate analysis) included: (a) Rural
(versus urban) place of birth, place of rearing, and permanent address (b) Source
of family income (service, business, and agriculture) (c) Occupation of father
(service, business and agriculture) (d) Wealth ranking (higher, middle, and lower
wealth rank) (e) Educational factors: location, type of secondary education, and
type of higher secondary education. CONCLUSION: For medical students who were
soon to complete their studies, demographic and educational factors were found to
be significant predictors for a rural location choice, as opposed to socio
economic factors. Our findings indicate that to ensure the rural retention of
physicians, the government of Nepal should attract potential medical students
from those who were reared and educated in a rural setting.
PMID- 26556581
TI - Implications of Climate Change on the Heat Budget of Lentic Systems Used for
Power Station Cooling: Case Study Clinton Lake, Illinois.
AB - We use a numerical model to analyze the impact of climate change-in particular
higher air temperatures-on a nuclear power station that recirculates the water
from a reservoir for cooling. The model solves the hydrodynamics, the transfer of
heat in the reservoir, and the energy balance at the surface. We use the
numerical model to (i) quantify the heat budget in the reservoir and determine
how this budget is affected by the combined effect of the power station and
climate change and (ii) quantify the impact of climate change on both the
downstream thermal pollution and the power station capacity. We consider four
different scenarios of climate change. Results of simulations show that climate
change will reduce the ability to dissipate heat to the atmosphere and therefore
the cooling capacity of the reservoir. We observed an increase of 25% in the
thermal load downstream of the reservoir, and a reduction in the capacity of the
power station of 18% during the summer months for the worst-case climate change
scenario tested. These results suggest that climate change is an important threat
for both the downstream thermal pollution and the generation of electricity by
power stations that use lentic systems for cooling.
PMID- 26556582
TI - Triggered Excited-State Intramolecular Proton Transfer Fluorescence for Selective
Triplex DNA Recognition.
AB - The triplex DNA has received much interest due to its various applications in
gene regulation, molecular switch, and sensor development. However, realizing a
highly selective recognition using a fluorescence probe specific only for the
triplex topology is still a great challenge. Herein, we found that relative to
the structural analogues of natural robinetin, myricetin, quercetin, kaempferol,
morin, rutin, baicalin, luteolin, naringenin, genistein, chrysin, galangin,
isorhamnetin, and several synthetic flavonoids, fisetin (FIS) is the brightest
emitter when targeting the triplex DNA in contrast to binding with ss-DNA, ds-DNA
(with or without an abasic site), i-motif, and DNA/RNA G-quadruplexes. Only the
triplex association triggers the FIS green fluorescence that is relaxed from the
tautomer favorable for excited-state intramolecular proton transfer (ESIPT). FIS
can stabilize the triplex structure and primarily interact with the two terminals
of the triplex via a 2:1 binding mode. This work demonstrates the potential of
FIS as a DNA structure-selective switch-on ESIPT probe when evolving the triplex
forming oligonucleotides and developing the novel triplex-based sensors and
switches.
PMID- 26556583
TI - Yin and yang of cytidine deaminase roles in clinical response to azacitidine in
the elderly: a pharmacogenetics tale.
AB - Azacitidine is a mainstay for treating hematological disorders. Azacitidine is
metabolized by cytidine deaminase, coded by a highly polymorphic gene. Here, we
present two elderly patients with opposite clinical outcomes after azacitidine
treatment. First, an acute myeloid leukemia patient showed life-threatening
toxicities, but outstanding complete remission, after a single round of
azacitidine. Further investigations showed that this patient was cytidine
deaminase 79A>C (rs2072671) homozygous with a marked deficient phenotype. Next, a
chronic myelomonocytic leukemia patient displayed complete lack of response
despite several cycles of azacitidine. This patient had a rapid-deaminator
phenotype linked to the -31delC deletion (rs3215400). These polymorphisms lead to
opposite clinical outcomes in patients with myelodysplastic syndromes treated
with azacitidine, thus suggesting that determining cytidine deaminase status
could help to forecast clinical outcome.
PMID- 26556584
TI - A SERS Study on the Assembly Behavior of Gold Nanoparticles at the Oil/Water
Interface.
AB - Herein, the assembly behavior of gold nanoparticles (AuNPs) at the oil/water
interface is studied by surface-enhanced Raman scattering (SERS) spectroscopy.
Two selected chemicals [1-dodecanethiol (DDT) and tetramethylammonium ion
(TMA(+))] are applied to tune the surface properties of AuNPs and the
corresponding assembly behaviors at the oil/water interface are thoroughly
investigated. Various AuNPs films, namely sparse 2D film, perfect monolayer, and
multilayers are obtained. The SERS spectra analyses show that the surface
composition of AuNPs is strongly dependent on the chemical environment around
AuNPs and results in different morphologies of AuNPs film at the oil/water
interface. Accordingly, we propose a rational relationship between AuNPs assembly
behavior at the oil/water interface and their surrounding chemical environment,
and thus reveals the physical mechanism underlying the nanoparticle assembly.
PMID- 26556585
TI - Surgical treatment of paediatric nail bed injuries in the United Kingdom: Surgeon
and patient priorities for future research.
PMID- 26556586
TI - Comparison between eyelid indices of ptotic eye and normal fellow eye in patients
with unilateral congenital ptosis.
AB - PURPOSE: To evaluate the relationship between levator muscle function (LMF) and
other eyelid parameters in the normal and affected eyes of patients with
unilateral congenital ptosis. METHODS: This study includes subjects with
unilateral congenital upper lid ptosis who were referred for operation over a 2
year period. Patients with other eyelid abnormalities and previous eyelid surgery
were excluded. Eyelid parameters including LMF, lid fissure height (LFH) and
margin reflex distance (MRD) were measured in both eyes and analyzed. RESULTS: A
total of 77 patients with mean age of 26.4 +/- 16.4 years were enrolled in the
study. Mean LMF was 8.3 +/- 4.6 mm in the ptotic and 13.1 +/- 3.6 mm in the
normal fellow eyes. Each millimeter of difference in LMF was associated with 0.30
mm of difference in LFH (95% CI: 0.25-0.35, P < 0.001) and 0.11 mm of difference
in MRD of the ptotic eyes (95% CI: 0.08-0.12, P < 0.001) in the same direction.
In addition, each millimeter of difference in LMF of ptotic eyes was associated
with 0.48 mm of difference (95% CI: 0.33-0.62, P < 0.001) in LMF of non-ptotic
eyes in the same direction. CONCLUSION: A direct correlation was observed between
LMF, and LFH and MRD in ptotic eyes which confirms the role of levator muscle
dysfunction in the development of congenital ptosis and its severity.
Furthermore, a direct correlation was also present between LMF of ptotic and non
ptotic eyes suggesting possible bilateral involvement in apparently unilateral
congenital ptosis.
PMID- 26556587
TI - Re: 'Dynamic reconstruction of complex abdominal wall defects with the pedicled
innervated vastus lateralis and anterolateral thigh PIVA flap'.
PMID- 26556588
TI - Helicobacter cinaedi bacteremia in four renal transplant patients: clinical
features and an important suggestion regarding the route of infection.
AB - Helicobacter cinaedi can cause bacteremia mainly in immunocompromised patients.
We present the clinical characteristics of H. cinaedi bacteremia in 4 renal
transplant patients. Interestingly, all cases showed triggers of bacterial
translocation: 2 cases developed after colonic perforation caused by
diverticulitis, 1 case developed post cholecystectomy, and the remaining patient
had chronic diarrhea. Accordingly, bacterial translocation caused by severe
gastrointestinal complication could be a cause of H. cinaedi bacteremia.
PMID- 26556589
TI - Does type 1 diabetes mellitus affect Achilles tendon response to a 10 km run? A
case control study.
AB - BACKGROUND: Achilles tendon structure deteriorates 2-days after maximal loading
in elite athletes. The load-response behaviour of tendons may be altered in type
1 diabetes mellitus (T1DM) as hyperglycaemia accelerates collagen cross-linking.
This study compared Achilles tendon load-response in participants with T1DM and
controls. METHODS: Achilles tendon structure was quantified at day-0, day-2 and
day-4 after a 10 km run. Ultrasound tissue characterisation (UTC) measures tendon
structural integrity by classifying pixels as echo-type I, II, III or IV. Echo
type I has the most aligned collagen fibrils and IV has the least. RESULTS:
Participants were 7 individuals with T1DM and 10 controls. All regularly ran
distances greater than 5 km and VISA-A scores indicated good tendon function
(T1DM = 94 +/- 11, control = 94 +/- 10). There were no diabetic complications and
HbA1c was 8.7 +/- 2.6 mmol/mol for T1DM and 5.3 +/- 0.4 mmol/mol for control
groups. Baseline tendon structure was similar in T1DM and control groups - UTC
echo-types (I-IV) and anterior-posterior thickness were all p > 0.05. No response
to load was seen in either T1DM or control group over the 4-days post exercise.
CONCLUSION: Active individuals with T1DM do not have a heightened Achilles tendon
response to load, which suggests no increased risk of tendon injury. We cannot
extrapolate these findings to sedentary individuals with T1DM.
PMID- 26556590
TI - Evolutionary Rate Heterogeneity of Primary and Secondary Metabolic Pathway Genes
in Arabidopsis thaliana.
AB - Primary metabolism is essential to plants for growth and development, and
secondary metabolism helps plants to interact with the environment. Many plant
metabolites are industrially important. These metabolites are produced by plants
through complex metabolic pathways. Lack of knowledge about these pathways is
hindering the successful breeding practices for these metabolites. For a better
knowledge of the metabolism in plants as a whole, evolutionary rate variation of
primary and secondary metabolic pathway genes is a prerequisite. In this study,
evolutionary rate variation of primary and secondary metabolic pathway genes has
been analyzed in the model plant Arabidopsis thaliana. Primary metabolic pathway
genes were found to be more conserved than secondary metabolic pathway genes.
Several factors such as gene structure, expression level, tissue specificity,
multifunctionality, and domain number are the key factors behind this
evolutionary rate variation. This study will help to better understand the
evolutionary dynamics of plant metabolism.
PMID- 26556591
TI - The X Chromosome of Hemipteran Insects: Conservation, Dosage Compensation and Sex
Biased Expression.
AB - Insects of the order Hemiptera (true bugs) use a wide range of mechanisms of sex
determination, including genetic sex determination, paternal genome elimination,
and haplodiploidy. Genetic sex determination, the prevalent mode, is generally
controlled by a pair of XY sex chromosomes or by an XX/X0 system, but different
configurations that include additional sex chromosomes are also present. Although
this diversity of sex determining systems has been extensively studied at the
cytogenetic level, only the X chromosome of the model pea aphid Acyrthosiphon
pisum has been analyzed at the genomic level, and little is known about X
chromosome biology in the rest of the order.In this study, we take advantage of
published DNA- and RNA-seq data from three additional Hemiptera species to
perform a comparative analysis of the gene content and expression of the X
chromosome throughout this clade. We find that, despite showing evidence of
dosage compensation, the X chromosomes of these species show female-biased
expression, and a deficit of male-biased genes, in direct contrast to the pea
aphid X. We further detect an excess of shared gene content between these very
distant species, suggesting that despite the diversity of sex determining
systems, the same chromosomal element is used as the X throughout a large portion
of the order.
PMID- 26556592
TI - The in vitro antioxidant properties of alcalase hydrolysate prepared from silkie
fowl (Gallus gallus) blood protein.
AB - Two types of proteins including blood plasma protein and blood cell protein were
isolated from silkie fowl (Gallus gallus) blood and hydrolyzed using alcalase for
0, 2, 4 and 6 h. The blood plasma protein hydrolysate (BPH) and blood cell
protein hydrolysate (BCH) were analyzed for pH value, peptide content and
antioxidative properties. The significantly higher peptide contents were observed
in BPH than that of BCH, which showed that blood plasma protein was more suitable
to hydrolysis by alcalase than blood cell protein. Both BPH and BCH showed strong
2,2-diphenyl-1-picrylhydrazyl (DPPH) radical-scavenging activity and Fe(2+)
chelating ability. BPH at 4 h of hydrolysis (BPH4) demonstrated significantly
higher antioxidant capacity than those treated by alcalase in most of the assays.
The BPH4 was separated using ultra-filtration and assessment of the fractions and
indicated that low molecular weight of peptides (< 3 kDa) possessed greater DPPH
scavenging activity, Fe(2+) chelating ability and inhibitory activity of lipid
peroxidation. These results show that BPH has the potential to be ingredients in
the food industry as a replacement of synthetic antioxidants.
PMID- 26556593
TI - An Aqueous Two-Phase System for the Concentration and Extraction of Proteins from
the Interface for Detection Using the Lateral-Flow Immunoassay.
AB - The paper-based immunoassay for point-of-care diagnostics is widely used due to
its low cost and portability over traditional lab-based assays. Lateral-flow
immunoassay (LFA) is the most well-established paper-based assay since it is
rapid and easy to use. However, the disadvantage of LFA is its lack of
sensitivity in some cases where a large sample volume is required, limiting its
use as a diagnostic tool. To improve the sensitivity of LFA, we previously
reported on the concentration of analytes into one of the two bulk phases of an
aqueous two-phase system (ATPS) prior to detection. In this study, we preserved
the advantages of LFA while significantly improving upon our previous proof-of
concept studies by employing a novel approach of concentrating gold
nanoparticles, a common LFA colorimetric indicator. By conjugating specific
antibodies and polymers to the surfaces of the particles, these gold nanoprobes
(GNPs) were able to capture target proteins in the sample and subsequently be
concentrated within 10 min at the interface of an ATPS solution comprised of
polyethylene glycol, potassium phosphate, and phosphate-buffered saline. These
GNPs were then extracted and applied directly to LFA. By combining this prior
ATPS interface extraction with LFA, the detection limit of LFA for a model
protein was improved by 100-fold from 1 ng/MUL to 0.01 ng/MUL. Additionally, we
examined the behavior of the ATPS system in fetal bovine serum and synthetic
urine to more closely approach real-world applications. Despite using more
complex matrices, ATPS interface extraction still improved the detection limit by
100-fold within 15 to 25 min, demonstrating the system's potential to be applied
to patient samples.
PMID- 26556594
TI - Implementation Science Workshop: Implementation of an Electronic Referral System
in a Large Academic Medical Center.
PMID- 26556596
TI - [Knee prosthetic-joint infection due to Mycobacterium fortuitum].
PMID- 26556595
TI - Primary Amine Oxidase of Escherichia coli Is a Metabolic Enzyme that Can Use a
Human Leukocyte Molecule as a Substrate.
AB - Escherichia coli amine oxidase (ECAO), encoded by the tynA gene, catalyzes the
oxidative deamination of aromatic amines into aldehydes through a well
established mechanism, but its exact biological role is unknown. We investigated
the role of ECAO by screening environmental and human isolates for tynA and
characterizing a tynA-deletion strain using microarray analysis and biochemical
studies. The presence of tynA did not correlate with pathogenicity. In tynA+
Escherichia coli strains, ECAO enabled bacterial growth in phenylethylamine, and
the resultant H2O2 was released into the growth medium. Some aminoglycoside
antibiotics inhibited the enzymatic activity of ECAO, which could affect the
growth of tynA+ bacteria. Our results suggest that tynA is a reserve gene used
under stringent environmental conditions in which ECAO may, due to its production
of H2O2, provide a growth advantage over other bacteria that are unable to manage
high levels of this oxidant. In addition, ECAO, which resembles the human homolog
hAOC3, is able to process an unknown substrate on human leukocytes.
PMID- 26556597
TI - Comparison of the Cowpox Virus and Vaccinia Virus Mature Virion Proteome:
Analysis of the Species- and Strain-Specific Proteome.
AB - Cowpox virus (CPXV) causes most zoonotic orthopoxvirus (OPV) infections in Europe
and Northern as well as Central Asia. The virus has the broadest host range of
OPV and is transmitted to humans from rodents and other wild or domestic animals.
Increasing numbers of human CPXV infections in a population with declining
immunity have raised concerns about the virus' zoonotic potential. While there
have been reports on the proteome of other human-pathogenic OPV, namely vaccinia
virus (VACV) and monkeypox virus (MPXV), the protein composition of the CPXV
mature virion (MV) is unknown. This study focused on the comparative analysis of
the VACV and CPXV MV proteome by label-free single-run proteomics using nano
liquid chromatography and high-resolution tandem mass spectrometry (nLC-MS/MS).
The presented data reveal that the common VACV and CPXV MV proteome contains most
of the known conserved and essential OPV proteins and is associated with cellular
proteins known to be essential for viral replication. While the species-specific
proteome could be linked mainly to less genetically-conserved gene products, the
strain-specific protein abundance was found to be of high variance in proteins
associated with entry, host-virus interaction and protein processing.
PMID- 26556599
TI - Late cornified envelope (LCE) proteins: distinct expression patterns of LCE2 and
LCE3 members suggest nonredundant roles in human epidermis and other epithelia.
AB - BACKGROUND: Deletion of the late cornified envelope (LCE) proteins LCE3B and
LCE3C is a strong and widely replicated psoriasis risk factor. It is amenable to
biological analysis because it precludes the expression of two epidermis-specific
proteins, rather than being a single-nucleotide polymorphism of uncertain
significance. The biology of the 18-member LCE family of highly homologous
proteins has remained largely unexplored so far. OBJECTIVES: To analyse LCE3
expression at the protein level in human epithelia, as a starting point for
functional analyses of these proteins in health and disease. METHODS: We
generated the first pan-LCE3 monoclonal antibody and provide a detailed analysis
of its specificity towards individual LCE members. LCE2 and LCE3 expression in
human tissues and in reconstructed human skin models was studied using
immunohistochemical analyses and quantitative polymerase chain reaction. RESULTS:
Our study reveals that LCE2 and LCE3 proteins are differentially expressed in
human epidermis, and colocalize only in the upper stratum granulosum layer. Using
an in vitro reconstructed human skin model that mimics epidermal morphogenesis,
we found that LCE3 proteins are expressed at an early time point during epidermal
differentiation in the suprabasal layers, while LCE2 proteins are found only in
the uppermost granular layer and stratum corneum. CONCLUSIONS: Based on the
localization of LCE2 and LCE3 in human epidermis we conclude that members of the
LCE protein family are likely to have distinct functions in epidermal biology.
This finding may contribute to understanding why LCE3B/C deletion increases
psoriasis risk.
PMID- 26556598
TI - Associations of Body Composition Measurements with Serum Lipid, Glucose and
Insulin Profile: A Chinese Twin Study.
AB - OBJECTIVES: To quantitate and compare the associations of various body
composition measurements with serum metabolites and to what degree genetic or
environmental factors affect obesity-metabolite relation. METHODS: Body mass
index (BMI), waist circumference (WC), lean body mass (LBM), percent body fat
(PBF), fasting serum high density lipoprotein cholesterol (HDL-C), low density
lipoprotein cholesterol (LDL-C), triglycerides (TG), total cholesterol (TC),
glucose, insulin and lifestyle factors were assessed in 903 twins from Chinese
National Twin Registry (CNTR). Homeostasis model assessment of insulin resistance
(HOMA-IR) was calculated from fasting serum glucose and insulin. Linear
regression models and bivariate structural equation models were used to examine
the relation of various body composition measurements with serum metabolite
levels and genetic/environmental influences on these associations, respectively.
RESULTS: At individual level, adiposity measurements (BMI, WC and PBF) showed
significant associations with serum metabolite concentrations in both sexes and
the associations still existed in male twins when using within-MZ twin pair
comparison analyses. Associations of BMI with TG, insulin and HOMA-IR were
significantly stronger in male twins compared to female twins (BMI-by-sex
interaction p = 0.043, 0.020 and 0.019, respectively). Comparison of various
adiposity measurements with levels of serum metabolites revealed that WC
explained the largest fraction of variance in serum LDL-C, TG, TC and glucose
concentrations while BMI performed best in explaining variance in serum HDL-C,
insulin and HOMA-IR levels. Of these phenotypic correlations, 64-81% were
attributed to genetic factors, whereas 19-36% were attributed to unique
environmental factors. CONCLUSIONS: We observed different associations between
adiposity and serum metabolite profile and demonstrated that WC and BMI explained
the largest fraction of variance in serum lipid profile and insulin resistance,
respectively. To a large degree, shared genetic factors contributed to these
associations with the remaining explained by twin-specific environmental factors.
PMID- 26556600
TI - dTAF10- and dTAF10b-Containing Complexes Are Required for Ecdysone-Driven Larval
Pupal Morphogenesis in Drosophila melanogaster.
AB - In eukaryotes the TFIID complex is required for preinitiation complex assembly
which positions RNA polymerase II around transcription start sites. On the other
hand, histone acetyltransferase complexes including SAGA and ATAC, modulate
transcription at several steps through modification of specific core histone
residues. In this study we investigated the function of Drosophila melanogaster
proteins TAF10 and TAF10b, which are subunits of dTFIID and dSAGA, respectively.
We generated a mutation which eliminated the production of both Drosophila TAF10
orthologues. The simultaneous deletion of both dTaf10 genes impaired the
recruitment of the dTFIID subunit dTAF5 to polytene chromosomes, while binding of
other TFIID subunits, dTAF1 and RNAPII was not affected. The lack of both dTAF10
proteins resulted in failures in the larval-pupal transition during metamorphosis
and in transcriptional reprogramming at this developmental stage. Surprisingly,
unlike dSAGA mutations, dATAC subunit mutations resulted in very similar changes
in the steady state mRNA levels of approximately 5000 genes as did ablation of
both dTaf10 genes, indicating that dTAF10- and/or dTAF10b-containing complexes
and dATAC affect similar pathways. Importantly, the phenotype resulting from
dTaf10+dTaf10b mutation could be rescued by ectopically added ecdysone,
suggesting that dTAF10- and/or dTAF10b-containing complexes are involved in the
expression of ecdysone biosynthetic genes. Indeed, in dTaf10+dTaf10b mutants,
cytochrome genes, which regulate ecdysone synthesis in the ring gland, were
underrepresented. Therefore our data support the idea that the presence of dTAF10
proteins in dTFIID and/or dSAGA is required only at specific developmental steps.
We propose that distinct forms of dTFIID and/or dSAGA exist during Drosophila
metamorphosis, wherein different TAF compositions serve to target RNAPII at
different developmental stages and tissues.
PMID- 26556601
TI - The Effects of Extracorporeal Shock Wave Therapy in Patients with Coccydynia: A
Randomized Controlled Trial.
AB - Coccydynia is pain in the coccygeal region, and usually treated conservatively.
Extracorporeal shock wave therapy (ESWT) was incorporated as non-invasive
treatment of many musculoskeletal conditions. However, the effects of ESWT on
coccydynia are less discussed. The purpose of this study is to evaluate the
effects of ESWT on the outcomes of coccydynia. Patients were allocated to ESWT (n
= 20) or physical modality (SIT) group (n = 21) randomly, and received total
treatment duration of 4 weeks. The visual analog scale (VAS), Oswestry disability
index (ODI), and self-reported satisfaction score were used to assess treatment
effects. The VAS and ODI scores were significantly decreased after treatment in
both groups, and the decrease in the VAS score was significantly greater in the
ESWT group. The mean proportional changes in the ODI scores were greater in the
ESWT group than in the SIT group, but the between-group difference was not
statistically significant. The patients in the ESWT group had significantly
higher subjective satisfaction scores than SIT group. We concluded that ESWT is
more effective and satisfactory in reducing discomfort and disability caused by
coccydynia than the use of physical modalities. Thus, ESWT is recommended as an
alternative treatment option for patients with coccydynia. TRIAL REGISTRATION:
ClinicalTrials.gov NCT02313324.
PMID- 26556602
TI - Inflammatory potential of diet and risk for hepatocellular cancer in a case
control study from Italy.
AB - Inflammation and diet have been suggested to be important risk factors for
hepatocellular cancer (HCC). This Italian multicentre hospital-based case-control
study conducted between 1999 and 2002 and including 185 cases with incident,
histologically confirmed HCC, and 404 controls hospitalised for acute non
neoplastic diseases provided an opportunity to investigate the association
between HCC and the dietary inflammatory index (DII). The DII was computed on the
basis of dietary intake assessed 2 years before the date of interview by a
validated sixty-three-item FFQ. Logistic regression models were used to estimate
OR adjusted for age, sex, study centre, education, BMI, smoking, physical
activity, serum markers of hepatitis B and C infection and energy intake. Energy
adjustment for DII was performed using the residual method. Participants in the
highest tertile of DII scores (i.e. with a more pro-inflammatory diet) had a
higher risk for HCC (ORtertile 3 v, 1 2.43; 95 % CI 1.27, 4.68; P trend=0.03).
When stratified by the presence or absence of hepatitis B/C infection and sex,
DII was strongly associated with HCC in hepatitis B- and C-negative participants
(ORtertile 3 v. 1 4.18; 95 % CI 1.53, 11.39; P trend=0.02) and among males
(ORtertile 3 v. 1 3.60; 95 % CI 1.65, 7.87; P trend=0.001). These results
indicate that a pro-inflammatory diet is associated with increased risk for HCC,
in those without a history of hepatitis B/C infection and among males.
PMID- 26556603
TI - A Randomized, Placebo-Controlled Study of SRT2104, a SIRT1 Activator, in Patients
with Moderate to Severe Psoriasis.
AB - Activation of Sirtuin (silent mating type information regulation 2 homolog) 1, or
SIRT1, is an unexplored therapeutic approach for treatment of inflammatory
diseases. We randomized 40 patients with moderate-to-severe psoriasis (4:1) to
three escalating doses of SRT2104, a selective activator of SIRT1, or placebo.
Across all SRT2104 groups, 35% of patients (p<0.0001) achieved good to excellent
histological improvement based on skin biopsies taken at baseline and day 84 but
was not consistently in agreement with PASI. Improvement in histology was
associated with modulation of IL-17 and TNF-alpha signaling pathways and
keratinocyte differentiation target genes. 27 subjects (69%) across all treatment
groups, including placebo, experienced at least one treatment emergent adverse
event. The majority of AEs were either mild or moderate. Most common were
headache (8%), dizziness (8%), upper respiratory tract infection (8%), and
psoriatic arthropathy (8%). Average drug exposure increased in a dose-dependent
manner for escalating doses of SRT2104 and had high intra-subject variability in
exposure (AUC %CV: 51-89%). Given the interesting signals of clinical activity,
impact on gene expression and the generally favorable safety profile seen in this
study, further investigation of SIRT1 activators for the treatment of psoriasis
is warranted. TRIAL REGISTRATION: Clinicaltrials.gov NCT01154101.
PMID- 26556604
TI - Probing the effects of 2D confinement on hydrogen dynamics in water and ice
adsorbed in graphene oxide sponges.
AB - We studied the single particle dynamics of water and ice adsorbed in graphene
oxide (GO) sponges at T = 293 K and T = 20 K. We used Deep Inelastic Neutron
Scattering (DINS) at the ISIS neutron and muon spallation source to derive the
hydrogen mean kinetic energy, , and momentum distribution, n(p). The goal of
this work was to study the hydrogen dynamics under 2D confinement and the
potential energy surface, fingerprinting the hydrogen interaction with the
layered structure of the GO sponge. The observed scattering is interpreted within
the framework of the impulse approximation. Samples of both water and ice
adsorbed in GO show n(p) functions with almost harmonic and anisotropic line
shapes and values in excess of the values found at the corresponding
temperatures in the bulk. The hydrogen dynamics are discussed in the context of
the interaction between the interfacial water and ice and the confining
hydrophilic surface of the GO sponge.
PMID- 26556606
TI - Importance of the Electron Correlation and Dispersion Corrections in Calculations
Involving Enamines, Hemiaminals, and Aminals. Comparison of B3LYP, M06-2X, MP2,
and CCSD Results with Experimental Data.
AB - While B3LYP, M06-2X, and MP2 calculations predict the DeltaG degrees values for
exchange equilibria between enamines and ketones with similar acceptable
accuracy, the M06-2X/6-311+G(d,p) and MP2/6-311+G(d,p) methods are required for
enamine formation reactions (for example, for enamine 5a, arising from 3
methylbutanal and pyrrolidine). Stronger disagreement was observed when
calculated energies of hemiaminals (N,O-acetals) and aminals (N,N-acetals) were
compared with experimental equilibrium constants, which are reported here for the
first time. Although it is known that the B3LYP method does not provide a good
description of the London dispersion forces, while M06-2X and MP2 may
overestimate them, it is shown here how large the gaps are and that at least
single-point calculations at the CCSD(T)/6-31+G(d) level should be used for these
reaction intermediates; CCSD(T)/6-31+G(d) and CCSD(T)/6-311+G(d,p) calculations
afford DeltaG degrees values in some cases quite close to MP2/6-311+G(d,p) while
in others closer to M06-2X/6-311+G(d,p). The effect of solvents is similarly
predicted by the SMD, CPCM, and IEFPCM approaches (with energy differences below
1 kcal/mol).
PMID- 26556605
TI - Wheat germ-based protein libraries for the functional characterisation of the
Arabidopsis E2 ubiquitin conjugating enzymes and the RING-type E3 ubiquitin
ligase enzymes.
AB - BACKGROUND: Protein ubiquitination is a ubiquitous mechanism in eukaryotes. In
Arabidopsis, ubiquitin modification is mainly mediated by two ubiquitin
activating enzymes (E1s), 37 ubiquitin conjugating enzymes (E2s), and more than
1300 predicted ubiquitin ligase enzymes (E3s), of which ~470 are RING-type E3s. A
large proportion of the RING E3's gene products have yet to be characterised in
vitro, likely because of the laborious work involved in large-scale cDNA cloning
and protein expression, purification, and characterisation. In addition, several
E2s, which might be necessary for the activity of certain E3 ligases, cannot be
expressed by Escherichia coli or cultured insect cells and, therefore, remain
uncharacterised. RESULTS: Using the RIKEN Arabidopsis full-length cDNA library
(RAFL) with the 'split-primer' PCR method and a wheat germ cell-free system, we
established protein libraries of Arabidopsis E2 and RING E3 enzymes. We expressed
35 Arabidopsis E2s including six enzymes that have not been previously expressed,
and 204 RING proteins, most of which had not been functionally characterised.
Thioester assays using dithiothreitol (DTT) showed DTT-sensitive ubiquitin
thioester formation for all E2s expressed. In expression assays of RING proteins,
31 proteins showed high molecular smears, which are probably the result of their
functional activity. The activities of another 27 RING proteins were evaluated
with AtUBC10 and/or a group of different E2s. All the 27 RING E3s tested showed
ubiquitin ligase activity, including 17 RING E3s. Their activities are reported
for the first time. CONCLUSION: The wheat germ cell-free system used in our
study, which is a eukaryotic expression system and more closely resembles the
endogenous expression of plant proteins, is very suitable for expressing
Arabidopsis E2s and RING E3s in their functional form. In addition, the protein
libraries described here can be used for further understanding E2-E3
specificities and as platforms for protein-protein interaction screening.
PMID- 26556608
TI - Correction: Adenovirus and Herpesvirus Diversity in Free-Ranging Great Apes in
the Sangha Region of the Republic of Congo.
PMID- 26556607
TI - In Vivo Therapeutic Success of MicroRNA-155 Antagomir in a Mouse Model of Lupus
Alveolar Hemorrhage.
AB - OBJECTIVE: Diffuse alveolar hemorrhage (DAH) is a rare but life-threatening
complication of systemic lupus erythematosus (SLE). Pristane-treated B6 mice
develop severe DAH within 2 weeks of treatment. MicroRNA-155 (miR-155) is a
pleiotropic microRNA that plays a crucial role in the regulation of immune
responses. Recent studies have revealed a pathogenic role of miR-155 in various
autoimmune disorders. The purpose of this study was to examine the role of miR
155 in the development of DAH in pristane-induced lupus using miR-155-knockout
(miR-155(-/-)) mice and miR-155 antagomir to silence miR-155. METHODS: DAH was
induced by an intraperitoneal injection of 0.5 ml of pristane. MicroRNA-155
antagomir was administered intravenously to silence miR-155 expression. Lung
tissues were collected for RNA extraction and were embedded in paraffin for
sectioning. Gene expression profiling data were analyzed using Ingenuity Pathway
Analysis. Real-time quantitative polymerase chain reaction analysis was used for
single-gene validation. Luciferase reporter assay and argonaute 2
immunoprecipitation were performed for target validation. RESULTS: MicroRNA-155
expression was significantly increased during the development of DAH. Disease
progression was reduced in miR-155(-/-) mice as well as by in vivo silencing of
miR-155 using a miR-155 antagomir. MicroRNA-155 silencing dampened pristane
induced ectopic activation of multiple inflammatory pathways and reduced the
expression of proinflammatory cytokines. Several negative regulators of NF-kappaB
signaling were inhibited by pristane and were reactivated in miR-155(-/-) mice.
In particular, the antiinflammatory factor peroxisome proliferator-activated
receptor alpha was identified as a direct target of miR-155. CONCLUSION: MicroRNA
155 promotes pristane-induced lung inflammation. It contributes to ectopic
activation of NF-kappaB signaling pathways by targeting multiple negative
regulators. MicroRNA-155 antagomir may be a promising therapeutic strategy for
treating acute lung inflammation in lupus.
PMID- 26556609
TI - The genomics of ecological vicariance in threespine stickleback fish.
AB - Populations occurring in similar habitats and displaying similar phenotypes are
increasingly used to explore parallel evolution at the molecular level. This
generally ignores the possibility that parallel evolution can be mimicked by the
fragmentation of an ancestral population followed by genetic exchange with
ecologically different populations. Here we demonstrate such an ecological
vicariance scenario in multiple stream populations of threespine stickleback fish
divergent from a single adjacent lake population. On the basis of demographic and
population genomic analyses, we infer the initial spread of a stream-adapted
ancestor followed by the emergence of a lake-adapted population, that selective
sweeps have occurred mainly in the lake population, that adaptive lake-stream
divergence is maintained in the face of gene flow from the lake into the streams,
and that this divergence involves major inversion polymorphisms also important to
marine-freshwater stickleback divergence. Overall, our study highlights the need
for a robust understanding of the demographic and selective history in
evolutionary investigations.
PMID- 26556610
TI - Optimizing apnea testing to determine brain death.
PMID- 26556612
TI - Cardiac luxation in ICU after coughing effort following right pneumonectomy.
PMID- 26556611
TI - Apnea test during brain death assessment in mechanically ventilated and ECMO
patients.
AB - PURPOSE: To evaluate the feasibility and efficacy of an apnea test (AT) technique
that combines the application of positive end expiratory pressure (PEEP) with
subsequent pulmonary recruitment in a large cohort of brain-dead patients.
METHODS: This study was a retrospective analysis of prospectively collected data
on brain-dead patients admitted to our institution (Hospital San Gerardo, Monza,
Italy) between January 2010 and December 2014. The rate of aborted apnea tests
(ATs), occurrence of complications (i.e., pneumothorax, cardiac arrhythmias,
cardiac arrest, and severe hypoxia, defined as PaO2 < 40 mmHg), ventilator
settings, hemodynamics, and blood gas analyses were evaluated. Subgroup analysis
was performed, with patients classified into veno-arterial extracorporeal
membrane oxygenation (ECMO) or non-ECMO groups, and into hypoxic (i.e., baseline
PaO2/FiO2 < 200 mmHg) and non-hypoxic (i.e., baseline PaO2/FiO2 > 200 mmHg)
groups. RESULTS: In total, 169 consecutive patients including 25 on ECMO were
included in the study. No AT abortion nor severe complications were detected. The
AT was completed in all patients. Fluid boluses and increases or initiation of
vasoactive drugs were required in less than 10 and 3% of the AT procedures,
respectively. No clinically meaningful alteration in hemodynamics was recorded.
Severe hypoxia occurred during 7 (2.4%) and 4 (8%) of the ATs performed in non
ECMO and ECMO patients, respectively (p = 0.063), and it occurred more frequently
in hypoxic patients than in non-hypoxic patients (11.1 vs. 4.8%, respectively; p
= 0.002). CONCLUSIONS: In a large cohort of consecutive patients, including the
largest patient population on ECMO reported to date, our AT technique that
combines the application of PEEP with subsequent pulmonary recruitment proved to
be feasible and safe.
PMID- 26556613
TI - Cardiac effect of sodium bicarbonate in sodium-channel blocker poisoning.
PMID- 26556614
TI - "Does this patient have..." "Is this patient at risk for infection with multidrug
resistant bacteria?"
PMID- 26556615
TI - Normocaloric versus hypocaloric feeding on the outcomes of ICU patients: a
systematic review and meta-analysis.
AB - INTRODUCTION: Current clinical practice guidelines recommend providing ICU
patients a daily caloric intake estimated to match 80-100 % of energy expenditure
(normocaloric goals). However, recent clinical trials of intentional hypocaloric
feeding question this approach. METHODS: We performed a systematic review and
meta-analysis to compare the outcomes of ICU patients randomized to intentional
hypocaloric or normocaloric goals. We included randomized controlled trials that
enrolled ICU patients and compared intentional hypocaloric with normocaloric
nutritional goals. We included studies that evaluated both trophic feeding as
well as permissive underfeeding. Data sources included MEDLINE, Cochrane Register
of Controlled Trials and citation review of relevant primary and review articles.
The outcomes of interest included hospital acquired infection, hospital
mortality, ICU length of stay (LOS) and ventilator-free days (VFDs). RESULTS: Six
studies which enrolled 2517 patients met our inclusion criteria. The mean age and
body mass index (BMI) across the studies were 53 +/- 5 years and 29.1 +/- 1.5
kg/m(2), respectively. Two studies compared normocaloric feeding (77% of goal)
with trophic feeding (20% of goal), while four studies compared normocaloric
feeding (72% of goal) with permissive underfeeding (49% of goal). Overall, there
was no significant difference in the risk of infectious complications (OR 1.03;
95% CI 0.84-1.27, I(2) = 16%), hospital mortality (OR 0.91; 95% CI 0.75-1.11,
I(2) = 8%) or ICU LOS (mean difference 0.05 days; 95% CI 1.33-1.44 days; I(2) =
37%) between groups. VFDs were reported in three studies with no significant
difference between the normocaloric and intentional hypocaloric groups (data not
pooled). CONCLUSION: This meta-analysis demonstrated no difference in the risk of
acquired infections, hospital mortality, ICU length of stay or ventilator-free
days between patients receiving intentional hypocaloric as compared to
normocaloric nutritional goals.
PMID- 26556616
TI - Night shift decreases cognitive performance of ICU physicians.
AB - BACKGROUND: The relationship between tiredness and the risk of medical errors is
now commonly accepted. The main objective of this study was to assess the impact
of an intensive care unit (ICU) night shift on the cognitive performance of a
group of intensivists. The influence of professional experience and the amount of
sleep on cognitive performance was also investigated. METHODS: A total of 51
intensivists from three ICUs (24 seniors and 27 residents) were included. The
study participants were evaluated after a night of rest and after a night shift
according to a randomized order. Four cognitive skills were tested according to
the Wechsler Adult Intelligence Scale and the Wisconsin Card Sorting Test.
RESULTS: All cognitive abilities worsened after a night shift: working memory
capacity (11.3 +/- 0.3 vs. 9.4 +/- 0.3; p < 0.001), speed of processing
information (13.5 +/- 0.4 vs. 10.9 +/- 0.3; p < 0.001), perceptual reasoning
(10.6 +/- 0.3 vs. 9.3 +/- 0.3; p < 0.002), and cognitive flexibility (41.2 +/-
1.2 vs. 44.2 +/- 1.3; p = 0.063). There was no significant difference in terms of
level of cognitive impairment between the residents and ICU physicians. Only
cognitive flexibility appeared to be restored after 2 h of sleep. The other three
cognitive skills were altered, regardless of the amount of sleep during the night
shift. CONCLUSIONS: The cognitive abilities of intensivists were significantly
altered following a night shift in the ICU, regardless of either the amount of
professional experience or the duration of sleep during the shift. The
consequences for patients' safety and physicians' health should be further
evaluated.
PMID- 26556617
TI - Severe hyperlactatemia, lactate clearance and mortality in unselected critically
ill patients.
AB - PURPOSE: Hyperlactatemia may occur for a variety of reasons and is a predictor of
poor clinical outcome. However, only limited data are available on the underlying
causes of hyperlactatemia and the mortality rates associated with severe
hyperlactatemia in critically ill patients. We therefore aimed to evaluate the
etiology of severe hyperlactatemia (defined as a lactate level >10 mmol/L) in a
large cohort of unselected ICU patients. We also aimed to evaluate the
association between severe hyperlactatemia and lactate clearance with ICU
mortality. METHODS: In this retrospective, observational study at an University
hospital department with 11 ICUs during the study period between 1 April 2011 and
28 February 2013, we screened 14,040 ICU patients for severe hyperlactatemia
(lactate >10 mmol/L). RESULTS: Overall mortality in the 14,040 ICU patients was
9.8 %. Of these, 400 patients had severe hyperlactatemia and ICU mortality in
this group was 78.2 %. Hyperlactatemia was associated with death in the ICU [odds
ratio 1.35 (95 % CI 1.23; 1.49; p < 0.001)]. The main etiology for severe
hyperlactatemia was sepsis (34.0 %), followed by cardiogenic shock (19.3 %), and
cardiopulmonary resuscitation (13.8 %). Patients developing severe
hyperlactatemia >24 h of ICU treatment had a significantly higher ICU mortality
(89.1 %, 155 of 174 patients) than patients developing severe hyperlactatemia <=
24 h of ICU treatment (69.9 %, 158 of 226 patients; p < 0.0001). Lactate
clearance after 12 h showed a receiver-operating-characteristics area under the
curve (ROC-AUC) value of 0.91 to predict ICU mortality (cut-off showing highest
sensitivity and specifity was a 12 h lactate clearance of 32.8 %, Youden Index
0.72). In 268 patients having a 12-h lactate clearance <32.8 % ICU mortality was
96.6 %. CONCLUSIONS: Severe hyperlactatemia (>10 mmol/L) is associated with
extremely high ICU mortality especially when there is no marked lactate clearance
within 12 h. In such situations, the benefit of continued ICU therapy should be
evaluated.
PMID- 26556618
TI - Fentanyl as pre-emptive treatment of pain associated with turning mechanically
ventilated patients: a randomized controlled feasibility study.
AB - PURPOSE: To compare pain incidence and changes in pain scores with fentanyl
versus placebo as pre-emptive treatment during turning and 30 min post-turning in
mechanically ventilated critically ill patients. METHODS: We performed a
randomized, double-blind, parallel-group, placebo-controlled clinical trial in
the intensive care unit of a university hospital. Seventy-five mechanically
ventilated patients were randomized to an intervention group (fentanyl) or a
control group (placebo). Patients in the intervention group received 1 ug/kg
(medical patients) or 1.5 ug/kg (surgical patients) of fentanyl 10 min before
turning. Pain indicators were assessed using the behavioral pain scale. Safety
was assessed by determining the frequency and severity of pre-defined adverse
events. Pain was evaluated at rest (T0), at turn start and end (T1 and T2) and at
5, 15 and 30 min post-turning (T3, T4 and T5). RESULTS: The two groups had
similar baseline characteristics. The area under the curve for BPS values was
significantly smaller in the fentanyl group than in the control group [median and
interquartile range (IQR): 132 (108-150) vs. 147 (125-180); p = 0.016,
respectively]. Nineteen non-serious adverse events were recorded in 14 patients,
with no significant between-group differences (23 % fentanyl group vs. 14 %
control group; p = 0.381). CONCLUSIONS: These results suggest an intravenous
bolus of fentanyl of 1 ug/kg for medical patients or 1.5 ug/kg for surgical
patients reduces the incidence of turning-associated pain in critically ill
patients on mechanical ventilation. ClinicalTrials.gov: NCT 01950000.
PMID- 26556619
TI - Effects of chest compression on secretion removal, lung mechanics, and gas
exchange in mechanically ventilated patients: a crossover, randomized study.
PMID- 26556620
TI - Do we need ARDS?
PMID- 26556621
TI - Tasking the tailor to cut the coat: How to optimize individualized ICU-based
palliative care?
PMID- 26556622
TI - Prospective study of a proactive palliative care rounding intervention in a
medical ICU.
AB - PURPOSE: To evaluate the effects of a palliative care intervention on clinical
and family outcomes, and palliative care processes. METHODS: Prospective, before
and-after interventional study enrolling patients with high risk of mortality,
morbidity, or unmet palliative care needs in a 24-bed academic intensive care
unit (ICU). The intervention involved a palliative care clinician interacting
with the ICU physicians on daily rounds for high-risk patients. RESULTS: One
hundred patients were enrolled in the usual care phase, and 103 patients were
enrolled during the intervention phase. The adjusted likelihood of a family
meeting in ICU was 63% higher (RR 1.63, 95% CI 1.14-2.07, p = 0.01), and time to
family meeting was 41% shorter (95% CI 52-28% shorter, p < 0.001). Adjusted ICU
length of stay (LOS) was not significantly different between the two groups (6%
shorter, 95% CI 16% shorter to 4% longer, p = 0.22). Among those who died in the
hospital, ICU LOS was 19% shorter in the intervention (95% CI 33-1% shorter, p =
0.043). Adjusted hospital LOS was 26% shorter (95% CI 31-20% shorter, p < 0.001)
with the intervention. Post-traumatic stress disorder (PTSD) symptoms were
present in 9.1% of family respondents during the intervention versus 20.7% prior
to the intervention (p = 0.09). Mortality, family depressive symptoms, family
satisfaction and quality of death and dying did not significantly differ between
groups. CONCLUSIONS: Proactive palliative care involvement on ICU rounds for high
risk patients was associated with more and earlier ICU family meetings and
shorter hospital LOS. We did not identify differences in family satisfaction,
family psychological symptoms, or family-rated quality of dying, but had limited
power to detect such differences.
PMID- 26556623
TI - Effective genetic modification and differentiation of hMSCs upon controlled
release of rAAV vectors using alginate/poloxamer composite systems.
AB - Viral vectors are common tools in gene therapy to deliver foreign therapeutic
sequences in a specific target population via their natural cellular entry
mechanisms. Incorporating such vectors in implantable systems may provide strong
alternatives to conventional gene transfer procedures. The goal of the present
study was to generate different hydrogel structures based on alginate (AlgPH155)
and poloxamer PF127 as new systems to encapsulate and release recombinant adeno
associated viral (rAAV) vectors. Inclusion of rAAV in such polymeric capsules
revealed an influence of the hydrogel composition and crosslinking temperature
upon the vector release profiles, with alginate (AlgPH155) structures showing the
fastest release profiles early on while over time vector release was more
effective from AlgPH155+PF127 [H] capsules crosslinked at a high temperature (50
degrees C). Systems prepared at room temperature (AlgPH155+PF127 [C]) allowed
instead to achieve a more controlled release profile. When tested for their
ability to target human mesenchymal stem cells, the different systems led to high
transduction efficiencies over time and to gene expression levels in the range of
those achieved upon direct vector application, especially when using
AlgPH155+PF127 [H]. No detrimental effects were reported on either cell viability
or on the potential for chondrogenic differentiation. Inclusion of PF127 in the
capsules was also capable of delaying undesirable hypertrophic cell
differentiation. These findings are of promising value for the further
development of viral vector controlled release strategies.
PMID- 26556624
TI - Particle contamination of parenteralia and in-line filtration of proteinaceous
drugs.
AB - Protein drug products play an important role in the treatment of severe diseases.
However, due to the instability of these complex molecules, protein aggregates
can form which can compromise drug safety and efficacy including immunogenic
reactions. In-line filtration during the administration of these drugs can serve
as a final safeguarding step to protect patients from risks associated with
proteinaceous particles. A unique analysis of more than 300 marketed protein drug
products revealed that already around 16% of all these products are filtered
during preparation or administration. Further, the research revealed that no
standardized filtration practice exists. Broad variances regarding filter
membrane or pore size are found and sometimes no specifications are mentioned at
all. The benefits as well as possible negative impacts of filtration like filter
shedding, extractables or drug adsorption are critically assessed. Several
proposals to improve the current filtration practice and to expand the number of
in-line filtered protein drug products are made. The suggestions include the
demand for the specific usage of one filter membrane type, the establishment of a
filtration routine for unfiltered protein drugs and a statistical analysis
between filtered and non-filtered products with similar formulations to identify
possible differences in the immunogenicity rate.
PMID- 26556625
TI - Production of Korean Idiomatic Utterances Following Left- and Right-Hemisphere
Damage: Acoustic Studies.
AB - PURPOSE: This study investigates the effects of left- and right-hemisphere damage
(LHD and RHD) on the production of idiomatic or literal expressions utilizing
acoustic analyses. METHOD: Twenty-one native speakers of Korean with LHD or RHD
and in a healthy control (HC) group produced 6 ditropically ambiguous (idiomatic
or literal) sentences in 2 different speech tasks: elicitation and repetition.
Utterances were analyzed using durational and fundamental-frequency (F0)
measures. Listeners' goodness ratings (how well each utterance represented its
category: idiomatic or literal) were correlated with acoustic measures. RESULTS:
During the elicitation tasks, the LHD group differed significantly from the HC
group in durational measures. Significant differences between the RHD and HC
groups were seen in F0 measures. However, for the repetition tasks, the LHD and
RHD groups produced utterances comparable to the HC group's performance. Using
regression analysis, selected F0 cues were found to be significant predictors for
goodness ratings by listeners. CONCLUSIONS: Using elicitation, speakers in the
LHD group were deficient in producing durational cues, whereas RHD negatively
affected the production of F0 cues. Performance differed for elicitation and
repetition, indicating a task effect. Listeners' goodness ratings were highly
correlated with the production of certain acoustic cues. Both the acoustic and
functional hypotheses of hemispheric specialization were supported for idiom
production.
PMID- 26556626
TI - Peptidomimetic suppresses proliferation and invasion of gastric cancer cells by
fibroblast growth factor 2 signaling cascade blockage.
AB - Fibroblast growth factor 2 (FGF2) is closely involved in a variety of tumors,
including gastric cancer (GC). FGF2 inhibitors exert good antitumor activity, but
no FGF2 inhibitor has been employed for clinical use. To obtain a low-toxicity,
stable peptidomimetic (called P29) target to FGF2, the affinity between P29 and
FGF2 was detected by surface plasmon resonance. The stability of P29 was measured
by high performance liquid chromatography. MTT assay and transwell assay were
used to access the proliferative and invasive ability of GC cells, respectively.
Western blot assay and flow cytometric analysis were applied to study the
mechanism of P29. P29 possessed high affinity with FGF2 and a longer half-life in
vitro. P29 suppressed the FGF2-induced proliferation of GC cells. It also
inhibited the phosphorylation of FRS2, ERK1/2, and AKT triggered by FGF2 in GC.
In addition, P29 blocked GC cell transformation from the G1/G0 phase to the S
phase and weakened the invasive capability of GC cells. In this paper, we present
a novel FGF2 inhibitor that could exert improved anticancer effect in GC in
vitro.
PMID- 26556627
TI - Gemcitabine upregulates ABCG2/BCRP and modulates the intracellular
pharmacokinetic profiles of bioluminescence in pancreatic cancer cells.
AB - A lack of methods capable of exploring real-time intracellular drug deposition
has since limited the investigation of gemcitabine-induced multidrug resistance
in vitro and in vivo. Specifically, resistance induced by D-luciferin, a
substrate of the breast cancer resistance protein (ABCG2/BCRP), has recently
attracted clinical attention, but further investigation has been limited. Herein,
the intracellular pharmacokinetic behavior of D-luciferin was investigated in
pancreatic cancer cell lines in real time by using bioluminescence imaging. To
achieve this feat, BxPC3 and Panc1 pancreatic cancer cells overexpressing firefly
luciferase were treated with gemcitabine in a dose and time gradient manner in
vitro. The intracellular pharmacokinetic profiles of each group were then
determined through the acquisition of bioluminescent signal intensity of D
luciferin in cells. Simultaneously, key pharmacokinetic parameters including area
under the curve, elimination rate constant (K), and mean resident time were
calculated according to the noncompartment model. ABCG2 protein levels following
gemcitabine treatment were detected through western blot, and gemcitabine showed
no significant effect on luciferase activity over dimethyl sulfoxide (DMSO) as a
control (P>0.05). However, gemcitabine significantly increased K values while
suppressing area under the curve and mean resident time compared with DMSO
(P<0.05) and increased ABCG2 expression over DMSO-treated cells. In addition,
gemcitabine increased the elimination rate of the ABCG2 substrate, D-luciferin,
and decreased D-luciferin accumulation in BxPC3 and Panc1 cells in a dose
response manner. Advances made herein illustrate the versatility of the in-vitro
bioluminescent model and its capability to observe the onset of chemoresistance
in real time.
PMID- 26556628
TI - CRISPR/Cas9-mediated viral interference in plants.
AB - BACKGROUND: The CRISPR/Cas9 system provides bacteria and archaea with molecular
immunity against invading phages and conjugative plasmids. Recently, CRISPR/Cas9
has been used for targeted genome editing in diverse eukaryotic species. RESULTS:
In this study, we investigate whether the CRISPR/Cas9 system could be used in
plants to confer molecular immunity against DNA viruses. We deliver sgRNAs
specific for coding and non-coding sequences of tomato yellow leaf curl virus
(TYLCV) into Nicotiana benthamiana plants stably overexpressing the Cas9
endonuclease, and subsequently challenge these plants with TYLCV. Our data
demonstrate that the CRISPR/Cas9 system targeted TYLCV for degradation and
introduced mutations at the target sequences. All tested sgRNAs exhibit
interference activity, but those targeting the stem-loop sequence within the
TYLCV origin of replication in the intergenic region (IR) are the most effective.
N. benthamiana plants expressing CRISPR/Cas9 exhibit delayed or reduced
accumulation of viral DNA, abolishing or significantly attenuating symptoms of
infection. Moreover, this system could simultaneously target multiple DNA
viruses. CONCLUSIONS: These data establish the efficacy of the CRISPR/Cas9 system
for viral interference in plants, thereby extending the utility of this
technology and opening the possibility of producing plants resistant to multiple
viral infections.
PMID- 26556629
TI - Flotillin-2 Gene Is Associated with Coronary Artery Disease in Chinese Han
Population.
AB - BACKGROUND: Flotillin-2, an important protein of vesicular endocytosis, is
commonly used as a marker protein for lipid microdomains. It plays an essential
role in cellular cholesterol uptake and biliary cholesterol reabsorption.
Excessive cholesterol intake could cause dyslipidemia, which is a major risk
factor of coronary artery disease (CAD). AIMS: To investigate the association
between the human flotillin-2 gene polymorphism and CAD in the Chinese Han
population. MATERIALS AND METHODS: Three single-nucleotide polymorphisms (SNPs;
rs10205, rs3816848 and rs8081659) of the flotillin-2 gene were genotyped by real
time polymerase chain reaction in 307 CAD patients and 441 control subjects.
RESULTS: The genotypic distribution of these three SNPs was significantly
different between CAD patients and control subjects (all p < 0.05). There were
significant differences in the plasma levels of total cholesterol (TC) among
different genotypes in the CAD group and control group. For rs3816848, CAD
patients with the GG genotype had a higher level of TC than those with an AG or
AA genotype (p < 0.001). For rs8081659, CAD patients with TT genotype had a
higher level of TC than those with a CT or CC genotype (p < 0.001). Multiple
logistic regression analysis showed that the GG genotype of rs3816848 was an
independent risk factor for CAD (odds ratio [OR] = 1.786; 95% CI = 1.099-2.902; p
= 0.019). CONCLUSION: There was a strong association between polymorphisms of
flotillin-2 gene and CAD in the Chinese Han population. Persons with the GG
genotype of rs3816848 may have a higher risk of CAD. Moreover, the plasma levels
of TC were significantly different among the different genotypes of the rs3816848
and rs8081659 SNPs in the CAD group as well as the control group.
PMID- 26556631
TI - Structural variations and molten globule state in Arisaema helliborifolium lectin
under various treatments as monitored by spectroscopy.
AB - Solvent perturbation was used to study variations in structure of Arisaema
helliborifolium lectin (AHL) with the help of circular dichroism (CD), intrinsic
fluorescence (IF), extrinsic fluorescence, quenching and dynamic light scattering
(DLS). AHL was studied under acidic, alkaline and 6 M guanidine hydrochloride
(GuHCl) equilibrium states. Three structural states were identified for AHL at
different conditions, that are native (N; pH 7.0), molten globule (MG; pH 2.0)
and unfolded (U; pH 12.0). CD analysis revealed that 50% of secondary structure
of AHL was beta-sheet component. A complete loss of secondary structure was
observed at GuHCl treatment. The tertiary structural changes as studied by
changes in microenvironment of trp residues also suggested a pH induced MG state
as in case of CD. Parameter-A analysis pointed at the multi-step unfolding
process of lectin under varying pH (pH 1-13). A comparision of CD and IF data
further indicated that different pathways were followed for secondary and
tertiary structure unfolding. Tryptophans of native AHL were only partially
exposed to solvent belonging to Class II. Hydrodynamic diameter (Dh )
measurements of AHL via DLS also confirmed of a pH induced molten globule. A
thermally induced molten globule was identified for AHL between 54-60 degrees C
as monitored by DLS. An irreversible thermal denaturation was observed with the
formation of a large aggregate. The Dh of AHL at neutral pH was confirmed by
transmission electron microscopy (TEM).
PMID- 26556630
TI - PH Domain-Arf G Protein Interactions Localize the Arf-GEF Steppke for Cleavage
Furrow Regulation in Drosophila.
AB - The recruitment of GDP/GTP exchange factors (GEFs) to specific subcellular sites
dictates where they activate small G proteins for the regulation of various
cellular processes. Cytohesins are a conserved family of plasma membrane GEFs for
Arf small G proteins that regulate endocytosis. Analyses of mammalian cytohesins
have identified a number of recruitment mechanisms for these multi-domain
proteins, but the conservation and developmental roles for these mechanisms are
unclear. Here, we report how the pleckstrin homology (PH) domain of the
Drosophila cytohesin Steppke affects its localization and activity at cleavage
furrows of the early embryo. We found that the PH domain is necessary for Steppke
furrow localization, and for it to regulate furrow structure. However, the PH
domain was not sufficient for the localization. Next, we examined the role of
conserved PH domain amino acid residues that are required for mammalian
cytohesins to bind PIP3 or GTP-bound Arf G proteins. We confirmed that the
Steppke PH domain preferentially binds PIP3 in vitro through a conserved
mechanism. However, disruption of residues for PIP3 binding had no apparent
effect on GFP-Steppke localization and effects. Rather, residues for binding to
GTP-bound Arf G proteins made major contributions to this Steppke localization
and activity. By analyzing GFP-tagged Arf and Arf-like small G proteins, we found
that Arf1-GFP, Arf6-GFP and Arl4-GFP, but not Arf4-GFP, localized to furrows.
However, analyses of embryos depleted of Arf1, Arf6 or Arl4 revealed either
earlier defects than occur in embryos depleted of Steppke, or no detectable
furrow defects, possibly because of redundancies, and thus it was difficult to
assess how individual Arf small G proteins affect Steppke. Nonetheless, our data
show that the Steppke PH domain and its conserved residues for binding to GTP
bound Arf G proteins have substantial effects on Steppke localization and
activity in early Drosophila embryos.
PMID- 26556632
TI - Correction: Risk of Bias in Reports of In Vivo Research: A Focus for Improvement.
AB - [This corrects the article DOI: 10.1371/journal.pbio.1002273.].
PMID- 26556633
TI - The effect of dietary water soluble carbohydrate to nitrogen ratio on nitrogen
partitioning and isotopic fractionation of lactating goats offered a high
nitrogen diet.
AB - The objective of this study was to investigate the relationship between nitrogen
(N) partitioning and isotopic fractionation in lactating goats consuming diets
with a constant high concentration of N and increasing levels of water soluble
carbohydrate (WSC). Eight lactating goats were offered four different ratios of
WSC : N in the diet. A two-period incomplete cross-over design was used, with two
goats assigned to each treatment in each period. N balance measurements were
conducted, with measurement of feed N intake and total output of N in milk,
faeces and urine. Treatment, period and infusion effects were tested using
general ANOVA; the relationships between variables were analysed by linear
regression. Dietary treatment and period had significant effects on dry matter
(DM) intake (g/day). DM digestibility (g/kg DM) and N digestibility (g/kg N)
increased as the ratio of WSC : N increased in the diet. No treatment effect was
observed on milk urea N concentration (g/l) or urinary excretion of purine
derivatives (mM/day). Although dietary treatment and period had significant
effects on N intake, the change of N intake was small; no effect was observed for
N partitioning among faeces, milk and urine. Milk, plasma and faeces were
enriched in 15N compared with feed, whilst urine was depleted in 15N relative to
feed. No significant relationship was established between N partitioning and
isotopic fractionation. This study failed to confirm the potential to use N
isotopic fractionation as an indicator of N partitioning in dairy goats when
diets provided N in excess to requirements, most likely because the range of milk
N output/N intake and urinary N output/N intake were narrow.
PMID- 26556634
TI - Subtle Structural Aspects of Propylene-Based Copolymers as Revealed by Raman
Spectroscopy.
AB - Raman spectroscopy is used to elucidate fine details of the rather complex
microstructure of ethylene-propylene copolymers (EPCs). This paper is focused on
a series of commercial EPCs (Versify by Dow) with well-characterized ethylene
content. Particular emphasis is given on the analysis of crystal type and content
and their relation with EPC chain microstructure. Information provided by Raman
is compared with that obtained by differential scanning calorimetry (DSC), a well
established technique widely used in the polymer field. Temperature-resolved
Raman experiments are also carried out to interpret more precisely the complex
melting patterns observed in the DSC traces. These experiments reveal with more
detail the crystal chemical composition and melting temperature ranges of EPC
samples, key features to design processing conditions that guarantee optimum
lifetime and recyclability of overmolded parts.
PMID- 26556635
TI - Association of Frailty and Cardiometabolic Risk Among Community-Dwelling Middle
Aged and Older People: Results from the I-Lan Longitudinal Aging Study.
AB - The aim of this study was to evaluate the association of cardiometabolic risk and
frailty through a community-based aging cohort in Taiwan In total, 1839
participants (men, 47.5%; mean age 63.9 +/- 9.3 years) from the first wave of the
I-Lan longitudinal cohort study, recruited between August of 2011 and August of
2013, were retrieved for the analysis of this cross-sectional study. Frailty was
defined by Cardiovascular Health Study (CHS) criteria, encompassing un
intentional weight loss, slow walk speed, poor grip strength, exhaustion, and low
activity. Comparisons between cardiometabolic risk and frailty status were
performed after adjustment for age, hormone parameters, functional measurements,
and skeletal muscle mass. Independent association of cardiometabolic risk and
frailty status was identified through the multivariate logistic regression model.
We found that the prevalence of frailty and pre-frial were 6.8% and 40.5%,
respectively. Adjustments for age, blood pressure, low-density lipoprotein
cholesterol (LDL-C), uric acid, creatinine, and carotid intima media thickness
were not significantly associated with frailty. However, lower total cholesterol
and high-density lipoprotein cholesterol (HDL-C), higher high-sensitivity C
reactive protein (hsCRP) and glycemia profiles were significantly associated with
frailty. For hormone parameters, dehydroepiandrosterone sulfate (DHEA-S), insulin
like growth factor-1 (IGF-1), and free androgen index were not significantly
associated with frailty after age adjustment. In a multivariate logistic
regression model, abdominal obesity, homeostasis model assessment of insulin
resistance (HOMA-IR), and hsCRP were significantly associated with frailty. The
odds ratio (OR) for frailty was 3.57 (95% confidence interval [CI] 1.88-6.78, p <
0.001), 1.30 (95% CI 1.02-1.66, p = 0.032), and 1.66 (95% CI 1.10-2.49, p =
0.016), respectively, in a fully adjusted model. Conversely, higher total
cholesterol was associated with a lower prevalence of frailty (OR = 0.44, 95% CI
0.22-0.89, p = 0.023) in the final model. In this study, abdominal obesity,
insulin resistance, and inflammation were significantly associated with frailty,
and the effect was independent of functional measurement and decline of skeletal
muscle mass. An integrated approach targeted at cardiometabolic aging and frailty
is needed in clinical practice.
PMID- 26556637
TI - Description of 'Candidatus Berkiella aquae' and 'Candidatus Berkiella
cookevillensis', two intranuclear bacteria of freshwater amoebae.
AB - Two novel bacteria of the phylum Proteobacteria were isolated during searches for
amoeba-resistant micro-organisms in natural and constructed water systems. Strain
HT99 was isolated from amoebae found in the biofilm of an outdoor hot tub in
Cookeville, Tennessee, USA, and strain CC99 was isolated from amoebae in the
biofilm of a cooling tower in the same city. Both bacteria were Gram-stain
negative cocci to coccobacilli, unculturable on conventional laboratory media,
and were found to be intranuclear when maintained in Acanthamoeba polyphaga. The
genomes of both isolates were completely sequenced. The genome of CC99 was found
to be 3.0 Mbp with a 37.9 mol% DNA G+C content, while the genome of HT99 was 3.6
Mbp with a 39.5 mol% DNA G+C content. The 16S rRNA gene sequences of the two
isolates were 94 % similar to each other. Phylogenetic comparisons of the 16S
rRNA, mip and rpoB genes, the DNA G+C content and the fatty acid composition
demonstrated that both bacteria are members of the order Legionellales, and are
most closely related to Coxiella burnetii. The phenotypic and genetic evidence
supports the proposal of novel taxa to accommodate these strains; however,
because strains HT99 and CC99 cannot be cultured outside of the amoeba host, the
respective names 'Candidatus Berkiella aquae' and 'Candidatus Berkiella
cookevillensis' are proposed.
PMID- 26556636
TI - Microbiota Protects Mice Against Acute Alcohol-Induced Liver Injury.
AB - BACKGROUND: Our aim is to investigate the physiological relevance of the
intestinal microbiota in alcohol-induced liver injury. Chronic alcohol abuse is
associated with intestinal bacterial overgrowth, increased intestinal
permeability, and translocation of microbial products from the intestine to the
portal circulation and liver. Translocated microbial products contribute to
experimental alcoholic liver disease. METHODS: We subjected germ-free and
conventional C57BL/6 mice to a model of acute alcohol exposure that mimics binge
drinking. RESULTS: Germ-free mice showed significantly greater liver injury and
inflammation after oral gavage of ethanol (EtOH) compared with conventional mice.
In parallel, germ-free mice exhibited increased hepatic steatosis and up
regulated expression of genes involved in fatty acid and triglyceride synthesis
compared with conventional mice after acute EtOH administration. The absence of
microbiota was also associated with increased hepatic expression of EtOH
metabolizing enzymes, which led to faster EtOH elimination from the blood and
lower plasma EtOH concentrations. Intestinal levels of EtOH-metabolizing genes
showed regional expression differences and were overall higher in germ-free mice
relative to conventional mice. CONCLUSIONS: Our findings indicate that absence of
the intestinal microbiota increases hepatic EtOH metabolism and the
susceptibility to binge-like alcohol drinking.
PMID- 26556639
TI - Early symptom change in adult outpatients: Relationship with patient
characteristics and therapeutic alliance.
AB - OBJECTIVE: This study has three aims: (1) to identify early change trajectories,
(2) to examine patient characteristics predicting trajectory classes, and (3) to
investigate the moderating effect of trajectory class membership on the working
alliance. METHOD: In a sample of 402 outpatients (M age = 38.27, 64.9% women),
outcome scores (OQ-45) on three time points (sessions 1, 3, and 5) were analysed
by means of Latent Class Growth Curve Analysis. A multinomial logistic regression
was used to investigate whether patient's age, gender, initial distress (OQ-45),
and personality traits (Dimensional Assessment of Personality Pathology-Short
Form) predicted trajectory class membership. A repeated-measures ANOVA examined
whether differences in trajectory classes moderated the alliance quality (Working
Alliance Inventory-Short version) over time. RESULTS: Four trajectory classes
were identified: High distress-no change; moderate/high distress-small
improvement, low distress-moderate improvement, and moderate/low distress-strong
improvement. Gender, initial distress, and emotion dysregulation significantly
predicted patients' trajectory class membership. The Task/Goal alliance component
increased linearly over time, whereas the Bond component followed a reversed U
shaped pattern. The alliance was not moderated by trajectory class membership.
CONCLUSIONS: Four clinically relevant subgroups could be identified on the basis
of the early symptom change trajectories. Gender, initial psychological distress,
and emotion dysregulation predicted patient's trajectory class membership.
Trajectory class membership did not influence the development of the early
working alliance. PRACTITIONER POINTS: As early change in highly distressed
patients (Axis I and II) is not characterized by significant symptom change,
clinicians should support and facilitate emotion regulation and social skills.
Investing in a strong alliance is recommended, although it does not differentiate
early responders from non-responders.
PMID- 26556638
TI - In Vivo and protease-activated receptor-1-mediated platelet activation in
patients presenting for cardiac catheterization.
AB - Pathways of platelet activation that are not targeted by current antithrombotic
therapy may be crucial for the development of ischemic events in patients
undergoing coronary angiography. We therefore investigated whether in vivo and
thrombin receptor activating peptide (TRAP)-stimulated platelet activation and
monocyte-platelet aggregate (MPA) levels can serve as independent risk markers
for adverse outcomes in aspirin-treated patients presenting for cardiac
catheterization. In vivo and TRAP-stimulated platelet surface P-selectin,
activated glycoprotein IIb/IIIa (GPIIb/IIIa) and MPA levels were determined in
682 consecutive patients undergoing cardiac catheterization and in 47 healthy
controls. Two-year follow-up data were obtained from 562 patients. In vivo
platelet surface P-selectin, activated GPIIb/IIIa and MPA levels were
significantly higher in patients with angiographically-proven coronary artery
disease than in healthy controls (all p<=0.02). Patients with an acute coronary
syndrome (ACS; n=125) had significantly higher levels of in vivo MPA than
patients without ACS (n=437; p=0.01). In the overall study population (n=562) the
surface expression of P-selectin and activated GPIIb/IIIa, and the levels of MPA
in vivo and in response to TRAP were similar in patients without and with
subsequent ischemic events (all p>0.05). Similar results were obtained when only
patients with angiographically-proven coronary artery disease (n=459), stent
implantation (n=205) or ACS (n=125) were analyzed. Receiver-operating
characteristic curve analyses did not reveal cut-off values for P-selectin,
activated GPIIb/IIIa, and MPA levels for the prediction of ischemic events. In
conclusion, in vivo and TRAP-stimulated platelet activation and MPA levels did
not predict adverse ischemic outcomes in aspirin-treated patients presenting for
cardiac catheterization.
PMID- 26556640
TI - How health services can improve access to abortion.
PMID- 26556641
TI - [3]Ferrocenophanes with the bisphosphanotetryl bridge: inorganic rings on the way
to tetrylenes.
AB - A series of [3]ferrocenophanes with functional P-E-P motifs (E = group 14
fragments) is reported. Out of these, the silicon compounds with the general
formula Fe(C5H4PtBu)2SiXY (XY = Cl2, Br2, I2, H2, HCl) have been characterized by
spectroscopic means and the bonding situation was analyzed using X-ray
crystallography and quantum chemical calculations. Despite the two stereogenic
phosphanyl centers, most of the [3]ferrocenophanes have been obtained as single
isomers in the course of stereospecific reactions. The corresponding stannylene
Fe(C5H4PtBu)2Sn has been obtained in the form of its dimeric adduct.
PMID- 26556642
TI - A Simple Device to Rapidly Prepare Whole Mounts of the Mouse Intestine.
AB - Preparing whole mounts of the mouse small intestine and colon for subsequent
analysis or quantification can be time consuming and difficult. We describe the
use of a simple device to cut and 'roll' mouse intestines to rapidly prepare
whole mount preparations of superior and uniform quality to that which can be
achieved by hand. The device comprises a base that holds 4 stainless steel rods
and a top, which acts a cutting guide. The rods are inserted into the lumen of
the small intestine [divided into thirds] and the colon. The rods and samples are
then placed over a piece of filter paper or card into the holding slots in the
base of the device. The top of the device is then positioned and serves as a
cutting guide. The two angled sections in the center of the top piece are used to
guide a knife or scalpel and cut the intestines longitudinally on the top of the
rods. Once the intestinal sections have been cut, the top is removed and the
card, tissue and rods gently removed from the device and placed on the bench. The
rods are then gently rolled sideways to flatten and stick the intestinal segments
onto the underlying piece of filter paper or card. The final preparation can then
be examined or fixed and stored for later analysis. The preparations are
invaluable for the study of intestinal changes in normal or genetically modified
mouse models. The preparations have been used for the study and quantification of
the effects of inflammation (colitis), damage, pre-cancerous lesions (aberrant
crypt foci (ACFs) and mucin depleted foci (MDFs)) and polyps or tumors.
PMID- 26556643
TI - Affordable, web-based surgical skill training and evaluation tool.
AB - Advances in the medical field have increased the need to incorporate modern
techniques into surgical resident training and surgical skills learning. To
facilitate this integration, one approach that has gained credibility is the
incorporation of simulator based training to supplement traditional training
programs. However, existing implementations of these training methods still
require the constant presence of a competent surgeon to assess the surgical
dexterity of the trainee, which limits the evaluation methods and relies on
subjective evaluation. This research proposes an efficient, effective, and
economic video-based skill assessment technique for minimally invasive surgery
(MIS). It analyzes a surgeon's hand and surgical tool movements and detects
features like smoothness, efficiency, and preciseness. The system is capable of
providing both real time on-screen feedback and a performance score at the end of
the surgery. Finally, we present a web-based tool where surgeons can securely
upload MIS training videos and receive evaluation scores and an analysis of
trainees' performance trends over time.
PMID- 26556644
TI - Gene and sample selection using T-score with sample selection.
AB - Gene selection from high-dimensional microarray gene-expression data is
statistically a challenging problem. Filter approaches to gene selection have
been popular because of their simplicity, efficiency, and accuracy. Due to small
sample size, all samples are generally used to compute relevant ranking
statistics and selection of samples in filter-based gene selection methods has
not been addressed. In this paper, we extend previously-proposed simultaneous
sample and gene selection approach. In a backward elimination method, a modified
logistic regression loss function is used to select relevant samples at each
iteration, and these samples are used to compute the T-score to rank genes. This
method provides a compromise solution between T-score and other support vector
machine (SVM) based algorithms. The performance is demonstrated on both simulated
and real datasets with criteria such as classification performance, stability and
redundancy. Results indicate that computational complexity and stability of the
method are improved compared to SVM based methods without compromising the
classification performance.
PMID- 26556645
TI - Resource efficient data compression algorithms for demanding, WSN based
biomedical applications.
AB - During the last few years, medical research areas of critical importance such as
Epilepsy monitoring and study, increasingly utilize wireless sensor network
technologies in order to achieve better understanding and significant
breakthroughs. However, the limited memory and communication bandwidth offered by
WSN platforms comprise a significant shortcoming to such demanding application
scenarios. Although, data compression can mitigate such deficiencies there is a
lack of objective and comprehensive evaluation of relative approaches and even
more on specialized approaches targeting specific demanding applications. The
research work presented in this paper focuses on implementing and offering an in
depth experimental study regarding prominent, already existing as well as novel
proposed compression algorithms. All algorithms have been implemented in a common
Matlab framework. A major contribution of this paper, that differentiates it from
similar research efforts, is the employment of real world Electroencephalography
(EEG) and Electrocardiography (ECG) datasets comprising the two most demanding
Epilepsy modalities. Emphasis is put on WSN applications, thus the respective
metrics focus on compression rate and execution latency for the selected
datasets. The evaluation results reveal significant performance and behavioral
characteristics of the algorithms related to their complexity and the relative
negative effect on compression latency as opposed to the increased compression
rate. It is noted that the proposed schemes managed to offer considerable
advantage especially aiming to achieve the optimum tradeoff between compression
rate-latency. Specifically, proposed algorithm managed to combine highly
completive level of compression while ensuring minimum latency thus exhibiting
real-time capabilities. Additionally, one of the proposed schemes is compared
against state-of-the-art general-purpose compression algorithms also exhibiting
considerable advantages as far as the compression rate is concerned.
PMID- 26556646
TI - Crowdsourcing Twitter annotations to identify first-hand experiences of
prescription drug use.
AB - Self-reported patient data has been shown to be a valuable knowledge source for
post-market pharmacovigilance. In this paper we propose using the popular micro
blogging service Twitter to gather evidence about adverse drug reactions (ADRs)
after firstly having identified micro-blog messages (also know as "tweets") that
report first-hand experience. In order to achieve this goal we explore machine
learning with data crowdsourced from laymen annotators. With the help of lay
annotators recruited from CrowdFlower we manually annotated 1548 tweets
containing keywords related to two kinds of drugs: SSRIs (eg. Paroxetine), and
cognitive enhancers (eg. Ritalin). Our results show that inter-annotator
agreement (Fleiss' kappa) for crowdsourcing ranks in moderate agreement with a
pair of experienced annotators (Spearman's Rho=0.471). We utilized the gold
standard annotations from CrowdFlower for automatically training a range of
supervised machine learning models to recognize first-hand experience. F-Score
values are reported for 6 of these techniques with the Bayesian Generalized
Linear Model being the best (F-Score=0.64 and Informedness=0.43) when combined
with a selected set of features obtained by using information gain criteria.
PMID- 26556647
TI - Real-time Monitoring of High Intensity Focused Ultrasound (HIFU) Ablation of In
Vitro Canine Livers Using Harmonic Motion Imaging for Focused Ultrasound (HMIFU).
AB - Harmonic Motion Imaging for Focused Ultrasound (HMIFU) is a technique that can
perform and monitor high-intensity focused ultrasound (HIFU) ablation. An
oscillatory motion is generated at the focus of a 93-element and 4.5 MHz center
frequency HIFU transducer by applying a 25 Hz amplitude-modulated signal using a
function generator. A 64-element and 2.5 MHz imaging transducer with 68kPa peak
pressure is confocally placed at the center of the HIFU transducer to acquire the
radio-frequency (RF) channel data. In this protocol, real-time monitoring of
thermal ablation using HIFU with an acoustic power of 7 W on canine livers in
vitro is described. HIFU treatment is applied on the tissue during 2 min and the
ablated region is imaged in real-time using diverging or plane wave imaging up to
1,000 frames/second. The matrix of RF channel data is multiplied by a sparse
matrix for image reconstruction. The reconstructed field of view is of 90 degrees
for diverging wave and 20 mm for plane wave imaging and the data are sampled at
80 MHz. The reconstruction is performed on a Graphical Processing Unit (GPU) in
order to image in real-time at a 4.5 display frame rate. 1-D normalized cross
correlation of the reconstructed RF data is used to estimate axial displacements
in the focal region. The magnitude of the peak-to-peak displacement at the focal
depth decreases during the thermal ablation which denotes stiffening of the
tissue due to the formation of a lesion. The displacement signal-to-noise ratio
(SNRd) at the focal area for plane wave was 1.4 times higher than for diverging
wave showing that plane wave imaging appears to produce better displacement maps
quality for HMIFU than diverging wave imaging.
PMID- 26556648
TI - Assessment of Arabic phonological awareness and its relation to word reading
ability.
AB - Phonological awareness (PA) is one of the most important components in the
development of normal reading ability. It refers to the ability to detect and
manipulate the sound structure of words independently of their meaning. The
current study aimed to assess Arabic PA skills and the relation to word reading
abilities in Egyptian Arabic-speaking children. The designed assessment was
applied to 80 typically developing children, divided into two subgroups ranging
in age from 5 years 6 months to 8 years 6 months. The design of assessment
involved six PA tasks covering three levels: rhyme awareness, syllabic awareness,
and phonemic awareness, as well as the assessment of reading abilities that
include real word and nonsense word reading tasks. Descriptive statistics (mean,
standard deviation), Student's t tests, and Pearson correlation coefficient tests
were used to analyze the data. The reliability of the test was proven using the
test-retest procedure. Validity of the test was estimated through internal
consistency validity. The results revealed that the Arabic PA assessment test
(APAAT) proved to be a reliable and valid tool for assessing Arabic reading
skills. Findings from the study provided important insights into the
developmental patterns of Arabic PA. In addition, the findings revealed a strong
relationship between phonological awareness skills and the proficiency in word
reading abilities in Arabic school-aged children.
PMID- 26556649
TI - Characterization of the Chloride Channel-Like, AtCLCg, Involved in Chloride
Tolerance in Arabidopsis thaliana.
AB - In plant cells, anion channels and transporters are essential for key functions
such as nutrition, ion homeostasis and resistance to biotic or abiotic stresses.
We characterized AtCLCg, a member of the chloride channel (CLC) family in
Arabidopsis localized in the vacuolar membrane. When grown on NaCl or KCl, atclcg
knock-out mutants showed a decrease in biomass. In the presence of NaCl, these
mutants overaccumulate chloride in shoots. No difference in growth was detected
in response to osmotic stress by mannitol. These results suggest a physiological
function of AtCLCg in the chloride homeostasis during NaCl stress. AtCLCg shares
a high degree of identity (62%) with AtCLCc, another vacuolar CLC essential for
NaCl tolerance. However, the atclcc atclccg double mutant is not more sensitive
to NaCl than single mutants. As the effects of both mutations are not additive,
gene expression analyses were performed and revealed that: (i)AtCLCg is expressed
in mesophyll cells, hydathodes and phloem while AtCLCc is expressed in stomata;
and (ii)AtCLCg is repressed in the atclcc mutant background, and vice versa.
Altogether these results demonstrate that both AtCLCc and AtCLCg are important
for tolerance to excess chloride but not redundant, and form part of a regulatory
network controlling chloride sensitivity.
PMID- 26556650
TI - TBL3 and TBL31, Two Arabidopsis DUF231 Domain Proteins, are Required for 3-O
Monoacetylation of Xylan.
AB - Xylan, a major constituent of secondary cell walls, is made of a linear chain of
beta-1,4-linked xylosyl residues that are often substituted with glucuronic
acid/methylglucuronic acid side chains and acetylated at O-2 and O-3. Previous
studies have shown that ESK1, an Arabidopsis DUF231 protein, is an
acetyltransferase catalyzing 2-O- and 3-O-monoacetylation of xylan. However, the
esk1 mutation only causes a partial loss of xylan 2-O- and 3-O-monoacetylation,
suggesting that additional xylan acetyltransferase activities are involved. In
this report, we demonstrated the essential roles of two other Arabidopsis DUF231
genes, TBL3 and TBL31, in xylan acetylation. The expression of both TBL3 and
TBL31 was shown to be induced by overexpression of the secondary wall master
transcriptional regulator SND1 (secondary wall-associated NAC domain protein1)
and down-regulated by simultaneous mutations of SND1 and its paralog NST1,
indicating their involvement in secondary wall biosynthesis. beta-Glucurondase
(GUS) reporter gene analysis showed that TBL3 and TBL31 were specifically
expressed in the xylem and interfascicular fibers in stems and the secondary
xylem in root hypocotyls. Expression of fluorescent protein-tagged TBL3 and TBL31
in protoplasts revealed their localization in the Golgi, where xylan biosynthesis
occurs. Although mutation of either TBL3 or TBL31 alone did not cause any
apparent alterations in cell wall composition, their simultaneous mutations were
found to result in a reduction in xylan acetylation. Further structural analysis
demonstrated that the tbl3 tbl31 double mutant had a specific reduction in 3-O
acetylation of xylan. In addition, the tbl3 tbl31 esk1 triple mutant displayed a
much more drastic decrease in 3-O-acetylation of xylan, indicating their
functional redundancy in xylan 3-O-acetylation. These findings indicate that TBL3
and TBL31 are secondary wall-associated DUF231 genes specifically involved in
xylan 3-O-acetylation.
PMID- 26556651
TI - Finding the Subcellular Location of Barley, Wheat, Rice and Maize Proteins: The
Compendium of Crop Proteins with Annotated Locations (cropPAL).
AB - Barley, wheat, rice and maize provide the bulk of human nutrition and have
extensive industrial use as agricultural products. The genomes of these crops
each contains >40,000 genes encoding proteins; however, the major genome
databases for these species lack annotation information of protein subcellular
location for >80% of these gene products. We address this gap, by constructing
the compendium of crop protein subcellular locations called crop Proteins with
Annotated Locations (cropPAL). Subcellular location is most commonly determined
by fluorescent protein tagging of live cells or mass spectrometry detection in
subcellular purifications, but can also be predicted from amino acid sequence or
protein expression patterns. The cropPAL database collates 556 published studies,
from >300 research institutes in >30 countries that have been previously
published, as well as compiling eight pre-computed subcellular predictions for
all Hordeum vulgare, Triticum aestivum, Oryza sativa and Zea mays protein
sequences. The data collection including metadata for proteins and published
studies can be accessed through a search portal http://crop-PAL.org. The
subcellular localization information housed in cropPAL helps to depict plant
cells as compartmentalized protein networks that can be investigated for
improving crop yield and quality, and developing new biotechnological solutions
to agricultural challenges.
PMID- 26556652
TI - Genome-wide profiling in treatment-naive early rheumatoid arthritis reveals DNA
methylome changes in T and B lymphocytes.
AB - AIM: Although aberrant DNA methylation has been described in rheumatoid arthritis
(RA), no studies have interrogated this epigenetic modification in early disease.
Following recent investigations of T and B lymphocytes in established disease, we
now characterize in these cell populations genome-wide DNA methylation in
treatment-naive patients with early RA. PATIENTS & METHODS: HumanMethylation450
BeadChips were used to examine genome-wide DNA methylation in lymphocyte
populations from 23 early RA patients and 11 healthy individuals. RESULTS:
Approximately 2000 CpGs in each cell type were differentially methylated in early
RA. Clustering analysis identified a novel methylation signature in each cell
type (150 sites in T lymphocytes, 113 sites in B lymphocytes) that clustered all
patients separately from controls. A subset of sites differentially methylated in
early RA displayed similar changes in established disease. CONCLUSION: Treatment
naive early RA patients display novel disease-specific DNA methylation
aberrations, supporting a potential role for these changes in the development of
RA.
PMID- 26556654
TI - Non-templated ambient nanoperforation of graphene: a novel scalable process and
its exploitation for energy and environmental applications.
AB - Nano-perforation of 2D graphene sheets is a recent and strategically significant
means to exploit such materials in modern applications such as energy production
and storage. However, current options for the synthesis of holey graphene (hG)
through nano-perforation of graphene involve industrially undesirable steps viz.,
usage of expensive/noble metal or silica nanoparticle templates and/or hazardous
chemicals. This severely hampers its scope for large scale production and further
exploitation. Herein, we report for the first time a scalable non-templated route
to produce hG at ambient conditions. Nano-perforation is achieved with tunable
pore size via the simple few layer co-assembly of silicate-surfactant admicelles
along the surface of graphene oxide. A gentle alkali treatment and a reduction at
optimized conditions readily yielded holey graphene with a remarkable capacitance
(~250 F g(-1)) and interesting adsorption abilities for pollutants. Density
functional theory based computational studies reveal interesting insights on the
template free nano-perforation at a molecular level. This simple rapid process
not only excludes the need for expensive templates and harmful chemicals to yield
hG at attractively ambient, chemically placid and industrially safer conditions,
but also creates no hurdles in terms of scaling up.
PMID- 26556653
TI - Lack of Sex Difference in Minimum Local Analgesic Concentration of Ropivacaine
for Ultrasound-Guided Supraclavicular Brachial Plexus Block.
AB - BACKGROUND: Sex differences, which may be an important variable for determining
anesthetic requirements, have not been well investigated in the aspect of local
anesthetic. This investigation aimed to compare the minimum local analgesic
concentration (MLAC) of ropivacaine for ultrasound-guided supraclavicular
brachial plexus block (US-SCB) between men and women. MATERIAL AND METHODS:
Patients aged 18-45 years undergoing elective forearm, wrist, or hand surgeries
under US-SCB were divided into 2 groups according to sex. The initial
concentration was 0.375% ropivacaine 20 mL and the concentration for the next
patient was determined by the up-down technique at 0.025% intervals. Success was
defined as the absence of any pain in response to a pinprick in the region of all
4 terminal nerves and the skin incision within 45 min. The primary outcome was
the MLAC of ropivacaine, which was estimated by the Dixon and Massey method. The
analgesia duration, which was defined as the time from the end of the US-SCB
injection to the time of feeling discomfort and need for additional analgesics,
was observed for each patient. RESULTS: The MLAC of ropivacaine 20 mL for US-SCB
was 0.2675% (95% confidence interval [CI], 0.2512-0.2838%) in men and 0.2675%
(95% CI, 0.2524-0.2826%) in women. There was no significant difference in MLAC or
the analgesia duration between the 2 groups (P>0.05). CONCLUSIONS: We found no
significant sex-related differences in MLAC or analgesia duration of ropivacaine
for US-SCB.
PMID- 26556655
TI - Evaluation of the in vivo thrombolytic activity of a metalloprotease from
Bothrops atrox venom using a model of venous thrombosis.
AB - BACKGROUND: Due to the importance of blood coagulation and platelet aggregation
in brain- and cardiovascular diseases, snake venom proteins that interfere in
these processes have received significant attention in recent years considering
their potential to be used as models for new drugs. OBJECTIVES: This study aimed
at the evaluation of the in vivo thrombolytic activity of Batroxase, a P-I
metalloprotease from Bothrops atrox venom. METHODS: In vivo thrombolytic activity
of Batroxase was tested on a model of venous thrombosis in rats, with partial
stenosis of the inferior vena cava, and vessel wall injury with ferric chloride
at 10% for 5 min. After formation of the thrombus, increasing amounts of
Batroxase were administered intravenously. The prescription medication Alteplase
(tissue-type plasminogen activator) was used as positive control for thrombolytic
activity, while saline was used as negative control. Bleeding time was assessed
with a tail bleeding assay. RESULTS: Batroxase presented thrombolytic activity in
vivo in a concentration-dependent manner, with 12 mg/kg of the metalloprotease
causing a thrombus reduction of 80%, a thrombolytic activity very similar to the
one observed for the positive control Alteplase (85%). The tail bleeding time was
not altered by the administration of Batroxase, while it increased 3.5 times with
Alteplase. Batroxase presented fibrinolytic and fibrinogenolytic activities in
vitro, which were inhibited by alpha 2-macroglobulin. CONCLUSION: Batroxase
presents thrombolytic activity in vivo, thus demonstrating a possible therapeutic
potential. The inactivation of the metalloprotease by alpha 2-macroglobulin may
reduce its activity, but also its potential side effects, as seen for bleeding
time.
PMID- 26556656
TI - A simple non-invasive technique for venom milking from a solitary wasp Delta
conoideum Gmelin (Hymenoptera: Vespidae).
AB - Prospecting wasp, ant and bee venom for active bio-molecules has gained
considerable interest among researchers in recent years. Collecting sufficient
quantity of venom from solitary wasps without sacrificing them is often
difficult. Here we describe a non-invasive technique for collecting venom from a
solitary wasp Delta conoideum Gmelin (Red-backed potter wasp). Venom was milked
by presenting an agar block to a single female wasp for stinging. The venom was
extracted from the agar block using ACN: water solvent system. The total protein
in venom was estimated quantitatively and the presence of peptides in the venom
was confirmed by MALDI-TOF analysis. The proposed technique is non-invasive and
pure venom can be repeatedly 'milked' using this method from other wasps and also
bees without the need for sacrificing a large number of individuals.
PMID- 26556657
TI - Impact of Staffing on Access to Palliative Care in U.S. Hospitals.
AB - BACKGROUND: Over the past decade over two-thirds of U.S. hospitals have
established palliative care programs. National data on palliative care program
staffing and its association with operational outcomes are limited. OBJECTIVE:
The objective of this report is to examine the impact of palliative care program
staffing on access to palliative care in U.S. hospitals. METHODS: Data from the
National Palliative Care RegistryTM for 2014 were used to calculate staffing
levels, palliative care service penetration, and time to initial palliative care
consultation for 398 palliative care programs operating across 482 U.S.
hospitals. RESULTS: Hospital-based palliative care programs reported an average
service penetration of 4.4%. Higher staffing levels were associated with higher
service penetration; higher service penetration was associated with shorter time
to initial palliative care consultation. DISCUSSION: This report demonstrates
that operational effectiveness, as measured by staffing and palliative care
service penetration, is associated with shorter time to palliative care
consultation.
PMID- 26556658
TI - Functional polarization of neuroglia: Implications in neuroinflammation and
neurological disorders.
AB - Recent neuroscience research has established the adult brain as a dynamic organ
having a unique ability to undergo changes with time. Neuroglia, especially
microglia and astrocytes, provide dynamicity to the brain. Activation of these
glial cells is a major component of the neuroinflammatory responses underlying
brain injury and neurodegeneration. Glial cells execute functional reaction
programs in response to diverse microenvironmental signals manifested by
neuropathological conditions. Activated microglia exist along a continuum of two
functional states of polarization namely M1-type (classical/proinflammatory
activation) and M2-type (alternative/anti-inflammatory activation) as in
macrophages. The balance between classically and alternatively activated
microglial phenotypes influences disease progression in the CNS. The classically
activated state of microglia drives the neuroinflammatory response and mediates
the detrimental effects on neurons, whereas in their alternative activation
state, which is apparently a beneficial activation state, the microglia play a
crucial role in tissue maintenance and repair. Likewise, in response to immune or
inflammatory microenvironments astrocytes also adopt neurotoxic or
neuroprotective phenotypes. Reactive astrocytes exhibit two distinctive
functional phenotypes defined by pro- or anti-inflammatory gene expression
profile. In this review, we have thoroughly covered recent advances in the
understanding of the functional polarization of brain and peripheral glia and its
implications in neuroinflammation and neurological disorders. The identifiable
phenotypes adopted by neuroglia in response to specific insult or injury can be
exploited as promising diagnostic markers of neuroinflammatory diseases.
Furthermore, harnessing the beneficial effects of the polarized glia could
undoubtedly pave the way for the formulation of novel glia-based therapeutic
strategies for diverse neurological disorders.
PMID- 26556659
TI - Spinocerebellar degeneration: Discrepancies between clinical and pathological
diagnoses.
AB - To improve the diagnostic accuracy of sporadic spinocerebellar degeneration
(SCD), we assessed the clinical and pathological data of 1494 consecutive autopsy
cases. The number of patients who received a diagnosis of sporadic SCD (including
multiple system atrophy) either clinically or pathologically was 19 (1.3%). We
identified six cases with clinical misdiagnoses of SCD that were confirmed
pathologically as progressive supranuclear palsy (PSP, four cases), basilar
artery thrombosis (one case) and unclassified tauopathy (one case). The total
number of patients who received a clinical diagnosis of sporadic SCD was 93 and
the positive predictive value was 93.5%. We also identified 13 autopsy cases that
were pathologically confirmed as SCD, but had been clinically misdiagnosed as
having other disorders. Their clinical diagnoses comprised progressive
supranuclear palsy (five cases) and Parkinson's disease (PD, four cases), as well
as parkinsonism with dementia, amyotrophic lateral sclerosis, paraneoplastic
syndrome and multiple cerebral infarction (one case each). The results indicate
that it is often difficult to distinguish PSP and PD from SCD, because of the
atypical combination of symptoms or atypical timing of the appearance of
symptoms, such as severe autonomic failure, cognitive impairment, poor L-dopa
responsiveness, early cerebellar signs and obvious vertical gaze palsy.
PMID- 26556660
TI - [Social media monitoring of asthmatic children treated in a specialized program:
Parents and caregivers expectations].
AB - BACKGROUND: Social media has been used in support of patients with asthma.
However, it remains unclear what are the expectations of parents or caregivers of
asthmatic patients. OBJECTIVE: To evaluate the expectations of parents or
caregivers of asthmatic children treated at Children's Asthma Prevention Program
(PIPA), Uruguaiana, RS, in relation to the use of social media. MATERIAL AND
METHOD: An observational, descriptive, cross-sectional survey of parents or
caregivers of children seen at Children's Asthma Prevention Program through
responses to a written questionnaire on the use of new technologies and different
applications to enhance information about asthma. RESULTS: 210 parents or
caregivers (median age: 25 years; age range: 18-42 years of patients were
enrolled. The mean age of their children was 7.3 years (age range: 2 to 18
years), the mean duration of asthma was 4.7 years and 65% of parents/caregivers
of these children had less than eight years of schooling. Most of them (72%) had
no access to the Internet via cell/mobile phones and only 18% actively used to
gathered information about asthma by internet. There was high interest (87%) in
receiving information via social media. CONCLUSION: Parents or caregivers of
children attending the PIPA program expressed high interest in using social
media. However, few use it to control their children's disease. While providing a
great benefit to use social media as a mean of communication in health, the
content needs to be monitored for reliability and quality. The privacy of users
(doctors and patients) must be preserved and it is very important to facilitate
the access to Internet.
PMID- 26556661
TI - [Systemic lupus erythematous and CD24v].
AB - BACKGROUND: Systemic lupus erythematous is an autoimmune disease of
multifactorial etiology with genetic predisposition. Its pathogenesis involved
more than 100 genes. CD24 gene can mediate various functions such as their
costimulatory activity in the clonal expansion of T cells. The single nucleotide
polymorphism, resulting in a non-conservative replacement of alanine to valine
(CD24v) precedes immediately GPI anchorage site (position omega-1), determines
CD24 loss activity. CD24v has been associated with multiple sclerosis and
systemic lupus erythematous in other populations. OBJECTIVE: To find the presence
of CD24v in Mexican patients with systemic lupus erythematous. MATERIAL AND
METHOD: A study of fenotyping of CD24v included 65 subjects, 32 cases (systemic
lupus erythematous): 28 women and 4 men; and 32 controls: 9 women and 23 men;
cases and controls from patients with systemic lupus erythematous in National
Medical Center 20 de Noviembre ISSSTE, Mexico City, services of Clinical
Immunology and Rheumatology. RESULTS: In cases, 19 patients had a wild homozygous
genotype, 12 were heterozygous and only one patient showed homozygous
polymorphism. In controls, 17 showed wild heterozygous genotypes; 14 were
heterozygous and 1 was found to be polymorphic homozygote. With odds ratio: 0.84
and chi-squared of 0.17; therefore there was no statistically significant
difference. CONCLUSIONS: Study population showed that there is no statistically
significant difference between systemic lupus erythematous cases and controls
with respect to the presence of CD24v.
PMID- 26556662
TI - [Prevalence of asthma and determination of symptoms as risk indicators].
AB - BACKGROUND: Asthma is a chronic inflammatory airway disease whose prevalence has
increased, especially in developed countries; the results of studies of asthma
prevalence vary in different populations and even within the same country; in
Mexico we observed fluctuations in prevalence of asthma from 7% to 33%.
OBJECTIVE: To determine the prevalence of asthma and severity of symptoms as risk
indicators in school population in cities in various states of Mexico. MATERIAL
AND METHOD: A descriptive study of detection of asthma prevalence and analytical
comparative observational study of determination of symptoms of asthma. The
surveys were applied to preschool, elementary, middle and high school population,
in the cities of Puebla, Puebla; Tulancingo, Hidalgo; Tlaxcala, Tlaxcala, and
Cancun, Quintana Roo; new validated questionnaire was used as instrument: Asthma
Diagnostic Questionnaire for Epidemiologic Studies, consisting of eight questions
with summation value for diagnosis. RESULTS: 8,754 surveys showed a 14%
prevalence in Puebla, 17% in Tulancingo, 7% in Tlaxcala, and 14% in Cancun;
average in four cities surveyed was 13%; the strength of association with asthma
symptoms in descending order with significant odds ratio were: recurrent
wheezing, breathlessness, chest tightness, recurrent cough, cough cold, recurrent
cold symptoms, predominantly nocturnal cough, cough that increases with exercise.
CONCLUSIONS: The average prevalence of asthma in the surveyed cities was 13% and
the main symptoms indicators of risk of asthma in school children were: recurrent
wheezing, breathlessness, chest tightness and recurrent cough.
PMID- 26556663
TI - [Epidemiologic features in patients with antihistamine-resistant chronic
urticaria].
AB - BACKGROUND: Current information on the particular features of patients suffering
chronic spontaneous urticaria refractory to treatment is limited. OBJECTIVE: To
investigate demographic and clinical characteristics in patients with refractory
chronic spontaneous urticaria. MATERIAL AND METHODS: A retrospective analysis of
the clinical and demographic information of patients consulting Allergy services
from two hospitals in Caracas, Venezuela, who presented spontaneous urticaria
lasting more than 6 weeks and did not respond to, at least, one course of
treatment with antihistamines. RESULTS: Refractory chronic spontaneous urticaria
was frequent in Hispanic female patients aged 20 to 59 years, with moderate
symptoms, individual lesions lasting less than three hours, and wheal diameters
between 1 and 3 cm. Angioedema, alone or associated to urticaria was present in
14% of the patients. Most frequent comorbidities were asthma, rhinitis and
rhinosinusitis, thyroid diseases and hypertension. CONCLUSION: Refractory chronic
spontaneous urticaria constitutes a highly prevalent subgroup of chronic
urticaria which represents an important therapeutic challenge. This study did not
demonstrate differential characteristics distinguishing refractory chronic
spontaneous urticaria from chronic spontaneous urticaria patients who respond to
the treatment with antihistamines.
PMID- 26556664
TI - [Impact of air pollution on the development of asthma].
AB - Air pollution affects the origin and evolution of respiratory diseases. The
increased frequency of asthma in recent years has been associated with growth air
pollutants and small particles produced from the combustion of petroleum or
cigarette smoke. Some mechanisms of how these contaminants can influence asthma
and other allergic diseases are known: 1) acting as irritating on alveolar
epithelial cells, 2) actin as adjuvant for allergens inflammation, 3) and
epigenetic mechanisms. In this review, we discuss the pathophysiological
mechanisms by which air pollutants become risk factors for the development of
asthma and other allergic diseases.
PMID- 26556665
TI - [Phenotypic and functional diversity of B lymphocytes].
AB - For many years, it has been considered that the function of B cells is only to
serve as precursors of antibody-producing plasma cells; however, this simplistic
view has been challenged in the past thirty years. The first big surprise came
during the seventies, when it was shown that B lymphocytes are not a homogeneous
population, but is made up of various subpopulations with different origin and
functions, including both innate and acquired immunity. During the eighties, it
was discovered that B cells are an important source of cytokines, extending its
functions from antigen presentation to cooperation with T cells. From the year
two thousand, it is clear that B cells are, functionally speaking, as
heterogeneous as T lymphocytes, extending its functions to the regulation of the
immune response. The story does not end yet, as they continue to discover new
features that will have to be incorporated into the main body of knowledge about
the mechanisms by which the immune response works. Thus, we can conclude by
congratulating the B lymphocytes by these first 50 years and we can predict at
least another 50 of robust growth.
PMID- 26556666
TI - [The research protocol. Part I].
AB - One of the principal aims in research is the publication of the study in
scientific journals. This implies two challenges: the first one, the election of
an adequate research design, and the second one, the concrete and simple wording
of the results for the study to be accepted in the most appropriate journal
according to the scope. Despite numerous supporting documents are available for
both issues, the publication process is long, tiresome, and can discourage the
most enthusiastic researcher. This is the first of a series of articles with the
objective to describe the steps from the research question to the publication of
the study. First of all, the importance of the research design will be addressed.
The structure of the protocol is essential to achieve the objectives, and
provides a way to organize the investigation in a logic, comprehensible and
efficient manner.
PMID- 26556667
TI - [Salmonella typhi vaccination response study reveals defective antibody
production selective IgA deficiency patient].
AB - Selective IgA deficiency (SIgAD) is the most prevalent immunodeficiency
worldwide, progressing to common variable immunodeficiency only in few reported
cases. We report the case of a Spanish female aged 22 and diagnosed of selective
IgA deficiency, a long history of bronchitis, several episodes of pneumonia,
bilateral bronchiectasis, normal IgG, IgM, IgG subclasses, and detectable pre
vaccination IgG antibodies against tetanus toxoid and Streptococcus pneumoniae.
She was evaluated in our clinic in order to rule out common variable
immunodeficiency. We observed good antibody response to tetanus toxoid, absence
of circulating switched memory B cells, decreased response to pneumococcal
polysaccharide antigens and a lack of response to Salmonella typhi vaccine. Most
SIgAD patients presents with upper respiratory tract infections or mild diarrhea.
Those with lower tract infections, pneumonia or untreatable diarrhea should
follow B-cell subpopulations' study and antibody response to vaccines. Absence of
response to Salmonella typhi vaccine allowed us to expose the defective antibody
production.
PMID- 26556668
TI - [Bullous systemic lupus mimicking a Stevens-Johnson syndrome].
AB - Autoimmune bullous diseases represent a diagnostic challenge due to the wide
spectrum of pathologies that share similar clinical features. This paper reports
the case of a woman admitted with a supposed diagnosis of a Stevens-Johnson
syndrome, in which the history, the profile of autoimmunity and interdisciplinary
approach were of vital importance to clarify the clinical picture.
PMID- 26556669
TI - Oncocytic osteolipoadenoma of the submandibular gland.
PMID- 26556671
TI - Both Systemic and Pulmonary Artery Stiffness Predict Ventricular Functional
Recovery after Successful Percutaneous Closure of Atrial Septal Defects in
Adults.
AB - BACKGROUND: Percutaneous closure of atrial septal defect (ASD) has become the
preferred method in treatment of the majority of cases. The aim of this study was
to evaluate the echocardiographic effects of percutaneous closure of secundum ASD
in adults and assess which parameters predict good response to closure. DESIGN:
We prospectively included 42 patients with secundum ASD treated successfully with
percutaneous device closure. All patients underwent transthoracic
echocardiography examination with tissue Doppler imaging before, 24 hours after
and within 3 months of intervention. Measurements of arterial stiffness were
carried out using a Mobil-O-Graph arteriography system. RESULTS: Remodeling of
heart chambers occurred immediately and persisted at 3 months after ASD closure.
Significant decreases were observed in right ventricle (RV) end-diastolic
diameter, right atrium volume index, and tricuspid annular plane systolic
excursion both after the procedure and at 3 months (P < .01 for all). The RV and
left ventricle (LV) tissue Doppler-myocardial performance index demonstrated to
decline during follow up (P = .0001). Significant correlations were found between
pulse-wave velocity, augmentation index, pulmonary artery stiffness, and LV-RV
tissue Doppler-myocardial performance index at third month. Linear regression
analyses showed that pulse-wave velocity is the most effective parameter of LV
and pulmonary artery stiffness is the most effective parameter of RV functional
recovery, respectively, assessed by tissue Doppler-myocardial performance index.
CONCLUSIONS: Percutaneous closure of secundum ASD in adults has various sustained
benefits on multiple echocardiographic parameters within 3 months. The changes in
RV and LV function after device closure were significantly correlated with the
degree of pulmonary artery stiffness and pulse-wave velocity, respectively.
PMID- 26556672
TI - Occupational exposures to influenza among healthcare workers in the United
States.
AB - The objective of this study is to estimate the annual number of occupational
exposures to influenza among healthcare workers that result from providing direct
and supportive care to influenza patients in acute care, home care and long-term
care settings. Literature review was used to identify healthcare utilization for
influenza, and worker activity patterns. This information was used, with Monte
Carlo simulation, to tabulate the mean annual number of occupational exposures.
Given a medium-sized epidemic with a 6% annual symptomatic influenza incidence
proportion, the mean number of occupational exposures was estimated to be 81.8
million annually. Among the approximately 14 million healthcare workers, this
corresponds to 5.8 exposures per worker annually, on average. Exposures, however,
are likely concentrated among subsets of healthcare workers. Occupational
exposures were most numerous in ambulatory care settings (38%), followed by long
term care facilities (30%) and home care settings (21%). The annual number of
occupational exposures to influenza is high, but not every occupational exposure
will result in infection. Some infection control activities, like patient
isolation, can reduce the number of occupational exposures.
PMID- 26556670
TI - Rapid chemical decontamination of infectious CJD and scrapie particles parallels
treatments known to disrupt microbes and biofilms.
AB - Neurodegenerative human CJD and sheep scrapie are diseases caused by several
different transmissible encephalopathy (TSE) agents. These infectious agents
provoke innate immune responses in the brain, including late-onset abnormal prion
protein (PrP-res) amyloid. Agent particles that lack detectable PrP sequences by
deep proteomic analysis are highly infectious. Yet these agents, and their
unusual resistance to denaturation, are often evaluated by PrP amyloid
disruption. To reexamine the intrinsic resistance of TSE agents to denaturation,
a paradigm for less resistant viruses and microbes, we developed a rapid and
reproducible high yield agent isolation procedure from cultured cells that
minimized PrP amyloid and other cellular proteins. Monotypic neuronal GT1 cells
infected with the FU-CJD or 22L scrapie agents do not have complex brain changes
that can camouflage infectious particles and prevent their disruption, and there
are only 2 reports on infectious titers of any human CJD strain treated with
chemical denaturants. Infectious titers of both CJD and scrapie were reduced by
>4 logs with Thiourea-urea, a treatment not previously tested. A mere 5 min
exposure to 4M GdnHCl at 22 degrees C reduced infectivity by >5 logs. Infectious
22L particles were significantly more sensitive to denaturation than FU-CJD
particles. A protocol using sonication with these chemical treatments may
effectively decontaminate complicated instruments, such as duodenoscopes that
harbor additional virulent microbes and biofilms associated with recent
iatrogenic infections.
PMID- 26556673
TI - Plasma nanotextured polymeric lab-on-a-chip for highly efficient bacteria capture
and lysis.
AB - We describe the design, fabrication, and successful demonstration of a sample
preparation module comprising bacteria cell capture and thermal lysis on-chip
with potential applications in food sample pathogen analysis. Plasma
nanotexturing of the polymeric substrate allows increase of the surface area of
the chip and the antibody binding capacity. Three different anti-Salmonella
antibodies were directly and covalently linked to plasma treated chips without
any additional linker chemistry or other treatment. Then, the Ab-modified chips
were tested for their capacity to bind bacteria in the concentration range of
10(2)-10(8) cells per mL; the module exhibited 100% efficiency in Salmonella
enterica serovar Typhimurium bacteria capture for cell suspensions below 10(5)
cells per mL (10(4) cells injected with a 100 MUL sample volume) and efficiency
higher than 50% for 10(7) cells per mL. Moreover, thermal lysis achieved on-chip
from as low as 10 captured cells was demonstrated and shown to compare well with
off-chip lysis. Excellent selectivity (over 1 : 300) was obtained in a sample
containing, in addition to S. Typhimurium and E. coli bacteria.
PMID- 26556674
TI - Cervical length as a predictor for spontaneous preterm birth in high-risk
singleton pregnancy: current knowledge.
PMID- 26556675
TI - Validation of ion channel targets.
AB - A prerequisite for a successful target-based drug discovery program is a robust
data set that increases confidence in the validation of the molecular target and
the therapeutic approach. Given the significant time and resource investment
required to carry a drug to market, early selection of targets that can be
modulated safely and effectively forms the basis for a strong portfolio and
pipeline. In this article we present some of the more useful scientific
approaches that can be applied toward the validation of ion channel targets, a
molecular family with a history of clinical success in therapeutic areas such as
cardiovascular, respiratory, pain and neuroscience.
PMID- 26556676
TI - Responsive stimulation of motor cortex for medically and surgically refractive
epilepsy.
PMID- 26556677
TI - Combined detection of depression and anxiety in epilepsy patients using the
Neurological Disorders Depression Inventory for Epilepsy and the World Health
Organization well-being index.
AB - PURPOSE: To validate the Danish version of the Neurological Disorders Depression
Inventory for Epilepsy (NDDI-E), and compare it with the World Health
Organization index for psychological well-being (WHO-5) as screening tests for
depression and anxiety in epilepsy patients. METHODS: Epilepsy outpatients filled
out NDDI-E and WHO-5. A Mini International Neuropsychiatric Interview (MINI) as
gold standard for psychiatric diagnoses was carried out with every patient.
RESULTS: We included 124 epilepsy patients. According to MINI, 5% had depression
without anxiety, 6% anxiety without depression, and 6% had both. For the
detection of depression, NDDI-E was slightly better than WHO-5. With a score of
more than 13, NDDI-E as a screening tool for depression had a sensitivity of
0.92, a specificity of 0.84, a positive predictive value (PPV) of 0.40, and a
negative predictive value (NPV) of 0.99. In the detection of anxiety WHO-5 was
better than NDDI-E. With a score below 50, WHO-5 as screening for anxiety had a
sensitivity of 0.80, a specificity of 0.92, PPV 0.57, and NPV 0.97. When
combining NDDI-E>13 and WHO-5<50, 95% of patients with depression and/or anxiety
are identified, and in addition there are 17% false positives. CONCLUSION: NDDI-E
in Danish is valid and slightly better than WHO-5 in the detection of depression
in epilepsy patients. WHO-5 is valid for the detection of anxiety disorders.
Combined use of NDDI-E and WHO-5 is recommended, since 95% of all epilepsy
patients with depression and/or anxiety disorder are identified with only a
modest number of false positives.
PMID- 26556679
TI - Fat middle section is less healthy than being obese, say researchers.
PMID- 26556678
TI - Aortic stiffness is an independent determinant of left ventricular diastolic
dysfunction in metabolic syndrome patients.
AB - BACKGROUND: We aimed to evaluate the relationship between arterial stiffness and
left ventricular diastolic dysfunction (LVDD) in metabolic syndrome (MetS)
patients. METHODS: A cross-sectional study was conducted in 1208 subjects without
overt atherosclerotic disease. According to the cardiac ultrasound, patients were
divided into two groups: with LVDD (LVDD+, n = 1119) and without LVDD (LVDD-, n =
89). Arterial stiffness parameters [carotid-femoral pulse wave velocity (cfPWV)
and aortic augmentation index (AIxHR75)] were assessed by applanation tonometry.
RESULTS: In comparison to LVDD-, LVDD + patients were older (55 +/- 6 vs 51 +/- 6
years, p < 0.001), and had higher cfPWV (8.8 +/- 1.6 vs 7.9 +/- 1.34 m/s, p <
0.001), AIxHR75 (24.7 +/- 10.2 vs 19.7 +/- 10, p < 0.001), mean arterial pressure
(108 +/- 12 vs 101 +/- 10 mmHg, p < 0.001), heart rate (66 +/- 10 vs 61 +/- 9
bpm, p < 0.001), left ventricular mass index (LVMI) (109 +/- 24 vs 97 +/- 22, p <
0.001) and body mass index (BMI) (32 +/- 5 vs 30 +/- 4 kg/m(2), p < 0.001). We
found significant correlations between cfPWV, AIxHR75 and the ratio of early to
late transmitral velocities (E/A) (rcfPWV = -0.19, rAIxHR75 = -0.15, p < 0.001),
early diastolic mitral annular velocity (E') (rcfPWV = -0.25, rAIxHR75 = -0.18, p
< 0.05) and E/E' ratio (rcfPWV = 0.17, rAIxHR75 = 0.14, p < 0.001). Univariate
analysis revealed that the presence of LVDD is associated with age [odds ratio
(OR) 1.84], BMI (OR 1.63), waist circumference (WC) (OR 1.52), cfPWV (OR 2.18),
AIxHR75 (OR 1.55), mean aortic blood pressure (OR 1.94), aortic pulse pressure
(OR 1.78), mean common carotid artery intima-media thickness (OR 1.16), heart
rate (OR 1.4) and LVMI (OR 1.79) (all p < 0.05). After performing stepwise
multiple logistic regression analysis, only cfPWV and BMI or WC remained
significant predictors of the presence of LVDD (p < 0.05). CONCLUSION: cfPWV is a
significant determinant of LVDD in subjects with MetS.
PMID- 26556680
TI - Some selected quantitative methods of thermal image analysis in Matlab.
AB - The paper presents a new algorithm based on some selected automatic quantitative
methods for analysing thermal images. It shows the practical implementation of
these image analysis methods in Matlab. It enables to perform fully automated and
reproducible measurements of selected parameters in thermal images. The paper
also shows two examples of the use of the proposed image analysis methods for the
area of the skin of a human foot and face. The full source code of the developed
application is also provided as an attachment. The main window of the program
during dynamic analysis of the foot thermal image.
PMID- 26556681
TI - Inhibitory Effect of Cinnamaldehyde, Citral, and Eugenol on Aflatoxin
Biosynthetic Gene Expression and Aflatoxin B1 Biosynthesis in Aspergillus flavus.
AB - In order to reveal the inhibitory effects of cinnamaldehyde, citral, and eugenol
on aflatoxin biosynthesis, the expression levels of 5 key aflatoxin biosynthetic
genes were evaluated by real-time PCR. Aspergillus flavus growth and AFB1
production were completely inhibited by 0.80 mmol/L of cinnamaldehyde and 2.80
mmol/L of citral. However, at lower concentration, cinnamaldehyde (0.40 mmol/L),
eugenol (0.80 mmol/L), and citral (0.56 mmol/L) significantly reduced AFB1
production with inhibition rate of 68.9%, 95.4%, and 41.8%, respectively, while
no effect on fungal growth. Real-time PCR showed that the expressions of aflR,
aflT, aflD, aflM, and aflP were down-regulated by cinnamaldehyde (0.40 mmol/L),
eugenol (0.80 mmol/L), and citral (0.56 mmol/L). In the presence of
cinnamaldehyde, AflM was highly down-regulated (average of 5963 folds), followed
by aflP, aflR, aflD, and aflT with the average folds of 55, 18, 6.5, and 5.8,
respectively. With 0.80 mmol/L of eugenol, aflP was highly down-regulated
(average of 2061-folds), followed by aflM, aflR, aflD, and aflT with average of
138-, 15-, 5.2-, and 4.8-folds reduction, respectively. With 0.56 mmol/L of
citral, aflT was completely inhibited, followed by aflM, aflP, aflR, and aflD
with average of 257-, 29-, 3.5-, and 2.5-folds reduction, respectively. These
results suggest that the reduction in AFB1 production by cinnamaldehyde, eugenol,
and citral at low concentration may be due to the down-regulations of the
transcription level of aflatoxin biosynthetic genes. Cinnamaldehyde and eugenol
may be employed successfully as a good candidate in controlling of toxigenic
fungi and subsequently contamination with aflatoxins in practice.
PMID- 26556682
TI - [Persistent Perpetrator Contact in a Patient with Dissociative Identity
Disorder].
AB - The case of a young woman with still ongoing incest and forced prostitution is
presented. The criteria for a dissociative identity disorder (DID) were met. Due
to persistent contact to the perpetrator she was repeatedly revictimized. Based
on the model of trauma-related dissociation we discuss to what extent she was
capable of self-determined decision making as well as therapeutic consequences
resulting therefrom.
PMID- 26556683
TI - [Job Satisfaction Among Young Physicians Working in Psychiatric and Psychosomatic
Care - Results of a Survey in Saxony, Germany].
AB - Objective: Analysis of job satisfaction and intentions to quit among physicians
working in psychiatric/psychosomatic care compared to physicians working in
somatic care. Methods: Full postal survey of all physicians <= 40 years of age
registered with the State Chamber of Physicians of Saxony (response rate 40 %, n
= 2357). Analysis was restricted to physicians working in patient care (n =
1901). Results: Physicians working in psychiatric/psychosomatic care as well as
those in somatic care were rather satisfied with their overall job situation
(mean: 3.48 [standard deviation: 1.01] vs. 3.40 [0.94], 5 point Likert scale).
Physicians working in psychiatric/psychosomatic care were less satisfied with
only 2 out of 20 aspects of job satisfaction. Nearly a quarter wished to leave
patient care or to go abroad, which did not differ from physicians working in
somatic care. Conclusion: The present study did not confirm international results
indicating lower job satisfaction among psychiatrists.
PMID- 26556684
TI - [Improving Mental Health Care in People at Risk for Getting Homeless].
AB - Objective: Overall aim was to reduce the untreated prevalence in persons with
untreated mental disorders and at risk for loosing accommodation and descending
into homelessness. Primary aim was treatment initiation and treatment adherence
by motivational interviewing. Secondary aims were to reduce social or financial
problems. Methods: Persons at risk were identified in social welfare services or
labour agencies, diagnosed and motivated to initiate treatment in a community
mental health service. Results: 58 persons were included, 24 were referred to
regular mental health care, 8 were stabilized enough after the initial
motivational to refrain from acute treatment, 26 dropped out. During a 6-month
follow-up quality of life and social support was improved (partly statistically
significant) and psycho-social needs for care decreased. Conclusion: Motivational
interviewing is likely to increase insight into illness and acceptance of mental
health care in untreated persons with mental disorders at risk for social
decline.
PMID- 26556685
TI - [Assessment of Functioning when Conducting Occupational Capacity Evaluations-
What is "Evidence-Based"?].
AB - AIM: Occupational capacity evaluations have previously been subject to criticism
for lacking in quality and consistency. To the authors' knowledge, there is no
clear consensus on the best way to formally assess functioning within capacity
evaluations. In this review we investigated different instruments that are used
to assess functioning in occupational capacity evaluations. METHODS: Systematic
review of the literature. RESULTS: Though several instruments that assess
functional capacity were found in our search, a specific validated instrument
assessing occupational capacity as part of a larger psychiatric evaluation was
not found. The limitations of the existing instruments on assessing functional
capacity are discussed. CONCLUSION: Medical experts relying on instruments to
conduct functional capacity evaluations should be cognizant of their limitations.
The findings call for the development and use of an instrument specifically
designed to assess the functional and occupational capacity of psychiatric
patients, which is also likely to improve the quality of these reports.
PMID- 26556686
TI - [Which Working Conditions Promote the Development of Depressive Disorders?].
AB - BACKGROUND: Epidemiological and neurobiological research suggests an association
between stress and the development of depression. In this context, the question
arises whether certain working conditions do act as depressogenic stressors.
METHOD: We systematically reviewed cross-sectional and prospective studies
(published since 2005) investigating the association between working conditions
and the occurrence of depression. Moreover, meta-analyses on reported effect
sizes were calculated. RESULTS: Our meta-analyses confirmed a depressogenic
effect of high job demands and the combination of high demands and low job
control ("Job Strain"). We did not find an effect of low job control alone. The
impact of these working conditions is modulated by contextual factors like
occupational grade or cognitive coping strategies. CONCLUSION: Based on these
results, we suggest an extended model of working conditions, coping capacities,
and their relation to the development of depression. This can be utilized for
strategies of occupational prevention and individual therapy.
PMID- 26556687
TI - Comparison of Continuous Noninvasive Blood Pressure Monitoring by TL-300 With
Standard Invasive Blood Pressure Measurement in Patients Undergoing Elective
Neurosurgery.
AB - BACKGROUND: Intraoperative blood pressure (BP) is one of the basic vital signs
monitoring. Compared with standard invasive BP measurement, TL-300 allows for a
continuous and beat-to-beat noninvasive intraoperative BP monitoring. The current
retrospective study compared the accuracy and precision of this noninvasive
technique for continuous BP monitoring with that of standard invasive BP
measurement in patients undergoing elective neurosurgery. MATERIALS AND METHODS:
BP records of 23 patients undergoing elective neurosurgery, measured by both
noninvasive TL-300 and invasive radial arterial catheter method, were
retrospectively analyzed. Variability in BP data was analyzed by using linear
regressions and Bland-Altman analysis. RESULTS: Four thousand three hundred
eighty-one pairs of BP measurements from a total of 23 patients were included.
The coefficient of determination of systolic, diastolic, and mean BP were 0.908,
0.803, and 0.922, respectively. And their bias was found to be 1.3+/-5.87 mm Hg
(95% limits of agreement: -10.2 to +12.8 mm Hg), 2.8+/-6.40 mm Hg (95% limits of
agreement: -9.8 to +15.3 mm Hg), and 1.8+/-4.20 mm Hg (95% limits of agreement:
6.4 to +10.1 mm Hg), respectively. CONCLUSIONS: TL-300 system is a promising
noninvasive alternative to the invasive arterial catheter method for
intraoperative BP monitoring, with a high accuracy and precision. With the
limitation of the current retrospective study, further prospective method
comparison studies are needed.
PMID- 26556688
TI - Genetic variation in IL-1beta, IL-2, IL-6, TSPO and BDNF and response to
duloxetine or placebo treatment in major depressive disorder.
AB - AIM: This study investigated polymorphisms of five inflammatory-related genes for
association with duloxetine and placebo response in patients with major
depression. PATIENTS & METHODS: Twenty SNPs in IL-1beta, IL-2, IL-6, TSPO and
BDNF were genotyped in major depressive disorder patients treated with either
duloxetine (n = 215) or placebo (n = 235) for up to 8 weeks. Treatment response
was measured with the Montgomery-Asberg Depression Rating Scale. RESULTS: IL-6
variants rs2066992 and rs10242595 were nominally associated with response to
duloxetine (p = 0.047 and p = 0.028, respectively). Notably, the variant
rs2066992 was also associated with placebo response (p = 0.026). However, none of
our results remained significant after correction for multiple testing.
CONCLUSION: Our findings tentatively suggest that IL-6 variants play a role in
duloxetine and placebo response, which warrants further investigation.
PMID- 26556689
TI - A theoretical biogenesis overview of diterpenes isolated from Salvia microphylla.
AB - Establishing the reaction mechanisms involved in the biosynthesis of natural
products plays an important role in phytochemistry and pharmacology. Mechanistic
studies of the biogenesis of natural products have been mainly explored by means
of theoretical calculations, and taking into account experimental structures of
reagents and products. Using a hybrid meta density functional theory method
(mPW1B95), we studied the mechanisms associated with the biogenesis of five neo
clerodanes isolated from Salvia mycrophylla. The reaction mechanisms presented
here explain the formation of the five neo-clerodanes and coincides with the
formulated biogenetic hypothesis. Graphical Abstract Proposed biogenesis of
diterpenes isolated from Salvia microphylla.
PMID- 26556690
TI - Long-term remission of therapy-related acute myeloid leukemia with a new
t(11;18)(q23;q21.2) translocation and KMT2A-ME2 (MLL-ME2) fusion gene.
AB - We describe a unique case of a woman with acute myeloid leukemia with a new,
previously undescribed translocation, t(11;18)(q23;q21.2), affecting the KMT2A
(MLL) gene and resulting in an KMT2A(MLL)-ME2 fusion. This disease occurred
secondarily following chemotherapy for a different acute myeloid leukemia with
the recurrent genetic abnormality inv(16)(p13.1;q22). The secondary leukemia was
treated with intensive chemotherapy without allogeneic hematopoietic cell
transplantation. Complete remission lasting more than 10 years has been achieved
with concurrent and sustained remission of the primary leukemia.
PMID- 26556691
TI - Tumor heterogeneity uncovered by dynamic expression of long noncoding RNA at
single-cell resolution.
AB - The expression of long noncoding RNA (lncRNA) is thought to be more cell-type
specific than the expression of protein-coding genes. However, the expression
profile of individual cells regarding lncRNA remains to be elucidated. Here, we
comprehensively investigated the pattern of lncRNA expression across five
glioblastoma tumors (414 cells) and two cell lines (GBM6 and GBM8, 127 cells). We
found that there were more than 1,000 lncRNAs that varied between any two cells
and that there was frequent gain and loss of lncRNA expression during tumor cell
proliferation, suggesting a great heterogeneity in lncRNA expression across
different single cells in glioblastoma.
PMID- 26556692
TI - One year of free school fruit in Norway--7 years of follow-up.
AB - BACKGROUND: It is important that health-promoting efforts result in sustained
behavioural changes, preferably throughout life. However, only a very few
intervention studies evaluate long term follow up. OBJECTIVE: The aim of the
present study is to evaluate the overall and up to seven years effect of
providing daily one piece of fruit or vegetable (FV) for free for one school
year. METHODS: A total of 38 randomly drawn elementary schools from two counties
in Norway participated in the Fruit and Vegetables Make the Marks project.
Baseline (2001) and follow-up surveys were conducted in May 2002, 2005 and 2009
(n = 320 with complete data) to assess FV and unhealthy snack intake. Mixed
models were used to analyze the data. RESULTS: Statistically significant adjusted
overall effects of the intervention were revealed for FV intake (1.52 times/day)
but this weakened over time. A significant adjusted overall effect (-1.54
consumptions/week) and a significant seven-year-follow-up effect (-2.02
consumptions/week) was found for consumption of unhealthy snacks for pupils of
parents without higher education. CONCLUSION: One year of free school fruit
resulted in higher FV intake and lower unhealthy snack intake, however this
weakened over time for FV intake and became stronger for snack intake. More
follow-up studies with larger samples and lower attrition rates are needed in
order to further evaluate the long-term effect.
PMID- 26556693
TI - Early onset of nontuberculous mycobacterial pulmonary disease contributes to the
lethal outcome in lung transplant recipients: report of two cases and review of
the literature.
AB - Lung transplant (LuTx) recipients represent a population at risk of
nontuberculous mycobacterial pulmonary disease (NTM-PD). Yet the risk factors,
the timing of NTM-PD after transplantation, and the association with allograft
dysfunction all remain poorly defined. We report 2 cases of early-onset NTM-PD
and review the literature, focusing on NTM-PD in LuTx recipients not colonized
with NTM prior to transplantation. In addition, we summarize the main
characteristics and differences between early- and late-onset disease.
PMID- 26556694
TI - Genetic analysis of the rates of conception using a longitudinal threshold model
with random regression in dairy crossbreeding within a tropical environment.
AB - This study was designed to: (i) estimate genetic parameters and breeding values
for conception rates (CR) using the repeatability threshold model (RP-THM) and
random regression threshold models (RR-THM); and (ii) compare covariance
functions for modeling the additive genetic (AG) and permanent environmental (PE)
effects in the RR-THM. The CR was defined as the outcome of an insemination. A
data set of 130 592 first-lactation insemination records of 55 789 Thai dairy
cows, calving between 1996 and 2011, was used in the analyses. All models
included fixed effects of year * month of insemination, breed * day in milk to
insemination class and age at calving. The random effects consisted of herd *
year interaction, service sire, PE, AG and residual. Variance components were
estimated using a Bayesian method via Gibbs sampling. Heritability estimates of
CR ranged from 0.032 to 0.067, 0.037 to 0.165 and 0.045 to 0.218 for RR-THM with
the second, third and fourth-order of Legendre polynomials, respectively. The
heritability estimated from RP-THM was 0.056. Model comparisons based on goodness
of fit, predictive abilities, predicted service results of animal, and pattern of
genetic parameter estimates, indicated that the model which fit the desired
outcome of insemination was the RR-THM with two regression coefficients.
PMID- 26556695
TI - Introduction to the 'VEINS' supplement.
PMID- 26556696
TI - Varicose veins--Who should be referred?
AB - Varicose veins are a common, progressive condition in the UK, with significant
negative effects on patients' quality of life. Despite their prevalence, access
to secondary care for the assessment and treatment of varicose veins can be
variable throughout the country.The National Institute of Health and Care
Excellence guidelines developed in 2013 provide evidence-based guidance on the
referral, assessment, and management of the patient with venous disease.In this
article, we review the development of the guidelines for the management of
varicose veins over the last 15 years, highlighting the latest changes in
referral criteria.
PMID- 26556697
TI - Radiofrequency ablation of varicose veins: Best practice techniques and evidence.
AB - SCOPE OF THE REVIEW: This article systematically reviews the practice of
radiofrequency ablation of lower limb varicose veins. We present the clinical
evidence and best practice techniques for currently available devices. METHODS:
Manufacturer's instructions-for-use were requested for all radiofrequency
devices. The MEDLINE and EMBASE databases were searched using the following
keywords: 'varicose veins' AND 'radiofrequency' OR 'radio frequency' OR 'Venefit'
OR 'ClosureFAST' OR 'RFiTT' OR 'EVRF' OR 'VeinCLEAR', generating 240 articles.
Titles and abstracts were screened, yielding 63 articles directly relevant to the
scope of the review. Reference lists for publications were also searched to
identify further manuscripts of relevance. The Cochrane Database and current
National Institute for Clinical and Healthcare Excellence guidelines for varicose
veins were also searched from relevant articles. RESULTS: Four radiofrequency
ablation (RFA) systems are currently commercially available. Generic practice
methods (common to all RF systems) and device-specific techniques are described.
The weight of current evidence relates to the use of Covidien VenefitTM (formerly
VNUS ClosureFASTTM), which clearly demonstrates clinical advantages over open
surgery at least to 2 years follow up. However, contemporary studies of the
radiofrequency-induced thermal therapy device (RFiTT(r)), show that in
experienced hands, clinical equivalence to the VenefitTM procedure can be
achieved. The evidence base for EVRF(r) and VeinCLEARTM devices is currently weak
and absent, respectively. CONCLUSIONS: Despite widespread uptake of RFA and
acceptance of its clinical advantages over open surgery there is a paucity of
Class 1 A evidence. This results from incongruent reporting of clinical outcome
measures within existing literature. Similarly, lack of long-term follow-up
studies precludes comparison of the durability of short- and medium-term
advantages of RFA with the longer term results of open surgery. There remains
scope for a large prospective high-quality trial to assess the clinical,
anatomical and cost-effectiveness outcomes for the four commercially available
RFA devices, with a particular focus on long-term follow up.
PMID- 26556698
TI - Foam sclerotherapy.
AB - Foam sclerotherapy is a minimally invasive treatment for lower limb varicose
veins. Current evidence indicates that its efficacy may not be as high as surgery
or endovenous ablation. The minimally invasive nature of the treatment however
means that it has a wide application, and it can be particularly useful in
patients who are not suitable for other types of treatment. NICE guidelines
recommend its use as a second line after endovenous ablation. Complication rates
are low and most of these are of little clinical consequence.
PMID- 26556699
TI - Glue, steam and Clarivein--Best practice techniques and evidence.
AB - In July 2013, the National Institute of Health and Clinical Excellence (NICE)
recommended "endothermal" ablation (meaning endovenous thermal ablation) is the
first line treatment for truncal venous reflux in varicose veins. The initial
endovenous thermoablation devices were radiofrequency ablation and endovenous
laser ablation. More recently, Glue (cyanoacrylate), endovenous steam and
Clarivein (mechanochemical ablation or MOCA) have entered the market as new
endovenous techniques for the treatment of varicose veins. Glue and Clarivein do
not require tumescent anaesthesia and do not use heat and therefore termed non
tumescent non-thermal (NTNT). Steam both requires tumescence and is also a
thermal technique (TT). This article reviews the current position of these 3 new
technologies in the treatment of varicose veins.
PMID- 26556700
TI - Is there a continuing role for traditional surgery?
AB - Despite recent NICE guidance there remains a definite role for surgery in the
management of varicose veins. A lot of the available evidence that has driven the
transformation of care towards endovenous treatments is of good quality yet
published by enthusiasts. No endovenous studies have reported long term results
as far out from intervention as the open studies, yet in the meta-analysis from
Murad et al, the authors suggested from their results that when surgery was
compared with all endoluminal ablation therapies, surgery was associated with a
non-significant reduction in the risk of varicose vein recurrence (RR0.63; 95%CI
0.37--1.07). Much of the longer-term data on recurrence following open surgery
for primary varicose veins dates back well over 15 years ago. This is prior to
the inclusion of routine duplex assessment and sub-specialty designation. The
recent Cochrane review (13 randomised controlled studies, 3081 patients). The
overall conclusion was that UGFS, EVLT and RFA were at least as effective as
surgery in the treatment of the LSV. This meta-analysis did not include the CLASS
(Comparison of LAser, Surgery and foam Sclerotherapy as a treatment for varicose
veins) trial in which measures of clinical success were similar among the groups.
Only 48% of the patients screened were eligible for the CLASS study and of these,
only 24% of the eligible patients agreed to take part in the study. Similarly in
the study by Carradice out of a total of 772 patients assessed for suitability,
442 did not meet the inclusion criteria. There is no doubt that endovenous
surgery will increasingly become first line treatment for patients with
symptomatic superficial venous reflux specifically in patients with SSV reflux.
However, given the device related limitations with endothermal techniques
specifically with regard to adverse anatomical features allied to the poorer
results of UGFS within the treatment of LSV reflux, there is without doubt still
a role for more traditional open surgical techniques that can be delivered with
good short and long term outcomes and still being delivered in a cost effective
manner.
PMID- 26556701
TI - Laser; best practice techniques and evidence.
AB - Laser ablation involves the delivery of laser light through a glass fibre placed
into the lumen of a refluxing vein. This energy is converted into heat inducing a
permanent, non-thrombotic occlusion. This highly effective and safe approach has
significant advantages over traditional surgical treatment and has driven the
endovenous revolution in the management of varicose veins. This chapter will
explore the mechanism of action, present the evidence of laser' clinical and cost
effectiveness, and analyse specific and generic aspects of laser ablation
technique.
PMID- 26556702
TI - CHIVA, ASVAL and related techniques--Concepts and evidence.
AB - Chronic venous disease (CVD) is a highly prevalent condition with significant
effects on patients' quality of life. Despite this, the underlying
pathophysiology of venous disease still remains unclear. Two schools of thought
exist, explaining the development and propagation of venous disease as an
"ascending" and "descending" process, respectively. The descending theory,
stating that CVD is secondary to proximal disease (e.g. saphenofemoral/saphenous
incompetence), is the most widely accepted when planning treatment aiming to
remove or destroy the junction or truncal veins. The ascending theory, describing
the disease process as developing in the lower most part of the leg and
propagating cranially, aims to re-route the venous circulation via minimally
invasive interventions. Classically, superficial venous insufficiency has been
treated with the removal of the incompetent trunk, via open surgery or,
increasingly, with endovenous interventions. Minimally invasive treatment
modalities aiming to preserve the saphenous trunk, such as CHIVA and ASVAL, may
also play an important role in the treatment of the patient with varicose veins.
PMID- 26556703
TI - Management of reticular veins and telangiectases.
AB - AIM: To review the literature related to the management of reticular varices and
telangiectases of the lower limbs to provide guidance on the treatment of these
veins. FINDINGS: Very few randomised clinical trials are available in this field.
A European Guideline has been published on the treatment of reticular varices and
telangiectases, which is largely based on the opinion of experts. Older accounts
written by individual phlebologists contain extensive advice from their own
practice, which is valuable in identifying effective methods of sclerotherapy.
All accounts indicate that a history should be taken combined with a clinical and
ultrasound examination to establish the full extent of the venous disease.
Sclerotherapy is commenced by injecting the larger veins first of all, usually
the reticular varices. Later in the same session or in subsequent sessions,
telangiectases can be treated by direct injection. Following treatment, the
application of class 2 compression stockings for a period of up to three weeks is
beneficial but not used universally by all phlebologists. Further sessions can
follow at intervals of 2-8 weeks in which small residual veins are treated.
Resistant veins can be managed by ultrasound-guided injection of underlying
perforating veins and varices. Other treatments including RF diathermy and laser
ablation of telangiectases have very limited efficacy in this condition.
CONCLUSIONS: Sclerotherapy, when used with the correct technique, is the most
effective method for the management of reticular varices and telangiectases.
PMID- 26556704
TI - Students' and teachers' experiences of participating in the reflection process
"THiNK".
AB - OBJECTIVES: Reflective journal writing in clinical practice is used as a tool in
bachelor programs in nursing. The reflection process THiNK was developed to
enhance students' reflection processes. It was tailored to the needs of a nursing
programme (in Norway) as former studies showed that many students had superficial
level of reflection in their reflective journals, few students applied knowledge
to their reflections and some met unprepared in the guided reflection groups. The
teachers had inconsistent focus on the importance of reflection as a way of
learning. This study aimed to describe students' and teachers' experiences of
participation in reflection processes. DESIGN AND METHOD: The development of
THiNK is inspired by educational design research. This study used focus groups to
gain insight into students' and teachers' experiences of the reflection
processes. Data were analysed using qualitative content analysis. PARTICIPANTS
AND SETTING: The empirical sample included 5 focus groups with 28 students and 7
teachers in a bachelor nursing program at a University College in Norway.
RESULTS: The themes were as follows: stop and verbalise the experiences,
stimulate and support the reflection processes and develop a conscious attitude.
The students became aware of themselves and their own execution. The teachers and
reflection groups were crucial in order to learn to see the complexity of a given
situation and helped draw connection between the situation and the theoretical
knowledge. CONCLUSIONS: Enhancing students' professional development requires
attention to facilitation skills as well as other contextual factors. Readiness
in the culture can be ensured by tailoring frameworks of reflection that replies
to students' and teachers' requests. Participating in reflection processes
facilitates integration of modes of thinking when dealing with clinical
situations.
PMID- 26556705
TI - Satisfaction with nursing education, job satisfaction, and work intentions of new
graduate nurses.
AB - BACKGROUND AND OBJECTIVE: In the context of predictions of future shortages of
nurses, retaining new graduate nurses in the nursing workforce is essential to
ensure sufficient nurses in the future. This paper investigates the links between
satisfaction with nursing education and job satisfaction, and job dissatisfaction
and intentions to leave a nursing job. DESIGN AND DATA SOURCES: It uses survey
data from a cohort study of nursing students recruited through two Australian
universities and followed after graduation and workforce entry. METHOD:
Structural equation modeling (SEM) was used to simultaneously estimate the impact
of educational satisfaction (work preparation component) on job satisfaction and
the impact of job satisfaction on the expectation of leaving the current job.
RESULTS: Two job satisfaction sub-scales were identified: 1) work environment
satisfaction and 2) work hours and wages satisfaction. Work preparation
satisfaction was significantly and positively associated with both job
satisfaction scales but only work environment satisfaction was significantly
associated with the expectation to stay in the job; a one standard deviation
increase in work environment satisfaction was associated with a 13.5 percentage
point reduction in the probability of expecting to leave. The estimated effect of
satisfaction with education on expecting to leave, occurring indirectly through
job satisfaction, was small (reducing the probability by less than 3 percentage
points for a 1 point increase in work preparation satisfaction). Participating in
a graduate transition program had the largest effect, reducing the probability of
expecting to leave by 26 percentage points, on average. CONCLUSIONS: The study
results suggest policies which focus on improving satisfaction with the work
environment would be more effective at retaining nurses early in their career
than improvements to conditions such as work hours and wages. Investment in new
graduate transition programs would potentially have the largest impact on
retention.
PMID- 26556706
TI - Understanding pre-registration nursing fitness to practise processes.
AB - BACKGROUND: Protection of the public is a key aspect of pre-registration nursing
education and UK Nursing and Midwifery Council monitoring processes. Universities
must ensure that nursing students are "fit to practise" both during their
programme and at the point of registration. However, current evidence suggests
that institutional fitness to practise policies and processes can be
inconsistent, lacking in clarity, and open to legal challenge. OBJECTIVES: To
examine fitness to practise processes in pre-registration nursing programmes in
Scotland. PARTICIPANTS: Academic personnel (n=11) with key roles in fitness to
practise processes in nine of the eleven Scottish universities providing pre
registration nursing programmes. METHODS: Semi-structured qualitative interviews
were conducted with eleven academics with responsibility for fitness to practise
processes in pre-registration programmes. The qualitative data and documentary
evidence including institutional policies and processes were thematically
analysed. FINDINGS: In this paper, we focus on illuminating the key theme of
Stages and Thresholds in Fitness to Practise processes i.e. Pre-fitness to
practise, Stage 1, Stage 2, and Appeal, along with two thresholds (between Pre
fitness to practise and Stage 1; between Stage 1 and Stage 2. CONCLUSIONS:
Diverse fitness to practise processes are currently in place for Scottish pre
registration nursing students. These processes draw on a shared set of principles
but are couched in different terminology and vary according to their location
within different university structures. Nevertheless, universities appear to be
confronting broadly similar issues around ensuring fitness to practise and are
building a body of expertise in this area. Examples of good practice are
identified and include the use of staged processes and graduated outcomes, the
incorporation of teaching about fitness to practise into nursing programmes,
positive attitudes around health and disability, and collaborative decision
making. Areas of challenge include systems for student support and consistent,
equitable, and auditable fitness to practise processes.
PMID- 26556707
TI - Angiotensin-(1-7) Attenuates Kidney Injury Due to Obstructive Nephropathy in
Rats.
AB - BACKGROUND: Angiotensin-(1-7) [Ang-(1-7)] counteracts many actions of the renin
angiotensin-aldosterone system. Despite its renoprotective effects, extensive
controversy exists regarding the role of Ang-(1-7) in obstructive nephropathy,
which is characterized by renal tubulointerstitial fibrosis and apoptosis.
METHODS: To examine the effects of Ang-(1-7) in unilateral ureteral obstruction
(UUO), male Sprague-Dawley rats were divided into three groups: control, UUO, and
Ang-(1-7)-treated UUO rats. Ang-(1-7) was continuously infused (24 MUg/[kg.h])
using osmotic pumps. We also treated NRK-52E cells in vitro with Ang II (1 MUM)
in the presence or absence of Ang-(1-7) (1 MUM), Mas receptor antagonist A779 (1
MUM), and Mas receptor siRNA (50 nM) to examine the effects of Ang-(1-7)
treatment on Ang II-stimulated renal injury via Mas receptor. RESULTS:
Angiotensin II (Ang II) and angiotensin type 1 receptor (AT1R) protein expression
was higher in UUO kidneys than in controls. Ang-(1-7) treatment also decreased
proapoptotic protein expression in UUO kidneys. Ang-(1-7) also significantly
ameliorated TUNEL positive cells in UUO kidneys. Additionally, Ang-(1-7) reduced
profibrotic protein expression and decreased the increased tumor growth factor
(TGF)-beta1/Smad signaling present in UUO kidneys. In NRK-52E cells, Ang II
induced the expression of TGF-beta1/Smad signaling effectors and proapoptotic and
fibrotic proteins, as well as cell cycle arrest, which were attenuated by Ang-(1
7) pretreatment. However, treatment with A779 and Mas receptor siRNA enhanced Ang
II-induced apoptosis and fibrosis. Moreover, Ang II increased tumor necrosis
factor-alpha converting enzyme (TACE) and decreased angiotensin-converting enzyme
2 (ACE2) expression in NRK-52E cells, while pretreatment with Ang-(1-7) or A779
significantly inhibited or enhanced these effects, respectively. CONCLUSION: Ang
(1-7) prevents obstructive nephropathy by suppressing renal apoptosis and
fibrosis, possibly by regulating TGF-beta1/Smad signaling and cell cycle arrest
via suppression of AT1R expression. In addition, Ang-(1-7) increased and
decreased ACE2 and TACE expression, respectively, which could potentially mediate
a positive feedback mechanism via the Mas receptor.
PMID- 26556708
TI - INTEGRATE: gene fusion discovery using whole genome and transcriptome data.
AB - While next-generation sequencing (NGS) has become the primary technology for
discovering gene fusions, we are still faced with the challenge of ensuring that
causative mutations are not missed while minimizing false positives. Currently,
there are many computational tools that predict structural variations (SV) and
gene fusions using whole genome (WGS) and transcriptome sequencing (RNA-seq) data
separately. However, as both WGS and RNA-seq have their limitations when used
independently, we hypothesize that the orthogonal validation from integrating
both data could generate a sensitive and specific approach for detecting high
confidence gene fusion predictions. Fortunately, decreasing NGS costs have
resulted in a growing quantity of patients with both data available. Therefore,
we developed a gene fusion discovery tool, INTEGRATE, that leverages both RNA-seq
and WGS data to reconstruct gene fusion junctions and genomic breakpoints by
split-read mapping. To evaluate INTEGRATE, we compared it with eight additional
gene fusion discovery tools using the well-characterized breast cell line HCC1395
and peripheral blood lymphocytes derived from the same patient (HCC1395BL). The
predictions subsequently underwent a targeted validation leading to the discovery
of 131 novel fusions in addition to the seven previously reported fusions.
Overall, INTEGRATE only missed six out of the 138 validated fusions and had the
highest accuracy of the nine tools evaluated. Additionally, we applied INTEGRATE
to 62 breast cancer patients from The Cancer Genome Atlas (TCGA) and found
multiple recurrent gene fusions including a subset involving estrogen receptor.
Taken together, INTEGRATE is a highly sensitive and accurate tool that is freely
available for academic use.
PMID- 26556709
TI - Assessment of adherence to topical corticosteroids in patients with bullous
pemphigoid.
PMID- 26556710
TI - Pi (Spleen)-deficiency syndrome in tumor microenvironment is the pivotal
pathogenesis of colorectal cancer immune escape.
AB - Cancer immunoediting consists of three sequential phases: elimination,
equilibrium, and escape. For colorectal adenoma-carcinoma sequence, the adenoma
dysplastic progression may represent an equilibrium phase and the cancer stage as
escape phase. Immune system eliminates transformed enterocytes by destroying them
at first, sculpts them at the same time and selects the variants subsequently
that are no longer recognized and insensitive to immune effectors, and finally
induces immunosuppressive state within the tumor microenvironment that
facilitates immune escape and tumor outgrowth. Immunosuppression and inflammation
are the two crucial features of Pi (Spleen)-deficiency. Classic quotations,
immune evidence and clinical observations suggest that Spleen (but not other
organs) deficiency is the key pathogenesis of colorectal cancer (CRC)
microenvironment. Weakness of old age, immunosuppressive cytokines from chronic
inflammation, tumor-derived immunosuppressive factors and surrendered immune
cells-regulatory T cells, myeloid-derived suppressor cells and tumor associated
macrophages (TAMs) constitutes CRC microenvironment of Pi-deficiency.
Furthermore, excess in superficiality, such as phlegm stagnation, blood stasis
and toxin accumulation are induced by chronic inflammation on the basis of
asthenia in origin, an immunosuppressive state. Great masters of Chinese medicine
emphasize that strengthen Pi is the chief therapeutic principle for CRC which
receives good therapeutic effects. So, Pi-deficiency based syndrome is the
pivotal pathogenesis of tumor microenvironment. The immunosuppressive
microenvironment facilitates immune escape which play an important role in the
transition from adenoma to adenocarcinoma. There are some signs that strengthen
Pi based treatment has potential capacity to ameliorate tumor environment. It
might be a novel starting point to explore the mechanism of strengthen Pi based
therapy in the prevention and treatment of CRC through regulation of tumor
environment and immunoediting.
PMID- 26556712
TI - Abstracts of the 31st Turkish Cardiology Congress with International
Participation. October 22-25, 2015, Antalya, Turkey.
PMID- 26556711
TI - Temporal Patterns and Environmental Correlates of Macroinvertebrate Communities
in Temporary Streams.
AB - Temporary streams are characterised by short periods of seasonal or annual stream
flow after which streams contract into waterholes or pools of varying
hydrological connectivity and permanence. Although these streams are widespread
globally, temporal variability of their ecology is understudied, and
understanding the processes that structure community composition in these systems
is vital for predicting and managing the consequences of anthropogenic impacts.
We used multivariate and univariate approaches to investigate temporal
variability in macroinvertebrate compositional data from 13 years of sampling
across multiple sites from autumn and spring, in South Australia, the driest
state in the driest inhabited continent in the world. We examined the potential
of land-use, geographic and environmental variables to predict the temporal
variability in macroinvertebrate assemblages, and also identified indicator taxa,
that is, those highly correlated with the most significantly associated physical
variables. Temporal trajectories of macroinvertebrate communities varied within
site in both seasons and across years. A combination of land-use, geographic and
environmental variables accounted for 24% of the variation in community structure
in autumn and 27% in spring. In autumn, community composition among sites were
more closely clustered together relative to spring suggesting that communities
were more similar in autumn than in spring. In both seasons, community structure
was most strongly correlated with conductivity and latitude, and community
structure was more associated with cover by agriculture than urban land-use.
Maintaining temporary streams will require improved catchment management aimed at
sustaining seasonal flows and critical refuge habitats, while also limiting the
damaging effects from increased agriculture and urban developments.
PMID- 26556713
TI - Sampling and Complementarity Effects of Plant Diversity on Resource Use Increases
the Invasion Resistance of Communities.
AB - BACKGROUND: Although plant diversity is postulated to resist invasion, studies
have not provided consistent results, most of which were ascribed to the
influences of other covariate environmental factors. METHODOLOGY/PRINCIPAL
FINDINGS: To explore the mechanisms by which plant diversity influences community
invasibility, an experiment was conducted involving grassland sites varying in
their species richness (one, two, four, eight, and sixteen species). Light
interception efficiency and soil resources (total N, total P, and water content)
were measured. The number of species, biomass, and the number of seedlings of the
invading species decreased significantly with species richness. The presence of
Patrinia scabiosaefolia Fisch. ex Trev. and Mosla dianthera (Buch.-Ham. ex
Roxburgh) Maxim. significantly increased the resistance of the communities to
invasion. A structural equation model showed that the richness of planted species
had no direct and significant effect on invasion. Light interception efficiency
had a negative effect on the invasion whereas soil water content had a positive
effect. In monocultures, Antenoron filiforme (Thunb.) Rob. et Vaut. showed the
highest light interception efficiency and P. scabiosaefolia recorded the lowest
soil water content. With increased planted-species richness, a greater percentage
of pots showed light use efficiency higher than that of A. filiforme and a lower
soil water content than that in P. scabiosaefolia. CONCLUSIONS/SIGNIFICANCE: The
results of this study suggest that plant diversity confers resistance to
invasion, which is mainly ascribed to the sampling effect of particular species
and the complementarity effect among species on resources use.
PMID- 26556714
TI - Age related differences in mechanical demands imposed on the lower back by manual
material handling tasks.
AB - The prevalence of low back pain (LBP) increases with age, yet the underlying
mechanism(s) responsible for this remains unclear. To explore the role of
biomechanical factors, we investigated age-related differences in lower-back
biomechanics during sagittally-symmetric simulated manual material handling
tasks. For each task, trunk kinematics and mechanical demand on the lower back
were examined, from among 60 participants within five equal-sized and gender
balanced age groups spanning from 20 to 70 years old. The tasks involved lowering
a 4.5 kg load from an upright standing posture to both knee height and a fixed
height and then lifting the load back to the initial upright posture. During
these tasks, segmental body kinematics and ground reaction forces were collected
using wireless inertial measurement units and a force platform. Overall, older
participants completed the tasks with larger pelvic rotation and smaller lumbar
flexion. Such adopted trunk kinematics resulted in larger peak shearing demand at
the lower back in older vs. younger participants. These results suggest that
older individuals may be at a higher risk for developing lower back pain when
completing similar manual material handling tasks, consistent with
epidemiological evidence for higher risks of occupational low back pain among
this cohort.
PMID- 26556715
TI - Quantification of angiogenic sprouting under different growth factors in a
microfluidic platform.
AB - Angiogenesis, as example of collective migration of endothelial cells (ECs), is
the main dynamic process that culminates in sprout formation from existing
vessels. After tissue injury, the vascularity is interrupted, triggering the
regeneration process and the release of different growth factors (GFs). The main
aim of this work is to quantify the effect of specific GFs during the initial
stage of sprout formation, namely: VEGF, PDGF-BB, TGFbeta and BMP-2, all of them
involved in regenerative processes. For this purpose, we designed a novel
algorithm implemented in Matlab to quantify the advance of the EC monolayer over
time and the sprout structure in 3D. Our results show that VEGF is the main
regulatory GF on angiogenesis processes, producing longer sprouts with higher
frequency. However, the chemoattractant effect of VEGF depends on its
concentration and its spatiotemporal location, having a critical impact on the
sprout time evolution. PDGF-BB (namely as PDGF) has a global negative effect on
both the number and length of sprouts. TGFbeta enhances sprout length at earlier
times, although its effect gradually disappears over time. Finally, BMP-2
produces, overall, less number and shorter sprouts, but was the only GF with a
positive evolution at longer times, producing fewer but longer sprouts.
PMID- 26556716
TI - Neck incision planning for total laryngectomy: A finite element analysis.
AB - Post-operative complications can be attributed to technical aspects of surgery,
yet no studies have investigated the mechanics behind commonly used incisions for
total laryngopharyngectomies (TLP). This procedure, seen in head and neck cancer
patients, necessitates free tissue transfer to construct a neo-pharynx, creating
an inherently greater risk of complications. We sought to investigate the impact
of neck incision location on these post-operative complications for TLP using
finite element analysis (FEA). A nonlinear hyperelastic 2-D finite element model
was used to evaluate the stress and strain along the incision line of two
separate neck incision models commonly used for TLP: low-neck apron (LNA)
incisions that incorporate the patient's tracheostoma and mid-neck apron (MNA)
incisions that do not communicate with the tracheostoma. A constant displacement
was applied to the incision to simulate normal neck extension experienced during
the post-operative phase. Each neck incision was also modeled at varying strain
energy densities to simulate various stages of wound healing. For a constant
displacement of 40mm, the principal von Mises stress of the LNA incision varied
between 5.87 and 6.41MPa, depending on the hyperelastic properties of the healing
incision. This stress was concentrated at the junction of the incision and the
fixed tracheostomal edge. The MNA model demonstrated a principal von Mises stress
that varied between 0.558 and 0.711MPa and was concentrated along the midline of
the neck incision. MNA incisions for TL patients result in principal von Mises
stresses which are up to 11 times lower than those seen in LNA incisions. These
results coincided with clinical observations from a concurrent study that showed
a decrease in rate of wound dehiscence for patients undergoing TLP with an MNA
incision.
PMID- 26556717
TI - MRI analyses show that kinesio taping affects much more than just the targeted
superficial tissues and causes heterogeneous deformations within the whole limb.
AB - Kinesio taping (KT) is widely used in the treatment of sports injuries and
various neuro-musculoskeletal disorders. However, it is considered as selectively
effective on targeted tissues and its mechanical effects have not been quantified
objectively. Ascribed to continuity of muscular and connective tissues,
mechanical loading imposed can have widespread heterogeneous effects. The aim was
to characterize the mechanical effects of KT objectively and to test the
hypotheses that KT causes acutely, local deformations not necessarily (I) in
agreement with tape adhering direction and (II) limited to the directly targeted
tissues. High-resolution 3D magnetic resonance image sets were acquired in
healthy human subjects (n=5) prior to and acutely after KT application over the
skin along m. tibialis anterior (TA). Hip, knee and ankle angles were kept
constant. Demons image registration algorithm was used to calculate local tissue
deformations within the lower leg, in vivo. Mean peak tissue strains were
significantly higher than strain artifacts. Only KT-to-TA region in part shows
local deformations in agreement with tape adhering direction whereas, superficial
skin, the rest of KT-to-TA and TA regions show deformations (up to 51.5% length
change) in other directions. Non-targeted tissues also show sizable heterogeneous
deformations, but in smaller amplitudes. Inter-subject variability is notable.
Magnetic resonance imaging analyses allow for a detailed assessment of local
tissue deformation occurring acutely after KT application. The findings confirm
our hypotheses and characterize how KT affects the underlying tissues, both
immediately targeted and distant. This allows revealing mechanisms that can
affect clinical outcomes of KT objectively.
PMID- 26556719
TI - Infection levels and seasonality of monogeneans in the largemouth bass
Micropterus salmoides (Perciformes: Centrarchidae) from Nuevo Leon, Mexico.
AB - Largemouth bass, Micropterus salmoides, is a native fish species with special
importance for sport fishing competitions in Nuevo Leon, Mexico. However, no
study has investigated the parasitic fauna of M. salmoides, and no reports are
available on monogenean parasites in this fish species. Therefore, we described
the monogenean parasites of M. salmoides and the effects of season and fish
condition factor in five reservoirs: La Boca (LB), El Cuchillo-Solidaridad (CS),
Sombreretillo (S), Laguna Salinillas (LS) and Cerro Prieto (CP). The monogeneans
infecting M. salmoides were Clavunculus unguis and Acolpenteron ureteroecetes
(collected in all localities), as well as Syncleithrium fusiformis, Haplocleidus
furcatus, Clavunculus bifurcatus and Urocleidus principalis (CS). Clavunculus
unguis had the highest prevalence in fish from all reservoirs. The abundance of
monogeneans was generally greater in late spring to autumn than in winter.
Although season was not correlated with abundance (r s = 0.0934, P < 0.0154),
the months of highest temperature (from May to September) were positively
correlated with parasite abundance. A significant association was observed
between fish condition factor and the presence of monogeneans (P < 0.05), except
for A. ureteroecetes. Our findings include five new geographic records for C.
unguis, S. fusiformis, H. furcatus and C. bifurcatus.
PMID- 26556718
TI - Cyclooxygenase-2, a Potential Therapeutic Target, Is Regulated by miR-101 in
Esophageal Squamous Cell Carcinoma.
AB - BACKGROUND & AIMS: Cyclooxygenase-2 (COX-2) is known to promote the
carcinogenesis of esophageal squamous cell carcinoma (ESCC). There are no reports
on whether microRNAs (miRNAs) regulate COX-2 expression in ESCC. This study
investigated the effect of miR-101 on ESCC through modulating COX-2 expression in
ESCC. METHODS: Real-time quantitative reverse transcription-polymerase chain
reaction (RT-PCR) was used to quantify miR-101 expression in ESCC clinical
tissues and cell lines. The effects of miR-101 on ESCC progression were evaluated
by cell counting kit-8 (CCK8), transwell migration and invasion assays, as well
as by flow cytometry. The COX-2 and PEG2 levels were determined by western blot
and enzyme-linked immunosorbent assays (ELISA). The luciferase reporter assay was
used to verify COX-2 as a direct target of miR-101. The anti-tumor activity of
miR-101 in vivo was investigated in a xenograft nude mouse model of ESCC.
RESULTS: Downregulation of miR-101 was confirmed through comparison of 30 pairs
of ESCC tumor and adjacent normal tissues (P < 0.001), as well as in 11 ESCC cell
lines and a human immortalized esophageal cell line (P < 0.001). Transfection of
miR-101 in ESCC cell lines significantly suppressed cell proliferation,
migration, and invasion (all P < 0.001). The antitumor effect of miR-101 was
verified in a xenograft model. Furthermore, COX-2 was shown to be a target of miR
101. CONCLUSIONS: Overexpression of miR-101 in ESCC inhibits proliferation and
metastasis. Therefore, the miR-101/COX-2 pathway might be a therapeutic target in
ESCC.
PMID- 26556720
TI - Correction: Effect of Risk of Bias on the Effect Size of Meta-Analytic Estimates
in Randomized Controlled Trials in Periodontology and Implant Dentistry.
PMID- 26556722
TI - Obesity and the Relative Risk of Knee Replacement Surgery in Patients With Knee
Osteoarthritis: A Prospective Cohort Study.
AB - OBJECTIVE: It is unclear what impact obesity has on the progression of knee
osteoarthritis (OA) from diagnosis to knee replacement surgery. This study was
undertaken to examine the relative risk of knee replacement surgery in overweight
and obese patients who were newly diagnosed as having knee OA in a community
setting. METHODS: Subjects were selected from the Information System for
Development of Primary Care Research database, which compiles comprehensive
clinical information collected by health care professionals for >5.5 million
people in Catalonia, Spain (80% of the population). Patients newly diagnosed as
having knee OA in primary care between 2006 and 2011 were included. Knee
replacement was ascertained using International Classification of Diseases, Ninth
Revision, Clinical Modification codes from linked hospital admissions data.
Multivariable Cox regression models were fitted for knee replacement according to
body mass index (BMI), and were adjusted for relevant confounders. Population
proportional attributable risk was calculated. RESULTS: A total of 105,189
participants were followed up for a median of 2.6 years (interquartile range 1.3
4.2). Of these patients, 7,512 (7.1%) underwent knee replacement. Adjusted hazard
ratios and 95% confidence intervals (95% CIs) for knee replacement for the World
Health Organization BMI categories were 1.41 (95% CI 1.27-1.57) for overweight,
1.97 (95% CI 1.78-2.18) for obese I, 2.39 (95% CI 2.15-2.67) for obese II, and
2.67 (95% CI 2.34-3.04) for obese III compared to normal weight. The effect of
BMI on risk of knee replacement was stronger among younger participants. The
population attributable risk of obesity for knee OA-related knee replacement was
31.0%. CONCLUSION: Overweight and obese patients are at >40% and 100% increased
risk of knee replacement surgery, respectively, compared to patients with normal
weight. This association is even stronger in younger patients. Weight reduction
strategies could potentially reduce the need for knee replacement surgery by 31%
among patients with knee OA.
PMID- 26556721
TI - Schisandrin B Ameliorates ICV-Infused Amyloid beta Induced Oxidative Stress and
Neuronal Dysfunction through Inhibiting RAGE/NF-kappaB/MAPK and Up-Regulating
HSP/Beclin Expression.
AB - Amyloid beta (Abeta)-induced neurotoxicity is a major pathological mechanism of
Alzheimer's disease (AD). Our previous studies have demonstrated that schisandrin
B (Sch B), an antioxidant lignan from Schisandra chinensis, could protect mouse
brain against scopolamine- and cisplatin-induced neuronal dysfunction. In the
present study, we examined the protective effect of Sch B against
intracerebroventricular (ICV)-infused Abeta-induced neuronal dysfunction in rat
cortex and explored the potential mechanism of its action. Our results showed
that 26 days co-administration of Sch B significantly improved the behavioral
performance of Abeta (1-40)-infused rats in step-through test. At the same time,
Sch B attenuated Abeta-induced increases in oxidative and nitrosative stresses,
inflammatory markers such as inducible nitric oxide syntheses, cyclooxygenase-2,
interleukin-1beta (IL-1beta), IL-6, and tumor necrosis factor-alpha, and DNA
damage. Several proteins such as receptor for advanced glycation end products
(RAGE), nuclear factor-kappaB, mitogen-activated protein kinases, and apoptosis
markers were over expressed in Abeta-infused rats but were significantly
inhibited by Sch B treatment. Furthermore, Sch B negatively modulated the Abeta
level with simultaneous up-regulation of HSP70 and beclin, autophagy markers in
Abeta-infused rats. The aforementioned effects of Sch B suggest its protective
role against Abeta-induced neurotoxicity through intervention in the negative
cycle of RAGE-mediated Abeta accumulation during AD patho-physiology.
PMID- 26556723
TI - Targeted drug delivery using genetically engineered diatom biosilica.
AB - The ability to selectively kill cancerous cell populations while leaving healthy
cells unaffected is a key goal in anticancer therapeutics. The use of nanoporous
silica-based materials as drug-delivery vehicles has recently proven successful,
yet production of these materials requires costly and toxic chemicals. Here we
use diatom microalgae-derived nanoporous biosilica to deliver chemotherapeutic
drugs to cancer cells. The diatom Thalassiosira pseudonana is genetically
engineered to display an IgG-binding domain of protein G on the biosilica
surface, enabling attachment of cell-targeting antibodies. Neuroblastoma and B
lymphoma cells are selectively targeted and killed by biosilica displaying
specific antibodies sorbed with drug-loaded nanoparticles. Treatment with the
same biosilica leads to tumour growth regression in a subcutaneous mouse
xenograft model of neuroblastoma. These data indicate that genetically engineered
biosilica frustules may be used as versatile 'backpacks' for the targeted
delivery of poorly water-soluble anticancer drugs to tumour sites.
PMID- 26556724
TI - Interaction of ApoA-IV with NR4A1 and NR1D1 Represses G6Pase and PEPCK
Transcription: Nuclear Receptor-Mediated Downregulation of Hepatic
Gluconeogenesis in Mice and a Human Hepatocyte Cell Line.
AB - We have previously shown that the nuclear receptor, NR1D1, is a cofactor in ApoA
IV-mediated downregulation of gluconeogenesis. Nuclear receptor, NR4A1, is
involved in the transcriptional regulation of various genes involved in
inflammation, apoptosis, and glucose metabolism. We investigated whether NR4A1
influences the effect of ApoA-IV on hepatic glucose metabolism. Our in situ
proximity ligation assays and coimmunoprecipitation experiments indicated that
ApoA-IV colocalized with NR4A1 in human liver (HepG2) and kidney (HEK-293) cell
lines. The chromatin immunoprecipitation experiments and luciferase reporter
assays indicated that the ApoA-IV and NR4A1 colocalized at the RORalpha response
element of the human G6Pase promoter, reducing its transcriptional activity. Our
RNA interference experiments showed that knocking down the expression of NR4A1 in
primary mouse hepatocytes treated with ApoA-IV increased the expression of NR1D1,
G6Pase, and PEPCK, and that knocking down NR1D1 expression increased the level of
NR4A1. We also found that ApoA-IV induced the expression of endogenous NR4A1 in
both cultured primary mouse hepatocytes and in the mouse liver, and decreased
glucose production in primary mouse hepatocytes. Our findings showed that ApoA-IV
colocalizes with NR4A1, which suppresses G6Pase and PEPCK gene expression at the
transcriptional level, reducing hepatic glucose output and lowering blood
glucose. The ApoA-IV-induced increase in NR4A1 expression in hepatocytes mediates
further repression of gluconeogenesis. Our findings suggest that NR1D1 and NR4A1
serve similar or complementary functions in the ApoA-IV-mediated regulation of
gluconeogenesis.
PMID- 26556725
TI - Prospective function of FtsZ proteins in the secondary plastid of
chlorarachniophyte algae.
AB - BACKGROUND: Division of double-membraned plastids (primary plastids) is performed
by constriction of a ring-like division complex consisting of multiple plastid
division proteins. Consistent with the endosymbiotic origin of primary plastids,
some of the plastid division proteins are descended from cyanobacterial cell
division machinery, and the others are of host origin. In several algal lineages,
complex plastids, the "secondary plastids", have been acquired by the
endosymbiotic uptake of primary plastid-bearing algae, and are surrounded by
three or four membranes. Although homologous genes for primary plastid division
proteins have been found in genome sequences of secondary plastid-bearing
organisms, little is known about the function of these proteins or the mechanism
of secondary plastid division. RESULTS: To gain insight into the mechanism of
secondary plastid division, we characterized two plastid division proteins, FtsZD
1 and FtsZD-2, in chlorarachniophyte algae. FtsZ homologs were encoded by the
nuclear genomes and carried an N-terminal plastid targeting signal.
Immunoelectron microscopy revealed that both FtsZD-1 and FtsZD-2 formed a ring
like structure at the midpoint of bilobate plastids with a projecting pyrenoid in
Bigelowiella natans. The ring was always associated with a shallow plate-like
invagination of the two innermost plastid membranes. Furthermore, gene expression
analysis confirmed that transcripts of ftsZD genes were periodically increased
soon after cell division during the B. natans cell cycle, which is not consistent
with the timing of plastid division. CONCLUSIONS: Our findings suggest that
chlorarachniophyte FtsZD proteins are involved in partial constriction of the
inner pair of plastid membranes, but not in the whole process of plastid
division. It is uncertain how the outer pair of plastid membranes is constricted,
and as-yet-unknown mechanism is required for the secondary plastid division in
chlorarachniophytes.
PMID- 26556726
TI - The neuroprotection of cannabidiol against MPP+-induced toxicity in PC12 cells
involves trkA receptors, upregulation of axonal and synaptic proteins,
neuritogenesis, and might be relevant to Parkinson's disease.
AB - Cannabidiol (CBD) is a non-psychoactive constituent of Cannabis sativa with
potential to treat neurodegenerative diseases. Its neuroprotection has been
mainly associated with anti-inflammatory and antioxidant events; however, other
mechanisms might be involved. We investigated the involvement of neuritogenesis,
NGF receptors (trkA), NGF, and neuronal proteins in the mechanism of
neuroprotection of CBD against MPP(+) toxicity in PC12 cells. CBD increased cell
viability, differentiation, and the expression of axonal (GAP-43) and synaptic
(synaptophysin and synapsin I) proteins. Its neuritogenic effect was not
dependent or additive to NGF, but it was inhibited by K252a (trkA inhibitor). CBD
did not increase the expression of NGF, but protected against its decrease
induced by MPP(+), probably by an indirect mechanism. We also evaluated the
neuritogenesis in SH-SY5Y cells, which do not express trkA receptors. CBD did not
induce neuritogenesis in this cellular model, which supports the involvement of
trkA receptors. This is the first study to report the involvement of neuronal
proteins and trkA in the neuroprotection of CBD. Our findings suggest that CBD
has a neurorestorative potential independent of NGF that might contribute to its
neuroprotection against MPP(+), a neurotoxin relevant to Parkinson's disease.
PMID- 26556727
TI - Comparison of Intelligibility Measures for Adults With Parkinson's Disease,
Adults With Multiple Sclerosis, and Healthy Controls.
AB - PURPOSE: This study obtained judgments of sentence intelligibility using
orthographic transcription for comparison with previously reported
intelligibility judgments obtained using a visual analog scale (VAS) for
individuals with Parkinson's disease and multiple sclerosis and healthy controls
(K. Tjaden, J. E. Sussman, & G. E. Wilding, 2014). METHOD: Speakers read Harvard
sentences in habitual, clear, loud, and slow conditions. Sentence stimuli were
equated for peak intensity and mixed with multitalker babble. A total of 50
listeners orthographically transcribed sentences. Procedures were identical to
those for a VAS reported in Tjaden, Sussman, and Wilding (2014). RESULTS: The
percent correct scores from transcription were significantly higher in magnitude
than the VAS scores. Multivariate linear modeling indicated that the pattern of
findings for transcription and VAS was virtually the same with respect to
differences among groups and speaking conditions. Correlation analyses further
indicated a moderately strong, positive relationship between the two metrics. The
majority of these correlations were significant. Last, intrajudge and interjudge
listener reliability metrics for the two intelligibility tasks were comparable.
CONCLUSION: Results suggest that there may be instances when the less time
consuming VAS task may be a viable substitute for an orthographic transcription
task when documenting intelligibility in mild dysarthria.
PMID- 26556728
TI - Sleep Homeostasis and General Anesthesia: Are Fruit Flies Well Rested after
Emergence from Propofol?
AB - BACKGROUND: Shared neurophysiologic features between sleep and anesthetic-induced
hypnosis indicate a potential overlap in neuronal circuitry underlying both
states. Previous studies in rodents indicate that preexisting sleep debt
discharges under propofol anesthesia. The authors explored the hypothesis that
propofol anesthesia also dispels sleep pressure in the fruit fly. To the authors'
knowledge, this constitutes the first time propofol has been tested in the
genetically tractable model, Drosophila melanogaster. METHODS: Daily sleep was
measured in Drosophila by using a standard locomotor activity assay. Propofol was
administered by transferring flies onto food containing various doses of propofol
or equivalent concentrations of vehicle. High-performance liquid chromatography
was used to measure the tissue concentrations of ingested propofol. To determine
whether propofol anesthesia substitutes for natural sleep, the flies were
subjected to 10-h sleep deprivation (SD), followed by 6-h propofol exposure, and
monitored for subsequent sleep. RESULTS: Oral propofol treatment causes
anesthesia in flies as indicated by a dose-dependent reduction in locomotor
activity (n = 11 to 41 flies from each group) and increased arousal threshold (n
= 79 to 137). Recovery sleep in flies fed propofol after SD was delayed until
after flies had emerged from anesthesia (n = 30 to 48). SD was also associated
with a significant increase in mortality in propofol-fed flies (n = 44 to 46).
CONCLUSIONS: Together, these data indicate that fruit flies are effectively
anesthetized by ingestion of propofol and suggest that homologous molecular and
neuronal targets of propofol are conserved in Drosophila. However, behavioral
measurements indicate that propofol anesthesia does not satisfy the homeostatic
need for sleep and may compromise the restorative properties of sleep.
PMID- 26556729
TI - Patient-controlled Analgesia with Target-controlled Infusion of Hydromorphone in
Postoperative Pain Therapy.
AB - BACKGROUND: Patient-controlled analgesia (PCA) is a common method for
postoperative pain therapy, but it is characterized by large variation of plasma
concentrations. PCA with target-controlled infusion (TCI-PCA) may be an
alternative. In a previous analysis, the authors developed a pharmacokinetic
model for hydromorphone. In this secondary analysis, the authors investigated the
feasibility and efficacy of TCI-PCA for postoperative pain therapy with
hydromorphone. METHODS: Fifty adult patients undergoing cardiac surgery were
enrolled in this study. Postoperatively, hydromorphone was applied intravenously
during three sequential periods: (1) as TCI with plasma target concentrations of
1 to 2 ng/ml until extubation; (2) as TCI-PCA with plasma target concentrations
between 0.8 and 10 ng/ml during the following 6 to 8 h; and (3) thereafter as PCA
with a bolus dose of 0.2 mg until the next morning. During TCI-PCA, pain was
regularly assessed using the 11-point numerical rating scale (NRS). A
pharmacokinetic/pharmacodynamic model was developed using ordinal logistic
regression based on measured plasma concentrations. RESULTS: Data of 43 patients
aged 40 to 81 yr were analyzed. The hydromorphone dose during TCI-PCA was 0.26
mg/h (0.07 to 0.93 mg/h). The maximum plasma target concentration during TCI-PCA
was 2.3 ng/ml (0.9 to 7.0 ng/ml). The NRS score under deep inspiration was less
than 5 in 83% of the ratings. Nausea was present in 30%, vomiting in 9%, and
respiratory insufficiency in 5% of the patients. The EC50 of hydromorphone for
NRS of 4 or less was 4.1 ng/ml (0.6 to 12.8 ng/ml). CONCLUSION: TCI-PCA with
hydromorphone offered satisfactory postoperative pain therapy with moderate side
effects.
PMID- 26556730
TI - Long-term Survival for Patients Undergoing Volatile versus IV Anesthesia for
Cancer Surgery: A Retrospective Analysis.
AB - BACKGROUND: Surgical resection remains the best option for long-term survival in
many solid tumors. Surgery can, however, lead to tumor cell release into the
circulation. Data have suggested differential effects of anesthetic agents on
cancer cell growth. This retrospective analysis investigated the association of
anesthetic technique with long-term survival in patients presenting for elective
surgery in a comprehensive cancer center over 3 yr. METHODS: All patients
undergoing elective surgery between June 2010 and May 2013 were included.
Patients were grouped according to whether they had received volatile
inhalational (INHA) or total IV anesthesia (TIVA). After excluding those who
received both forms of anesthesia during the study period, Kaplan-Meier survival
curves were constructed from the date of surgery to death. After propensity
matching, univariate and multivariable regression models were used to compare
hazard ratios for death. RESULTS: A total of 11,395 anesthetics using INHA or
TIVA were delivered in the study period. After exclusions, 3,316 patients (796
deaths, 24%) remained in the INHA group and 3,714 (504 deaths, 13.5%) in the TIVA
group. After propensity matching, 2,607 patients remained in each group (597
deaths, 22.8%, in INHA group vs. 407, 15.6%, in TIVA group). Volatile
inhalational anesthesia was associated with a hazard ratio of 1.59 (1.30 to 1.95)
for death on univariate analysis and 1.46 (1.29 to 1.66) after multivariable
analysis of known confounders in the matched group. CONCLUSIONS: This
retrospective analysis demonstrates an association between type of anesthetic
delivered and survival. This analysis alongside biological plausibility should
lead to urgent prospective work exploring the effect of anesthetic technique on
survival.
PMID- 26556732
TI - Exercise for the skeleton in postmenopausal women: fundamental rules of
mechanical strain-related stimulus.
PMID- 26556731
TI - Low Dose Focused Ultrasound Induces Enhanced Tumor Accumulation of Natural Killer
Cells.
AB - Natural killer (NK) cells play a vital antitumor role as part of the innate
immune system. Efficacy of adoptive transfer of NK cells depends on their ability
to recognize and target tumors. We investigated whether low dose focused
ultrasound with microbubbles (ldbFUS) could facilitate the targeting and
accumulation of NK cells in a mouse xenograft of human colorectal adenocarcinoma
(carcinoembryonic antigen (CEA)-expressing LS-174T implanted in NOD.Cg
PrkdcscidIl2rgtm1Wjl/SzJ (NSG) mice) in the presence of an anti-CEA
immunocytokine (ICK), hT84.66/M5A-IL-2 (M5A-IL-2). Human NK cells were labeled
with an FDA-approved ultra-small superparamagnetic iron oxide particle,
ferumoxytol. Simultaneous with the intravenous injection of microbubbles, focused
ultrasound was applied to the tumor. In vivo longitudinal magnetic resonance
imaging (MRI) identified enhanced accumulation of NK cells in the ensonified
tumor, which was validated by endpoint histology. Significant accumulation of NK
cells was observed up to 24 hrs at the tumor site when ensonified with 0.50 MPa
peak acoustic pressure ldbFUS, whereas tumors treated with at 0.25 MPa showed no
detectable NK cell accumulation. These clinically translatable results show that
ldbFUS of the tumor mass can potentiate tumor homing of NK cells that can be
evaluated non-invasively using MRI.
PMID- 26556733
TI - Effects of zoledronic acid on bone fusion in osteoporotic patients after lumbar
fusion.
AB - Treatment with zoledronic acid in osteoporotic patients with spinal fusion
shortens the duration of time to fusion, improves the fusion rate, prevents the
subsequent adjacent vertebral compression fractures, improves the clinical
outcomes, and prevents immobilization-induced bone loss in the hip. INTRODUCTION:
The objective of the study was to explore the effects of zoledronic acid on the
healing process in osteoporotic patients following spinal fusion in a randomized,
placebo-controlled, and triple-blinded study. METHODS: Seventy-nine osteoporotic
patients with single-level degenerative spondylolisthesis were randomly assigned
to receive either zoledronic acid infusion (zoledronic acid group) or saline
infusion (controls) after spinal fusion. Functional radiography and CT scans were
used to evaluate fusion status. Bone formation was graded into three categories:
Grade A (bridging bone bonding with adjacent vertebral bodies), Grade B (bridging
bone bonding with either superior or inferior vertebral body), or Grade C
(incomplete bony bridging). A solid fusion was defined as less than 5 degrees of
angular motion with Grade A or B bone formation. Adjacent vertebral compression
fractures (VCF) were assessed on MRI at 12 months after surgery. Serum level of
carboxy terminal cross-linked telopeptide of type I collagen (beta-CTX) and amino
terminal propeptide of type I procollagen (PINP) was measured. Bone mineral
density (BMD) was measured by DXA. Oswestry Disability Index (ODI) was used to
assess the clinical outcomes. RESULTS: Grade A or B bridging bone was more
frequently observed in zoledronic acid group at 3, 6, and 9 months post-operation
compared to the control group (p < 0.05). At 12 -months post-operation, bridging
bone and solid fusion were not significantly different between groups. No
patients in zoledronic acid group showed aVCF, whereas six patients (17 %) in the
control group did (p < 0.05). Both beta-CTX and PINP were suppressed in
zoledronic acid group. BMD at the femoral neck decreased rapidly and did not
return to the preoperative level in the controls at 3 (-1.4 %), 6 (-2.5 %), and
12 (-0.8 %) months after surgery. Zoledronic acid prevented this immobilization
induced bone loss and increased BMD. ODI showed the improved clinical outcomes
compared with controls at 9 and 12 months post-surgery. CONCLUSION: Treatment
with zoledronic acid in osteoporotic patients with spinal fusion shortens the
time to fusion, improves the fusion rate, prevents subsequent aVCFs, and improves
clinical outcomes.
PMID- 26556734
TI - Accuracy of densitometric vertebral fracture assessment when performed by DXA
technicians--a cross-sectional, multiobserver study.
AB - Six dual-energy X-ray absorptiometry (DXA) technicians reviewed lateral images of
the spine for deformed vertebrae. The images were acquired with a DXA scanner in
235 patients referred for osteoporosis assessment. The outcome was compared to
findings on spinal radiographs assessed by two radiologists. Three DXA
technicians performed acceptable or better in identifying patients with fractured
vertebrae. INTRODUCTION: This is the first study to evaluate the accuracy of
vertebral fracture assessment (VFA) when used by DXA technicians as a triage test
to select patients with deformed vertebrae for spinal radiographs. METHODS:
Lateral single-energy scans and radiographs of the thoracolumbar spine (T4-L4)
were acquired in 235 patients aged 65 years or more referred for osteoporosis
assessment. Six DXA technicians evaluated lateral scans using dedicated software.
The DXA technicians were trained to identify deformed vertebrae, but they did not
assess the aetiology of deformity. Two radiologists evaluated the radiographs and
their consensus evaluation served as the reference test for vertebral fracture.
The main outcome was sensitivity and specificity of the DXA technicians'
identification of patients with one or more grade II-III deformities according to
Genant's classification. RESULTS: The proportion of patients with one or more
grade II-III vertebral fractures was 0.35. Sensitivity ranged from 0.61 to 0.83
and specificity ranged from 0.78 to 0.95 across the DXA technicians. In patients
with grade II-III deformities on VFA, the mean probability of one or more grade
II-III fractures was 0.74 (range 0.66-0.86). Conversely, in patients without such
deformities, the mean probability of grade II-III fractures was 0.14 (range 0.10
0.18). Accuracy was lower for grade I-III deformities for all the DXA
technicians. CONCLUSION: Three of six DXA technicians achieved acceptable or
better accuracy when using VFA to triage patients with grade II-III vertebral
deformities for spinal radiographs. Heterogeneity between DXA technicians appears
to be due to differences in subjective thresholds. VFA triage by DXA technicians
to identify patients with grade II-III fractures is feasible.
PMID- 26556735
TI - Calcium, proton pump inhibitors, and fracture risk.
PMID- 26556736
TI - A phase 2 study of MK-5442, a calcium-sensing receptor antagonist, in
postmenopausal women with osteoporosis after long-term use of oral
bisphosphonates.
AB - In women with osteoporosis treated with alendronate for >12 months and oral
bisphosphonates for >3 of the last 4 years, switching to MK-5442, a calcium
receptor antagonist, stimulated endogenous parathyroid hormone (PTH) secretion
and increased bone turnover marker levels, but produced a decline in bone mineral
density (BMD) at all sites. INTRODUCTION: This study assessed the effects of
switching from long-term oral bisphosphonate therapy to the calcium-sensing
receptor antagonist MK-5442 on BMD and bone turnover markers (BTMs) in post
menopausal women with osteoporosis. METHODS: This randomized, active and placebo
controlled, dose-ranging study enrolled 526 postmenopausal women, who had taken
alendronate (ALN) for >=12 months preceding the trial and any oral bisphosphonate
for >=3 of the preceding 4 years and had spine or hip BMD T-scores <=-2.5 or <=
1.5 with >=1 prior fragility fracture. Women were randomized to continue ALN 70
mg weekly or switch to MK-5442 (5, 7.5, 10, or 15 mg daily) or placebo. RESULTS:
Switching from ALN to MK-5442 produced a dose-dependent parathyroid hormone (PTH)
pulse of threefold to sixfold above baseline at 1 h, with PTH levels that
remained twofold to threefold above baseline at 4 h and returned to baseline by
24 h. Switching to MK-5442 or placebo increased BTM levels compared to baseline
within 3 months and MK-5442 10 mg increased BTM levels compared to placebo by 6
months. With all MK-5442 doses and placebo, spine and hip BMD declined from
baseline, and at 12 months, BMD levels were below those who continued ALN (all
groups P < 0.05 vs ALN). There was also a dose-dependent increase in the
incidence of hypercalcemia with MK-5442. CONCLUSION: Switching from ALN to MK
5442 resulted in a pulsatile increase in PTH and increases in BTMs, but a decline
in BMD compared with continued ALN. MK-5442 is not a viable option for the
treatment of osteoporosis.
PMID- 26556737
TI - Patients with prior vertebral or hip fractures treated with teriparatide in the
Direct Assessment of Nonvertebral Fractures in Community Experience (DANCE)
observational study.
AB - SUMMARY: In patients in the Direct Assessment of Nonvertebral Fractures in
Community Experience (DANCE) observational study with and without a prior
vertebral or hip fracture, the incidence of nonvertebral fractures was lower with
>6 months of teriparatide treatment than during the first 6 months. INTRODUCTION:
Clinical evidence on the effect of teriparatide in patients with prior fracture
is limited. In the DANCE observational study, the incidence of nonvertebral
fragility fractures (NVFX) decreased significantly in patients receiving
teriparatide for >6 months (6-24 months) versus >0 to <=6 months (reference
period). METHODS: We performed a post hoc analysis to assess the effect of
teriparatide 20 MUg/day in patients who entered DANCE with prior vertebral or hip
fractures. The incidence of patients experiencing a NVFX for four 6-month
intervals during and after treatment was compared with the reference period.
RESULTS: Overall, 4085 patients received >=1 dose of teriparatide. Of 3720 with
sufficient data for efficacy analysis, 692 had prior vertebral fracture,
including 179 with previous kyphoplasty/vertebroplasty; 290 had prior hip
fracture. These patients were older, and those with prior vertebral fractures had
more comorbid conditions at baseline than those without prior vertebral
fractures. The incidence of patients experiencing NVFX declined over time in all
patient groups. The fracture incidence rate declined 49 and 46%, respectively, in
patients with and without prior vertebral fracture and was 63 and 46% lower in
patients with previous kyphoplasty/vertebroplasty and without prior vertebral
fracture. NVFX declined 43 and 48% in patients with and without prior hip
fracture. The reduced incidence over time was consistent in the subgroups (all
interaction p values >0.05). Patients with prior fracture were more likely to
experience serious adverse events. CONCLUSION: The incidence of NVFX decreased
over time in patients receiving teriparatide in DANCE regardless of prior
fracture status.
PMID- 26556738
TI - An exploration of barriers and facilitators to older adults' participation in
higher impact physical activity and bone health: a qualitative study.
AB - SUMMARY: This qualitative study explored the acceptability of high-impact
physical activity for increasing bone strength in later life. Thematic analysis
established the barriers and facilitators to this physical activity. They
prioritised joint over skeletal health, of which they had little concept.
Interventions need to clearly communicate the rationale and benefits.
INTRODUCTION: The aim of this study was to explore the acceptability of doing
high-impact physical activity in later life. METHODS: This qualitative study was
embedded within a large-scale observational study and was designed to address
specific objectives and feed into a subsequent intervention. Five focus groups
with physically active men and women (over 50 years) were used to develop an
interview topic guide to explore the acceptability of high-impact physical
activity in older men and women (over 65 years) in South West England. A total of
28 semi-structured interviews with 31 participants were then conducted and
transcripts analysed thematically. RESULTS: Three main barriers emerged:
conceptualising bone, damage to joints and falling/safety concerns. Two main
facilitators were also identified: the need to understand clear tangible benefits
and incorporation of activity into everyday habits. Older adults were interested
how high-impact physical activity would help to maintain their mobility,
independence or social relationships. Some participants wanted tangible feedback
from accelerometers, health care professionals and/or bone scans in order to
develop a more intimate knowledge of their bone health. CONCLUSIONS:
Interventions incorporating high-impact physical activity for older adults need
to communicate how this activity can impact more broadly on health and lives;
that physical activity will be safe, beneficial and not damaging to their joints
will need to be clearly conveyed. Ways in which high-impact physical activity can
be habitualised into everyday activities, be fun and interactive may help
facilitate longer term adoption.
PMID- 26556739
TI - Glucocorticoids impair bone formation of bone marrow stromal stem cells by
reciprocally regulating microRNA-34a-5p.
AB - The inhibitory effects of glucocorticoids (GCs) on bone marrow stromal stem cell
(BMSC) proliferation and osteoblastic differentiation are an important pathway
through which GCs decrease bone formation. We found that microRNA-34a-5p was a
critical player in dexamethasone (Dex)-inhibited BMSC proliferation and
osteogenic differentiation. MicroRNA-34a-5p might be used as a therapeutic target
for GC-impaired bone formation. INTRODUCTION: The inhibitory effects of
glucocorticoids (GCs) on bone marrow stromal stem cell (BMSC) proliferation and
osteoblastic differentiation are an important pathway through which GCs decrease
bone formation. The mechanisms of this process are still not completely
understood. Recent studies implicated an important role of microRNAs in GC
mediated responses in various cellular processes, including cell proliferation
and differentiation. Therefore, we hypothesized that these regulatory molecules
might be implicated in the process of GC-decreased BMSC proliferation and
osteoblastic differentiation. METHODS: Western blot, quantitative real-time PCR,
and cell proliferation and osteoblastic differentiation assays were employed to
investigate the role of microRNAs in GC-inhibited BMSC proliferation and
osteoblastic differentiation. RESULTS: We found that microRNA-34a-5p was
reciprocally regulated by Dex during the process of BMSC proliferation and
osteoblastic differentiation. Furthermore, we confirmed that microRNA-34a-5p was
a critical player in Dex-inhibited BMSC proliferation and osteogenic
differentiation. Mechanistic studies showed that Dex inhibited BMSC proliferation
by microRNA-34a-5p targeting cell cycle factors, including CDK4, CDK6, and Cyclin
D1. Furthermore, downregulation of microRNA-34a-5p by Dex leads to Notch
signaling activation, resulting in inhibition of BMSC osteogenic differentiation.
CONCLUSIONS: These results showed that microRNA-34a-5p, a crucial regulator for
BMSC proliferation and osteogenic differentiation, might be used as a therapeutic
target for GC-impaired bone formation.
PMID- 26556740
TI - Bilateral distal fibula fractures in a woman on long-term bisphosphonate therapy.
AB - We report the case of a 53-year-old female, treated by bisphosphonate for 12
years, who presented atraumatic fractures of both fibulas. Her X-rays showed
bilateral distal fibula fractures with radiological features similar to atypical
femur fractures. The distal fibula should be considered as a potential site for
stress fractures in bisphosphonate users. Bisphosphonates are the most widely
used drugs in the treatment of osteoporosis. During the last decade, the
occurrence of atypical fractures, mostly subtrochanteric and diaphyseal femoral
fractures, has been acknowledged in patients with long-term use of
bisphosphonates. We report the case of a 53-year-old female on alendronate
therapy for the past 12 years who presented with a few months history of
atraumatic right, and subsequently left, lateral ankle pain. Her X-rays showed
bilateral distal fibula fractures with radiological features similar to atypical
femur fractures. She had been treated conservatively with walking boots and her
treatment with bisphosphonate had been stopped 5 months prior to the fractures.
Callus was progressively seen on serial follow-up X-rays, and both fractures
healed completely within a reasonable period of 1 year. Investigations did not
reveal any secondary causes of osteoporosis or metabolic bone disorders. To our
knowledge, this is the first reported case of bilateral distal fibula fractures
in a patient on long-term bisphosphonate therapy.
PMID- 26556741
TI - New method for point-of-care osteoporosis screening and diagnostics.
AB - SUMMARY: Due to the lack of diagnostics in primary health care, over 75% of
osteoporotic patients are not diagnosed. A new ultrasound method for primary
health care is proposed. Results suggest applicability of ultrasound method for
osteoporosis diagnostics at primary health care. INTRODUCTION: We lack effective
screening and diagnostics of osteoporosis at primary health care. In this study,
a new ultrasound (US) method is proposed for osteoporosis diagnostics. METHODS: A
total of 572 Caucasian women (age 20 to 91 years) were examined using pulse-echo
US measurements in the tibia and radius. This method provides an estimate of bone
mineral density (BMD), i.e. density index (DI). Areal BMD measurements at the
femoral neck (BMD(neck)) and total hip (BMD(total)) were determined by using
axial dual-energy X-ray absorptiometry (DXA) for women older than 50 years of age
(n = 445, age = 68.8 +/- 8.5 years). The osteoporosis thresholds for the DI were
determined according to the International Society for Clinical Densitometry
(ISCD). Finally, the FRAX questionnaire was completed by 425 participants.
RESULTS: Osteoporosis was diagnosed in individuals with a T-score -2.5 or less in
the total hip or femoral neck (n = 75). By using the ISCD approach for the DI,
only 28.7% of the subjects were found to require an additional DXA measurement.
Our results suggest that combination of US measurement and FRAX in osteoporosis
management pathways would decrease the number of DXA measurements to 16% and the
same treatment decisions would be reached at 85.4% sensitivity and 78.5%
specificity levels. CONCLUSIONS: The present results demonstrate a significant
correlation between the ultrasound and DXA measurements at the proximal femur.
The thresholds presented here with the application to current osteoporosis
management pathways show promise for the technique to significantly decrease the
amount of DXA referrals and increase diagnostic coverage; however, these results
need to be confirmed in future studies.
PMID- 26556743
TI - Pesticide fate modeling in soils with the crop model STICS: Feasibility for
assessment of agricultural practices.
AB - Numerous pesticide fate models are available, but few of them are able to take
into account specific agricultural practices, such as catch crop, mixing crops or
tillage in their predictions. In order to better integrate crop management and
crop growth in the simulation of diffuse agricultural pollutions, and to manage
both pesticide and nitrogen pollution, a pesticide fate module was implemented in
the crop model STICS. The objectives of the study were: (i) to implement a
pesticide fate module in the crop model STICS; (ii) to evaluate the model
performance using experimental data from three sites with different pedoclimatic
contexts, one in The Netherlands and two in northern France; (iii) to compare the
simulations with several pesticide fate models; and (iv) to test the impact of
specific agricultural practices on the transfer of the dissolved fraction of
pesticides. The evaluations were carried out with three herbicides: bentazone,
isoproturon, and atrazine. The strategy applied in this study relies on a
noncalibration approach and sensitivity test to assess the operating limits of
the model. To this end, the evaluation was performed with default values found in
the literature and completed by sensitivity tests. The extended version of the
STICS named STICS-Pest, shows similar results with other pesticide fate models
widely used in the literature. Moreover, STICS-Pest was able to estimate
realistic crop growth and catch crop dynamic, which thus illustrate agricultural
practices leading to a reduction of nitrate and a change in pesticide leaching.
The dynamic plot-scale model, STICS-Pest is able to simulate nitrogen and
pesticide fluxes, when the hydrologic context is in the validity range of the
reservoir (or capacity) model. According to these initial results, the model may
be a relevant tool for studying the effect of long-term agricultural practices on
pesticide residue dynamics in soil and the associated diffuse pollution transfer.
PMID- 26556744
TI - Screening of native plant species for phytoremediation potential at a Hg
contaminated mining site.
AB - Artisanal and small-scale gold mining (ASGM) is the largest sector of demand for
mercury (Hg), and therefore, one of the major sources of Hg pollution in the
environment. This study was conducted in the Alacran gold-mining site, one of the
most important ASGM sites in Colombia, to identify native plant species growing
in Hg-contaminated soils used for agricultural purposes, and to assess their
potential as phytoremediation systems. Twenty-four native plant species were
identified and analysed for total Hg (THg) in different tissues (roots, stems,
and leaves) and in underlying soils. Accumulation factors (AF) in the shoots,
translocation (TF) from roots to shoots, and bioconcentration (BCF) from soil-to
roots were determined. Different tissues from all plant species were classified
in the order of decreasing accumulation of Hg as follows: roots > leaves > stems.
THg concentrations in soil ranged from 230 to 6320 ng g(-1). TF values varied
from 0.33 to 1.73, with high values in the lower Hg-contaminated soils. No
correlation was found between soils with low concentrations of Hg and plant
leaves, indicating that TF is not a very accurate indicator, since most of the Hg
input to leaves at ASGM sites comes from the atmosphere. On the other hand, the
BCF ranged from 0.28 to 0.99, with Jatropha curcas showing the highest value.
Despite their low biomass production, several herbs and sub-shrubs are suitable
for phytoremediation application in the field, due to their fast growth and high
AF values in large and easily harvestable plant parts. Among these species, herbs
such as Piper marginathum and Stecherus bifidus, and the sub-shrubs J. curcas and
Capsicum annuum are promising native plants with the potential to be used in the
phytoremediation of soils in tropical areas that are impacted by mining.
PMID- 26556742
TI - Dietary magnesium intake, bone mineral density and risk of fracture: a systematic
review and meta-analysis.
AB - Dietary magnesium intake has been related to osteoporosis and risk of fractures
in earlier studies; however, findings were conflicting. This meta-analysis
indicated that high magnesium intake was not associated with increased risk of
fracture; however, a positive marginally significant correlation was found
between magnesium intake and bone mineral density (BMD) in total hip as well as
in femoral neck. Although there is some evidence on the association between
magnesium intake, BMD and fractures, no previous study has summarized findings in
this regard. We aimed to systematically review the current evidence on this
association and to perform a meta-analysis of observational studies. We searched
MEDLINE, Scopus, EMBASE and Google Scholar up to January 2015 for studies that
examined the relationship between magnesium intake and BMD or fracture. Studies
that had reported correlation coefficients between magnesium intake and BMD or
those that reported odds ratios (ORs) or relative risks (RRs) for risk of
fracture in different sites were included. In total, 12 studies were included in
the meta-analysis. We found that high intakes of magnesium were not significantly
associated with risk of total hip fracture (summary effect size 1.92; 95 % CI
0.81, 4.55) or total fractures (1.01; 0.94-1.07). Combining four effect sizes, a
positive marginally significant correlation was observed between magnesium intake
and total BMD (pooled r 0.16; 95 % CI 0.001, 032). Based on nine effect sizes, we
found a marginally significant association between magnesium intake and femoral
neck BMD (0.14; 0.001, 0.28). However, no significant correlation was found
between magnesium intake and BMD in lumbar spine (0.09; -0.01, 0.19). We found
that high intakes of magnesium were not associated with increased risk of hip and
total fractures. There was a positive marginally significant correlation between
magnesium intake and BMD in femoral neck and total hip. No significant
correlations were observed between magnesium intake and BMD in lumbar spine.
PMID- 26556745
TI - Characterization of successional changes in bacterial community composition
during bioremediation of used motor oil-contaminated soil in a boreal climate.
AB - The widespread use of motor oil makes it a notable risk factor to cause scattered
contamination in soil. The monitoring of microbial community dynamics can serve
as a comprehensive tool to assess the ecological impact of contaminants and their
disappearance in the ecosystem. Hence, a field study was conducted to monitor the
ecological impact of used motor oil under different perennial cropping systems
(fodder galega, brome grass, galega-brome grass mixture and bare fallow) in a
boreal climate zone. Length heterogeneity PCR characterized a successional
pattern in bacterial community following oil contamination over a four-year
bioremediation period. Soil pH and electrical conductivity were associated with
the shifts in bacterial community composition. Crops had no detectable effect on
bacterial community composition or complexity. However, the legume fodder galega
increased soil microbial biomass, expressed as soil total DNA. Oil contamination
induced an abrupt change in bacterial community composition at the early stage,
yet the effect did not last as long as the oil in soil. The successional
variation in bacterial community composition can serve as a sensitive ecological
indicator of oil contamination and remediation in situ.
PMID- 26556746
TI - Dietary items as possible sources of (137)Cs in large carnivores in the Gorski
Kotar forest ecosystem, Western Croatia.
AB - The mountain forest ecosystem of Gorski Kotar is distant from any significant
sources of environmental pollution, though recent findings have revealed that
this region is among the most intense (137)Cs contaminated area in Croatia.
Therefore, the aim of this study was to investigate (137)Cs and (40)K load in
three large predator species in the mountain forest ecosystem. Radionuclides mass
activities were determined by the gamma-spectrometric method in the muscle tissue
of brown bear (47), wolf (7), lynx (1) and golden jackal (2). The highest (137)Cs
mass activity was found in lynx (153 Bq kg(-1)), followed by brown bear (132 Bq
kg(-1)), wolf (22.2 Bq kg(-1)), and golden jackal (2.48 Bq kg(-1)). Analysis of
63 samples of dietary items suggests that they are not all potentially dominant
sources of (137)Cs for wildlife. The most important source of radionuclides for
the higher parts of the food-chain from the study area were found to be the
mushroom species wood hedgehog (Hydnum repandum), with a transfer factor TF of
5.166, and blueberry (Vaccinium myrtillus) as a plant species (TF=2.096). Food
items of animal origin indicated higher mass activity of radionuclides and
therefore are possible moderate bioindicators of environmental pollution. The
results also revealed that possible unknown wild animal food sources are a
caesium source in the study region, and further study is required to illuminate
this issue.
PMID- 26556747
TI - Kinetics of phosphorus release from sediments and its relationship with iron
speciation influenced by the mussel (Corbicula fluminea) bioturbation.
AB - The effects of bivalve (Corbicula fluminea) bioturbation on the lability of
phosphorus (P) in sediments were investigated. The high-resolution dialysis (HR
Peeper) and diffusive gradients in thin films (DGT) techniques were employed to
obtain soluble and labile P/Fe profiles at a vertical resolution of 2 and 1mm,
respectively. The bivalve bioturbation increased the concentrations of soluble
reactive P (SRP) in pore water and DGT-labile P up to 116% and 833% of the
control within the sediment depths from the sediment water interface (SWI) to -64
mm and -44 mm, respectively. The sediments with bioturbation had a smaller
distribution coefficient than the control (1964 vs. 3010 cm(3) g(-1)), reflecting
a weaker ability in retaining P. Meanwhile, the sediments with bioturbation had a
greater ratio of the concentration of DGT-labile P to that of SRP (0.20 vs.
0.03), demonstrating a stronger ability to resupply pore water SRP by the
sediment solids when they are affected by the bioturbation. The DGT-induced
fluxes in sediments (DIFS) modeling further showed a much shorter response time
(277.9 vs. 18,670 s) and a much higher rate (0.192 vs. 0.002 day(-1)) of the
solids in release of P with the bioturbation. Correspondingly, the flux of P to
the overlying water from the bioturbation treatment increased up to 157% of the
control. The bivalve bioturbation significantly increased the concentrations of
soluble Fe(II) and DGT-labile Fe up to 84% and 334% of the control from the SWI
to -46 mm, respectively. The SRP and DGT-labile P were highly correlated with
respective soluble and DGT-labile Fe. It was concluded that the release of P from
the sediments with bioturbation to the pore water and the overlying water was
promoted by the reductive dissolution of easily reducible Fe(oxyhydr)oxides due
to the depletion of oxygen in the top sediments from bivalve respiration.
PMID- 26556748
TI - Association of urban particle numbers and sources with lung function among
children with asthma or allergies.
AB - Previous studies have reported sources of particle number pollution in urban air,
but have not evaluated relationships between respiratory health and these
sources. We compared, among children with asthma or allergies, the associations
of spirometric lung functions with increased exposure to source-specific versus
size-segregated particle number concentrations (PNC). Hourly measurements of PNC
were acquired from the aerosol Supersite in New Taipei, Taiwan. Spirometry (FVC,
FEV1, and FEF) was recorded monthly for 59 children with asthma or allergies at
five schools during 2007-2008. After co-pollutant adjustment for ozone, we found
a 0.21 and 0.17 L decrease in FVC [95% confidence interval (CI): -0.35, -0.06 L]
and FEV1 (95% CI: -0.32, -0.03 L), respectively, with an interquartile range
increase (1879.7#/cm(3)) in secondary aerosol contribution observed on the
previous day. In addition, we found no significant associations of FVC with
accumulation mode (0.1 MUm=0.9991) was obtained for all the matrix
calibration curves within a range of 0.1 to 10 mg L(-1). The limits of detection
for both enantiomers were estimated to be 0.008 mg kg(-1) in soil, cucumber,
spinach and tomato and 0.012 mg kg(-1) in apple and peach, which were lower than
the maximum residue levels established in Japan. The results indicate that the
proposed method is convenient and reliable for the enantioselective detection of
ethiprole in agricultural and environmental samples. The behavior of ethiprole in
soil was studied under field conditions and the enantioselective degradation was
observed with enantiomer fraction values varying from 0.494 to 0.884 during the
experiment. The (R)-(+)-ethiprole (t1/2=11.6 d) degraded faster than (S)-(-)
ethiprole (t1/2=34.7 d). This report is the first describe a chiral analytical
method and enantioselective behavior of ethiprole, and these results should be
extremely useful for the risk evaluation of ethiprole in food and environmental
safety.
PMID- 26556750
TI - Septic tank discharges as multi-pollutant hotspots in catchments.
AB - Small point sources of pollutants such as septic tanks are recognised as
significant contributors to streams' pathogen and nutrient loadings, however
there is little data in the UK on which to judge the potential risks that septic
tank effluents (STEs) pose to water quality and human health. We present the
first comprehensive analysis of STE to help assess multi-pollutant
characteristics, management-related risk factors and potential tracers that might
be used to identify STE sources. Thirty-two septic tank effluents from
residential households located in North East of Scotland were sampled along with
adjacent stream waters. Biological, physical, chemical and fluorescence
characterisation was coupled with information on system age, design, type of
tank, tank management and number of users. Biological characterisation revealed
that total coliforms and Escherichia coli (E. coli) concentration ranges were:
10(3)-10(8) and 10(3)-10(7)MPN/100 mL, respectively. Physical parameters such as
electrical conductivity, turbidity and alkalinity ranged 160-1730 MUS/cm, 8-916
NTU and 15-698 mg/L, respectively. Effluent total phosphorus (TP), soluble
reactive P (SRP), total nitrogen (TN) and ammonium-N (NH4-N) concentrations
ranged 1-32, <1-26, 11-146 and 2-144 mg/L, respectively. Positive correlations
were obtained between phosphorus, sodium, potassium, barium, copper and
aluminium. Domestic STE may pose pollution risks particularly for NH4-N,
dissolved P, SRP, copper, dissolved N, and potassium since enrichment factors
were >1651, 213, 176, 63, 14 and 8 times that of stream waters, respectively.
Fluorescence characterisation revealed the presence of tryptophan peak in the
effluent and downstream waters but not detected upstream from the source. Tank
condition, management and number of users had influenced effluent quality that
can pose a direct risk to stream waters as multiple points of pollutants.
PMID- 26556751
TI - Characteristics of N2O production and transport within soil profiles subjected to
different nitrogen application rates in China.
AB - To better understand the effect of N fertilizer on the responses of subsoil N2O
to N2O emissions in a high-yield plot, we investigated the subsurface N2O
concentrations at seven mineral soil depths and analyzed the subsoil N2O fluxes
between soil horizons. This study was conducted from 2012 to 2013 in farmland
located in the semi-humid area of the Changwu station, Shaanxi, and the results
showed that the application of N fertilizer triggered the highest amount of N2O
production and effluxes in the various soil layers. With an increase of N
fertilizer, N2O effluxes and production significantly increased; the mean
variation of 380 kg N ha(-1) treatment was much greater than that of 250 kg N ha(
1) treatment, particularly after fertilization during the maize growing season
(MS). N2O concentrations increased within 30 cm and maintained low and stable
values. However, N2O fluxes and production decreased with depth (below 30 cm) and
then remained low (approximately zero or even negative) at depths of 30-90 cm.
The cumulative N2O fluxes in the 0-15 cm soil layer accounted for 99.0% of the
total amount in the soil profile, and high fluxes coincided with periods of
relatively high production rates. The cumulative production of N2O also remained
in step with the cumulative fluxes. In addition, more N fertilizer was applied,
greater production occurred in the topsoil. A significantly positive relationship
was found between N2O fluxes and mineral N, and a negative relationship was found
between the fluxes and the water-filled pore space (WFPS) in the shallow soil.
N2O effluxes increased with increasing amounts of N fertilizer, which was
primarily due to nitrification on the Loess Plateau.
PMID- 26556752
TI - Acute exposure to synthetic pyrethroids causes bioconcentration and disruption of
the hypothalamus-pituitary-thyroid axis in zebrafish embryos.
AB - Synthetic pyrethroids (SPs) have the potential to disrupt the thyroid endocrine
system in mammals; however, little is known of the effects of SPs and underlying
mechanisms in fish. In the current study, embryonic zebrafish were exposed to
various concentrations (1, 3 and 10 MUg/L) of bifenthrin (BF) or lambda
cyhalothrin (lambda-CH) until 72 h post fertilization, and body condition,
bioaccumulation, thyroid hormone levels and transcription of related genes along
the hypothalamus-pituitary-thyroid (HPT) axis examined. Body weight was
significantly decreased in the lambda-CH exposure groups, but not the BF exposure
groups. BF and lambda-CH markedly accumulated in the larvae, with concentrations
ranging from 90.7 to 596.8 ng/g. In both exposure groups, alterations were
observed in thyroxine (T4) and triiodothyronine (T3) levels. In addition, the
majority of the HPT axis-related genes examined, including CRH, TSHbeta, TTR,
UGT1ab, Pax8, Dio2 and TRalpha, were significantly upregulated in the presence of
BF. Compared to BF, lambda-CH induced different transcriptional regulation
patterns of the tested genes, in particular, significant stimulation of TTR,
Pax8, Dio2 and TRalpha levels along with concomitant downregulation of Dio1.
Molecular docking analyses revealed that at the atomic level, BF binds to thyroid
hormone receptor (TRalpha) protein more potently than lambda-CH, consequently
affecting HPT axis signal transduction. In vitro and in silico experiments
disclosed that during the early stages of zebrafish development, BF and lambda-CH
have the potential to disrupt thyroid endocrine system.
PMID- 26556753
TI - Elucidation of rice rhizosphere metagenome in relation to methane and nitrogen
metabolism under elevated carbon dioxide and temperature using whole genome
metagenomic approach.
AB - Carbon (C) and nitrogen (N) mineralization is one of the key processes of
biogeochemical cycling in terrestrial ecosystem in general and rice ecology in
particular. Rice rhizosphere is a rich niche of microbial diversity influenced by
change in atmospheric temperature and concentration of carbon dioxide (CO2).
Structural changes in microbial communities in rhizosphere influence the nutrient
cycling. In the present study, the bacterial diversity and population dynamics
were studied under ambient CO2 (a-CO2) and elevated CO2+temperature (e-CO2T) in
lowland rice rhizosphere using whole genome metagenomic approach. The whole
genome metagenomic sequence data of lowland rice exhibited the dominance of
bacterial communities including Proteobacteria, Firmicutes, Acidobacteria,
Actinobacteria and Planctomycetes. Interestingly, four genera related to methane
production namely, Methanobacterium, Methanosphaera, Methanothermus and
Methanothermococcus were absent in a-CO2 but noticed under e-CO2T. The
acetoclastic pathway was found as the predominant pathway for methanogenesis,
whereas, the serine pathway was found as the principal metabolic pathway for CH4
oxidation in lowland rice. The abundances of reads of enzymes in the acetoclastic
methanogenesis pathway and serine pathways of methanotrophy were much higher in e
CO2T (328 and 182, respectively) as compared with a-CO2 (118 and 98,
respectively). Rice rhizosphere showed higher structural diversities and
functional activities in relation to N metabolism involving nitrogen fixation,
assimilatory and dissimilatory nitrate reduction and denitrification under e-CO2T
than that of a-CO2. Among the three pathways of N metabolism, dissimilarity
pathways were predominant in lowland rice rhizosphere and more so under e-CO2T.
Consequently, under e-CO2T, CH4 emission, microbial biomass nitrogen (MBN) and
dehydrogenase activities were 45%, 20% and 35% higher than a-CO2, respectively.
Holistically, a high bacterial diversity and abundances of C and N decomposing
bacteria in lowland rice rhizosphere were found under e-CO2T, which could be
explored further for their specific role in nutrient cycling, sustainable
agriculture and environment management.
PMID- 26556754
TI - PAHs and PCBs accumulated by SPMD-based virtual organisms and feral fish in Three
Gorges Reservoir, China.
AB - Polycyclic aromatic hydrocarbon (PAHs) and polychlorinated biphenyls (PCBs)
accumulated by semipermeable membrane device (SPMD)-based virtual organisms (VOs)
and local feral fish were studied in Three Gorges Reservoir (TGR), China. VOs
were deployed at seven sites in TGR for two periods in 2009 and 5 species of fish
with different living habitats and feeding habits collected in the same periods
from two counties in TGR. The concentration and profile of PAHs and PCBs in fish
were quite different from those in VOs. Most high-molecular-weight-PAHs were
detected in VOs, while they were undetected in fish. Most PCBs were undetected in
VOs, while most of them were detected in fish. Low-molecular-weight-PAHs were
predominant contaminants of PAHs and non-dioxin-like-PCBs were the main PCBs in
fish. The levels of PAHs and PCBs in the few fish samples were low and were not
of concern based on chemical contaminant limits of non-carcinogenic effect.
PMID- 26556755
TI - Lead concentration in the blood of the general population living near a lead-zinc
mine site, Nigeria: Exposure pathways.
AB - Lead (Pb) poisoning in children is a major public health catastrophe worldwide.
This report summarises both exposure pathways and blood Pb levels in children
below 7 years of age and adults (above 18 years) from the Adudu community living
near a lead-zinc mine in Nasawara, Nigeria. The average and median blood Pb
levels in children and adults were 2.1 and 1.3 MUg/dL, 3.1 and 1.8 MUg/dL,
respectively. However, Pb in 14% of adults' blood exceeded 5 MUg/dL, which is the
recommended threshold blood Pb concentration in adults as established by the
Centers for Disease Control and Prevention (CDC). Furthermore 68% of adults'
blood exceeded blood Pb action level of 2 MUg/dL. For children, 11.4% and 31% of
the blood samples exceeded 5 MUg/dL and 2 MUg/dL, respectively, while no safe
blood Pb level in children has been recommended. In Nasawara, a significant
difference (p<0.05) was observed between the various age groups in children with
2-4 years old having the highest levels and 6 year old children having the lowest
Pb levels. Although this study did not detect elevated levels of Pb in children's
blood in regions such as Zamfara, Nigeria and Kabwe, Zambia, a high percentage of
samples exceeded 2 MUg/dL. Soils, floor dusts, water and crops also reveal that
Pb contamination in the study area could potentially be the major cause of blood
Pb in the community exposed to mining. This study also observed a significant
correlation between water Pb levels of adults and blood Pb levels, suggesting
that water is the major exposure pathway. This analysis highlights the need to
properly manage mining activities so that the health of communities living in the
vicinity of a Pb-Zn mine is not compromised.
PMID- 26556757
TI - Fabrication of transparent SERS platform via interface self-assembly of gold
nanorods and gel trapping technique for on-site real time detection.
AB - A transparent SERS platform was fabricated via the gel-trapping method coupled
with a liquid/liquid interface self-assembly technique. We employed gold nanorods
as the building blocks for interface self-assembly because of their strong
localized surface plasmons upon excitation by infrared radiation. Based on a "top
cover" configuration, this transparent SERS platform endows high signal
reproducibility for directly detecting liquid samples by confining the sample
droplet into a regular shape. The Au NR PDMS platform was able to directly detect
crystal violet in aqueous solutions down to 10 ppb level with high enhancement
factor (0.87 * 10(5)) and signal uniformity (RSD = 3.9%). Furthermore, SERS-based
anti-fungal agent detection on a fish scale was demonstrated by simply covering
the fish scale with a tailored GNRs PDMS film. The experimental results clearly
show that the Au NR PDMS SERS platform has great potential for on-site real time
detection of contaminants in water as well as on curved surfaces.
PMID- 26556756
TI - Improving Multi-Epitope Long Peptide Vaccine Potency by Using a Strategy that
Enhances CD4+ T Help in BALB/c Mice.
AB - Peptide-based vaccines are attractive approaches for cancer immunotherapy; but
the success of these vaccines in clinical trials have been limited. Our goal is
to improve immune responses and anti-tumor effects against a synthetic, multi
epitope, long peptide from rat Her2/neu (rHer2/neu) using the help of CD4+ T
cells and appropriate adjuvant in a mouse tumor model. Female BALB/c mice were
vaccinated with P5+435 multi-epitope long peptide that presents epitopes for
cytotoxic T lymphocytes (CTL) in combination with a universal Pan DR epitope
(PADRE) or CpG-oligodeoxynucleotides (CpG-ODNs) as a Toll-like receptor agonist
adjuvant. The results show that vaccination with the multi-epitope long peptide
in combination with the PADRE peptide and CpG-ODN induced expansion of
subpopulations of CD4+ and CD8+ cells producing IFN-gamma, the average tumor size
in the vaccinated mice was less than that of the other groups, and tumor growth
was inhibited in 40% of the mice in the vaccinated group. The mean survival time
was 82.6 +/- 1.25 days in mice vaccinated with P5+435 + CpG+ PADRE. Our results
demonstrate that inclusion of PADRE and CpG with the peptide vaccine enhanced
significant tumor specific-immune responses in vaccinated mice.
PMID- 26556758
TI - The Elementary Operations of Human Vision Are Not Reducible to Template-Matching.
AB - It is generally acknowledged that biological vision presents nonlinear
characteristics, yet linear filtering accounts of visual processing are
ubiquitous. The template-matching operation implemented by the linear-nonlinear
cascade (linear filter followed by static nonlinearity) is the most widely
adopted computational tool in systems neuroscience. This simple model achieves
remarkable explanatory power while retaining analytical tractability, potentially
extending its reach to a wide range of systems and levels in sensory processing.
The extent of its applicability to human behaviour, however, remains unclear.
Because sensory stimuli possess multiple attributes (e.g. position, orientation,
size), the issue of applicability may be asked by considering each attribute one
at a time in relation to a family of linear-nonlinear models, or by considering
all attributes collectively in relation to a specified implementation of the
linear-nonlinear cascade. We demonstrate that human visual processing can operate
under conditions that are indistinguishable from linear-nonlinear transduction
with respect to substantially different stimulus attributes of a uniquely
specified target signal with associated behavioural task. However, no specific
implementation of a linear-nonlinear cascade is able to account for the entire
collection of results across attributes; a satisfactory account at this level
requires the introduction of a small gain-control circuit, resulting in a model
that no longer belongs to the linear-nonlinear family. Our results inform and
constrain efforts at obtaining and interpreting comprehensive characterizations
of the human sensory process by demonstrating its inescapably nonlinear nature,
even under conditions that have been painstakingly fine-tuned to facilitate
template-matching behaviour and to produce results that, at some level of
inspection, do conform to linear filtering predictions. They also suggest that
compliance with linear transduction may be the targeted outcome of carefully
crafted nonlinear circuits, rather than default behaviour exhibited by basic
components.
PMID- 26556760
TI - Quantitative Analysis of Hexahydro-1,3,5-trinitro-1,3,5, Triazine/Pentaerythritol
Tetranitrate (RDX-PETN) Mixtures by Terahertz Time Domain Spectroscopy.
AB - Absorption spectra of explosives such as hexahydro-1,3,5-trinitro-1,3,5-triazine
(RDX), pentaerythritol tetranitrate (PETN), and mixtures of both were measured by
terahertz time domain spectroscopy (THz-TDS). Chemometrics was applied to
quantitative analysis of terahertz absorbance spectra obtained in transmission
mode to predict the relative amounts of RDX and PETN in samples containing pure
components or their mixtures. This analysis was challenging because significant
spectral overlap prevented identification of each product fingerprint. Partial
least squares (PLS) regression models were thus applied to the terahertz spectra.
A comparison between the so-called PLS1 and PLS2 algorithms was performed to
predict the PETN concentrations in mixture samples. PLS2 demonstrated better
predictive ability than PLS1 with RMSE value lower than 3.5 mg for 400 mg total
weight pellets. Moreover, the influence of the highly overlapping spectral
frequency band was investigated by reducing the original 0.2-3 THz (6-100 cm(-1))
spectral band to 1.8-3 THz (60-100 cm(-1)). The predictive ability was quite
similar in both cases, highlighting the excellent ability of chemometrics to
perform quantitative analysis when applied to THz-TDS data, even in the case of
highly overlapping spectra.
PMID- 26556759
TI - Acute and Chronic Ethanol Administration Differentially Modulate Hepatic
Autophagy and Transcription Factor EB.
AB - BACKGROUND: Chronic ethanol (EtOH) consumption decelerates the catabolism of long
lived proteins, indicating that it slows hepatic macroautophagy (hereafter called
autophagy) a crucial lysosomal catabolic pathway in most eukaryotic cells.
Autophagy and lysosome biogenesis are linked. Both are regulated by the
transcription factor EB (TFEB). Here, we tested whether TFEB can be used as a
singular indicator of autophagic activity, by quantifying its nuclear content in
livers of mice subjected to acute and chronic EtOH administration. We correlated
nuclear TFEB to specific indices of autophagy. METHODS: In acute experiments, we
gavaged GFP-LC3(tg) mice with a single dose of EtOH or with phosphate buffered
saline (PBS). We fed mice chronically by feeding them control or EtOH liquid
diets. RESULTS: Compared with PBS-gavaged controls, livers of EtOH-gavaged mice
exhibited greater autophagosome (AV) numbers, a higher incidence of AV-lysosome
co-localization, and elevated levels of free GFP, all indicating enhanced
autophagy, which correlated with a higher nuclear content of TFEB. Compared with
pair-fed controls, livers of EtOH-fed mice exhibited higher AV numbers, but had
lower lysosome numbers, lower AV-lysosome co-localization, higher P62/SQSTM1
levels, and lower free GFP levels. The latter findings correlated with lower
nuclear TFEB levels in EtOH-fed mice. Thus, enhanced autophagy after acute EtOH
gavage correlated with a higher nuclear TFEB content. Conversely, chronic EtOH
feeding inhibited hepatic autophagy, associated with a lower nuclear TFEB
content. CONCLUSIONS: Our findings suggest that the effect of acute EtOH gavage
on hepatic autophagy differs significantly from that after chronic EtOH feeding.
Each regimen distinctly affects TFEB localization, which in turn, regulates
hepatic autophagy and lysosome biogenesis.
PMID- 26556761
TI - Kinesin motors in plants: from subcellular dynamics to motility regulation.
AB - Plants produce enormous forms of the microtubule (MT)-based motor kinesins that
have been inspiring plant cell biologists to uncover their functions in relation
to plant growth and development. Subcellular localization of kinesin proteins
detected through live-cell imaging or immunofluorescence microscopy has provided
great insights into the functions of these motors. Dozens of mitotic kinesins
exhibit particularly splendid localization patterns from chromosomes and
kinetochores to MT arrays like the preprophase band, spindle poles, the spindle
midzone, phragmoplast distal ends, and the phragmoplast midzone. Different
subcellular localizations indicate distinct functions of these motors that are
yet to be characterized. The localization difference between plant kinesins and
their animal counterparts implies mechanistic differences in mitosis and
cytokinesis between the two kingdoms. When many forms of kinesins are present
simultaneously, it becomes critical that their motility is differentially
regulated with spatial and temporal precision. Insights into regulatory
mechanisms of motors can often be brought about by in vitro single-molecule
biophysical studies. Significant advances are expected in this area in the coming
years owing to rapid technological advances that are being brought to various
model plants.
PMID- 26556762
TI - The effect of retinol binding protein on the proteome of C2C12 muscle cells.
AB - BACKGROUND: Retinol binding protein (RBP) and its membrane receptor, STRA6, are
vital for the management of vitamin A in the body. Recently, elevated serum RBP
levels have been implicated as a contributing factor to the development of
insulin resistance and type 2 diabetes. However, conflicting opinions exist as to
how these increased levels can cause insulin resistance. METHODS: In order to
better understand the influences of RBP, a proteomic study was devised to
determine the direct effect of RBP on a mouse muscle cell line, because the
muscle is the principal site of insulin induced glucose uptake. C2C12 cells were
treated with RBP for 16 h and the proteome analysed for alterations in protein
abundance and phosphorylation by 2-DE. RESULTS: A number of changes were observed
in response to retinol binding protein treatment, of which the most interesting
were decreased levels of the phosphatase, protein phosphatase 1 beta. This
phosphatase is responsible for regulating glycogen synthase and glycogen
phosphorylase, the rate-limiting enzymes involved in glycogen storage and
utilization. Retinol binding protein treatment resulted in increased
phosphorylation and inhibition of glycogen synthase, with detrimental effects on
insulin stimulated glycogen production in these cells. CONCLUSION: The results
indicate that RBP may have a negative effect on energy storage in the cell and
could contribute to the development of insulin resistance in muscle tissue.
Understanding how retinol binding protein influences insulin resistance may
reveal novel strategies to target this disease.
PMID- 26556763
TI - Arcobacter pacificus sp. nov., isolated from seawater of the South Pacific Gyre.
AB - A Gram-stain-negative, rod-shaped, slightly curved, non-spore-forming strain,
designated SW028T, was isolated from surface seawater (26 degrees 29' S 137
degrees 56' W) of the South Pacific Gyre during the Integrated Ocean Drilling
Program Expedition 329. Phylogenetic analysis based on 16S rRNA gene sequences
indicated that strain SW028T belonged to the genus Arcobacter and it showed the
highest sequence similarity with Arcobacter molluscorum LMG 25693T (95.50 %). The
dominant fatty acids of strain SW028T were C18 : 1omega7c, C16 : 1omega7c and C16
: 0. The only respiratory quinone detected was menaquinone-6. The major polar
lipids were phosphatidylglycerol, phosphatidylethanolamine and an unidentified
phospholipid. The DNA G+C content was 27.1 mol%. The phylogenetic position of the
novel strain was further confirmed by analysis of the housekeeping genes rpoB,
gyrB and atpA. On the basis of phenotypic, chemotaxonomic and phylogenetic
analyses, strain SW028T represents a novel species of the genus Arcobacter, for
which the name Arcobacter pacificus is proposed. The type strain is SW028T ( =
DSM 25018T = JCM 17857T = LMG 26638T = CGMCC NO.1.11011T).
PMID- 26556764
TI - Direct solvothermal preparation of nanostructured fluoride aerogels based on
AlF3.
AB - AlF3-based aerogels, a new class of inorganic aerogels, are prepared in a novel
direct process that combines fluoride sol-gel synthesis with high temperature
supercritical drying. The bulk structure of the solid products depends decisively
on the applied solvent(s); very voluminous bulk aerogels are obtained only with
MeOH that is used either alone or in combination with some other polar solvents.
MeOH acts as a methoxylation agent; and formed methoxy (MeO) species are
remarkably stable and deactivate the surface acidic sites. Removal of MeO species
under moderate conditions results in catalytically active fluorides with a
preserved nanostructure. In preparations with MeOH, preferential growth of
anisotropic nanoparticles (nanorods) is the key step that leads to the formation
of very open aerogel structures. Another process, dehydration of alcohols,
results in some hydroxylation and hydration that lead to the formation of
distinctive surface and bulk OH/H2O species. The structure of AlF3-based aerogels
is consistent with the hexagonal tungsten bronze (HTB) beta-AlF3 although their
composition corresponds to a formula AlF3-x(OH, OMe)x.yH2O (x = 0.1 +/- 0.05).
Some other characteristics of the fluoride nanoparticles, like crystallinity,
particle size, and uniformity, can be effectively controlled by the temperature
of the solvothermal process. The described methodology allows a controllable
preparation of catalytically active fluorides in the form of regularly shaped and
uniformly sized nanoparticles.
PMID- 26556765
TI - The nuances of NO synthase regulation in sepsis: Could targeting BH4 be the
answer?
PMID- 26556767
TI - Urinary diversions: A time to enrich surgical training?
AB - OBJECTIVE: To assess the potential exposure to complex urologic procedures,
specifically urinary diversion, during a gynecologic oncology fellowship.
METHODS: We queried the University HealthSystem Consortium (UHC) database to
determine the total number of urinary diversions performed from October 2008 to
August 2012. This data was used to estimate the mean number of urinary diversions
performed each year. Gender, primary diagnosis, type of diversion, gynecologic
oncologist involvement, and medical center were explored. RESULTS: Of the nearly
21,000 urinary diversions performed in UHC participating hospitals during the
study period, 6180 (29.5%) were performed in women. On average, 1648 urinary
diversions are performed in women each year, with gynecologic malignancies
accounting for 6.8% of cases. We estimate that a gynecologic oncologist was
involved with 87 cases per year at nonprofit academic medical centers in the US.
With approximately 112 clinically active fellows per year during the study
period, this equates to less than one diversion per clinical fellow per year if
cases are equally distributed among centers. However, the majority of urinary
diversions with gynecologic oncologist involvement were performed at just a
fraction of centers. Thus, only a small contingent of fellows may be getting the
greatest exposure to urinary diversions. CONCLUSIONS: The majority of urinary
diversions in women in the US are performed for bladder carcinoma by urologists.
The estimated number of cases per clinical gynecologic oncology fellow per year
is less than one. Strategies to improve fellow exposure to urinary diversion and
consideration of alternative surgical training modalities should be explored.
PMID- 26556766
TI - Chemotherapy-induced peripheral neuropathy: Current status and progress.
AB - As there are increasing numbers of cancer survivors, more attention is being paid
to the long term unwanted effects patients may experience as a result of their
treatment and the impact these side effects can have on their quality of life.
Chemotherapy-induced peripheral neuropathy (CIPN) is one of the most common long
term toxicities from chemotherapy. In this review we will briefly review the
clinical presentation, evaluation and management of chemotherapy-induced
peripheral neuropathy, with a focus on CIPN related to platinum and taxane
agents. We will then discuss current clinical models of peripheral neuropathy and
ongoing research to better understand CIPN and develop potential treatment
options.
PMID- 26556769
TI - Ten-year survival after epithelial ovarian cancer is not associated with BRCA
mutation status.
AB - OBJECTIVES: After a diagnosis of ovarian cancer, positive BRCA mutation status
confers a transient mortality benefit that diminishes with time. The majority of
women who survive for 10-12 years are effectively cured of their disease. Thus,
it is important to estimate the probability of long-term survival by BRCA
mutation status and treatment-related factors. METHODS: We included unselected
epithelial ovarian cancers diagnosed in Ontario, Canada from 1995 to 1999 and
from 2002 to 2004. Clinical information was obtained from medical records.
Survival status was determined by linkage to the Ontario Cancer Registry. We
estimated the annual mortality for these patients. We compared women who did and
did not survive 10 years for a range of factors including BRCA mutation status
and extent of residual disease post-surgery. RESULTS: Of the 1421 patients, 109
(7.7%) had BRCA1 mutations and 68 (4.8%) had BRCA2 mutations. A status of no
residual disease was achieved by 39% of non-carriers and 19% of mutation carriers
(P<0.0001). By 10-years of follow-up, 43% of non-carriers, 57% of BRCA1 mutation
carriers and 69% of BRCA2 mutation carriers had died from ovarian cancer. Among
women with stage III/IV serous cancers and no residual disease, the 10-year
actuarial survival was 42% for non-carriers and 29% for mutation carriers
(P=0.40). CONCLUSION: The initial survival advantage among women with BRCA
mutations may reflect a higher initial sensitivity of BRCA carriers to
chemotherapy, but this response does not predict long-term survival. The
strongest predictor of long-term survival is status of no residual disease at
resection.
PMID- 26556768
TI - Maintaining sexual health throughout gynecologic cancer survivorship: A
comprehensive review and clinical guide.
AB - OBJECTIVE: The diagnosis and treatment of gynecologic cancer can cause short- and
long-term negative effects on sexual health and quality of life (QoL). The aim of
this article is to present a comprehensive overview of the sexual health concerns
of gynecologic cancer survivors and discuss evidence-based treatment options for
commonly encountered sexual health issues. METHODS: A comprehensive literature
search of English language studies on sexual health in gynecologic cancer
survivors and the treatment of sexual dysfunction was conducted in MEDLINE
databases. Relevant data are presented in this review. Additionally, personal and
institutional practices are incorporated where relevant. RESULTS: Sexual
dysfunction is prevalent among gynecologic cancer survivors as a result of
surgery, radiation, and chemotherapy-negatively impacting QoL. Many patients
expect their healthcare providers to address sexual health concerns, but most
have never discussed sex-related issues with their physician. Lubricants,
moisturizers, and dilators are effective, simple, non-hormonal interventions that
can alleviate the morbidity of vaginal atrophy, stenosis, and pain. Pelvic floor
physical therapy can be an additional tool to address dyspareunia. Cognitive
behavioral therapy has been shown to be beneficial to patients reporting problems
with sexual interest, arousal, and orgasm. CONCLUSION: Oncology providers can
make a significant impact on the QoL of gynecologic cancer survivors by
addressing sexual health concerns. Simple strategies can be implemented into
clinical practice to discuss and treat many sexual issues. Referral to
specialized sexual health providers may be needed to address more complex
problems.
PMID- 26556770
TI - Decorin alleviated chronic hydrocephalus via inhibiting TGF-beta1/Smad/CTGF
pathway after subarachnoid hemorrhage in rats.
AB - Chronic hydrocephalus is one of the severe complications after subarachnoid
hemorrhage (SAH). However, there is no efficient treatment for the prevention of
chronic hydrocephalus, partially due to poor understanding of underlying
pathogenesis, subarachnoid fibrosis. Transforming growth factor-beta1(TGF-beta1)
is a potent fibrogenic factor implicated in wide range of fibrotic diseases. To
investigate whether decorin, a natural antagonist for TGF-beta1, protects against
subarachnoid fibrosis and chronic hydrocephalus after SAH, two-hemorrhage
injection SAH model was conducted in 6-week-old rats. Recombinant human
decorin(rhDecorin) (30ug/2ul) was administered before blood injection and on the
10th day after SAH. TGF-beta1, p-Smad2/3, connective tissue growth factor (CTGF),
collagen I and pro-collagen I c-terminal propeptide were assessed via western
blotting, enzyme-linked immunosorbent assay, radioimmunoassay and
immunofluorescence. And neurobehavioral tests and Morris water maze were employed
to evaluate long-term neurological functions after SAH. We found that SAH induced
heightened activation of TGF-beta1/Smad/CTGF axis, presenting as a two peak
response of TGF-beta1 in cerebrospinal fluid, elevation of TGF-beta1, p-Smad2/3,
CTGF, collagen I in brain parenchyma and pro-collagen I c-terminal propeptide in
cerebrospinal fluid, and increased lateral ventricle index. rhDecorin treatment
effectively inhibited up-regulation of TGF-beta1, p-Smad2/3, CTGF, collagen I and
pro-collagen I c-terminal propeptide after SAH. Moreover, rhDecorin treatment
significantly reduced lateral ventricular index and incidence of chronic
hydrocephalus after SAH. Importantly, rhDecorin improved neurocognitive deficits
after SAH. In conclusion, rhDecorin suppresses extracellular matrix accumulation
and following subarachnoid fibrosis via inhibiting TGF-beta1/Smad/CTGF pathway,
preventing development of hydrocephalus and attenuating long-term neurocognitive
defects after SAH.
PMID- 26556771
TI - Neuroglobin immunoreactivity in the human cochlea.
AB - Neuroglobin (Ngb) is an oxygen-binding protein with a demonstrated role in
endogenous neuroprotective mechanisms. It has been shown to function as a
scavenger for reactive oxidizing species thereby assisting in cellular defense
against oxidative stress. In the present study, we characterized the presence of
Ngb in the human cochlea. Immunohistochemical staining was performed on formalin
fixed celloidin human cochlea sections obtained from human temporal bones, using
affinity purified polyclonal antibodies against Ngb. Thirty-six temporal bones
were analyzed, 15 with normal otologic histories and 21 diagnosed with different
inner ear pathologies. Ngb immunoreactivity (Ngb-IR) was consistently expressed
in the neurons of spiral ganglia (SG) and supporting cells of the organ of Corti.
There was a significant decrease of Ngb-IR in SGNs from specimens with inner ear
pathologies when compared to normal specimens. In contrast, Ngb-IR in the organ
of Corti did not show significant changes between pathological and normal
specimens. The differential pattern of Ngb expression in these cochlear
structures suggests that Ngb may participate in defense mechanisms in inner ear
pathologies where oxidative stress is involved.
PMID- 26556773
TI - What works in auditory working memory? A neural oscillations perspective.
AB - Working memory is a limited resource: brains can only maintain small amounts of
sensory input (memory load) over a brief period of time (memory decay). The
dynamics of slow neural oscillations as recorded using magneto- and
electroencephalography (M/EEG) provide a window into the neural mechanics of
these limitations. Especially oscillations in the alpha range (8-13Hz) are a
sensitive marker for memory load. Moreover, according to current models, the
resultant working memory load is determined by the relative noise in the neural
representation of maintained information. The auditory domain allows memory
researchers to apply and test the concept of noise quite literally: Employing
degraded stimulus acoustics increases memory load and, at the same time, allows
assessing the cognitive resources required to process speech in noise in an
ecologically valid and clinically relevant way. The present review first
summarizes recent findings on neural oscillations, especially alpha power, and
how they reflect memory load and memory decay in auditory working memory. The
focus is specifically on memory load resulting from acoustic degradation. These
findings are then contrasted with contextual factors that benefit neural as well
as behavioral markers of memory performance, by reducing representational noise.
We end on discussing the functional role of alpha power in auditory working
memory and suggest extensions of the current methodological toolkit. This article
is part of a Special Issue entitled SI: Auditory working memory.
PMID- 26556772
TI - Is phosphorylated tau unique to chronic traumatic encephalopathy? Phosphorylated
tau in epileptic brain and chronic traumatic encephalopathy.
AB - Repetitive traumatic brain injury (rTBI) is one of the major risk factors for the
abnormal deposition of phosphorylated tau (PT) in the brain and chronic traumatic
encephalopathy (CTE). CTE and temporal lobe epilepsy (TLE) affect the limbic
system, but no comparative studies on PT distribution in TLE and CTE are
available. It is also unclear whether PT pathology results from repeated head
hits (rTBI). These gaps prevent a thorough understanding of the pathogenesis and
clinical significance of PT, limiting our ability to develop preventative and
therapeutic interventions. We quantified PT in TLE and CTE to unveil whether a
history of rTBI is a prerequisite for PT accumulation in the brain. Six
postmortem CTE (mean 73.3 years) and age matched control samples were compared to
19 surgically resected TLE brain specimens (4 months-58 years; mean 27.6 years).
No history of TBI was present in TLE or control; all CTE patients had a history
of rTBI. TLE and CTE brain displayed increased levels of PT as revealed by
immunohistochemistry. No age-dependent changes were noted, as PT was present as
early as 4 months after birth. In TLE and CTE, cortical neurons, perivascular
regions around penetrating pial vessels and meninges were immunopositive for PT;
white matter tracts also displayed robust expression of extracellular PT
organized in bundles parallel to venules. Microscopically, there were extensive
tau-immunoreactive neuronal, astrocytic and degenerating neurites throughout the
brain. In CTE perivascular tangles were most prominent. Overall, significant
differences in staining intensities were found between CTE and control (P<0.01)
but not between CTE and TLE (P=0.08). pS199 tau analysis showed that CTE had the
most high molecular weight tangle-associated tau, whereas epileptic brain
contained low molecular weight tau. Tau deposition may not be specific to rTBI
since TLE recapitulated most of the pathological features of CTE.
PMID- 26556774
TI - Transient middle cerebral artery occlusion in mice induces neuronal expression of
RNF213, a susceptibility gene for moyamoya disease.
AB - Although recent genome-wide and locus-specific association studies revealed that
the RING finger protein 213 (RNF213) gene is an important susceptibility gene for
moyamoya disease (MMD), the exact mechanism by which the genetic alteration of
RNF213 contributes to the development of MMD has not yet been elucidated. A
quantitative reverse transcription polymerase chain reaction (PCR) analysis
revealed that the constitutive expression of the RNF213 gene was very low in
adult and embryonic brain tissue. However, information regarding the temporal and
spatial expression patterns of the RNF213 gene under the condition of cerebral
ischemia, which is one of characteristic pathologies associated with MMD, is
currently limited. In order to address this critical issue, Rnf213 mRNA
expression was investigated in mouse brains subjected to 60 min of transient
middle cerebral artery occlusion (tMCAO). Male C57BL6/j mice underwent tMCAO
through the intraluminal blockade of MCA. Expression of the Rnf213 gene in the
tMCAO brain was investigated with in situ RNA hybridization and a real-time PCR
analysis from 1 to 72 h after tMCAO. In situ RNA hybridization revealed a
significant increase in Rnf213 mRNA levels in the cerebral cortex supplied by the
affected MCA, especially at the penumbra area, as early as 6h after tMCAO, and
these levels had increased further by 24 h. Rnf213 gene expression remained
unchanged in the non-ischemic hemisphere or control specimens. Double staining of
Rnf213 mRNA with NeuN immunohistochemistry revealed Rnf213 hybridization signal
expression mostly in neurons. The real-time PCR analysis confirmed induction of
the Rnf213 gene after tMCAO. Therefore, the Rnf213 gene was up-regulated in the
ischemic brain, especially at the penumbra area, 6 h after tMCAO. Early increases
in RNF213 gene expression in neurons after tMCAO indicate its involvement in
cerebral ischemia, which is an underlying pathology of MMD. Further investigation
is required to clarify its exact role in the pathophysiology of MMD.
PMID- 26556775
TI - Observation chart design features affect the detection of patient deterioration:
a systematic experimental evaluation.
AB - AIM: To systematically evaluate the impact of several design features on chart
users' detection of patient deterioration on observation charts with early
warning scoring-systems. BACKGROUND: Research has shown that observation chart
design affects the speed and accuracy with which abnormal observations are
detected. However, little is known about the contribution of individual design
features to these effects. DESIGN: A 2 * 2 * 2 * 2 mixed factorial design, with
data-recording format (drawn dots vs. written numbers), scoring-system
integration (integrated colour-based system vs. non-integrated tabular system)
and scoring-row placement (grouped vs. separate) varied within-participants and
scores (present vs. absent) varied between-participants by random assignment.
METHODS: 205 novice chart-users, tested between March 2011-March 2014, completed
64 trials where they saw real patient data presented on an observation chart.
Each participant saw eight cases (four containing abnormal observations) on each
of eight designs (which represented a factorial combination of the within
participants variables). On each trial, they assessed whether any of the
observations were physiologically abnormal, or whether all observations were
normal. Response times and error rates were recorded for each design. RESULTS:
Participants responded faster (scores present and absent) and made fewer errors
(scores absent) using drawn-dot (vs. written-number) observations and an
integrated colour-based (vs. non-integrated tabular) scoring-system. Participants
responded faster using grouped (vs. separate) scoring-rows when scores were
absent, but separate scoring-rows when scores were present. CONCLUSION: Our
findings suggest that several individual design features can affect novice chart
users' ability to detect patient deterioration. More broadly, the study further
demonstrates the need to evaluate chart designs empirically.
PMID- 26556776
TI - The clinical effectiveness and cost-effectiveness of open mesh repairs in adults
presenting with a clinically diagnosed primary unilateral inguinal hernia who are
operated in an elective setting: systematic review and economic evaluation.
AB - BACKGROUNDS: Current open mesh techniques for inguinal hernia repair have shown
similar recurrence rates. However, chronic pain has been associated with
Lichtenstein mesh repair, the most common surgical procedure for inguinal hernia
in the UK. The position of the mesh is probably an important factor. The
Lichtenstein method requires dissection of the inguinal wall and fixation of the
mesh. In contrast, in the open preperitoneal approach the mesh is placed in the
preperitoneal space and held in place with intra-abdominal pressure. Currently,
there is no consensus regarding the best open approach for repair of inguinal
hernia. OBJECTIVES: To determine the clinical effectiveness and cost
effectiveness of open preperitoneal mesh repair compared with Lichtenstein mesh
repair in adults presenting with a clinically diagnosed primary unilateral
inguinal hernia. DATA SOURCES: We searched major electronic databases (e.g.
MEDLINE, MEDLINE In-Process & Other Non-Indexed, EMBASE, Cochrane Controlled
Trials Register) from inception to November 2014 and contacted experts in the
field. REVIEW METHODS: Evidence was considered from randomised controlled trials
(RCTs) that compared open preperitoneal mesh repair with Lichtenstein mesh repair
for the treatment of inguinal hernia. Two reviewers independently selected
studies for inclusion. One reviewer completed data extraction and assessed risk
of bias for included studies, and two reviewers independently cross-checked the
details extracted. Meta-analyses techniques were used to combine results from
included studies. A Markov model was developed to assess the cost-effectiveness
of open mesh procedures from a NHS health services perspective over a 25-year
time horizon. RESULTS: Twelve RCTs involving 1568 participants were included.
Participants who underwent open preperitoneal mesh repair returned to work and
normal activities significantly earlier than those who underwent Lichtenstein
mesh repair [mean difference -1.49 days, 95% confidence interval (CI) -2.78 to
0.20 days]. Although no significant differences were observed between the two
open approaches for incidence of pain [risk ratio (RR) 0.50, 95% CI 0.20 to
1.27], numbness (RR 0.48, 95% CI 0.15 to 1.56), recurrences (Peto odds ratio
0.76, 95% CI 0.38 to 1.52) or postoperative complications, fewer events were
generally reported after open preperitoneal mesh repair. The results of the
economic evaluation indicate that the open preperitoneal mesh repair was L256
less costly and improved health outcomes by 0.041 quality-adjusted life-years
(QALYs) compared with Lichtenstein mesh repair. The open preperitoneal procedure
was the most efficient and dominant treatment strategy with a high (> 98%)
probability of being cost-effectiveness for the NHS at a willingness to pay of
L20,000 for a QALY. Results were robust to a range of sensitivity analyses.
However, the magnitude of cost saving or QALY gain was sensitive to some model
assumptions. LIMITATIONS: Overall, the included trials were of small sample size
(mean 130.7 participants) and at high or unclear risk of bias. Meta-analyses
results demonstrated significant statistical heterogeneity for most of the
assessed outcomes. CONCLUSIONS: Open preperitoneal mesh repair appears to be a
safe and efficacious alternative to Lichtenstein mesh repair. Further research is
required to determine the long-term effects of these surgical procedures as well
as the most effective open preperitoneal repair technique in terms of both
clinical efficacy and costs. STUDY REGISTRATION: This study is registered as
PROSPERO CRD42014013510. FUNDING: The National Institute for Health Research
Health Technology Assessment programme.
PMID- 26556777
TI - Exercise Capacity Long-Term after Arterial Switch Operation for Transposition of
the Great Arteries.
AB - Transposition of the great arteries (TGA) is a congenital heart defect
successfully corrected through arterial switch operation (ASO). Although this
technique had significant impact in improving survival, little is known about the
functional capacity of the operated patients long-term after surgery. OBJECTIVE:
The aim of this study was to compare the functional capacity of children with TGA
long-term after ASO with that of healthy children. DESIGN: Retrospective study.
PATIENTS: All patients that performed cardiopulmonary exercise test (CPET) were
included in the study. As a control group, healthy children in evaluation for
physical activity that performed CPET during the same period were also enrolled.
RESULTS: Thirty-one TGA patients (19 male) were compared with 29 age-matched
controls (21 male). Maximum oxygen consumption was higher in the control group
(45.47 +/- 8.05 vs. 40.52 +/- 7.19, P = .017), although within normal limits in
both groups (above 90% of predicted value). The heart rate behavior during
exercise was different in both groups, with a mean chronotropic index
significantly lower in the TGA group (63% +/- 14 vs. 81% +/- 12, P < .001).
CONCLUSIONS: Our results showed that exercise capacity long-term after ASO in TGA
is well preserved although lower than in healthy children what might be explained
by the presence of chronotropic incompetence in the TGA group.
PMID- 26556778
TI - A randomised assessment of the use of a quality of life questionnaire with or
without intervention in patients attending a thoracic cancer clinic.
AB - The study examined the impact of using a quality of life (QoL) questionnaire
during a clinic to identify QoL issues and to improve QoL. 138 patients were
randomised (1:1:1) to either (1) an Intervention group that completed the
European Organisation for Research and Treatment of Cancer-Core Quality of Life
Questionnaire and Lung Cancer Module (EORTC QLQ-C30 and LC13) at baseline and
received feedback during a clinic, (2) an Attention group that completed the
questionnaire at baseline without feedback and (3) a Control group that did not
complete the questionnaire. All patients completed the same questionnaire 6 weeks
later and a contact diary during the study period. There was a significant
difference between the Intervention and Control groups for the mean number of QoL
issues identified at baseline (4.69 vs. 2.81, P = 0.006) and the mean number of
actions taken (4.41 vs. 2.46, P = 0.004). At 6 weeks, there was no difference
between the groups in global QoL (Intervention vs. Control group, P = 0.596;
Attention vs. Control, P = 0.973). The results suggest that the completion of the
EORTC QLQ-C30 LC13 with feedback improves communication and increases the number
of QoL issues identified and actions taken. However, the intervention does not
impact on QoL per se. Clinicaltrials.gov: NCT01213745.
PMID- 26556780
TI - Insulin pumps improve glucose control in children with type 1 diabetes, study
finds.
PMID- 26556779
TI - High-Performance Isocyanide Scavengers for Use in Low-Waste Purification of
Olefin Metathesis Products.
AB - Three isocyanides containing a tertiary nitrogen atom were investigated for use
as small-molecule ruthenium scavenging agents in the workup of olefin metathesis
reactions. The proposed compounds are odorless, easy to obtain, and highly
effective in removing metal residues, sometimes bringing the metal content below
0.0015 ppm. The most successful of the tested compounds, II, performs very well,
even with challenging polar products. The performance of these scavengers is
compared and contrasted with other known techniques, such as silica gel
filtration and the use of self-scavenging catalysts. As a result, a new hybrid
purification method is devised, which gives better results than using either a
self-scavenging catalyst or a scavenger alone. Additionally, isocyanide II is
shown to be a deactivating (reaction quenching) agent for olefin metathesis and
superior to ethyl vinyl ether.
PMID- 26556781
TI - Longtime undetected microcystic adnexal carcinoma of the scalp: considerations
and implications.
AB - BACKGROUND: Microcystic adnexal carcinomas (MACs) are slow-growing and often
asymptomatic malignant skin tumours that usually develop on the facial skin and
may reach considerable size. We present an uncommon case of MAC arising on the
hair-bearing scalp and discuss our experiences and considerations. CASE REPORT: A
58-year-old female was admitted with a histopathologically secured diagnosis of
MAC of the temporal and occipital regions that had expanded to 10 * 12 cm.
Magnetic resonance imaging revealed an infiltration of the skin and the
subcutaneous adipose tissue. No lymphatic or haematogenic metastases were
detected. Therapy consisted of resecting the tumour and reconstructing the area
by applying an anterolateral thigh (ALT) flap. Histopathological evaluation
revealed clear, 1-cm margins and a tumour-free periosteum. One-year postoperative
follow-ups showed no evidence of recurrence, while the outcome was aesthetically
pleasing. CONCLUSION: When screening for skin cancer, careful attention must be
paid to the scalp. Resection of MAC with clear margins is mandatory to minimize
the risk of recurrence. In this case, applying an ALT perforator flap to a large
defect of the hair-bearing scalp led to a very satisfying result; it should be
considered in comparable cases.
PMID- 26556782
TI - An update on peripheral ossifying fibroma: case report and literature review.
AB - The purpose of the present article was to present a clinical case of an 11-year
old girl with peripheral ossifying fibroma (POF). Additionally, after performing
a literature review, we identified clinical information that occurs more
frequently in association with POF, such evidence would help professionals in
yielding a specific diagnosis and tailor a more specific therapeutic approach
with the objective to decrease morbidities' associated with POF. This lesion
represents the third most common lesion of all localized reactive hyperplastic
lesions. Clinical aspects related to this pathology include the fact that it
occurs most frequently in women between the first and second decades of life. It
affects anterior maxillary region and interferes with normal functioning of this
anatomical structure. After conducting the literature search, we found that it
can also be presented in a considerable number of males with pain and hyperemia
being the most common clinical manifestations. We found that often clinical cases
are presented with incomplete information. It is important that in order to get
to a consensus with respect to updates about information related to this lesion,
new case series that include complete clinical information, radiographic
analysis, and histopathology tests could be presented.
PMID- 26556784
TI - A Qualitative Analysis of Offenders' Modus Operandi in Sexually Exploitative
Interactions With Children Online.
AB - Transcripts of chat logs of naturally occurring, sexually exploitative
interactions between offenders and victims that took place via Internet
communication platforms were analyzed. The aim of the study was to examine the
modus operandi of offenders in such interactions, with particular focus on the
specific strategies they use to engage victims, including discursive tactics. We
also aimed to ascertain offenders' underlying motivation and function of
engagement in online interactions with children. Five cases, comprising 29
transcripts, were analyzed using qualitative thematic analysis with a discursive
focus. In addition to this, police reports were reviewed for descriptive and case
specific information. Offenders were men aged between 27 and 52 years ( M = 33.6,
SD = 5.6), and the number of children they communicated with ranged from one to
12 ( M = 4.6, SD = 4.5). Victims were aged between 11 and 15 ( M = 13.00, SD =
1.2), and were both female and male. Three offenders committed online sexual
offenses, and two offenders committed contact sexual offenses in addition to
online sexual offenses. The analysis of transcripts revealed that interactions
between offenders and victims were of a highly sexual nature, and that offenders
used a range of manipulative strategies to engage victims and achieve their
compliance. It appeared that offenders engaged in such interactions for the
purpose of sexual arousal and gratification, as well as fantasy fulfillment.
PMID- 26556783
TI - Characterization of Transcriptional Repressor Gene MSX1 Variations for Possible
Associations with Congenital Heart Diseases.
AB - BACKGROUND: The human heart consists of several cell types with distinct lineage
origins. Interactions between these cardiac progenitors are very important for
heart formation. The muscle segment homeobox gene family plays a key role in the
cell morphogenesis and growth, controlled cellular proliferation,
differentiation, and apoptosis, but the relationships between the genetic
abnormalities and CHD phenotypes still remain largely unknown. The aim of this
work was to evaluate variations in MSX1 and MSX2 for their possible associations
with CHD. METHODS: We sequenced the MSX1 and MSX2 genes for 300 Chinese Han CHD
patients and 400 normal controls and identified the variations. The statistical
analyses were conducted using Chi-Square Tests as implemented in SPSS (version
19.0). The Hardy-Weinberg equilibrium test of the population was carried out
using the online software OEGE. RESULTS: Six variations rs4647952, rs2048152,
rs4242182, rs61739543, rs111542301 and rs3087539 were identified in the MSX2
gene, but the genetic heterozygosity of those SNPs was very low. In contrast, the
genetic heterozygosity of two variations rs3821949 near the 5'UTR and rs12532
within 3'UTR of the MSX1 gene was considerably high. Statistical analyses showed
that rs3821949 and rs12532 were associated with the risk of CHD (specifically
VSD). CONCLUSIONS: The SNPs rs3821949 and rs12532 in the MSX1 gene were
associated with CHD in Chinese Han populations.
PMID- 26556785
TI - Differential effect of predictors of bone mineral density and hip geometry in
postmenopausal women: a cross-sectional study.
AB - Osteoporosis is an important health problem in postmenopausal women. Lactation
duration (LD), parity, menopause duration (MD), and body mass index (BMI) are
important predictors of bone mineral density (BMD) and osteoporotic fractures in
them. In addition, they have site-specific effects on BMD. INTRODUCTION:
Osteoporosis is especially prevalent in postmenopausal women. The aim of the
study was to determine the effects of age, parity, LD, MD, and BMI on BMD at
different sites and hip geometry in postmenopausal women. MATERIALS AND METHODS:
In this cross-sectional study, 87 women (45 years and above and at least 5 years
postmenopausal) were enrolled. Subjects were divided into three parity groups
(group 1: <= 2 children, group: 3-4 children, and group 3: > 4 children) and
three LD groups (group 1: < 4 years, group 2: 4-8 years, and group 3: > 8 years).
BMD was measured at neck of femur (BMD-NF), trochanter (BMD-TR), inter-trochanter
(BMD-IT), spine (BMD-LS), and forearm (BMD-FA). Hip geometry was analyzed based
on dual energy X-ray absorptiometry. One way ANOVA was used for comparisons of
groups, and Bonferroni correction was used as post-hoc test. p value < 0.05 was
considered significant. RESULTS: A significant difference in mean BMD was found
between parity groups 1 and 3 at BMD-NF, BMD-TR, and BMD-LS, and between LD
groups 1 and 3 at BMD-NF, BMD-TR, BMD-IT, and BMD-LS. Mean buckling ratio (BR) at
IT was significantly different between parity groups 1 and 3, and LD groups 1 and
3. In multivariate regression analysis, BMI, age, and parity were significant
predictors for BMD-NF; parity, BMI, and MD for BMD-TR; BMI, MD, and LD for BMD
IT; BMI and LD for BMD-LS; and age, LD, and BMI for BMD-FA. BMI and LD were
significant predictors of IT-BR, while MD and BMI of narrow neck BR. CONCLUSION:
MD, LD, parity, BMI, and age are important factors influencing BMD at hip and
spine in postmenopausal women, and have site-specific effects on BMD.
PMID- 26556786
TI - The joint power of sex and stress to modulate brain-gut-microbiota axis and
intestinal barrier homeostasis: implications for irritable bowel syndrome.
AB - BACKGROUND: Intestinal homeostasis is a dynamic process that takes place at the
interface between the lumen and the mucosa of the gastrointestinal tract, where a
constant scrutiny for antigens and toxins derived from food and microorganisms is
carried out by the vast gut-associated immune system. Intestinal homeostasis is
preserved by the ability of the mucus layer and the mucosal barrier to keep the
passage of small-sized and antigenic molecules across the epithelium highly
selective. When combined and preserved, immune surveillance and barrier's
selective permeability, the host capacity of preventing the development of
intestinal inflammation is optimized, and viceversa. In addition, the brain-gut
microbiome axis, a multidirectional communication system that integrates distant
and local regulatory networks through neural, immunological, metabolic, and
hormonal signaling pathways, also regulates intestinal function. Dysfunction of
the brain-gut-microbiome axis may induce the loss of gut mucosal homeostasis,
leading to uncontrolled permeation of toxins and immunogenic particles,
increasing the risk of appearance of intestinal inflammation, mucosal damage, and
gut disorders. Irritable bowel syndrome is prevalent stress-sensitive
gastrointestinal disorder that shows a female predominance. Interestingly, the
role of stress, sex and gonadal hormones in the regulation of intestinal mucosal
and the brain-gut-microbiome axis functioning is being increasingly recognized.
PURPOSE: We aim to critically review the evidence linking sex, and stress to
intestinal barrier and brain-gut-microbiome axis dysfunction and the implications
for irritable bowel syndrome.
PMID- 26556787
TI - [Contrast-enhanced Ultrasound in Diagnostic Imaging of Muscle Injuries: Perfusion
Imaging in the Early Arterial Phase].
AB - BACKGROUND: Ultrasound is a standard procedure widely used in the diagnostic
investigation of muscle injuries and widely described in the literature. Its
advantages include rapid availability, cost effectiveness and the possibility to
perform a real-time dynamic examination with the highest possible spatial
resolution. In the diagnostic work-up of minor lesions (muscle stiffness, muscle
strain), plain ultrasound has so far been inferior to MRI. The case presented by
us is an example of the possibilities offered by contrast-enhanced ultrasound
(CEUS) in the imaging of muscle injuries compared with plain B-mode image
ultrasound and MRI imaging of the affected region. MATERIAL/METHODS: This case
report is about a high-performance football player who sustained a muscle injury.
He underwent an ultrasound examination (S 2000, 9L4 Probe, Siemens, Germany),
which was performed simultaneously in the conventional and contrast-enhanced mode
at the level of the lesion. An intravenous bolus injection of 4.8 ml of
intravascular contrast agent (SonoVue((r)), Bracco, Italy) was given via a
cubital intravenous line. After that, the distribution of contrast agent was
visualised in the early arterial phase. In addition, a plain magnetic resonance
imaging scan of both thighs was performed for reference. RESULTS: On conventional
ultrasound, the lesion was not clearly distinguishable from neighbouring tissue,
whereas contrast-enhanced ultrasound demonstrated a well delineated,
circumscribed area of impaired perfusion with hypoenhancement compared with the
surrounding muscles at the clinical level of the lesion in the arterial wash-in
phase (0-30 sec, after intravenous administration). The MRI scan revealed an
edema signal with perifascial fluid accumulation in the corresponding site.
CONCLUSION: The use of intravascular contrast agent enabled the sensitive
detection of a minor injury by ultrasound for the first time. An intramuscular
edema seen in the MRI scan showed a functional arterial perfusion impairment on
ultrasound, which was sensitively detected in the early phase. Further
examinations must be performed on muscle injuries of various degrees of severity
in order to validate the application of this procedure and to standardise the
examination process.
PMID- 26556788
TI - [Influence of Ankle Braces on the Prevalence of Ankle Inversion Injuries in the
Swiss Volleyball National League A].
AB - BACKGROUND: Ankle inversion is a common injury among volleyball players. The
injury rate during a game is 2.1 times higher than during training. As a result,
the preventive use of ankle braces is frequently observed in Swiss volleyball
leagues. Studies have shown that ankle braces have a preventive effect on the
prevalence of ankle inversion. In Switzerland there has been no investigation
into the preventive use of braces and their influence on prevalence. For this
reason, the goals of this study are 1) to determine when, why and by whom ankle
braces are worn and 2) to evaluate the injury rate of users and non-users of
ankle braces. SUBJECTS AND METHODS: A modified questionnaire was sent to 18 men's
and women's teams of the Swiss National League A. The questionnaire included
questions about injury rates and the circumstances of ankle inversion injuries.
The data were statistically analysed with Microsoft Excel 2012 and SPSS Version
20. RESULTS: The overall response rate was 61 %, allowing data from 181 players
to be analysed. 33 % (59 of 181) of the players used an ankle brace. There was a
statistically significant difference in the prevalence of ankle inversion between
users (12 injured) and non-users (8 injured) (p = 0.006). Wearing an ankle brace
during training or during a game made no difference in the prevention of injuries
(p = 0.356). More athletes were injured during training (n = 13) than during a
game (n = 7). CONCLUSION: The results of the present study indicate that
volleyball players preferably wear ankle braces to prevent injury. More than one
third of the players in the study wore an ankle brace, 60 % for primary
prevention and 40 % for secondary prevention due to a previous injury. The study
shows that significantly more users than non-users of ankle braces were injured.
This is contrary to literature. Furthermore it was shown that more injuries occur
during training than during a game. This finding results from the fact that ankle
braces were rarely worn during training. It is concluded that ankle braces must
be worn consistently, especially during training.
PMID- 26556789
TI - [Injuries in Freestyle Motocross (FMX): A Retrospective Study].
AB - INTRODUCTION: Freestyle Motocross (FMX) is an emerging extreme sport in which
motocross riders perform risky jumps and tricks, which are graded by judges for
their degree of difficulty, originality, and style. To this date, injury,
patterns and causes in Freestyle Motocross have not been determined. METHODS:
Over the time period from January 2006 to December 2012, 19 professional FMX
riders of an internationally active FMX team were retrospectively surveyed by
means of a questionnaire and questionnaire-based interviews regarding injuries
sustained during training, shows, or competition. The questionnaire collected
information regarding injury type, circumstances, causes, and treatment. In
addition, general information was obtained on body dimensions, experience,
training, and equipment used. RESULTS: A total of 54 accidents resulting in 78
severe injuries were registered. The most common types of injuries were fractures
(66.6 %), ligament ruptures (7.7 %), and contusions (6.4 %). Most frequently
affected body regions were foot/ankle (20.5 %), shoulder (12.8 %), and back (10.3
%). The Backflip was the trick during which most of the injuries occurred (35.2
%). Incorrect execution of jumps (25.9 %) was the leading cause of accidents.
CONCLUSION: Based on our data, FMX is a high-risk sport. To avoid injuries,
ramps, motorcycles, and equipment should be in the best possible shape and the
athletes themselves in good physical and mental condition. Attendance of medical
staff during FMX activity is advised at all time.
PMID- 26556790
TI - Alone at the Table: Food Behavior and the Loss of Commensality in Widowhood.
AB - OBJECTIVES: Eating alone is a known risk factor for nutritional vulnerability in
later life. Widowhood often entails loss of commensality (shared meals). This
article explores this experience among older widowed women in relation to food
behavior. METHOD: Qualitative methods based on constructivist grounded theory
were used. Interviews were conducted with 15 women living alone in the community,
aged 71 to 86 years, and widowed 6 months to 15 years. RESULTS: Widowhood meant
having significantly fewer opportunities for commensality. Participants
attributed changes to their food behaviors to the loss of commensality, including
food choice, fewer regular meals, and reduced work of meal preparation. These
changes were attributed to the experienced difference between shared meals and
meals eaten alone, no longer having the commitment of commensality, and having
less interest in meal preparation in the absence of obligation or reward of
commensality. DISCUSSION: Eating alone symbolized loss and was less enjoyable,
yet the pleasure experienced with food was intact. Focusing on the pleasure of
eating may help support women when they lose regular commensality late in life.
Free from the commitment of commensality, some shifted away from regular meals
and simplified their meal preparation strategies. This has implications for
clinical and research endeavors.
PMID- 26556792
TI - Effects of Common Mycorrhizal Network on Plant Carbohydrates and Soil Properties
in Trifoliate Orange-White Clover Association.
AB - Common mycorrhizal network (CMN) allows nutrients and signals to pass between two
or more plants. In this study, trifoliate orange (Poncirus trifoliata) and white
clover (Trifolium repens) were planted in a two-compartmented rootbox, separated
by a 37-MUm nylon mesh and then inoculated with an arbuscular mycorrhizal fungus
(AMF), Diversispora spurca. Inoculation with D. spurca resulted in formation of a
CMN between trifoliate orange and white clover, whilst the best AM colonization
occurred in the donor trifoliate orange-receptor white clover association. In the
trifoliate orange-white clover association, the mycorrhizal colonization of
receptor plant by extraradical hyphae originated from the donor plant
significantly increased shoot and root fresh weight and chlorophyll concentration
of the receptor plant. Enzymatic activity of soil beta-glucoside hydrolase,
protease, acid and neutral phosphatase, water-stable aggregate percentage at 2-4
and 0.5-1 mm size, and mean weight diameter in the rhizosphere of the receptor
plant also increased. The hyphae of CMN released more easily-extractable glomalin
related soil protein and total glomalin-related soil protein into the receptor
rhizosphere, which represented a significantly positive correlation with
aggregate stability. AMF inoculation exhibited diverse changes in leaf and root
sucrose concentration in the donor plant, and AM colonization by CMN conferred a
significant increase of root glucose in the receptor plant. These results
suggested that CMN formed in the trifoliate orange-white clover association, and
root AM colonization by CMN promoted plant growth, root glucose accumulation, and
rhizospheric soil properties in the receptor plant.
PMID- 26556791
TI - Post-Prandial Protein Handling: You Are What You Just Ate.
AB - BACKGROUND: Protein turnover in skeletal muscle tissue is highly responsive to
nutrient intake in healthy adults. OBJECTIVE: To provide a comprehensive overview
of post-prandial protein handling, ranging from dietary protein digestion and
amino acid absorption, the uptake of dietary protein derived amino acids over the
leg, the post-prandial stimulation of muscle protein synthesis rates, to the
incorporation of dietary protein derived amino acids in de novo muscle protein.
DESIGN: 12 healthy young males ingested 20 g intrinsically [1-13C]-phenylalanine
labeled protein. In addition, primed continuous L-[ring-2H5]-phenylalanine, L
[ring-2H2]-tyrosine, and L-[1-13C]-leucine infusions were applied, with frequent
collection of arterial and venous blood samples, and muscle biopsies throughout a
5 h post-prandial period. Dietary protein digestion, amino acid absorption,
splanchnic amino acid extraction, amino acid uptake over the leg, and subsequent
muscle protein synthesis were measured within a single in vivo human experiment.
RESULTS: 55.3+/-2.7% of the protein-derived phenylalanine was released in the
circulation during the 5 h post-prandial period. The post-prandial rise in plasma
essential amino acid availability improved leg muscle protein balance (from
291+/-72 to 103+/-66 MUM.min-1.100 mL leg volume-1; P<0.001). Muscle protein
synthesis rates increased significantly following protein ingestion (0.029+/
0.002 vs 0.044+/-0.004%.h-1 based upon the muscle protein bound L-[ring-2H5]
phenylalanine enrichments (P<0.01)), with substantial incorporation of dietary
protein derived L-[1-13C]-phenylalanine into de novo muscle protein (from 0 to
0.0201+/-0.0025 MPE). CONCLUSION: Ingestion of a single meal-like amount of
protein allows ~55% of the protein derived amino acids to become available in the
circulation, thereby improving whole-body and leg protein balance. About 20% of
the dietary protein derived amino acids released in the circulation are taken up
in skeletal muscle tissue following protein ingestion, thereby stimulating muscle
protein synthesis rates and providing precursors for de novo muscle protein
synthesis. TRIAL REGISTRATION: trialregister.nl 3638.
PMID- 26556793
TI - CONSTANS Controls Floral Repression by Up-Regulating VERNALIZATION2 (VRN-H2) in
Barley.
AB - In barley (Hordeum vulgare), PHOTOPERIOD1 (Ppd-H1) acts as a major positive
regulator of flowering under long-day conditions, while VERNALIZATION2 (VRN-H2)
is a strong repressor of flowering under long days before vernalization. By
contrast, CONSTANS (CO) plays a key role in the photoperiodic regulation of
flowering in Arabidopsis (Arabidopsis thaliana). Here, we study the role of the
closest barley CO homologs, HvCO1 and HvCO2, in the long day-dependent control of
flowering and their interactions with Ppd-H1 and VRN-H2. HvCO2 overexpression in
spring barley, with a natural deletion of the VRN-H2 locus, caused a Ppd-H1
dependent induction of flowering and FLOWERING LOCUS T1 (HvFT1) expression. In
winter barley, which carries the VRN-H2 locus, overexpression of HvCO1/CO2 caused
an up-regulation of VRN-H2, resulting in a reduced expression of HvFT1 and
delayed flowering under long- and short-day conditions. In addition, natural
variation at Ppd-H1 altered the expression of VRN-H2 in wild-type plants under
long days. VRN-H2, in turn, was involved in the down-regulation of Ppd-H1 and
HvCO2, demonstrating strong reciprocal interactions between HvCO2, Ppd-H1, and
VRN-H2. Consequently, this study showed that the induction of the floral
repressor VRN-H2 and the floral activator HvFT1 was regulated by the same genes,
Ppd-H1 and HvCO1/CO2. Our findings provide a novel insight into the photoperiodic
regulation of the vernalization pathway in barley.
PMID- 26556794
TI - Combining Quantitative Genetics Approaches with Regulatory Network Analysis to
Dissect the Complex Metabolism of the Maize Kernel.
AB - Metabolic quantitative trait locus (QTL) studies have allowed us to better
understand the genetic architecture underlying naturally occurring plant
metabolic variance. Here, we use two recombinant inbred line (RIL) populations to
dissect the genetic architecture of natural variation of 155 metabolites measured
in the mature maize (Zea mays) kernel. Overall, linkage mapping identified 882
metabolic QTLs in both RIL populations across two environments, with an average
of 2.1 QTLs per metabolite. A large number of metabolic QTLs (more than 65%) were
identified with moderate effects (r(2) = 2.1%-10%), while a small portion (less
than 35%) showed major effects (r(2) > 10%). Epistatic interactions between these
identified loci were detected for more than 30% of metabolites (with the
proportion of phenotypic variance ranging from 1.6% to 37.8%), implying that
genetic epistasis is not negligible in determining metabolic variation. In total,
57 QTLs were validated by our previous genome-wide association study on the same
metabolites that provided clues for exploring the underlying genes. A gene
regulatory network associated with the flavonoid metabolic pathway was
constructed based on the transcriptional variations of 28,769 genes in kernels
(15 d after pollination) of 368 maize inbred lines. A large number of genes (34
of 58) in this network overlapped with previously defined genes controlled by
maize PERICARP COLOR1, while three of them were identified here within QTL
intervals for multiple flavonoids. The deeply characterized RIL populations,
elucidation of metabolic phenotypes, and identification of candidate genes lay
the foundation for maize quality improvement.
PMID- 26556795
TI - A Structural Study of CESA1 Catalytic Domain of Arabidopsis Cellulose Synthesis
Complex: Evidence for CESA Trimers.
AB - A cellulose synthesis complex with a "rosette" shape is responsible for synthesis
of cellulose chains and their assembly into microfibrils within the cell walls of
land plants and their charophyte algal progenitors. The number of cellulose
synthase proteins in this large multisubunit transmembrane protein complex and
the number of cellulose chains in a microfibril have been debated for many years.
This work reports a low resolution structure of the catalytic domain of CESA1
from Arabidopsis (Arabidopsis thaliana; AtCESA1CatD) determined by small-angle
scattering techniques and provides the first experimental evidence for the self
assembly of CESA into a stable trimer in solution. The catalytic domain was
overexpressed in Escherichia coli, and using a two-step procedure, it was
possible to isolate monomeric and trimeric forms of AtCESA1CatD. The conformation
of monomeric and trimeric AtCESA1CatD proteins were studied using small-angle
neutron scattering and small-angle x-ray scattering. A series of AtCESA1CatD
trimer computational models were compared with the small-angle x-ray scattering
trimer profile to explore the possible arrangement of the monomers in the
trimers. Several candidate trimers were identified with monomers oriented such
that the newly synthesized cellulose chains project toward the cell membrane. In
these models, the class-specific region is found at the periphery of the complex,
and the plant-conserved region forms the base of the trimer. This study strongly
supports the "hexamer of trimers" model for the rosette cellulose synthesis
complex that synthesizes an 18-chain cellulose microfibril as its fundamental
product.
PMID- 26556798
TI - Enantioselective Nanoporous Carbon Based on Chiral Ionic Liquids.
AB - One of the greatest challenges in modern chemical processing is to achieve
enantiospecific control in chemical reactions using chiral media such as chiral
mesoporous materials. Herein, we describe a novel and effective synthetic pathway
for the preparation of enantioselective nanoporous carbon, based on chiral ionic
liquids (CILs). CILs of phenylalanine (CIL(Phe)) are used as precursors for the
carbonization of chiral mesoporous carbon. We employ circular dichroism
spectroscopy, isothermal titration calorimetry (ITC), and chronoamperometry in
order to demonstrate the chiral nature of the mesoporous carbon. The approach
presented in this paper is highly significant for the development of a new type
of chiral porous materials for enantioselective chemistry. In addition, it
contributes significantly to our understanding of the structure and nature of
chiral nanoporous materials and surfaces.
PMID- 26556796
TI - ZINC FINGER OF ARABIDOPSIS THALIANA12 (ZAT12) Interacts with FER-LIKE IRON
DEFICIENCY-INDUCED TRANSCRIPTION FACTOR (FIT) Linking Iron Deficiency and
Oxidative Stress Responses.
AB - Plants grown under iron (Fe)-deficient conditions induce a set of genes that
enhance the efficiency of Fe uptake by the roots. In Arabidopsis (Arabidopsis
thaliana), the central regulator of this response is the basic helix-loop-helix
transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR (FIT).
FIT activity is regulated by protein-protein interactions, which also serve to
integrate external signals that stimulate and possibly inhibit Fe uptake. In the
search of signaling components regulating FIT function, we identified ZINC FINGER
OF ARABIDOPSIS THALIANA12 (ZAT12), an abiotic stress-induced transcription
factor. ZAT12 interacted with FIT, dependent on the presence of the ethylene
responsive element-binding factor-associated amphiphilic repression motif. ZAT12
protein was found expressed in the root early differentiation zone, where its
abundance was modulated in a root layer-specific manner. In the absence of ZAT12,
FIT expression was upregulated, suggesting a negative effect of ZAT12 on Fe
uptake. Consistently, zat12 loss-of-function mutants had higher Fe content than
the wild type at sufficient Fe. We found that under Fe deficiency, hydrogen
peroxide (H2O2) levels were enhanced in a FIT-dependent manner. FIT protein, in
turn, was stabilized by H2O2 but only in the presence of ZAT12, showing that H2O2
serves as a signal for Fe deficiency responses. We propose that oxidative stress
induced ZAT12 functions as a negative regulator of Fe acquisition. A model where
H2O2 mediates the negative regulation of plant responses to prolonged stress
might be applicable to a variety of stress conditions.
PMID- 26556797
TI - Inhibitory Effect of Epigallocatechin Gallate on the Virulence of Clostridium
difficile PCR Ribotype 027.
AB - Clostridium difficile infection (CDI) is the most prevalent cause of health-care
associated infections. CDI-related health-care costs and deaths are both
increasing annually on a global scale. C. difficile have been reported in food
products in Canada, Europe, and the United States; however, the systematic
transmission of C. difficile between humans and animals is yet to be understood.
Because of the limitations of current therapeutic options, there is a need for
the development of new patient treatments. Epigallocatechin gallate (EGCG) is a
major catechin compound found in green tea extracts and exhibits antioxidant and
antimicrobial activities. This study was conducted to investigate the inhibitory
effects of EGCG on the expression of virulence genes in C. difficile and in C.
difficile-associated diseases by inhibition of quorum sensing. The protein
expression of autoinducer-2 (AI-2) was evaluated by AI-2 activity. EGCG at
various concentrations had an inhibitory effect on AI-2 production, especially at
10 MUg/mL. EGCG also significantly repressed the transcription of virulence
genes, including luxS and tcdA, and prolonged the survival of Caenorhabditis
elegans infected with C. difficile. Furthermore, treatment with EGCG effectively
protected C. difficile-infected mice from C. difficile-induced death.
Histological analysis of the colon and cecum of these mice revealed that EGCG
protected tissues of the lower intestinal tract from damage. EGCG exerted growth
inhibitory and bactericidal activities on C. difficile in C. difficile-infected
mice. Our results suggest that EGCG has significant antipathogenic effects on C.
difficile and can be used to prevent or treat C. difficile-associated diseases or
C. difficile infections.
PMID- 26556799
TI - Concomitant nodal involvement by Langerhans cell histiocytosis and Hodgkin's
lymphoma.
AB - A 10-year-old girl with a family history of Hodgkin's lymphoma presented with a 2
month history of cervical lymphadenopathy and weight loss. Biopsy indicated
concomitant nodal involvement by Langerhans cell histiocytosis and Hodgkin's
lymphoma. Such an association is rare, especially so in children, but is not an
isolated phenomenon, thereby prompting the question of whether Langerhans cell
histiocytosis is a reactive or a neoplastic process.
PMID- 26556801
TI - Editorial: Synovitis and Pain Sensitization.
PMID- 26556800
TI - A Diversity of Conserved and Novel Ovarian MicroRNAs in the Speckled Wood
(Pararge aegeria).
AB - microRNAs (miRNAs) are important regulators of animal development and other
processes, and impart robustness to living systems through post-transcriptional
regulation of specific mRNA transcripts. It is postulated that newly emergent
miRNAs are generally expressed at low levels and with spatiotemporally restricted
expression domains, thus minimising effects of spurious targeting on animal
transcriptomes. Here we present ovarian miRNA transcriptome data for two
geographically distinct populations of the Speckled Wood butterfly (Pararge
aegeria). A total of 74 miRNAs were identified, including 11 newly discovered and
evolutionarily-young miRNAs, bringing the total of miRNA genes known from P.
aegeria up to 150. We find a positive correlation between miRNA age and
expression level. A common set of 55 miRNAs are expressed in both populations.
From this set, we identify seven that are consistently either ovary-specific or
highly upregulated in ovaries relative to other tissues. This 'ovary set'
includes miRNAs with known contributions to ovarian function in other insect
species with similar ovaries and mode of oogenesis, including miR-989 and miR
2763, plus new candidates for ovarian function. We also note that conserved
miRNAs are overrepresented in the ovary relative to the whole body.
PMID- 26556802
TI - Sperm Proteome Maturation in the Mouse Epididymis.
AB - In mammals, transit through the epididymis, which involves the acquisition, loss
and modification of proteins, is required to confer motility and fertilization
competency to sperm. The overall dynamics of maturation is poorly understood, and
a systems level understanding of the complex maturation process will provide
valuable new information about changes occurring during epididymal transport. We
report the proteomes of sperm collected from the caput, corpus and cauda segments
of the mouse epididymis, identifying 1536, 1720 and 1234 proteins respectively.
This study identified 765 proteins that are present in sperm obtained from all
three segments. We identified 1766 proteins that are potentially added (732) or
removed (1034) from sperm during epididymal transit. Phenotypic analyses of the
caput, corpus and cauda sperm proteomes identified 60 proteins that have known
sperm phenotypes when mutated, or absent from sperm. Our analysis indicates that
as much as one-third of proteins with known sperm phenotypes are added to sperm
during epididymal transit. GO analyses revealed that cauda sperm are enriched for
specific functions including sperm-egg recognition and motility, consistent with
the observation that sperm acquire motility and fertilization competency during
transit through the epididymis. In addition, GO analyses revealed that the
immunity protein profile of sperm changes during sperm maturation. Finally, we
identified components of the 26S proteasome, the immunoproteasome, and a
proteasome activator in mature sperm.
PMID- 26556803
TI - Gene Expression Profiles from Disease Discordant Twins Suggest Shared Antiviral
Pathways and Viral Exposures among Multiple Systemic Autoimmune Diseases.
AB - Viral agents are of interest as possible autoimmune triggers due to prior
reported associations and widely studied molecular mechanisms of antiviral immune
responses in autoimmunity. Here we examined new viral candidates for the
initiation and/or promotion of systemic autoimmune diseases (SAID), as well as
possible related signaling pathways shared in the pathogenesis of those
disorders. RNA isolated from peripheral blood samples from 33 twins discordant
for SAID and 33 matched, unrelated healthy controls was analyzed using a custom
viral-human gene microarray. Paired comparisons were made among three study
groups-probands with SAID, their unaffected twins, and matched, unrelated healthy
controls-using statistical and molecular pathway analyses. Probands and
unaffected twins differed significantly in the expression of 537 human genes, and
107 of those were associated with viral infections. These 537 differentially
expressed human genes participate in overlapping networks of several canonical,
biologic pathways relating to antiviral responses and inflammation. Moreover,
certain viral genes were expressed at higher levels in probands compared to
either unaffected twins or unrelated, healthy controls. Interestingly, viral gene
expression levels in unaffected twins appeared intermediate between those of
probands and the matched, unrelated healthy controls. Of the viruses with
overexpressed viral genes, herpes simplex virus-2 (HSV-2) was the only human
viral pathogen identified using four distinct oligonucleotide probes
corresponding to three HSV-2 genes associated with different stages of viral
infection. Although the effects from immunosuppressive therapy on viral gene
expression remain unclear, this exploratory study suggests a new approach to
evaluate shared viral agents and antiviral immune responses that may be involved
in the development of SAID.
PMID- 26556804
TI - Long-Term Structural and Functional Myocardial Adaptations in Healthy Living
Kidney Donors: A Pilot Study.
AB - BACKGROUND AND AIMS: Compensatory renal hypertrophy following unilateral
nephrectomy (UNX) occurs in the remaining kidney. However, the long-term cardiac
adaptive process to UNX remains poorly defined in humans. Our goal was to
characterize myocardial structure and function in living kidney donors (LKDs),
approximately 12 years after UNX. METHODS AND RESULTS: Cardiac function and
structure in 15 Italian LKDs, at least 5 years after UNX (median time from
donation = 8.4 years) was investigated and compared to those of age and sex
matched U.S. citizens healthy controls (n = 15). Standard and speckle tracking
echocardiography (STE) was performed in both LKDs and controls. Plasma
angiotensin II, aldosterone, atrial natriuretic peptide (ANP), N terminus pro B
type natriuretic peptide (NT-proBNP), cyclic guanylyl monophosphate (cGMP), and
amino-terminal peptide of procollagen III (PIIINP) were also collected. Median
follow-up was 11.9 years. In LKDs, LV geometry and function by STE were similar
to controls, wall thickness and volumes were within normal limits also by CMR. In
LKDs, CMR was negative for myocardial fibrosis, but apical rotation and LV
torsion obtained by STE were impaired as compared to controls (21.4 +/- 7.8 vs
32.7 +/- 8.9 degrees, p = 0.04). Serum creatinine and PIIINP levels were
increased [1.1 (0.9-1.3) mg/dL, and 5.8 (5.4-7.6)] MUg/L, respectively), while
urinary cGMP was reduced [270 (250-355) vs 581 (437-698) pmol/mL] in LKDs. No LKD
developed cardiovascular or renal events during follow-up. CONCLUSIONS: Long-term
kidney donors have no apparent structural myocardial abnormalities as assessed by
contrast enhanced CMR. However, myocardial deformation of the apical segments, as
well as apical rotation, and LV torsion are reduced. The concomitant increase in
circulating PIIINP level is suggestive of fibrosis. Further studies, focused on
US and EU patients are warranted to evaluate whether these early functional
modifications will progress to a more compromised cardiac function and structure
at a later time.
PMID- 26556805
TI - Preclinical Bioassay of a Polypropylene Mesh for Hernia Repair Pretreated with
Antibacterial Solutions of Chlorhexidine and Allicin: An In Vivo Study.
AB - INTRODUCTION: Prosthetic mesh infection constitutes one of the major
complications following hernia repair. Antimicrobial, non-antibiotic biomaterials
have the potential to reduce bacterial adhesion to the mesh surface and adjacent
tissues while avoiding the development of novel antibiotic resistance. This study
assesses the efficacy of presoaking reticular polypropylene meshes in
chlorhexidine or a chlorhexidine and allicin combination (a natural antibacterial
agent) for preventing bacterial infection in a short-time hernia-repair rabbit
model. METHODS: Partial hernia defects (5 x 2 cm) were created on the lateral
right side of the abdominal wall of New Zealand White rabbits (n = 21). The
defects were inoculated with 0.5 mL of a 106 CFU/mL Staphylococcus aureus
ATCC25923 strain and repaired with a DualMesh Plus antimicrobial mesh or a
Surgipro mesh presoaked in either chlorhexidine (0.05%) or allicin-chlorhexidine
(900 MUg/mL-0.05%). Fourteen days post-implant, mesh contraction was measured and
tissue specimens were harvested to evaluate bacterial adhesion to the implant
surface (via sonication, S. aureus immunolabeling), host-tissue incorporation
(via staining, scanning electron microscopy) and macrophage response (via RAM-11
immunolabeling). RESULTS: The polypropylene mesh showed improved tissue
integration relative to the DualMesh Plus. Both the DualMesh Plus and the
chlorhexidine-soaked polypropylene meshes exhibited high bacterial clearance,
with the latter material showing lower bacterial yields. The implants from the
allicin-chlorhexidine group displayed a neoformed tissue containing differently
sized abscesses and living bacteria, as well as a diminished macrophage response.
The allicin-chlorhexidine coated implants exhibited the highest contraction.
CONCLUSIONS: The presoaking of reticular polypropylene materials with a low
concentration of chlorhexidine provides the mesh with antibacterial activity
without disrupting tissue integration. Due to the similarities found with the
antimicrobial DualMesh Plus material, the chlorhexidine concentration tested
could be utilized as a prophylactic treatment to resist infection by prosthetic
mesh during hernia repair.
PMID- 26556806
TI - Novel Pathways Revealed in Bursa of Fabricius Transcriptome in Response to
Extraintestinal Pathogenic Escherichia coli (ExPEC) Infection.
AB - Extraintestinal pathogenic Escherichia coli (ExPEC) has major negative impacts on
human and animal health. Recent research suggests food-borne links between human
and animal ExPEC diseases with particular concern for poultry contaminated with
avian pathogenic E. coli (APEC), the avian ExPEC. APEC is also a very important
animal pathogen, causing colibacillosis, one of the world's most widespread
bacterial diseases of poultry. Previous studies showed marked atrophy and
lymphocytes depletion in the bursa during APEC infection. Thus, a more
comprehensive understanding of the avian bursa response to APEC infection will
facilitate genetic selection for disease resistance. Four-week-old commercial
male broiler chickens were infected with APEC O1 or given saline as a control.
Bursas were collected at 1 and 5 days post-infection (dpi). Based on lesion
scores of liver, pericardium and air sacs, infected birds were classified as
having mild or severe pathology, representing resistant and susceptible
phenotypes, respectively. Twenty-two individual bursa RNA libraries were
sequenced, each yielding an average of 27 million single-end, 100-bp reads. There
were 2469 novel genes in the total of 16,603 detected. Large numbers of
significantly differentially expressed (DE) genes were detected when comparing
susceptible and resistant birds at 5 dpi, susceptible and non-infected birds at 5
dpi, and susceptible birds at 5 dpi and 1 dpi. The DE genes were associated with
signal transduction, the immune response, cell growth and cell death pathways.
These data provide considerable insight into potential mechanisms of resistance
to ExPEC infection, thus paving the way to develop strategies for ExPEC
prevention and treatment, as well as enhancing innate resistance by genetic
selection in animals.
PMID- 26556807
TI - The Encoding of Decision Difficulty and Movement Time in the Primate Premotor
Cortex.
AB - Estimating the difficulty of a decision is a fundamental process to elaborate
complex and adaptive behaviour. In this paper, we show that the movement time of
behaving monkeys performing a decision-making task is correlated with decision
difficulty and that the activity of a population of neurons in ventral Premotor
cortex correlates with the movement time. Moreover, we found another population
of neurons that encodes the discriminability of the stimulus, thereby supplying
another source of information about the difficulty of the decision. The activity
of neurons encoding the difficulty can be produced by very different
computations. Therefore, we show that decision difficulty can be encoded through
three different mechanisms: 1. Switch time coding, 2. rate coding and 3. binary
coding. This rich representation reflects the basis of different functional
aspects of difficulty in the making of a decision and the possible role of
difficulty estimation in complex decision scenarios.
PMID- 26556809
TI - Brevibacillus sediminis sp. nov., isolated from a hot spring.
AB - Strain YIM 78300T, a novel Gram-stain-positive, moderately thermophilic,
endospore-forming, rod-shaped, motile bacterium, was recovered from the sediment
of a hot spring in the Tagejia Geothermal Field, Angren, Tibet province, western
China. Optimum growth was observed at 50-55 degrees C, at pH 7.0 and with 0-1.5
% (w/v) NaCl. Phylogenetic analysis of the 16S rRNA gene sequence of strain YIM
78300T indicated that it belongs to the genus Brevibacillus. Similarity levels
between the 16S rRNA gene sequences of the new isolate and those of the type
strains of Brevibacillus members were 96.9-96.3 %; highest sequence similarity
was with Brevibacillus thermoruber DSM 7064T. The predominant menaquinone was MK
7 and the major cellular fatty acids were iso-C15 : 0 and iso-C17 : 0. The major
polar lipids were phosphatidyl-N-methylethanolamine, phosphatidylethanolamine,
phosphatidylglycerol, diphosphatidylglycerol, two unidentified phospholipids, an
unidentified aminophospholipid and two unidentified polar lipids. The G+C content
of the genomic DNA of strain YIM 78300T was 57.9 mol%. Based on phylogenetic
analyses, and physiological and biochemical characteristics, strain YIM 78300T is
considered to represent a novel species of the genus Brevibacillus, for which the
name Brevibacillus sediminis sp. nov. is proposed. The type strain is YIM 78300T
( = DSM 29928T = CPCC 100738T).
PMID- 26556810
TI - [Not Available].
PMID- 26556811
TI - Late Vitamin K Deficient Bleeding in 2 Young Infants--Renaissance of a
Preventable Disease.
AB - INTRODUCTION: Late vitamin K deficiency bleeding in young infants is a rare
disorder which occurs almost exclusively in breast-fed infants who did not
receive proper vitamin K prophylaxis at birth and who might additionally suffer
from cholestasis. Its impact on morbidity is high since in 50% of the cases it
presents with intracranial hemorrhage with a mortality rate of 20% and life-long
neurologic sequelae in 30% of the affected infants. CASE REPORTS: 2 male infants
were both admitted to our unit at the age of 5 weeks with subdural hematoma with
midline shift due to late vitamin K deficiency bleeding. Both infants did not
receive the recommended Vitamin K prophylaxis in Germany. One patient presented
with cholestatic jaundice on admission as an additional risk factor. DISCUSSION:
Parents who in the apparent best interest for their children refuse the
recommended and well established vitamin K prophylaxis at birth leading to the
reappearance of late vitamin K deficiency bleeding. These parents also tend to
refuse routine immunizations of childhood in later life, which not only have an
impact on their own child but might bear a risk for the whole community.
CONCLUSION: It is the responsibility of health-care takers to show increased
awareness to the growing number of parents refusing vitamin K prophylaxis at
birth and educate them properly about the devastating consequences of late
vitamin K deficiency bleeding.
PMID- 26556813
TI - Acting on incidental findings in research imaging.
PMID- 26556812
TI - Clinical and molecular study in a long-surviving patient with MLASA syndrome due
to novel PUS1 mutations.
AB - Myopathy-lactic acidosis-sideroblastic anemia (MLASA) syndrome is a rare
autosomal recessive disease. We studied a 43-year-old female presenting since
childhood with mild cognitive impairment and sideroblastic anemia. She later
developed hepatopathy, cardiomyopathy, and insulin-dependent diabetes. Muscle
weakness appeared in adolescence and, at age 43, she was unable to walk. Two
novel different mutations in the PUS1 gene were identified: c.487delA
(p.I163Lfs*4) and c.884 G>A (p.R295Q). Quantitative analysis of DNA from skeletal
muscle biopsies showed a significant increase in mitochondrial DNA (mtDNA)
content in the patient compared to controls. Clinical and molecular findings of
this patient widen the genotype-phenotype spectrum in MLASA syndrome.
PMID- 26556814
TI - Intrinsic brain abnormalities in irritable bowel syndrome and effect of anxiety
and depression.
AB - : This resting-state functional magnetic resonance imaging (rs-fMRI) study
investigated intrinsic brain abnormalities in irritable bowel syndrome (IBS) and
effect of anxiety and depression. Thirty IBS patients and 31 matched healthy
controls underwent rs-fMRI scanning. Regional brain activity was evaluated by
measuring the amplitude of low-frequency fluctuation (ALFF) and compared between
IBS patients and healthy controls with a two-sample t-test. Areas with abnormal
ALFF were further used as seeds in subsequent inter-regional functional
connectivity (FC) analysis. Statistical analyses were also performed by including
anxiety and depression as covariates to evaluate their effect. Compared to
healthy controls, IBS patients showed decreased ALFF in several core default mode
network regions (medial prefrontal cortex [MPFC], posterior cingulate cortex
[PCC], bilateral inferior parietal cortices [IPC]), and in middle frontal cortex,
right orbital part of the superior frontal gyrus (ORBsup), dorsal anterior
cingulate cortex (dACC), and ventral anterior cingulated cortex (vACC), while
they showed increased ALFF in bilateral posterior insula and cuneus. In addition,
IBS patients revealed decreased inter-regional positive FC between MPFC and right
ORBsup, between vACC and PCC, as well as decreased negative FC between MPFC and
left posterior insula, while they showed increased negative FC between MPFC and
cuneus. The inclusion of anxiety and depression as covariates abolished ALFF
differences in dACC and vACC, but none of the FC differences. IN CONCLUSION: IBS
patients had disturbed intrinsic brain function. High levels of anxiety and
depression in IBS patients could account for their decreased intrinsic brain
activity in regions (the ACC) involved in affective processing.
PMID- 26556815
TI - Successes of SPRINT, but Still Some Hurdles to Cross.
PMID- 26556816
TI - Monashia flava gen. nov., sp. nov., an actinobacterium of the family
Intrasporangiaceae.
AB - A novel actinobacterial strain, MUSC 78T, was isolated from a mangrove soil
collected from Peninsular Malaysia. The taxonomic status of this strain was
determined using a polyphasic approach. Comparative 16S rRNA gene sequence
analysis revealed that strain MUSC 78T represented a novel lineage within the
class Actinobacteria. Strain MUSC 78T formed a distinct clade in the family
Intrasporangiaceae and was related most closely to members of the genera
Terrabacter (98.3-96.8 % 16S rRNA gene sequence similarity), Intrasporangium
(98.2-96.8 %), Humibacillus (97.2 %), Janibacter (97.0-95.3 %), Terracoccus (96.8
%), Kribbia (96.6 %), Phycicoccus (96.2-94.7 %), Knoellia (96.1-94.8 %),
Tetrasphaera (96.0-94.9 %) and Lapillicoccus (95.9 %). Cells were irregular rod
shaped or cocci and stained Gram-positive. The cell-wall peptidoglycan type was
A3gamma, with ll-diaminopimelic acid as the diagnostic diamino acid. The main
cell-wall sugar was mannose and lower amounts of galactose and rhamnose were
present. The predominant menaquinone was MK-8(H4). The polar lipid profile
consisted of phosphatidylglycerol, phosphatidylinositol,
phosphatidylethanolamine, diphosphatidylglycerol and phosphoglycolipid. The
predominant fatty acids were iso-C15 : 0, anteiso-C15 : 0 and iso-C16 : 0. The
DNA G+C content was 73.1 mol%. Based on this polyphasic study, MUSC 78T exhibited
phylogenetic and phenotypic differences from members of the genera of the family
Intrasporangiaceae, and therefore a novel species of a new genus, Monashia flava
gen. nov., sp. nov., is proposed. The type strain of Monashia flava is MUSC 78T (
= DSM 29621T = MCCC 1K00454T = NBRC 110749T).
PMID- 26556817
TI - Intensive blood pressure control reduces cardiovascular events, studies show.
PMID- 26556818
TI - Flavitalea soli sp. nov. isolated from soil.
AB - A Gram-stain-negative, rod-shaped, non-flagellated, mesophilic, yellow-pigmented,
aerobic bacterium, designated strain KIS20-3T, was isolated from a soil sample of
Baengnyeong Island in Onjin county, Republic of Korea. According to 16S rRNA gene
sequence analysis, the isolate showed highest similarities with Flavitalea populi
HY-50RT (94.5 %), Niastella populi THYL-44T (94.2 %) and Flavitalea gansuensis
JCN-23T (93.7 %). The neighbour-joining tree based on 16S rRNA gene sequences
showed that strain KIS20-3T formed a subcluster with members of the genus
Flavitalea, and this subcluster was closely related to members of the genera
Niastella, Segetibacter and Parasegetibacter within the family Chitinophagaceae.
The major fatty acids of strain KIS20-3T were iso-C15 : 0, iso-C17 : 0 3-OH and
iso-C15 : 1 G, and the predominant isoprenoid quinone was menaquinone 7. The
polar lipid profile comprised large amounts of phosphatidylethanolamine and one
unknown polar lipid, and moderate or small amounts of four unknown
aminophospholipids, two unknown aminolipids, three unknown lipids and one unknown
phospholipid. The G+C content of the DNA of strain KIS20-3T was 55.7 mol%. On the
basis of the results of the polyphasic characterization presented in this study,
it is concluded that strain KIS20-3T represents a novel species of the genus
Flavitalea, for which the name Flavitalea soli sp. nov. is proposed. The type
strain is KIS20-3T ( = KACC 17319T = JCM 19937T).
PMID- 26556819
TI - Sentinel lymph node biopsy in melanoma.
PMID- 26556820
TI - General practice commissioning: in whose interests?
PMID- 26556821
TI - Sex Differences in the Presentation, Care, and Outcomes of Transient Ischemic
Attack: Results From the Ontario Stroke Registry.
AB - BACKGROUND AND PURPOSE: Little is known about whether sex differences exist in
the presentation, management, and outcomes of transient ischemic attack. METHODS:
We conducted a cohort study of 5991 consecutive patients with transient ischemic
attack admitted to 11 stroke centers in Ontario, Canada, between July 1, 2003,
and March 31, 2008 and compared presenting symptoms, processes of care, and
outcomes in women and men. We used linkages to administrative databases to
evaluate mortality and recurrent vascular events within 30 days and 1 year of the
initial presentation, with multivariable analyses to assess whether sex
differences persisted after adjustment for age and comorbid conditions. RESULTS:
The most common presenting symptoms for both sexes were weakness, speech
impairment, and sensory deficit, with headache being slightly more frequent in
women. Women were less likely than men to undergo carotid imaging, carotid
endarterectomy, or receive lipid-lowering therapy. One-year mortality was
slightly lower in women than in men (adjusted hazard ratio, 0.77; 95% confidence
interval, 0.63-0.94). CONCLUSIONS: We found only minor sex differences in the
presentation and management of transient ischemic attack, suggesting that current
public awareness campaigns focusing on classic warning signs are appropriate for
both women and men. Future work should focus on evaluating whether lower rates of
carotid imaging, endarterectomy, and lipid-lowering therapy in women reflect
undertreatment of women or are appropriate based on patient eligibility.
PMID- 26556822
TI - Plasma d-Dimer and Incident Ischemic Stroke and Coronary Heart Disease: The
Atherosclerosis Risk in Communities Study.
AB - BACKGROUND AND PURPOSE: Epidemiological studies have documented that plasma d
dimer, a fibrin degradation product, is a risk marker for coronary heart disease,
but there is limited prospective evidence for stroke. Given that thrombosis is a
key mechanism for many strokes, we studied whether d-dimer is a risk marker for
ischemic stroke incidence in the Atherosclerosis Risk in Communities (ARIC)
Study. METHODS: We measured d-dimer in 11 415 ARIC participants free of stroke
and coronary heart disease in 1992 to 1995. We followed them for stroke, stroke
subtype, and coronary heart disease events through 2012. RESULTS: Over a median
of 18 years of follow-up, 719 participants had incident strokes (628 ischemic and
91 hemorrhagic). d-dimer was associated positively with risk of total, ischemic,
and cardioembolic strokes, with risk elevated primarily for the highest quintile
of d-dimer. After adjustment for other cardiovascular risk factors, the hazard
ratio for the highest versus lowest quintile of d-dimer was 1.30 (95% confidence
interval, 1.02-1.67) for total stroke, 1.33 (95% confidence interval, 1.02-1.73)
for ischemic stroke, and 1.79 (95% confidence interval, 1.08-2.95) for
cardioembolic stroke. There was no association with hemorrhagic, lacunar, or
nonlacunar stroke categories. d-dimer was positively but weakly associated with
coronary heart disease incidence. CONCLUSIONS: A higher basal plasma d-dimer
concentration in the general population is a risk marker for ischemic stroke,
especially cardioembolic stroke.
PMID- 26556823
TI - Predictors of Stroke After Transient Ischemic Attack in Children.
AB - BACKGROUND AND PURPOSE: Transient ischemic attack (TIA) in children has received
far less attention compared with TIA in adults. The risk factors of stroke after
TIA in children are relatively unknown. We aimed to determine the percentage of
children who have stroke after TIA and the risk factors associated with stroke
after TIA. METHODS: We searched the medical records at Boston Children's Hospital
for the year 2010 to find children who were evaluated for TIA to determine
associated risk factors of stroke after TIA. We included children who were
evaluated in 2009 through 2010 for TIA and had magnetic resonance imaging. We
examined follow-up imaging through August 2014 for subsequent stroke. Logistic
regression was used to calculate odds ratios for factors in our cohort who are
associated with stroke after presentation with TIA. RESULTS: We identified 63
children who experienced a TIA. The mean time of imaging follow-up was 4.5 years
after TIA presentation. Of the 63 children, 10 (16%) developed radiological
evidence of ischemic cerebral injury within the follow-up period. Four of the 10
(6%) demonstrated diffusion abnormalities on magnetic resonance imaging at TIA
presentation, whereas 8 (13%) had a stroke after their TIA. Arteriopathy, female
sex, and autoimmune disorders were significantly associated with stroke after
TIA. CONCLUSIONS: In our cohort of children, stroke occurred after TIA at a rate
similar to that seen in adults, but the risk factors for stroke after TIA in
children are different.
PMID- 26556825
TI - Impact of Leukoaraiosis Burden on Hemispheric Lateralization of the National
Institutes of Health Stroke Scale Deficit in Acute Ischemic Stroke.
AB - BACKGROUND AND PURPOSE: The National Institutes of Health Stroke Scale (NIHSS)
awards higher deficit scores for infarcts in the dominant hemisphere when
compared with otherwise similar infarcts in the nondominant hemisphere. This has
been shown to adversely affect stroke recognition, therapeutic decisions, and
outcome. However, factors modifying the association between infarct side and
deficit severity are incompletely understood. Thus, we sought to determine
whether age and age-related leukoaraiosis alter the relation between NIHSS
deficit score and the side and volume of infarction. METHODS: We studied 238
patients with supratentorial, nonlacunar ischemic infarcts prospectively included
in our stroke registry between January 2013 and January 2014. NIHSS deficit
severity was assessed at the time of presentation. Infarct volumes were assessed
by manual planimetry on diffusion-weighted imaging. Leukoaraiosis burden was
graded on fluid-attenuated inversion recovery images according to the Fazekas
scale and dichotomized to none-to-mild (0-2) versus severe (3-6). Multivariable
linear regression with backward elimination was used to identify independent
predictors of the admission NIHSS. RESULTS: Left-hemispheric infarction
(P<0.001), severe leukoaraiosis (P=0.001), their interaction term (P=0.005),
infarct volume (P<0.001), and sex (P=0.013) were independently associated with
the NIHSS deficit. Analysis of the individual NIHSS components showed that severe
leukoaraiosis was associated with an increase of the lateralizing components of
the NIHSS in patients with right-hemispheric infarction (P<0.05). CONCLUSIONS:
Severe leukoaraiosis substantially attenuates the classic hemispheric
lateralization of the NIHSS deficit by relating to greater NIHSS scores of
components that are typically assigned to left hemisphere function.
PMID- 26556824
TI - Risk of Recurrent Arterial Ischemic Stroke in Childhood: A Prospective
International Study.
AB - BACKGROUND AND PURPOSE: Published cohorts of children with arterial ischemic
stroke (AIS) in the 1990s to early 2000s reported 5-year cumulative recurrence
rates approaching 20%. Since then, utilization of antithrombotic agents for
secondary stroke prevention in children has increased. We sought to determine
rates and predictors of recurrent stroke in the current era. METHODS: The
Vascular Effects of Infection in Pediatric Stroke (VIPS) study enrolled 355
children with AIS at 37 international centers from 2009 to 2014 and followed them
prospectively for recurrent stroke. Index and recurrent strokes underwent central
review and confirmation, as well as central classification of causes of stroke,
including arteriopathies. Other predictors were measured via parental interview
or chart review. RESULTS: Of the 355 children, 354 survived their acute index
stroke, and 308 (87%) were treated with an antithrombotic medication. During a
median follow-up of 2.0 years (interquartile range, 1.0-3.0), 40 children had a
recurrent AIS, and none had a hemorrhagic stroke. The cumulative stroke
recurrence rate was 6.8% (95% confidence interval, 4.6%-10%) at 1 month and 12%
(8.5%-15%) at 1 year. The sole predictor of recurrence was the presence of an
arteriopathy, which increased the risk of recurrence 5-fold when compared with an
idiopathic AIS (hazard ratio, 5.0; 95% confidence interval, 1.8-14). The 1-year
recurrence rate was 32% (95% confidence interval, 18%-51%) for moyamoya, 25% (12%
48%) for transient cerebral arteriopathy, and 19% (8.5%-40%) for arterial
dissection. CONCLUSIONS: Children with AIS, particularly those with arteriopathy,
remain at high risk for recurrent AIS despite increased utilization of
antithrombotic agents. Therapies directed at the arteriopathies themselves are
needed.
PMID- 26556826
TI - Estimating Weight of Patients With Acute Stroke When Dosing for Thrombolysis.
AB - BACKGROUND AND PURPOSE: Estimating patient weight forms an important part of
emergency ischemic stroke management guiding the dose of alteplase (tissue-type
plasminogen activator). Weighing patients with stroke can be logistically
challenging and time consuming, potentially delaying treatment times. We aimed to
assess the reliability of approximating weight to determine recombinant tissue
type plasminogen activator dose and whether potential inaccurate dosing affected
patient outcomes. METHODS: Two hundred forty-two consecutive patients were
studied at a large tertiary stroke center. Estimated and actual measured weight,
alteplase dose, and pre-and post-modified Rankin Scale/National Institute of
Health Stroke Scale outcome were recorded for each patient. RESULTS: Clinicians
significantly underestimated weights by 1.13 kg (range, -43 to +18 kg; SD, 7.14;
P<0.05). The difference between estimated and actual weight proved to be greatest
in the heaviest third of patients (-4.51 kg; SD, 8.35; P<0.001), resulting in
19.7% of patients receiving a deviation of at least 10% from the recommended
recombinant tissue-type plasminogen activator dose. On average, the heaviest
third of patients received an underdose of 0.04 mg/kg and were found to have a
greater baseline National Institute of Health Stroke Scale on admission
(P<0.001). National Institute of Health Stroke Scale improvement by day 7 or on
discharge was significantly reduced in patients weighing >78 kg (National
Institute of Health Stroke Scale score difference of 4.0 points, P<0.05) than in
lighter individuals. CONCLUSIONS: Clinicians are poor at approximating the
weights of patients with stroke in the acute setting, especially when patients
lie at the extremes of weight. Beds capable of weighing patients should be
mandated in emergency rooms for patients with acute stroke.
PMID- 26556827
TI - Former Duke University oncologist is guilty of research misconduct, US officials
find.
PMID- 26556828
TI - India's HIV prevention programme is running out of condoms.
PMID- 26556830
TI - Delivery of an Ebola Virus-Positive Stillborn Infant in a Rural Community Health
Center, Sierra Leone, 2015.
AB - We report the case of an Ebola virus (EBOV) RNA-negative pregnant woman who
delivered an EBOV RNA-positive stillborn infant at a community health center in
rural Sierra Leone, 1 month after the mother's last possible exposure. The mother
was later found to be immunoglobulins M and G positive indicating previous
infection. The apparent absence of Ebola symptoms and not recognizing that the
woman had previous contact with an Ebola patient led health workers performing
the delivery to wear only minimal personal protection, potentially exposing them
to a high risk of EBOV infection. This case emphasizes the importance of
screening for epidemiological risk factors as well as classic and atypical
symptoms of Ebola when caring for pregnant women, even once they have passed the
typical time frame for exposure and incubation expected in nonpregnant adults. It
also illustrates the need for health-care workers to use appropriate personal
protection equipment when caring for pregnant women in an Ebola setting.
PMID- 26556831
TI - Validation of a Point-of-Care Circulating Cathodic Antigen Urine Cassette Test
for Schistosoma mansoni Diagnosis in the Sahel, and Potential Cross-Reaction in
Pregnancy.
AB - On the shores of Lake Chad, schistosomiasis among mobile pastoralists was
investigated in a field laboratory. Point-of-care circulating cathodic antigen
(POC-CCA) cassette test, reagent strip, and filtration were conducted on urine
samples. Fresh stool samples were subjected to the Kato-Katz technique, and fixed
samples were examined with an ether-concentration method at a reference
laboratory. POC-CCA urine cassette tests revealed a Schistosoma mansoni
prevalence of 6.9%, compared with only 0.5% by stool microscopy. Three pregnant
women with otherwise negative urine and stool testing had positive POC-CCA. This
observation raises concern of cross-reactivity in pregnancy. Hence, two pregnant
women in Switzerland with no history of schistosomiasis were subjected to POC-CCA
and one tested positive. Our data suggest that POC-CCA can be performed under
extreme Sahelian conditions (e.g., temperatures > 40 degrees C), and it is more
sensitive than stool microscopy for S. mansoni diagnosis. However, potential
cross-reactivity in pregnancy needs further investigation.
PMID- 26556829
TI - ALS5/SPG11/KIAA1840 mutations cause autosomal recessive axonal Charcot-Marie
Tooth disease.
AB - Charcot-Marie-Tooth disease is a group of hereditary peripheral neuropathies that
share clinical characteristics of progressive distal muscle weakness and atrophy,
foot deformities, distal sensory loss, as well as diminished tendon reflexes.
Hundreds of causative DNA changes have been found, but much of the genetic basis
of the disease is still unexplained. Mutations in the ALS5/SPG11/KIAA1840 gene
are a frequent cause of autosomal recessive hereditary spastic paraplegia with
thin corpus callosum and peripheral axonal neuropathy, and account for ~ 40% of
autosomal recessive juvenile amyotrophic lateral sclerosis. The overlap of axonal
Charcot-Marie-Tooth disease with both diseases, as well as the common autosomal
recessive inheritance pattern of thin corpus callosum and axonal Charcot-Marie
Tooth disease in three related patients, prompted us to analyse the
ALS5/SPG11/KIAA1840 gene in affected individuals with autosomal recessive axonal
Charcot-Marie-Tooth disease. We investigated 28 unrelated families with autosomal
recessive axonal Charcot-Marie-Tooth disease defined by clinical,
electrophysiological, as well as pathological evaluation. Besides, we screened
for all the known genes related to axonal autosomal recessive Charcot-Marie-Tooth
disease (CMT2A2/HMSN2A2/MFN2, CMT2B1/LMNA, CMT2B2/MED25, CMT2B5/NEFL,
ARCMT2F/dHMN2B/HSPB1, CMT2K/GDAP1, CMT2P/LRSAM1, CMT2R/TRIM2, CMT2S/IGHMBP2,
CMT2T/HSJ1, CMTRID/COX6A1, ARAN-NM/HINT and GAN/GAN), for the genes related to
autosomal recessive hereditary spastic paraplegia with thin corpus callosum and
axonal peripheral neuropathy (SPG7/PGN, SPG15/ZFYVE26, SPG21/ACP33, SPG35/FA2H,
SPG46/GBA2, SPG55/C12orf65 and SPG56/CYP2U1), as well as for the causative gene
of peripheral neuropathy with or without agenesis of the corpus callosum
(SLC12A6). Mitochondrial disorders related to Charcot-Marie-Tooth disease type 2
were also excluded by sequencing POLG and TYMP genes. An additional locus for
autosomal recessive Charcot-Marie-Tooth disease type 2H on chromosome 8q13-21.1
was excluded by linkage analysis. Pedigrees originated in Italy, Brazil, Canada,
England, Iran, and Japan. Interestingly, we identified 15 ALS5/SPG11/KIAA1840
mutations in 12 families (two sequence variants were never reported before,
p.Gln198* and p.Pro2212fs*5). No large deletions/duplications were detected in
these patients. The novel mutations seemed to be pathogenic since they co
segregated with the disease in all pedigrees and were absent in 300 unrelated
controls. Furthermore, in silico analysis predicted their pathogenic effect. Our
results indicate that ALS5/SPG11/KIAA1840 is the causative gene of a wide
spectrum of clinical features, including autosomal recessive axonal Charcot-Marie
Tooth disease.
PMID- 26556832
TI - Changing Dynamics of Human-Rickettsial Interactions.
PMID- 26556833
TI - Primary Giant Splenic Echinococcal Cyst Treated by Laparoscopy.
AB - Cystic echinococcosis (CE) is a zoonosis caused by the larval stage of the dog
tapeworm Echinococcus granulosus. Liver and lungs are the most commonly affected
organs whereas splenic infection is rarer and its primary involvement occurs in
less than 2% of abdominal CE. We report a case of primary giant splenic hydatid
cyst in a 75-year-old Peruvian woman that was laparoscopically removed without
any complications, perioperative prophylactic chemotherapy with albendazole 400
mg twice a day 5 days before, and 7 days after the surgical procedure was
administered, postoperative recovery was uneventful, and; at her 3-month follow
up the patient remains asymptomatic and an abdominal computed tomography scan
demonstrated a cystic cavity of 15 cm diameter with no daughter vesicles, neither
other abdominal organ involvement. This case is in line with the existing
literature on laparoscopical treatment of splenic cystic hydatid disease,
suggesting that laparoscopical treatment is a safe and effective approach for
large splenic hydatid cysts to be preferred to open surgical techniques.
PMID- 26556834
TI - Polymerase Chain Reaction in the Diagnosis of Visceral Leishmaniasis Recurrence
in the Setting of Negative Splenic Smears.
AB - This report presents two cases of visceral leishmaniasis (VL) recurrence where
the microscopy of the splenic smear failed in diagnosis. However, a strong
clinical suspicion compelled further evaluation by polymerase chain reaction
(PCR), which validated the etiology. This short report highlights the usefulness
of PCR in diagnosing cases of suspected smear-negative VL recurrence.
PMID- 26556835
TI - The microwave syndrome or electro-hypersensitivity: historical background.
AB - Microwave generating equipment first became common during World War 2 with the
development of radar. Soviet bloc countries reported that individuals exposed to
microwaves frequently developed headaches, fatigue, loss of appetite, sleepiness,
difficulty in concentration, poor memory, emotional instability, and labile
cardiovascular function, and established stringent exposure standards. For a
variety of reasons these reports were discounted in Western countries, where the
prevailing belief was that there could be no adverse health effects of
electromagnetic fields (EMFs) that were not mediated by tissue heating. The
reported Soviet effects were at lower intensities than those that cause heating.
However, there were several accidental exposures of radar operators in Western
countries that resulted in persistent symptoms similar to those described above.
The Soviets irradiated the US Embassy in Moscow with microwaves during the period
1953-1975, and while no convincing evidence of elevated cancer rates was
reported, there were reports of "microwave illness". Officials passed these
complaints off as being due to anxiety, not effects of the microwave exposure.
There is increasing evidence that the "microwave syndrome" or "electro
hypersensitivity" (EHS) is a real disease that is caused by exposure to EMFs,
especially those in the microwave range. The reported incidence of the syndrome
is increasing along with increasing exposure to EMFs from electricity, WiFi,
mobile phones and towers, smart meters and many other wireless devices. Why some
individuals are more sensitive is unclear. While most individuals who report
having EHS do not have a specific history of an acute exposure, excessive
exposure to EMFs, even for a brief period of time, can induce the syndrome.
PMID- 26556836
TI - Normal weight obesity among young adults in Trinidad and Tobago: prevalence and
associated factors.
AB - BACKGROUND: Patients with normal weight obesity (NWO) have a normal body mass
index (BMI) but elevated body fat percentage (BF%), thereby increasing their risk
of cardiovascular and metabolic disorders. The purpose of this research was to
determine the prevalence of NWO and its associated factors in a sample of young
adults in Trinidad and Tobago (T&T). METHODS: A cross sectional study involving a
convenience non-voluntary sample of participants with a normal BMI of 18.5-24.9
kg/m2 was conducted. The following information was collected: history, basic
anthropometric measurements, including BF% via the Tanita Ironman Body
Composition Analyzer (BC554), physical examination and basic blood
investigations. Participants were divided into two groups; normal BF% (<23.1%
males, <33.3% females) and elevated BF% (>=23.1% males, >=33.3% females).
RESULTS: Two hundred and thirty-six students participated, F:M (2.1:1), aged 18
28 years [Mean 21.33 (SD 2.5)], mean BMI 21.66 (SD 1.9). A response rate of
80.3%. The prevalence of NWO for this population was 19.9% [95% confidence
interval (CI) 15.1-25.7]. Males 14.4% (95% CI 10.3-19.7) and females 5.5% (95% CI
3.1-9.5). Variables with a statistically significant association with NWO
included gender, waist circumference, waist-to-hip ratio (WHR), systolic blood
pressure (BP), the ratio of total cholesterol (TC) to high density lipoprotein
and in females, the presence of polycystic ovarian syndrome (PCOS) (p<0.05).
Binary logistic regression revealed that predictors of NWO were male gender and
waist circumference. CONCLUSIONS: One in five of this young adult population was
found to have NWO. Long-term studies are recommended to study the full
implications of these findings.
PMID- 26556837
TI - Health seeking behaviour and health awareness among rural and urban adolescents
in Dehradun District, Uttarakhand, India.
AB - BACKGROUND: Adolescents constituted 19% population of India in 2011. Adolescents
have health seeking behaviour different from that of adults. We estimated the
utilisation of available health care services by adolescents and awareness
regarding various health issues in the urban and rural Dehradun District,
Uttarakhand, India. We also described knowledge and practices of public sector
health care providers. METHODOLOGY: We conducted a cross-sectional survey among
adolescents 10-19 years in the urban Dehradun and rural Chakrata block of the
Dehradun District. We used cluster sampling with sample size 680 each in urban
and rural areas. We collected data from adolescents using semi structured
questionnaire on health awareness and utilisation of health care services. Public
sector health care providers were surveyed about their knowledge and practices
regarding adolescents health. RESULTS: We surveyed 1463 adolescents. The overall
mean age was 14.4 (2.6) years, about half being females. Half of the adolescents
who had any illness used the public sector. Awareness about anaemia was 48% in
urban and 12% in rural areas. A higher proportion of females (Rural: 89%, Urban:
76%) were aware of condoms as contraceptives than males (Rural: 68%, Urban: 12%).
Only 62% of doctors and 49% of paramedical staff had knowledge regarding services
under Adolescents Reproductive and Sexual Health (ARSH). CONCLUSION: Awareness
regarding various health issues was low among males as compared to females,
especially in rural areas. School based health promotion programs should be
carried out to increase awareness among adolescents. Health facilities should be
strengthened to provide adolescent friendly health services to enhance
utilisation.
PMID- 26556838
TI - Tubo-ovarian abscess in non sexually active adolescents.
AB - A tubo-ovarian abscess is a rare presentation in non-sexually active adolescents;
only 11 cases have been reported in the literature. Variable approaches for
diagnosis and management are described. We present a 19-year-old, non-sexually
active, medically free girl, who had an abdominopelvic mass with abdominal pain
and vomiting followed by fever. She had a confusing presentation of malignancy
versus tuberculosis, with the help of imaging, diagnosis and treatment with
percutaneous drainage, conservative treatment was achieved. Diagnosis of a tubo
ovarian abscess is difficult in non-sexually active adolescents, a high clinical
index of suspicion is important as misdiagnosis may lead to radical and
aggressive management, conservative management is possible in many of these
patients.
PMID- 26556839
TI - Prevalence and correlates of suicidal ideation and attempts among children and
adolescents.
AB - Suicide is a potentially preventable public health issue. It is therefore
important to examine its immediate precursors, including suicidal ideation and
attempts, to help in the development of future public health interventions. The
present study reports the prevalence of suicidal ideation and attempts in the
past 12 months in children and adolescents and identifies correlates of such
behaviors in a large and diverse sample of middle and high school students. Data
were drawn from a representative sample of Ottawa students (n=1922) aged 11-20
years (14.4+/-1.9 years) from three cycles (2009, 2011 and 2013) of the Ontario
Student Drug Use and Health Survey (OSDUHS), a cross-sectional school-based
appraisal of students in grades 7-12 across Ontario, Canada. Overall, 10.8% of
students exhibited suicidal ideation and 3.0% reported suicide attempts in the
past 12 months. The conditional probability of making an attempt was 25.5% among
suicide ideators. Multivariable analyses indicated that being a girl and using
alcohol and cannabis were positively associated with suicidal ideation, while
tobacco was positively associated with suicide attempts. Being a victim of school
bullying was significantly associated with reports of suicidal ideation and
attempts, whereas school connectedness had protective effects against both
suicidal ideation and attempts. These results indicate that suicidal ideation and
attempts are related to other risky behaviors. Suicide-prevention efforts should
be integrated within broader health-promoting initiatives.
PMID- 26556840
TI - Prevalence of stress and stress tolerance levels among adolescent boys - a
district level cross sectional study in South India.
AB - BACKGROUND: Adolescence is a tumultuous period of hormonal and psychological
transitions ranging from 10 to 19 years (WHO). This growth phase is usually
accompanied by stressors. Very few studies have reported the prevalence of stress
levels among adolescent boys. Our aim was to assess the prevalence and
determinants of stress and its tolerance levels among high school boys. METHODS:
A cross sectional study design was selected for this purpose. A standardized self
reported questionnaire was administered to 1153 high school boys. A score of 130
and more was used to classify the participants as stressed. RESULTS: The
prevalence of stress and its tolerance levels was found to be 55% and 33.7%,
respectively. Also correlations suggest that parental education and occupational
status play a significant role in adolescent stress. Together, these findings
suggest necessitating an intervention to counter the same. CONCLUSION: The
proportion of stressed adolescent boys (55.5%) reported to have poor stress
tolerance (41%). Studies addressing stress among high school adolescents remain
unexplored. The basic determinants of stress are not researched upon these age
groups. Our study reports the prevalence of stress to be 55% among high school
boys. This could be the fundamental basis of designing suitable stress management
programs for adolescents that could reduce their stress levels.
PMID- 26556841
TI - Differences in use of contraception by age, sex and HIV status of 10-19-year-old
adolescents in Nigeria.
AB - OBJECTIVE: The study tried to identify predictors of good knowledge of
contraceptive methods and use of condoms at the last sexual act with a
girl/boyfriend by adolescents aged 10-19 years in Nigeria. It also tried to find
the association between age, sex and HIV status with the study outcomes. METHODS:
This was a cross sectional study that recruited a geographically representative
sample of 749 adolescents living with HIV (ALHIV) and 825 HIV negative/untested
adolescents aged 10-19 years in Nigeria. A face to face interviewer-administered
questionnaire was used to collect information on their socio-demographic profile,
awareness of contraceptive methods, and use of contraceptives. Study participants
were recruited from six of the 36 states in Nigeria and the Federal Capital
Territory. Chi-square was used to establish association between self-reported HIV
status, age and sex, and awareness of contraceptive methods and condom use during
last sex act. Significant predictors of 'good knowledge of modern contraceptive'
and 'use of condoms by sexually active adolescents at last sex act' were also
determined using logistic regression. RESULTS: Being a student significantly
reduced the odds of having good knowledge about modern contraceptives (OR: 0.46;
CI: 0.32-0.65; p<0.001). Having a tertiary level of education (OR: 1.36; CI: 1.12
1.64; p=0.002) and being HIV negative (OR: 2.92; CI: 1.98-4.32; p<0.001)
increased the odds of having good knowledge of modern contraceptives. The only
significant predictor for use of condoms at last sexual intercourse with a
boy/girlfriend is self-efficacy about being about to negotiate condom use with a
boy/girlfriend (OR: 141.01; CI: 14.99-1326.36; p<0.001). CONCLUSION: The study
findings reiterate the need to introduce adolescents in general and ALHIV
specifically to condom use in early adolescence prior to commencing sexual
activities; and the need to provide adolescent friendly sexual and reproductive
health services in Nigeria.
PMID- 26556842
TI - Characteristics of the most viewed YouTubeTM videos related to bullying.
AB - Bullying is an intentional act that can wreak havoc in the life of an individual.
With more than 1 billion users, YouTubeTM is a powerful medium for disseminating
information. The purpose of this study was to describe the extent to which
content related to bullying is present on YouTubeTM with respect to source,
content, number of views, length, and year uploaded. Collectively, the videos in
this sample were viewed more than half a billion times. The source of the most
widely viewed videos was consumers, and none of the most widely viewed videos was
posted by a governmental agency or a professional organization. The most common
content in the videos was describing or depicting violence (n=89). Over one-half
addressed getting help (n=56). Suicide was mentioned in 38 of the videos.
Additional investment by professional agencies is warranted to improve
understanding about ways to increase the dissemination of positive messages about
bullying prevention, and about helping adolescents who are bullied on social
media.
PMID- 26556843
TI - Burnout, depression and anxiety in preclinical medical students: a cross
sectional survey.
AB - OBJECTIVE: The purpose of this study was to assess the prevalences and correlates
of adverse affective states (burnout-, depression- and anxiety-related symptoms)
among preclinical medical students. METHODS: Self-report questionnaires were sent
to all preclinical medical students of Leiden University Medical Center (n=1311).
Burnout-related symptoms were measured using the Maslach Burnout Inventory
General Survey (MBI-GS), depression and anxiety-related symptoms and vitality
using the Symptom Questionnaire-48 (SQ-48). Furthermore, duration of sleep,
quality of life (SF-36), need for recovery, happiness and dispositional optimism
were assessed and analysed in relation to affective symptoms using regression
analysis. RESULTS: Among the 433 responders (response rate=33.0%), prevalences of
self-reported burnout-, depression- and anxiety-related symptoms were 46.0%
(n=199), 27.0% (n=117) and 29.1% (n=126), respectively. Independent correlates
for burnout-related symptoms were <6 h sleep per night (p=0.02), low happiness
(p<0.001) and a high need for recovery (p<0.001). Independent correlates for both
depression- and anxiety-related symptoms were low optimism (p<0.001; p<0.001,
respectively), low happiness (p<0.001; p=0.001, respectively) and a high need for
recovery (p=0.03; p<0.001, respectively). CONCLUSION: Prevalences for adverse
affective states were high among preclinical medical students and mainly
associated with personality trait-related factors and need for recovery, rather
than work-related factors. These findings suggest that being a medical student
increases one's risk to adverse affective states, and should inspire preventative
initiatives.
PMID- 26556844
TI - Is parenting a determinant of adolescent mental health? - A population based
study in South India.
AB - BACKGROUND: The transitional phase encompassing the physiological and
psychological changes during our lifespan is termed as adolescence. Adolescents
get mislead to substance use, violence related activities, dating relationships,
unhealthy lifestyle. Minimal studies are conducted in India to identify the
parenting factors that affect an adolescent's mind. The aim of our study was to
explore the role of parenting and social surroundings on - adolescent's mental
health and involvement in violence related activities. METHODS: Cross sectional
study design was adopted. Semi structured questionnaire was used. Data obtained
was entered and analyzed using SPSS 15. Proportions were used to report the
findings. Chi-square test was used to find associations between mental health
issues, involvement in violence related activities and Interpersonal Relationship
(IPR) Status. Multiple logistic regressions were done to identify independent
predictors of mental health. RESULTS: A total of 1770 adolescents participated.
Proportion of adolescents with good IPR with parents reported to be having a
better mental health status and low involvement in violent related activities.
Schools also displayed similar effects. Neighborhood, peers did not display any
significant effect on adolescent's mental health. Most significant predictor for
adolescent mental health was IPR with parents and at school. CONCLUSION: The
study highlights the need of a cordial environment at places which does influence
the adolescent's mental health. Interventions enhancing the relationship status
of adolescents with parents, at school must be carried out to observe the change
in adolescent behavior.
PMID- 26556845
TI - Cerivastatin represses atherogenic gene expression through the induction of KLF2
via isoprenoid metabolic pathways.
AB - Earlier clinical studies have reported that cerivastatin has an anti
atherosclerotic effect that is unique among the statins. In our study, human THP
1 macrophage cells were used to study the effects of various statins on the
expressions of the atherosclerotic genes and Kruppel-like factor 2 (KLF2).
Cerivastatin significantly inhibited the two atherosclerotic genes, monocyte
chemoattractant protein-1 (MCP-1) and C-C chemokine receptor type 2 (CCR2) at
both the mRNA and protein levels, while the other statins did not. Accordingly,
cerivastatin was also the most potent inducer of KLF2 transcription in the
macrophages. An siRNA-induced reduction in KLF2 expression blocked the inhibition
of MCP-1 and CCR2 by cerivastatin. When the cells were further treated with
mevalonate, farnesylpyrophosphate (FPP) or geranylgeranyl pyrophosphate (GGPP),
the effects of cerivastatin on KLF2, MCP-1 and CCR2 were obviously reversed.
Thus, the results showed that cerivastatin was a potent inhibitor of the
inflammation genes MCP-1 and CCR2 through the induction of KLF2. The regulation
of MCP-1, CCR2 and KLF2 by cerivastatin was isoprenoid pathway dependent. Our
studies suggest that the effect of cerivastatin on atherosclerotic genes and KLF2
expression may contribute to the cardioprotection observed in reported clinical
studies.
PMID- 26556846
TI - MGL induces nuclear translocation of EndoG and AIF in caspase-independent T cell
death.
AB - Macrophage galactose-type lectin (MGL) participates in the regulation of T cell
apoptosis, but the exact death pathway remains unclear. Here, we demonstrated
that MGL-induced T cell death occurs in a caspase-independent manner.
Furthermore, MGL treatment triggers the translocation of endonuclease G (EndoG)
and apoptosis-inducing factor (AIF) from the mitochondria to the nucleus. Because
galectin-1 (Gal-1) can also initiate similar mitochondrial events, we speculate
that this death pathway may be widely used by the lectin family.
PMID- 26556847
TI - Differential effect of intranasally administrated kinin B1 and B2 receptor
antagonists in Alzheimer's disease mice.
AB - An Increasing body of evidence supports a critical role of brain inflammation in
the pathogenesis of Alzheimer's disease. A principal aspect of the brain immune
response to inflammation is the activation of microglia. It has been shown that
the kinin system is activated during brain inflammation and previously we
demonstrated that bradykinin B1 receptor agonist reduced microglial activation in
vitro. The aim of the present study was to investigate the effects of bradykinin
B1 or B2 receptor antagonists on microglial release of pro-inflammatory factors
in BV2 microglia. In vivo, we focused on the effects of intranasally given kinin
antagonists on amyloid burden and microglia/macrophage marker expression in
brains of 5X familial Alzheimer's disease mice. The present data show that
pharmacological antagonism of B1 receptor (R-715) but not B2 receptor (HOE-140)
markedly increased nitric oxide and tumor necrosis factor alpha release from BV2
microglial cells. We also showed that intranasal treatment with R-715 but not HOE
140 of Alzheimer's mice enhanced amyloid beta burden and microglia/macrophages
activation. Taken together, our data reveal a possible role for the bradykinin B1
receptor in neuroinflammation and in the control of Abeta accumulation in
transgenic mice, possibly through regulation of glial cell responses.
PMID- 26556849
TI - Art of airway management: the concept of 'Ma' (Japanese: , when 'less is more').
PMID- 26556850
TI - Difficult Airway Society 2015 guidelines for the management of unanticipated
difficult intubation in adults: not just another algorithm.
PMID- 26556851
TI - EpCAM based capture detects and recovers circulating tumor cells from all
subtypes of breast cancer except claudin-low.
AB - PURPOSE: The potential utility of circulating tumor cells (CTCs) as liquid
biopsies is of great interest. We hypothesized that CTC capture using EpCAM based
gating is feasible for most breast cancer subtypes. RESULTS: Cancer cells could
be recovered from all intrinsic subtypes of breast cancer with IE/FACS, however,
claudin-low cell lines showed very low capture rates compared to the four other
groups (p = 0.03). IE/FACS detection of CTC mimic cells was time sensitive,
emphasizing controlling for pre-analytic variables in CTC studies. Median
fluorescent intensity for flow cytometry and RNA flow cell type characterization
were highly correlated, predicting for CTC isolation across molecular subtypes.
RNA-Seq of IE/FACS sorted single cell equivalents showed high correlation
compared to bulk cell lines, and distinct gene expression signatures compared to
PB. MATERIALS AND METHODS: Ten cell lines representing all major subtypes of
breast cancer were spiked (as CTC mimics) into and recovered from peripheral
blood (PB) using immunomagnetic enrichment followed by fluorescence-activated
cell sorting (IE/FACS). Flow cytometry and RNA flow were used to quantify the
expression of multiple breast cancer related markers of interest. Two different
RNA-Seq technologies were used to analyze global gene expression of recovered
sorted cells compared to bulk cell lines and PB. CONCLUSIONS: EpCAM based IE/FACS
detected and captured a portion of spiked cells from each of the 10 cell lines
representing all breast cancer subtypes, including basal-like but not claudin-low
cancers. The assay allows for the isolation of high quality RNA suitable for
accurate RNA-Seq of heterogeneous rare cell populations.
PMID- 26556848
TI - Difficult Airway Society 2015 guidelines for management of unanticipated
difficult intubation in adults.
AB - These guidelines provide a strategy to manage unanticipated difficulty with
tracheal intubation. They are founded on published evidence. Where evidence is
lacking, they have been directed by feedback from members of the Difficult Airway
Society and based on expert opinion. These guidelines have been informed by
advances in the understanding of crisis management; they emphasize the
recognition and declaration of difficulty during airway management. A simplified,
single algorithm now covers unanticipated difficulties in both routine intubation
and rapid sequence induction. Planning for failed intubation should form part of
the pre-induction briefing, particularly for urgent surgery. Emphasis is placed
on assessment, preparation, positioning, preoxygenation, maintenance of
oxygenation, and minimizing trauma from airway interventions. It is recommended
that the number of airway interventions are limited, and blind techniques using a
bougie or through supraglottic airway devices have been superseded by video- or
fibre-optically guided intubation. If tracheal intubation fails, supraglottic
airway devices are recommended to provide a route for oxygenation while reviewing
how to proceed. Second-generation devices have advantages and are recommended.
When both tracheal intubation and supraglottic airway device insertion have
failed, waking the patient is the default option. If at this stage, face-mask
oxygenation is impossible in the presence of muscle relaxation, cricothyroidotomy
should follow immediately. Scalpel cricothyroidotomy is recommended as the
preferred rescue technique and should be practised by all anaesthetists. The
plans outlined are designed to be simple and easy to follow. They should be
regularly rehearsed and made familiar to the whole theatre team.
PMID- 26556852
TI - A network-based method to evaluate quality of reproducibility of differential
expression in cancer genomics studies.
AB - BACKGROUND: Personalized cancer treatments depend on the determination of a
patient's genetic status according to known genetic profiles for which targeted
treatments exist. Such genetic profiles must be scientifically validated before
they is applied to general patient population. Reproducibility of findings that
support such genetic profiles is a fundamental challenge in validation studies.
The percentage of overlapping genes (POG) criterion and derivative methods
produce unstable and misleading results. Furthermore, in a complex disease,
comparisons between different tumor subtypes can produce high POG scores that do
not capture the consistencies in the functions. RESULTS: We focused on the
quality rather than the quantity of the overlapping genes. We defined the rank
value of each gene according to importance or quality by PageRank on basis of a
particular topological structure. Then, we used the p-value of the rank-sum of
the overlapping genes (PRSOG) to evaluate the quality of reproducibility. Though
the POG scores were low in different studies of the same disease, the PRSOG was
statistically significant, which suggests that sets of differentially expressed
genes might be highly reproducible. CONCLUSIONS: Evaluations of eight datasets
from breast cancer, lung cancer and four other disorders indicate that quality
based PRSOG method performs better than a quantity-based method. Our analysis of
the components of the sets of overlapping genes supports the utility of the PRSOG
method.
PMID- 26556853
TI - TERT promoter mutations and long telomere length predict poor survival and
radiotherapy resistance in gliomas.
AB - Increasing evidences have implicated somatic gain-of-function mutations at the
telomerase reverse transcriptase (TERT) promoter as one of the major mechanisms
that promote transcriptional activation of TERT and subsequently maintain
telomere length in human cancers including glioma. To investigate the prognostic
value of these mutations and telomere length, individually and their coexistence,
in gliomas, we analyzed two somatic mutations C228T and C250T in the TERT
promoter, relative telomere length (RTL), IDH1 mutation and MGMT methylation in
389 glioma patients, and explored their associations with patient characteristics
and clinical outcomes. Our data showed that C228T and C250T mutations were found
in 17.0% (66 of 389) and 11.8% (46 of 389) of gliomas, respectively, and these
two mutations were mutually exclusive in this cancer. Moreover, they were
significantly associated with WHO grade. We also found that the RTL was
significant longer in gliomas than in meningiomas and normal brain tissues
(Median, 0.89 vs. 0.44 and 0.50; P < 0.001), and demonstrated that the RTL was
strongly correlated with tumor recurrence. Importantly, TERT promoter mutations
or long RTL caused a significantly poorer survival than TERT wild-type or short
RTL. Coexisting TERT promoter mutations and long RTL were more commonly
associated with poor patient survival than they were individually. Notably, the
patients with TERT promoter mutations particularly C228T or long RTL were
resistant to radiotherapy. Collectively, TERT promoter mutations and long RTL are
not only prognostic factors for poor clinical outcomes, but also the predictors
of radiotherapy resistance in gliomas.
PMID- 26556854
TI - Clinical significance of accurate identification of lymph node status in distant
metastatic gastric cancer.
AB - PURPOSE: The clinical consequences of accurately identifying lymph node (LN)
status in distant metastatic gastric cancer (DMGC) are unclear. We aimed to
determine the prognostic significance of N stage, positive LN (PLN) count, and
the positive LN ratio (LNR). We also retrospectively compared survival outcomes
of DMGC patients stratified by LN dissection (LND). RESULTS: LND was performed in
1593 patients. The CSS was significantly different between groups divided
according to N stage, PLN, and LNR in DMGC patients who underwent LND. Lower LNR
was an independent predictor of longer survival in all kinds of patients cohorts,
whereas PLN was not such a predictor. PLN count correlated with LND number and
LNR. No correlation existed between LNR and LND number. Undergoing LND and having
a higher number of dissected LNs were associated with superior CSS. MATERIALS AND
METHODS: Data from 1889 DMGC patients treated between 2004 and 2009, and
documented in the Surveillance, Epidemiology, and End Results (SEER) registry,
were reviewed. Pearson's correlation coefficient and the Chi-square test were
used to study the relationships between LND number, PLN count, N stage, and the
LNR. Cancer-specific survival (CSS) was evaluated using Kaplan-Meier analysis,
with the log-rank test performed for univariate analysis (UVA) and the Cox
proportional hazards model employed for multivariate analysis (MVA). CONCLUSION:
LN metastatic variables play important roles in the prognostic evaluation and
treatment decisions of DMGC patients. Accurate identification of LN status in
DMGC patients is critical. LND performance is associated with increased survival
and has clinical practicability.
PMID- 26556855
TI - The androgen receptor cytosine-adenine-guanine repeat length contributes to the
development of epithelial ovarian cancer.
AB - Ovarian cancer is the main cause of death among women with gynecological
malignancies. Androgen and its receptors play an important role in ovarian cancer
pathogenesis. Here, We aim to evaluate the relationship between AR CAG and GGN
repeat length polymorphisms and Epithelial Ovarian Cancer (EOC) risk in a two
stage, case-control study among Chinese women. The repeat length was analyzed as
a categorical variable for CAG_A and GGN_A (average allele), CAG-S and GGN_S
(shorter allele), CAG-L and GGN_L (longer allele), respectively. The median value
of the repeat length among the controls was used as the cutoff point. Women with
longer AR CAG repeats had a decreased risk of developing EOC. The results was
replicated in an independent samples. Compared to those with shorter (<22) CAG_A
repeat length, women with longer (>=22) CAG_A repeats length had a 31% decreased
EOC risk (OR = 0.69, 95% CI: 0.62-0.77, P = 5.06 * 10-11). For CAG_S and CAG_L,
the results remain consistent. However, we didn't detected any significant
associations for GGN_A, GGN_S, and GGN_L. This should be the first study to
examine the association between AR repeat length polymorphisms and ovarian cancer
risk in a relatively large group of Asian women.
PMID- 26556856
TI - Leptin as a mediator of tumor-stromal interactions promotes breast cancer stem
cell activity.
AB - Breast cancer stem cells (BCSCs) play crucial roles in tumor initiation,
metastasis and therapeutic resistance. A strict dependency between BCSCs and
stromal cell components of tumor microenvironment exists. Thus, novel therapeutic
strategies aimed to target the crosstalk between activated microenvironment and
BCSCs have the potential to improve clinical outcome. Here, we investigated how
leptin, as a mediator of tumor-stromal interactions, may affect BCSC activity
using patient-derived samples (n = 16) and breast cancer cell lines, and
determined the potential benefit of targeting leptin signaling in these model
systems. Conditioned media (CM) from cancer-associated fibroblasts and breast
adipocytes significantly increased mammosphere formation in breast cancer cells
and depletion of leptin from CM completely abrogated this effect. Mammosphere
cultures exhibited increased leptin receptor (OBR) expression and leptin exposure
enhanced mammosphere formation. Microarray analyses revealed a similar expression
profile of genes involved in stem cell biology among mammospheres treated with CM
and leptin. Interestingly, leptin increased mammosphere formation in metastatic
breast cancers and expression of OBR as well as HSP90, a target of leptin
signaling, were directly correlated with mammosphere formation in metastatic
samples (r = 0.68/p = 0.05; r = 0.71/p = 0.036, respectively). Kaplan-Meier
survival curves indicated that OBR and HSP90 expression were associated with
reduced overall survival in breast cancer patients (HR = 1.9/p = 0.022; HR =
2.2/p = 0.00017, respectively). Furthermore, blocking leptin signaling by using a
full leptin receptor antagonist significantly reduced mammosphere formation in
breast cancer cell lines and patient-derived samples. Our results suggest that
leptin/leptin receptor signaling may represent a potential therapeutic target
that can block the stromal-tumor interactions driving BCSC-mediated disease
progression.
PMID- 26556857
TI - The bone marrow microenvironment enhances multiple myeloma progression by exosome
mediated activation of myeloid-derived suppressor cells.
AB - Exosomes, extracellular nanovesicles secreted by various cell types, modulate the
bone marrow (BM) microenvironment by regulating angiogenesis, cytokine release,
immune response, inflammation, and metastasis. Interactions between bone marrow
stromal cells (BMSCs) and multiple myeloma (MM) cells play crucial roles in MM
development. We previously reported that BMSC-derived exosomes directly promote
MM cell growth, whereas the other possible mechanisms for supporting MM
progression by these exosomes are still not clear. Here, we investigated the
effect of BMSC-derived exosomes on the MM BM cells with specific emphasis on
myeloid-derived suppressor cells (MDSCs). BMSC-derived exosomes were able to be
taken up by MM MDSCs and induced their expansion in vitro. Moreover, these
exosomes directly induced the survival of MDSCs through activating STAT3 and
STAT1 pathways and increasing the anti-apoptotic proteins Bcl-xL and Mcl-1.
Inhibition of these pathways blocked the enhancement of MDSC survival.
Furthermore, these exosomes increased the nitric oxide release from MM MDSCs and
enhanced their suppressive activity on T cells. Taken together, our results
demonstrate that BMSC-derived exosomes activate MDSCs in the BM through STAT3 and
STAT1 pathways, leading to increased immunosuppression which favors MM
progression.
PMID- 26556858
TI - MALDI-imaging reveals thymosin beta-4 as an independent prognostic marker for
colorectal cancer.
AB - DNA aneuploidy has been identified as a prognostic factor for epithelial
malignancies. Matrix-assisted laser desorption/ionization (MALDI) imaging mass
spectrometry (IMS) is a powerful tool for direct analysis of multiple proteins in
tissue sections while maintaining the cellular and molecular integrity. We
compared diploid and aneuploid colon cancer tissues against normal mucosa of the
colon by means of IMS. DNA image cytometry determined the ploidy status of tissue
samples that were subsequently subjected to MALDI-IMS. After obtaining protein
profiles through direct analysis of tissue sections, a discovery and independent
validation set were used to predict ploidy status by applying proteomic
classification algorithms [Supervised Neural Network (SNN) and Receiver Operating
Characteristic (ROC)]. Five peaks (m/z 2,395 and 4,977 for diploid vs. aneuploid
comparison as well as m/z 3,376, 6,663, and 8,581 for normal mucosa vs. carcinoma
comparison) were significant in both SNN and ROC analysis. Among these, m/z 4,977
was identified as thymosin beta 4 (Tbeta-4). Tbeta-4 was subsequently validated
in clinical samples using a tissue microarray to predict overall survival in
colon cancer patients.
PMID- 26556859
TI - Combined inhibition of heat shock proteins 90 and 70 leads to simultaneous
degradation of the oncogenic signaling proteins involved in muscle invasive
bladder cancer.
AB - Heat shock protein 90 (HSP90) plays a critical role in the survival of cancer
cells including muscle invasive bladder cancer (MIBC). The addiction of tumor
cells to HSP90 has promoted the development of numerous HSP90 inhibitors and
their use in clinical trials. This study evaluated the role of inhibiting HSP90
using STA9090 (STA) alone or in combination with the HSP70 inhibitor VER155008
(VER) in several human MIBC cell lines. While both STA and VER inhibited MIBC
cell growth and migration and promoted apoptosis, combination therapy was more
effective. Therefore, the signaling pathways involved in MIBC were systematically
interrogated following STA and/or VER treatments. STA and not VER reduced the
expression of proteins in the p53/Rb, PI3K and SWI/SWF pathways. Interestingly,
STA was not as effective as VER or combination therapy in degrading proteins
involved in the histone modification pathway such as KDM6A (demethylase) and
EP300 (acetyltransferase) as predicted by The Cancer Genome Atlas (TCGA) data.
This data suggests that dual HSP90 and HSP70 inhibition can simultaneously
disrupt the key signaling pathways in MIBC.
PMID- 26556860
TI - The Hsp90 inhibitor SNX-7081 is synergistic with fludarabine nucleoside via DNA
damage and repair mechanisms in human, p53-negative chronic lymphocytic leukemia.
AB - Clinical trials of heat shock protein 90 (Hsp90) inhibitors have been limited by
high toxicity. We previously showed that the Hsp90 inhibitor, SNX-7081,
synergizes with and restores sensitivity to fludarabine nucleoside (2-FaraA) in
human chronic lymphocytic leukemia (CLL) cells with lesions in the p53 pathway
(Best OG, et al., Leukemia Lymphoma 53:1367-75, 2012). Here, we used label-free
quantitative shotgun proteomics and comprehensive bioinformatic analysis to
determine the mechanism of this synergy. We propose that 2-FaraA-induced DNA
damage is compounded by SNX-7081-mediated inhibition of DNA repair, resulting in
enhanced induction of apoptosis. DNA damage responses are impaired in part due to
reductions in checkpoint regulators BRCA1 and cyclin D1, and cell death is
triggered following reductions of MYC and nucleolin and an accumulation of
apoptosis-inducing NFkB2 p100 subunit. Loss of nucleolin can activate Fas
mediated apoptosis, leading to the increase of pro-apoptotic proteins (BID, fas
associated factor-2) and subsequent apoptosis of p53-negative, 2-FaraA refractory
CLL cells. A significant induction of DNA damage, indicated by increases in DNA
damage marker gammaH2AX, was observed following the dual drug treatment of
additional cell lines, indicating that a similar mechanism may operate in other
p53-mutated human B-lymphoid cancers. These results provide valuable insight into
the synergistic mechanism between SNX-7081 and 2-FaraA that may provide an
alternative treatment for CLL patients with p53 mutations, for whom therapeutic
options are currently limited. Moreover, this drug combination reduces the
effective dose of the Hsp90 inhibitor and may therefore alleviate any toxicity
encountered.
PMID- 26556861
TI - Therapeutics targeting CD90-integrin-AMPK-CD133 signal axis in liver cancer.
AB - CD90 is used as a marker for cancer stem cell in liver cancer. We aimed to study
the mechanism by which CD90 promoted liver cancer progression and identify the
new therapeutic targets on CD90 signal pathway. Ectopic expression of CD90 in
liver cancer cell lines enhanced anchorage-independent growth and tumor
progression. Furthermore, CD90 promoted sphere formation in vitro and upregulated
the expression of the cancer stem cell marker CD133. The CD133 expression was
higher in CD45-CD90+ cells in liver cancer specimen. The natural carcinogenic
molecules TGF-beta-1, HGF, and hepatitis B surface antigen increased the
expression of CD90 and CD133. Inhibition of CD90 by either shRNA or antibody
attenuated the induction of CD133 and anchorage-independent growth. Lentiviral
delivery of CD133 shRNA abolished the tumorigenicity induced by CD90. Ectopic
expression of CD90 induced mTOR phosphorylation and AMPK dephosphorylation.
Mutation of integrin binding-RLD domain in CD90 attenuated the induction of CD133
and anchorage-independent growth. Similar results were observed after silencing
beta3 integrin. Signaling analyses revealed that AMPK/mTOR and beta3 integrin
were required for the induction of CD133 and tumor formation by CD90.
Importantly, the energy restriction mimetic agent OSU-CG5 reduced the CD90
population in fresh liver tumor sample and repressed the tumor growth. In
contrast, sorafenib did not decrease the CD90+ population. In conclusion, the
signal axis of CD90-integrin-mTOR/AMPK-CD133 is critical for promoting liver
carcinogenesis. Molecules inhibiting the signal axis, including OSU-CG5 and other
inhibitors, may serve as potential novel cancer therapeutic targets in liver
cancer.
PMID- 26556862
TI - MiR-221 promotes stemness of breast cancer cells by targeting DNMT3b.
AB - Cancer stem cells (CSCs) are a small part of the heterogeneous tumor cell
population possessing self-renewal and multilineage differentiation potential as
well as a great ability to sustain tumorigenesis. The molecular pathways
underlying CSC phenotype are not yet well characterized. MicroRNAs (miRs) are
small noncoding RNAs that play a powerful role in biological processes. Early
studies have linked miRs to the control of self-renewal and differentiation in
normal and cancer stem cells. We aimed to study the functional role of miRs in
human breast cancer stem cells (BCSCs), also named mammospheres. We found that
miR-221 was upregulated in BCSCs compared to their differentiated counterpart.
Similarly, mammospheres from T47D cells had an increased level of miR-221
compared to differentiated cells. Transfection of miR-221 in T47D cells increased
the number of mammospheres and the expression of stem cell markers. Among miR
221's targets, we identified DNMT3b. Furthermore, in BCSCs we found that DNMT3b
repressed the expression of various stemness genes, such as Nanog and Oct 3/4,
acting on the methylation of their promoters, partially reverting the effect of
miR-221 on stemness. We hypothesize that miR-221 contributes to breast cancer
tumorigenicity by regulating stemness, at least in part through the control of
DNMT3b expression.
PMID- 26556863
TI - Sorafenib, a multikinase inhibitor, induces formation of stress granules in
hepatocarcinoma cells.
AB - Stress granules (SGs) are cytoplasmic RNA multimeric bodies that form under
stress conditions known to inhibit translation initiation. In most reported
stress cases, the formation of SGs was associated with the cell recovery from
stress and survival. In cells derived from cancer, SGs formation was shown to
promote resistance to either proteasome inhibitors or 5-Fluorouracil used as
chemotherapeutic agents. Despite these studies, the induction of SGs by
chemotherapeutic drugs contributing to cancer cells resistance is still
understudied. Here we identified sorafenib, a tyrosine kinase inhibitor used to
treat hepatocarcinoma, as a potent chemotherapeutic inducer of SGs. The formation
of SGs in sorafenib-treated hepatocarcionoma cells correlates with inhibition of
translation initiation; both events requiring the phosphorylation of the
translation initiation factor eIF2alpha. Further characterisation of the
mechanism of sorafenib-induced SGs revealed PERK as the main eIF2alpha kinase
responsible for SGs formation. Depletion experiments support the implication of
PERK-eIF2alpha-SGs pathway in hepatocarcinoma cells resistance to sorafenib. This
study also suggests the existence of an unexpected complex regulatory balance
between SGs and phospho-eIF2alpha where SGs dampen the activation of the phospho
eIF2alpha-downstream ATF4 cell death pathway.
PMID- 26556865
TI - PPARalpha induces cell apoptosis by destructing Bcl2.
AB - PPARalpha belongs to the peroxisome-proliferator-activated receptors (PPARs)
family, which plays a critical role in inhibiting cell proliferation and
tumorigenesis, while the molecular mechanism is still unclear. Here we report
that PPARalpha serves as an E3 ubiquitin ligase to govern Bcl2 protein stability.
PPARalpha physically bound to Bcl2 protein. In this process, PPARalpha/C102 was
critical for PPARalpha binding to BH3 domain of Bcl2, subsequently, PPARalpha
transferred K48-linked polyubiquitin to lysine-22 site of Bcl2 resulting in its
ubiquitination and proteasome-dependent degradation. Importantly, overexpression
of PPARalpha enhanced cancer cell chemotherapy sensitivity. In contrast, silenced
PPARalpha decreased this event. These findings revealed a novel mechanism of
PPARalpha governed endogenous Bcl2 protein stability leading to reduced cancer
cell chemoresistance, which provides a potential drug target for cancer
treatment.
PMID- 26556864
TI - Chemopreventive effect of resveratrol and apocynin on pancreatic carcinogenesis
via modulation of nuclear phosphorylated GSK3beta and ERK1/2.
AB - Despite progress in clinical cancer medicine in multiple fields, the prognosis of
pancreatic cancer has remained dismal. Recently, chemopreventive strategies using
phytochemicals have gained considerable attention as an alternative in the
management of cancer. The present study aimed to evaluate the chemopreventive
effects of resveratrol (RV) and apocynin (AC) in N-Nitrosobis(2-oxopropyl)amine
induced pancreatic carcinogenesis in hamster. RV- and AC-treated hamsters showed
significant reduction in the incidence of pancreatic cancer with a decrease in Ki
67 labeling index in dysplastic lesions. RV and AC suppressed cell proliferation
of human and hamster pancreatic cancer cells by inhibiting the G1 phase of the
cell cycle with cyclin D1 downregulation and inactivation of AKT-GSK3beta and
ERK1/2 signaling. Further, decreased levels of GSK3beta(Ser9) and ERK1/2
phosphorylation and cyclin D1 expression in the nuclear fraction were observed in
cells treated with RV or AC. Nuclear expression of phosphorylated GSK3beta(Ser9)
was also decreased in dysplastic lesions and adenocarcinomas of hamsters treated
with RV or AC in vivo. These results suggest that RV and AC reduce phosphorylated
GSK3beta(Ser9) and ERK1/2 in the nucleus, resulting in inhibition of the AKT
GSK3beta and ERK1/2 signaling pathways and cell cycle arrest in vitro and in
vivo. Taken together, the present study indicates that RV and AC have potential
as chemopreventive agents for pancreatic cancer.
PMID- 26556866
TI - The putative oncogene, CRNDE, is a negative prognostic factor in ovarian cancer
patients.
AB - The CRNDE gene seems to play an oncogenic role in cancers, though its exact
function remains unknown. Here, we tried to assess its usefulness as a molecular
prognostic marker in ovarian cancer. Based on results of our microarray studies,
CRNDE transcripts were further analyzed by Real-Time qPCR-based profiling of
their expression. The qPCR study was conducted with the use of personally
designed TaqMan assays on 135 frozen tissue sections of ovarian carcinomas from
patients treated with platinum compounds and either cyclophosphamide (PC, N = 32)
or taxanes (TP, N = 103). Elevated levels of two different CRNDE transcripts were
a negative prognostic factor; they increased the risk of death and recurrence in
the group of patients treated with TP, but not PC (DNA-damaging agents only).
Higher associations were found for overexpression of the short CRNDE splice
variant (FJ466686): HR 6.072, 95% CI 1.814-20.32, p = 0.003 (the risk of death);
HR 15.53, 95% CI 3.812-63.28, p < 0.001 (the risk of recurrence). Additionally,
accumulation of the TP53 protein correlated with decreased expression of both
CRNDE transcripts in tumor cells. Our results depict CRNDE as a potential marker
of poor prognosis in women with ovarian carcinomas, and suggest that its
significance depends on the therapeutic regimen used.
PMID- 26556867
TI - Growth-stimulatory activity of TIMP-2 is mediated through c-Src activation
followed by activation of FAK, PI3-kinase/AKT, and ERK1/2 independent of MMP
inhibition in lung adenocarcinoma cells.
AB - Tissue inhibitors of metalloproteinases (TIMPs) control extracellular matrix
(ECM) homeostasis by inhibiting the activity of matrix metalloproteinases (MMPs),
which are associated with ECM turnover. Recent studies have revealed that TIMPs
are implicated in tumorigenesis in both MMP-dependent and MMP-independent
manners. We examined a mechanism by which TIMP-2 stimulated lung adenocarcinoma
cell proliferation, independent of MMP inhibition. The stimulation of growth by
TIMP-2 in A549 cells required c-Src kinase activation. c-Src kinase activity,
induced by TIMP-2, concomitantly increased FAK, phosphoinositide 3-kinase (PI3
kinase)/AKT, and ERK1/2 activation. Selective knockdown of integrin alpha3beta1,
known as a TIMP-2 receptor, did not significantly change TIMP-2 growth promoting
activity. Furthermore, we showed that high TIMP-2 expression in lung
adenocarcinomas is associated with a worse prognosis from multiple cohorts,
especially for stage I lung adenocarcinoma. Through integrated analysis of The
Cancer Genome Atlas data, TIMP-2 expression was significantly associated with the
alteration of driving genes, c-Src activation, and PI3-kinase/AKT pathway
activation. Taken together, our results demonstrate that TIMP-2 stimulates lung
adenocarcinoma cell proliferation through c-Src, FAK, PI3-kinase/AKT, and ERK1/2
pathway activation in an MMP-independent manner.
PMID- 26556868
TI - Recruited mast cells in the tumor microenvironment enhance bladder cancer
metastasis via modulation of ERbeta/CCL2/CCR2 EMT/MMP9 signals.
AB - Early clinical studies suggested that infiltrating mast cells could be associated
with a poor outcome in bladder cancer (BCa) patients. The mechanisms of how mast
cells influence the BCa progression, however, are unclear. Using the human
clinical BCa sample survey and in vitro co-culture systems, we found BCa cells
could recruit more mast cells than the surrounding non-malignant urothelial
cells. The consequences of this better recruitment of mast cells toward BCa cells
could then enhance BCa cell invasion. Mechanism dissection revealed that the
enhanced BCa cell invasion could function via up-regulation of the estrogen
receptor beta (ERbeta) in both mast cells and BCa cells, which resulted in the
increased CCL2/CCR2/EMT/MMP9 signals. Using the pre-clinical mouse BCa model, we
further validated the mast cell-promoted BCa invasion. Interruption of the newly
identified ERbeta/CCL2/CCR2/EMT/MMP9 pathway via either ERbeta-siRNA, ERbeta
antagonist PHTPP, or CCR2 antagonist can effectively reverse the mast cell
enhanced BCa cells invasion. Together, our finding could lead to the development
of an alternative new therapeutic approach to better treat BCa metastasis.
PMID- 26556869
TI - Phenotypic profile of expanded NK cells in chronic lymphoproliferative disorders:
a surrogate marker for NK-cell clonality.
AB - Currently, the lack of a universal and specific marker of clonality hampers the
diagnosis and classification of chronic expansions of natural killer (NK) cells.
Here we investigated the utility of flow cytometric detection of aberrant/altered
NK-cell phenotypes as a surrogate marker for clonality, in the diagnostic work-up
of chronic lymphoproliferative disorders of NK cells (CLPD-NK). For this purpose,
a large panel of markers was evaluated by multiparametric flow cytometry on
peripheral blood (PB) CD56(low) NK cells from 60 patients, including 23 subjects
with predefined clonal (n = 9) and polyclonal (n = 14) CD56(low) NK-cell
expansions, and 37 with CLPD-NK of undetermined clonality; also, PB samples from
10 healthy adults were included. Clonality was established using the human
androgen receptor (HUMARA) assay. Clonal NK cells were found to show decreased
expression of CD7, CD11b and CD38, and higher CD2, CD94 and HLADR levels vs.
normal NK cells, together with a restricted repertoire of expression of the
CD158a, CD158b and CD161 killer-associated receptors. In turn, NK cells from both
clonal and polyclonal CLPD-NK showed similar/overlapping phenotypic profiles,
except for high and more homogeneous expression of CD94 and HLADR, which was
restricted to clonal CLPD-NK. We conclude that the CD94(hi)/HLADR+ phenotypic
profile proved to be a useful surrogate marker for NK-cell clonality.
PMID- 26556871
TI - In-depth characterization of breast cancer tumor-promoting cell transcriptome by
RNA sequencing and microarrays.
AB - Numerous studies have reported the existence of tumor-promoting cells (TPC) with
self-renewal potential and a relevant role in drug resistance. However, pathways
and modifications involved in the maintenance of such tumor subpopulations are
still only partially understood. Sequencing-based approaches offer the
opportunity for a detailed study of TPC including their transcriptome modulation.
Using microarrays and RNA sequencing approaches, we compared the transcriptional
profiles of parental MCF7 breast cancer cells with MCF7-derived TPC (i.e. MCFS).
Data were explored using different bioinformatic approaches, and major findings
were experimentally validated. The different analytical pipelines (Lifescope and
Cufflinks based) yielded similar although not identical results. RNA sequencing
data partially overlapped microarray results and displayed a higher dynamic
range, although overall the two approaches concordantly predicted pathway
modifications. Several biological functions were altered in TPC, ranging from
production of inflammatory cytokines (i.e., IL-8 and MCP-1) to proliferation and
response to steroid hormones. More than 300 non-coding RNAs were defined as
differentially expressed, and 2,471 potential splicing events were identified. A
consensus signature of genes up-regulated in TPC was derived and was found to be
significantly associated with insensitivity to fulvestrant in a public breast
cancer patient dataset. Overall, we obtained a detailed portrait of the
transcriptome of a breast cancer TPC line, highlighted the role of non-coding
RNAs and differential splicing, and identified a gene signature with a potential
as a context-specific biomarker in patients receiving endocrine treatment.
PMID- 26556872
TI - Radiation and SN38 treatments modulate the expression of microRNAs, cytokines and
chemokines in colon cancer cells in a p53-directed manner.
AB - Aberrant expression of miRNAs, cytokines and chemokines are involved in
pathogenesis of colon cancer. However, the expression of p53 mediated miRNAs,
cyto- and chemokines after radiation and SN38 treatment in colon cancer remains
elusive. Here, human colon cancer cells, HCT116 with wild-type, heterozygous and
a functionally null p53, were treated by radiation and SN38. The expression of
384 miRNAs was determined by using the TaqMan(r) miRNA array, and the expression
of cyto- and chemokines was analyzed by Meso-Scale-Discovery instrument. Up- or
down-regulations of miRNAs after radiation and SN38 treatments were largely
dependent on p53 status of the cells. Cytokines, IL-6, TNF-alpha, IL-1beta, Il-4,
IL-10, VEGF, and chemokines, IL-8, MIP-1alpha were increased, and IFN-gamma
expression was decreased after radiation, whereas, IL-6, IFN-gamma, TNF-alpha, IL
1beta, Il-4, IL-10, IL-8 were decreased, and VEGF and MIP-1alpha were increased
after SN38 treatment. Bioinformatic analysis pointed out that the highly up
regulated miRNAs, let-7f-5p, miR-455-3p, miR-98, miR-155-5p and the down
regulated miRNAs, miR-1, miR-127-5p, miR-142-5p, miR-202-5p were associated with
colon cancer pathways and correlated with cyto- or chemokine expression. These
miRNAs have the potential for use in colon cancer therapy as they are related to
p53, pro- or anti-inflammatory cyto- or chemokines after the radiation and SN38
treatment.
PMID- 26556873
TI - Silencing P2X7 receptor downregulates the expression of TCP-1 involved in
lymphoma lymphatic metastasis.
AB - P2X7R is an ATP-gated cation channel that participates in cell proliferation and
apoptosis. TCP-1 assists with the protein folding. According to our previous
research, the P2X7R has a potential role in P388D1 lymphoid neoplasm cells
dissemination to peripheral lymph nodes. In order to make a further exploration
about the probable mechanism, the lymph nodes which metastasized by P2X7R
silenced P388D1 cells or non-silenced cells were analyzed by 2DE and a MALDI-TOF
based proteomics approach. In the 64 proteins which were differentially expressed
between two groups, TCP-1 was found to be significantly decreased in P2X7R shRNA
group compared to controls. This correlation was also found in subsequent
experiments in vivo and in vitro. The positive correlation between P2X7R and TCP
1 was also proved in both lymphoma and benign lymphadenopathy tissues from
patients. It indicates that TCP-1 may be a crucial downstream molecular of P2X7R
and plays a novel role in lymphoid neoplasm metastasis.
PMID- 26556874
TI - Periostin expression in intra-tumoral stromal cells is prognostic and predictive
for colorectal carcinoma via creating a cancer-supportive niche.
AB - Periostin (POSTN) expression in cancer cells and circulation has been related to
poor prognosis of colorectal carcinoma (CRC). However, the role of POSTN
expressed in intra-tumoral stroma on CRC progression remains largely unknown.
This study enrolled 1098 CRC patients who received surgical treatment in Shanghai
and Guangzhou, Mainland China. In Shanghai cohort, immunohistochemistry score of
stromal POSTN expression increased consecutively from adjacent mucosa, primary
CRC tissues, to metastatic CRC tissues (P < 0.001), while medium- and high
stromal POSTN expression, rather than epithelial POSTN expression, independently
predicted unfavorable prognoses of CRC, adjusted for covariates including TNM
stage and postoperative chemotherapy in multivariate Cox models. The results in
Shanghai cohort were faithfully replicated in Guangzhou cohort. Stromal POSTN
expression dose-dependently predicted an unfavorable prognosis of stage III CRC
patients with postoperative chemotherapy in both cohorts. POSTN derived from
colonic fibroblasts or recombinant POSTN significantly promoted proliferation,
anchorage independent growth, invasion, and chemo-resistance of CRC cells;
whereas these effects were counteracted via targeting to PI3K/Akt or Wnt/beta
catenin signaling pathway. CRC cell RKO-derived factor(s) significantly induced
POSTN production in colonic fibroblasts and autocrine POSTN promoted
proliferation, migration, and anchorage independent growth of fibroblasts.
Conclusively, stromal POSTN is prognostic and predictive for CRC via creating a
niche to facilitate cancer progression. Targeting POSTN-induced signaling
pathways may be therapeutic options for metastatic or chemoresistant CRC.
PMID- 26556875
TI - STAT3 blockade enhances the efficacy of conventional chemotherapeutic agents by
eradicating head neck stemloid cancer cell.
AB - Signaling transducer and activator 3 (STAT3) and cancer stem cells (CSCs) have
garnered huge attention as a therapeutic focus, based on evidence that they may
represent an etiologic root of tumor initiation and radio-chemoresistance. Here,
we investigated the high phosphorylation status of STAT3 (p-STAT3) and its
correlation with self-renewal markers in head neck squamous cell carcinoma
(HNSCC). Over-expression of p-STAT3 was found to have increased in post
chemotherapy HNSCC tissue. We showed that blockade of p-STAT3 eliminated both
bulk tumor and side population (SP) cells with characteristics of CSCs in vitro.
Inhibition of p-STAT3 using small molecule S3I-201 significantly delayed
tumorigenesis of spontaneous HNSCC in mice. Combining blockade of p-STAT3 with
cytotoxic drugs cisplatin, docetaxel, 5-fluorouracil (TPF) enhanced the antitumor
effect in vitro and in vivo with decreased tumor sphere formation and SP cells.
Taken together, our results advocate blockade of p-STAT3 in combination with
conventional chemotherapeutic drugs enhance efficacy by improving CSCs
eradication in HNSCC.
PMID- 26556876
TI - Effect of ceritinib (LDK378) on enhancement of chemotherapeutic agents in ABCB1
and ABCG2 overexpressing cells in vitro and in vivo.
AB - Multidrug resistance (MDR) is the leading cause of treatment failure in cancer
chemotherapy. The overexpression of ATP-binding cassette (ABC) transporters,
particularly ABCB1, ABCC1 and ABCG2, play a key role in mediating MDR by pumping
anticancer drugs out from cancer cells. Ceritinib (LDK378) is a second-generation
tyrosine kinase inhibitor of anaplastic lymphoma kinase (ALK) currently in phase
III clinical trial for the treatment of non-small cell lung cancer. Here, we
found that ceritinib remarkably enhanced the efficacy of chemotherapeutic drugs
in ABCB1 or ABCG2 over-expressing cancer cells in vitro and in vivo. Ceritinib
significantly increased the intracellular accumulation of chemotherapeutic agents
such as doxorubicin (DOX) by inhibiting ABCB1 or ABCG2-mediated drug efflux in
the transporters-overexpressing cells. Mechanistically, ceritinib is likely a
competitive inhibitor of ABCB1 and ABCG2 because it competed with [(125)I]
iodoarylazidoprazosin for photo affinity labeling of the transporters. On the
other hand, at the transporters-inhibiting concentrations, ceritinib did not
alter the expression level of ABCB1 and ABCG2, and phosphorylation status of AKT
and ERK1/2. Thus the findings advocate further clinical investigation of
combination chemotherapy of ceritinib and other conventional chemotherapeutic
drugs in chemo-refractory cancer patients.
PMID- 26556879
TI - Erratum for Kulohoma et al., Comparative genomic analysis of meningitis- and
bacteremia-causing pneumococci identifies a common core genome.
PMID- 26556877
TI - gammaKlotho is a novel marker and cell survival factor in a subset of triple
negative breast cancers.
AB - Over the last decade, breast cancer mortality has declined. However, triple
negative breast cancer (TNBC) remains a challenging problem mostly due to early
recurrence and lack of molecularly driven treatments. There is a critical need to
identify subgroups of TNBC with common molecular features that can be
therapeutically targeted. Here we show that in contrast to Klotho and betaKlotho,
the third member of the Klotho protein family, gammaKlotho, is overexpressed in
more than 60% of TNBCs and correlates with poorer disease progression.
Furthermore, we find that gammaKlotho is expressed in a subset of TNBC cell lines
promoting cell growth. Importantly, we demonstrate that in these cells
gammaKlotho is necessary for cell survival and that its depletion leads to
constitutive ERK activation, cell cycle arrest and apoptosis. Interestingly, we
observe increased oxidative stress in gammaKlotho-depleted cells suggesting that
gammaKlotho enables cancer cells to cope with an oxidative environment and that
cells become dependent on its expression to maintain this survival advantage.
These findings indicate that gammaKlotho might be a potential marker for patients
that would benefit from treatments that alter oxidative stress and constitutes a
novel drug target for a subset of TN breast cancers.
PMID- 26556880
TI - Correction for Santander et al., Fur-regulated iron uptake system of Edwardsiella
ictaluri and its influence on pathogenesis and immunogenicity in the catfish
host.
PMID- 26556881
TI - Correction for Zhang et al., Toxin-mediated paracellular transport of antitoxin
antibodies facilitates protection against Clostridium difficile infection.
PMID- 26556883
TI - Stochastic games.
AB - In 1953, Lloyd Shapley contributed his paper "Stochastic games" to PNAS. In this
paper, he defined the model of stochastic games, which were the first general
dynamic model of a game to be defined, and proved that it admits a stationary
equilibrium. In this Perspective, we summarize the historical context and the
impact of Shapley's contribution.
PMID- 26556884
TI - Science and Culture: Of waves and wallpaper.
PMID- 26556885
TI - Structural and functional dynamics of photosynthetic antenna complexes.
PMID- 26556887
TI - Losing ground at midlife in America.
PMID- 26556888
TI - Reply to Fiscella: The phases of biomedical research should be studied to
optimize health outcomes.
PMID- 26556889
TI - Distinguishing phases of biomedical research is critical to improving health
outcomes.
PMID- 26556890
TI - PDLIM7 is a novel target of the ubiquitin ligase Nedd4-1 in skeletal muscle.
AB - Skeletal muscle atrophy remains a complication occurring both as a natural
response to muscle disuse and as a pathophysiological response to illness such as
diabetes mellitus and nerve injury, such as traumatic muscle denervation. The
ubiquitin-proteasome system (UPS) is the predominant proteolytic machinery
responsible for atrophy of skeletal muscle, and Nedd4-1 (neural precursor cell
expressed developmentally down-regulated 4-1) is one of a series of E3 ubiquitin
ligases identified to mediate inactivity-induced muscle wasting. Targets of Nedd4
1 mediated ubiquitination in skeletal muscle remain poorly understood. In the
present study, we identified PDLIM7 (PDZ and LIM domain 7, Enigma), a member of
the PDZ-LIM family of proteins, as a novel target of Nedd4-1 in skeletal muscle.
The PDZ-LIM family of proteins is known to regulate muscle development and
function. We show that Nedd4-1 expression in muscle atrophied by denervation is
co-incident with a decrease in PDLIM7 and that PDLIM7 protein levels are
stabilized in denervated muscle of Nedd4-1 skeletal muscle-specific knockout mice
(SMS-KO). Exogenous PDLIM7 and Nedd4-1 transfected into human embryonic kidney
(HEK)293 cells co-immunoprecipitate through binding between the PY motif of
PDLIM7 and the second and third WW domains of Nedd4-1 and endogenous PDLIM7 and
Nedd4-1 interact in the cytoplasm of differentiated C2C12 myotubes, leading to
PDLIM7 ubiquitination. These results identify PDLIM7 as a bona fide skeletal
muscle substrate of Nedd4-1 and suggest that this interaction may underlie the
progression of skeletal muscle atrophy. This offers a novel therapeutic target
that could be potentially used to attenuate muscle atrophy.
PMID- 26556891
TI - Plasma-derived and synthetic high-density lipoprotein inhibit tissue factor in
endothelial cells and monocytes.
AB - HDL (high-density lipoproteins) exert anti-thrombotic activities by preventing
platelet adhesion and activation and by stimulating the protein C pathway and
fibrinolysis. The aim of the present study was to assess the effect of plasma
derived and synthetic HDL on endothelial and monocyte expression of TF (tissue
factor), the primary initiator of coagulation. HDL inhibited TF expression and
activity in stimulated endothelial cells and monocytes in a dose-dependent way.
Synthetic HDL fully retain the ability to inhibit TF expression in a dose
dependent manner; lipid-free apoA-I (apolipoprotein A-I) was not effective and
neither was sphingosine 1-phosphate involved. HDL-mediated TF inhibition was due
to a modulation of cellular cholesterol content through the interaction with SR
BI (scavenger receptor BI); downstream, HDL inhibited the activation of p38 MAPK
(mitogen-activated protein kinase) and the repression of the PI3K
(phosphoinositide 3-kinase) pathway responsible for TF expression. In vivo, human
apoA-I-transgenic mice displayed a reduced aortic TF expression compared with
wild-type animals and TF plasma levels were increased in subjects with low HDL-C
(HDL-cholesterol) levels compared with high HDL-C subjects. Thus the anti
thrombotic activity of HDL could also be mediated by the inhibition of TF
expression and activity in endothelial cells and monocytes; synthetic HDL retain
the inhibitory activity of plasma-derived HDL, supporting the hypothesis that
synthetic HDL infusion may be beneficial in the setting of acute coronary
syndrome.
PMID- 26556892
TI - Prenatal and lactation nicotine exposure affects Sertoli cell and gonadotropin
levels in rats.
AB - Nicotine is largely consumed in the world as a component of cigarettes. It can
cross the placenta and reach the milk of smoking mothers. This drug induces
apoptosis, affects sex hormone secretion, and leads to male infertility. To
investigate the exposure to nicotine during the whole intrauterine and lactation
phases in Sertoli cells, pregnant rats received nicotine (2 mg/kg per day)
through osmotic minipumps. Male offsprings (30, 60, and 90 days old) had blood
collected for hormonal analysis (FSH and LH) and their testes submitted for
histophatological study, analysis of the frequency of the stages of seminiferous
epithelium cycle, immunolabeling of apoptotic epithelial cells (TUNEL and
Fas/FasL), analysis of the function and structure of Sertoli cells (respectively
using transferrin and vimentin immunolabeling), and analysis of Sertoli-germ cell
junctional molecule (beta-catenin immunolabeling). The exposure to nicotine
increased the FSH and LH plasmatic levels in adult rats. Although nicotine had
not changed the number of apoptotic cells, neither in Fas nor FasL expression, it
provoked an intense sloughing of epithelial cells and also altered the frequency
of some stages of the seminiferous epithelium cycle. Transferrin and beta-catenin
expressions were not changed, but vimentin was significantly reduced in the early
stages of the seminiferous cycle of the nicotine-exposed adult rats. Thus, we
concluded that nicotine exposure during all gestational and lactation periods
affects the structure of Sertoli cells by events causing intense germ cell
sloughing observed in the tubular lumen and can compromise the fertility of the
offspring.
PMID- 26556893
TI - Blood-testis barrier and Sertoli cell function: lessons from SCCx43KO mice.
AB - The gap junction protein connexin43 (CX43) plays a vital role in mammalian
spermatogenesis by allowing for direct cytoplasmic communication between
neighbouring testicular cells. In addition, different publications suggest that
CX43 in Sertoli cells (SC) might be important for blood-testis barrier (BTB)
formation and BTB homeostasis. Thus, through the use of the Cre-LoxP
recombination system, a transgenic mouse line was developed in which only SC are
deficient of the gap junction protein, alpha 1 (Gja1) gene. Gja1 codes for the
protein CX43. This transgenic mouse line has been commonly defined as the SC
specific CX43 knockout (SCCx43KO) mouse line. Within the seminiferous tubule, SC
aid in spermatogenesis by nurturing germ cells and help them to proliferate and
mature. Owing to the absence of CX43 within the SC, homozygous KO mice are
infertile, have reduced testis size, and mainly exhibit spermatogenesis arrest at
the level of spermatogonia, seminiferous tubules containing only SC (SC-only
syndrome) and intratubular SC-clusters. Although the SC specific KO of CX43 does
not seem to have an adverse effect on BTB integrity, CX43 influences BTB
composition as the expression pattern of different BTB proteins (like OCCLUDIN,
beta-CATENIN, N-CADHERIN, and CLAUDIN11) is altered in mutant males. The supposed
roles of CX43 in dynamic BTB regulation, BTB assembly and/or disassembly and its
possible interaction with other junctional proteins composing this unique barrier
are discussed. Data collectively indicate that CX43 might represent an important
regulator of dynamic BTB formation, composition and function.
PMID- 26556894
TI - Effects of Inspiratory Muscle Training and Calisthenics-and-Breathing Exercises
in COPD With and Without Respiratory Muscle Weakness.
AB - BACKGROUND: Patients with COPD may experience respiratory muscle weakness. Two
therapeutic approaches to the respiratory muscles are inspiratory muscle training
and calisthenics-and-breathing exercises. The aims of the study are to compare
the effects of inspiratory muscle training and calisthenics-and-breathing
exercises associated with physical training in subjects with COPD as an
additional benefit of strength and endurance of the inspiratory muscles,
thoracoabdominal mobility, physical exercise capacity, and reduction in dyspnea
on exertion. In addition, these gains were compared between subjects with and
without respiratory muscle weakness. METHODS: 25 subjects completed the study: 13
composed the inspiratory muscle training group, and 12 composed the calisthenics
and-breathing exercises group. Subjects were assessed before and after training
by spirometry, measurements of respiratory muscle strength and test of
inspiratory muscle endurance, thoracoabdominal excursion measurements, and the 6
min walk test. Moreover, scores for the Modified Medical Research Council dyspnea
scale were reported. RESULTS: After intervention, there was a significant
improvement in both groups of respiratory muscle strength and endurance,
thoracoabdominal mobility, and walking distance in the 6-min walk test.
Additionally, there was a decrease of dyspnea in the 6-min walk test peak. A
difference was found between groups, with higher values of respiratory muscle
strength and thoracoabdominal mobility and lower values of dyspnea in the 6-min
walk test peak and the Modified Medical Research Council dyspnea scale in the
inspiratory muscle training group. In the inspiratory muscle training group,
subjects with respiratory muscle weakness had greater gains in inspiratory muscle
strength and endurance. CONCLUSIONS: Both interventions increased exercise
capacity and decreased dyspnea during physical effort. However, inspiratory
muscle training was more effective in increasing inspiratory muscle strength and
endurance, which could result in a decreased sensation of dyspnea. In addition,
subjects with respiratory muscle weakness that performed inspiratory muscle
training had higher gains in inspiratory muscle strength and endurance but not of
dyspnea and submaximal exercise capacity. (ClinicalTrials.gov registration
NCT01510041.).
PMID- 26556895
TI - Complications of Convex-Probe Endobronchial Ultrasound-Guided Transbronchial
Needle Aspiration: A Multi-Center Retrospective Study.
AB - BACKGROUND: Infrequent serious complications of convex-probe endobronchial
ultrasound-guided transbronchial needle aspiration (EBUS-TBNA) have been
reported. The aim of this study was to assess serious complications related to
convex-probe EBUS-TBNA and to determine the complication rate in a large group of
subjects. METHODS: In this retrospective study, a 15-item questionnaire on
features of cases with EBUS-TBNA complications was sent to experienced
bronchoscopists performing convex-probe EBUS-TBNA at 3 pulmonary centers. The
medical records were then reviewed by these bronchoscopists to complete the
questionnaire. Hemorrhage responsive to topical treatment, temporary
laryngospasm/bronchospasm, transient oxygen desaturation, and fever lasting <24 h
were excluded. Only complications requiring further treatment/intervention were
considered serious. The rate of serious complications was calculated from the
obtained data. RESULTS: In a total of 3,123 cases within a 5-y period, EBUS-TBNA
was performed for staging lung cancer in 15.8%, diagnosis in 67.5%, and diagnosis
and staging in 16.3%. Of the 3,123, 11.6% had parenchymal lesions adjacent to
major airways. EBUS-TBNA was performed 11,753 times (3.76/case) at 6,115 lymph
node stations and lesions (1.92/station or lesion). Five serious complications
were recorded (0.16%): fever lasting >24 h, infection of bronchogenic cyst,
mediastinal abscess, pericarditis, and pneumomediastinitis with empyema, each in
one case. Four complications occurred in cases diagnosed with benign disease by
EBUS-TBNA. All complications were treated with broad-spectrum antibiotics. Four
subjects were hospitalized for 21.7 +/- 20.7 d. CONCLUSIONS: Convex-probe EBUS
TBNA is a safe method in general. However, serious complications, including
infections, can be encountered rarely. All precautions should be taken for
complications before and during the procedure.
PMID- 26556896
TI - Evaluation of the Infection-Related Ventilator-Associated Events Algorithm for
Ventilator-Associated Pneumonia Surveillance in a Trauma Population.
AB - BACKGROUND: The Centers for Disease Control and Prevention have recently
introduced new ventilator-associated pneumonia (VAP) surveillance on the basis of
the infection-related ventilator-associated complication (IVAC) definition. We
aim to evaluate the accuracy of this new IVAC algorithm for detecting VAP
according to the 2008 Centers for Disease Control and Prevention/National
Healthcare Safety Network (NHSN) definition as the reference diagnosis (VAP-NHSN)
in high-risk trauma patients. METHODS: This retrospective single-center study
included all trauma subjects who were admitted to the ICU, required mechanical
ventilation for >48 h, and received a blood transfusion. The new IVAC
surveillance and the criteria for VAP-NHSN diagnosis were applied. The accuracy
of the new IVAC surveillance for detecting VAP-NHSN was determined, and the
clinical outcomes were compared between groups. RESULTS: The sensitivity,
specificity, and positive and negative predictive values of IVAC for VAP-NSHN
identification were 28.12%, 91.45, 58.06%, and 75.14%, respectively. Subjects
with IVAC, VAP-NHSN, or both had higher morbidity when compared with those
without IVAC and VAP-NHSN. Subjects with IVAC only had lower morbidity compared
with those with VAP-NHSN only or those with both IVAC and VAP-NHSN. There was no
significant difference in clinical outcomes between subjects with VAP-NHSN only
and those with both IVAC and VAP-NHSN. CONCLUSIONS: IVAC criteria had a low
accuracy for identifying VAP-NHSN in subjects with high-risk trauma.
PMID- 26556898
TI - Patient-Ventilator Interaction During Noninvasive Ventilation in Simulated COPD.
AB - BACKGROUND: During noninvasive ventilation (NIV) of COPD patients, delayed off
cycling of pressure support can cause patient ventilator mismatch and NIV
failure. This systematic experimental study analyzes the effects of varying
cycling criteria on patient-ventilator interaction. METHODS: A lung simulator
with COPD settings was connected to an ICU ventilator via helmet or face mask.
Cycling was varied between 10 and 70% of peak inspiratory flow at different
breathing frequencies (15 and 30 breaths/min) and pressure support levels (5 and
15 cm H2O) using the ventilator's invasive and NIV mode with and without an
applied leakage. RESULTS: Low cycling criteria led to severe expiratory cycle
latency. Augmenting off-cycling reduced expiratory cycle latency (P < .001),
decreased intrinsic PEEP, and avoided non-supported breaths. Setting cycling to
50% of peak inspiratory flow achieved best synchronization. Overall, using the
helmet interface increased expiratory cycle latency in almost all settings (P <
.001). Augmenting cycling from 10 to 40% progressively decreased expiratory
pressure load (P < .001). NIV mode decreased expiratory cycle latency compared
with the invasive mode (P < .001). CONCLUSION: Augmenting the cycling criterion
above the default setting (20-30% peak inspiratory flow) improved patient
ventilator synchrony in a simulated COPD model. This suggests that an individual
approach to cycling should be considered, since interface, level of pressure
support, breathing frequency, and leakage influence patient-ventilator
interaction and thus need to be considered.
PMID- 26556897
TI - The Relationship Among Oxidative and Anti-Oxidative Parameters and
Myeloperoxidase in Subjects With Obstructive Sleep Apnea Syndrome.
AB - BACKGROUND: Obstructive sleep apnea (OSA) is a highly prevalent breathing
disorder in sleep. It is characterized by intermittent hypoxia leading to
hypoxemia, hypercapnia, sleep fragmentation, and increased respiratory efforts.
We evaluated the relationship between OSA and myeloperoxidase activity, the
oxidative stress index (OSI), total anti-oxidative capacity (TAC), and total
oxidative capacity (TOC). METHODS: A total of 70 consecutive subjects (mean age
+/- SD: 51.7 +/- 11.7 y) were diagnosed with OSA after a night polysomnography
recording between January 2014 and June 2014 consecutively. The subjects in the
OSA group were divided according to the severity of the disease into three
subgroups, consisting of 11 mild, 17 moderate OSA, and 22 severe OSA subjects.
Twenty subjects with simple snoring were considered as the control group.
RESULTS: We included a total of 70 subjects: 50 with OSA (11 subjects 6.9% mild,
17 subjects 24.7% moderate, and 22 subjects 68.5% severe) and 20 subjects with
simple snoring as control cases. The mean age of the mild OSA subjects was 44.5
+/- 11.7 y, moderate OSA subjects' mean age was 52.5 +/- 11.9 y, and severe OSA
subjects' mean age was 52.1 +/- 10.1 y; 54.2% were male. There were statistically
significant differences among the 4 groups' OSI, TAC, and TOC levels, but there
was no statistically significant difference between the other values. The mean
myeloperoxidase, TOC, OSI, and TAC levels were 55 +/- 12, 61.2 +/- 21.1, 3.04 +/-
1.04, and 2.03 +/- 0.4 in the mild OSA group; 58.7 +/- 17.2, 60 +/- 18.9, 3.05 +/
1, and 2 +/- 0.33 in the moderate OSA group; 56.6 +/- 17.9, 52.1 +/- 17.9, 2.7
+/- 0.76, and 1.94 +/- 0.24 in the severe OSA group; and 49.8 +/- 12.5, 54.3 +/-
16.4, 3.08 +/- 0.88, and 1.78 +/- 0.26 in the control group, respectively.
CONCLUSIONS: In our study, there were no differences in studied parameters
between control and OSA groups. Furthermore, our low number of cases was a
restrictive factor. Further studies should be undertaken to clarify this
relation.
PMID- 26556899
TI - Prospective Observational Study of Predictors of Re-Intubation Following
Extubation in the Surgical ICU.
AB - BACKGROUND: Re-intubation is associated with high morbidity and mortality. There
is limited information regarding the risk factors that predispose patients
admitted to the surgical ICU to re-intubation. We hypothesized that preoperative
comorbidities, acquired muscular weakness, and renal dysfunction would be
predictors of re-intubation in the surgical ICU population. METHODS: This was a
prospective observational study in 2 surgical ICUs of a large tertiary hospital.
All patients who were extubated during their surgical ICU stay were included.
Demographic and clinical data were collected before and after extubation. The
primary outcome was re-intubation within 72 h. Using multivariate logistic
regression analysis, independent risk factors of re-intubation were determined,
and a prediction score was developed. RESULTS: Between December 1, 2012, and
January 31, 2014, we included 764 consecutive subjects. Of these, 65 subjects
(8.5%) required re-intubation. Independent risk factors of re-intubation were
blood urea nitrogen level of >8.2 mmol/L (odds ratio [OR] 3.66, 95% CI 1.97
6.80), hemoglobin level of <75 g/L (OR 2.10, 95% CI 1.23-3.61), and muscle
strength of <=3 (OR 2.03, 95% CI 1.16-3.55). The presence of all 3 risk factors
was associated with an estimated probability for re-intubation of 26.8%.
CONCLUSIONS: In noncardiac surgery, surgical ICU subjects, elevated blood urea
nitrogen level, low hemoglobin level, and muscle weakness were identified as
independent risk factors for re-intubation. The presence of these risk factors
can potentially aid clinicians in making informed decisions regarding optimal
airway management in patients considered for an extubation attempt.
(ClinicalTrials.gov registration NCT01967056.).
PMID- 26556900
TI - Neonatal Pneumothorax Pressures Surpass Higher Threshold in Lung Recruitment
Maneuvers: An In Vivo Interventional Study.
AB - BACKGROUND: Causing pneumothorax is one of the main concerns of lung recruitment
maneuvers in pediatric patients, especially newborns. Therefore, these maneuvers
are not performed routinely during anesthesia. Our objective was to determine the
pressures that cause pneumothorax in healthy newborns by a prospective
experimental study of 10 newborn piglets (<48 h old) with healthy lungs under
general anesthesia. METHODS: The primary outcome was peak inspiratory pressure
(PIP) causing pneumothorax. Animals under anesthesia and bilateral chest tube
catheterization were randomly allocated to 2 groups: one with PEEP and fixed
inspiratory driving pressure of 15 cm H2O (PEEP group) and the second one with
PEEP = 0 cm H2O and non-fixed inspiratory driving pressure (zero PEEP group). In
both groups, the ventilation mode was pressure-controlled, and PIP was raised at
2-min intervals, with steps of 5 cm H2O until air leak was observed through the
chest tubes. The PEEP group raised PIP through 5-cm H2O PEEP increments, and the
zero PEEP group raised PIP through 5-cm H2O inspiratory driving pressure
increments. RESULTS: Pneumothorax was observed with a PIP of 90.5 +/- 15.7 cm H2O
with no statistically significant differences between the PEEP group (92 +/- 14.8
cm H2O) and the zero PEEP group (89 +/- 18.2 cm H2O). The zero PEEP group had
hypotension, with a PIP of 35 cm H2O; the PEEP group had hypotension, with a PIP
of 60 cm H2O (P = .01). The zero PEEP group presented bradycardia, with PIP of 40
cm H2O; the PEEP group presented bradycardia, with PIP of 70 cm H2O (P = .002).
CONCLUSIONS: Performing recruitment maneuvers in newborns without lung disease is
a safe procedure in terms of pneumothorax. Pneumothorax does not seem to occur in
the clinically relevant PIPs of <50 cm H2O. Hemodynamic impairment may occur with
high driving pressures. More studies are needed to determine the exact
hemodynamic impact of these procedures and pneumothorax PIP in poorly compliant
lungs.
PMID- 26556901
TI - Asthma Control Assessment Tools.
AB - Both the National Asthma Education and Prevention Program Expert Panel Report 3
and the 2015 Global Initiative for Asthma guidelines identify achieving and
maintaining asthma control as goals of therapy, and they emphasize periodic
assessment of asthma control once treatment is established. Accurate assessment
of asthma control is difficult due to the complexity of asthma control and due to
the limitations in the traditional methods of assessment, such as lung function
tests, physician assessment, and patients' self-assessment. Relying solely on the
role of lung function tests is insufficient to reflect the status of asthma
control, since patients with asthma may have normal spirometry between
exacerbations. Clinicians often overestimate the level of asthma control.
Similarly, it is not uncommon for patients to overestimate how well their asthma
is controlled, and, therefore, they under-report asthma symptoms and fail to
recognize the impact that asthma has on their daily life. As a result, several
tools have been developed to quantify the level of asthma control, identify
patients at risk, and evaluate the effect of asthma management. This review
examines the commonly used asthma control assessment tools in terms of content,
psychometric properties, methods of administration, limitations, and ability to
reflect the overall status of asthma control, which can aid clinicians in
selecting the most appropriate tool for their needs.
PMID- 26556902
TI - Aerobic scope explains individual variation in feeding capacity.
AB - Links between metabolism and components of fitness such as growth, reproduction
and survival can depend on food availability. A high standard metabolic rate
(SMR; baseline energy expenditure) or aerobic scope (AS; the difference between
an individual's maximum and SMR) is often beneficial when food is abundant or
easily accessible but can be less important or even disadvantageous when food
levels decline. While the mechanisms underlying these context-dependent
associations are not well understood, they suggest that individuals with a higher
SMR or AS are better able to take advantage of high food abundance. Here we show
that juvenile brown trout (Salmo trutta) with a higher AS were able to consume
more food per day relative to individuals with a lower AS. These results help
explain why a high aerobic capacity can improve performance measures such as
growth rate at high but not low levels of food availability.
PMID- 26556903
TI - Evaluating differential effects using regression interactions and regression
mixture models.
AB - Research increasingly emphasizes understanding differential effects. This paper
focuses on understanding regression mixture models, a relatively new statistical
methods for assessing differential effects by comparing results to using an
interactive term in linear regression. The research questions which each model
answers, their formulation, and their assumptions are compared using Monte Carlo
simulations and real data analysis. The capabilities of regression mixture models
are described and specific issues to be addressed when conducting regression
mixtures are proposed. The paper aims to clarify the role that regression
mixtures can take in the estimation of differential effects and increase
awareness of the benefits and potential pitfalls of this approach. Regression
mixture models are shown to be a potentially effective exploratory method for
finding differential effects when these effects can be defined by a small number
of classes of respondents who share a typical relationship between a predictor
and an outcome. It is also shown that the comparison between regression mixture
models and interactions becomes substantially more complex as the number of
classes increases. It is argued that regression interactions are well suited for
direct tests of specific hypotheses about differential effects and regression
mixtures provide a useful approach for exploring effect heterogeneity given
adequate samples and study design.
PMID- 26556904
TI - Precancerous Lesions of the Cervix, Vulva and Vagina According to the 2014 WHO
Classification of Tumors of the Female Genital Tract.
PMID- 26556905
TI - Statement by the Kommission Ovar of the AGO: The New FIGO and WHO Classifications
of Ovarian, Fallopian Tube and Primary Peritoneal Cancer.
AB - More than 25 years after the last revision, in 2012 the FIGO Oncology Committee
began revising the FIGO classification for staging ovarian, Fallopian tube and
primary peritoneal cancers. The new classification has become effective with its
publication at the beginning of 2014. Following recent findings on the
pathogenesis of ovarian, Fallopian tube and primary peritoneal cancer and
reflecting standard clinical practice, the three entities have now been
classified uniformly. The histological subtype is included (high-grade serous -
HGSC; low-grade serous - LGSC; mucinous - MC; clear cell - CCC; endometrioid -
EC). Stages III and IV have been fundamentally changed: stage IIIA now refers to
a localized tumor limited to the pelvis with (only) retroperitoneal lymph node
metastasis (formerly classified as IIIC). Stage IV has been divided into IVA and
IVB, with IVA defined as malignant pleural effusion and IVB as parenchymatous or
extra-abdominal metastasis including inguinal and mediastinal lymph node
metastasis as well as umbilical metastasis. A new WHO classification was
published almost concurrently. The classification of serous tumors addresses the
issue of the tubal carcinogenesis of serous ovarian cancer, even if no tubal
precursor lesions are found for up to 30 % of serous high-grade cancers. The
number of subgroups was reduced and subgroups now include only high-grade serous,
low-grade serous, mucinous, seromucinous, endometrioid, clear cell and Brenner
tumors. The category "transitional cell carcinomas" has been dropped and the
classification "seromucinous tumors" has been newly added. More attention has
been focused on the role of borderline tumors as a stage in the progression from
benign to invasive lesions.
PMID- 26556906
TI - Gestational Trophoblastic Disorders: An Update in 2015.
AB - Gestational trophoblastic diseases (GTD) are a group of pregnancy-related
disorders representing rare human tumours. They encompass premalignant disorders
including complete (CHM), partial hydatidiform mole (PHM), exaggerated placental
site (EPS), and placental-site nodule (PSN) as well as malignant disorders (also
known as "gestational trophoblastic neoplasia [GTN]") including invasive mole,
choriocarcinoma (CC), placenta-site trophoblastic tumour (PSTT), and epitheloid
trophoblastic tumours (ETT) (Fig. 1). Originally, GTD develop from abnormal
proliferation of trophoblastic tissue and form botryoid arranged vesicles.
Premalignant moles are usually treated by suction curettage while persistent and
recurrent moles and malignant forms require systemic therapy with methotrexate or
combination chemotherapy consisting of etoposide, actimomycin D, methotrexate,
vincristine, and cyclophosphamide (EMA-CO). beta-human chorion gonadotropin (beta
hCG) plays a crucial role in diagnosis and monitoring therapeutic effects. Since
the definitive diagnosis cannot be obtained by histology in most cases,
persistent or recurrent disease is diagnosed by elevated or persistent serum
levels of beta-hCG. While curing rates are described to be as high as 98 %, GTD
may initially present, recur, or end up as a metastasising systemic disease. This
underlines the importance of a regular and consistent follow-up after treatment.
PMID- 26556907
TI - Progression or Regression? - Strengths and Weaknesses of the New Munich
Nomenclature III for Cervix Cytology.
AB - Introduction: Since 01. 01. 2015 the new Munich nomenclature III for
gynaecological diagnostics of the cervix has been in force. The changes have led
to controversial scientific discussions. This study reports for the first time on
the consequences. Materials and Methods: The present data are based on smear
screening results for the year 2014. The data of 63 134 patients were evaluated.
Results: 2.27 % of all smears were remarkable. Group IIa was assigned to 0.91 %.
Group II-p was somewhat more frequently recorded than group IIID1 (0.59 vs. 0.53
%). Groups IIID1 and IIID2 were found in 0.53 and 0.61 %, respectively, of the
cases. Agreement with histology was found in 36.84 and 44.68 %, respectively.
Glandular lesions represented the most frequent changes in group III.
Histological clarification was obtained for 0.18 % of all remarkable findings.
The relative incidence of high-grade precancerous conditions (CIN III) and
invasive tumours amounted to 0.1 %. Conclusion: A close communication between
gynaecologists and cytologists is mandatory for the correct usage of the new
nomenclature. The future annual statistics of the health insurances can now be
analysed in more detail. A statistical classification of glandular epithelial
changes is now also possible for the first time. The heterogeneous group IIa
constitutes an unnecessary uncertainty for patients and physicians. The splitting
of the group IIID does not appear to have any advantage for the further clinical
management. Further studies are needed to show whether or not the classification
can stand up to international comparisons.
PMID- 26556908
TI - Relevance of HPV Screening for Triaging Equivocal Cytology Findings in the Pap II
p, Pap III and Pap IIID Groups - Results of Two Long-Term Studies.
AB - Introduction: The use of HPV screening for the triage of ASC-US (atypical
squamous cells of undetermined significance) cytology results has been
established as a sound standard by international trials whereas the data for
other cytology findings are in part contradictory. There is a lack of long-term
studies on the use of HPV triage in Germany. Materials and Methods: For the
present study data from a primary HPV screening project involving women aged over
30 years, ongoing since 2006, and an epidemiological study on women aged between
20 and 27 years, ongoing since 2009, were used. Upon recruitment, all women
underwent a smear test for cytology and screening for "high-risk" HPV using
Hybrid Capture 2 (HC2). If both tests were positive or if there were persisting
remarkable cytology findings or a positive HPV test, then clarification by
colposcopy was performed. Results: Altogether, among 282 women with Pap II-p (ASC
US), Pap III (ASC-H) or Pap IIID (LSIL + CIN2) and negative HPV test there was no
case of CIN3+. Among the women under 30 years of age, however, 69 % (ASC-US) to
85 % (LSIL + CIN2) of the remarkable findings were HPV positive, also among the
older women with Pap IIID, the 71 % prevalence of HPV was too high for a triage
and even without triage there was a 23 % risk for CIN3+. On the other hand, of
the women over 30 years old with ASC-US (Pap II-p) findings, only 21 % were
positive for HPV and the risk for CIN3+ in this group was high at 29 %. Also for
ASC-H (Pap III) findings in the age group of over 30 years with an HPV prevalence
of 56 % there was an efficient triage for CIN3+. Discussion: In summary, the HPV
triage of ASC-US (Pap II-p) findings in women aged over 30 years was found to be
efficient; in contrast, LSIL + CIN2 (Pap IIID) findings in this age group
justified an immediate referral to colposcopy whereas cytology control appeared
to be sufficient for younger women.
PMID- 26556909
TI - Conflicting Priorities in Social Legislation and Medicine: Gynaeco-oncology
Patients and their Right to Participate in Society.
AB - Due to the declining mortality rates, malignant diseases have gained a chronic
character for many gynaeco-oncology patients. The patients can expect to
participate in social life and to an increasing extent in professional life for
longer lengths of time. Promotion of rehabilitation and participation is an issue
of the German 9th Social Security Code that explicitly places a focus on women.
This is mainly of relevance for tumour patients with regard to assessment of the
degree of severe disability, to compensate for disease-induced impairments and
the possibilities for improving the participation of the afflicted subjects,
especially by means of protective rights in professional life. Indeed, tumour
patients do sometimes find themselves confronted with conflicting priorities
between the entitlements guaranteed by social legislation and the compensation
conferred by the health-care services, which can then be avoided when the facts
are sufficiently known. For this purpose, the physician must be fully aware of
the legal situation. The present article provides an overview of the procedures
and reference points for appraisals. Patients need partners among their
responsible physicians to help in the assertion of their claims. From the
physician's side it is necessary to classify the reported complaints within the
ever increasing knowledge about the direct side effects and the long-term side
effects of cancer therapies. Against the background of an often life-long mental
stress and the uncertain risk of recurrence, it should also be considered whether
the concept of healing probation is in support of the targets of long-term
disease management and social reintegration, also in the interest of society in
general.
PMID- 26556910
TI - An Empirical Expression to Predict the Resonant Frequencies of Archimedean
Spirals.
AB - This work presents an empirical formula to accurately determine the frequencies
of the fundamental and higher order resonances of an Archimedean spiral in a
uniform dielectric medium in the absence of a ground plane. The formula is based
on method-of-moments simulations which have been experimentally validated. This
empirical formula is widely applicable to a broad range of spirals from thin-ring
to disk-shaped (ratio of inner to outer radii 0 to 1), with 10 or more turns.
PMID- 26556911
TI - Anatomy and physiology of respiratory system relevant to anaesthesia.
AB - Clinical application of anatomical and physiological knowledge of respiratory
system improves patient's safety during anaesthesia. It also optimises patient's
ventilatory condition and airway patency. Such knowledge has influence on airway
management, lung isolation during anaesthesia, management of cases with
respiratory disorders, respiratory endoluminal procedures and optimising
ventilator strategies in the perioperative period. Understanding of ventilation,
perfusion and their relation with each other is important for understanding
respiratory physiology. Ventilation to perfusion ratio alters with anaesthesia,
body position and with one-lung anaesthesia. Hypoxic pulmonary vasoconstriction,
an important safety mechanism, is inhibited by majority of the anaesthetic drugs.
Ventilation perfusion mismatch leads to reduced arterial oxygen concentration
mainly because of early closure of airway, thus leading to decreased ventilation
and atelectasis during anaesthesia. Various anaesthetic drugs alter neuronal
control of the breathing and bronchomotor tone.
PMID- 26556912
TI - Pre-operative pulmonary evaluation in the patient with suspected respiratory
disease.
AB - Post-operative pulmonary complications (POPC) occur frequently, especially in
patients with pre-existing pulmonary disease and have a significant effect on
post-surgical morbidity and mortality. By understanding the patient's existing
pulmonary diseases that have a significant effect on post-operative morbidities a
combination of information has to be gathered from a thorough history and
physical exam as well as selected laboratory and diagnostic tests. Evidence based
scores can then be employed to predict the risk of significant POPC. Numbers and
testing alone, however, such as diagnosis of chronic obstructive pulmonary
disease based on spirometry, may not provide as clear a picture as of the true
risk of POPC that is determined by a combination of estimations of the patient's
functional status, (b) measured by the patient's estimates of activity and (c)
confirmed by the patient's ability to perform simple tasks such as the 6-minute
walk test. This information can then be used to rationalize perioperative
interventions and improve the safety of the perioperative experience.
PMID- 26556913
TI - Pre-operative optimisation of lung function.
AB - The anaesthetic management of patients with pre-existing pulmonary disease is a
challenging task. It is associated with increased morbidity in the form of post
operative pulmonary complications. Pre-operative optimisation of lung function
helps in reducing these complications. Patients are advised to stop smoking for a
period of 4-6 weeks. This reduces airway reactivity, improves mucociliary
function and decreases carboxy-haemoglobin. The widely used incentive spirometry
may be useful only when combined with other respiratory muscle exercises. Volume
based inspiratory devices have the best results. Pharmacotherapy of asthma and
chronic obstructive pulmonary disease must be optimised before considering the
patient for elective surgery. Beta 2 agonists, inhaled corticosteroids and
systemic corticosteroids, are the main drugs used for this and several drugs play
an adjunctive role in medical therapy. A graded approach has been suggested to
manage these patients for elective surgery with an aim to achieve optimal
pulmonary function.
PMID- 26556914
TI - Effects of anaesthesia techniques and drugs on pulmonary function.
AB - The primary task of the lungs is to maintain oxygenation of the blood and
eliminate carbon dioxide through the network of capillaries alongside alveoli.
This is maintained by utilising ventilatory reserve capacity and by changes in
lung mechanics. Induction of anaesthesia impairs pulmonary functions by the loss
of consciousness, depression of reflexes, changes in rib cage and haemodynamics.
All drugs used during anaesthesia, including inhalational agents, affect
pulmonary functions directly by acting on respiratory system or indirectly
through their actions on other systems. Volatile anaesthetic agents have more
pronounced effects on pulmonary functions compared to intravenous induction
agents, leading to hypercarbia and hypoxia. The posture of the patient also leads
to major changes in pulmonary functions. Anticholinergics and neuromuscular
blocking agents have little effect. Analgesics and sedatives in combination with
volatile anaesthetics and induction agents may exacerbate their effects. Since
multiple agents are used during anaesthesia, ultimate effect may be different
from when used in isolation. Literature search was done using MeSH key words
'anesthesia', 'pulmonary function', 'respiratory system' and 'anesthesia drugs
and lungs' in combination in PubMed, Science Direct and Google Scholar filtered
by review and research articles sorted by relevance.
PMID- 26556915
TI - Anaesthesia for bronchoscopy.
AB - Bronchoscopy as an investigation or therapeutic procedure demands
anaesthesiologist to act accordingly. The present review will take the reader
from rigid to fibreoptic flexible bronchoscopy. These procedures are now done as
day care procedures in the operation theatre or in critical care units.
Advantages and limitations of both rigid and flexible bronchoscopy are analysed.
Recently, conscious sedation has come up as the commonly used anaesthetic
technique for simple bronchoscopic procedures. However, general anaesthesia still
remains a standard technique for more complex procedures. New advances in the
field of anaesthesiology such as use of short acting opioids, use of newer drugs
such as dexmedetomidine, supraglottic airways and mechanical jet ventilators have
facilitated and eased the conduct of the procedure.
PMID- 26556916
TI - Anaesthesia for patient with chronic obstructive pulmonary disease.
AB - The chronic obstructive pulmonary disease has become a disease of public health
importance. Among the various risk factors, smoking remains the main culprit. In
addition to airway obstruction, the presence of intrinsic positive end expiratory
pressure, respiratory muscle dysfunction contributes to the symptoms of the
patient. Perioperative management of these patients includes identification of
modifiable risk factors and their optimisation. Use of regional anaesthesia alone
or in combination with general anaesthesia improves pulmonary functions and
reduces the incidence of post-operative pulmonary complications.
PMID- 26556917
TI - Anaesthesia for children with bronchial asthma and respiratory infections.
AB - Asthma represents one of the most common chronic diseases in children with an
increasing incidence reported worldwide. The key to successful anaesthetic
outcome involves thorough pre-operative assessment and optimisation of the
child's pulmonary status. Judicious application of proper anti-inflammatory and
bronchodilatory regimes should be instituted as part of pre-operative
preparation. Bronchospasm triggering agents should be carefully probed and
meticulously avoided. A calm and properly sedated child at the time of induction
is ideal, so also is extubation in a deep plane with an unobstructed airway.
Wherever possible, regional anaesthesia should be employed. This will avoid
airway manipulations, with additional benefit of excellent peri-operative
analgesia. Agents with a potential for histamine release and techniques that can
increase airway resistance should be diligently avoided. Emphasis must be given
to proper post-operative care including respiratory monitoring, analgesia and
breathing exercises.
PMID- 26556918
TI - Mechanical ventilation in patients with chronic obstructive pulmonary disease and
bronchial asthma.
AB - Chronic obstructive pulmonary disease (COPD) and bronchial asthma often
complicate the surgical patients, leading to post-operative morbidity and
mortality. Many authors have tried to predict post-operative pulmonary
complications but not specifically in COPD. The aim of this review is to provide
recent evidence-based guidelines regarding predictors and ventilatory strategies
for mechanical ventilation in COPD and bronchial asthma patients. Using Google
search for indexing databases, a search for articles published was performed
using various combinations of the following search terms: 'Predictors';
'mechanical ventilation'; COPD'; 'COPD'; 'bronchial asthma'; 'recent strategies'.
Additional sources were also identified by exploring the primary reference list.
PMID- 26556919
TI - Post-operative pulmonary complications after non-cardiothoracic surgery.
AB - Post-operative pulmonary complications (PPCs) occur in 5-10% of patients
undergoing non-thoracic surgery and in 22% of high risk patients. PPCs are
broadly defined as conditions affecting the respiratory tract that can adversely
influence clinical course of the patient after surgery. Prior risk
stratification, risk reduction strategies, performing short duration and/or
minimally invasive surgery and use of anaesthetic technique of combined regional
with general anaesthesia can reduce the incidence of PPCs. Atelectasis is the
main cause of PPCs. Atelectasis can be prevented or treated by adequate
analgesia, incentive spirometry (IS), deep breathing exercises, continuous
positive airway pressure, mobilisation of secretions and early ambulation. Pre
operative treatment of IS is more effective. The main reason for post-operative
pneumonia is aspiration along the channels formed by longitudinal folds in the
high volume, low pressure polyvinyl chloride cuffs of the endotracheal tubes. Use
of tapered cuff, polyurethane cuffs and selective rather than the routine use of
nasogastric tube can decrease chances of aspiration. Acute lung injury is the
most serious PPC which may prove fatal.
PMID- 26556920
TI - Lung isolation, one-lung ventilation and hypoxaemia during lung isolation.
AB - Lung isolation is being used more frequently in both adult and paediatric age
groups due to increasing incidence of thoracoscopy and video-assisted
thoracoscopic surgery in these patients. Various indications for lung isolation
and one-lung ventilation include surgical and non-surgical reasons. Isolation can
be achieved by double-lumen endotracheal tubes or bronchial blocker. Different
issues arise in prone and semi-prone position. The management of hypoxia with
lung isolation is a stepwise drill of adding inhaled oxygen, adding positive end
expiratory pressure to ventilated lung and continuous positive airway pressure to
non-ventilated side.
PMID- 26556921
TI - Post-operative pulmonary complications after thoracotomy.
AB - Pulmonary complications are a major cause of morbidity and mortality in the post
operative period after thoracotomy. The type of complications and the severity of
complications depend on the type of thoracic surgery that has been performed as
well as on the patient's pre-operative medical status. Risk stratification can
help in predicting the possibility of the post-operative complications. Certain
airway complications are more prone to develop with thoracic surgery. Vocal cord
injuries, bronchopleural fistulae, pulmonary emboli and post-thoracic surgery non
cardiogenic pulmonary oedema are some of the unique complications that occur in
this subset of patients. The major pulmonary complications such as atelectasis,
bronchospasm and pneumonia can lead to respiratory failure. This review was
compiled after a search for search terms within 'post-operative pulmonary
complications after thoracic surgery and thoracotomy' on search engines including
PubMed and standard text references on the subject from 2000 to 2015.
PMID- 26556922
TI - Vetting and Letting: Cohabiting Stepfamily Formation Processes in Low-Income
Black Families.
AB - The authors examined cohabiting union formation processes by analyzing in-depth
interview data collected from 30 individuals in cohabiting relationships: 15 low
income Black mothers of adolescents and their partners. Prior research suggests
that cohabiting union formation is a gradual, nondeliberative process. In
contrast, most couples in this study described a gradual but highly deliberative
process. Mothers focused primarily on vetting their partners to ensure child well
being and less on when and how their partners officially came to live with them,
a process the authors call vetting and letting. Mothers delineated 4 strategies
to ensure their child's well-being when vetting their partners, and their
partners reported that they understood the importance of participating in this
process. The authors argue that vetting and letting is a child-centered family
formation process, not a partner-centered union formation process, and that
cohabiting union processes may vary substantially by subpopulation.
PMID- 26556923
TI - A Message from the Editor.
PMID- 26556924
TI - A Season of Hope, A Season of Action: Addressing Mental Health Through Faith
Communities.
PMID- 26556926
TI - The Hope Multipliers: the U.S. Public Health Service in Monrovia.
PMID- 26556927
TI - Lessons Learned from the Diffusion of Effective Behavioral Interventions Program
for Childhood Obesity Interventions.
PMID- 26556928
TI - Classifying Infant Deaths with a Focus on Prevention Strategies.
PMID- 26556929
TI - Assessing the State of Vaccine Confidence in the United States: Recommendations
from the National Vaccine Advisory Committee: Approved by the National Vaccine
Advisory Committee on June 9, 2015 [corrected].
PMID- 26556930
TI - Representativeness of Tuberculosis Genotyping Surveillance in the United States,
2009-2010.
AB - Genotyping of Mycobacterium tuberculosis isolates contributes to tuberculosis
(TB) control through detection of possible outbreaks. However, 20% of U.S. cases
do not have an isolate for testing, and 10% of cases with isolates do not have a
genotype reported. TB outbreaks in populations with incomplete genotyping data
might be missed by genotyping-based outbreak detection. Therefore, we assessed
the representativeness of TB genotyping data by comparing characteristics of
cases reported during January 1, 2009-December 31, 2010, that had a genotype
result with those cases that did not. Of 22,476 cases, 14,922 (66%) had a
genotype result. Cases without genotype results were more likely to be patients
<19 years of age, with unknown HIV status, of female sex, U.S.-born, and with no
recent history of homelessness or substance abuse. Although cases with a genotype
result are largely representative of all reported U.S. TB cases, outbreak
detection methods that rely solely on genotyping data may underestimate TB
transmission among certain groups.
PMID- 26556931
TI - Estimating the Size and Cost of the STD Prevention Services Safety Net.
AB - The Patient Protection and Affordable Care Act is expected to reduce the number
of uninsured people in the United States during the next eight years, but more
than 10% are expected to remain uninsured. Uninsured people are one of the main
populations using publicly funded safety net sexually transmitted disease (STD)
prevention services. Estimating the proportion of the uninsured population
expected to need STD services could help identify the potential demand for safety
net STD services and improve program planning. In 2013, an estimated 8.27 million
people met the criteria for being in need of STD services. In 2023, 4.70 million
uninsured people are expected to meet the criteria for being in need of STD
services. As an example, the cost in 2014 U.S. dollars of providing chlamydia
screening to these people was an estimated $271.1 million in 2013 and is
estimated to be $153.8 million in 2023. A substantial need will continue to exist
for safety net STD prevention services in coming years.
PMID- 26556932
TI - The Youth Nonfatal Violent Injury Review Panel: An Innovative Model to Inform
Policy and Systems Change.
AB - Among young people in the United States, nonfatal violent injuries outnumber
fatal violent injuries by 171 to 1. The Child Fatality Review Team (CFRT) is a
well-established model for informing injury prevention planning. The CFRT's
restricted focus on fatal injuries, however, limits its ability to identify
opportunities to prevent violent reinjury and address issues unique to nonfatal
violent injuries. We adapted the CFRT model to develop and implement a Youth
Nonfatal Violent Injury Review Panel. We convened representatives from 23
agencies (e.g., police, housing, and education) quarterly to share administrative
information and confidentially discuss cases of nonfatal violent injury. In this
article, we describe the panel model and present preliminary data on
participants' perceptions of the process. Although outcomes research is needed to
evaluate its impacts, the Youth Nonfatal Violent Injury Review Panel offers an
innovative, promising, and replicable model for interagency collaboration to
prevent youth violence and its effects.
PMID- 26556933
TI - Policy, Systems, and Environmental Approaches to Obesity Prevention: Translating
and Disseminating Evidence from Practice.
AB - To reduce obesity prevalence, public health practitioners are intervening to
change health behaviors as well as the policies, systems, and environments (PSEs)
that support healthy behaviors. Although the number of recommended PSE
intervention strategies continues to grow, limited guidance is available on how
to implement those strategies in practice. This article describes the University
of North Carolina at Chapel Hill, Center for Training and Research Translation's
(Center TRT's) approach to reviewing, translating, and disseminating practitioner
developed interventions, with the goal of providing more practical guidance on
how to implement PSE intervention strategies in real-world practice. As of August
2014, Center TRT had disseminated 30 practice-based PSE interventions. This
article provides an overview of Center TRT's process for reviewing, translating,
and disseminating practice-based interventions and offers key lessons learned
during the nine years that Center TRT has engaged in this work.
PMID- 26556935
TI - Infant Mortality: Development of a Proposed Update to the Dollfus Classification
of Infant Deaths.
AB - OBJECTIVE: Identifying infant deaths with common underlying causes and potential
intervention points is critical to infant mortality surveillance and the
development of prevention strategies. We constructed an International
Classification of Diseases 10th Revision (ICD-10) parallel to the Dollfus cause
of-death classification scheme first published in 1990, which organized infant
deaths by etiology and their amenability to prevention efforts. METHODS: Infant
death records for 1996, dual-coded to the ICD Ninth Revision (ICD-9) and ICD-10,
were obtained from the CDC public-use multiple-cause-of-death file on
comparability between ICD-9 and ICD-10. We used the underlying cause of death to
group 27,821 infant deaths into the nine categories of the ICD-9-based update to
Dollfus' original coding scheme, published by Sowards in 1999. Comparability
ratios were computed to measure concordance between ICD versions. RESULTS: The
Dollfus classification system updated with ICD-10 codes had limited agreement
with the 1999 modified classification system. Although prematurity, congenital
malformations, Sudden Infant Death Syndrome, and obstetric conditions were the
first through fourth most common causes of infant death under both systems, most
comparability ratios were significantly different from one system to the other.
CONCLUSION: The Dollfus classification system can be adapted for use with ICD-10
codes to create a comprehensive, etiology-based profile of infant deaths. The
potential benefits of using Dollfus logic to guide perinatal mortality reduction
strategies, particularly to maternal and child health programs and other
initiatives focused on improving infant health, warrant further examination of
this method's use in perinatal mortality surveillance.
PMID- 26556934
TI - A Model of Shared Mycobacteriology Testing Services: Lessons Learned.
AB - OBJECTIVE: The need for public health laboratories (PHLs) to prioritize resources
has led to increased interest in sharing diagnostic services. To address this
concept for tuberculosis (TB) testing, the New York State Department of Health
Wadsworth Center and the Rhode Island State Health Laboratories assessed the
feasibility of shared services for the detection and characterization of
Mycobacterium tuberculosis complex (MTBC). METHODS: We assessed multiple aspects
of shared services including shipping, testing, reporting, and cost. Rhode Island
State Health Laboratories shipped MTBC-positive specimens and isolates to
Wadsworth Center. Average turnaround times were calculated and cost analysis was
performed. RESULTS: Testing turnaround times were similar at both PHLs; however,
the availability of conventional drug susceptibility testing (DST) results for
Rhode Island primary specimens and isolates were extended by approximately four
days of shipping time. An extended molecular testing panel was performed on every
specimen submitted from Rhode Island State Health Laboratories to Wadsworth
Center, and the total cost per specimen at Wadsworth Center was $177.12 less than
at Rhode Island State Health Laboratories, plus shipping. Following a mid-study
review, Wadsworth Center provided testing turnaround times for detection (same
day), species determination of MTBC (same day), and molecular DST (2.5 days).
CONCLUSION: The collaboration between Wadsworth Center and Rhode Island State
Health Laboratories to assess shared services of TB testing highlighted a
successful model that may serve as a guideline for other PHLs. The provision of
additional rapid testing at a lower cost demonstrated in this study could
potentially improve patient management and result in significant cost and
resource savings if used in similar models across the country.
PMID- 26556936
TI - Preventive Aspirin and Other Antiplatelet Medication Use Among U.S. Adults Aged
>= 40 Years: Data from the National Health and Nutrition Examination Survey, 2011
2012.
AB - OBJECTIVE: We estimated the prevalence of preventive aspirin and/or other
antiplatelet medication use and the dosage of aspirin use in the U.S. adult
population. METHODS: We conducted cross-sectional analyses of a representative
sample (n=3,599) of U.S. adults aged >= 40 years from the National Health and
Nutrition Examination Survey, 2011-2012. RESULTS: In 2011-2012, one-third of U.S.
adults aged >= 40 years reported taking preventive aspirin and/or other
antiplatelet medications, 97% of whom indicated preventive aspirin use.
Preventive aspirin use increased with age (from 11% of those aged 40-49 years to
54% of those >= 80 years of age, p<0.001). Non-Hispanic white (35%) and black
(30%) adults were more likely to take preventive aspirin than non-Hispanic Asian
(20%, p<0.001) and Hispanic (22%, p=0.013) adults. Adults with, compared with
those without health insurance, and adults with >= 2 doctor visits in the past
year, diagnosed diabetes, hypertension, or high cholesterol were twice as likely
to take preventive aspirin. Among those with cardiovascular disease, 76% reported
taking preventive aspirin and/or other antiplatelet medications, of whom 91% were
taking preventive aspirin. Among adults without cardiovascular disease, 28%
reported taking preventive aspirin. Adherence rates to medically recommended
aspirin use were 82% overall, 91% for secondary prevention, and 79% for primary
prevention. Among current preventive aspirin users, 70% were taking 81 milligrams
(mg) of aspirin daily and 13% were taking 325 mg of aspirin daily. CONCLUSION:
The vast majority of antiplatelet therapy is preventive aspirin use. A health
care provider's recommendation to take preventive aspirin is an important
determinant of current preventive aspirin use.
PMID- 26556937
TI - Zombie Apocalypse: Can the Undead Teach the Living How to Survive an Emergency?
AB - OBJECTIVE: We examined whether or not CDC's zombie apocalypse campaign had the
ability to achieve the agency's goals of educating young people about emergency
preparedness and prompting them to get ready by developing an emergency kit and
plan. While the campaign was extremely popular, we examined the question of
whether the campaign had the capability to translate into knowledge and action.
METHODS: We conducted an online experiment with 340 undergraduate students
divided randomly into two groups. One group was exposed to CDC's zombie blog
post; the other to the same preparedness information presented in CDC's
traditional, straightforward way. Participants then completed a survey designed
to gauge their affective feelings, perceptions, retention of preparedness
preparation, and intent to develop an emergency kit and plan. RESULTS: While
participants who viewed the humorous zombie material clearly enjoyed it, their
positive affect did not lead to greater retention of preparedness information or
greater expressed intent to prepare, compared with participants exposed to the
factual treatment. The zombie approach had no influence on retention or resulted
in less retention relative to the factual approach. Also, there was no
significant between-group difference in reported likelihood of developing an
emergency kit or plan. CONCLUSION: While the campaign drew unprecedented traffic
to CDC's website, our findings suggest that it lacked the capability to fully
achieve the agency's goals of educating people about preparedness and prompting
them to get ready. This finding supports previous studies concluding that it is
challenging to design public health messages that evoke positive affect as well
as intended changes in intentions or behaviors.
PMID- 26556938
TI - Household Debt and Relation to Intimate Partner Violence and Husbands' Attitudes
Toward Gender Norms: A Study Among Young Married Couples in Rural Maharashtra,
India.
AB - OBJECTIVE: Evidence has linked economic hardship with increased intimate partner
violence (IPV) perpetration among males. However, less is known about how
economic debt or gender norms related to men's roles in relationships or the
household, which often underlie IPV perpetration, intersect in or may explain
these associations. We assessed the intersection of economic debt, attitudes
toward gender norms, and IPV perpetration among married men in India. METHODS:
Data were from the evaluation of a family planning intervention among young
married couples (n=1,081) in rural Maharashtra, India. Crude and adjusted
logistic regression models for dichotomous outcome variables and linear
regression models for continuous outcomes were used to examine debt in relation
to husbands' attitudes toward gender-based norms (i.e., beliefs supporting IPV
and beliefs regarding male dominance in relationships and the household), as well
as sexual and physical IPV perpetration. RESULTS: Twenty percent of husbands
reported debt. In adjusted linear regression models, debt was associated with
husbands' attitudes supportive of IPV (b=0.015, p=0.004) and norms supporting
male dominance in relationships and the household (b=0.006, p=0.003). In logistic
regression models adjusted for relevant demographics, debt was associated with
perpetration of physical IPV (adjusted odds ratio [AOR] = 1.4, 95% confidence
interval [CI] 1.1, 1.9) and sexual IPV (AOR=1.6, 95% CI 1.1, 2.1) from husbands.
These findings related to debt and relation to IPV were slightly attenuated when
further adjusted for men's attitudes toward gender norms. CONCLUSION: Findings
suggest the need for combined gender equity and economic promotion interventions
to address high levels of debt and related IPV reported among married couples in
rural India.
PMID- 26556939
TI - Association Between Neighborhood-Level Smoking and Individual Smoking Risk:
Maternal Smoking Among Latina Women in Pennsylvania.
AB - OBJECTIVE: We examined whether or not high maternal smoking rates at the
neighborhood level increase the likelihood of individual smoking by Latina women
in the three months prior to and during pregnancy, independent of other
individual and neighborhood factors. METHODS: This study was observational in
nature, using linked vital statistics records for 24,443 Latina women in
Pennsylvania (2009-2010) and U.S. Census data for 2,398 census tracts. We used
multilevel logistic regression models to determine the individual odds of self
reported maternal smoking given different census tract-level rates of maternal
smoking in the previous three years (2006-2008), adjusting for maternal and
census-tract characteristics, including ethnic density, population density, and
poverty. RESULTS: Higher levels of maternal smoking at the census-tract level
were associated with increased individual odds of smoking among Latina mothers.
In the fully adjusted model, a 10% increase in the neighborhood smoking rate was
associated with a 1.28 (95% confidence interval 1.22, 1.34) increase in the
individual odds of smoking. CONCLUSION: Latina women living in census tracts
where more women have smoked during or immediately prior to pregnancy are
themselves at higher risk of smoking during this period.
PMID- 26556940
TI - Screening for Homelessness in the Veterans Health Administration: Monitoring
Housing Stability through Repeat Screening.
AB - OBJECTIVE: This study examined veterans' responses to the Veterans Health
Administration's (VHA's) universal screen for homelessness and risk of
homelessness during the first 12 months of implementation. METHODS: We calculated
the baseline annual frequency of homelessness and risk of homelessness among all
veterans who completed an initial screen during the study period. We measured
changes in housing status among veterans who initially screened positive and then
completed a follow-up screen, assessed factors associated with such changes, and
identified distinct risk profiles of veterans who completed a follow-up screen.
RESULTS: More than 4 million veterans completed an initial screen; 1.8%
(n=77,621) screened positive for homelessness or risk of homelessness. Of those
who initially screened positive for either homelessness or risk of homelessness
and who completed a second screen during the study period, 85.0% (n=15,060)
resolved their housing instability prior to their second screen. Age, sex, race,
VHA eligibility, and screening location were all associated with changes in
housing stability. We identified four distinct risk profiles for veterans with
ongoing housing instability. CONCLUSION: To address homelessness among veterans,
efforts should include increased and targeted engagement of veterans experiencing
persistent housing instability.
PMID- 26556941
TI - Chronic Liver Disease-Associated Hospitalizations Among Adults with Diabetes,
National Inpatient Sample, 2001-2012.
AB - OBJECTIVE: Many people with diabetes have a variety of diabetes-related
complications. Among the variety of conditions associated with diabetes, however,
liver diseases are less well recognized. As such, we aimed to describe chronic
liver disease (CLD)-associated hospitalization rates among U.S. adults with
diabetes from 2001-2012. METHODS: We used a nationally representative database of
hospitalizations, the National Inpatient Sample, to determine CLD-associated
hospitalization rates among U.S. adults aged >= 18 years with and without
diabetes, from 2001-2012. Hospitalizations listing an International
Classification of Diseases, Ninth Revision, Clinical Modification (ICD-9-CM) code
for CLD on the discharge record were selected for analysis and were further
classified by diabetes status based on concurrent presence of a diabetes ICD-9-CM
code. We calculated average annual age-adjusted hospitalization rates and 95%
confidence intervals (CIs), and conducted a test for trend. RESULTS: For 2001
2012, the total age-adjusted CLD-associated hospitalization rate among adults
with diabetes (1,680.9 per 100,000 population; 95% CI 1,577.2, 1,784.6) was
approximately four times the rate of adults without diabetes (424.2 per 100,000
population; 95% CI 413.4, 435.1). Total age-adjusted hospitalization rates of
adults with and without diabetes increased 59% and 48%, respectively, from 2001
2002 to 2011-2012 (p<0.001). Hepatitis C- and chronic hepatitis and cirrhosis
associated hospitalizations comprised the largest proportion of total CLD
associated hospitalizations among adults with and without diabetes. CONCLUSION:
Providers should be aware of the potential existence of CLD among adults with
diabetes and counsel patients on preventive methods to avoid progressive liver
damage.
PMID- 26556942
TI - Effects of Economic Conditions and Organizational Structure on Local Health
Jurisdiction Revenue Streams and Personnel Levels in Connecticut, 2005-2012.
AB - OBJECTIVE: We investigated whether or not changes in economic conditions during
the 2008-2010 U.S. recession were associated with changes in Connecticut local
health jurisdictions' (LHJs') revenue or personnel levels. METHODS: We analyzed
Connecticut Department of Public Health 2005-2012 annual report data from 91
Connecticut LHJs, as well as publicly available data on economic conditions. We
used fixed- and random-effect regression models to test whether or not LHJ per
capita revenues and full-time equivalent (FTE) personnel differed during and post
recession compared with pre-recession, or varied with recession intensity, as
measured by unemployment rates and housing permits. RESULTS: On average, total
revenue per capita was significantly lower during and post-recession compared
with pre-recession, with two-thirds of LHJs experiencing per capita revenue
reductions. FTE personnel per capita were significantly lower post-recession.
Changes in LHJ-level unemployment rates and housing permits did not explain the
variation in revenue or FTE personnel per capita. Revenue and personnel differed
significantly by LHJ organizational structure across all time periods.
CONCLUSION: Economic downturns can substantially reduce resources available for
local public health. LHJ organizational structure influences revenue levels and
sources, with implications for the scope, quality, and efficiency of services
delivered.
PMID- 26556943
TI - Assessing the Practices of Population-Based Birth Defects Surveillance Programs
Using the CDC Strategic Framework, 2012.
AB - OBJECTIVE: We assessed the practices of U.S. population-based birth defects
surveillance programs in addressing current and emergent public health needs.
METHODS: Using the CDC Strategic Framework considerations for public health
surveillance (i.e., lexicon and standards, legal authority, technological
advances, workforce, and analytic capacity), during 2012 and 2013, we conducted a
survey of all U.S. operational birth defects programs (n=43) soliciting
information on legal authorities, case definition and clinical information
collected, types of data sources, and workforce staffing. In addition, we
conducted semi-structured interviews with nine program directors to further
understand how programs are addressing current and emergent needs. RESULTS: Three
quarters of birth defects surveillance programs used national guidelines for case
definition. Most birth defects surveillance programs (86%) had a legislative
mandate to conduct surveillance, and many relied on a range of prenatal,
postnatal, public health, and pediatric data sources for case ascertainment.
Programs reported that the transition from paper to electronic formats was
altering the information collected, offering an opportunity for remote access to
improve timeliness for case review and verification. Programs also reported the
growth of pooled, multistate data collaborations as a positive development. Needs
identified included ongoing workforce development to improve information
technology and analytic skills, more emphasis on data utility and birth defects
specific standards for health information exchange, and support to develop
channels for sharing ideas on data interpretation and dissemination. CONCLUSION:
The CDC Strategic Framework provided a useful tool to determine the birth defects
surveillance areas with positive developments, such as multi-state collaborative
epidemiologic studies, and areas for improvement, such as preparation for health
information exchanges and workforce database and analytic skills. Our findings
may inform strategic deliberations for enhancing the effectiveness of birth
defects surveillance programs.
PMID- 26556945
TI - King v. Burwell and Beyond: Implications for Public Health Policy and Practice.
PMID- 26556947
TI - Underreporting of Melanoma in Arizona and Strategies for Increasing Reporting: A
Public Health Partnership Approach.
PMID- 26556948
TI - Complement Split Products in Amniotic Fluid in Pregnancies Subsequently
Developing Early-Onset Preeclampsia.
AB - OBJECTIVE: To determine the second-trimester amniotic fluid concentrations of
complement split products in pregnancies subsequently affected by early-onset
preeclampsia. STUDY DESIGN: Cohort of 731 women with singleton pregnancies
undergoing second-trimester genetic amniocentesis followed up to delivery and
analyzed as a nested case-control study. Cases of preeclampsia developing before
34 weeks' gestation (n = 15) were compared with 47 uncomplicated term controls.
Amniotic fluid collected at amniocentesis was tested for complement split
products Bb, C4a, C3a, and C5a. RESULTS: Women who developed early-onset
preeclampsia as compared with the term pregnant controls had significantly higher
(P = 0.04) median amniotic fluid C3a levels (318.7 ng/mL versus 254.5 ng/mL).
Median amniotic fluid Bb levels were also significantly higher (P = 0.03) in
preeclamptic women than in normal pregnant women (1127 ng/mL versus 749 ng/mL).
Median levels of C4a and C5a were not significantly different between the groups.
CONCLUSION: Our data suggest that complement activation in early pregnancy is
associated with early-onset preeclampsia. We believe this to be the first
prospective study to link complement activation in amniotic fluid in early
pregnancy and later development of preeclampsia. Our findings provide evidence
that immune dysregulation may precede the clinical manifestations of preeclampsia
and that the alternative complement pathway is principally involved.
PMID- 26556950
TI - Complexation Hydrogels as Oral Delivery Vehicles of Therapeutic Antibodies: An in
Vitro and ex Vivo Evaluation of Antibody Stability and Bioactivity.
AB - Oral administration of monoclonal antibodies (mAbs) may enable the localized
treatment of infections or other conditions in the gastrointestinal tract (GI) as
well as systemic diseases. As with the development of oral protein
biotherapeutics, one of the most challenging tasks in antibody therapies is the
loss of biological activity due to physical and chemical instabilities. New
families of complexation hydrogels with pH-responsive properties have
demonstrated to be excellent transmucosal delivery vehicles. This contribution
focuses on the design and evaluation of hydrogel carriers that will minimize the
degradation and maximize the in vivo activity of anti-TNF-alpha, a mAb used for
the treatment of inflammatory bowel disease (IBD) in the GI tract and
systemically for the treatment of rheumatoid arthritis. P(MAA-g-EG) and P(MAA-co
NVP) hydrogels systems were optimized to achieve adequate swelling behavior,
which translated into improved protein loading and release at neutral pH
simulating the small intestine conditions. Additionally, these hydrogel systems
preserve antibody bioactivity upon release resulting in the systemic circulation
of an antibody capable of effectively performing its biological function. The
compatibility if these hydrogels for mAb bioactivity preservation and release
makes them candidates for use as oral delivery systems for therapeutic
antibodies.
PMID- 26556949
TI - Prognostic Role of MicroRNA-200c-141 Cluster in Various Human Solid Malignant
Neoplasms.
AB - The miR-200 family has emerged recently as a noticeable marker for predicting
cancer prognosis and tumor progression. We aimed to review the evidence of miR
200c-141 genomic cluster as prognostic biomarkers in cancers. The results
suggested that high level of miR-200c had no significant impact on OS (HR = 1.14
[0.77-1.69], P = 0.501) and DFS/PFS (HR = 0.72 [0.45-1.14], P = 0.161).
Stratified analyses revealed that high miR-200c expression was significantly
related to poor OS in serum/plasma (HR = 2.12 [1.62-2.77], P = 0.000) but not in
tissues (HR = 0.89 [0.58-1.37], P = 0.599). High miR-200c expression was
significantly associated with favorable DFS/PFS in tissues (HR = 0.56 [0.43
0.73], P = 0.000) but worse DFS/PFS in serum/plasma (HR = 1.90 [1.08-3.36], P =
0.027). For miR-141, we found that high miR-141 expression predicted no
significant impact on OS (HR = 1.18 [0.74-1.88], P = 0.482) but poor DFS/PFS (HR
= 1.11 [1.04-1.20], P = 0.003). Similarly, subgroup analyses showed that high miR
141 expression predicted poor OS in serum/plasma (HR = 4.34 [2.30-8.21], P =
0.000) but not in tissues (HR = 1.00 [0.92-1.09], P = 0.093). High miR-141
expression was significantly associated with worse DFS/PFS in tissues (HR = 1.12
[1.04-1.20], P = 0.002) but not in serum/plasma (HR = 0.90 [0.44-1.83], P =
0.771). Our findings indicated that, compared to their tissue counterparts, the
expression level of miR-200c and miR-141 in peripheral blood may be more
effective for monitoring cancer prognosis. High miR-141 expression was better at
predicting tumor progression than survival for malignant tumors.
PMID- 26556951
TI - Sexual Functioning, Desire, and Satisfaction in Women with TBI and Healthy
Controls.
AB - Traumatic brain injury (TBI) can substantially alter many areas of a person's
life and there has been little research published regarding sexual functioning in
women with TBI. Methods. A total of 58 women (29 with TBI and 29 healthy
controls) from Neiva, Colombia, participated. There were no statistically
significant differences between groups in sociodemographic characteristics. All
58 women completed the Sexual Quality of Life Questionnaire (SQoL), Female Sexual
Functioning Index (FSFI), Sexual Desire Inventory (SDI), and the Sexual
Satisfaction Index (ISS). Results. Women with TBI scored statistically
significantly lower on the SQoL (p < 0.001), FSFI subscales of desire (p < 0.05),
arousal (p < 0.05), lubrication (p < 0.05), orgasm (p < 0.05), and satisfaction
(p < 0.05), and the ISS (p < 0.001) than healthy controls. Multiple linear
regressions revealed that age was negatively associated with some sexuality
measures, while months since the TBI incident were positively associated with
these variables. Conclusion. These results disclose that women with TBI do not
fare as well as controls in these measures of sexual functioning and were less
sexually satisfied. Future research is required to further understand the impact
of TBI on sexual function and satisfaction to inform for rehabilitation programs.
PMID- 26556952
TI - The Role of TOX in the Development of Innate Lymphoid Cells.
AB - TOX, an evolutionarily conserved member of the HMG-box family of proteins, is
essential for the development of various cells of both the innate and adaptive
immune system. TOX is required for the development of CD4(+) T lineage cells in
the thymus, including natural killer T and T regulatory cells, as well as
development of natural killer cells and fetal lymphoid tissue inducer cells, the
latter required for lymph node organogenesis. Recently, we have identified a
broader role for TOX in the innate immune system, demonstrating that this nuclear
protein is required for generation of bone marrow progenitors that have potential
to give rise to all innate lymphoid cells. Innate lymphoid cells, classified
according to transcription factor expression and cytokine secretion profiles,
derive from common lymphoid progenitors in the bone marrow and require Notch
signals for their development. We discuss here the role of TOX in specifying CLP
toward an innate lymphoid cell fate and hypothesize a possible role for TOX in
regulating Notch gene targets during innate lymphoid cell development.
PMID- 26556954
TI - Chemical Hypoxia Brings to Light Altered Autocrine Sphingosine-1-Phosphate
Signalling in Rheumatoid Arthritis Synovial Fibroblasts.
AB - Emerging evidence suggests a role for sphingosine-1-phosphate (S1P) in various
aspects of rheumatoid arthritis (RA) pathogenesis. In this study we compared the
effect of chemical hypoxia induced by cobalt chloride (CoCl2) on the expression
of S1P metabolic enzymes and cytokine/chemokine secretion in normal fibroblast
like synoviocytes (FLS) and RAFLS. RAFLS incubated with CoCl2, but not S1P,
produced less IL-8 and MCP-1 than normal FLS. Furthermore, incubation with the
S1P2 and S1P3 receptor antagonists, JTE-013 and CAY10444, reduced CoCl2-mediated
chemokine production in normal FLS but not in RAFLS. RAFLS showed lower levels of
intracellular S1P and enhanced mRNA expression of S1P phosphatase 1 (SGPP1) and
S1P lyase (SPL), the enzymes that are involved in intracellular S1P degradation,
when compared to normal FLS. Incubation with CoCl2 decreased SGPP1 mRNA and
protein and SPL mRNA as well. Inhibition of SPL enhanced CoCl2-mediated
cytokine/chemokine release and restored autocrine activation of S1P2 and S1P3
receptors in RAFLS. The results suggest that the sphingolipid pathway regulating
the intracellular levels of S1P is dysregulated in RAFLS and has a significant
impact on cell autocrine activation by S1P. Altered sphingolipid metabolism in
FLS from patients with advanced RA raises the issue of synovial cell burnout due
to chronic inflammation.
PMID- 26556955
TI - Efficacy and Safety of Ropivacaine Addition to Intrathecal Morphine for Pain
Management in Intractable Cancer.
AB - OBJECTIVE: Although intrathecal drug infusion has been commonly adopted for
terminal cancer pain relief, its adverse effects have made many clinicians
reluctant to employ it for intractable cancer pain. The objective of this study
is to compare the efficacy and security of an intrathecal continuous infusion of
morphine and ropivacaine versus intrathecal morphine alone for cancer pain.
METHODS: Thirty-six cancer patients received either a continuous morphine (n =
19) or morphine and ropivacaine (n = 17) infusion using an intrathecal catheter
through a subcutaneous port. Numerical Rating Scale (NRS) scores and the Barthel
Index were analyzed. Adverse effects and complications on postoperative days 1,
3, 7, and 15 were also analyzed. RESULTS: All patients experienced pain relief.
Compared to those who received morphine alone, patients receiving morphine and
ropivacaine had significantly lower postoperative morphine requirements and
higher Barthel Index scores on the 15th postsurgical day (P < 0.05). Patients
receiving morphine and ropivacaine had lower NRS scores than patients receiving
morphine alone on postoperative days 1, 3, 7, and 15 (P < 0.05). Negative
postsurgical effects were similar in both groups. CONCLUSIONS: Morphine and
ropivacaine administration through intrathecal access ports is efficacious and
safe and significantly improves quality of life.
PMID- 26556956
TI - Early Detection of Junctional Adhesion Molecule-1 (JAM-1) in the Circulation
after Experimental and Clinical Polytrauma.
AB - Severe tissue trauma-induced systemic inflammation is often accompanied by
evident or occult blood-organ barrier dysfunctions, frequently leading to
multiple organ dysfunction. However, it is unknown whether specific barrier
molecules are shed into the circulation early after trauma as potential
indicators of an initial barrier dysfunction. The release of the barrier molecule
junctional adhesion molecule-1 (JAM-1) was investigated in plasma of C57BL/6 mice
2 h after experimental mono- and polytrauma as well as in polytrauma patients
(ISS >= 18) during a 10-day period. Correlation analyses were performed to
indicate a linkage between JAM-1 plasma concentrations and organ failure. JAM-1
was systemically detected after experimental trauma in mice with blunt chest
trauma as a driving force. Accordingly, JAM-1 was reduced in lung tissue after
pulmonary contusion and JAM-1 plasma levels significantly correlated with
increased protein levels in the bronchoalveolar lavage as a sign for
alveolocapillary barrier dysfunction. Furthermore, JAM-1 was markedly released
into the plasma of polytrauma patients as early as 4 h after the trauma insult
and significantly correlated with severity of disease and organ dysfunction
(APACHE II and SOFA score). The data support an early injury- and time-dependent
appearance of the barrier molecule JAM-1 in the circulation indicative of a
commencing trauma-induced barrier dysfunction.
PMID- 26556953
TI - Regulation of Endothelial Adherens Junctions by Tyrosine Phosphorylation.
AB - Endothelial cells form a semipermeable, regulated barrier that limits the passage
of fluid, small molecules, and leukocytes between the bloodstream and the
surrounding tissues. The adherens junction, a major mechanism of intercellular
adhesion, is comprised of transmembrane cadherins forming homotypic interactions
between adjacent cells and associated cytoplasmic catenins linking the cadherins
to the cytoskeleton. Inflammatory conditions promote the disassembly of the
adherens junction and a loss of intercellular adhesion, creating openings or gaps
in the endothelium through which small molecules diffuse and leukocytes
transmigrate. Tyrosine kinase signaling has emerged as a central regulator of the
inflammatory response, partly through direct phosphorylation and
dephosphorylation of the adherens junction components. This review discusses the
findings that support and those that argue against a direct effect of cadherin
and catenin phosphorylation in the disassembly of the adherens junction. Recent
findings indicate a complex interaction between kinases, phosphatases, and the
adherens junction components that allow a fine regulation of the endothelial
permeability to small molecules, leukocyte migration, and barrier resealing.
PMID- 26556957
TI - Inducible Lentivirus-Mediated siRNA against TLR4 Reduces Nociception in a Rat
Model of Bone Cancer Pain.
AB - Although bone cancer pain is still not fully understood by scientists and
clinicians alike, studies suggest that toll like receptor 4 (TLR4) plays an
important role in the initiation and/or maintenance of pathological pain state in
bone cancer pain. A promising treatment for bone cancer pain is the
downregulation of TLR4 by RNA interference; however, naked siRNA (small
interference RNA) is not effective in long-term treatments. In order to concoct a
viable prolonged treatment for bone cancer pain, an inducible lentivirus LvOn
siTLR4 (tetracycline inducible lentivirus carrying siRNA targeting TLR4) was
prepared and the antinociception effects were observed in bone cancer pain rats
induced by Walker 256 cells injection in left leg. Results showed that LvOn
siTLR4 intrathecal injection with doxycycline (Dox) oral administration
effectively reduced the nociception induced by Walker 256 cells while inhibiting
the mRNA and protein expression of TLR4. Proinflammatory cytokines as TNF-alpha
and IL-1beta in spinal cord were also decreased. These findings suggest that TLR4
could be a target for bone cancer pain treatment and tetracycline inducible
lentivirus LvOn-siTLR4 represents a new potential option for long-term treatment
of bone cancer pain.
PMID- 26556958
TI - Association of Metabolic Syndrome with the Adiponectin to Homeostasis Model
Assessment of Insulin Resistance Ratio.
AB - This study aimed at determining whether the adiponectin to HOMA-IR (A/H) ratio is
associated with MetS and MetS components and comparing the diagnostic efficacy of
adiponectin, HOMA-IR, and the A/H ratio in healthy, middle-aged participants.
MetS was assessed in 1628 Kazakh participants (men, 768; women, 860). The
associations between adiponectin, HOMA-IR, and the A/H ratio with the components
of MetS and MetS were examined using logistic regression analysis and receiver
operating characteristic (ROC) curves. Our results show that A/H ratio may be a
better diagnostic marker for MetS than either HOMA-IR or adiponectin alone, and
it may serve as an important biomarker to determine an increased risk for MetS in
healthy middle-aged population.
PMID- 26556959
TI - The Trend of CEACAM3 Blood Expression as Number Index of the CTCs in the
Colorectal Cancer Perioperative Course.
AB - Pathological stage seems to be the major determinant of postoperative prognosis
of solid tumors, but additional prognostic determinants need to be better
investigated. The most important tumor marker for colorectal cancer (CRC) is the
cell-surface antigen, Carcinoembryonic Antigen (CEA), and its assessment is
considered a valuable index of circulating tumor cells (CTCs). In this paper,
CEACAM3 evaluation was applied given its great specificity in the CRC. Whole
blood from the basilic vein of 38 CRC patients was collected before and at
various time intervals after the curative resection. Also, from 20 of them, we
have obtained two additional intraoperative samples. CEACAM3 expression was
evaluated in all the samples by RT-PCR. CEACAM3 duct values showed a decreasing
trend from preoperative through early and later postoperative to 6th-month
samples (p < 0.001). The average values of CEACAM3 were related to the cancer
size (T stage) (p = 0.034) and WHO stage (p = 0.035). A significant effect of the
baseline value of CEACAM3 dCt on the temporal trend has been observed (p <
0.001). In this study, we have demonstrated the CEACAM3 specificity and a
perioperative trend of CTCs which is coherent with the clinical/pathological
considerations and with previous experimental findings in different cancer types.
PMID- 26556960
TI - The Fourth Law of Behavior Genetics.
AB - Behavior genetics is the study of the relationship between genetic variation and
psychological traits. Turkheimer (2000) proposed "Three Laws of Behavior
Genetics" based on empirical regularities observed in studies of twins and other
kinships. On the basis of molecular studies that have measured DNA variation
directly, we propose a Fourth Law of Behavior Genetics: "A typical human
behavioral trait is associated with very many genetic variants, each of which
accounts for a very small percentage of the behavioral variability." This law
explains several consistent patterns in the results of gene discovery studies,
including the failure of candidate gene studies to robustly replicate, the need
for genome-wide association studies (and why such studies have a much stronger
replication record), and the crucial importance of extremely large samples in
these endeavors. We review the evidence in favor of the Fourth Law and discuss
its implications for the design and interpretation of gene-behavior research.
PMID- 26556961
TI - A joint model of persistent human papillomavirus infection and cervical cancer
risk: Implications for cervical cancer screening.
AB - New cervical cancer screening guidelines in the US and many European countries
recommend that women get tested for human papillomavirus (HPV). To inform
decisions about screening intervals, we calculate the increase in
precancer/cancer risk per year of continued HPV infection. However, both time to
onset of precancer/cancer and time to HPV clearance are interval-censored, and
onset of precancer/cancer strongly informatively censors HPV clearance. We
analyze this bivariate informatively interval-censored data by developing a novel
joint model for time to clearance of HPV and time to precancer/cancer using
shared random-effects, where the estimated mean duration of each woman's HPV
infection is a covariate in the submodel for time to precancer/cancer. The model
was fit to data on 9,553 HPV-positive/Pap-negative women undergoing cervical
cancer screening at Kaiser Permanente Northern California, data that were pivotal
to the development of US screening guidelines. We compare the implications for
screening intervals of this joint model to those from population-average marginal
models of precancer/cancer risk. In particular, after 2 years the marginal
population-average precancer/cancer risk was 5%, suggesting a 2-year interval to
control population-average risk at 5%. In contrast, the joint model reveals that
almost all women exceeding 5% individual risk in 2 years also exceeded 5% in 1
year, suggesting that a 1-year interval is better to control individual risk at
5%. The example suggests that sophisticated risk models capable of predicting
individual risk may have different implications than population-average risk
models that are currently used for informing medical guideline development.
PMID- 26556962
TI - Universal heart coverage for children with heart disease in India.
PMID- 26556963
TI - Assessment and feasibility of the four landmarks of the aortic root in a cohort
of very preterm infants.
AB - BACKGROUND: The diameter of the aortic root is used as a parameter to calculate
blood flow in very preterm infants. There are considerable differences in
diameter of the four anatomical landmarks of the aortic root in children and
adults, but limited data are available for the very preterm population. The aim
of this study was to obtain reference and reliability data on two-dimensional
measurements of the aortic root in very preterm infants <30 weeks gestation.
MATERIALS AND METHODS: Fifty long axis echocardiograms were reviewed and re
analyzed for measurements at the four anatomical landmarks of the aortic root;
the aortic annulus, sinus of Valsalva (SV), sinotubular junction, and the
proximal ascending aorta (PAA). A subjective visual scoring system was developed
to quantify image quality. A random sample of images was blindly re-measured to
assess intra- and inter-observer reliability. RESULTS: Significant differences in
diameter were found between the four landmarks, except between SV and PAA. Inter
observer coefficients showed marginal variation ranging from 5.0% to 8.2%, with
slightly lower intra-observer variability. Overall image quality score was
poorest for PAA on standard long axis images but improved when the probe was
adjusted along the outflow tract. CONCLUSION: We present reliability and
reference values for all four anatomic landmarks of the aortic root in very
preterm infants and demonstrated the importance of standardizing and reporting
cardiac output measurements in preterm infants.
PMID- 26556964
TI - A novel snare assistance safeguards against early embolization of devices and
facilitates quick retrieval of malpositioned devices in atrial septal defects
with deficient margins.
AB - BACKGROUND: Embolization might complicate device closure of large atrial septal
defects (ASDs) with deficient margins. When margins are deficient, a precariously
placed device can appear to be held in good position by the rigid delivery cable.
Once the cable is unscrewed, the device adopts the natural lie of the interatrial
septum. This can occasionally expose the inadequately captured margins and lead
to device embolization. Most embolizations occur immediately after release.
Retrieval of the embolized device required prolonged fluoroscopy and sometimes
open heart surgery. OBJECTIVE: To evolve a new strategy of retrieval of a
malpositioned device after unscrewing the cable before impending embolization.
MATERIALS AND METHODS: After deploying the device in place, a snare is passed
through the delivery sheath around the cable to grip the screw on the right
atrial disc of the device. With the snare holding the screw end, the device is
released by unscrewing the cable. The device position is reconfirmed on
echocardiography. The snare is subsequently removed if the device was stable. In
case of device migration, the same snare is used to retrieve the device before it
embolizes completely. RESULTS: Snare assistance was used in 24 patients
considered as high-risk for device embolization. Its usefulness was demonstrated
in two patients with deficient posterior margin and small inferior margin where
the device got malpositioned immediately after release. As the snare was still
holding on to the screw end, the device could be retrieved into the sheath
easily. CONCLUSION: This novel snare assisted device release strategy safeguards
against device embolization in large ASDs with deficient rims and allows
simplified retrieval.
PMID- 26556966
TI - Endomyocardial fibrosis in Sub Saharan Africa: The geographical origin,
socioeconomic status, and dietary habits of cases reported in Yaounde, Cameroon.
AB - BACKGROUND: Endomyocardial fibrosis (EMF) is a neglected heart condition of the
inter-tropical regions. Numerous hypotheses suggest a relationship between its
geographical distribution in the affected regions and other etio-pathogenic
factors such as dietary habits, infectious causes, and geochemical causes.
Knowledge of its epidemiology in Cameroon remains limited, which is why we
decided to describe the profile of a paediatric series of EMF in Yaounde.
PATIENTS AND METHODS: A retrospective study was carried out on EMF in 54 patients
diagnosed from 1 January 2006-31 December 2014 in a Paediatric Centre of Yaounde.
Diagnosis was mainly echocardiographic. We compiled data on the geographic
origins of the patients, their dietary habits and the socioeconomic profile of
their families. RESULTS: The patients' ages ranged from 2 to 17 years, most of
whom (83.3%) were between 5 and 15 years. For geographical distribution, all came
from three tropical forest zones where they have lived since their childhood.
These were Center (32/54), South (12/54), and East (10/54). All families had a
moderate income, consumed tubers at least twice a week especially cassava (43/54)
and had low sources of proteins. CONCLUSION: Apart from geographical similarities
all patients of our series shared the same dietary habits. Our study was
conducted in a hospital setting; therefore a screening of the disease in the
whole national territory would enable a more reliable mapping.
PMID- 26556965
TI - Percutaneous closure of patent arterial ducts in patients from high altitude: a
sub-Saharan experience.
AB - BACKGROUND: At high altitude, patent arterial ducts tend to be larger and
associated with pulmonary hypertension. Patent ductus arteriosus device closure
in this background could be challenging. OBJECTIVES: We report our experience
with percutaneous closure of patent arterial ducts using a variety of devices in
patients residing in a high altitude. PATIENTS AND METHODS: This is a
retrospective review of the case records of 145 patients (age 9 months-20 years,
mean 5.6 +/- 3.9 years, and weight 7-54 kg, mean 17.7 +/- 9.4) with duct sizes
ranging between 2 and 21 mm, (mean, 5.8 +/- 2.7) who underwent percutaneous
closure of patent arterial ducts. One hundred thirty-six (93.8%) of the patients
were from a geographic area of 2100-2800 m above sea level. RESULTS: Successful
device closure was achieved in 143 cases. It was difficult to achieve device
stability in two patients with expansile ducts. Therefore, they were treated
surgically. The devices used were various types of duct occluder devices in 131
patients, while atrial and ventricular septal occluders were used in eight
patients. For the group, mean systolic pulmonary artery (PA) pressure decreased
from 47.0 +/- 16.7 mmHg before occlusion to 29.0 +/- 7.4 mmHg after occlusion (P
<= 0.001)., mean diastolic PA pressure from 25.0 +/- 10.9 mmHg to 14.8 +/- 6.0
mmHg and the average mean PA pressure decreased from 35.9 +/- 13.5 mmHg to 21.1
+/- 6.5 mmHg. Complications (4.8%) included device and coil embolization,
bleeding, and pulse loss. On follow-up (mean duration of 36.1 +/- 12.1 months,
range 12-62 months), 137 patients were in functional class 1, 3 had residual
shunt, 2 had device migration and one patient had persisting pulse loss.
CONCLUSIONS: Successful duct closure was achieved in the vast majority of
patients, even though the ducts were larger and significant number of them had
pulmonary hypertension in this high altitude group. There was a relatively higher
incidence of residual shunts and device migration in this series, generally due
to the nonavailability of optimal device and surgical support. Long-term follow
up is required before we can draw conclusions with regard to the sustainability
of drop in PA pressures. Septal Occluder devices may be a possible alternative
for large tubular or window-type ducts with severe pulmonary hypertension, where
there may be concerns about the size and stability of duct occluder devices.
PMID- 26556968
TI - Transcatheter closure of patent vertical vein after repair of total anomalous
pulmonary venous connection.
AB - BACKGROUND: Vertical vein is left patent in some cases of supra-cardiac total
anomalous pulmonary venous connection (TAPVC) when there is hemodynamic
instability due to noncompliant left atrium and ventricle. After the peri
operative period, this results in features of pre-tricuspid shunt. MATERIALS AND
METHODS: Three cases with patent vertical vein following repair of supra-cardiac
TAPVC presented with features of pre-tricuspid shunt on follow-up. Trans-catheter
closure of patent vertical vein was performed using vascular plug in all three
subjects. RESULTS: The procedure was technically successful in all the patients.
There was a complication related to catheter tip breakage in one of them, which
was successfully managed. There was no impingement on pulmonary vein in any of
the patients. CONCLUSION: Patent vertical vein following TAPVC repair results in
features of pre-tricuspid shunt. Transcatheter closure of the patent vein is
feasible.
PMID- 26556967
TI - Inherited arrhythmias: The cardiac channelopathies.
AB - Ion channels in the myocardial cellular membrane are responsible for allowing the
cardiac action potential. Genetic abnormalities in these channels can predispose
to life-threatening arrhythmias. We discuss the basic science of the cardiac
action potential; outline the different clinical entities, including information
regarding overlapping diagnoses, touching upon relevant genetics, new innovations
in screening, diagnosis, risk stratification, and management. The special
considerations of sudden unexplained death and sudden infant death syndrome are
discussed. Scientists and clinicians continue to reconcile the rapidly growing
body of knowledge regarding the molecular mechanisms and genetics while
continuing to improve our understanding of the various clinical entities and
their diagnosis and management in clinical setting. Two separate searches were
run on the National Center for Biotechnology Information's website. The first
using the term cardiac channelopathies was run on the PubMed database using
filters for time (published in past 5 years) and age (birth-18 years), yielding
47 results. The second search using the medical subject headings (MeSH) database
with the search terms "Long QT Syndrome" (MeSH) and "Short QT Syndrome" (MeSH)
and "Brugada Syndrome" (MeSH) and "Catecholaminergic Polymorphic Ventricular
Tachycardia" (MeSH), applying the same filters yielded 467 results. The abstracts
of these articles were studied, and the articles were categorized and organized.
Articles of relevance were read in full. As and where applicable, relevant
references and citations from the primary articles where further explored and
read in full.
PMID- 26556969
TI - Acute endocarditis of a percutaneously placed pulmonary valve.
AB - Endocarditis of percutaneously placed pulmonary valve is increasingly being
recognized and reported as a potentially life-threatening complication. In this
report, we discuss a 17-year-old male who presented with septic shock secondary
to staphylococcal endocarditis of a percutaneously placed pulmonary valve.
PMID- 26556970
TI - "Classical Blalock-Taussig shunt" gone wrong: Confusing the right common carotid
with right subclavian artery.
AB - A 14-year-old girl underwent classical Blalock-Taussig shunt at 5 months of age.
Computed tomography evaluation showed "Adachi type H" pattern of aortic arch
vessels with the right common carotid artery being anastomosed to the right
pulmonary artery mistaking it for the right subclavian artery.
PMID- 26556971
TI - Percutaneous tricuspid valve replacement in childhood.
AB - Percutaneous replacement of the tricuspid valve with a bovine jugular venous
valve (melody valve) was successfully undertaken in a 9-year-old boy. The patient
had a previous history of bacterial endocarditis of the native tricuspid valve in
infancy. Initially, a pericardial patch valve was created, followed by surgical
replacement of the valve using a biological tissue valve at 4 years of age.
Progressive stenosis and regurgitation of the biological valve, with severe
venous congestion and resulting hepatic dysfunction prompted percutaneous valve
replacement.
PMID- 26556972
TI - Clarifying the atrioventricular junctional anatomy in the setting of double
outlet right atrium.
AB - Double outlet atrium is a rare cardiac anomaly wherein one of the atriums, most
frequently the right atrium, opens into both the ventricles. Although seen more
commonly in the setting of atrioventricular septal defect, this arrangement can
also be found when one of the atrioventricular connections is atretic due to
absence of the atrioventricular connection and the other atrioventricular valve
straddles the muscular ventricular septum. It is the specific anatomy and
connections of the atrioventricular junction that clarifies the situation and
distinguishes between these two types of double outlet atrium. In this report, we
present a case of double outlet right atrium co-existing with the absence of left
atrioventricular connection. We then discuss the morphologic aspects of this
interesting anomaly.
PMID- 26556973
TI - Neurogenic stunned myocardium associated with pediatric brain tumor may not be
catecholamine-induced.
AB - A rare case of pediatric neurogenic stunned myocardium (NSM) associated with a
brain tumor is reported. A previously healthy 6-year-old boy presented with coma,
and imaging studies revealed a brain tumor. On hospitalization day 3, he
developed NSM and neurogenic pulmonary edema necessitating intensive
cardiopulmonary support. Although blood marker levels of cardiac injury were
elevated, his plasma and urinary norepinephrine levels were within normal limits.
His cardiorespiratory functions markedly improved by hospitalization day 8. This
case report may be one of the first to document plasma and urinary catecholamine
levels in pediatric NSM. While solid conclusion cannot be drawn based on
experience from a single case, these results suggest that pediatric NSM may not
be catecholamine-induced.
PMID- 26556974
TI - Use of echocardiographic subxiphoid five-sixth area length (bullet) method in
evaluation of adequacy of borderline left ventricle in hypoplastic left heart
complex.
AB - Indexed left ventricular end-diastolic volume (ILVEDV) is commonly used in
evaluating "borderline left ventricle (LV)" in hypoplastic left heart complex
(HLHC) to determine if the LV can sustain adequate systemic cardiac output.
Commonly used quantification methods include biplane Simpson or the traditional
five-sixth area length "bullet" methods, which have been shown to underestimate
true LV volumes, when septal position is mildly abnormal. Subxiphoid five-sixth
area length method is proposed as a more accurate estimate of true LV volume in
the evaluation of borderline LV.
PMID- 26556975
TI - Plastic bronchitis.
AB - Plastic bronchitis, a rare but serious clinical condition, commonly seen after
Fontan surgeries in children, may be a manifestation of suboptimal adaptation to
the cavopulmonary circulation with unfavorable hemodynamics. They are ominous
with poor prognosis. Sometimes, infection or airway reactivity may provoke cast
bronchitis as a two-step insult on a vulnerable vascular bed. In such instances,
aggressive management leads to longer survival. This report of cast bronchitis
discusses its current understanding.
PMID- 26556976
TI - Demonstration of circular shunt in fetal Ebstein anomaly.
AB - Ebstein's anomaly was diagnosed in a fetus at 24 weeks of gestation. There was
significant cardiomegaly and severe tricuspid regurgitation (TR). There was
functional pulmonary atresia with severe pulmonary regurgitation (PR) and this
was causing a circular shunt. There was no fetal hydrops.
PMID- 26556977
TI - Pacemaker lead design masquerading as lead fracture.
AB - Performing chest X-ray is an important annual investigation to check pacemaker
lead integrity during follow-up of patients with pacemakers. Understanding lead
design is vital to the correct interpretation of X-rays to prevent inappropriate
interventions for patients as highlighted in this case.
PMID- 26556978
TI - An adult with central cyanosis and differential pulmonary vascularity.
AB - A 22-year-old male patient presented with progressive effort intolerance of 2
years duration. Clinical findings and investigations were suggestive of Tetralogy
of Fallot (TOF). In addition, there was a conspicuous difference in the pulmonary
vascularity with oligemia on the left side and relative hypervascularity on the
right side. The right pulmonary artery was arising from the proximal ascending
aorta and the main pulmonary artery was continuing as the left pulmonary artery.
The anomalous origin of a branch pulmonary artery from the aorta (AOPA) is a rare
cardiac anomaly. We report this condition in association with TOF, highlighting
the differential pulmonary vascularity.
PMID- 26556979
TI - Surgical correction of obstructed total anomalous pulmonary venous return soon
after birth.
PMID- 26556980
TI - The future of Ross procedure.
PMID- 26556981
TI - Hepatic metastases from gastric cancer: A surgical perspective.
AB - Management of patients with hepatic metastases as the sole metastatic site at
diagnosis of gastric cancer (synchronous setting) or detected during follow-up
(metachronous) is controversial. The prevailing attitude in these cases is
passive, leading to surgical palliation and, possibly, to chemotherapy. Authors
focused this editorial in order to promote a more pragmatic attitude. They stress
the importance of recognizing the good candidates to curative surgery of both
gastric cancer and hepatic metastases (synchronous setting) or hepatic disease
alone (metachronous disease) from those who will not benefit from surgical
therapy. In fact, in adequately selected subgroup of patients surgery, especially
if integrated in multimodal therapeutic strategies, may achieve unexpected 5-year
survival rates, ranging from 10% to 40%. The critical revision of the literature
suggests that some simple clinical criteria exist that may be effectively
employed in patients selection. These are mainly related to the gastric cancer
(factors T, N, G) and to the extent of hepatic involvement (factor H). Upon these
criteria it is possible to adequately select about 50% of cases. In the remaining
50% of cases a critical discussion on a case-by-case basis is recommended,
considering that among these patients some potential long-survivors exist, that
survival is strictly influenced by the ablation of the tumor bulk and by
multimodality treatments including chemotherapy and that in expert institutions
this kind of surgery is performed with very low mortality and morbidity rates.
PMID- 26556982
TI - Immunomodulating effects of antibiotics used in the prophylaxis of bacterial
infections in advanced cirrhosis.
AB - The use of norfloxacin either as primary or secondary prophylaxis of bacterial
infections in advanced cirrhosis has improved patient's survival. This may be
explained not only due to a significant decrease in the number of infections, but
also because of a direct immunomodulatory effect. Selective intestinal
decontamination with norfloxacin reduces translocation of either viable bacteria
or bacteria-driven products from the intestinal lumen. In addition, norfloxacin
directly modulates the systemic inflammatory response. The pro-inflammatory
cytokine profile secreted by neutrophils from these patients shows a close,
significant, and inverse correlation with serum norfloxacin levels. Similar
effects have been described with other quinolones in different clinical
conditions. Although the underlying mechanisms are not well defined for most of
the antibiotics, the pathways triggered for norfloxacin to induce such
immunomodulatory effects involve the down-regulation of pro-inflammatory
inducible nitric oxide synthase, cyclooxygenase-2, and NF-kappaB and the up
regulation of heme-oxygenase 1 and IL-10 expression. The knowledge of these
immunomodulatory effects, additional to their bactericidal role, improves our
comprehension of the interaction between antibiotics and the cellular host
response and offer new possibilities for the development of new therapeutic
strategies to manage and prevent bacterial infections in cirrhosis.
PMID- 26556983
TI - Cirrhotic cardiomyopathy.
AB - During the course of cirrhosis, there is a progressive deterioration of cardiac
function manifested by the disappearance of the hyperdynamic circulation due to a
failure in heart function with decreased cardiac output. This is due to a
deterioration in inotropic and chronotropic function which takes place in
parallel with a diastolic dysfunction and cardiac hypertrophy in the absence of
other known cardiac disease. Other findings of this specific cardiomyopathy
include impaired contractile responsiveness to stress stimuli and
electrophysiological abnormalities with prolonged QT interval. The pathogenic
mechanisms of cirrhotic cardiomyopathy include impairment of the b-adrenergic
receptor signalling, abnormal cardiomyocyte membrane lipid composition and
biophysical properties, ion channel defects and overactivity of humoral
cardiodepressant factors. Cirrhotic cardiomyopathy may be difficult to determine
due to the lack of a specific diagnosis test. However, an echocardiogram allows
the detection of the diastolic dysfunction and the E/e' ratio may be used in the
follow-up progression of the illness. Cirrhotic cardiomyopathy plays an important
role in the pathogenesis of the impairment of effective arterial blood volume and
correlates with the degree of liver failure. A clinical consequence of cardiac
dysfunction is an inadequate cardiac response in the setting of vascular stress
that may result in renal hypoperfusion leading to renal failure. The prognosis is
difficult to establish but the severity of diastolic dysfunction may be a marker
of mortality risk. Treatment is non-specific and liver transplantation may
normalize the cardiac function.
PMID- 26556985
TI - Ultrasound-based elastography for the diagnosis of portal hypertension in
cirrhotics.
AB - Progressive fibrosis is encountered in almost all chronic liver diseases. Its
clinical signs are diagnostic in advanced cirrhosis, but compensated liver
cirrhosis is harder to diagnose. Liver biopsy is still considered the reference
method for staging the severity of fibrosis, but due to its drawbacks (inter and
intra-observer variability, sampling errors, unequal distribution of fibrosis in
the liver, and risk of complications and even death), non-invasive methods were
developed to assess fibrosis (serologic and elastographic). Elastographic methods
can be ultrasound-based or magnetic resonance imaging-based. All ultrasound-based
elastographic methods are valuable for the early diagnosis of cirrhosis,
especially transient elastography (TE) and acoustic radiation force impulse
(ARFI) elastography, which have similar sensitivities and specificities, although
ARFI has better feasibility. TE is a promising method for predicting portal
hypertension in cirrhotic patients, but it cannot replace upper digestive
endoscopy. The diagnostic accuracy of using ARFI in the liver to predict portal
hypertension in cirrhotic patients is debatable, with controversial results in
published studies. The accuracy of ARFI elastography may be significantly
increased if spleen stiffness is assessed, either alone or in combination with
liver stiffness and other parameters. Two-dimensional shear-wave elastography,
the ElastPQ technique and strain elastography all need to be evaluated as
predictors of portal hypertension.
PMID- 26556984
TI - Inflammatory status in human hepatic cirrhosis.
AB - This review focuses on new findings about the inflammatory status involved in the
development of human liver cirrhosis induced by the two main causes, hepatitis C
virus (HCV) infection and chronic alcohol abuse, avoiding results obtained from
animal models. When liver is faced to a persistent and/or intense local damage
the maintained inflammatory response gives rise to a progressive replacement of
normal hepatic tissue by non-functional fibrotic scar. The imbalance between
tissue regeneration and fibrosis will determine the outcome toward health
recovery or hepatic cirrhosis. In all cases progression toward liver cirrhosis is
caused by a dysregulation of mechanisms that govern the balance between
activation/homeostasis of the immune system. Detecting differences between the
inflammatory status in HCV-induced vs alcohol-induced cirrhosis could be useful
to identify specific targets for preventive and therapeutic intervention in each
case. Thus, although survival of patients with alcoholic cirrhosis seems to be
similar to that of patients with HCV-related cirrhosis (HCV-C), there are
important differences in the altered cellular and molecular mechanisms implicated
in the progression toward human liver cirrhosis. The predominant features of HCV
C are more related with those that allow viral evasion of the immune defenses,
especially although not exclusively, inhibition of interferons secretion, natural
killer cells activation and T cell-mediated cytotoxicity. On the contrary, the
inflammatory status of alcohol-induced cirrhosis is determined by the combined
effect of direct hepatotoxicity of ethanol metabolites and increases of the
intestinal permeability, allowing bacteria and bacterial products translocation,
into the portal circulation, mesenteric lymph nodes and peritoneal cavity. This
phenomenon generates a stronger pro-inflammatory response compared with HCV
related cirrhosis. Hence, therapeutic intervention in HCV-related cirrhosis must
be mainly focused to counteract HCV-immune system evasion, while in the case of
alcohol-induced cirrhosis it must try to break the inflammatory loop established
at the gut-mesenteric lymph nodes-peritoneal-systemic axis.
PMID- 26556986
TI - Genetic, metabolic and environmental factors involved in the development of liver
cirrhosis in Mexico.
AB - Liver cirrhosis (LC) is a chronic illness caused by inflammatory responses and
progressive fibrosis. Globally, the most common causes of chronic liver disease
include persistent alcohol abuse, followed by viral hepatitis infections and
nonalcoholic fatty liver disease. However, regardless of the etiological factors,
the susceptibility and degree of liver damage may be influenced by genetic
polymorphisms that are associated with distinct ethnic and cultural backgrounds.
Consequently, metabolic genes are influenced by variable environmental lifestyle
factors, such as diet, physical inactivity, and emotional stress, which are
associated with regional differences among populations. This Topic Highlight will
focus on the genetic and environmental factors that may influence the metabolism
of alcohol and nutrients in the setting of distinct etiologies of liver disease.
The interaction between genes and environment in the current-day admixed
population, Mestizo and Native Mexican, will be described. Additionally, genes
involved in immune regulation, insulin sensitivity, oxidative stress and
extracellular matrix deposition may modulate the degree of severity. In
conclusion, LC is a complex disease. The onset, progression, and clinical outcome
of LC among the Mexican population are influenced by specific genetic and
environmental factors. Among these are an admixed genome with a heterogenic
distribution of European, Amerindian and African ancestry; a high score of
alcohol consumption; viral infections; a hepatopathogenic diet; and a high
prevalence of obesity. The variance in risk factors among populations suggests
that intervention strategies directed towards the prevention and management of LC
should be tailored according to such population-based features.
PMID- 26556987
TI - Non-invasive diagnosis of liver fibrosis and cirrhosis.
AB - The evaluation and follow up of liver fibrosis and cirrhosis have been
traditionally performed by liver biopsy. However, during the last 20 years, it
has become evident that this "gold-standard" is imperfect; even according to its
proponents, it is only "the best" among available methods. Attempts at uncovering
non-invasive diagnostic tools have yielded multiple scores, formulae, and imaging
modalities. All are better tolerated, safer, more acceptable to the patient, and
can be repeated essentially as often as required. Most are much less expensive
than liver biopsy. Consequently, their use is growing, and in some countries the
number of biopsies performed, at least for routine evaluation of hepatitis B and
C, has declined sharply. However, the accuracy and diagnostic value of most, if
not all, of these methods remains controversial. In this review for the
practicing physician, we analyze established and novel biomarkers and physical
techniques. We may be witnessing in recent years the beginning of the end of the
first phase for the development of non-invasive markers. Early evidence suggests
that they might be at least as good as liver biopsy. Novel experimental markers
and imaging techniques could produce a dramatic change in diagnosis in the near
future.
PMID- 26556988
TI - Do vasopressin V2 receptor antagonists benefit cirrhotics with refractory
ascites?
AB - Hyponatremia is a frequent complication of advanced cirrhosis with ascites
associated with increased morbidity and mortality. It is caused by an impairment
in the renal capacity to eliminate solute-free water and is considered to be
related to persistent secretion of vasopressin despite low serum osmolality. This
nonosmotic release of vasopressin is mediated by the autonomic nervous system,
which senses the underfilling of arterial vascular component. This reduction of
effective arterial blood volume is closely related to the development of ascites.
Although the short-time effects of vasopressin V2 receptor antagonists (vaptans)
on hyponatremia and ascites have been repeatedly reported, their effects on the
long-term management of cirrhotic ascites have not been established yet.
Considering that their effects on water diuresis and their safety are limited by
severe underfilling state of patients, cautious approaches with adequate
monitoring are needed to advanced cirrhosis. Proper indication, adequate doses
and new possibility of combination therapy should be explored in the future
controlled study. As hyponatremia is frequent obstacle to ascites management,
judicious combination with low-dose diuretics may decrease the incidence of
refractory ascites. Although vaptans show much promise in the treatment of
advanced cirrhosis, the problem of high cost should be solved for the future.
PMID- 26556989
TI - Gut microbiota and host metabolism in liver cirrhosis.
AB - The gut microbiota has the capacity to produce a diverse range of compounds that
play a major role in regulating the activity of distal organs and the liver is
strategically positioned downstream of the gut. Gut microbiota linked compounds
such as short chain fatty acids, bile acids, choline metabolites, indole
derivatives, vitamins, polyamines, lipids, neurotransmitters and neuroactive
compounds, and hypothalamic-pituitary-adrenal axis hormones have many biological
functions. This review focuses on the gut microbiota and host metabolism in liver
cirrhosis. Dysbiosis in liver cirrhosis causes serious complications, such as
bacteremia and hepatic encephalopathy, accompanied by small intestinal bacterial
overgrowth and increased intestinal permeability. Gut dysbiosis in cirrhosis and
intervention with probiotics and synbiotics in a clinical setting is reviewed and
evaluated. Recent studies have revealed the relationship between gut microbiota
and host metabolism in chronic metabolic liver disease, especially, non-alcoholic
fatty liver disease, alcoholic liver disease, and with the gut microbiota
metabolic interactions in dysbiosis related metabolic diseases such as diabetes
and obesity. Recently, our understanding of the relationship between the gut and
liver and how this regulates systemic metabolic changes in liver cirrhosis has
increased. The serum lipid levels of phospholipids, free fatty acids,
polyunsaturated fatty acids, especially, eicosapentaenoic acid, arachidonic acid,
and docosahexaenoic acid have significant correlations with specific fecal flora
in liver cirrhosis. Many clinical and experimental reports support the
relationship between fatty acid metabolism and gut-microbiota. Various blood
metabolome such as cytokines, amino acids, and vitamins are correlated with gut
microbiota in probiotics-treated liver cirrhosis patients. The future evaluation
of the gut-microbiota-liver metabolic network and the intervention of these
relationships using probiotics, synbiotics, and prebiotics, with sufficient
nutrition could aid the development of treatments and prevention for liver
cirrhosis patients.
PMID- 26556990
TI - Towards curative therapy in gastric cancer: Faraway, so close!
AB - Although recent diagnostic and therapeutic advances have substantially improved
the survival of patients with gastric cancer (GC), the overall prognosis is still
poor. Surgery is the only curative treatment and should be performed in
experienced centers. Due to high relapse following surgery, complementary and
systemic treatment aimed at eradicating micrometastasis should be performed in
most cases. Cytotoxic treatments are effective in downstaging locally advanced
cancer, but different sensitivities and toxicities probably exist in different GC
subtypes. Current treatment protocols are based primarily on clinical data and
histological features, but molecular biomarkers that would allow for the
prediction of treatment responses are urgently needed. Understanding how host
factors are responsible for inter-individual variability of drug response or
toxicity will also contribute to the development of more effective and less toxic
treatments.
PMID- 26556991
TI - Second-line treatment of metastatic gastric cancer: Current options and future
directions.
AB - Gastric cancer remains one among the leading causes of cancer-related deaths,
regardless of its decreasing incidence and newly available treatment options.
Most patients present at an advanced stage and are treated with upfront systemic
chemotherapy. Those patients receiving first-line therapy may initially respond
to treatment, but many of them relapse over time. In such condition, second-line
treatment for disease progression remains the only available option. Although
there exists no standard approach in the second-line setting, several phase III
trials have shown modest survival benefit in patients receiving irinotecan,
taxane and ramucirumab over the best supportive care or active agents. This
review analyzes the currently available treatment regimens and future directions
of research in the second-line setting for metastatic gastric cancer with the
best available evidence. Additionally, the prognostic factors that influence
patient survival in those receiving second-line therapy are discussed.
PMID- 26556992
TI - Emerging blood-based biomarkers for detection of gastric cancer.
AB - Early detection and efficient monitoring of tumor dynamics are prerequisites for
reducing disease burden and mortality, and for improving the management of
patients with gastric cancer (GC). Blood-based biomarker assays for the detection
of early-stage GC could be of great relevance both for population-wide or risk
group-based screening programs, while circulating biomarkers that reflect the
genetic make-up and dynamics of the tumor would allow monitoring of treatment
efficacy, predict recurrences and assess the genetic heterogeneity of the tumor.
Recent research to identify blood-based biomarkers of GC has resulted in the
identification of a wide variety of cancer-associated molecules, including
various proteins, autoantibodies against tumor associated antigens, cell-free DNA
fragments, mRNAs and various non-coding RNAs, circulating tumor cells and cancer
derived extracellular vesicles. Each type of these biomarkers provides different
information on the disease status, has different advantages and disadvantages,
and distinct clinical usefulness. In the current review, we summarize the recent
developments in blood-based GC biomarker discovery, discuss the origin of various
types of biomarkers and their clinical usefulness and the technological
challenges in the development of biomarker assays for clinical use.
PMID- 26556994
TI - Mitogen-activated protein kinase signaling pathway and invasion and metastasis of
gastric cancer.
AB - The mortality rate of gastric cancer worldwide is as high as 70%, despite the
development of novel therapeutic strategies. One reason for the high mortality is
the rapid and uninhibited spread of the disease, such that the majority of
patients are diagnosed at a stage when efficient therapeutic treatment is not
available. Therefore, in-depth research is needed to investigate the mechanism of
gastric cancer metastasis and invasion to improve outcomes and provide biomarkers
for early diagnosis. The mitogen-activated protein kinase (MAPK) signaling
pathway is widely expressed in multicellular organisms, with critical roles in
multiple biological processes, such as cell proliferation, death,
differentiation, migration, and invasion. The MAPK pathway typically responds to
extracellular stimulation. However, the MAPK pathway is often involved in the
occurrence and progression of cancer when abnormally regulated. Many studies have
researched the relationship between the MAPK signaling pathway and cancer
metastasis and invasion, but little is known about the important roles that the
MAPK signaling pathway plays in gastric cancer. Based on an analysis of published
data, this review aims to summarize the important role that the MAP kinases play
in the invasion and metastasis of gastric cancer and attempts to provide
potential directions for further research and clinical treatment.
PMID- 26556993
TI - Role of Helicobacter pylori infection in gastric carcinogenesis: Current
knowledge and future directions.
AB - Helicobacter pylori (H. pylori) plays a role in the pathogenesis of gastric
cancer. The outcome of the infection depends on environmental factors and
bacterial and host characteristics. Gastric carcinogenesis is a multistep process
that is reversible in the early phase of mucosal damage, but the exact point of
no return has not been identified. Therefore, two main therapeutic strategies
could reduce gastric cancer incidence: (1) eradication of the already present
infection; and (2) immunization (prior to or during the course of the infection).
The success of a gastric cancer prevention strategy depends on timing because the
prevention strategy must be introduced before the point of no return in gastric
carcinogenesis. Although the exact point of no return has not been identified,
infection should be eradicated before severe atrophy of the gastric mucosa
develops. Eradication therapy rates remain suboptimal due to increasing H. pylori
resistance to antibiotics and patient noncompliance. Vaccination against H.
pylori would reduce the cost of eradication therapies and lower gastric cancer
incidence. A vaccine against H. pylori is still a research challenge. An
effective vaccine should have an adequate route of delivery, appropriate
bacterial antigens and effective and safe adjuvants. Future research should focus
on the development of rescue eradication therapy protocols until an efficacious
vaccine against the bacterium becomes available.
PMID- 26556995
TI - Competing endogenous RNA networks and gastric cancer.
AB - Recent studies have showed that RNAs regulate each other with microRNA (miRNA)
response elements (MREs) and this mechanism is known as "competing endogenous RNA
(ceRNA)" hypothesis. Long non-coding RNAs (lncRNAs) are supposed to play
important roles in cancer. Compelling evidence suggests that lncRNAs can interact
with miRNAs and regulate the expression of miRNAs as ceRNAs. Several lncRNAs such
as H19, HOTAIR and MEG3 have been found to be associated with miRNAs in gastric
cancer (GC), generating regulatory crosstalk across the transcriptome. These MRE
sharing elements implicated in the ceRNA networks (ceRNETs) are able to regulate
mRNA expression. The ceRNA regulatory networks including mRNAs, miRNAs, lncRNAs
and circular RNAs may play critical roles in tumorigenesis, and the perturbations
of ceRNETs may contribute to the pathogenesis of GC.
PMID- 26556996
TI - Colitis-associated colon cancer: Is it in your genes?
AB - Colitis-associated colorectal cancer (CA-CRC) is the cause of death in 10%-15% of
inflammatory bowel disease (IBD) patients. CA-CRC results from the accumulation
of mutations in intestinal epithelial cells and progresses through a well
characterized inflammation to dysplasia to carcinoma sequence. Quantitative
estimates of overall CA-CRC risks are highly variable ranging from 2% to 40%
depending on IBD severity, duration and location, with IBD duration being the
most significant risk factor associated with CA-CRC development. Recently,
studies have identified IBD patients with similar patterns of colonic
inflammation, but that differ with respect to CA-CRC development, suggesting a
role for additional non-inflammatory risk factors in CA-CRC development. One
suggestion is that select IBD patients carry polymorphisms in various low
penetrance disease susceptibility genes, which pre-dispose them to CA-CRC
development, although these loci have proven difficult to identify in human
genome-wide association studies. Mouse models of CA-CRC have provided a viable
alternative for the discovery, validation and study of individual genes in CA-CRC
pathology. In this review, we summarize the current CA-CRC literature with a
strong focus on genetic pre-disposition and highlight an emerging role for mouse
models in the search for CA-CRC risk alleles.
PMID- 26556997
TI - Transanal total mesorectal excision: A valid option for rectal cancer?
AB - Low anterior resection can be a challenging operation, especially in obese male
patients and in particular after radiotherapy. Transanal total mesorectal
excision (TaTME) might offer technical advantages over laparoscopic or open
approaches particularly for tumors in the distal third of the rectum. The aim of
this article is to review the current experience with TaTME. The limits and
future developments are also explored. Although the experience with TaTME is
still limited, it might be a promising alternative to laparoscopic TME,
especially for difficult cases where laparoscopy is too demanding. The
preliminary data on complications and short-term oncological outcomes are good,
but also emphasize the importance of careful patient selection. Finally, there is
a need for large-scale trials focusing on long-term outcomes and oncological
safety before widespread adoption can be recommended.
PMID- 26556999
TI - Neuroendocrine differentiation: The mysterious fellow of colorectal cancer.
AB - Neuroendocrine differentiation in sporadic colorectal cancer has been recognized
since decades, but its clinical impact is still controversially discussed.
Detailed parameter analyses hint at the possibility that probably not
neuroendocrine differentiation itself, but its association with poor grade of
tumor differentiation, lymph node metastases, distant metastases and other
unfavorable features contribute to worse clinical outcome. However, other studies
deny a relationship between neuroendocrine differentiation and prognosis of
colorectal cancer. This review elucidates, whether new insights into the origin
of neuroendocrine differentiation in the intestinal epithelium, its regulation by
mTOR pathway components and its possible link to the intestinal stem cell
compartment could determine a role of neuroendocrine cells as prognostic marker
and putative therapeutic target in sporadic colorectal cancer.
PMID- 26557000
TI - Eicosanoid pathway in colorectal cancer: Recent updates.
AB - Enzymatic metabolism of the 20C polyunsaturated fatty acid (PUFA) arachidonic
acid (AA) occurs via the cyclooxygenase (COX) and lipoxygenase (LOX) pathways,
and leads to the production of various bioactive lipids termed eicosanoids. These
eicosanoids have a variety of functions, including stimulation of homeostatic
responses in the cardiovascular system, induction and resolution of inflammation,
and modulation of immune responses against diseases associated with chronic
inflammation, such as cancer. Because chronic inflammation is essential for the
development of colorectal cancer (CRC), it is not surprising that many
eicosanoids are implicated in CRC. Oftentimes, these autacoids work in an
antagonistic and highly temporal manner in inflammation; therefore, inhibition of
the pro-inflammatory COX-2 or 5-LOX enzymes may subsequently inhibit the
formation of their essential products, or shunt substrates from one pathway to
another, leading to undesirable side-effects. A better understanding of these
different enzymes and their products is essential not only for understanding the
importance of eicosanoids, but also for designing more effective drugs that
solely target the inflammatory molecules found in both chronic inflammation and
cancer. In this review, we have evaluated the cancer promoting and anti-cancer
roles of different eicosanoids in CRC, and highlighted the most recent literature
which describes how those molecules affect not only tumor tissue, but also the
tumor microenvironment. Additionally, we have attempted to delineate the roles
that eicosanoids with opposing functions play in neoplastic transformation in CRC
through their effects on proliferation, apoptosis, motility, metastasis, and
angiogenesis.
PMID- 26556998
TI - Non-coding landscapes of colorectal cancer.
AB - For two decades Vogelstein's model has been the paradigm for describing the
sequence of molecular changes within protein-coding genes that would lead to
overt colorectal cancer (CRC). This model is now too simplistic in the light of
recent studies, which have shown that our genome is pervasively transcribed in
RNAs other than mRNAs, denominated non-coding RNAs (ncRNAs). The discovery that
mutations in genes encoding these RNAs [i.e., microRNAs (miRNAs), long non-coding
RNAs, and circular RNAs] are causally involved in cancer phenotypes has
profoundly modified our vision of tumour molecular genetics and pathobiology. By
exploiting a wide range of different mechanisms, ncRNAs control fundamental
cellular processes, such as proliferation, differentiation, migration,
angiogenesis and apoptosis: these data have also confirmed their role as
oncogenes or tumor suppressors in cancer development and progression. The
existence of a sophisticated RNA-based regulatory system, which dictates the
correct functioning of protein-coding networks, has relevant biological and
biomedical consequences. Different miRNAs involved in neoplastic and degenerative
diseases exhibit potential predictive and prognostic properties. Furthermore, the
key roles of ncRNAs make them very attractive targets for innovative therapeutic
approaches. Several recent reports have shown that ncRNAs can be secreted by
cells into the extracellular environment (i.e., blood and other body fluids):
this suggests the existence of extracellular signalling mechanisms, which may be
exploited by cells in physiology and pathology. In this review, we will summarize
the most relevant issues on the involvement of cellular and extracellular ncRNAs
in disease. We will then specifically describe their involvement in CRC
pathobiology and their translational applications to CRC diagnosis, prognosis and
therapy.
PMID- 26557001
TI - Colorectal cancer: Metastases to a single organ.
AB - Colorectal cancer (CRC) is a common malignancy worldwide. In CRC patients,
metastases are the main cause of cancer-related mortality. In a group of
metastatic CRC patients, the metastases are limited to a single site (solitary
organ); the liver and lungs are the most commonly involved sites. When metastatic
disease is limited to the liver and/or lungs, the resectability of the metastatic
lesions will dictate the management approach and the outcome. Less commonly, the
site of solitary organ CRC metastasis is the peritoneum. In these patients,
cytoreduction followed by hyperthermic intraperitoneal chemotherapy may improve
the outcome. Rarely, CRC involves other organs, such as the brain, bone, adrenals
and spleen, as the only site of metastatic disease. There are limited data to
guide clinical practice in these cases. Here, we have reviewed the disease
characteristics, management approaches and prognosis based on the metastatic
disease site in patients with CRC with metastases to a single organ.
PMID- 26557002
TI - Pathophysiological mechanisms of death resistance in colorectal carcinoma.
AB - Colon cancers develop adaptive mechanisms to survive under extreme conditions and
display hallmarks of unlimited proliferation and resistance to cell death. The
deregulation of cell death is a key factor that contributes to chemoresistance in
tumors. In a physiological context, balance between cell proliferation and death,
and protection against cell damage are fundamental processes for maintaining gut
epithelial homeostasis. The mechanisms underlying anti-death cytoprotection and
tumor resistance often bear common pathways, and although distinguishing them
would be a challenge, it would also provide an opportunity to develop advanced
anti-cancer therapeutics. This review will outline cell death pathways (i.e.,
apoptosis, necrosis, and necroptosis), and discuss cytoprotective strategies in
normal intestinal epithelium and death resistance mechanisms of colon tumor. In
colorectal cancers, the intracellular mechanisms of death resistance include the
direct alteration of apoptotic and necroptotic machinery and the upstream events
modulating death effectors such as tumor suppressor gene inactivation and pro
survival signaling pathways. The autocrine, paracrine and exogenous factors
within a tumor microenvironment can also instigate resistance against apoptotic
and necroptotic cell death in colon cancers through changes in receptor signaling
or transporter uptake. The roles of cyclooxygenase-2/prostaglandin E2, growth
factors, glucose, and bacterial lipopolysaccharides in colorectal cancer will be
highlighted. Targeting anti-death pathways in the colon cancer tissue might be a
promising approach outside of anti-proliferation and anti-angiogenesis strategies
for developing novel drugs to treat refractory tumors.
PMID- 26557003
TI - Treatment-related gastrointestinal toxicities and advanced colorectal or
pancreatic cancer: A critical update.
AB - Gastrointestinal toxicities (GIT), including oral mucositis, nausea and vomiting,
and diarrhea, are common side effects of chemotherapy and targeted agents in
patients with advanced colorectal cancer and pancreatic cancer. Being often
underreported, it is still difficult to precisely establish their burden in terms
of both patient's quality of life and cancer care costs. Moreover, with the use
of more intensive upfront combination regimens, the frequency of these toxicities
is rapidly growing with a potential negative effect also on patient's outcome, as
a result of dose reductions, delays or even discontinuation of active treatments.
Thus, identifying patients at higher risk of developing GIT as well as an optimal
management are paramount in order to improve patient's compliance and outcome.
After the description of the main treatment-induced GIT, we discuss the current
knowledge on the pathophysiology of these side effects and comment the scales
commonly used to assess and grade them. We then provide a critical update on GIT
incidence based on the results of key randomized trials conducted in patients
with metastatic colorectal cancer and advanced pancreatic cancer.
PMID- 26557004
TI - Laparoscopic sleeve gastrectomy: More than a restrictive bariatric surgery
procedure?
AB - Sleeve gastrectomy (SG) is a restrictive bariatric surgery technique that was
first used as part of restrictive horizontal gastrectomy in the original
Scopinaro type biliopancreatic diversion. Its good results as a single technique
have led to a rise in its use, and it is currently the second most performed
technique worldwide. SG achieves clearly better results than other restrictive
techniques and is comparable in some aspects to the Roux-en-Y gastric bypass, the
current gold standard in bariatric surgery. These benefits have been associated
with different pathophysiologic mechanisms unrelated to weight loss such as
increased gastric emptying and intestinal transit, and activation of hormonal
mechanisms such as increased GLP-1 hormone and decreased ghrelin. The aim of this
review was to highlight the salient aspects of SG regarding its historical
evolution, pathophysiologic mechanisms, main results, clinical applications and
perioperative complications.
PMID- 26557005
TI - Inflammation: A novel target of current therapies for hepatic encephalopathy in
liver cirrhosis.
AB - Hepatic encephalopathy (HE) is a severe neuropsychiatric syndrome that most
commonly occurs in decompensated liver cirrhosis and incorporates a spectrum of
manifestations that ranges from mild cognitive impairment to coma. Although the
etiology of HE is not completely understood, it is believed that multiple
underlying mechanisms are involved in the pathogenesis of HE, and one of the main
factors is thought to be ammonia; however, the ammonia hypothesis in the
pathogenesis of HE is incomplete. Recently, it has been increasingly demonstrated
that inflammation, including systemic inflammation, neuroinflammation and
endotoxemia, acts in concert with ammonia in the pathogenesis of HE in cirrhotic
patients. Meanwhile, a good number of studies have found that current therapies
for HE, such as lactulose, rifaximin, probiotics and the molecular adsorbent
recirculating system, could inhibit different types of inflammation, thereby
improving the neuropsychiatric manifestations and preventing the progression of
HE in cirrhotic patients. The anti-inflammatory effects of these current
therapies provide a novel therapeutic approach for cirrhotic patients with HE.
The purpose of this review is to describe the inflammatory mechanisms behind the
etiology of HE in cirrhosis and discuss the current therapies that target the
inflammatory pathogenesis of HE.
PMID- 26557006
TI - Role of oats in celiac disease.
AB - A gluten-free diet is currently the only effective means of treating individuals
with celiac disease. Such a diet enables celiac patients to control their
symptoms and avoid various complications associated with this condition. However,
while the quality of gluten-free foods has significantly improved during recent
decades, maintenance of a gluten-free diet does not necessarily ensure adequate
nutritional intake. Because oats are an important source of proteins, lipids,
vitamins, minerals, and fibre, their inclusion in a gluten-free diet might
improve the nutritional status of a celiac patient. Although oats are included in
the list of gluten-free ingredients specified in European regulations, their
safety when consumed by celiac patients remains debatable. Some studies claim
that pure oats are safe for most celiac people, and contamination with other
cereal sources is the main problem facing people with this disease. However, it
is necessary to consider that oats include many varieties, containing various
amino acid sequences and showing different immunoreactivities associated with
toxic prolamins. As a result, several studies have shown that the immunogenicity
of oats varies depending on the cultivar consumed. Thus, it is essential to
thoroughly study the variety of oats used in a food ingredient before including
it in a gluten-free diet.
PMID- 26557007
TI - Preoperative endoscopic diagnosis of superficial non-ampullary duodenal
epithelial tumors, including magnifying endoscopy.
AB - Superficial non-ampullary duodenal epithelial tumor (SNADET) is defined as a
sporadic tumor that is confined to the mucosa or submucosa that does not arise
from Vater's papilla, and it includes adenoma and adenocarcinoma. Recent
developments in endoscopic technology, such as high-resolution endoscopy and
image-enhanced endoscopy, may increase the chances of detecting SNADET lesions.
However, because SNADET is rare, little is known about its preoperative
endoscopic diagnosis. The use of endoscopic resection for SNADET, which has no
risk of metastasis, is increasing, but the incidence of complications, such as
perforation, is significantly higher than in any other part of the digestive
tract. A preoperative diagnosis is required to distinguish between lesions that
should be followed up and those that require treatment. Retrospective studies
have revealed certain endoscopic findings that suggest malignancy. In recent
years, several new imaging modalities have been developed and explored for real
time diagnosis of these lesion types. Establishing an endoscopic diagnostic tool
to differentiate between adenoma and adenocarcinoma in SNADET lesions is required
to select the most appropriate treatment. This review describes the current state
of knowledge about preoperative endoscopic diagnosis of SNADETs, such as duodenal
adenoma and duodenal adenocarcinoma. Newer endoscopic techniques, including
magnifying endoscopy, may help to guide these diagnostics, but their additional
advantages remain unclear, and further studies are required to clarify these
issues.
PMID- 26557008
TI - Endosonography guided management of pancreatic fluid collections.
AB - The revised Atlanta classification of acute pancreatitis was adopted by
international consensus, and is based on actual local and systemic determinants
of disease severity. The local determinant is pancreatic necrosis (sterile or
infected), and the systemic determinant is organ failure. Local complications of
pancreatitis can include acute peri-pancreatic fluid collection, acute necrotic
collection, pseudocyst formation, and walled-off necrosis. Interventional
endoscopic ultrasound (EUS) has been increasing utilized in managing these local
complications. After performing a PubMed search, the authors manually applied pre
defined inclusion criteria or a filter to identify publications relevant to EUS
and pancreatic collections (PFCs). The authors then reviewed the utility,
efficacy, and risks associated with using therapeutic EUS and involved EUS
devices in treating PFCs. Due to the development and regulatory approval of
improved and novel endoscopic devices specifically designed for transmural
drainage of fluid and necrotic debris (access and patency devices), the authors
predict continuing evolution in the management of PFCs. We believe that EUS will
become an indispensable part of procedures used to diagnose PFCs and perform
image-guided interventions. After draining a PFC, the amount of tissue necrosis
is the most important predictor of a successful outcome. Hence, it seems logical
to classify these collections based on their percentage of necrotic component or
debris present when viewed by imaging methods or EUS. Finally, the authors
propose an algorithm for managing fluid collections based on their size,
location, associated symptoms, internal echogenic patterns, and content.
PMID- 26557009
TI - Animal models of human colorectal cancer: Current status, uses and limitations.
AB - AIM: To make orthotopic colon cancer murine models a more clearly understood
subject. The orthotopic tumor models have been found to be more relevant in
replicating the human disease process as compared to heterotopic models, many
techniques for making orthotopic colorectal murine models have been reported.
METHODS: We evaluated the current literature for various reported orthotopic
colon cancer models to understand their techniques, advantages and limitations.
An extensive literature review was performed by searching the National Library of
Medicine Database (PubMed) using MeSH terms animal model; colon cancer;
orthotopic model; murine model. Twenty studies related to colon cancer orthotopic
xenograft model were evaluated in detail and discussed here. RESULTS: The
detailed analysis of all relevant reports on orthotopic model showed tumor take
rate between 42%-100%. While models using the enema technique and minimally
invasive technique have reported development of tumor from mucosa with tumor take
rate between 87%-100% with metastasis in 76%-90%. CONCLUSION: Over the years, the
increased understanding of the murine models of human colon cancer has resulted
in the development of various models. Each reported model has some limitations.
These latest models have opened up new doors for continuing cancer research for
not only understanding the colon cancer pathogenesis but also aid in the
development of newer chemotherapeutic drugs as they mimic the human disease
closely.
PMID- 26557011
TI - Nomograms for colorectal cancer: A systematic review.
AB - AIM: To assist in the selection of suitable nomograms for obtaining desired
predictions in daily clinical practice. METHODS: We conducted electronic searches
for journal articles on colorectal cancer (CRC)-associated nomograms using the
search terms colon/rectal/colorectal/nomogram. Of 174 articles initially found,
we retrieved 28 studies in which a nomogram for CRC was developed. RESULTS: We
discuss the currently available CRC-associated nomograms, including those that
predict the oncological prognosis, the short-term outcome of treatments, such as
surgery or neoadjuvant chemoradiotherapy, and the future development of CRC.
Developing nomograms always presents a dilemma. On the one hand, the desire to
cover as wide a patient range as possible tends to produce nomograms that are too
complex and yet have C-indexes that are not sufficiently high. Conversely,
confining the target patients might impair the clinical applicability of
constructed nomograms. CONCLUSION: The information provided in this review should
be of use in selecting a nomogram suitable for obtaining desired predictions in
daily clinical practice.
PMID- 26557012
TI - Prevalence of mental health problems and service use among first-time juvenile
offenders.
AB - It is known that youth engaged in the juvenile justice system show high rates of
psychiatric disorders. However, little is known about the course of those
disorders over time, or about mental health service use on the part of children
and families during justice system involvement. Boys and girls recruited from
their first contact with juvenile court (n=75), at a mean age of 13.6 years,
completed three waves of interviews, each consisting of a structured clinical
interview and questionnaires regarding service use, family functioning, parental
burden, parental psychopathology. High rates of psychiatric disorders were
evident. Three quarters (n = 56) met criteria for a mood, anxiety or behavioral
disorder by parent or child report. Despite the high prevalence of mental health
concerns, relatively few youth (approximately 20%) were involved in mental health
services in follow-up waves. The presence of ODD and higher levels of family
communication problems were associated with involvement in mental health
services. Although parents experienced burden associated with their child's
mental health problems, burden was not a strong correlate of help-seeking. Mental
health problems declined from the point of initial involvement with juvenile
justice; only ODD symptoms showed stability over time. Low rates of engagement in
mental health services are found for juveniles subsequent to their first contact
with juvenile justice. ODD and family communication problems most influenced
service engagement, while burden due to mental health problems did not. The
results provide potential targets for efforts to enhance parental motivation
towards service engagement.
PMID- 26557010
TI - Novel understanding of ABC transporters ABCB1/MDR/P-glycoprotein, ABCC2/MRP2, and
ABCG2/BCRP in colorectal pathophysiology.
AB - AIM: To evaluate ATP-binding cassette (ABC) transporters in colonic
pathophysiology as they had recently been related to colorectal cancer (CRC)
development. METHODS: Literature search was conducted on PubMed using
combinations of the following terms: ABC transporters, ATP binding cassette
transporter proteins, inflammatory bowel disease, ulcerative, colitis, Crohn's
disease, colorectal cancer, colitis, intestinal inflammation, intestinal
carcinogenesis, ABCB1/P-glycoprotein (P-gp/CD243/MDR1), ABCC2/multidrug
resistance protein 2 (MRP2) and ABCG2/breast cancer resistance protein (BCRP),
Abcb1/Mdr1a, abcc2/Mrp2, abcg2/Bcrp, knock-out mice, tight junction, membrane
lipid function. RESULTS: Recently, human studies reported that changes in the
levels of ABC transporters were early events in the adenoma-carcinoma sequence
leading to CRC. A link between ABCB1, high fat diet and gut microbes in relation
to colitis was suggested by the animal studies. The finding that colitis was
preceded by altered gut bacterial composition suggests that deletion of Abcb1
leads to fundamental changes of host-microbiota interaction. Also, high fat diet
increases the frequency and severity of colitis in specific pathogen-free Abcb1
KO mice. The Abcb1 KO mice might thus serve as a model in which
diet/environmental factors and microbes may be controlled and investigated in
relation to intestinal inflammation. Potential molecular mechanisms include
defective transport of inflammatory mediators and/or phospholipid translocation
from one side to the other of the cell membrane lipid bilayer by ABC transporters
affecting inflammatory response and/or function of tight junctions, phagocytosis
and vesicle trafficking. Also, diet and microbes give rise to molecules which are
potential substrates for the ABC transporters and which may additionally affect
ABC transporter function through nuclear receptors and transcriptional
regulation. Another critical role of ABCB1 was suggested by the finding that
ABCB1 expression identifies a subpopulation of pro-inflammatory Th17 cells which
were resistant to treatment with glucocorticoids. The evidence for the
involvement of ABCC2 and ABCG2 in colonic pathophysiology was weak. CONCLUSION:
ABCB1, diet, and gut microbes mutually interact in colonic inflammation, a well
known risk factor for CRC. Further insight may be translated into preventive and
treatment strategies.
PMID- 26557013
TI - Role of Nucleotide-binding and Oligomerization Domain 2 Protein (NOD2) in the
Development of Atherosclerosis.
AB - NOD2 (nucleotide-binding and oligomerization domain 2) was initially reported as
a susceptibility gene for Crohn's disease, with several studies focused on
elucidating its molecular mechanism in the progression of Crohn's disease. We now
know that NOD2 is an intracellular bacterial sensing receptor, and that MDP
mediated NOD2 activation drives inflammatory signaling. Various mutations in NOD2
have been reported, with NOD2 loss of function being associated with the
development of Crohn's disease and other autoimmune diseases. These results
suggest that NOD2 not only has an immune stimulatory function, but also an immune
regulatory function. Atherosclerosis is a chronic inflammatory disease of the
arterial wall; its pathologic progression is highly dependent on the immune
balance. This immune balance is regulated by infiltrating monocytes and
macrophages, both of which express NOD2. These findings indicate a potential role
of NOD2 in atherosclerosis. The purpose of this review is to outline the known
roles of NOD2 signaling in the pathogenesis of atherosclerosis.
PMID- 26557014
TI - Effects of Free Anthraquinones Extract from the Rhubarb on Cell Proliferation and
Accumulation of Extracellular Matrix in High Glucose Cultured-Mesangial Cells.
AB - Diabetic nephropathy (DN) is the leading cause of end-stage failure of the
kidney, but the efficacy of currently available strategies for the prevention of
DN remains unsatisfactory. In this study, we investigated the effects of free
anthraquinones (FARs) extract, which was extracted from the rhubarb and purified
by macroporous resin DM130 with gradient mixtures of ethanol/water as the
lelution solvents, in high glucose-cultured glomerular mesangial cells (MCs). The
cell proliferation was determined by CCK-8 assay, the levels of TGF-beta1, CTGF,
ColIV and FN proteins in the supernatant of MCs were measured by ELISA assays,
and the mRNA levels of these four genes were detected by RT-PCR. The results
showed that the increased proliferation of MCs, the mRNA levels and protein
expression of TGF-beta1, CTGF, ColIV and FN induced by high glucose were
inhibited after the treatment with the FARs extract. This indicated that FARs
extract could inhibit cell proliferation and the expression of main extracellular
matrix induced by high glucose in MCs. The FARs extract exhibited potential
values for prophylaxis and therapy of DN.
PMID- 26557015
TI - Epigallocatechin-3-Gallate (EGCG) Attenuates Traumatic Brain Injury by Inhibition
of Edema Formation and Oxidative Stress.
AB - Traumatic brain injury (TBI) is a major cause of mortality and long-term
disability, which can decrease quality of life. In spite of numerous studies
suggesting that Epigallocatechin-3-gallate (EGCG) has been used as a therapeutic
agent for a broad range of disorders, the effect of EGCG on TBI remains unknown.
In this study, a weight drop model was established to evaluate the therapeutic
potential of EGCG on TBI. Rats were administered with 100 mg/kg EGCG or PBS
intraperitoneally. At different times following trauma, rats were sacrificed for
analysis. It was found that EGCG (100 mg/kg, i.p.) treatment significantly
reduced brain water content and vascular permeability at 12, 24, 48, 72 hour
after TBI. Real-time PCR results revealed that EGCG inhibited TBI-induced IL
1beta and TNF-alpha mRNA expression. Importantly, CD68 mRNA expression decreasing
in the brain suggested that EGCG inhibited microglia activation. Western blotting
and immunohistochemistry results showed that administering of EGCG significantly
inhibited the levels of aquaporin-4 (AQP4) and glial fibrillary acidic protein
(GFAP) expression. TBI-induced oxidative stress was remarkably impaired by EGCG
treatment, which elevated the activities of SOD and GSH-PX. Conversely, EGCG
significantly reduced the contents of MDA after TBI. In addition, EGCG decreased
TBI-induced NADPH oxidase activation through inhibition of p47(phox)
translocation from cytoplasm to plasma membrane. These data demonstrate that EGCG
treatment may be an effective therapeutic strategy for TBI and the underlying
mechanism involves inhibition of oxidative stress.
PMID- 26557016
TI - Pitavastatin Regulates Ang II Induced Proliferation and Migration via IGFBP-5 in
VSMC.
AB - Angiotensin II (Ang II), a key mediator of hypertensive, causes structural
changes in the arteries (vascular remodeling), which involve alterations in cell
growth, vascular smooth muscle cell (VSMC) hypertrophy. Ang II promotes fibrotic
factor like IGFBP5, which mediates the profibrotic effects of Ang II in the heart
and kidneys, lung and so on. The purpose of this study was to identify the
signaling pathway of IGFBP5 on cell proliferation and migration of Ang II
stimulated VSMC. We have been interested in Ang II-induced IGFBP5 and were
curious to determine whether a Pitavastatin would ameliorate the effects. Herein,
we investigated the question of whether Ang II induced the levels of IGFBP5
protein followed by proliferation and migration in VSMC. Pretreatment with the
specific Angiotensin receptor type 1 (AT1) inhibitor (Losartan), Angiotensin
receptor type 2 (AT2) inhibitor (PD123319), MAPK inhibitor (U0126), ERK1/2
inhibitor (PD98059), P38 inhibitor (SB600125) and PI3K inhibitor (LY294002)
resulted in significantly inhibited IGFBP5 production, proliferation, and
migration in Ang II-stimulated VSMC. In addition, IGFBP5 knockdown resulted in
modulation of Ang II induced proliferation and migration via IGFBP5 induction. In
addition, Pitavastatin modulated Ang II induced proliferation and migration in
VSMC. Taken together, our results indicated that Ang II induces IGFBP5 through
AT1, ERK1/2, P38, and PI3K signaling pathways, which were inhibited by
Pitavastatin. These findings may suggest that Pitavastatin has an effect on
vascular disease including hypertension.
PMID- 26557017
TI - Nitric Oxide-Induced Autophagy in MC3T3-E1 Cells is Associated with
Cytoprotection via AMPK Activation.
AB - Nitric oxide (NO) is important in the regulation of bone remodeling, whereas high
concentration of NO promotes cell death of osteoblast. However, it is not clear
yet whether NO-induced autophagy is implicated in cell death or survival of
osteoblast. The present study is aimed to examine the role of NO-induced
autophagy in the MC3T3-E1 cells and their underlying molecular mechanism. The
effect of sodium nitroprusside (SNP), an NO donor, on the cytotoxicity of the
MC3T3-E1 cells was determined by MTT assay and expression of apoptosis or
autophagy associated molecules was evaluated by western blot analysis. The
morphological observation of autophagy and apoptosis by acridine orange stain and
TUNEL assay were performed, respectively. Treatment of SNP decreased the cell
viability of the MC3T3-E1 cells in dose- and time-dependent manner. SNP increased
expression levels of p62, ATG7, Beclin-1 and LC3-II, as typical autophagic
markers and augmented acidic autophagolysosomal vacuoles, detected by acridine
orange staining. However, pretreatment with 3-methyladenine (3MA), the specific
inhibitor for autophagy, decreased cell viability, whereas increased the cleavage
of PARP and caspase-3 in the SNP-treated MC3T3-E1 cells. AMP-activated protein
kinase (AMPK), a major autophagy regulatory kinase, was activated in SNP-treated
MC3T3-E1 cells. In addition, pretreatment with compound C, an inhibitor of AMPK,
decreased cell viability, whereas increased the number of apoptotic cells,
cleaved PARP and caspase-3 levels compared to those of SNP-treated MC3T3-E1
cells. Taken together, it is speculated that NO-induced autophagy functions as a
survival mechanism via AMPK activation against apoptosis in the MC3T3-E1 cells.
PMID- 26557018
TI - Mind Bomb-2 Regulates Hippocampus-dependent Memory Formation and Synaptic
Plasticity.
AB - Notch signaling is a key regulator of neuronal fate during embryonic development,
but its function in the adult brain is still largely unknown. Mind bomb-2 (Mib2)
is an essential positive regulator of the Notch pathway, which acts in the Notch
signal-sending cells. Therefore, genetic deletion of Mib2 in the mouse brain
might help understand Notch signaling-mediated cell-cell interactions between
neurons and their physiological function. Here we show that deletion of Mib2 in
the mouse brain results in impaired hippocampal spatial memory and contextual
fear memory. Accordingly, we found impaired hippocampal synaptic plasticity in
Mib2 knock-out (KO) mice; however, basal synaptic transmission did not change at
the Schaffer collateral-CA1 synapses. Using western blot analysis, we found that
the level of cleaved Notch1 was lower in Mib2 KO mice than in wild type (WT)
littermates after mild foot shock. Taken together, these data suggest that Mib2
plays a critical role in synaptic plasticity and spatial memory through the Notch
signaling pathway.
PMID- 26557019
TI - Enhancement of GluN2B Subunit-Containing NMDA Receptor Underlies Serotonergic
Regulation of Long-Term Potentiation after Critical Period in the Rat Visual
Cortex.
AB - Serotonin [5-hydroxytryptamine (5-HT)] regulates synaptic plasticity in the
visual cortex. Although the effects of 5-HT on plasticity showed huge diversity
depending on the ages of animals and species, it has been unclear how 5-HT can
show such diverse effects. In the rat visual cortex, 5-HT suppressed long-term
potentiation (LTP) at 5 weeks but enhanced LTP at 8 weeks. We speculated that
this difference may originate from differential regulation of neurotransmission
by 5-HT between the age groups. Thus, we investigated the effects of 5-HT on apha
amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid receptor (AMPAR)-, gamma
aminobutyric acid receptor type A (GABAAR)-, and N-methyl-D-aspartic acid
receptor (NMDAR)-mediated neurotransmissions and their involvement in the
differential regulation of plasticity between 5 and 8 weeks. AMPAR-mediated
currents were not affected by 5-HT at both 5 and 8 weeks. GABAAR-mediated
currents were enhanced by 5-HT at both age groups. However, 5-HT enhanced NMDAR
mediated currents only at 8 weeks. The enhancement of NMDAR-mediated currents
appeared to be mediated by the enhanced function of GluN2B subunit-containing
NMDAR. The enhanced GABAAR- and NMDAR-mediated neurotransmissions were
responsible for the suppression of LTP at 5 weeks and the facilitation of LTP at
8 weeks, respectively. These results indicate that the effects of 5-HT on
neurotransmission change with development, and the changes may underlie the
differential regulation of synaptic plasticity between different age groups.
Thus, the developmental changes in 5-HT function should be carefully considered
while investigating the 5-HT-mediated metaplastic control of the cortical
network.
PMID- 26557020
TI - Electrophysiological and Mechanical Characteristics in Human Ileal Motility:
Recordings of Slow Waves Conductions and Contractions, In vitro.
AB - Little human tissue data are available for slow waves and migrating motor
complexes, which are the main components of small bowel motility. We investigated
the electrophysiological and mechanical characteristics of human ileal motility,
in vitro. Ileum was obtained from patients undergoing bowel resection.
Electrophysiological microelectrode recordings for membrane potential changes and
mechanical tension recordings for contraction from smooth muscle strips and ileal
segments were performed. Drugs affecting the enteric nervous system were applied
to measure the changes in activity. Slow waves were detected with a frequency of
9~10/min. There were no cross-sectional differences in resting membrane potential
(RMP), amplitude or frequency between outer and inner circular muscle (CM),
suggesting that electrical activities could be effectively transmitted from outer
to inner CM. The presence of the interstitial cell of Cajal (ICC) at the linia
septa was verified by immunohistochemistry. Contractions of strips and segments
occurred at a frequency of 3~4/min and 1~2/min, respectively. The frequency,
amplitude and area under the curve were similar between CM and LM. In segments,
contractions of CM were associated with LM, but propagation varied with antegrade
and retrograde directions. Atropine, N(W)-oxide-L-arginine, and sodium
nitroprusside exhibited different effects on RMP and contractions. There were no
cross-sectional differences with regard to the characteristics of slow waves in
CM. The frequency of contractions in smooth muscle strips and ileal segments was
lower than slow waves. The directions of propagation were diverse, indicating
both mixing and transport functions of the ileum.
PMID- 26557021
TI - Combined Skin Moisturization of Liposomal Serine Incorporated in Hydrogels
Prepared with Carbopol ETD 2020, Rhesperse RM 100 and Hyaluronic Acid.
AB - We investigated the combined moisturizing effect of liposomal serine and a
cosmeceutical base selected in this study. Serine is a major amino acid
consisting of natural moisturizing factors and keratin, and the hydroxyl group of
serine can actively interact with water molecules. Therefore, we hypothesized
that serine efficiently delivered to the stratum corneum (SC) of the skin would
enhance the moisturizing capability of the skin. We prepared four different
cosmeceutical bases (hydrogel, oil-in-water (O/W) essence, O/W cream, and water
in-oil (W/O) cream); their moisturizing abilities were then assessed using a
Corneometer(r). The hydrogel was selected as the optimum base for skin
moisturization based on the area under the moisture content change-time curves
(AUMCC) values used as a parameter for the water hold capacity of the skin.
Liposomal serine prepared by a reverse-phase evaporation method was then
incorporated in the hydrogel. The liposomal serine-incorporated hydrogel (serine
level=1%) showed an approximately 1.62~1.77 times greater moisturizing effect on
the skin than those of hydrogel, hydrogel with serine (1%), and hydrogel with
blank liposome. However, the AUMCC values were not dependent on the level of
serine in liposomal serine-loaded hydrogels. Together, the delivery of serine to
the SC of the skin is a promising strategy for moisturizing the skin. This study
is expected to be an important step in developing highly effective moisturizing
cosmeceutical products.
PMID- 26557022
TI - Multiple Signaling Pathways Contribute to the Thrombin-induced Secretory
Phenotype in Vascular Smooth Muscle Cells.
AB - We attempted to investigate molecular mechanisms underlying phenotypic change of
vascular smooth muscle cells (VSMCs) by determining signaling molecules involved
in chemokine production. Treatment of human aortic smooth muscle cells (HAoSMCs)
with thrombin resulted not only in elevated transcription of the (C-C motif)
ligand 11 (CCL11) gene but also in enhanced secretion of CCL11 protein. Co
treatment of HAoSMCs with GF109230X, an inhibitor of protein kinase C, or GW5074,
an inhibitor of Raf-1 kinase, caused inhibition of ERK1/2 phosphorylation and
significantly attenuated expression of CCL11 at transcriptional and protein
levels induced by thrombin. Both Akt phosphorylation and CCL11 expression induced
by thrombin were attenuated in the presence of pertussis toxin (PTX), an
inhibitor of Gi protein-coupled receptor, or LY294002, a PI3K inhibitor. In
addition, thrombin-induced production of CCL11 was significantly attenuated by
pharmacological inhibition of Akt or MEK which phosphorylates ERK1/2. These
results indicate that thrombin is likely to promote expression of CCL11 via
PKC/Raf-1/ERK1/2 and PTX-sensitive protease-activated receptors/PI3K/Akt pathways
in HAoSMCs. We propose that multiple signaling pathways are involved in change of
VSMCs to a secretory phenotype.
PMID- 26557023
TI - Is there a Hispanic Health Paradox in Sensitivity to Air Pollution? Hospital
Admissions for Asthma, Chronic Obstructive Pulmonary Disease and Congestive Heart
Failure Associated with NO2 and PM2.5 in El Paso, TX, 2005-2010.
AB - STUDY OBJECTIVE: Linkages between pollution and morbidity have been observed in
numerous studies. But race/ethnicity has been underemphasized as a modifier of
that association, and few studies have tested for a Hispanic Health Paradox in
sensitivity to air pollution. METHODS: Daily asthma, chronic obstructive
pulmonary disease (COPD) and congestive heart failure (CHF) hospital admissions
in El Paso, Texas were studied in age groups and insurance groups. Daily PM2.5
and NO2 were calculated from pollution monitors and all models adjusted for
apparent temperature and wind speed. Conditional logistic regression for the case
crossover design was used for a between-group comparison and for a within-group
comparison for Hispanics. RESULTS: Hispanics were at lower risk than non-Hispanic
whites and non-Hispanics of other races for NO2-associated admissions, but at
greater risk for PM2.5-associated admissions. While Hispanics were generally
protected with regards to NO2, Hispanic children (vs. elderly) faced increased
risk for asthma and uninsured Hispanics (vs. Private) faced increased risk for
COPD admissions. While Hispanics were at increased risk of PM2.5-associated
admissions, certain characteristics heightened their risks: being a Hispanic
child (vs. Elderly) for asthma; being a Hispanic with Medicare (vs. Private) for
asthma; and being a Hispanic with private insurance (vs. all other insurance
types) for CHF. The main effect of pollution on admissions was more significant
for asthma and CHF than for COPD, which had the fewest cases. CONCLUSIONS: There
was heterogeneity in sensitivity to air pollution based on social characteristics
and moderate evidence for a Hispanic Health Paradox in sensitivity to NO2.
PMID- 26557024
TI - Influence of nematode Anguillicoloides crassus infestation on the cellular and
humoral innate immunity in European eel (Anguilla anguilla L.).
AB - Parasitic invasions are recognized as one of the primary factors responsible for
decreasing populations of European eel. The aim of the present study was to
determine the influence of infestation with the nematode Anguillicoloides crassus
on the innate immunity in European eel (Anguilla anguilla). Anguillicoloides
crassus parasitizes the swim bladder of this fish. Levels of the following
immunological parameters were measured: spleen phagocyte respiratory burst
activity, spleen phagocyte potential killing activity, pronephros lymphocyte
proliferation stimulated by concanavaline A or lipopolisaccharide, plasma
lysozyme and ceruloplasmin activity, total protein and immunoglobulin (Ig) serum
levels. The analyses of the results of humoral and cellular immunity indicate
that all studied parameters were statistically significant higher (p < 0.05) in
non-infested fish compared to the ones with anguillicolosis except for
ceruloplasmin level. These data suggest that the A. crassus infestation in
European eel is responsible for a decreased immune response what could result in
higher susceptibility to other pathogenic conditions.
PMID- 26557025
TI - Spatio-temporal expression of blunt snout bream (Megalobrama amblycephala) mIgD
and its immune response to Aeromonas hydrophila.
AB - The function of IgD in fish and mammals has not been fully understood since its
discovery. In this study, we have isolated and characterized the cDNA that
encodes membrane-bound form of the immunoglobulin D heavy chain gene (mIgD) of
blunt snout bream (Megalobrama amblycephala) using RT-PCR and rapid amplification
of cDNA ends (RACE). The full-length cDNA of mIgD consisted of 3313 bp, encoding
a putative protein of 943 amino acids. The structure of blunt snout bream mIgD is
VDJ-MU1-delta1-delta2-delta3-delta4-delta5-delta6-delta7-TM. Multiple alignment
and phylogenetic analyses indicated that blunt snout bream mIgD clusters with the
homologues of cyprinid fish and that its highest identity is with that of C.
idella (82%). The mIgD expression in early different developmental stages showed
that the level of mIgD mRNA decreased dramatically from the unfertilized egg
stage to the 32-cell stage, suggesting that mIgD mRNA was maternally transferred.
As cell differentiation initially took place in the blastula stage, the mIgD
expression increased significantly from the blastula stage to prelarva, which
might be attributed to embryonic stem cell differentiation processes. Compared
with juvenile fish, the expression and tissue distribution patterns of mIgD in
adult individuals exhibited considerable variation. After the injection of
Aeromonas hydrophila, mIgD expression was up-regulated in various tissues,
reaching the peak expression at 5 d, 14 d or 21 d (depending on the tissue type).
The present study provides a theoretical basis for further research of the
teleost immune system.
PMID- 26557026
TI - Blockade of B-cell activating factor with TACI-IgG effectively reduced Th1 and
Th17 cells but not memory T cells in experimental allergic encephalomyelitis
mice.
AB - B-cell activating factor (BAFF) is regarded as a new therapeutic target in
autoimmune diseases such as systemic lupus erythematosus (SLE) and multiple
sclerosis (MS). Along with other researchers, we have demonstrated that BAFF
inhibitor atacicept (TACI-IgG) suppresses lupus and experimental allergic
encephalomyelitis (EAE) by reducing the mature B-cell number but not memory B
cells. It is however unclear whether TACI-Ig affects pathogenic T cells and
memory T cells. In the present study, we found that blocking BAFF with TACI-IgG
effectively reduces the pathogenic Th1 and Th17 cells in EAE mice. However, TACI
IgG did not reduce memory CD62L(+)CD44(hi)CD4(+) and CD62L(+)CD44(hi)CD8(+) T
cells in EAE mice. When interleukin (IL)-15 was neutralized, memory
CD62L(+)CD44(hi) T cells were significantly reduced in TACI-IgG-treated EAE mice.
These results suggest that TACI-IgG is effective in effective controlling Th1 and
Th17 cells, but it also increases IL-15 to upregulate memory T cells in EAE mice.
The study provides hints for the clinical application of the combination of BAFF-
and IL-15-specific therapeutic agents.
PMID- 26557027
TI - Immune function of Chinese formula Qingwen Baidu granule in broilers.
AB - This study was to investigate the effects of Qingwen Baidu granules on the
antibody level, immune organ index and the lymphocyte transformation of broilers.
Hy-line variety white cocks of 30 days were used to evaluate the antibody titer
of Newcastle Disease in each serum group, and MTT method was used to determine
the T lymphocyte proliferation, and organ weighing methods to measure the immune
organ index 21 days after immunization. The results showed that Qingwen Baidu
granules could prolong the residue time in the body, improve the lymphocyte
conversion ratio, increase the bursa, thymus and spleen index and promote immune
organ development. These results suggested that Qingwen Baidu granules could
improve the serum Newcastle disease antibody level, improve peripheral blood
lymphocyte proliferation, enhance the cellular immune function, and elevate the
immune organ index and growth, in order to raise the immune function in chicken.
The above demonstrates that the Qingwen Baidu granules have significant effects
on the cytoimmunity and humoral immunity, and the potentiation of the immune
function in broilers.
PMID- 26557028
TI - Association between interleukin 8 receptor alpha gene (CXCR1) and mastitis in
dairy cattle.
AB - The innate immune response plays an important role in the course of bacterial
infections. Innate immunity effectiveness relies on the expression of many genes,
connected, among others, to the activity of neutrophils. Interleukin 8 (IL-8)
receptor alpha, coded by the CXCR1 gene, is present on the neutrophil surface and
binds pro-inflammatory IL-8 with high affinity. This is why the bovine CXCR1 gene
carries a potential for use as a dairy cattle mastitis marker. To date, several
studies on the CXCR1 polymorphism brought out contradictory results. The aim of
this study was to analyse the association between two SNPs of the CXCR1 gene,
which is potentially important for the protein function and animal phenotype for
mastitis susceptibility. A total of 554 Polish Holsteins were genotyped, and 140
among them were bacteriologically tested. The differences between animals
carrying different genotypes and haplotypes of CXCR1 in test day somatic cell
count (SCC) and Staphylococcus aureus mastitis susceptibility were estimated. We
found that test day SCC was significantly related to CXCR1+472 SNP but not to
CXCR1+735 SNP. No statistically significant association between CXCR1
polymorphism and susceptibility to S. aureus mastitis was found in the studied
herd.
PMID- 26557029
TI - The cardioprotective potential of valsartan in myocardial ischaemia reperfusion
injury.
AB - BACKGROUND: Ischaemia/reperfusion injury describes the experimentally and
clinically prevalent finding that tissue ischaemia with inadequate oxygen
followed by successful reperfusion initiates a wide and complex array of
inflammatory responses that may aggravate local injury as well as induce
impairment of remote organ function by mechanisms that involve oxidative stress,
inflammation, and apoptosis. OBJECTIVE: This study was undertaken to investigate
the potential role of valsartan angiotensin receptor blocker-1 (ARB-1) in the
amelioration of myocardial ischaemia/reperfusion injury induced by ligation of
coronary artery in a rat model. MATERIAL AND METHODS: Adult male Albino rats were
randomised into four equal groups (seven rats in each group). In group 1 (sham
group) the rats underwent the same anaesthetic and surgical procedure as the
control group except for ligation of the left anterior descending (LAD) coronary
artery; group 2 (control group) rats were subjected to regional ischaemia for 25
minutes by ligation of LAD coronary artery and reperfusion for 2 hours; group 3
(control vehicle group) rats received (normal saline) vehicle of valsartan via IP
injection and were subjected to regional ischaemia for 25 minutes by ligation of
LAD coronary artery and reperfusion for two hours; group 4 (valsartan treated
group) rats were pretreated with valsartan 10 mg/kg IP 30 minutes before ligation
of LAD coronary artery. At the end of the experiment, blood samples were taken by
direct cardiac puncture for the measurement of plasma levels of troponin T (cTnT)
and serum levels for both malondialdehyde MDA and glutathione GSH. After blood
sampling, the heart was removed and divided into two parts; the apex was used for
histopathological examination, and the remaining part was used for the
measurement of cardiac tissue levels of tumour necrosis factor alpha (TNF-alpha),
interleukin 6 (IL-6), interleukin 10 (IL-10), cysteine aspartic acid-protease 3
(caspase-3), and BCL2-associated X protein (BAX), after removal of the remaining
blood clots and aorta. RESULTS: In the active control group, as compared with the
sham group, the results revealed that the myocardial tissue levels of
inflammatory cytokines TNF-alpha, IL-6, IL-10, caspase-3, and BAX, and the plasma
level of cTnT and serum level of malondialdehyde MDA were significantly increased
(p < 0.001), while the serum level of glutathione GSH was significantly decreased
(p < 0.001). Regarding the histopathological part of the study, all rats in the
active control group showed a significant cardiac tissue injury (p < 0.001)
compared with the sham group. Valsartan significantly counteracted (p < 0.001)
the increase in the myocardial tissue levels of TNF-alpha, IL-6, caspase-3, and
BAX; additionally, it counteracted the increase in plasma level of cTnT and serum
level of malondialdehyde MDA, while valsartan produced highly significant
elevation (p < 0.001) in the cardiac tissue level of IL-10 and serum level of
glutathione GSH and significantly reduced (p < 0.001) the cardiac tissue injury
in the valsartan pretreated rats. CONCLUSIONS: The results of the present study
reveal that valsartan ameliorates myocardial ischaemia reperfusion injury in rats
by interfering with inflammatory reactions and apoptosis that are induced by
ischaemia reperfusion injury.
PMID- 26557030
TI - Recurrent respiratory tract infections in children - analysis of immunological
examinations.
AB - BACKGROUND: Paediatric respiratory tract infections are among the most common
reasons for preschool and school absences and visits to physicians. The disease
mainly involves the upper respiratory tract and is associated with fever, cough,
sore throat, and running nose. Children with recurrent respiratory infections
(RRI), which are defined as more than six serious diseases a year, are a
difficult diagnostic challenge. The aim of this study was to assess immunological
deviations in laboratory tests performed in children with RRI. MATERIAL AND
METHODS: In the retrospective study 25 children suffering from recurrent
respiratory tract infection, aged 4.1 +/-2.3 years, 13 boys and 12 girls, were
involved. For all children chemiluminescence of granulocytes and
immunophenotyping of lymphocytes from peripheral blood were examined. An
immunophenotype of peripheral blood lymphocytes involved evaluation of T cell, B
cells, and NK cells, examined with flow cytometry. RESULTS: Eleven of the studied
children had decreased chemiluminescent response to stimulants, normal response
was found for nine children, and five children had an increased result of the
test. Five of the 25 children had decreased B cells number, and five had
decreased number of T cells including decrease of CD4, as well as CD8 positive
cells. Children with decreased chemiluminescence had more frequent neutropaenia
than children with normal or increased chemiluminescent response, p < 0.05 (exact
Fisher test). CONCLUSIONS: Recurrent respiratory tract infection could be
associated with improper neutrophils response to pathogens, and immunological
examination should be performed to find the reason for the increased number of
infections in a year.
PMID- 26557031
TI - Problem of immunoglobulin M co-detection in serological response to bacterial and
viral respiratory pathogens among children suspected of legionellosis.
AB - The objective of this research was an analysis of the serological response to
respiratory bacterial and viral pathogens, in 156 children admitted to hospital
in Warsaw with a suspicion of legionellosis. Levels of immunoglobulin (Ig) M to
Bordetella pertussis, Mycoplasma pneumoniae, respiratory syncytial virus (RSV),
adenoviruses, human parainfluenza virus (HPIV) t. 1-4 and influenza t. A + B
viruses were determined retrospectively by ELISAs. In the prospective
examinations (only Legionella pneumophila sg1), a positive level of IgM was found
in 35 patients, but in 59 children together with retrospective tests. There were
positive results for B. pertussis (21 children), followed by HPIV (10 children),
M. pneumoniae (5 patients), RSV (4 persons), adenoviruses (3 children), and
influenza A + B virus (3 persons). Positive results for > 1 agent were found in
16 children. The most often co-detected IgM were to L. pneumophila sg1 and B.
pertussis (9 children) and L. pneumophila sg1 and M. pneumoniae (5 patients). The
distribution of IgM to L. pneumophila sg1, B. pertussis and HPIV among children
<= 4 years differed significantly from IgM specific to other pathogens. A high
number of HPIV infections, mainly single, was found among infants. Positive
results of IgM to L. pneumophila sg1 were mainly found in children aged 4-5
years. and the oldest children (over 10 years.). However, among the oldest
children, anti-L. pneumophila sg1 antibodies were often found together with IgM
to B. pertussis. Infections due to more than 2 pathogens were only observed among
patients with pneumonia, especially due to L. pneumophila sg1 and/or B.
pertussis. Conversely, co-detection of IgM ELISA for L. pneumophila and M.
pneumoniae were mainly detected among patients hospitalized without pneumonia.
PMID- 26557032
TI - Cross-reactions in IgM ELISA tests to Legionella pneumophila sg1 and Bordetella
pertussis among children suspected of legionellosis; potential impact of
vaccination against pertussis?
AB - The objective of this study was preliminary evaluation of IgM cross-reaction in
sera collected from children hospitalized because of suspected legionellosis.
Sera with positive IgM results to L. pneumophila sgs1-7, B. pertussis or with
simultaneous detection of IgM antibodies to L. pneumophila sgs1-7 and B.
pertussis, or IgM to L. pneumophila sgs1-7 and M. pneumoniae in routine tests,
were selected. In total, an adapted pre-absorption test was used for the
serological confirmation of legionellosis in the sera of 19 children suspected of
legionellosis, and also in 3 adult persons with confirmed Legionnaires' disease.
Sera were pre-absorbed with antigens of L. pneumophila sg1, B. pertussis or both,
and tested by ELISA tests. The reduction of IgM antibody level by pre-absorption
with antigen/antigens was determined. Reduction of anti-Lpsgs1-7 IgM by pre
absorption with L.pneumophila sg1 antigen ranged from 1.5 to 80, and reduction of
anti-Bp IgM by pre-absorption with B. pertussis ranged from 2.0 to 23.8.
Reduction by both antigens varied depending on the age of the patients: among
children <4 yrs.old, the reduction of anti-B. pertussis IgM by both antigens was
higher than for B. pertussis antigen alone. Based on the high difference (>= 2
times) between reduction by L.pneumophila sg1 and by B. pertussis antigen,
legionellosis was confirmed in 8/19 children. The majority of them also indicated
IgM positive/borderline results for B. pertussis or M.pneumoniae in routine ELISA
tests. As a preliminary, we posed a hypothesis of a potential impact of an anti
pertussis vaccination on the results obtained in anti-L. pneumophila ELISA IgM
tests among young children.
PMID- 26557033
TI - Efficacy of cytokine-induced killer cells in the treatment of elderly patients
with metastatic pancreatic adenocarcinoma.
AB - Currently, metastatic pancreatic cancer is associated with disappointing survival
outcomes. This is largely due to a rapid progression of the disease and a
precipitous deterioration in the health of affected individuals, especially
elderly patients who are often unable to tolerate chemotherapy. The aim of this
study was to evaluate the efficacy and safety of adoptive immunotherapy using
cytokine-induced killer cells (CIK) as a first-line treatment for metastatic
pancreatic cancer. Between December 2010 and June 2012 eight patients were
enrolled in this study. All participants were elderly, suffering from metastatic
pancreatic cancer, and unable to tolerate chemotherapy. All patients in this
study received R-CIK therapy only as a first-line treatment. In the eight
patients, 1 had complete response (CR), 5 had stable disease (SD) and 2 had
progression disease (PD). Therefore, the overall response rate (ORR) was 12.5%
(1/8) and the disease control rate (DCR) was 75.0% (6/8 patients). The 1-year
survival rate was 37.5%, and the median overall survival time (mOS) was 13.04
months (95% CI: 5.9-20.2). The results indicated that no significant positive or
negative predictive factors were identified by univariate analysis. The main
adverse effect of R-CIK was fever and the side effect rate was 25.0% (2/8).
Adoptive immunotherapy using R-CIK cells showed comparable OS to survival data
seen in previous trials assessing conventional chemotherapies in elderly patients
and the adverse effect is less pronounced.
PMID- 26557034
TI - Archaea prevalence in inflamed pulp tissues.
AB - Archaea have been detected in several ecological niches of the human body such as
the large intestine, skin, vagina as well as the oral cavity. At present, archaea
are recognized as nonpathogenic microorganisms. However, some data indicate that
they may be involved in the etiopathogenesis of several diseases, including
intestinal diseases as well as oral diseases: periodontitis, peri-implantitis and
endodontitis. In this study, on the basis of 16S rRNA gene sequence analysis, we
examined whether archaea might be present in inflamed pulp tissues and contribute
to the development of endodontic infection. In comparison, we also determined
selected bacterial species associated with endodontitis. We detected archaea in
85% of infected endodontic samples. In addition, Prevotella intermedia,
Porphyromonas gingivalis, Tannerella forsythia and Treponema denticola were
present in inflamed pulp tissue samples and Treponema denticola occurred with the
highest frequency (70%). Further analysis revealed the presence of methanogenic
archaea in analyzed samples. Direct sequencing of archaeal 16S rRNA gene PCR
products indicated the occurrence of methanogenic archaea in inflamed pulp
tissues; phylogenetically most similar were Methanobrevibacter oralis and
Methanobrevibacter smithii. Therefore, our results show that methanogenic archaea
are present in inflamed pulp tissues and may participate in the development of
endodontic infection.
PMID- 26557035
TI - Host response, obesity, and oral health.
AB - Proper food choices are part of preventing or reducing the risk of dental caries
and periodontal disease. A significant association has been proven between oral
diseases and the incidence of systemic diseases. Obesity, just like smoking, is
one of the major risk factors for oral disease and is a serious social problem
that has reached epidemic proportions in many developed countries. The results of
studies on periodontitis confirm the relationship between the values of body mass
index (BMI) and the prevalence of periodontal diseases. Adipose tissue is an
active endocrine organ and it performs many important functions in the body, such
as thermal isolation and protection, storage, and secretion. Many cytokines are
secreted proportionally to the amount of fat present and are actively involved in
the metabolism of the whole system, including the functioning of the immune
system. Therefore, obesity may alter the response of the host to the antigens
derived from bacterial plaque, and thus cause disturbances in the inflammatory
response in the course of periodontal disease.
PMID- 26557036
TI - Current views on the mechanisms of immune responses to trauma and infection.
AB - According to the World Health Organization, post-traumatic mortality rates are
still very high and show an increasing tendency. Disorders of innate immune
response that may increase the risk of serious complications play a key role in
the immunological system response to trauma and infection. The mechanism of these
disorders is multifactorial and is still poorly understood. The changing concepts
of systemic inflammatory response syndrome (SIRS) and compensatory anti
inflammatory response syndrome (CARS) early inflammatory response, presented in
this work, have been extended to genetic studies. Overexpression of genes and
increased production of immune response mediators are among the main causes of
multiple organ dysfunction syndrome (MODS). Changes in gene expression detected
early after injury precede the occurrence of subsequent complications with a
typical clinical picture. Rapid depletion of energy resources leads to
immunosuppression and persistent inflammation and immune suppression catabolism
syndrome (PICS). Early diagnosis of immune disorders and appropriate nutritional
therapy can significantly reduce the incidence of complications, length of
hospital stay, and mortality. The study presents the development of knowledge and
current views explaining the mechanisms of the immune response to trauma and
infection.
PMID- 26557037
TI - Neutrophil extracellular traps (Nets) impact upon autoimmune disorders.
AB - Friend or foe? This is often asked question when it comes to neutrophil
extracellular traps studies. There is no simple answer to that. At the time of
their discovery they were considered to be protectors of our well-being.
Excellent pathogen fighting skills were described as purely beneficial. But it
was not long before those guardians of immunity reveal their dark side. What
seemed to be profitable could also take its toll. They are perfectly constructed,
made from nucleic deoxyribonucleic acid ornamented with cytoplasmic and granular
proteins, to fight invaders. But this unique build is prone to become considered
by our body as a threat. Since there is a thin line which when crossed turns a
savior into enemy, it was postulated that Nets can play a significant role in
autoimmune disorders pathogenesis and disease exacerbation. Recent years have
brought a new insight into autoimmune disorders trying to connect the old
knowledge and suspicions with modern discoveries.
PMID- 26557039
TI - Selected aspects of the action of cobalt ions in the human body.
AB - Cobalt is widespread in the natural environment and can be formed as an effect of
anthropogenic activity. This element is used in numerous industrial applications
and nuclear power plants. Cobalt is an essential trace element for the human body
and can occur in organic and inorganic forms. The organic form is a necessary
component of vitamin B12 and plays a very important role in forming amino acids
and some proteins in nerve cells, and in creating neurotransmitters that are
indispensable for correct functioning of the organism. Its excess or deficiency
will influence it unfavourably. Salts of cobalt have been applied in medicine in
the treatment of anaemia, as well as in sport as an attractive alternative to
traditional blood doping. Inorganic forms of cobalt present in ion form, are
toxic to the human body, and the longer they are stored in the body, the more
changes they cause in cells. Cobalt gets into the body in several ways: firstly,
with food; secondly by the respiratory system; thirdly, by the skin; and finally,
as a component of biomaterials. Cobalt and its alloys are fundamental components
in orthopaedic implants and have been used for about 40 years. The corrosion of
metal is the main problem in the construction of implants. These released metal
ions may cause type IV inflammatory and hypersensitivity reactions, and
alternations in bone modelling that lead to aseptic loosening and implant
failure. The ions of cobalt released from the surface of the implant are absorbed
by present macrophages, which are involved in many of the processes associated
with phagocytose orthopaedic biomaterials particles and release pro-inflammatory
mediators such as interleukin-1 (IL-1), interleukin-6 (IL-6), tumour necrosis
factor alpha (TNF-alpha), and prostaglandin.
PMID- 26557038
TI - Cathelicidin impact on inflammatory cells.
AB - Cathelicidins, like other antimicrobial peptides, exhibit direct antimicrobial
activities against a broad spectrum of microbes, including both Gram-positive and
Gram-negative bacteria, enveloped viruses, and fungi. These host-derived peptides
kill the invaded pathogens by perturbing their cell membranes and can neutralize
biological activities of endotoxin. Nowadays, more and more data indicate that
these peptides, in addition to their antimicrobial properties, possess various
immunomodulatory activities. Cathelicidins have the potential to influence and
modulate, both directly and indirectly, the activity of various cell populations
involved in inflammatory processes and in host defense against invading
pathogens. They induce migration of neutrophils, monocytes/macrophages,
eosinophils, and mast cells and prolong the lifespan of neutrophils. These
peptides directly activate inflammatory cells to production and release of
different pro-inflammatory and immunoregulatory mediators, cytokines, and
chemokines, however cathelicidins might mediate the generation of anti
inflammatory cytokines as well. Cathelicidins also modulate epithelial
cell/keratinocyte responses to infecting pathogens. What is more, they affect
activity of monocytes, dendritic cells, keratinocytes, or epithelial cells acting
in synergy with cytokines or beta-defensins. In addition, these peptides
indirectly balance TLR-mediated responses of monocytes, macrophages, dendritic
cells, epithelial cells, and keratinocytes. This review discusses the role and
significance of cathelicidins in inflammation and innate immunity against
pathogens.
PMID- 26557040
TI - The influence of the workplace-related biological agents on the immune systems of
emergency medical personnel.
AB - Emergency medical services workers' (EMSWs) acute exposures to many biological
agents are frequent and well recognised in their workplaces, as well as
occupational diseases resulting from some of these exposures. At the same time,
there is only scant information on the adverse effects of chronic exposure to
biological hazard factors on the immune systems of EMSWs. In the Polish
legislation system, the Ordinance of the Minister of Health about harmful
biological agents in the workplace and ways of protecting workers from exposure
to those agents is an implement of Directive 2000/54/EC, which deals thoroughly
with those issues in European Union Countries. Emergency medical services workers
play an essential role as primary providers of pre-hospital emergency medical
care, and they are part of the integral components of disaster response.
Traumatic experiences can affect emergency medical staff immune systems
negatively, by functioning as a chronic stressor. Conscious use of biological
agents in workplaces such as microbial laboratories can be easily controlled and
monitored. However, risk assessment is more difficult for workers when they are
exposed unintentionally to biological agents. Exposure to bio-aerosols is
considered especially harmful. This review summarises available information about
biological risk factors for emergency medical services workers, and some
information about the influence of these factors on their immune systems.
PMID- 26557042
TI - Clinical significance of regulatory B cells in the peripheral blood of patients
with oesophageal cancer.
AB - B cell subsets have been found to exhibit a negative regulatory function, like
Tregs. The present study investigates the effects of CD5+CD19+ interleukin (IL)
10 (B10) on the occurrence and development of oesophageal carcinoma by analysing
B10 levels in the peripheral blood of patients with oesophageal carcinoma.
Peripheral blood of 120 oesophageal cancer patients and 120 healthy controls were
collected, and regulatory B cell counts were determined by flow cytometry. The
level of B10 cells in the peripheral blood of patients with oesophageal carcinoma
was significantly higher than that in healthy controls (p < 0.05). In addition,
B10 levels in stage III-IV patients (3.5 +/-0.7%) were higher than those in stage
I-II patients (2.5 +/-0.6%), which were in turn higher than those in the healthy
controls (1.3 +/-0.3%). The level of B10 increased with clinical progression of
oesophageal cancer, suggesting that B10 cells may influence the development or
progression of oesophageal cancer.
PMID- 26557043
TI - Asplenia in children with congenital heart disease as a cause of poor outcome.
AB - The absence of a spleen is a well-known risk factor for severe bacterial
infections, especially due to encapsulated bacteria. Congenital asplenia can be
part of multiple congenital abnormalities as in heterotaxy including Ivemark
syndrome with congenital anomalies of the heart or great vessels, or it can be
isolated, which is extremely rare. In these cases, asplenia is an important
factor effecting mortality. In this report, the clinical courses of five children
with asplenia and concomitant minor or complex cardiac anomalies are presented.
The ages of the children ranged between 1.5 and 17 months at the time of
diagnosis. All of the cases had had a history of hospitalisation for infectious
diseases before the diagnosis. The patient who was diagnosed at 17 months old had
a history pneumonia, urinary tract infection, and bacterial meningitis beginning
at five months old. Three children had complex cardiac anomalies, one child had
ventricular septal defect, and one child had atrial septal defect. Howell-Jolly
bodies were determined in peripheral blood smear in all of the patients. The
diagnoses of asplenia were confirmed with spleen scintigraphy. One of the
patients with complex cardiac anomalies died a short time after diagnosis,
because of cardiac failure. The rest of the four patients were vaccinated for
encapsulated bacteria and were taken under antibiotic prophylaxis. These children
did not need hospitalisation for infectious diseases during the follow-up period
(5-40 months). In asplenic children, early diagnosis, antibiotic prophylaxis, and
immunisation for encapsulated bacteria can decrease the risk of morbidity and
mortality.
PMID- 26557041
TI - Angiomodulatory properties of Rhodiola spp. and other natural antioxidants.
AB - Disturbances of angiogenesis and oxidative stress can lead to many serious
diseases such as cancer, diabetes or ischemic heart disease. Substances
neutralizing oxidative stress are known as antioxidants. They can affect
angiogenesis process also, and thus, they modulate therapy results. Antioxidants
become more and more frequently used in order to maintain homeostasis of the
organism and diminish the risk of disease. Herein, we introduce some antioxidant
preparations of natural plant origin (Rhodiola, Aloe vera, Resveratrol,
Echinacea, Plumbagin) and antioxidant supplements (Padma 28, Reumaherb, Resvega).
Analyses of their angiogenic properties, their multidirectional molecular effect
on angiogenesis as well as medical application are within the scope of this
review. Most of presented preparations down regulate neovascularization. They can
be safely administered to patients with abnormally high angiogenesis. Rhodiola
modulates, and Echinacea, Aloe vera and Plumbagin inhibit tumour-related
angiogenesis in vitro and in vivo (animal models). Resveratrol and Resvega reduce
neovascularization in the eye and may be applicable in eye disorders. Padma 28
preparation exhibits angioregulatory activity, decreasing high angiogenesis of
cancer cells and increasing physiological angiogenesis, therefore can be used in
therapy of patients with various disturbances of angiogenesis. Antioxidant
application in the case of angiogenesis-related diseases should take into
consideration angiogenic status of the patient.
PMID- 26557044
TI - Differences in alcohol brand consumption among underage youth by age, gender, and
race/ethnicity - United States, 2012.
AB - AIM: No previous national study has reported the prevalence of alcohol brand
consumption among underage youth by demographic characteristics. The aim of this
study was to determine the alcohol brand preferences among underage drinkers in
different demographic categories. METHOD: We administered an online survey to a
national sample of 1,031 underage youth, ages 13-20, who had consumed at least
one drink of alcohol in the past 30 days. The sample was recruited from a
previously established internet survey panel. The main outcome measure was the
estimated 30-day consumption prevalence for each of 898 brands by age, gender,
and race/ethnicity. RESULTS: Two beer brands-Bud Light and Budweiser-are
uniformly popular among underage drinkers, regardless of age, gender, or
race/ethnicity. There are several hard liquor brands whose use increases markedly
with age. Two flavored alcoholic beverages sharing the names of hard liquor
brands-Smirnoff and Bacardi-are more popular with older youth. Some flavored
alcoholic beverages are about twice as popular among female underage drinkers.
There are 12 alcohol brands that are uniquely popular among Black underage
drinkers, and these brands are heavily promoted in urban music. CONCLUSION: There
are differential patterns of brand-specific alcohol use among underage drinkers.
PMID- 26557045
TI - Risk of anaphylaxis in patients with large local reactions to hymenoptera stings:
a retrospective and prospective study.
AB - BACKGROUND: In the few studies available, the risk of developing systemic
reactions (SR) to hymenoptera stings in patients with previous large local
reactions (LLRs) to stings ranges from 0 to 7 %. We evaluated both
retrospectively and prospectively the risk of SRs in patients with LLRs to
stings. METHODS: An overall number of 477 patients, 396 with an SR as the first
manifestation of allergy and 81 with a history of only LLRs after hymenoptera
stings, were included in the study. All patients had clinical history and allergy
testing (skin tests and/or specific IgE) indicative of allergy to venom of only
one kind of Hymenoptera. Of the 81 patient with LLRs, 53 were followed-up for 3
years by annual control visits, while the 396 patients with SR were evaluated
retrospectively. RESULTS: Among the 396 patients with an SR, only 17 (4.2 %) had
had a previous LLR as debut of allergy, after an history of normal local
reactions to Hymenoptera stings. All the 81 patients with a history of only LLRs
had previously had at least two LLRs, with an overall number of 238 stings and no
SR. Among the 53 patients who were prospectively evaluated we found that 31 of
them (58.3 %) were restung by the same type of insect, with an overall number of
59 stings, presenting only LLRs and no SR. CONCLUSIONS: Our findings confirm that
patients with repeated LLRs to stings had no risk of SR, while a single LLR does
not exclude such risk. This has to be considered in the management of patients
with LLRs.
PMID- 26557046
TI - MDA5-positive dermatomyositis: an uncommon entity in Europe with variable
clinical presentations.
AB - Clinically amyopathic dermatomyositis (CADM), described almost 50 years ago, is
defined on the basis of still not validated criteria and characterized by skin
findings almost without muscle weakness. Autoantibodies directed against the
cytosolic pathogen sensor MDA5 (CADM 140) can mark this subtype of
dermatomyositis which has been reported to associate, in particular ethnic
groups, with severe progressive interstitial lung disease, poor prognosis and an
hyperferritinemic status resembling hemophagocytic-like syndromes. MDA5 may be
relevant in that Interferon-signature claimed to characterize inflammatory
myopathies and dermatomyosits itself, but its role is not clear. However, the
titre of anti-MDA5 autoantibodies seems to correlate with the outcome. In
Caucasian populations the association between anti-MDA5 positive CADM and rapidly
progressive interstitial lung disease seems to be weaker, but the limited numbers
of patients described so far could explain the lack of statistical significance.
As a fact, European patients with circulating anti-MDA5 autoantibodies may be
clinically inhomogeneous and exhibit different rates of severity. The two
patients affected by anti-MDA5 positive dermatomyositis described hereafter
provide a clear example of the extreme variability of the disease in terms of
laboratory findings and clinical features.
PMID- 26557047
TI - Head Motion Modeling for Human Behavior Analysis in Dyadic Interaction.
AB - This paper presents a computational study of head motion in human interaction,
notably of its role in conveying interlocutors' behavioral characteristics. Head
motion is physically complex and carries rich information; current modeling
approaches based on visual signals, however, are still limited in their ability
to adequately capture these important properties. Guided by the methodology of
kinesics, we propose a data driven approach to identify typical head motion
patterns. The approach follows the steps of first segmenting motion events, then
parametrically representing the motion by linear predictive features, and finally
generalizing the motion types using Gaussian mixture models. The proposed
approach is experimentally validated using video recordings of communication
sessions from real couples involved in a couples therapy study. In particular we
use the head motion model to classify binarized expert judgments of the
interactants' specific behavioral characteristics where entrainment in head
motion is hypothesized to play a role: Acceptance, Blame, Positive, and Negative
behavior. We achieve accuracies in the range of 60% to 70% for the various
experimental settings and conditions. In addition, we describe a measure of
motion similarity between the interaction partners based on the proposed model.
We show that the relative change of head motion similarity during the interaction
significantly correlates with the expert judgments of the interactants'
behavioral characteristics. These findings demonstrate the effectiveness of the
proposed head motion model, and underscore the promise of analyzing human
behavioral characteristics through signal processing methods.
PMID- 26557048
TI - Exposure to ionizing radiation reveals global dose- and time-dependent changes in
the urinary metabolome of rat.
AB - The potential for exposures to ionizing radiation has increased in recent years.
Although advances have been made, understanding the global metabolic response as
a function of both dose and exposure time is challenging considering the
complexity of the responses. Herein we report our findings on the dose- and time
dependency of the urinary response to ionizing radiation in the male rat using
radiation metabolomics. Urine samples were collected from adult male rats,
exposed to 0.5 to 10 Gy gamma-radiation, both before from 6 to 72 h following
exposures. Samples were analyzed by liquid chromatography coupled with time-of
flight mass spectrometry, and deconvoluted mass chromatographic data were
initially analyzed by principal component analysis. However, the breadth and
complexity of the data necessitated the development of a novel approach to
summarizing biofluid constituents after exposure, called Visual Analysis of
Metabolomics Package (VAMP). VAMP revealed clear urine metabolite profile
differences to as little as 0.5 Gy after 6 h exposure. Via VAMP, it was
discovered that the response to radiation exposure found in rat urine is
characterized by an overall net down-regulation of ion excretion with only a
modest number of ions excreted in excess over pre-exposure levels. Our results
show both similarities and differences with the published mouse urine response
and a dose- and time-dependent net decrease in urine ion excretion associated
with radiation exposure. These findings mark an important step in the development
of minimally invasive radiation biodosimetry. VAMP should have general
applicability in metabolomics to visualize overall differences and trends in many
sample sets.
PMID- 26557050
TI - Noncoding RNA in the transcriptional landscape of human neural progenitor cell
differentiation.
AB - Increasing evidence suggests that noncoding RNAs play key roles in cellular
processes, particularly in the brain. The present study used RNA sequencing to
identify the transcriptional landscape of two human neural progenitor cell lines,
SK-N-SH and ReNcell CX, as they differentiate into human cortical projection
neurons. Protein coding genes were found to account for 54.8 and 57.0% of
expressed genes, respectively, and alignment of RNA sequencing reads revealed
that only 25.5-28.1% mapped to exonic regions of the genome. Differential
expression analysis in the two cell lines identified altered gene expression in
both protein coding and noncoding RNAs as they undergo neural differentiation
with 222 differentially expressed genes observed in SK-N-SH cells and 19
differentially expressed genes in ReNcell CX. Interestingly, genes showing
differential expression in SK-N-SH cells are enriched in genes implicated in
autism spectrum disorder, but not in gene sets related to cancer or Alzheimer's
disease. Weighted gene co-expression network analysis (WGCNA) was used to detect
modules of co-expressed protein coding and noncoding RNAs in SK-N-SH cells and
found four modules to be associated with neural differentiation. These modules
contain varying levels of noncoding RNAs ranging from 10.7 to 49.7% with gene
ontology suggesting roles in numerous cellular processes important for
differentiation. These results indicate that noncoding RNAs are highly expressed
in human neural progenitor cells and likely hold key regulatory roles in gene
networks underlying neural differentiation and neurodevelopmental disorders.
PMID- 26557049
TI - Current insights into the molecular mechanisms of hypoxic pre- and
postconditioning using hypobaric hypoxia.
AB - Exposure of organisms to repetitive mild hypoxia results in development of brain
hypoxic/ischemic tolerance and cross-tolerance to injurious factors of a psycho
emotional nature. Such preconditioning by mild hypobaric hypoxia functions as a
"warning" signal which prepares an organism, and in particular the brain, to
subsequent more harmful conditions. The endogenous defense processes which are
mobilized by hypoxic preconditioning and result in development of brain tolerance
are based on evolutionarily acquired gene-determined mechanisms of adaptation and
neuroprotection. They involve an activation of intracellular cascades including
kinases, transcription factors and changes in expression of multiple regulatory
proteins in susceptible areas of the brain. On the other hand they lead to
multilevel modifications of the hypothalamic-pituitary-adrenal endocrine axis
regulating various functions in the organism. All these components are engaged
sequentially in the initiation, induction and expression of hypoxia-induced
tolerance. A special role belongs to the epigenetic regulation of gene
expression, in particular of histone acetylation leading to changes in chromatin
structure which ensure access of pro-adaptive transcription factors activated by
preconditioning to the promoters of target genes. Mechanisms of another,
relatively novel, neuroprotective phenomenon termed hypoxic postconditioning (an
application of mild hypoxic episodes after severe insults) are still largely
unknown but according to recent data they involve apoptosis-related proteins,
hypoxia-inducible factor and neurotrophins. The fundamental data accumulated to
date and discussed in this review open new avenues for elaboration of the
effective therapeutic applications of hypoxic pre- and postconditioning.
PMID- 26557051
TI - Circuits regulating pleasure and happiness: the evolution of reward-seeking and
misery-fleeing behavioral mechanisms in vertebrates.
AB - The very first free-moving animals in the oceans over 540 million years ago must
have been able to obtain food, territory, and shelter, as well as reproduce.
Therefore, they would have needed regulatory mechanisms to induce movements
enabling achievement of these prerequisites for survival. It can be useful to
consider these mechanisms in primitive chordates, which represent our earliest
ancestors, to develop hypotheses addressing how these essential parts of human
behavior are regulated and relate to more sophisticated behavioral manifestations
such as mood. An animal comparable to lampreys was the earliest known vertebrate
with a modern forebrain consisting of old and new cortical parts. Lampreys have a
separate dorsal pallium, the forerunner of the most recently developed part of
the cerebral cortex. In addition, the lamprey extrapyramidal system (EPS), which
regulates movement, is modern. However, in lampreys and their putative
forerunners, the hagfishes, the striatum, which is the input part of this EPS,
probably corresponds to the human centromedial amygdala, which in higher
vertebrates is part of a system mediating fear and anxiety. Both animals have
well-developed nuclear habenulae, which are involved in several critical
behaviors; in lampreys this system regulates the reward system that reinforces
appetitive-seeking behavior or the avoidance system that reinforces flight
behavior resulting from negative inputs. Lampreys also have a distinct
glutamatergic nucleus, the so-called habenula-projection globus pallidus, which
receives input from glutamatergic and GABAergic signals and gives output to the
lateral habenula. Via this route, this nucleus influences midbrain monoaminergic
nuclei and regulates the food acquisition system. These various structures
involved in motor regulation in the lampreys may be conserved in humans and
include two complementary mechanisms for reward reinforcement and avoidance
behaviors. The first system is associated with experiencing pleasure and the
second with happiness. The activities of these mechanisms are regulated by a
tract running via the habenula to the upper brainstem. Identifying the human
correlate of the lamprey habenula-projecting globus pallidus may help in
elucidating the mechanism of the antidepressant effects of glutamatergic drugs.
PMID- 26557052
TI - Assessing intracortical myelin in the living human brain using myelinated
cortical thickness.
AB - Alterations in the myelination of the cerebral cortex may underlie abnormal
cortical function in a variety of brain diseases. Here, we describe a technique
for investigating changes in intracortical myelin in clinical populations on the
basis of cortical thickness measurements with magnetic resonance imaging (MRI) at
3 Tesla. For this, we separately compute the thickness of the shallower, lightly
myelinated portion of the cortex and its deeper, heavily myelinated portion
(referred to herein as unmyelinated and myelinated cortex, respectively). Our
expectation is that the thickness of the myelinated cortex will be a specific
biomarker for disruptions in myeloarchitecture. We show representative atlases of
total cortical thickness, T, unmyelinated cortical thickness, G, and myelinated
cortical thickness, M, for a healthy group of 20 female subjects. We further
demonstrate myelinated cortical thickness measurements in a preliminary clinical
study of 10 bipolar disorder type-I subjects and 10 healthy controls, and report
significant decreases in the middle frontal gyrus in T, G, and M in the disorder,
with the largest percentage change occurring in M. This study highlights the
potential of myelinated cortical thickness measurements for investigating
intracortical myelin involvement in brain disease at clinically relevant field
strengths and resolutions.
PMID- 26557053
TI - A retrograde adeno-associated virus for collecting ribosome-bound mRNA from
anatomically defined projection neurons.
AB - The brain contains a large variety of projection neurons with different
functional properties. The functional properties of projection neurons arise from
their connectivity with other neurons and their molecular composition. We
describe a novel tool for obtaining the gene expression profiles of projection
neurons that are anatomically defined by the location of their soma and axon
terminals. Our tool utilizes adeno-associated virus serotype 9 (AAV9), which we
found to retrogradely transduce projection neurons after injection at the site of
the axon terminals. We used AAV9 to express Enhanced Green Fluorescent Protein
(EGFP)-tagged ribosomal protein L10a (EGFP-L10a), which enables the
immunoprecipitation of EGFP-tagged ribosomes and associated mRNA with a method
known as Translating Ribosome Affinity Purification (TRAP). To achieve high
expression of the EGFP-L10a protein in projection neurons, we placed its
expression under control of a 1.3 kb alpha-calcium/calmodulin-dependent protein
kinase II (Camk2a) promoter. We injected the AAV9-Camk2a-TRAP virus in either the
hippocampus or the bed nucleus of the stria terminalis (BNST) of the mouse brain.
In both brain regions the 1.3 kb Camk2a promoter did not confer complete cell
type specificity around the site of injection, as EGFP-L10a expression was
observed in Camk2a-expressing neurons as well as in neuronal and non-neuronal
cells that did not express Camk2a. In contrast, cell-type specific expression was
observed in Camk2a-positive projection neurons that were retrogradely transduced
by AAV9-Camk2a-TRAP. Injection of AAV9-Camk2a-TRAP into the BNST enabled the use
of TRAP to collect ribosome-bound mRNA from basal amygdala projection neurons
that innervate the BNST. AAV9-Camk2a-TRAP provides a single-virus system that can
be used for the molecular profiling of anatomically defined projection neurons in
mice and other mammalian model organisms. In addition, AAV9-Camk2a-TRAP may
enable the discovery of protein synthesis events that support information storage
in projection neurons.
PMID- 26557054
TI - Detrimental effect of post Status Epilepticus treatment with ROCK inhibitor Y
27632 in a pilocarpine model of temporal lobe epilepsy.
AB - Temporal lobe epilepsy (TLE) is the most common type of epilepsy in adults where
20-30% of the patients are refractory to currently available anti-epileptic
drugs. The RhoA/Rho-kinase signaling pathway activation has been involved in
inflammatory responses, neurite outgrowth and neuronal death under pathological
conditions such as epileptic insults. Acute preventive administration of ROCK
inhibitor has been reported to have beneficial outcomes in Status Epilepticus
(SE) epilepsy. In the present study, we evaluate the effect of chronic post SE
treatment with the ROCK inhibitor Y-27632 in a rat pilocarpine model of TLE. We
used chronic i.p. injections of Y-27632 for 5 days in 6 week old control rats or
rats subjected to pilocarpine treatment as a model of TLE. Surprisingly, our
findings demonstrate that a systemic administration of Y-27632 in pilocarpine
treated rats increases neuronal death in the CA3 region and ectopic recurrent
mossy fiber sprouting (rMFS) in the dentate gyrus of the hippocampal formation.
Interestingly, we found that chronic treatment with Y-27632 exacerbates the down
regulation and pathological distribution of the K(+)-Cl(-) cotransporter KCC2,
thus providing a putative mechanism for post SE induced neuronal death. The
involvement of astrogliosis in this mechanism appears to be intricate as ROCK
inhibition reduces reactive astrogliosis in pilocarpine rats. Conversely, in
control rats, chronic Y-27632 treatment increases astrogliosis. Together, our
findings suggest that Y-27632 has a detrimental effect when chronically used post
SE in a rat pilocarpine model of TLE.
PMID- 26557055
TI - Repetitive transcranial magnetic stimulation induces oscillatory power changes in
chronic tinnitus.
AB - Chronic tinnitus is associated with neuroplastic changes in auditory and non
auditory cortical areas. About 10 years ago, repetitive transcranial magnetic
stimulation (rTMS) of auditory and prefrontal cortex was introduced as potential
treatment for tinnitus. The resulting changes in tinnitus loudness are
interpreted in the context of rTMS induced activity changes (neuroplasticity).
Here, we investigate the effect of single rTMS sessions on oscillatory power to
probe the capacity of rTMS to interfere with tinnitus-specific cortical
plasticity. We measured 20 patients with bilateral chronic tinnitus and 20
healthy controls comparable for age, sex, handedness, and hearing level with a 63
channel electroencephalography (EEG) system. Educational level, intelligence,
depressivity and hyperacusis were controlled for by analysis of covariance.
Different rTMS protocols were tested: Left and right temporal and left and right
prefrontal cortices were each stimulated with 200 pulses at 1 Hz and with an
intensity of 60% stimulator output. Stimulation of central parietal cortex with 6
fold reduced intensity (inverted passive-cooled coil) served as sham condition.
Before and after each rTMS protocol 5 min of resting state EEG were recorded. The
order of rTMS protocols was randomized over two sessions with 1 week interval in
between. Analyses on electrode level showed that people with and without tinnitus
differed in their response to left temporal and right frontal stimulation. In
tinnitus patients left temporal rTMS decreased frontal theta and delta and
increased beta2 power, whereas right frontal rTMS decreased right temporal beta3
and gamma power. No changes or increases were observed in the control group. Only
non-systematic changes in tinnitus loudness were induced by single sessions of
rTMS. This is the first study to show tinnitus-related alterations of
neuroplasticity that were specific to stimulation site and oscillatory frequency.
The observed effects can be interpreted within the thalamocortical dysrhythmia
model assuming that slow waves represent processes of deafferentiation and that
high frequencies might be indicators for tinnitus loudness. Moreover our findings
confirm the role of the left temporal and the right frontal areas as relevant
hubs in tinnitus related neuronal network. Our results underscore the value of
combined TMS-EEG measurements for investigating disease related changes in
neuroplasticity.
PMID- 26557056
TI - TMEM16A is associated with voltage-gated calcium channels in mouse retina and its
function is disrupted upon mutation of the auxiliary alpha2delta4 subunit.
AB - Photoreceptors rely upon highly specialized synapses to efficiently transmit
signals to multiple postsynaptic targets. Calcium influx in the presynaptic
terminal is mediated by voltage-gated calcium channels (VGCC). This event
triggers neurotransmitter release, but also gates calcium-activated chloride
channels (TMEM), which in turn regulate VGCC activity. In order to investigate
the relationship between VGCC and TMEM channels, we analyzed the retina of wild
type (WT) and Cacna2d4 mutant mice, in which the VGCC auxiliary alpha2delta4
subunit carries a nonsense mutation, disrupting the normal channel function.
Synaptic terminals of mutant photoreceptors are disarranged and synaptic proteins
as well as TMEM16A channels lose their characteristic localization. In parallel,
calcium-activated chloride currents are impaired in rods, despite unaltered
TMEM16A protein levels. Co-immunoprecipitation revealed the interaction between
VGCC and TMEM16A channels in the retina. Heterologous expression of these
channels in tsA-201 cells showed that TMEM16A associates with the CaV1.4 subunit,
and the association persists upon expression of the mutant alpha2delta4 subunit.
Collectively, our experiments show association between TMEM16A and the alpha1
subunit of VGCC. Close proximity of these channels allows optimal function of the
photoreceptor synaptic terminal under physiological conditions, but also makes
TMEM16A channels susceptible to changes occurring to calcium channels.
PMID- 26557057
TI - Alterations in stress granule dynamics driven by TDP-43 and FUS: a link to
pathological inclusions in ALS?
AB - Stress granules (SGs) are RNA-containing cytoplasmic foci formed in response to
stress exposure. Since their discovery in 1999, over 120 proteins have been
described to be localized to these structures (in 154 publications). Most of
these components are RNA binding proteins (RBPs) or are involved in RNA
metabolism and translation. SGs have been linked to several pathologies including
inflammatory diseases, cancer, viral infection, and neurodegenerative diseases
such as amyotrophic lateral sclerosis (ALS) and frontotemporal dementia (FTD). In
ALS and FTD, the majority of cases have no known etiology and exposure to
external stress is frequently proposed as a contributor to either disease
initiation or the rate of disease progression. Of note, both ALS and FTD are
characterized by pathological inclusions, where some well-known SG markers
localize with the ALS related proteins TDP-43 and FUS. We propose that TDP-43 and
FUS serve as an interface between genetic susceptibility and environmental stress
exposure in disease pathogenesis. Here, we will discuss the role of TDP-43 and
FUS in SG dynamics and how disease-linked mutations affect this process.
PMID- 26557059
TI - Looming sensitive cortical regions without V1 input: evidence from a patient with
bilateral cortical blindness.
AB - Fast and automatic behavioral responses are required to avoid collision with an
approaching stimulus. Accordingly, looming stimuli have been found to be highly
salient and efficient attractors of attention due to the implication of potential
collision and potential threat. Here, we address the question of whether looming
motion is processed in the absence of any functional primary visual cortex and
consequently without awareness. For this, we investigated a patient (TN)
suffering from complete, bilateral damage to his primary visual cortex. Using an
fMRI paradigm, we measured TN's brain activation during the presentation of
looming, receding, rotating, and static point lights, of which he was unaware.
When contrasted with other conditions, looming was found to produce bilateral
activation of the middle temporal areas, as well as the superior temporal sulcus
and inferior parietal lobe (IPL). The latter are generally thought to be involved
in multisensory processing of motion in extrapersonal space, as well as
attentional capture and saliency. No activity was found close to the lesioned V1
area. This demonstrates that looming motion is processed in the absence of
awareness through direct subcortical projections to areas involved in
multisensory processing of motion and saliency that bypass V1.
PMID- 26557058
TI - Quantification of mid and late evoked sinks in laminar current source density
profiles of columns in the primary auditory cortex.
AB - Current source density (CSD) analysis assesses spatiotemporal synaptic
activations at somatic and/or dendritic levels in the form of depolarizing
current sinks. Whereas many studies have focused on the short (<50 ms) latency
sinks, associated with thalamocortical projections, sinks with longer latencies
have received less attention. Here, we analyzed laminar CSD patterns for the
first 600 ms after stimulus onset in the primary auditory cortex of Mongolian
gerbils. By applying an algorithm for contour calculation, three distinct mid and
four late evoked sinks were identified in layers I, III, Va, VIa, and VIb. Our
results further showed that the patterns of intracortical information-flow
remained qualitatively similar for low and for high sound pressure level stimuli
at the characteristic frequency (CF) as well as for stimuli +/- 1 octave from CF.
There were, however, differences associated with the strength, vertical extent,
onset latency, and duration of the sinks for the four stimulation paradigms used.
Stimuli one octave above the most sensitive frequency evoked a new, and quite
reliable, sink in layer Va whereas low level stimulation led to the disappearance
of the layer VIb sink. These data indicate the presence of input sources
specifically activated in response to level and/or frequency parameters.
Furthermore, spectral integration above vs. below the CF of neurons is asymmetric
as illustrated by CSD profiles. These results are important because synaptic
feedback associated with mid and late sinks-beginning at 50 ms post stimulus
latency-is likely crucial for response modulation resulting from higher order
processes like memory, learning or cognitive control.
PMID- 26557060
TI - Dynamics of place, boundary and object encoding in rat anterior claustrum.
AB - Discrete populations of brain cells signal differing types of spatial
information. These "spatial cells" are largely confined to a closely-connected
network of sites. We describe here, for the first time, cells in the anterior
claustrum of the freely-moving rat encoding place, boundary and object
information. This novel claustral spatial signal potentially directly modulates a
wide variety of anterior cortical regions. We hypothesize that one of the
functions of the claustrum is to provide information about body position,
boundaries and landmark information, enabling dynamic control of behavior.
PMID- 26557061
TI - Differentially expressed genes linked to natural variation in long-term memory
formation in Cotesia parasitic wasps.
AB - Even though learning and memory are universal traits in the Animal Kingdom,
closely related species reveal substantial variation in learning rate and memory
dynamics. To determine the genetic background of this natural variation, we
studied two congeneric parasitic wasp species, Cotesia glomerata and C. rubecula,
which lay their eggs in caterpillars of the large and small cabbage white
butterfly. A successful egg laying event serves as an unconditioned stimulus (US)
in a classical conditioning paradigm, where plant odors become associated with
the encounter of a suitable host caterpillar. Depending on the host species, the
number of conditioning trials and the parasitic wasp species, three different
types of transcription-dependent long-term memory (LTM) and one type of
transcription-independent, anesthesia-resistant memory (ARM) can be
distinguished. To identify transcripts underlying these differences in memory
formation, we isolated mRNA from parasitic wasp heads at three different time
points between induction and consolidation of each of the four memory types, and
for each sample three biological replicates, where after strand-specific paired
end 100 bp deep sequencing. Transcriptomes were assembled de novo and
differential expression was determined for each memory type and time point after
conditioning, compared to unconditioned wasps. Most differentially expressed (DE)
genes and antisense transcripts were only DE in one of the LTM types. Among the
DE genes that were DE in two or more LTM types, were many protein kinases and
phosphatases, small GTPases, receptors and ion channels. Some genes were DE in
opposing directions between any of the LTM memory types and ARM, suggesting that
ARM in Cotesia requires the transcription of genes inhibiting LTM or vice versa.
We discuss our findings in the context of neuronal functioning, including RNA
splicing and transport, epigenetic regulation, neurotransmitter/peptide synthesis
and antisense transcription. In conclusion, these brain transcriptomes provide
candidate genes that may be involved in the observed natural variation in LTM in
closely related Cotesia parasitic wasp species.
PMID- 26557062
TI - Infant attachment predicts bodily freezing in adolescence: evidence from a
prospective longitudinal study.
AB - Early life-stress, particularly maternal deprivation, is associated with long
lasting deviations in animals' freezing responses. Given the relevance of
freezing for stress-coping, translational research is needed to examine the
relation between insecure infant-parent attachment and bodily freezing-like
behavior in humans. Therefore, we investigated threat-related reductions in body
sway (indicative of freezing-like behavior) in 14-year-old adolescents (N = 79),
for whom attachment security was earlier assessed in infancy. As expected,
insecure (vs. secure) attachment was associated with less body sway for angry vs.
neutral faces. This effect remained when controlling for intermediate life
events. These results suggest that the long-lasting effects of early negative
caregiving experiences on the human stress and threat systems extend to the
primary defensive reaction of freezing. Additionally, we replicated earlier work
in adults, by observing a significant correlation (in adolescents assessed as
securely attached) between subjective state anxiety and reduced body sway in
response to angry vs. neutral faces. Together, this research opens venues to
start exploring the role of freezing in the development of human psychopathology.
PMID- 26557063
TI - Modulation of defensive reflex conditioning in snails by serotonin.
AB - Highlights Daily injection of serotonin before a training session accelerated
defensive reflex conditioning in snails.Daily injection of 5-hydroxytryptophan
before a training session in snails with a deficiency of serotonin induced by the
"neurotoxic" analog of serotonin 5,7-dihydroxytryptamine, restored the ability of
snails to learn.After injection of the "neurotoxic" analogs of serotonin 5,6- and
5,7-dihydroxytryptamine as well as serotonin, depolarization of the membrane and
decrease of the threshold potential of premotor interneurons was observed. We
studied the role of serotonin in the mechanisms of learning in terrestrial
snails. To produce a serotonin deficit, the "neurotoxic" analogs of serotonin,
5,6- or 5,7-dihydroxytryptamine (5,6/5,7-DHT) were used. Injection of 5,6/5,7-DHT
was found to disrupt defensive reflex conditioning. Within 2 weeks of neurotoxin
application, the ability to learn had recovered. Daily injection of serotonin
before a training session accelerated defensive reflex conditioning and daily
injections of 5-HTP in snails with a deficiency of serotonin induced by 5,7-DHT
restored the snail's ability to learn. We discovered that injections of the
neurotoxins 5,6/5,7-DHT as well as serotonin, caused a decrease in the resting
and threshold potentials of the premotor interneurons LPa3 and RPa3.
PMID- 26557064
TI - A statistical approach for segregating cognitive task stages from multivariate
fMRI BOLD time series.
AB - Multivariate pattern analysis can reveal new information from neuroimaging data
to illuminate human cognition and its disturbances. Here, we develop a
methodological approach, based on multivariate statistical/machine learning and
time series analysis, to discern cognitive processing stages from functional
magnetic resonance imaging (fMRI) blood oxygenation level dependent (BOLD) time
series. We apply this method to data recorded from a group of healthy adults
whilst performing a virtual reality version of the delayed win-shift radial arm
maze (RAM) task. This task has been frequently used to study working memory and
decision making in rodents. Using linear classifiers and multivariate test
statistics in conjunction with time series bootstraps, we show that different
cognitive stages of the task, as defined by the experimenter, namely, the
encoding/retrieval, choice, reward and delay stages, can be statistically
discriminated from the BOLD time series in brain areas relevant for decision
making and working memory. Discrimination of these task stages was significantly
reduced during poor behavioral performance in dorsolateral prefrontal cortex
(DLPFC), but not in the primary visual cortex (V1). Experimenter-defined
dissection of time series into class labels based on task structure was confirmed
by an unsupervised, bottom-up approach based on Hidden Markov Models.
Furthermore, we show that different groupings of recorded time points into
cognitive event classes can be used to test hypotheses about the specific
cognitive role of a given brain region during task execution. We found that
whilst the DLPFC strongly differentiated between task stages associated with
different memory loads, but not between different visual-spatial aspects, the
reverse was true for V1. Our methodology illustrates how different aspects of
cognitive information processing during one and the same task can be separated
and attributed to specific brain regions based on information contained in
multivariate patterns of voxel activity.
PMID- 26557065
TI - Neuroplastic changes in resting-state functional connectivity after stroke
rehabilitation.
AB - Most neuroimaging research in stroke rehabilitation mainly focuses on the neural
mechanisms underlying the natural history of post-stroke recovery. However,
connectivity mapping from resting-state fMRI is well suited for different
neurological conditions and provides a promising method to explore plastic
changes for treatment-induced recovery from stroke. We examined the changes in
resting-state functional connectivity (RS-FC) of the ipsilesional primary motor
cortex (M1) in 10 post-acute stroke patients before and immediately after 4 weeks
of robot-assisted bilateral arm therapy (RBAT). Motor performance, functional use
of the affected arm, and daily function improved in all participants. Reduced
interhemispheric RS-FC between the ipsilesional and contralesional M1 (M1-M1) and
the contralesional-lateralized connections were noted before treatment. In
contrast, greater M1-M1 functional connectivity and disturbed resting-state
networks were observed after RBAT relative to pre-treatment. Increased changes in
M1-M1 RS-FC after RBAT were coupled with better motor and functional
improvements. Mediation analysis showed the pre-to-post difference in M1-M1 RS-FC
was a significant mediator for the relationship between motor and functional
recovery. These results show neuroplastic changes and functional recoveries
induced by RBAT in post-acute stroke survivors and suggest that interhemispheric
functional connectivity in the motor cortex may be a neurobiological marker for
recovery after stroke rehabilitation.
PMID- 26557066
TI - Comprehension through explanation as the interaction of the brain's coherence and
cognitive control networks.
AB - Discourse comprehension processes attempt to produce an elaborate and well
connected representation in the reader's mind. A common network of regions
including the angular gyrus, posterior cingulate, and dorsal frontal cortex
appears to be involved in constructing coherent representations in a variety of
tasks including social cognition tasks, narrative comprehension, and expository
text comprehension. Reading strategies that require the construction of explicit
inferences are used in the present research to examine how this coherence network
interacts with other brain regions. A psychophysiological interaction analysis
was used to examine regions showing changed functional connectivity with this
coherence network when participants were engaged in either a non-inferencing
reading strategy, paraphrasing, or a strategy requiring coherence-building
inferences, self-explanation. Results of the analysis show that the coherence
network increases in functional connectivity with a cognitive control network
that may be specialized for the manipulation of semantic representations and the
construction of new relations among these representations.
PMID- 26557068
TI - Analysis of linear electrode array EMG for assessment of hemiparetic biceps
brachii muscles.
AB - This study presents a frequency analysis of surface electromyogram (EMG) signals
acquired by a linear electrode array from the biceps brachii muscles bilaterally
in 14 hemiparetic stroke subjects. For different levels of isometric contraction
ranging from 10 to 80% of the maximum voluntary contraction (MVC), the power
spectra of 19 bipolar surface EMG channels arranged proximally to distally along
the muscle fibers were examined in both paretic and contralateral muscles. It was
found that across all stroke subjects, the median frequency (MF) and the mean
power frequency (MPF), averaged from different surface EMG channels, were
significantly smaller in the paretic muscle compared to the contralateral muscle
at each of the matched percent MVC contractions. The muscle fiber conduction
velocity (MFCV) was significantly slower in the paretic muscle than in the
contralateral muscle. No significant correlation between the averaged MF, MPF, or
MFCV vs. torque was found in both paretic and contralateral muscles. However,
there was a significant positive correlation between the global MFCV and MF.
Examination of individual EMG channels showed that electrodes closest to the
estimated muscle innervation zones produced surface EMG signals with
significantly higher MF and MPF than more proximal or distal locations in both
paretic and contralateral sides. These findings suggest complex central and
peripheral neuromuscular alterations (such as selective loss of large motor
units, disordered control of motor units, increased motor unit synchronization,
and atrophy of muscle fibers, etc.) which can collectively influence the surface
EMG signals. The frequency difference with regard to the innervation zone also
confirms the relevance of electrode position in surface EMG analysis.
PMID- 26557067
TI - The coordination dynamics of social neuromarkers.
AB - Social behavior is a complex integrative function that entails many aspects of
the brain's sensory, cognitive, emotional and movement capacities. Its neural
processes are seldom simultaneous but occur according to precise spatiotemporal
choreographies, manifested by the coordination of their oscillations within and
between brains. Methods with good temporal resolution can help to identify so
called "neuromarkers" of social function and aid in disentangling the dynamical
architecture of social brains. In our ongoing research, we have used dual
electroencephalography (EEG) to study neuromarker dynamics during synchronic
interactions in which pairs of subjects coordinate behavior spontaneously and
intentionally (social coordination) and during diachronic transactions that
require subjects to perceive or behave in turn (action observation, delayed
imitation). In this paper, after outlining our dynamical approach to the
neurophysiological basis of social behavior, we examine commonalities and
differences in the neuromarkers that are recruited for both kinds of tasks. We
find the neuromarker landscape to be task-specific: synchronic paradigms of
social coordination reveal medial mu, alpha and the phi complex as contributing
neuromarkers. Diachronic tasks recruit alpha as well, in addition to lateral mu
rhythms and the newly discovered nu and kappa rhythms whose functional
significance is still unclear. Social coordination, observation, and delayed
imitation share commonality of context: in each of our experiments, subjects
exchanged information through visual perception and moved in similar ways.
Nonetheless, there was little overlap between their neuromarkers, a result that
hints strongly of task-specific neural mechanisms for social behavior. The only
neuromarker that transcended both synchronic and diachronic social behaviors was
the ubiquitous alpha rhythm, which appears to be a key signature of visually
mediated social behaviors. The present paper is both an entry point and a
challenge: much work remains to determine the nature and scope of recruitment of
other neuromarkers, and to create theoretical models of their within- and between
brain dynamics during social interaction.
PMID- 26557070
TI - Serotonin receptor gene (HTR2A) T102C polymorphism modulates individuals'
perspective taking ability and autistic-like traits.
AB - Previous studies have indicated that empathic traits, such as perspective taking,
are associated with the levels of serotonin in the brain and with autism spectrum
conditions. Inspired by the finding that the serotonin receptor 2A gene (HTR2A)
modulates the availability of serotonin, this study investigated to what extent
HTR2A modulates individuals' perspective taking ability and autistic-like traits.
To examine the associations of the functional HTR2A polymorphism T102C (rs6313)
with individuals' perspective taking abilities and autistic-like traits, we
differentiated individuals according to this polymorphism and measured empathic
and autistic-like traits with Interpersonal Reactivity Index (IRI) and Autism
Spectrum Quotient (AQ) scale in 523 Chinese people. The results indicated that
this polymorphism was significantly associated with the scores on Perspective
Taking and Personal Distress subscales of IRI, and Communication subscale of AQ.
Individuals with a greater number of the C alleles were less likely to
spontaneously adopt the point of view of others, more likely to be anxious when
observing the pain endured by others, and more likely to have communication
problems. Moreover, the genotype effect on communication problems was mediated by
individuals' perspective taking ability. These findings provide evidence that the
HTR2A T102C polymorphism is a predictor of individual differences in empathic and
autistic-like traits and highlight the role of the gene in the connection between
perspective taking and autistic-like traits.
PMID- 26557069
TI - Identifying changes in EEG information transfer during drowsy driving by transfer
entropy.
AB - Drowsy driving is a major cause of automobile accidents. Previous studies used
neuroimaging based approaches such as analysis of electroencephalogram (EEG)
activities to understand the brain dynamics of different cortical regions during
drowsy driving. However, the coupling between brain regions responding to this
vigilance change is still unclear. To have a comprehensive understanding of
neural mechanisms underlying drowsy driving, in this study we use transfer
entropy, a model-free measure of effective connectivity based on information
theory. We investigate the pattern of information transfer between brain regions
when the vigilance level, which is derived from the driving performance, changes
from alertness to drowsiness. Results show that the couplings between pairs of
frontal, central, and parietal areas increased at the intermediate level of
vigilance, which suggests that an enhancement of the cortico-cortical interaction
is necessary to maintain the task performance and prevent behavioral lapses.
Additionally, the occipital-related connectivity magnitudes monotonically
decreases as the vigilance level declines, which further supports the cortical
gating of sensory stimuli during drowsiness. Neurophysiological evidence of
mutual relationships between brain regions measured by transfer entropy might
enhance the understanding of cortico-cortical communication during drowsy
driving.
PMID- 26557071
TI - Modeling spatio-temporal dynamics of network damage and network recovery.
AB - How networks endure damage is a central issue in neural network research. In this
paper, we study the slow and fast dynamics of network damage and compare the
results for two simple but very different models of recurrent and feed forward
neural network. What we find is that a slower degree of network damage leads to a
better chance of recovery in both types of network architecture. This is in
accord with many experimental findings on the damage inflicted by strokes and by
slowly growing tumors. Here, based on simulation results, we explain the
seemingly paradoxical observation that disability caused by lesions, affecting
large portions of tissue, may be less severe than the disability caused by
smaller lesions, depending on the speed of lesion growth.
PMID- 26557072
TI - Beauty and the Biologic: Artistic Documentation of Scientific Breakthrough in
Psoriasis.
AB - The making of wax moulages was an exclusive and sought-after art that was
primarily used for teaching, but also to document clinical and laboratory
research during the first half of the 20th century. Applying the technique of
moulage-making to document a case of psoriasis improvement for posterity, a
moulage of the trunk of a patient with psoriasis vulgaris was taken prior to
treatment with biologics - adalimumab, a TNF-alpha antagonist - and again 3 month
after adalimumab was first given. Our modern moulage shows in the most realistic
way the science-driven improvement of psoriasis achievable nowadays with
biologics. However, the real clinical picture of the disease is shrouded by
showing only one detail of the patient - by accident the one with the best
clinical improvement. All available techniques to document skin disease have
advantages and limitations and nothing beats seeing live patients.
PMID- 26557073
TI - Cutaneous Metastasis of Neuroendocrine Carcinoma with Unknown Primary Site: Case
Report and Review of the Literature.
AB - We report a new case of neuroendocrine carcinoma for which it was not possible to
find the primary site until now. The recent medical literature about skin
metastasis of neuroendocrine carcinoma (neuroendocrine tumor) is discussed.
PMID- 26557074
TI - Disseminated Cutaneous Leishmaniasis in Colombia: Report of 27 Cases.
AB - Disseminated leishmaniasis (DL) is a poorly described disease that is frequently
misdiagnosed as other clinical manifestations of cutaneous leishmaniasis (CL)
such as diffuse CL or post-kala-azar dermal leishmaniasis. Twenty-seven cases of
DL diagnosed between 1997 and 2015 are described. A higher prevalence was
observed in men (mean age 32 years). The number of lesions per patient ranged
from 12 to 294, distributed mainly in the upper extremities, face and trunk. The
lesions were mostly plaques or nodules. Seven patients had nasal mucous damage,
74% of the patients were of mixed race, 92% lived in northwestern Colombia, and
Leishmania (Viannia) panamensis was identified as the causative agent in 58% of
cases. Eighteen patients recovered with pentavalent antimonial. The importance of
distinguishing DL from those other clinical presentations is based on the fact
that disseminated, diffuse and post-kala-azar CL are very different in etiology,
clinical manifestations and response to treatment and prognosis.
PMID- 26557075
TI - Koebner Phenomenon and Mycosis Fungoides.
AB - Mycosis fungoides (MF) is the most frequent type of primary cutaneous T-cell/NK
cell lymphoma. The Koebner phenomenon is defined as the appearance of cutaneous
lesions on previously noninvolved skin following trauma and is observed in a
series of cutaneous diseases including psoriasis, lichen planus, viral warts,
molluscum contagiosum, etc. In this case report, 3 patients with longstanding MF
are presented, the 1st with the appearance of a circumscribed early-stage type MF
lesion rapidly following a surgical excision of an infundibular cyst, the 2nd
with the appearance of a unique unilateral palmar tumoral MF lesion at the
pressure site of a crutch, and the 3rd presented localized MF early stage lesions
at the friction site of a belt. This report suggests that some MF patients may
experience Koebner phenomenon-induced MF lesions and that MF should be added to
the long list of skin diseases potentially exhibiting the Koebner phenomenon.
PMID- 26557076
TI - Retroperitoneal Schwannoma in the Renal Hilum: A Case Report.
AB - A 73-year-old male was referred to our department for further treatment of a
right retroperitoneal tumor. Contrast CT showed a tumor mass measuring 33 * 26 mm
in size with poor contrast enhancement. Because we were unable to rule out tumor
malignancy, we planned an operation. The tumor was easily separated and removed
without nephrectomy. Histological study revealed a schwannoma. It is rare for
this kind of tumor to arise from the retroperitoneum (approximately 0.7% of all
cases), in particular at the renal hilum. We herein report a rare case of
retroperitoneal schwannoma arising from the renal hilum.
PMID- 26557077
TI - Squamous Cell Carcinoma of the Renal Pelvis as a Result of Long-Standing Staghorn
Calculi.
AB - We report on a 79-year-old woman with staghorn calculi who presented with severe
hypercalcemia. She was later found to have humoral hypercalcemia of malignancy
caused by a rare tumor, squamous cell carcinoma of the renal pelvis. Chronic
irritation, infection and inflammation from staghorn stones cause squamous
metaplasia, leading to squamous cell carcinoma of the renal collecting system.
The prognosis is very poor, with a 5-year survival rate of <10%. This case
highlights the importance of awareness of a very rare and aggressive carcinoma in
a patient with long-standing nephrolithiasis.
PMID- 26557078
TI - Solid Pseudopapillary Tumor of the Pancreas: One Case with a Metastatic Evolution
in a Caucasian Woman.
AB - We report the case of a Caucasian woman, operated on for a solid pseudopapillary
tumor of the pancreas in 2009, who recurred 4 years later with multiple liver
metastases requiring liver resection. This disease is infrequent, particularly
among the Caucasian population, and metastatic evolution is very rare.
PMID- 26557079
TI - Endometrial Cancer with Sarcoidosis in Regional Lymph Nodes: A Case Report.
AB - Sarcoidosis is a chronic, multisystemic disease commonly affecting the lungs and
lymphatic system and is characterized by the formation of noncaseating
granulomas. Although several reports are available on cases developing both
sarcoidosis and cancer metachronously, cases of simultaneous diagnosis of these
diseases have rarely been reported. A 67-year-old woman diagnosed with
endometrial cancer had developed systemic lymph node swelling, including
bilateral hilar, paraaortic, and a few pelvic lymph nodes, as observed on
preoperative imaging. During surgery, frozen sections of a paraaortic lymph node
were examined, revealing noncaseating granulomas compatible with sarcoidosis.
Next, modified radical hysterectomy, bilateral salpingo-oophorectomy, and pelvic
lymphadenectomy were performed. Postoperative pathological analysis revealed
endometrioid adenocarcinoma of the uterus, and no metastasis but noncaseating
granulomas were detected in the resected lymph nodes. Postoperatively, we
identified cutaneous sarcoidosis and uveitis in the presence of a tuberculin
negative test. On the basis of these findings, we diagnosed the patients with
endometrial cancer complicated by sarcoidosis. She underwent adjuvant
chemotherapy, and at the 1-year follow-up, the lymph node swelling due to
sarcoidosis was stable, and no recurrence of the cancer was observed. This turned
out to be a case of early endometrial cancer mimicking advanced cancer by
sarcoidosis. Histological confirmation and additional examination for sarcoidosis
are necessary in cancer patients suspected of sarcoidosis.
PMID- 26557080
TI - Long-Term Survival of a Patient with Metastatic Small-Cell Carcinoma of the
Stomach Treated with Radiation Therapy.
AB - Small-cell carcinoma (SCC), or high-grade neuroendocrine carcinoma of the
stomach, is a rare subtype of extra-pulmonary SCC which is almost invariably
lethal. Gastric SCC often presents with local symptoms indistinguishable from
other primary stomach cancers; however, both regional and distant spread are
common at the initial presentation. Depending on symptoms and patient performance
status, treatment typically consists of chemotherapy or resection followed by
adjuvant chemotherapy, as even patients with limited stage gastric SCC likely
have micrometastatic disease at the time of diagnosis. In this case report, we
describe the long-term survival of a 75-year-old male with recurrent
oligometastatic high-grade neuroendocrine carcinoma of the stomach treated with
radiation therapy (RT) alone. He presented with abdominal pain and dyspepsia and
was found to have a 6 cm locally invasive node-positive gastric SCC initially
treated with extensive surgical resection. He was not a candidate for adjuvant
chemotherapy, and surveillance imaging subsequently confirmed metachronous liver
and local recurrences within 1 year after surgery, which were managed with
stereotactic body RT and conventional radiation, respectively. An additional para
aortic nodal recurrence was treated with intensity-modulated radiotherapy 7 years
after surgery with good response. He tolerated all RT courses without notable
radiation-related toxicity and remains in complete remission 11 years after
initial diagnosis.
PMID- 26557081
TI - A Case of Central Pontine Myelinolysis Caused by Hypophosphatemia Secondary to
Refeeding Syndrome.
AB - Central pontine myelinolysis (CPM), which was originally considered to be the
result of rapid correction of chronic hyponatremia, is not necessarily
accompanied by hyponatremia or drastic changes in serum sodium level. Here, we
report a case of an anorexic 55-year-old male with a history of pharyngo-laryngo
esophagogastrectomy, initially hospitalized with status epilepticus. Although his
consciousness gradually recovered as we were controlling his convulsion, it
deteriorated again with new onset of anisocoria, and magnetic resonance imaging
(MRI) at this point revealed CPM. Rapid change of serum sodium or osmolarity,
which is often associated with CPM, had not been apparent throughout his
hospitalization. Instead, a review of the serum biochemistry test results showed
that serum phosphate had drastically declined the day before the MRI first
detected CPM. In this case, we suspect that hypophosphatemia induced by refeeding
syndrome greatly contributed to the occurrence of CPM.
PMID- 26557082
TI - Refractory Cryptococcus neoformans Meningoencephalitis in an Immunocompetent
Patient: Paradoxical Antifungal Therapy-Induced Clinical Deterioration Related to
an Immune Response to Cryptococcal Organisms.
AB - We present a case of refractory Cryptococcus neoformans meningoencephalitis in an
immunocompetent woman. Her clinical symptoms did not improve with 6 months of
antifungal therapy, and MRI abnormalities, indicating severe meningeal and
cerebral inflammation, persisted despite a decreasing cryptococcal antigen titer.
The patient continued to deteriorate despite antifungal therapy, and her
condition clearly improved following treatment with adjunctive corticosteroid. We
postulate that the paradoxical antifungal therapy-related clinical deterioration
was due to an immune response to cryptococcal organisms, which responded to
corticosteroids. These observations provide rationale for a further evaluation of
corticosteroids in the management of select cases of C. neoformans central
nervous system infection.
PMID- 26557083
TI - Photoreceptor Outer Segment on Internal Limiting Membrane after Macular Hole
Surgery: Implications for Pathogenesis.
AB - PURPOSE: This report presents a case, which highlights key principles in the
pathophysiology of macular holes. It has been hypothesized that anteroposterior
(AP) and tangential vitreous traction on the fovea are the primary underlying
factors causing macular holes [Nischal and Pearson; in Kanski and Bowling:
Clinical Ophthalmology: A Systemic Approach, 2011, pp 629-631]. Spectral domain
optical coherence tomography (OCT) has subsequently corroborated this theory in
part but shown that AP vitreofoveal traction is the more common scenario [Steel
and Lotery: Eye 2013;27:1-21]. METHODS: This study was conducted as a single case
report. RESULTS: A 63-year old female presented to her optician with blurred and
distorted vision in her left eye. OCT showed a macular hole with a minimum linear
diameter of 370 um, with persistent broad vitreofoveal attachment on both sides
of the hole edges. The patient underwent combined left phacoemulsification and
pars plana vitrectomy, internal limiting membrane (ILM) peel and gas injection.
The ILM was examined by electron microscopy and showed the presence of a cone
outer segment on the retinal side. Post-operative OCT at 11 weeks showed a closed
hole with recovery of the foveal contour and good vision. CONCLUSION: Our case
shows the presence of a photoreceptor outer segment on the retinal side of the
ILM and reinforces the importance of tangential traction in the development of
some macula holes. The case highlights the theory of transmission of inner
retinal forces to the photoreceptors via Muller cells and how a full thickness
macular hole defect can occur in the absence of AP vitreomacular traction.
PMID- 26557084
TI - Primary Vitreoretinal Lymphoma Masquerading as Refractory Retinitis.
AB - PURPOSE: To report a case of a patient with primary vitreoretinal lymphoma
masquerading as retinitis. METHODS: Retrospective review of the patient's
clinical, histopathological and imaging records. RESULTS: Cytopathology was
negative for malignancy, and preliminary polymerase chain reaction results
supported the diagnosis of varicella zoster virus retinitis. Therefore, the
patient was treated with antiviral therapy. However, under this treatment, the
retinitis progressed. As a result, primary vitreoretinal lymphoma was suspected,
and empirical treatment with intravitreal methotrexate injections was started.
Under this treatment, the ocular features improved. Five months after initial
ocular presentation and ocular resolution, the patient presented with central
nervous system lymphoma. CONCLUSION: This case should raise the awareness of the
variable clinical presentations, the challenging diagnosis and treatment of
primary vitreoretinal lymphoma. All cases should be continuously systemically
evaluated.
PMID- 26557085
TI - Distinct synaptic and neurochemical changes to the granule cell-CA3 projection in
Bassoon mutant mice.
AB - Proper synaptic function depends on a finely-tuned balance between events such as
protein synthesis and structural organization. In particular, the functional loss
of just one synaptic-related protein can have a profound impact on overall
neuronal network function. To this end, we used a mutant mouse model harboring a
mutated form of the presynaptic scaffolding protein Bassoon (Bsn), which is
phenotypically characterized by: (i) spontaneous generalized epileptic seizure
activity, representing a chronically-imbalanced neuronal network; and (ii) a
dramatic increase in hippocampal brain-derived neurotrophic factor (BDNF) protein
concentration, a key player in synaptic plasticity. Detailed morphological and
neurochemical analyses revealed that the increased BDNF levels are associated
with: (i) modified neuropeptide distribution; (ii) perturbed expression of
selected markers of synaptic activation or plasticity; (iii) subtle changes to
microglial structure; and (iv) morphological alterations to the mossy fiber (MF)
synapse. These findings emphasize the important contribution of Bassoon protein
to normal hippocampal function, and further characterize the Bsn-mutant as a
useful model for studying the effects of chronic changes to network activity.
PMID- 26557086
TI - The roles of lipid and glucose metabolism in modulation of beta-amyloid, tau, and
neurodegeneration in the pathogenesis of Alzheimer disease.
AB - Diabetes is a risk factor for Alzheimer disease (AD). Apolipoprotein E (ApoE) and
several genes related to AD have recently been identified by genome-wide
association studies (GWAS) as being closely linked to lipid metabolism. Lipid
metabolism and glucose-energy metabolism are closely related. Here, we review the
emerging evidence regarding the roles of lipid and glucose metabolism in the
modulation of beta-amyloid, tau, and neurodegeneration during the pathogenesis of
AD. Disruption of homeostasis of lipid and glucose metabolism affects production
and clearance of beta-amyloid and tau phosphorylation, and induces
neurodegeneration. A more integrated understanding of the interactions among
lipid, glucose, and protein metabolism is required to elucidate the pathogenesis
of AD and to develop next-generation therapeutic options.
PMID- 26557087
TI - Inflammatory stress potentiates emodin-induced liver injury in rats.
AB - Herbal medicines containing emodin, widely used for the treatment of hepatitis in
clinic, have been reported with hepatotoxicity in individuals. A modest
inflammatory stress potentiating liver injury has been linked to the
idiosyncratic drug-induced liver injury (IDILI). In this study, we investigated
the hypothesis that lipopolysaccharide (LPS) interacts with emodin could
synergize to cause liver injury in rats. Emodin (ranging from 20, 40, to 80
mg/kg), which is in the range of liver protection, was administered to rats,
before LPS (2.8 mg/kg) or saline vehicle treatment. The biochemical tests showed
that non-toxic dosage of LPS coupled with emodin caused significant increases of
plasma ALT and AST activities as compared to emodin alone treated groups (P <
0.05). In addition, with LPS or emodin alone could not induce any changes in ALT
and AST activity, as compared with the control group (0.5% CMC-Na treatment).
Meanwhile, the plasma proinflammatory cytokines, TNF-alpha, IL-1beta, and IL-6
increased significantly in the emodin/LPS groups compared to either emodin groups
or the LPS (P < 0.05). Histological analysis showed that liver damage was only
found in emodin/LPS cotreatmented rat livers samples. These results indicate that
non-toxic dosage of LPS potentiates the hepatotoxicity of emodin. This discovery
raises the possibility that emodin and herbal medicines containing it may induce
liver injury in the inflammatory stress even in their therapeutic dosages.
PMID- 26557089
TI - Mechanical stretch-induced vascular hypertrophy occurs through modulation of
leptin synthesis-mediated ROS formation and GATA-4 nuclear translocation.
AB - BACKGROUND: Obesity and hypertension are associated with increased leptin
production contributing to cardiovascular remodeling. Mechanisms involving
mechanical stretch-induced leptin production and the cross talk between signaling
pathways leading to vascular remodeling have not been fully elucidated. METHODS
AND RESULTS: Rat portal vein (RPV) organ culture was used to investigate the
effect of mechanical stretch on leptin protein expression in vascular smooth
muscle cells (VSMCs). Moreover, the involvement of reactive oxygen species (ROS),
the RhoA/ROCK pathway, actin cytoskeleton dynamics and the transcriptional factor
GATA-4 activation in mechanical stretch-induced vascular remodeling were
investigated. Stretching the RPV for 1 or 24 h significantly increased leptin
protein level and ROS formation in VSMCs, which was prevented by 1 h pretreatment
with the ROCK inhibitor Y-27632 and the actin cytoskeleton depolymerization agent
cytochalasin D. Moreover, Western blotting and immunohistochemistry revealed that
mechanical stretch or treatment with 3.1 nmol/L leptin for 24 h significantly
increased actin polymerization, as reflected by an increase in the F-actin to G
actin ratio. Increases in blood vessels' wet weight and [(3)H]-leucine
incorporation following a 24 h treatment with conditioned media from cultured
stretched RPVs indicated RPV hypertrophy. This effect was prevented by 1 h
pretreatment with anti-leptin antibody, indicating leptin's crucial role in
promoting VSMC hypertrophy. As an index of GATA-4 activation, GATA-4 nuclear
translocation was assessed by immunohistochemistry method. Pretreating VSMC with
leptin for 1 h significantly activated GATA-4 nuclear translocation, which was
potently attenuated by the NADPH oxidase inhibitor apocynin, Y-27632, and
cytochalasin D. CONCLUSION: Our results demonstrate that ROS formation, RhoA/ROCK
pathway, and GATA-4 activation play a pivotal role in mechanical stretch-induced
leptin synthesis leading to VSMC remodeling.
PMID- 26557088
TI - Chemotherapy-induced peripheral neurotoxicity and complementary and alternative
medicines: progress and perspective.
AB - Chemotherapy-induced peripheral neurotoxicity (CIPN) is a severe and dose
limiting side effect of antineoplastic drugs. It can cause sensory, motor and
autonomic system dysfunction, and ultimately force patients to discontinue
chemotherapy. Until now, little is understood about CIPN and no consistent caring
standard is available. Since CIPN is a multifactorial disease, the clinical
efficacy of single pharmacological drugs is disappointing, prompting patients to
seek alternative treatment options. Complementary and alternative medicines
(CAMs), especially herbal medicines, are well known for their multifaceted
implications and widely used in human health care. Up to date, several
phytochemicals, plant extractions, and herbal formulas have been evaluated for
their potential therapeutic benefit of preventing the onset and progression of
CIPN in experimental models. Clinical acupuncture has also been shown to improve
CIPN symptoms. In this review, we will give an outline of our current knowledge
regrading the advanced research of CIPN, the role of CAMs in alleviating CIPN and
possible lacunae in research that needs to be addressed.
PMID- 26557090
TI - Management of bipolar depression with lamotrigine: an antiepileptic mood
stabilizer.
AB - The efficacy of lamotrigine in the treatment of focal epilepsies have already
been reported in several case reports and open studies, which is thought to act
by inhibiting glutamate release through voltage-sensitive sodium channels
blockade and neuronal membrane stabilization. However, recent findings have also
illustrated the importance of lamotrigine in alleviating the depressive symptoms
of bipolar disorder, without causing mood destabilization or precipitating mania.
Currently, no mood stabilizers are available having equal efficacy in the
treatment of both mania and depression, two of which forms the extreme sides of
the bipolar disorder. Lamotrigine, a well established anticonvulsant has received
regulatory approval for the treatment and prevention of bipolar depression in
more than 30 countries worldwide. Lamotrigine, acts through several molecular
targets and overcomes the major limitation of other conventional antidepressants
by stabilizing mood from "below baseline" thereby preventing switches to mania or
episode acceleration, thus being effective for bipolar I disorder. Recent studies
have also suggested that these observations could also be extended to patients
with bipolar II disorder. Thus, lamotrigine may supposedly fulfill the unmet
requirement for an effective depression mood stabilizer.
PMID- 26557091
TI - HDL in sepsis - risk factor and therapeutic approach.
AB - High-density lipoprotein (HDL) is a key component of circulating blood and plays
essential roles in regulation of vascular endothelial function and immunity.
Clinical data demonstrate that HDL levels drop by 40-70% in septic patients,
which is associated with a poor prognosis. Experimental studies using
Apolipoprotein A-I (ApoAI) null mice showed that HDL deficient mice are
susceptible to septic death, and overexpressing ApoAI in mice to increase HDL
levels protects against septic death. These clinical and animal studies support
our hypothesis that a decrease in HDL level is a risk factor for sepsis, and
raising circulating HDL levels may provide an efficient therapy for sepsis. In
this review, we discuss the roles of HDL in sepsis and summarize the efforts of
using synthetic HDL as a potential therapy for sepsis.
PMID- 26557093
TI - Biomimetically enhanced demineralized bone matrix for bone regenerative
applications.
AB - Demineralized bone matrix (DBM) is one of the most widely used bone graft
materials in dentistry. However, the ability of DBM to reliably and predictably
induce bone regeneration has always been a cause for concern. The quality of DBM
varies greatly depending on several donor dependent factors and also
manufacturing techniques. In order to standardize the quality and to enable
reliable and predictable bone regeneration, we have generated a biomimetically
enhanced version of DBM (BE-DBM) using clinical grade commercial DBM as a
control. We have generated the BE-DBM by incorporating a cell-derived pro
osteogenic extracellular matrix (ECM) within clinical grade DBM. In the present
study, we have characterized the BE-DBM and evaluated its ability to induce
osteogenic differentiation of human marrow derived stromal cells (HMSCs) with
respect to clinical grade commercial DBM. Our results indicate that the BE-DBM
contains significantly more pro-osteogenic factors than DBM and enhances HMSC
differentiation and mineralized matrix formation in vitro and in vivo. Based on
our results, we envision that the BE-DBM has the potential to replace DBM as the
bone graft material of choice.
PMID- 26557094
TI - SIRT1-dependent myoprotective effects of resveratrol on muscle injury induced by
compression.
AB - Our current understanding on the molecular mechanisms by which sustained
compression induces skeletal muscle injury is very limited. This study aimed to
test the hypothesis that activation of SIRT1 by the natural antioxidant
resveratrol could deactivate apoptotic and catabolic signaling in skeletal muscle
exposed to moderate compression. Two cycles of 6-h constant pressure at 100 mmHg
was applied to the tibialis region of right, but not left hindlimbs of Sprague
Dawley rats pre-treated with DMSO (vehicle control) or resveratrol with/without
sirtinol. Skeletal muscle tissues lying underneath and spatially corresponding to
the compressed sites were collected for analyses. Resveratrol prevented the
compression-induced manifestations of pathohistological damages including
elevations of the number of interstitial nuclei and area of interstitial space
and ameliorated oxidative damages measured as 4-hydroxy-2-nonenal (4HNE) and
nitrotyrosine in skeletal muscle. In parallel, resveratrol augmented the
expression level and activity of SIRT1 and phosphorylation levels of Foxo3a and
Akt while suppressed the increases in protein abundances of p53, Bax, MAFbx, and
ubiquitin, enzymatic activities of caspase 3 and 20S proteasome, and apoptotic
DNA fragmentation in the compressed muscle. These favorable myoprotective effects
of resveratrol were diminished upon pharmacological blockade of SIRT1 by using
sirtinol. These novel data support the hypothesis that the anti-apoptotic and
anti-catabolic effects of resveratrol on compression injury in skeletal muscle
required the action of SIRT1.
PMID- 26557096
TI - Is Schizotypy per se a Suitable Endophenotype of Schizophrenia? - Do Not Forget
to Distinguish Positive from Negative Facets.
PMID- 26557095
TI - Fine particulate matter in acute exacerbation of COPD.
AB - Chronic obstructive pulmonary disease (COPD) is a common airway disorder. In
particular, acute exacerbations of COPD (AECOPD) can significantly reduce
pulmonary function. The majority of AECOPD episodes are attributed to infections,
although environmental stress also plays a role. Increasing urbanization and
associated air pollution, especially in developing countries, have been shown to
contribute to COPD pathogenesis. Elevated levels of particulate matter (PM) in
polluted air are strongly correlated with the onset and development of various
respiratory diseases. In this review, we have conducted an extensive literature
search of recent studies of the role of PM2.5 (fine PM) in AECOPD. PM2.5 leads to
AECOPD via inflammation, oxidative stress (OS), immune dysfunction, and altered
airway epithelial structure and microbiome. Reducing PM2.5 levels is a viable
approach to lower AECOPD incidence, attenuate COPD progression and decrease the
associated healthcare burden.
PMID- 26557092
TI - Satellite cells in human skeletal muscle plasticity.
AB - Skeletal muscle satellite cells are considered to play a crucial role in muscle
fiber maintenance, repair and remodeling. Our knowledge of the role of satellite
cells in muscle fiber adaptation has traditionally relied on in vitro cell and in
vivo animal models. Over the past decade, a genuine effort has been made to
translate these results to humans under physiological conditions. Findings from
in vivo human studies suggest that satellite cells play a key role in skeletal
muscle fiber repair/remodeling in response to exercise. Mounting evidence
indicates that aging has a profound impact on the regulation of satellite cells
in human skeletal muscle. Yet, the precise role of satellite cells in the
development of muscle fiber atrophy with age remains unresolved. This review
seeks to integrate recent results from in vivo human studies on satellite cell
function in muscle fiber repair/remodeling in the wider context of satellite cell
biology whose literature is largely based on animal and cell models.
PMID- 26557097
TI - Face Scanning in Autism Spectrum Disorder and Attention Deficit/Hyperactivity
Disorder: Human Versus Dog Face Scanning.
AB - This study used eye tracking to explore attention allocation to human and dog
faces in children and adolescents with autism spectrum disorder (ASD), attention
deficit/hyperactivity disorder (ADHD), and typical development (TD). Significant
differences were found among the three groups. TD participants looked longer at
the eyes than ASD and ADHD ones, irrespective of the faces presented. In spite of
this difference, groups were similar in that they looked more to the eyes than to
the mouth areas of interest. The ADHD group gazed longer at the mouth region than
the other groups. Furthermore, groups were also similar in that they looked more
to the dog than to the human faces. The eye-tracking technology proved to be
useful for behavioral investigation in different neurodevelopmental disorders.
PMID- 26557098
TI - ADHD Rehabilitation through Video Gaming: A Systematic Review Using PRISMA
Guidelines of the Current Findings and the Associated Risk of Bias.
AB - Empirical research studies have highlighted the need to investigate whether video
game can be useful as a tool within a neuropsychological rehabilitation program
for attention deficit hyperactivity disorder (ADHD) patients. However, little is
known about the possible gains that this kind of video game-based interventions
can produce and even if these gains can be transferred to real life abilities.
The present paper aims to uncover key information related to the use of video
game in ADHD neuropsychological rehabilitation/intervention by focusing on its
gains and its capability to transfer/generalize these gains to real life
situation via a systematic review of the empirical literature. The PRISMA
guidelines were adopted. Internet-based bibliographic searches were conducted via
seven major electronic databases (i.e., PsycARTICLES, PsycINFO, Web of Science,
Core Collection BIOSIS Citation Index, MEDLINE, SciELO Citation Index, and
PubMed) to access studies examining the association between video game
interventions in ADHD patients and behavioral and cognitive outcomes. A total of
14 empirical studies meeting the inclusion criteria were identified. The studies
reported the attention, working memory, and the behavioral aspects as the main
target of the intervention. Cognitive and behavioral gains were reported after
the video game training (VGT). However, many bias related to the choice of
outcome instruments, sampling and blindness of assessors, weaken the results
power. Additional researches are important to clarify the effects and stability
of the VGT programs, and an important effort should be made to construct better
methods to assess improvements on everyday cognitive abilities and real world
functioning.
PMID- 26557099
TI - Hooked on a feeling: affective anti-smoking messages are more effective than
cognitive messages at changing implicit evaluations of smoking.
AB - Because implicit evaluations are thought to underlie many aspects of behavior,
researchers have started looking for ways to change them. We examine whether and
when persuasive messages alter strongly held implicit evaluations of smoking. In
smokers, an affective anti-smoking message led to more negative implicit
evaluations on four different implicit measures as compared to a cognitive anti
smoking message which seemed to backfire. Additional analyses suggested that the
observed effects were mediated by the feelings and emotions raised by the
messages. In non-smokers, both the affective and cognitive message engendered
slightly more negative implicit evaluations. We conclude that persuasive messages
change implicit evaluations in a way that depends on properties of the message
and of the participant. Thus, our data open new avenues for research directed at
tailoring persuasive messages to change implicit evaluations.
PMID- 26557100
TI - Are common names becoming less common? The rise in uniqueness and individualism
in Japan.
AB - We examined whether Japanese culture has become more individualistic by
investigating how the practice of naming babies has changed over time. Cultural
psychology has revealed substantial cultural variation in human psychology and
behavior, emphasizing the mutual construction of socio-cultural environment and
mind. However, much of the past research did not account for the fact that
culture is changing. Indeed, archival data on behavior (e.g., divorce rates)
suggest a rise in individualism in the U.S. and Japan. In addition to archival
data, cultural products (which express an individual's psyche and behavior
outside the head; e.g., advertising) can also reveal cultural change. However,
little research has investigated the changes in individualism in East Asia using
cultural products. To reveal the dynamic aspects of culture, it is important to
present temporal data across cultures. In this study, we examined baby names as a
cultural product. If Japanese culture has become more individualistic, parents
would be expected to give their children unique names. Using two databases, we
calculated the rate of popular baby names between 2004 and 2013. Both databases
released the rankings of popular names and their rates within the sample. As
Japanese names are generally comprised of both written Chinese characters and
their pronunciations, we analyzed these two separately. We found that the rate of
popular Chinese characters increased, whereas the rate of popular pronunciations
decreased. However, only the rate of popular pronunciations was associated with a
previously validated collectivism index. Moreover, we examined the pronunciation
variation of common combinations of Chinese characters and the written form
variation of common pronunciations. We found that the variation of written forms
decreased, whereas the variation of pronunciations increased over time. Taken
together, these results showed that parents are giving their children unique
names by pairing common Chinese characters with uncommon pronunciations, which
indicates an increase in individualism in Japan.
PMID- 26557101
TI - Emotion recognition through static faces and moving bodies: a comparison between
typically developed adults and individuals with high level of autistic traits.
AB - We investigated whether the type of stimulus (pictures of static faces vs. body
motion) contributes differently to the recognition of emotions. The performance
(accuracy and response times) of 25 Low Autistic Traits (LAT group) young adults
(21 males) and 20 young adults (16 males) with either High Autistic Traits or
with High Functioning Autism Spectrum Disorder (HAT group) was compared in the
recognition of four emotions (Happiness, Anger, Fear, and Sadness) either shown
in static faces or conveyed by moving body patch-light displays (PLDs). Overall,
HAT individuals were as accurate as LAT ones in perceiving emotions both with
faces and with PLDs. Moreover, they correctly described non-emotional actions
depicted by PLDs, indicating that they perceived the motion conveyed by the PLDs
per se. For LAT participants, happiness proved to be the easiest emotion to be
recognized: in line with previous studies we found a happy face advantage for
faces, which for the first time was also found for bodies (happy body advantage).
Furthermore, LAT participants recognized sadness better by static faces and fear
by PLDs. This advantage for motion kinematics in the recognition of fear was not
present in HAT participants, suggesting that (i) emotion recognition is not
generally impaired in HAT individuals, (ii) the cues exploited for emotion
recognition by LAT and HAT groups are not always the same. These findings are
discussed against the background of emotional processing in typically and
atypically developed individuals.
PMID- 26557102
TI - Who is respectful? Effects of social context and individual empathic ability on
ambiguity resolution during utterance comprehension.
AB - Verbal communication is often ambiguous. By employing the event-related potential
(ERP) technique, this study investigated how a comprehender resolves referential
ambiguity by using information concerning the social status of communicators.
Participants read a conversational scenario which included a minimal
conversational context describing a speaker and two other persons of the same or
different social status and a directly quoted utterance. A singular, second
person pronoun in the respectful form (nin/nin-de in Chinese) in the utterance
could be ambiguous with respect to which of the two persons was the addressee
(the "Ambiguous condition"). Alternatively, the pronoun was not ambiguous either
because one of the two persons was of higher social status and hence should be
the addressee according to social convention (the "Status condition") or because
a word referring to the status of a person was additionally inserted before the
pronoun to help indicate the referent of the pronoun (the "Referent condition").
Results showed that the perceived ambiguity decreased over the Ambiguous, Status,
and Referent conditions. Electrophysiologically, the pronoun elicited an
increased N400 in the Referent than in the Status and the Ambiguous conditions,
reflecting an increased integration demand due to the necessity of linking the
pronoun to both its antecedent and the status word. Relative to the Referent
condition, a late, sustained positivity was elicited for the Status condition
starting from 600 ms, while a more delayed, anterior negativity was elicited for
the Ambiguous condition. Moreover, the N400 effect was modulated by individuals'
sensitivity to the social status information, while the late positivity effect
was modulated by individuals' empathic ability. These findings highlight the
neurocognitive flexibility of contextual bias in referential processing during
utterance comprehension.
PMID- 26557103
TI - Do long delay conditioned stimuli develop inhibitory properties?
AB - In long-delay conditioning, a long conditioned stimulus (CS) is paired in its
final segments with an unconditioned stimulus. With sufficient training, this
procedure usually results in conditioned responding being delayed until the final
segment of the CS, a pattern of responding known as inhibition of delay. However,
there have been no systematic investigations of the associative structure of long
delay conditioning, and whether the initial segment of a long delay CS actually
becomes inhibitory is debatable. In an appetitive preparation with rat subjects,
the initial segment of long delay CS A passed a retardation (Experiment 1a) but
not a summation (Experiment 1b) test for conditioned inhibition. Furthermore,
retardation was observed only if long delay conditioning and retardation training
occurred in the same context (Experiment 2). Thus, the initial segment of a long
delay CS appears to share more characteristics with a latent inhibitor than a
conditioned inhibitor. Componential theories of conditioning appear best suited
to account for these results.
PMID- 26557106
TI - Water surface tension modulates the swarming mechanics of Bacillus subtilis.
AB - Many Bacillus subtilis strains swarm, often forming colonies with tendrils on
agar medium. It is known that B. subtilis swarming requires flagella and a
biosurfactant, surfactin. In this study, we find that water surface tension plays
a role in swarming dynamics. B. subtilis colonies were found to contain water,
and when a low amount of surfactin is produced, the water surface tension of the
colony restricts expansion, causing bacterial density to rise. The increased
density induces a quorum sensing response that leads to heightened production of
surfactin, which then weakens water surface tension to allow colony expansion.
When the barrier formed by water surface tension is breached at a specific
location, a stream of bacteria swarms out of the colony to form a tendril. If a
B. subtilis strain produces surfactin at levels that can substantially weaken the
overall water surface tension of the colony, water floods the agar surface in a
thin layer, within which bacteria swarm and migrate rapidly. This study sheds
light on the role of water surface tension in regulating B. subtilis swarming,
and provides insight into the mechanisms underlying swarming initiation and
tendril formation.
PMID- 26557105
TI - High Cholesterol Deteriorates Bone Health: New Insights into Molecular
Mechanisms.
AB - Many epidemiological studies show a positive connection between cardiovascular
diseases and risk of osteoporosis, suggesting a role of hyperlipidemia and/or
hypercholesterolemia in regulating osteoporosis. The majority of the studies
indicated a correlation between high cholesterol and high LDL-cholesterol level
with low bone mineral density, a strong predictor of osteoporosis. Similarly,
bone metastasis is a serious complication of cancer for patients. Several
epidemiological and basic studies have established that high cholesterol is
associated with increased cancer risk. Moreover, osteoporotic bone environment
predisposes the cancer cells for metastatic growth in the bone microenvironment.
This review focuses on how cholesterol and cholesterol-lowering drugs (statins)
regulate the functions of bone residential osteoblast and osteoclast cells to
augment or to prevent bone deterioration. Moreover, this study provides an
insight into molecular mechanisms of cholesterol-mediated bone deterioration. It
also proposes a potential mechanism by which cellular cholesterol boosts cancer
induced bone metastasis.
PMID- 26557104
TI - The Role of Sleep and Sleep Disorders in the Development, Diagnosis, and
Management of Neurocognitive Disorders.
AB - It is becoming increasingly apparent that sleep plays an important role in the
maintenance, disease prevention, repair, and restoration of both mind and body.
The sleep and wake cycles are controlled by the pacemaker activity of the
superchiasmic nucleus in the hypothalamus but can be disrupted by diseases of the
nervous system causing disordered sleep. A lack of sleep has been associated with
an increase in all-cause mortality. Likewise, sleep disturbances and sleep
disorders may disrupt neuronal pathways and have an impact on neurological
diseases. Sleep deprivation studies in normal subjects demonstrate that a lack of
sleep can cause attention and working memory impairment. Moreover, untreated
sleep disturbances and sleep disorders such as obstructive sleep apnoe (OSA) can
also lead to cognitive impairment. Poor sleep and sleep disorders may present a
significant risk factor for the development of dementia. In this review, the
underlying mechanisms and the role of sleep and sleep disorders in the
development of neurocognitive disorders [dementia and mild cognitive impairment
(MCI)] and how the presence of sleep disorders could direct the process of
diagnosis and management of neurocognitive disorders will be discussed.
PMID- 26557107
TI - Variation in fungal microbiome (mycobiome) and aflatoxin in stored in-shell
peanuts at four different areas of China.
AB - The contamination of peanuts with Aspergillus sp. and subsequently aflatoxins is
considered to be one of the most serious safety problems in the world. Mycobiome
in peanuts is critical for aflatoxin production and food safety. To evaluate the
biodiversity and ecological characteristics of whole communities in stored
peanuts, the barcoded Illumina paired-end sequencing of the internal transcribed
spacer 2 (ITS2) region of rDNA was used to characterize the peanut mycobiome
monthly over a period of 1 year at four main peanut grown areas, i.e., Liaoning
(LN, North East), Shandong (SD, East), Hubei (HB, Central), and Guangdong (GD,
South) provinces. The fungal diversity of peanuts stored in SD was the highest
with 98 OTUs and 43 genera, followed by LN, HB and GD. In peanuts stored in SD,
Rhizopus, Emericella, and Clonostachys were predominant. In peanuts from LN,
Penicillium, Eurotium, and Clonostachys were abundant. In peanuts from HB,
Penicillium, Eurotium, and Aspergillus were higher. In GD peanuts, Eurotium,
Aspergillus, and Emericella were mainly seen. The abundances of Aspergillus in
LN, SD, HB, and GD were 0.53, 6.29, 10.86, and 25.75%, respectively. From the
North of China to the South, that increased over the latitude, suggesting that
the higher temperature and relative humidity might increase the risk of peanuts
contaminated with Aspergillus and aflatoxins. During the storage, Aspergillus
levels were higher at 7-12 months than in 0-6 months, suggesting that the risk
increases over storage time. At 7-10 months, AFB1 was higher in four areas, while
declined further. The reduction of AFB1 might be attributed to the inhibition and
degradation of AFB1 by Aspergillus niger or to the combination with the compounds
of peanuts. This is the first study that identified the mycobiome and its
variation in stored peanuts using ITS2 sequencing technology, and provides the
basis for a detailed characterization of whole mycobiome in peanuts.
PMID- 26557108
TI - Genome analysis of orf virus isolates from goats in the Fujian Province of
southern China.
AB - Orf virus (ORFV), a species of the genus Parapoxvirus of the family Poxviridae,
causes non-systemic, highly contagious, and eruptive disease in sheep, goat, and
other wild and domestic ruminants. Our previous work shows orf to be ubiquitous
in the Fujian Province of China, a region where there is considerable
heterogeneity among ORFVs. In this study, we sequenced full genomes of four
Fujian goat ORFV strains (OV-GO, OV-YX, OV-NP, and OV-SJ1). The four strains were
132-139 kb in length, with each containing 124-132 genes and about 64% G+C
content. The most notable differences between the four strains were found near
the genome termini. OV-NP lacked seven and OV-SJ1 lacked three genes near the
right terminus when compared against other ORFVs. We also investigated the skin
virulence of the four Fujian ORFVs in goats. The ORFVs with gene deletions showed
low virulence while the ORFVs without gene deletions showed high virulence in
goats suggesting gene deletion possibly leads to attenuation of ORFVs. Gene 134
was disrupted in OV-NP genome due to the lack of initial code. The phylogenetic
tree based on complete Parapoxviruse genomes showed that sheep originated and
goat originated ORFVs formed distinctly separate branches with 100% bootstrap.
Based on the single gene phylogenetic tree of 132 genes of ORFVs, 47 genes can be
easily distinguished as having originated from sheep or goats. In order to
further reveal genetic variation presented in goat ORFVs and sheep ORFVs, we
analyzed the deduced amino acid sequences of gene 008, multiple alignment of
amino acid sequences of gene 008 from the genome of five goat ORFVs and four
sheep ORFVs revealed 33 unique amino acids differentiating it as having sheep or
goats as host. The availability of genomic sequences of four Fujian goat ORFVs
aids in our understanding of the diversity of orf virus isolates in this region
and can assist in distinguishing between orf strains that originate in sheep and
goats.
PMID- 26557109
TI - Controlling of CSFV in European wild boar using oral vaccination: a review.
AB - Classical swine fever (CSF) is among the most detrimental diseases for the swine
industry worldwide. Infected wild boar populations can play a crucial role in CSF
epidemiology and controlling wild reservoirs is of utmost importance for
preventing domestic outbreaks. Oral mass vaccination (OMV) has been implemented
to control CSF in wild boars and limit the spill over to domestic pigs. This
retrospective overview of vaccination experiences illustrates the potential for
that option. The C-strain live vaccine was confirmed to be highly efficacious and
palatable baits were developed for oral delivery in free ranging wild boars. The
first field trials were performed in Germany in the 1990's and allowed deploying
oral baits at a large scale. The delivery process was further improved during the
2000's among different European countries. Optimal deployment has to be early
regarding disease emergence and correctly designed regarding the landscape
structure and the natural food sources that can compete with oral baits. OMV
deployment is also highly dependent on a local veterinary support working closely
with hunters, wildlife and forestry agencies. Vaccination has been the most
efficient strategy for CSF control in free ranging wild boar when vaccination is
wide spread and lasting for a sufficient period of time. Alternative disease
control strategies such as intensified hunting or creating physical boundaries
such as fences have been, in contrast, seldom satisfactory and reliable. However,
monitoring outbreaks has been challenging during and after vaccination deployment
since OMV results in a low probability to detect virus-positive animals and the
live-vaccine currently available does not allow serological differentiation of
infected from vaccinated animals. The development of a new marker vaccine and
companion test is thus a promising option for better monitoring outbreaks during
OMV deployment as well as help to better determine when to stop vaccination
efforts. After rabies in red fox, the use of OMV against CSF in European wild
boar can be considered as a second example of successful disease control in
wildlife. The 30 years of disease control experience included in this review may
provide options for improving future disease management within wild populations.
PMID- 26557110
TI - Fungal diversity in grape must and wine fermentation assessed by massive
sequencing, quantitative PCR and DGGE.
AB - The diversity of fungi in grape must and during wine fermentation was
investigated in this study by culture-dependent and culture-independent
techniques. Carignan and Grenache grapes were harvested from three vineyards in
the Priorat region (Spain) in 2012, and nine samples were selected from the grape
must after crushing and during wine fermentation. From culture-dependent
techniques, 362 isolates were randomly selected and identified by 5.8S-ITS-RFLP
and 26S-D1/D2 sequencing. Meanwhile, genomic DNA was extracted directly from the
nine samples and analyzed by qPCR, DGGE and massive sequencing. The results
indicated that grape must after crushing harbored a high species richness of
fungi with Aspergillus tubingensis, Aureobasidium pullulans, or Starmerella
bacillaris as the dominant species. As fermentation proceeded, the species
richness decreased, and yeasts such as Hanseniaspora uvarum, Starmerella
bacillaris and Saccharomyces cerevisiae successively occupied the must samples.
The "terroir" characteristics of the fungus population are more related to the
location of the vineyard than to grape variety. Sulfur dioxide treatment caused a
low effect on yeast diversity by similarity analysis. Because of the existence of
large population of fungi on grape berries, massive sequencing was more
appropriate to understand the fungal community in grape must after crushing than
the other techniques used in this study. Suitable target sequences and databases
were necessary for accurate evaluation of the community and the identification of
species by the 454 pyrosequencing of amplicons.
PMID- 26557112
TI - High genetic similarity of ciprofloxacin-resistant Campylobacter jejuni in
central Europe.
AB - Campylobacteriosis is the leading zoonosis in the European Union with the
majority of cases attributed to Campylobacter jejuni. Although the disease is
usually self-limiting, some severe cases need to be treated with antibiotics,
primarily macrolides and quinolones. However, the resistance to the latter is
reaching alarming levels in most of the EU countries. To shed light on the
expansion of antibiotic resistance in central Europe, we have investigated
genetic similarity across 178 ciprofloxacin-resistant C. jejuni mostly isolated
in Slovenia, Austria and Germany. We performed comparative genetic similarity
analyses using allelic types of seven multilocus sequence typing housekeeping
genes, and single nucleotide polymorphisms of a quinolone resistance determining
region located within the DNA gyrase subunit A gene. This analysis revealed high
genetic similarity of isolates from clonal complex ST-21 that carry gyrA allelic
type 1 in all three of these central-European countries, suggesting these
ciprofloxacin resistant isolates arose from a recent common ancestor and are
spread clonally.
PMID- 26557113
TI - New reassortant H5N8 highly pathogenic avian influenza virus from waterfowl in
Southern China.
AB - New reassortant H5N8 highly pathogenic avian influenza viruses were isolated from
waterfowl in Southern China. Blast analysis demonstrated that the PB2 gene in
these viruses were most closely related to A/wild duck/Shangdong/628/2011 (H5N1),
while their NP genes were both more closely related to A/wild
duck/Shandong/1/2011 (H5N1) and A/duck/Jiangsu/k1203/2010 (H5N8). However, the
HA, NA, PB1, PA, M, and NS genes had the highest identity with
A/duck/Jiangsu/k1203/2010 (H5N8). Phylogenetic analysis revealed that their HA
genes belonged to the same GsGd H5 clade 2.3.4.4 detected in China in 2010.
Therefore, we supposed that these H5N8 viruses might be novel reassortant viruses
that have a H5N8 backbone while acquiring PB2 and NP genes from H5N1 viruses.
This study is useful for better understanding the genetic and antigenic evolution
of H5 avian influenza viruses in Southern China.
PMID- 26557114
TI - Death and survival in Streptococcus mutans: differing outcomes of a quorum
sensing signaling peptide.
AB - Bacteria are considered "social" organisms able to communicate with one another
using small hormone-like molecules (pheromones) in a process called quorum
sensing (QS). These signaling molecules increase in concentration as a function
of bacterial cell density. For most human pathogens, QS is critical for virulence
and biofilm formation, and the opportunity to interfere with bacterial QS could
provide a sophisticated means for manipulating the composition of pathogenic
biofilms, and possibly eradicating the infection. Streptococcus mutans is a well
characterized resident of the dental plaque biofilm, and is the major pathogen of
dental caries (cavities). In S. mutans, its CSP QS signaling peptide does not act
as a classical QS signal by accumulating passively in proportion to cell density.
In fact, particular stresses such as those encountered in the oral cavity, induce
the production of the CSP pheromone, suggesting that the pheromone most probably
functions as a stress-inducible alarmone by triggering the signaling to the
bacterial population to initiate an adaptive response that results in different
phenotypic outcomes. This mini-review discusses two different CSP-induced
phenotypes, bacterial "suicide" and dormancy, and the underlying mechanisms by
which S. mutans utilizes the same QS signaling peptide to regulate two opposite
phenotypes.
PMID- 26557115
TI - Fluoroquinolone Resistance Mechanisms and population structure of Enterobacter
cloacae non-susceptible to Ertapenem in North-Eastern France.
AB - Fluoroquinolone (FQ) agents are a potential resort to treat infection due to
Enterobacteriaceae producing extended spectrum beta-lactamase and susceptible to
FQ. In a context of increase of non-susceptibility to carbapenems among
Enterobacteriaceae, we characterized FQ resistance mechanisms in 75 Enterobacter
cloacae isolates non-susceptible to ertapenem in North-Eastern France in 2012 and
describe the population structure by pulsed field gel electrophoresis (PFGE) and
multi-locus sequence typing (MLST). Among them, 14.7% (12/75) carried a
carbapenemase-encoding gene. Except one isolate producing VIM-1, the
carbapenemase-producing isolates carried the well-known IncL/M pOXA48a plasmid.
Most of the isolates (59/75) harbored at least a FQ-R determinant. qnr genes were
predominant (40%, 30/75). The MLST study revealed that E. cloacae isolates'
clonality was wide [24 different sequence types (STs)]. The more widespread STs
were ST74, ST101, ST110, ST114, and ST133. Carbapenem MICs were higher for E.
cloacae ST74 than for other E. cloacae isolates. Plasmid-mediated quinolone
resistance determinants were more often observed in E. cloacae ST74 isolates.
These findings showed that (i) pOXA-48a is spreading in North-Eastern France,
(ii) qnr is preponderant in E. cloacae, (iii) E. cloacae comprised a large amount
of lineages spreading in North-Eastern France, and (iv) FQ as an alternative to
beta-lactams to treat ertapenem non-susceptible Enterobacteriaceae are
compromised.
PMID- 26557111
TI - The cross-talk of HIV-1 Tat and methamphetamine in HIV-associated neurocognitive
disorders.
AB - Antiretroviral therapy has dramatically improved the lives of human
immunodeficiency virus 1 (HIV-1) infected individuals. Nonetheless, HIV
associated neurocognitive disorders (HAND), which range from undetectable
neurocognitive impairments to severe dementia, still affect approximately 50% of
the infected population, hampering their quality of life. The persistence of HAND
is promoted by several factors, including longer life expectancies, the residual
levels of virus in the central nervous system (CNS) and the continued presence of
HIV-1 regulatory proteins such as the transactivator of transcription (Tat) in
the brain. Tat is a secreted viral protein that crosses the blood-brain barrier
into the CNS, where it has the ability to directly act on neurons and non
neuronal cells alike. These actions result in the release of soluble factors
involved in inflammation, oxidative stress and excitotoxicity, ultimately
resulting in neuronal damage. The percentage of methamphetamine (MA) abusers is
high among the HIV-1-positive population compared to the general population. On
the other hand, MA abuse is correlated with increased viral replication, enhanced
Tat-mediated neurotoxicity and neurocognitive impairments. Although several
strategies have been investigated to reduce HAND and MA use, no clinically
approved treatment is currently available. Here, we review the latest findings of
the effects of Tat and MA in HAND and discuss a few promising potential
therapeutic developments.
PMID- 26557116
TI - The Role of Ion Channels in Microglial Activation and Proliferation - A Complex
Interplay between Ligand-Gated Ion Channels, K(+) Channels, and Intracellular
Ca(2.).
AB - Microglia are often referred to as the immune cells of the brain. They are most
definitely involved in immune responses to invading pathogens and inflammatory
responses to tissue damage. However, recent results suggest microglia are vital
for normal functioning of the brain. Neuroinflammation, as well as more subtle
changes, in microglial function has been implicated in the pathogenesis of many
brain diseases and disorders. Upon sensing alterations in their local
environment, microglia change their shape and release factors that can modify the
excitability of surrounding neurons. During neuroinflammation, microglia
proliferate and release NO, reactive oxygen species, cytokines and chemokines. If
inflammation resolves then their numbers normalize again via apoptosis. Microglia
express a wide array of ion channels and different types are implicated in all of
the cellular processes listed above. Modulation of microglial ion channels has
shown great promise as a therapeutic strategy in several brain disorders. In this
review, we discuss recent advances in our knowledge of microglial ion channels
and their roles in responses of microglia to changes in the extracellular milieu.
PMID- 26557118
TI - Extensive T-Cell Epitope Repertoire Sharing among Human Proteome,
Gastrointestinal Microbiome, and Pathogenic Bacteria: Implications for the
Definition of Self.
AB - T-cell receptor binding to MHC-bound peptides plays a key role in discrimination
between self and non-self. Only a subset, typically a pentamer, of amino acids in
a MHC-bound peptide form the motif exposed to the T-cell receptor. We categorize
and compare the T-cell exposed amino acid motif repertoire of the total proteomes
of two groups of bacteria, comprising pathogens and gastrointestinal microbiome
organisms, with the human proteome and immunoglobulins. Given the maximum 20(5),
or 3.2 million of such motifs that bind T-cell receptors, there is considerable
overlap in motif usage. We show that the human proteome, exclusive of
immunoglobulins, only comprises three quarters of the possible motifs, of which
65.3% are also present in both composite bacterial proteomes. Very few motifs are
unique to the human proteome. Immunoglobulin variable regions carry a broad
diversity of T-cell exposed motifs (TCEMs) that provides a stratified random
sample of the motifs found in pathogens, microbiome, and the human proteome.
Individual bacterial genera and species vary in the content of immunoglobulin and
human proteome matched motifs that they carry. Mycobacteria and Burkholderia spp
carry a particularly high content of such matched motifs. Some bacteria retain a
unique motif signature and motif sharing pattern with the human proteome. The
implication is that distinguishing self from non-self does not depend on
individual TCEMs, but on a complex and dynamic overlay of signals wherein the
same TCEM may play different roles in different organisms, and the frequency with
which a particular TCEM appears influences its effect. The patterns observed
provide clues to bacterial immune evasion and to strategies for intervention,
including vaccine design. The breadth and distinct frequency patterns of the
immunoglobulin-derived peptides suggest a role of immunoglobulins in maintaining
a broadly responsive T-cell repertoire.
PMID- 26557119
TI - MHC and KIR Polymorphisms in Rhesus Macaque SIV Infection.
AB - Natural killer lymphocytes are essentially involved as the first line of defense
against agents such as viruses and malignant cells. The activity of these cells
is regulated via interaction of specific and diverse killer cell immunoglobulin
like receptors (KIR) with the highly polymorphic cognate MHC class I proteins on
target cells. Genetic variability of both KIR and MHC-I ligands has been shown to
be associated with resistance to many diseases, including infection with the
immunodeficiency virus. Disease course and progression to AIDS after infection
with human immunodeficiency virus-1 (HIV-1) is essentially influenced by the
presence of the stimulatory KIR3DS1 receptor in combination with HLA-Bw4.
Knowledge of such genetic interactions that contribute to not only disease
resistance but also susceptibility are just as important. Such combined genetic
factors were recently reported in the rhesus macaque AIDS model. Here, we review
the rhesus macaque MHC class I and KIR gene systems and the role of their
polymorphisms in the SIV infection model.
PMID- 26557117
TI - Functional Specialization of Skin Dendritic Cell Subsets in Regulating T Cell
Responses.
AB - Dendritic cells (DC) are a heterogeneous family of professional antigen
presenting cells classically recognized as most potent inducers of adaptive
immune responses. In this respect, Langerhans cells have long been considered to
be prototypic immunogenic DC in the skin. More recently this view has
considerably changed. The generation of in vivo cell ablation and lineage tracing
models revealed the complexity of the skin DC network and, in particular,
established the existence of a number of phenotypically distinct Langerin(+) and
negative DC populations in the dermis. Moreover, by now we appreciate that DC
also exert important regulatory functions and are required for the maintenance of
tolerance toward harmless foreign and self-antigens. This review summarizes our
current understanding of the skin-resident DC system in the mouse and discusses
emerging concepts on the functional specialization of the different skin DC
subsets in regulating T cell responses. Special consideration is given to antigen
cross-presentation as well as immune reactions toward contact sensitizers,
cutaneous pathogens, and tumors. These studies form the basis for the
manipulation of the human counterparts of the murine DC subsets to promote
immunity or tolerance for the treatment of human disease.
PMID- 26557120
TI - The Non-Obese Diabetic Mouse Strain as a Model to Study CD8(+) T Cell Function in
Relapsing and Progressive Multiple Sclerosis.
AB - Multiple sclerosis (MS) is a neurodegenerative disease resulting from an
autoimmune attack on central nervous system (CNS) myelin. Although CD4(+) T cell
function in MS pathology has been extensively studied, there is also strong
evidence that CD8(+) T lymphocytes play a key role. Intriguingly, CD8(+) T cells
accumulate in great numbers in the CNS in progressive MS, a form of the disease
that is refractory to current disease-modifying therapies that target the CD4(+)
T cell response. Here, we discuss the function of CD8(+) T cells in experimental
autoimmune encephalomyelitis (EAE), a mouse model of MS. In particular, we
describe EAE in non-obese diabetic (NOD) background mice, which develop a pattern
of disease characterized by multiple attacks and remissions followed by a
progressively worsening phase. This is highly reminiscent of the pattern of
disease observed in nearly half of MS patients. Particular attention is paid to a
newly described transgenic mouse strain (1C6) on the NOD background whose CD4(+)
and CD8(+) T cells are directed against the encephalitogenic peptide MOG[35-55].
Use of this model will give us a more complete picture of the role(s) played by
distinct T cell subsets in CNS autoimmunity.
PMID- 26557122
TI - Editorial: Causes for Increased Susceptibility to Mycobacterium tuberculosis - A
Close View of the Immune System.
PMID- 26557121
TI - An Overview of Challenges Limiting the Design of Protective Mucosal Vaccines for
Finfish.
AB - Research in mucosal vaccination in finfish has gained prominence in the last
decade in pursuit of mucosal vaccines that would lengthen the duration of
protective immunity in vaccinated fish. However, injectable vaccines have
continued to dominate in the vaccination of finfish because they are perceived to
be more protective than mucosal vaccines. Therefore, it has become important to
identify the factors that limit developing protective mucosal vaccines in finfish
as an overture to identifying key areas that require optimization in mucosal
vaccine design. Some of the factors that limit the success for designing
protective mucosal vaccines for finfish identified in this review include the
lack optimized protective antigen doses for mucosal vaccines, absence of
immunostimulants able to enhance the performance of non-replicative mucosal
vaccines, reduction of systemic antibodies due to prolonged exposure to oral
vaccination and the lack of predefined correlates of protective immunity for use
in the optimization of newly developed mucosal vaccines. This review also points
out the need to develop prime-boost vaccination regimes able to induce long-term
protective immunity in vaccinated fish. By overcoming some of the obstacles
identified herein, it is anticipated that future mucosal vaccines shall be
designed to induce long-term protective immunity in finfish.
PMID- 26557123
TI - Targeting CD8 T-Cell Metabolism in Transplantation.
AB - Infiltration of effector CD8 T cells plays a major role in allograft rejection,
and increases in memory and terminally differentiated effector memory CD8 T cells
are associated with long-term allograft dysfunction. Alternatively, CD8
regulatory T cells suppress the inflammatory responses of effector lymphocytes
and induce allograft tolerance in animal models. Recently, there has been a
renewed interest in the field of immunometabolics and its important role in CD8
function and differentiation. The purpose of this review is to highlight the key
metabolic pathways involved in CD8 T cells and to discuss how manipulating these
metabolic pathways could lead to new immunosuppressive strategies for the
transplantation field.
PMID- 26557124
TI - TOR-inhibitor insensitive-1 (TRIN1) regulates cotyledons greening in Arabidopsis.
AB - Target of Rapamycin (TOR) is an eukaryotic protein kinase and evolutionally
conserved from the last eukaryotic common ancestor (LECA) to humans. The growing
evidences have shown that TOR signaling acts as a central controller of cell
growth and development. The downstream effectors of TOR have been well-identified
in yeast and animals by using the immunosuppression agent rapamycin. However,
less is known about TOR in plants. This is largely due to the fact that plants
are insensitive to rapamycin. In this study, AZD8055 (AZD), the novel ATP
competitive inhibitor of TOR, was employed to decipher the downstream effectors
of TOR in Arabidopsis. One AZD insensitive mutant, T O R - i nhibitor i n
sensitive- 1 (trin1), was screened from 10,000 EMS-induced mutation seeds. The
cotyledons of trin1 can turn green when its seeds were germinated on 1/2 MS
medium supplemented with 2 MUM AZD, whereas the cotyledons greening of wild-type
(WT) can be completely blocked at this concentration. Through genetic mapping,
TRIN1 was mapped onto the long arm of chromosome 2, between markers SGCSNP26 and
MI277. Positional cloning revealed that TRIN1 was an allele of ABI4, which
encoded an ABA-regulated AP2 domain transcription factor. Plants containing
P35S::TRIN1 or P35S::TRIN1-GUS were hypersensitive to AZD treatment and displayed
the opposite phenotype observed in trin1. Importantly, GUS signaling was
significantly enhanced in P35S::TRIN1-GUS transgenic plants in response to AZD
treatment, indicating that suppression of TOR resulted in the accumulation of
TRIN1. These observations revealed that TOR controlled seed-to-seedling
transition by negatively regulating the stability of TRIN1 in Arabidopsis. For
the first time, TRIN1, the downstream effector of TOR signaling, was identified
through a chemical genetics approach.
PMID- 26557125
TI - Temporal analysis reveals a key role for VTE5 in vitamin E biosynthesis in olive
fruit during on-tree development.
AB - The aim of this work was to generate a high resolution temporal mapping of the
biosynthetic pathway of vitamin E in olive fruit (Olea europaea cv. "Koroneiki")
during 17 successive on-tree developmental stages. Fruit material was collected
from the middle of June until the end of January, corresponding to 6-38 weeks
after flowering (WAF). Results revealed a variable gene regulation pattern among
6-38 WAF studied and more pronounced levels of differential regulation of gene
expression for the first and intermediate genes in the biosynthetic pathway
(VTE5, geranylgeranyl reductase, HPPD, VTE2, HGGT and VTE3) compared with the
downstream components of the pathway (VTE1 and VTE4). Notably, expression of HGGT
and VTE2 genes were significantly suppressed throughout the developmental stages
examined. Metabolite analysis indicated that the first and intermediate stages of
development (6-22 WAF) have higher concentrations of tocochromanols compared with
the last on-tree stages (starting from 24 WAF onwards). The concentration of
alpha-tocopherol (16.15 +/- 0.60-32.45 +/- 0.54 mg/100 g F.W.) were substantially
greater (up to 100-fold) than those of beta-, gamma-, and delta-tocopherols (0.13
+/- 0.01-0.25 +/- 0.03 mg/100 g F.W., 0.13 +/- 0.01-0.33 +/- 0.04 mg/100 g F.W.,
0.14 +/- 0.01-0.28 +/- 0.01 mg/100 g F.W., respectively). In regard with
tocotrienol content, only gamma-tocotrienol was detected. Overall, olive fruits
(cv. "Koroneiki") exhibited higher concentrations of vitamin E until 22 WAF as
compared with later WAF, concomitant with the expression profile of phytol kinase
(VTE5), which could be used as a marker gene due to its importance in the
biosynthesis of vitamin E. To the best of our knowledge, this is the first study
that explores the complete biosynthetic pathway of vitamin E in a fruit tree crop
of great horticultural importance such as olive, linking molecular gene
expression analysis with tocochromanol content.
PMID- 26557127
TI - Molecular evolution, characterization, and expression analysis of SnRK2 gene
family in Pak-choi (Brassica rapa ssp. chinensis).
AB - The sucrose non-fermenting 1-related protein kinase 2 (SnRK2) family members are
plant-specific serine/threonine kinases that are involved in the plant response
to abiotic stress and abscisic acid (ABA)-dependent plant development. Further
understanding of the evolutionary history and expression characteristics of these
genes will help to elucidate the mechanisms of the stress tolerance in Pak-choi,
an important green leafy vegetable in China. Thus, we investigated the
evolutionary patterns, footprints and conservation of SnRK2 genes in selected
plants and later cloned and analyzed SnRK2 genes in Pak-choi. We found that this
gene family was preferentially retained in Brassicas after the Brassica
Arabidopsis thaliana split. Next, we cloned and sequenced 13 SnRK2 from both cDNA
and DNA libraries of stress-induced Pak-choi, which were under conditions of ABA,
salinity, cold, heat, and osmotic treatments. Most of the BcSnRK2s have eight
exons and could be divided into three groups. The subcellular localization
predictions suggested that the putative BcSnRK2 proteins were enriched in the
nucleus. The results of an analysis of the expression patterns of the BcSnRK2
genes showed that BcSnRK2 group III genes were robustly induced by ABA
treatments. Most of the BcSnRK2 genes were activated by low temperature, and the
BcSnRK2.6 genes responded to both ABA and low temperature. In fact, most of the
BcSnRK2 genes showed positive or negative regulation under ABA and low
temperature treatments, suggesting that they may be global regulators that
function at the intersection of multiple signaling pathways to play important
roles in Pak-choi stress responses.
PMID- 26557126
TI - Repeat-containing protein effectors of plant-associated organisms.
AB - Many plant-associated organisms, including microbes, nematodes, and insects,
deliver effector proteins into the apoplast, vascular tissue, or cell cytoplasm
of their prospective hosts. These effectors function to promote colonization,
typically by altering host physiology or by modulating host immune responses. The
same effectors however, can also trigger host immunity in the presence of cognate
host immune receptor proteins, and thus prevent colonization. To circumvent
effector-triggered immunity, or to further enhance host colonization, plant
associated organisms often rely on adaptive effector evolution. In recent years,
it has become increasingly apparent that several effectors of plant-associated
organisms are repeat-containing proteins (RCPs) that carry tandem or non-tandem
arrays of an amino acid sequence or structural motif. In this review, we
highlight the diverse roles that these repeat domains play in RCP effector
function. We also draw attention to the potential role of these repeat domains in
adaptive evolution with regards to RCP effector function and the evasion of
effector-triggered immunity. The aim of this review is to increase the profile of
RCP effectors from plant-associated organisms.
PMID- 26557128
TI - A phylogenetic approach to study the origin and evolution of the CRINKLY4 family.
AB - Cell-cell communication plays a crucial role in plant growth and development and
relies to a large extent on peptide ligand-receptor kinase signaling mechanisms.
The CRINKLY4 (CR4) family of receptor-like kinases is involved in a wide range of
developmental processes in plants, including mediating columella stem cell
identity and differentiation in the Arabidopsis thaliana root tip. Members of the
CR4 family contain a signal peptide, an extracellular part, a single-pass
transmembrane helix and an intracellular cytoplasmic protein kinase domain. The
main distinguishing features of the family are the presence of seven "crinkly"
repeats and a TUMOR NECROSIS FACTOR RECEPTOR (TNFR)-like domain in the
extracellular part. Here, we investigated the evolutionary origin of the CR4
family and explored to what extent members of this family are conserved
throughout the green lineage. We identified members of the CR4 family in various
dicots and monocots, and also in the lycophyte Selaginella moellendorffii and the
bryophyte Physcomitrella patens. In addition, we attempted to gain insight in the
evolutionary origin of different CR4-specific domains, and we could detect
"crinkly" repeat containing proteins already in single celled algae. Finally, we
related the presence of likely functional CR4 orthologs to its best described
signaling module comprising CLAVATA3/EMBRYO SURROUNDING REGION-RELATED 40
(CLE40), WUSCHEL RELATED HOMEOBOX 5 (WOX5), CLAVATA 1 (CLV1), and ARABIDOPSIS CR4
(ACR4), and established that this module likely is already present in bryophytes
and lycophytes.
PMID- 26557129
TI - Evolution, diversification, and expression of KNOX proteins in plants.
AB - The KNOX (KNOTTED1-like homeobox) transcription factors play a pivotal role in
leaf and meristem development. The majority of these proteins are characterized
by the KNOX1, KNOX2, ELK, and homeobox domains whereas the proteins of the KNATM
family contain only the KNOX domains. We carried out an extensive inventory of
these proteins and here report on a total of 394 KNOX proteins from 48 species.
The land plant proteins fall into two classes (I and II) as previously shown
where the class I family seems to be most closely related to the green algae
homologs. The KNATM proteins are restricted to Eudicots and some species have
multiple paralogs of this protein. Certain plants are characterized by a
significant increase in the number of KNOX paralogs; one example is Glycine max.
Through the analysis of public gene expression data we show that the class II
proteins of this plant have a relatively broad expression specificity as compared
to class I proteins, consistent with previous studies of other plants. In G. max,
class I protein are mainly distributed in axis tissues and KNATM paralogs are
overall poorly expressed; highest expression is in the early plumular axis.
Overall, analysis of gene expression in G. max demonstrates clearly that the
expansion in gene number is associated with functional diversification.
PMID- 26557131
TI - Influence of low- and high-elevation plant genomes on the regulation of autumn
cold acclimation in Abies sachalinensis.
AB - Boreal coniferous species with wide geographic distributions show substantial
variation in autumn cold acclimation among populations. To determine how this
variation is inherited across generations, we conducted a progeny test and
examined the development of cold hardening in open-pollinated second-generation
(F2) progeny of Abies sachalinensis. The F1 parents had different genetic
backgrounds resulting from reciprocal interpopulational crosses between low
elevation (L) and high-elevation (H) populations: L * L, L * H, H * L, and H * H.
Paternity analysis of the F2 progeny using molecular genetic markers showed that
91.3% of the fathers were located in surrounding stands of the F1 planting site
(i.e., not in the F1 test population). The remaining fathers were assigned to F1
parents of the L * L cross-type. This indicates that the high-elevation genome in
the F1 parents was not inherited by the F2 population via pollen flow. The timing
of autumn cold acclimation in the F2 progeny depended on the cross-type of the F1
mother. The progeny of H * H mothers showed less damage in freezing tests than
the progeny of other cross-types. Statistical modeling supported a linear effect
of genome origin. In the best model, variation in freezing damage was explained
by the proportion of maternally inherited high-elevation genome. These results
suggest that autumn cold acclimation was partly explained by the additive effect
of the responsible maternal genome. Thus, the offspring that inherited a greater
proportion of the high-elevation genome developed cold hardiness earlier. Genome
based variation in the regulation of autumn cold acclimation matched the local
climatic conditions, which may be a key factor in elevation-dependent adaptation.
PMID- 26557132
TI - The miRNAs and their regulatory networks responsible for pollen abortion in Ogura
CMS Chinese cabbage revealed by high-throughput sequencing of miRNAs, degradomes,
and transcriptomes.
AB - Chinese cabbage (Brassica rapa ssp. pekinensis) is one of the most important
vegetables in Asia and is cultivated across the world. Ogura-type cytoplasmic
male sterility (Ogura-CMS) has been widely used in the hybrid breeding industry
for Chinese cabbage and many other cruciferous vegetables. Although, the cause of
Ogura-CMS has been localized to the orf138 locus in the mitochondrial genome,
however, the mechanism by which nuclear genes respond to the mutation of the
mitochondrial orf138 locus is unclear. In this study, a series of whole genome
small RNA, degradome and transcriptome analyses were performed on both Ogura-CMS
and its maintainer Chinese cabbage buds using deep sequencing technology. A total
of 289 known miRNAs derived from 69 families (including 23 new families first
reported in B. rapa) and 426 novel miRNAs were identified. Among these novel
miRNAs, both 3-p and 5-p miRNAs were detected on the hairpin arms of 138
precursors. Ten known and 49 novel miRNAs were down-regulated, while one known
and 27 novel miRNAs were up-regulated in Ogura-CMS buds compared to the fertile
plants. Using degradome analysis, a total of 376 mRNAs were identified as targets
of 30 known miRNA families and 100 novel miRNAs. A large fraction of the targets
were annotated as reproductive development related. Our transcriptome profiling
revealed that the expression of the targets was finely tuned by the miRNAs. Two
novel miRNAs were identified that were specifically highly expressed in Ogura-CMS
buds and sufficiently suppressed two pollen development essential genes: sucrose
transporter SUC1 and H (+) -ATPase 6. These findings provide clues for the
contribution of a potential miRNA regulatory network to bud development and
pollen engenderation. This study contributes new insights to the communication
between the mitochondria and chromosome and takes one step toward filling the gap
in the regulatory network from the orf138 locus to pollen abortion in Ogura-CMS
plants from a miRNA perspective.
PMID- 26557133
TI - Natural variations in expression of regulatory and detoxification related genes
under limiting phosphate and arsenate stress in Arabidopsis thaliana.
AB - Abiotic stress including nutrient deficiency and heavy metal toxicity severely
affects plant growth, development, and productivity. Genetic variations within
and in between species are one of the important factors in establishing
interactions and responses of plants with the environment. In the recent past,
natural variations in Arabidopsis thaliana have been used to understand plant
development and response toward different stresses at genetic level. Phosphorus
deficiency negatively affects plant growth and metabolism and modulates
expression of the genes involved in Pi homeostasis. Arsenate, As(V), a chemical
analog of Pi, is taken up by the plants via phosphate transport system. Studies
suggest that during Pi deficiency, enhanced As(V) uptake leads to increased
toxicity in plants. Here, the natural variations in Arabidopsis have been
utilized to study the As(V) stress response under limiting Pi condition. The
primary root length was compared to identify differential response of three
Arabidopsis accessions (Col-0, Sij-1, and Slavi-1) under limiting Pi and As(V)
stress. To study the molecular mechanisms responsible for the differential
response, comprehensive expression profiling of the genes involved in uptake,
detoxification, and regulatory mechanisms was carried out. Analysis suggests
genetic variation-dependent regulatory mechanisms may affect differential
response of Arabidopsis natural variants toward As(V) stress under limiting Pi
condition. Therefore, it is hypothesized that detailed analysis of the natural
variations under multiple stress conditions might help in the better
understanding of the biological processes involved in stress tolerance and
adaptation.
PMID- 26557130
TI - DNA damage and repair in plants - from models to crops.
AB - The genomic integrity of every organism is constantly challenged by endogenous
and exogenous DNA-damaging factors. Mutagenic agents cause reduced stability of
plant genome and have a deleterious effect on development, and in the case of
crop species lead to yield reduction. It is crucial for all organisms, including
plants, to develop efficient mechanisms for maintenance of the genome integrity.
DNA repair processes have been characterized in bacterial, fungal, and mammalian
model systems. The description of these processes in plants, in contrast, was
initiated relatively recently and has been focused largely on the model plant
Arabidopsis thaliana. Consequently, our knowledge about DNA repair in plant
genomes - particularly in the genomes of crop plants - is by far more limited.
However, the relatively small size of the Arabidopsis genome, its rapid life
cycle and availability of various transformation methods make this species an
attractive model for the study of eukaryotic DNA repair mechanisms and
mutagenesis. Moreover, abnormalities in DNA repair which proved to be lethal for
animal models are tolerated in plant genomes, although sensitivity to DNA
damaging agents is retained. Due to the high conservation of DNA repair processes
and factors mediating them among eukaryotes, genes and proteins that have been
identified in model species may serve to identify homologous sequences in other
species, including crop plants, in which these mechanisms are poorly understood.
Crop breeding programs have provided remarkable advances in food quality and
yield over the last century. Although the human population is predicted to "peak"
by 2050, further advances in yield will be required to feed this population.
Breeding requires genetic diversity. The biological impact of any mutagenic agent
used for the creation of genetic diversity depends on the chemical nature of the
induced lesions and on the efficiency and accuracy of their repair. More recent
targeted mutagenesis procedures also depend on host repair processes, with
different pathways yielding different products. Enhanced understanding of DNA
repair processes in plants will inform and accelerate the engineering of crop
genomes via both traditional and targeted approaches.
PMID- 26557134
TI - Effects of a Multicomponent Exercise Program on Spatiotemporal Gait Parameters,
Risk of Falling and Physical Activity in Dementia Patients.
AB - BACKGROUND: Exercise programs are presumed to rehabilitate gait disorders and to
reduce the risk of falling in dementia patients. This study aimed to analyze the
specific effects of multicomponent exercise on gait disorders and to determine
the association between gait impairments and the risk of falling in dementia
patients before and after intervention. METHODS: We conducted an 8-week
multicomponent exercise program in 16 dementia patients (age 86.7 +/- 5.4 years).
All participants were assessed several times for gait analysis (Locometrix(r)),
Tinetti score and physical activity (Body Media SenseWear(r) Pro armband).
RESULTS: After 8 weeks of the exercise program, the mean gait speed was 0.12 m/s
faster than before the intervention (0.55 +/- 0.17 vs. 0.67 +/- 0.14 m/s). The
multicomponent exercise program improved gait performance and Tinetti score (p <
0.05). Gait performance (gait speed, stride length) was correlated with the
Tinetti score (p < 0.05). CONCLUSION: Analysis of spatiotemporal gait parameters
using an accelerometer method provided a quick and easy tool to estimate the
benefits of an exercise program and the risk of falling.
PMID- 26557135
TI - A 24-Week, Randomized, Controlled Study to Evaluate the Tolerability, Safety and
Efficacy of 2 Different Titration Schemes of the Rivastigmine Patch in Japanese
Patients with Mild to Moderate Alzheimer's Disease.
AB - AIM: To investigate whether 1-step titration of the rivastigmine patch (initiated
at 5 cm(2) and titrated to 10 cm(2) after 4 weeks) is well tolerated in Japanese
patients with Alzheimer's disease (AD) as compared to 3-step titration (initiated
at 2.5 cm(2) and titrated by 2.5 cm(2) every 4 weeks to 10 cm(2)). METHODS: A 24
week, multicenter, randomized, double-blind study was conducted in Japan between
July 2012 and May 2014. Patients with mild to moderate AD aged 50-85 years were
randomized 1:1 to 1-step or 3-step titration of the rivastigmine once-daily
patch. The primary endpoint was the proportion of patients with adverse events
leading to discontinuation. RESULTS: Of 216 patients randomized, 215 (1-step, n =
107; 3-step, n = 108) were included in the safety analysis. The primary endpoint
outcome was 15.0% in the 1-step group and 18.5% in the 3-step group. The observed
treatment difference was -3.6% (95% confidence interval: -17.0, 9.6), falling
within the prespecified acceptance range. CONCLUSION: The tolerability of two
different titration schemes was similar in Japanese patients with AD.
PMID- 26557136
TI - Hypertensive Disorders of Pregnancy Appear Not to Be Associated with Alzheimer's
Disease Later in Life.
AB - BACKGROUND: After hypertensive disorders of pregnancy, more subjective cognitive
complaints and white matter lesions are reported compared to women after normal
pregnancies. Both have a causal relationship with Alzheimer's disease (AD). AIM:
To investigate if women whose pregnancy was complicated by hypertensive disorders
have an increased risk of AD. METHODS: A case-control study in women with AD from
the Alzheimer Center of the VU University Medical Center Amsterdam and women
without AD. Paper and telephone surveys were performed. RESULTS: The response
rate was 85.2%. No relation between women with (n = 104) and without AD (n = 129)
reporting pregnancies complicated by hypertensive disorders (p = 0.11) was found.
Women with early-onset AD reported hypertensive disorders of pregnancy more often
(p = 0.02) compared to women with late-onset AD. CONCLUSION: A reported history
of hypertensive disorders of pregnancy appears not to be associated with AD later
in life.
PMID- 26557137
TI - Commentary: FTO obesity variant circuitry and adipocyte browning in humans.
PMID- 26557138
TI - The bacterial and host factors associated with extrapulmonary dissemination of
Mycobacterium tuberculosis.
AB - With high morbidity and mortality worldwide, tuberculosis (TB) is still an
important public health threat. The majority of human TB cases are caused by
Mycobacterium tuberculosis. Although pulmonary TB is the most common
presentation, M. tuberculosis can disseminate into other organs and causes
extrapulmonary TB (EPTB). The dissemination of bacteria from the initial site of
infection to other organs can lead to fatal diseases, such as miliary and
meningeal TB. Thoroughly understanding the mechanisms and pathways of
dissemination would develop therapies to prevent the lethal prognosis of EPTB
(miliary and meningeal TB) and vaccines to promote the development of adaptive
immunity. This review focuses on risk factors of EPTB, bacterial and host genes
involved in EPTB, and potential mechanisms of M. tuberculosis extrapulmonary
dissemination.
PMID- 26557139
TI - A Neuroeconomics Analysis of Investment Process with Money Flow Information: The
Error-Related Negativity.
AB - This investigation is among the first ones to analyze the neural basis of an
investment process with money flow information of financial market, using a
simplified task where volunteers had to choose to buy or not to buy stocks based
on the display of positive or negative money flow information. After choosing "to
buy" or "not to buy," participants were presented with feedback. At the same
time, event-related potentials (ERPs) were used to record investor's brain
activity and capture the event-related negativity (ERN) and feedback-related
negativity (FRN) components. The results of ERN suggested that there might be a
higher risk and more conflict when buying stocks with negative net money flow
information than positive net money flow information, and the inverse was also
true for the "not to buy" stocks option. The FRN component evoked by the bad
outcome of a decision was more negative than that by the good outcome, which
reflected the difference between the values of the actual and expected outcome.
From the research, we could further understand how investors perceived money flow
information of financial market and the neural cognitive effect in investment
process.
PMID- 26557141
TI - Identification of Novel Inhibitors for Tobacco Mosaic Virus Infection in
Solanaceae Plants.
AB - Tobacco mosaic virus (TMV) infects several crops of economic importance (e.g.,
tomato) and remains as one of the major concerns to the farmers. TMV enters the
host cell and produces the capping enzyme RNA polymerase. The viral genome
replicates further to produce multiple mRNAs which encodes several proteins,
including the coat protein and an RNA-dependent RNA polymerase (RdRp), as well as
the movement protein. TMV replicase domain was chosen for the virtual screening
studies against small molecules derived from ligand databases such as PubChem and
ChemBank. Catalytic sites of the RdRp domain were identified and subjected to
docking analysis with screened ligands derived from virtual screening LigandFit.
Small molecules that interact with the target molecule at the catalytic domain
region amino acids, GDD, were chosen as the best inhibitors for controlling the
TMV replicase activity.
PMID- 26557140
TI - Association between JAK2 rs4495487 Polymorphism and Risk of Budd-Chiari Syndrome
in China.
AB - Myeloproliferative neoplasms (MPNs) are the leading cause of Budd-Chiari syndrome
(BCS), and the C allele of JAK2 rs4495487 was reported to be an additional
candidate locus that contributed to MPNs. In the present study, we examined the
role of JAK2 rs4495487 in the etiology and clinical presentation of Chinese BCS
patients. 300 primary BCS patients and 311 healthy controls were enrolled to
evaluate the association between JAK2 rs4495487 polymorphism and risk of BCS. All
subjects were detected for JAK2 rs4495487 by real-time PCR. Results. The JAK2
rs4495487 polymorphism was associated with JAK2 V617F-positive BCS patients
compared with controls (P < 0.01). The CC genotype increased the risk of BCS in
patients with JAK2 V617F mutation compared with individuals presenting TT
genotype (OR = 13.60, 95% CI = 2.04-90.79) and non-CC genotype (OR = 12.00, 95%
CI = 2.07-69.52). We also observed a significantly elevated risk of combined-type
BCS associated with CC genotype in the recessive model (OR = 4.44, 95% CI = 1.31
15.12). This study provides statistical evidence that the JAK2 rs4495487
polymorphism is susceptibility factor JAK2 V617F positive BCS and combined BCS in
China. Further larger studies are required to confirm these findings.
PMID- 26557142
TI - Gynaecological Screening for Cervical and Vulvar Malignancies in a Cohort of
Systemic Sclerosis Patients: Our Experience and Review of the Literature.
AB - Background. Increased incidence of cancer was frequently reported in scleroderma
(SSc), but no association with gynaecological malignancies was described in
literature. Objectives. To investigate gynaecological neoplasms in SSc patients.
Methods. In this cross-sectional analysis, we evaluated 80 SSc patients, living
in the same geographical area. We considered all patients undergoing
gynaecological evaluation, including pap test as screening for cervical cancer,
between January 2008 and December 2014. Results. 55 (68.7%) patients were
negative and 20 (25%) presented inflammatory alterations, while cancer or
precancerous lesions were found in 5 (6.2%) cases (2 showed cervical cancer (one
of them in situ), 1 vulvar melanoma, 1 vulvar intraepithelial neoplasia, and 1
endocervical polyp with immature squamous metaplasia). The frequency of cervical
cancer in our series seems higher in comparison to the incidence registered in
the same geographical area. The presence of atypical cytological findings
correlated with anti-Scl70 autoantibodies (p = 0.022); moreover, the patients
with these alterations tended to be older (median 65, range 46-67), if compared
to the whole series (p = 0.052). Conclusions. A relatively high frequency of
gynaecological malignancies was found in our SSc series. In general,
gynaecological evaluation for SSc women needs to be included in the routine
patients' surveillance.
PMID- 26557143
TI - Antibiotic Resistance in Streptococcus pneumoniae after Azithromycin Distribution
for Trachoma.
AB - Trachoma is caused by Chlamydia trachomatis and is a leading cause of blindness
worldwide. Mass distribution of azithromycin (AZM) is part of the strategy for
the global elimination of blinding trachoma by 2020. Although resistance to AZM
in C. trachomatis has not been reported, there have been concerns about
resistance in other organisms when AZM is administered in community settings. We
identified studies that measured pneumococcal prevalence and resistance to AZM
following mass AZM provision reported up to 2013 in Medline and Web of Science
databases. Potential sources of bias were assessed using the Cochrane Risk of
Bias Tool. A total of 45 records were screened, of which 8 met the inclusion
criteria. We identified two distinct trends of resistance prevalence, which are
dependent on frequency of AZM provision and baseline prevalence of resistance. We
also demonstrated strong correlation between the prevalence of resistance at
baseline and at 2-3 months (r = 0.759). Although resistance to AZM in C.
trachomatis has not been reported, resistance to this commonly used macrolide
antibiotic in other diseases could compromise treatment. This should be
considered when planning long-term trachoma control strategies.
PMID- 26557144
TI - Protective Effects of Scutellarin on Human Cardiac Microvascular Endothelial
Cells against Hypoxia-Reoxygenation Injury and Its Possible Target-Related
Proteins.
AB - Scutellarin (SCU) is one of the main components of traditional Chinese medicine
plant Erigeron breviscapus (Vant.) Hand.-Mazz. In this paper, we studied the
protective effects of SCU on human cardiac microvascular endothelial cells
(HCMECs) against hypoxia-reoxygenation (HR) injury and its possible target
related proteins. Results of MTT assay showed that pretreatment of SCU at doses
of 1, 5, and 10 MUM for 2 h could significantly inhibit the decrease in cell
viability of HCMECs induced by HR injury. Subcellular fractions of cells treated
with vehicle control, 1 MUM SCU, HR injury, or 1 MUM SCU + HR injury were
separated by ultracentrifugation. The protein expression profiles of cytoplasm
and membrane/nuclei fractions were checked using protein two-dimensional
electrophoresis (2-DE). Proteins differentially expressed between control and SCU
treated group, control and HR group, or HR and SCU + HR group were identified
using mass spectrometry (MS/MS). Possible interaction network of these target
related proteins was predicted using bioinformatic analysis. The influence of SCU
on the expression levels of these proteins was confirmed using Western blotting
assay. The results indicated that proteins such as p27BBP protein (EIF6), heat
shock 60 kDa protein 1 (HSPD1), and chaperonin containing TCP1 subunit 6A isoform
(CCT6A) might play important roles in the effects of SCU.
PMID- 26557145
TI - Investigation on Molecular Mechanism of Fibroblast Regulation and the Treatment
of Recurrent Oral Ulcer by Shuizhongcao Granule-Containing Serum.
AB - The purpose is to study the intervention, proliferation, and differentiation on
fibroblast by Shuizhongcao Granule during the treatment of ROU and investigate
the action mechanism in inflammatory microenvironment. Proliferation of rat
fibroblasts was detected using CCK8. Western blot was used to detect the effect
of drug-containing serum on the expression of protein associated with NF-kappaB
and ERK pathway in rat fibroblasts. Expression of IL-10 and IL-12 was detected by
PCR. Shuizhongcao Granule group successfully inhibited proliferation of rat
fibroblast. Western blot results revealed that p65 and IKKB were significantly
less expressed in Chinese medicine group, while pIkappaBalpha and pIKKalphabeta
expression were significantly increased. We have also found that in this group
the expression of pAKT was evidently suppressed and expression of pERK
significantly decreased. PCR results showed significantly decreased expression
levels of IL-10 and 1IL-12b in Chinese medicine group, while the expression of IL
12a was increased. Our results suggest that Shuizhongcao Granule can suppress the
proliferation of fibroblast and inhibit the activation of NF-kappaB and thus
suppress inflammatory reactions, possibly involving the inhibited expression of
phosphorylated AKT, rather than the canonical pathway. Furthermore, it can
inhibit ERK pathway and reduce IL-10 and IL-12b gene expression while enhancing
IL-12a expression.
PMID- 26557147
TI - The Renal Protective Effect of Jiangya Tongluo Formula, through Regulation of
Adrenomedullin and Angiotensin II, in Rats with Hypertensive Nephrosclerosis.
AB - We investigated the effect of Jiangya Tongluo (JYTL) formula on renal function in
rats with hypertensive nephrosclerosis. A total of 21 spontaneously hypertensive
rats (SHRs) were randomized into 3 groups: valsartan (10 mg/kg/d valsartan), JYTL
(14.2 g/kg/d JYTL), and a model group (5 mL/kg/d distilled water); Wistar Kyoto
rats comprised the control group (n = 7, 5 mL/kg/d distilled water). Treatments
were administered by gavage every day for 8 weeks. Blood pressure, 24-h urine
protein, pathological changes in the kidney, serum creatinine, and blood urea
nitrogen (BUN) levels were estimated. The contents of adrenomedullin (ADM) and
angiotensin II (Ang II) in both the kidney and plasma were evaluated. JYTL
lowered BP, 24-h urine protein, serum creatinine, and BUN. ADM content in kidneys
increased and negatively correlated with BP, while Ang II decreased and
negatively correlated with ADM, but there was no statistically significant
difference of plasma ADM between the model and the treatment groups. Possibly,
activated intrarenal renin-angiotensin system (RAS) plays an important role in
hypertensive nephrosclerosis and the protective function of ADM via local
paracrine. JYTL may upregulate endogenous ADM level in the kidneys and antagonize
Ang II during vascular injury by dilating renal blood vessels.
PMID- 26557148
TI - Mechanistic Study of the Phytocompound, 2- beta -D-Glucopyranosyloxy-1
hydroxytrideca-5,7,9,11-tetrayne in Human T-Cell Acute Lymphocytic Leukemia Cells
by Using Combined Differential Proteomics and Bioinformatics Approaches.
AB - Bidens pilosa, a medicinal herb worldwide, is rich in bioactive polyynes. In this
study, by using high resolution 2-dimensional gel electrophoresis coupled with
mass spectrometry analysis, as many as 2000 protein spots could be detected and
those whose expression was specifically up- or downregulated in Jurkat T cells
responsive to the treatment with 2-beta-D-glucopyranosyloxy-1-hydroxytrideca
5,7,9,11-tetrayne (GHTT) can be identified. GHTT treatment can upregulate
thirteen proteins involved in signal transduction, detoxification, metabolism,
energy pathways, and channel transport in Jurkat cells. Nine proteins, that is,
thioredoxin-like proteins, BH3 interacting domain death agonist (BID protein
involving apoptosis), methylcrotonoyl-CoA carboxylase beta chain, and NADH
ubiquinone oxidoreductase, were downregulated in GHTT-treated Jurkat cells.
Further, bioinformatics tool, Ingenuity software, was used to predict signaling
pathways based on the data obtained from the differential proteomics approach.
Two matched pathways, relevant to mitochondrial dysfunction and apoptosis, in
Jurkat cells were inferred from the proteomics data. Biochemical analysis further
verified both pathways involving GHTT in Jurkat cells. These findings do not
merely prove the feasibility of combining proteomics and bioinformatics methods
to identify cellular proteins as key players in response to the phytocompound in
Jurkat cells but also establish the pathways of the proteins as the potential
therapeutic targets of leukemia.
PMID- 26557149
TI - Antiosteoporotic Effects of Huangqi Sanxian Decoction in Cultured Rat Osteoblasts
by Proteomic Characterization of the Target and Mechanism.
AB - Huangqi Sanxian decoction (HQSXD) is routinely used for the treatment of
osteoporosis in the Chinese traditional healthcare system. However, the targets
and mechanism underlying the effect of HQSXD on osteoporosis have not been
documented. In the present study, seropharmacology and proteomic approaches (two
dimensional gel electrophoresis combined with mass spectrometry) were used to
investigate the effects and possible target proteins of HQSXD on osteoblast. We
found that HQSXD-treated rat serum significantly enhanced osteoblast
proliferation, differentiation, and mineralization. In HQSXD-S-treated
osteoblasts, there were increases in the expression of N-formyl peptide receptor
2 and heparan sulfate (glucosamine) 3-O-sulfotransferase 3A1 and reduction in the
expression of alpha-spectrin, prohibitin, and transcription elongation factor B
(SIII), polypeptide 1. The identified proteins are associated with cell
proliferation, differentiation, signal transcription, and cell growth. These
findings might provide valuable insights into the mechanism of antiosteoporotic
effect affected by HQSXD treatment in osteoblasts.
PMID- 26557146
TI - Proteomics in Traditional Chinese Medicine with an Emphasis on Alzheimer's
Disease.
AB - In recent years, there has been an increasing worldwide interest in traditional
Chinese medicine (TCM). This increasing demand for TCM needs to be accompanied by
a deeper understanding of the mechanisms of action of TCM-based therapy. However,
TCM is often described as a concept of Chinese philosophy, which is
incomprehensible for Western medical society, thereby creating a gap between TCM
and Western medicine (WM). In order to meet this challenge, TCM research has
applied proteomics technologies for exploring the mechanisms of action of TCM
treatment. Proteomics enables TCM researchers to oversee various pathways that
are affected by treatment, as well as the dynamics of their interactions with one
another. This review discusses the utility of comparative proteomics to better
understand how TCM treatment may be used as a complementary therapy for
Alzheimer's disease (AD). Additionally, we review the data from comparative AD
related TCM proteomics studies and establish the relevance of the data with
available AD hypotheses, most notably regarding the ubiquitin proteasome system
(UPS).
PMID- 26557150
TI - Application of Herbal Medicines with Bitter Flavor and Cold Property on Treating
Diabetes Mellitus.
AB - Diabetes mellitus has been a global pandemic. Traditional Chinese Medicine has
been used on diabetes mellitus for thousands of years and the modern Chinese
medicine studies have found a curative effect of herbal medicine with bitter
flavor and cold property on diabetes. This review will introduce the theory
summary of flavor and property in TCM, argument basis, the evidences from
clinical trails and animal experiments, the possible antidiabetic mechanisms, and
advantages on lowering glucose of herbal medicines with bitter flavor and cold
property and take rhizome, Chinese rhubarb, and Momordica charantia, the three
herbal medicines with bitter flavor and cold property, as examples to illustrate
the exact antidiabetic effect. It is hoped that this review can provide some
ideas and inspiration for the treatment of diabetes with herbal medicine.
PMID- 26557151
TI - Sense of Well-Being in Patients with Fibromyalgia: Aerobic Exercise Program in a
Mature Forest-A Pilot Study.
AB - Background and Objective. Most patients with fibromyalgia benefit from different
forms of physical exercise. Studies show that exercise can help restore the
body's neurochemical balance and that it triggers a positive emotional state. So,
regular exercise can help reduce anxiety, stress, and depression. The aim of this
study was to analyze the benefits of moderate aerobic exercise when walking in
two types of forests, young and mature, and to assess anxiety, sleep, pain, and
well-being in patients with fibromyalgia. Secondary objectives included assessing
(i) whether there were differences in temperature, sound, and moisture, (ii)
whether there was an improvement in emotional control, and (iii) whether there
was an improvement in health (reduction in pain) and in physical and mental
relaxation. Patients and Methods. A study involving walking through two types of
forests (mature and young) was performed. A total of 30 patients were randomly
assigned to two groups, mature and young forests. The participants were
administered the following tests: the Spanish version of the Revised Fibromyalgia
Impact Questionnaire (FIQR) at baseline and the end-point of the study, the State
Trait Anxiety Inventory (STAI) after each walk, and a series of questions
regarding symptomatic evolution. Several physiological parameters were
registered. Results. FIQR baseline and end-point scores indicated a significant
decrease in the symptomatic subscale of the FIQ (SD = 21.7; z = -2.4; p = 0.041).
The within-group analysis revealed that differences were significant with respect
to days of intense pain, insomnia, and days of well-being only in the group
assigned to the mature forest, not in the group assigned to the young forest. No
differences were found with respect to anxiety. Conclusions. Although the main
aim of this research was not achieved, as the results revealed no differences
between the groups in the two forest types, authors could confirm that an aerobic
exercise program consisting of walking through a mature forest can provide the
subjective perception of having less days of pain and insomnia and more days of
wellness, in patients with fibromyalgia.
PMID- 26557152
TI - Qing Re Liang Xue Decoction Alleviates Hypercoagulability in Kawasaki Disease.
AB - Objective. Kawasaki disease (KD) is a multisystemic autoimmune vasculitis.
Intravenous immunoglobulin (IVIG) is the first-line treatment for KD. It is
unclear whether traditional Chinese medicine (TCM) has an effect on KD. We aimed
to observe the clinical efficacy of TCM on acute KD via serum interleukin-33 (IL
33) and tumor necrosis factor alpha (TNF-alpha) measurements. Methods. Thirty-one
KD patients were treated with Qing Re Liang Xue decoction and Western medicine
(integrative medicine treatment group), while 28 KD patients were treated with
Western medicine only (Western medicine treatment group). Thirty patients were
included in a febrile group and 28 healthy children were included in the control
group. Clinical characteristics and laboratory findings were gathered and
compared. Serum IL-33 and TNF-alpha levels were measured by multiplex Luminex
assay. Results. The platelet count in the integrative medicine treatment group
was significantly lower than that in the Western medicine treatment group. The
integrative medicine group had a shorter fever duration and lower IL-33 and TNF
alpha levels than those in the Western medicine group, but there were no
significant differences between the two KD groups after treatment. Conclusion.
Qing Re Liang Xue decoction improved the hypercoagulable state of KD patients.
Potential myocardial protective effects require further research.
PMID- 26557153
TI - RNAi2015 - Ten years of RNAi Oxford.
AB - The tenth RNAi conference was held at St. Hilda's College Oxford on the 24-26
March 2015. The conference offered researchers from all over the world the chance
to present, discuss and discover work pertaining to the field of RNAi. RNAi has
become an essential technique in genomic research for functional validation as
well as an exciting avenue to explore in therapeutic medicine. Emerging
techniques such as CRISPR as well as improvements in efficiency of existing
techniques and expansions in libraries have cemented the importance of RNAi at
the cutting edge of research. Featured presentations and posters showcased recent
research in the field ranging from RNA detection in bio fluids through to
potential oligonucleotide therapies.
PMID- 26557154
TI - Mortality Prediction Model of Septic Shock Patients Based on Routinely Recorded
Data.
AB - We studied the problem of mortality prediction in two datasets, the first
composed of 23 septic shock patients and the second composed of 73 septic
subjects selected from the public database MIMIC-II. For each patient we derived
hemodynamic variables, laboratory results, and clinical information of the first
48 hours after shock onset and we performed univariate and multivariate analyses
to predict mortality in the following 7 days. The results show interesting
features that individually identify significant differences between survivors and
nonsurvivors and features which gain importance only when considered together
with the others in a multivariate regression model. This preliminary study on two
small septic shock populations represents a novel contribution towards new
personalized models for an integration of multiparameter patient information to
improve critical care management of shock patients.
PMID- 26557155
TI - Sleep as a window into early neural development: Shifts in sleep-dependent
learning effects across early childhood.
AB - Sleep is an important physiological state for the consolidation and
generalization of new learning in children and adults. We review the literature
on sleep-dependent memory consolidation and generalization in infants and
preschool children and place the findings in the context of the development of
the neural systems underlying memory (hippocampus and its connections to cortex).
Based on the extended trajectory of hippocampal development, transitions in the
nature of sleep-dependent learning are expected. The studies reviewed here show
shifts in the nature of sleep-dependent learning across early childhood, with
sleep facilitating generalization in infants but enhancing precise memory after
18-24 months of age. Future studies on sleep-dependent learning in infants and
young children must take these transitions in early brain development into
account.
PMID- 26557156
TI - Impact of human papillomavirus on head and neck squamous cell cancers in Gabon.
AB - Head and neck squamous cell cancers are among the most aggressive. Their
incidence and mortality rates are relatively lower in Middle Africa than
worldwide, but in Gabon, these rates tend to be 2-3 fold higher than in
neighboring countries. The main risk factors are alcohol and tobacco consumption.
However, in the last decades, there was cumulated evidence that human
papillomaviruses were a significant risk factor, particularly for oropharyngeal
squamous cell cancer. In Gabon, as elsewhere in Africa, assessment of these 3
risk factors need to be improved to determine their respective role in the
development of head and neck squamous cell cancers. The potential differences in
alcohol/tobacco consumption habits as well as in infectious ecology between
developing and developed countries can make it difficult to transpose current
data on this issue. Determining the respective role of alcohol/tobacco
consumption and human papillomaviruses in the development of head and neck
squamous cell cancers is crucial for the management of these cancers that could
become a serious public health issue in Gabon. Human papillomaviruses are not
only a risk factor but also a biomarker with promising clinical potential for the
follow-up of head and neck squamous cell cancers potentially able to select an
adequate treatment. Then, assessing the epidemiological impact of human
papillomaviruses in Gabon and in all of Africa would prove useful for the
clinical follow-up of head and neck squamous cell cancers, and would also provide
essential data to plan a global prevention strategy against head and neck
squamous cell cancers due to human papillomaviruses.
PMID- 26557157
TI - De Novo ring chromosome 11 and non-reciprocal translocation of 11p15.3-pter to
21qter in a patient with congenital heart disease.
AB - BACKGROUND: Ring chromosome 11[r (11)] is a rare chromosomal abnormality that
forms when both arms of chromosome 11 break, and then reunite with each other.
Once a ring chromosome forms, the distal ends of both arms of the chromosome are
usually lost. CASE PRESENTATION: We reported a 12 years old girl patient with
congenital heart disease and distinctive facial features. Cytogenetic and
molecular analyses using standard G-banding, fluorescence in situ hybridization
and Single nucleotide polymorphism array were performed to identify genetic
causes in the patient. The patient carried r(11)(p15.3q24.1) and 11p15.3-pter non
reciprocal translocation to 21qter, accompanied with 8.9 Mb deletion of
11q24.2q25. A literature review was performed to establish genotype-phenotype
correlations of the r (11) and 11q terminal deletion syndrome. CONCLUSIONS: To
the best of our knowledge, this is the first case of non-reciprocal translocation
with a terminal deletion in r (11). These findings provide important information
for genetic counseling for this family, and may improve our understanding of the
genotype-phenotype correlation of ring chromosome 11 disorders.
PMID- 26557158
TI - Analysis of survival in pediatric high-grade brainstem gliomas: A population
based study.
AB - BACKGROUND: The purpose of this study was to use the National Cancer Institutes'
Surveillance, Epidemiology, and End Results (SEER) database to perform a large
scale analysis of brainstem anaplastic astrocytoma (AA) and glioblastoma
multiforme (GBM). Use of the SEER database gave us a larger sample size of this
rare tumor type, allowing for the analysis of the relationship between prognostic
factors and survival. MATERIALS AND METHODS: We selected pediatric patients (<18
years old) from the SEER database with histologically confirmed diagnoses of
primary high-grade gliomas (World Health Organization Grade III/IV) of the
brainstem. In univariate and multivariate analysis, we analyzed the relationship
between demographic (age, gender, race, diagnosis date), histologic (AA, GBM),
and treatment (surgery, radiation) factors on survival. RESULTS: In our cohort of
124 patients, those with AA had a median survival of 13 months and those with GBM
9 months. Higher-grade tumors were associated with statistically significantly
increased mortality (hazard ratio [HR]: 1.74, confidence intervals [CIs]: 1.17
2.60). Surgical intervention was associated with a significantly lower mortality,
either alone (HR: 0.14, CI: 0.04-0.5) or in combination with radiation (HR: 0.35,
CI: 0.15-0.82). Radiation therapy alone was significantly associated with
decreased mortality within the first 9 months after diagnosis but not with
overall mortality. No demographic characteristics were significantly associated
with mortality. CONCLUSIONS: Outcome remains poor in the pediatric high-grade
brainstem glioma population. Survival is correlated with lower-grade tumor
histology, radiation therapy only in the first 9 months after diagnosis, and
surgical resection.
PMID- 26557159
TI - Novel mutation detection of fibroblast growth factor receptor 1 (FGFR1) gene,
FGFR2IIIa, FGFR2IIIb, FGFR2IIIc, FGFR3, FGFR4 gene for craniosynostosis: A
prospective study in Asian Indian patient.
AB - BACKGROUND: Craniosynostosis (CS) syndrome is an autosomal dominant condition
classically combining craniosynostosis and non-syndromic craniosynostosis with
digital anomalies of the hands and feet. The majority of cases are caused by
heterozygous mutations in the third immunoglobulin-like domain (IgIII) of FGFR2,
whilst a larger number of cases can be attributed to mutations outside this
region of the protein. AIMS: To find out the FGFR1, FGFR2, FGFR3 and FGFR4 gene
in craniosynostosis syndrome. SETTINGS AND DESIGN: A hospital based prospective
study. MATERIALS AND METHODS: Prospective analysis of clinical records of
patients registered in CS clinic from December 2007 to January 2015 was done in
patients between 4 months to 13 years of age. We have performed genetic findings
in a three generation Indian family with Craniosynostosis syndrome. RESULTS: We
report for the first time the clinical and genetic findings in a three generation
Indian family with Craniosynostosis syndrome caused by a heterozygous missense
mutation, Thr 392 Thr and ser 311 try, located in the IgII domain of FGFR2. FGFR
3 and 4 gene basis syndrome was eponymously named. Genetic analysis demonstrated
that 51/56 families to be unrelated. In FGFR3 gene 10/TM location of 1172 the
nucleotide changes C>A, Ala 391 Glu 19/56 and Exon-19, 5q35.2 at conserved linker
region the changes occurred pro 246 Arg in 25/56 families. CONCLUSIONS:
Independent genetic origins, but phenotypic similarities in the 51 families add
to the evidence supporting the theory of selfish spermatogonial selective
advantage for this rare gain-of-function FGFR2 mutation.
PMID- 26557160
TI - Pediatric intramedullary spinal cord lesions: Pathological spectrum and outcome
of surgery.
AB - BACKGROUND: Pediatric intramedullary spinal cord lesions are not only rare but
also different from adults in a number of aspects. We aimed to study the
incidence and the frequencies of various pediatric intramedullary mass lesions,
their outcome to treatment and the factors determining their outcome of
treatment. MATERIALS AND METHODS: Thirty-one consecutive children (aged 1-18
years, mean 11.1 years, male: female = 1.8:1) with pathologically proven
intramedullary spinal cord lesions treated at our center were studied. Clinico
radiological, histopathological, operative, and outcome data were reviewed
retrospectively. The functional status was assessed using the modified McCormick
grading system. RESULTS: Gross total tumor excision was performed in 19 patients
(61.3%), subtotal in 9 patients (29%), partial excision was performed in 2 (6.5%)
patient, and only biopsy was performed in 1 patient (6.5%). There was one
peroperative death, 2 patients died at follow-up. Complications included wound
related complications (n = 4), transient deterioration in the motor power, and
respiratory complication requiring a tracheostomy. Six patients showed recurrence
at a mean follow-up of 16.4 months. Developmental tumors, high-grade ependymomas,
and incompletely excised grade 2 ependymomas showed a tendency to recur.
CONCLUSIONS: Children constituted nearly 1/5(th) (17.4%) of intramedullary spinal
cord tumors. Astrocytomas and ependymomas taken together constituted the most
common intramedullary spinal lesions in children; however, developmental tumors
predominated in the first decade. Children usually presented in good functional
grades preoperatively and maintained good grades after surgery. Functional
outcome was dependent on the preoperative neurological status and histopathology
of the lesions.
PMID- 26557161
TI - Parameters of metabolic syndrome in Indian children with epilepsy on valproate or
phenytoin monotherapy.
AB - OBJECTIVES: The prevalence of obesity is rapidly increasing among Indian
children, who, in general, are more prone to develop metabolic complications at
an early age. Valproate and phenytoin are commonly used antiepileptic drugs in
children. This study aimed to assess the parameters of the metabolic syndrome in
Indian children with epilepsy on valproate or phenytoin monotherapy. METHODS:
This cross-sectional study recruited children from the Pediatric Epilepsy Clinic,
Department of Pediatrics, Kalawati Saran Children Hospital, New Delhi from March
2012 to September 2012. All consecutive children diagnosed with epilepsy as per
International League Against Epilepsy definition aged 3-18 years on valproate or
phenytoin monotherapy for at least 6 months were enrolled at a tertiary care
children's hospital in Northern India. After clinical and anthropometric
evaluation (including body mass index [BMI] and waist circumference), the blood
samples were analyzed for fasting serum glucose, total cholesterol, high-density
lipoprotein-cholesterol, and serum triglyceride. RESULTS: Children with BMI
>95(th) centile and waist circumference >90(th) centile were not significantly
different among children on valproate and phenytoin monotherapy. Children on
valproate had significantly higher mean serum triglyceride (96.9 mg/dL vs. 77.6
mg/dL; P < 0.001) and total cholesterol (148.3 mg/dL vs. 132.8 mg/dL; P = 0.002)
levels as compared to children on phenytoin monotherapy. CONCLUSIONS: The lipid
abnormalities may be observed in children on valproate or phenytoin therapy and
may warrant periodic screening.
PMID- 26557162
TI - Pattern, etiological factors and determinants of mortality among sick newborns
with seizures in Ilesa, Nigeria.
AB - BACKGROUND: Neonatal seizures contribute significantly to newborn morbidity and
mortality particularly in developing countries including Nigeria. Unfortunately
the countries with high incidence of neonatal seizures often lack the facilities
to adequately diagnose, monitor and prognosticate the condition. OBJECTIVE: We
set out to determine the factors at presentation that predict death among babies
admitted with clinically identifiable seizures. METHODS: We prospectively
observed consecutive neonatal admissions over a nine month period at the Wesley
Guild Hospital, Ilesa, Nigeria. Babies with seizures were identified based on
clinical observation. Perinatal history, examination and laboratory findings were
compared between babies with seizures who survived and those that died.
Multivariate regression analysis was used to determine the predictors of
mortality. RESULTS: Over a nine month study period, a total of 340 babies were
recruited out of which 55 (16.7 percent) had clinically identifiable seizures.
Fifteen (27.3 percent) of the 55 babies with clinically identifiable seizures
died; while 20 (7.0 percent) of the 285 babies without seizures died. Clinically
identifiable neonatal seizures contributed to 42.9 percent of the overall
mortality in the neonatal unit during the study period. The risk factors for
mortality among the babies with seizures were clinical seizures in the first 24
hours of life, birth asphyxia co-existing with hyponatraemia and presence of
cerebral oedema (P < 0.05). The independent determinant of mortality among babies
with clinical seizures was cerebral oedema (OR = 4.025; 95% CI 1.342-26.956; P =
0.019). CONCLUSION: We conclude that clinically identifiable neonatal seizures
contribute significantly to neonatal mortality and presentation within 24 hours
of delivery, birth asphyxia and cerebral oedema increased the risk of death in
babies with seizures.
PMID- 26557163
TI - Symmetrical central tegmental tract hyperintensities on magnetic resonance
imaging.
PMID- 26557164
TI - Diastematomyelia with hemimyelomeningocele: An exceptional and complex spinal
dysraphism.
AB - Variations in split cord malformation (SCM) have been described earlier. However,
a true hemimyelomeningocele (HMM) as only congenital malformation is extremely
rare and is reported infrequently in published literature. We are reporting the
case of a 3-month-old girl child who presented with a swelling on the lower back
since birth. Magnetic resonance imaging revealed a type 1 SCM with right hemicord
forming a HMM. Precise diagnosis and thorough anatomical detail of dysraphism is
essential for optimal, individualized neurosurgical management.
PMID- 26557165
TI - Acute onset of postoperative syringohydromyelia.
AB - Syringohydromyelia is a frequent finding in cases of tethered cord syndrome. The
classical teaching is that the development and progression of a syrinx is a
chronic process. We present a case report of an acute onset syringomyelia in an
infant, who underwent an excision of a lumbosacral transitional lipoma and
detethering of the cord. Immediately after recovery, the infant was found to have
flaccid paraplegia. An emergency magnetic resonance imaging revealed a large
acute onset syringomyelia for which he underwent an emergency midline myelotomy
and release of fluid from the syrinx. Though the eventual recovery was good, this
made us re-visit our understanding of the concept of syringohydromyelia. The case
details and a plausible hypothesis for the rapid development of the syrinx are
presented.
PMID- 26557166
TI - Middle interhemispheric variant of holoprosencephaly: A rare midline
malformation.
AB - Middle interhemispheric variant (MIH) of holoprosencephaly (HPE) or
syntelencephaly is a rare variant of HPE characterized by abnormal midline union
of the posterior frontal and parietal lobes with variable fusion of thalami. It
varies from classic HPE in embryopathogenesis, severity of fusion of brain
structures, associated craniofacial anomalies and clinical presentation. We
report a case of MIH in a 5-year-old girl, who presented with severe
developmental delay and discuss the features differentiating it from other more
common forms of HPE.
PMID- 26557167
TI - Rare case of anterior fontanelle epidermoid/dermoid.
AB - Anterior fontanelle cysts are rare in occurrence in the area of anterior
fontanelle. Most of them are dermoid cysts. Epidermoid cysts are even rarer to be
seen. Authors are reporting a rare case of epidermoid cyst located in anterior
fontanelle along with two cases of dermoid in this area. Magnetic resonance
imaging revealed that a cystic swelling was present in the anterior fontanelle
area. Few cases have been reported in the literature. Present case of epidermoid
is analysed and available literature is reviewed.
PMID- 26557168
TI - Posterior fossa infected dermoid with congenital heart disease: A novel
hypothesis of an unusual association.
AB - Intracranial dermoid cysts commonly present as a discharging sinus, local
swelling, mass lesion, or abscess formation. These can sometimes be found in
association with congenital anomalies. The author presents two original cases of
infected posterior fossa dermoid associated with congenital heart diseases (CHDs)
that is very rare. The embryologic basis for this unique occurrence is reviewed,
and a new hypothesis proposed. Two infants with CHD presented with infected
midline posterior fossa dermoid. Excision of the dermoid cyst with the sinus
tract was performed. Postoperative period was uneventful. Both the infants had
undergone surgery for congenial heart disease a few months prior to the present
clinical presentation with uneventful recovery. Infected posterior fossa dermoid
cyst without a discharging sinus should prompt a thorough examination to detect
CHD. Early diagnosis and timely management results in better outcome.
PMID- 26557169
TI - A case of mitochondrial cytopathy with exertion induced dystonia.
AB - Paroxysmal dystonias are a group of relatively benign hyperkinetic childhood
movement disorders of varied etiology. Mitochondrial diseases are well known to
produce persistent dystonias as sequelae, but paroxysmal exertion induced
dystonia has been reported in only one case to the best of our knowledge. Two
siblings born to consanguineous parents presented with early-onset exertion
induced dystonia, which was unresponsive to diphenylhydantoin and carbamazepine.
A trial with valproate in one of the siblings turned fatal within 24 h. Based on
this clue, the second child was investigated and found to suffer from complex I
deficiency with a paternally inherited dominant nuclear DNA mutation, which is
responsive to the mitochondrial cocktail. Exertion induced dystonia can be a rare
manifestation of complex I deficiency.
PMID- 26557170
TI - Neurobehavioral phenotype in cyclin-dependent kinase-like 5 syndrome: Case report
and review of literature.
AB - The phenotype of cyclin-dependent kinase-like 5 (CDKL5) syndrome includes Rett
syndrome variant with early onset seizures, early onset epileptic encephalopathy;
and severe developmental delay. Autistic features have often been reported in
literature, but detailed reports of the behavior of these individuals are
lacking. We describe the clinical picture of a girl aged 15 years 9 months
affected by CDKL5 syndrome, with special attention to the neurobehavioral
phenotype. The evaluation showed, apart from a profound intellectual disability,
the presence of atypical features of behavior, mainly in relating to people, in
imitation, and in verbal and nonverbal communication, thus justifying the
diagnosis of comorbid autism spectrum disorder. A formal assessment of the
behavior, through appropriate tools, is necessary to choose the most appropriate
rehabilitative intervention and to characterize in more detail the CDKL5 syndrome
phenotype. We propose a testing protocol for the neurobehavioral assessment of
these patients.
PMID- 26557171
TI - Linear undisplaced fracture of temporoparietal bone acting as spontaneous early
decompressive craniotomy in a neonate.
AB - Decompressive craniotomy (DC) is used to treat intracranial hypertension
associated with traumatic brain injury. Early DC is associated with better
outcomes. We present a neonate with a history of fall with computed tomography
scan showing a large frontoparietal contusion and associated parietal and
temporal bone fracture. This acted as a spontaneous DC causing bony segment to
separate due to which the edematous brain could be accommodated. Despite the
presence of a large contusion, the child was neurologically intact and medically
managed. The neonate presented with a posttraumatic leptomeningeal cyst 2 months
later, which had to be repaired surgically. We discuss how a linear undisplaced
fracture acts as spontaneous DC and the role of early DC in improving outcomes.
PMID- 26557172
TI - Split calvarial fracture: A rare cause of hypovolemic shock in an infant.
AB - The present report describes a rare type split fracture of a calvarial bone,
causing hypovolemic shock in an infant. The infant responded well to
resuscitative measures. The authors discuss the possible mechanisms behind such a
calvarial fracture.
PMID- 26557174
TI - Bilateral occipital extradural hematoma in a child.
AB - Extradural hematoma (EDH) occurs in approximately 2% of all patients with head
injuries. Bilateral EDHs account for 2-10% of all acute EDHs in adults but are
exceedingly rare in children. Posterior fossa EDHs occurs in 5% of all cases of
EDHs. EDHs in children are more frequently venous (from tears of a dural sinus or
diploic veins) and consequently have a better prognosis than EDHs in adults. Once
the diagnosis of BEH is confirmed, urgent surgical treatment should be
considered. We are reporting such rare form of injury as bilateral occipital EDH
with supratentorial extension in 12 years child following road traffic accident.
PMID- 26557173
TI - Intracranial extradural hematoma: Spontaneous rapid decompression - not
resolution.
AB - The surgical option to evacuate an intracranial extradural hematoma (EDH) was
postponed in a 2-year-old female child who appeared fully alert and active after
a brief spell of unconsciousness following a fall from height. The child was
received, with a swelling on and around the right parietal eminence, by the
emergency staff just half an hour after the time of injury. The immediate X-ray
skull and first computed tomography (CT) scan head showed a parietal bone
fracture, EDH, and cephalhematoma. However, follow-up CT scan head after about
41/2 h revealed the dramatic absence of EDH but increased size and bogginess of
cephalhematoma. The EDH had transported into subgaleal space resulting in a
decompression of intracranial compartment in <5 h, thereby preventing surgical
intervention but necessitating monitoring, though there was no back flow
intracranially.
PMID- 26557175
TI - Rare association of thin corpus callosum with infantile tremor syndrome in a 5.5
month-old infant.
AB - Infantile tremor syndrome (ITS) is a clinical disorder characterized by coarse
tremors, anemia and regression of motor and mental milestones, presenting in
malnourished children aged between 5 months and 3 years. Few reports of
neuroimaging abnormalities in children with ITS are present. The most common
finding of neuroimaging in ITS is cerebral atrophy with ex-vacuo enlargement of
ventricles and subarachnoid space, some recent reports also showed pontine
myelinolysis and cerebral hyperintensities. We did not find any report of thin
corpus callosum associated with ITS in the literature.
PMID- 26557176
TI - Childhood-onset (Juvenile) Huntington's disease: A rare case report.
AB - Huntington's disease (HD) is a rare dominantly inherited neurodegenerative
disorder characterized clinically by a combination of abnormal involuntary
(choreic) movements, neuropsychiatric manifestations, and dementia. It is caused
by an unstable CAG repeat expansion in the gene IT15 which encodes a Huntingtin
protein. We present a case of a 9 year old boy who had developmental regression
starting from the age of 8 years of age along with resistant seizures and signs
of cerebellar involvement with absence of chorea and is on anticonvulsants,
baclofen, and tetrabenzine. As is expected in a case of childhood-onset HD, our
patient is rapidly deteriorating and is currently in the terminal phase of his
illness along with resistant convulsions.
PMID- 26557177
TI - A rare cause of acute flaccid paralysis: Human coronaviruses.
AB - Acute flaccid paralysis (AFP) is a life-threatening clinical entity characterized
by weakness in the whole body muscles often accompanied by respiratory and bulbar
paralysis. The most common cause is Gullian-Barre syndrome, but infections,
spinal cord diseases, neuromuscular diseases such as myasthenia gravis, drugs and
toxins, periodic hypokalemic paralysis, electrolyte disturbances, and botulism
should be considered as in the differential diagnosis. Human coronaviruses
(HCoVs) cause common cold, upper and lower respiratory tract disease, but in the
literature presentation with the lower respiratory tract infection and AFP has
not been reported previously. In this study, pediatric case admitted with lower
respiratory tract infection and AFP, who detected for HCoV 229E and OC43 co
infection by the real-time polymerase chain reaction, has been reported for the
first time.
PMID- 26557178
TI - Neonatal brain abscess: An atypical presentation.
AB - Brain abscesses occur as an uncommon complication of bacterial meningitis in the
neonatal period. A 34 weeks preterm at-risk neonate presented with abnormal
breathing pattern and inability to maintain the oxygen saturation in room air.
Magnetic resonance imaging (MRI) study revealed intra-parenchymal brain abscesses
in the left basal ganglion and bilateral fronto-parietal regions. Intravenous
piperacillin - tazobactam was commenced and continued for 6 weeks in Neonatal
Intensive Care Unit. No surgical intervention was required. The patient responded
to the medical management and was discharged after the documentation of
radiological clearance in repeat MRI study. No complications were recorded. An
appropriate neuro-developmental outcome was observed on follow-up. Brain
abscesses may not be preceded by meningitis in all neonates. A strong clinical
suspicion is required for the diagnosis especially in cases with atypical
presentation.
PMID- 26557179
TI - Bilateral symmetrical infratentorial subdural empyema: Delay proves detrimental.
AB - Authors report a case of infratentorial subdural empyema, a rare complication of
neglected otitis media in a child.
PMID- 26557180
TI - Fatal cerebellar hemorrhage as an initial presentation of medulloblastoma in a
child.
AB - Children with medulloblastomas most commonly present with signs and symptoms of
elevated intracranial pressure due to obstructive hydrocephalus, especially
headaches and vomiting. However, some pediatric patients present with sudden
neurological deterioration due to intracerebellar hemorrhage associated with
medulloblastoma, although very few reports exist that document this phenomenon.
An 8-year-old girl was admitted to our emergency department who presented with
sudden loss of consciousness, vomiting, and bradycardia. The neuroradiological
evaluation revealed a hemorrhagic mass lesion in the posterior fossa. Urgent
evacuation of the hematoma was performed. The postoperative course was
uneventful, and the postoperative histopathological examination revealed the
lesion to be a medulloblastoma. This report presents an unusual case of a
medulloblastoma presenting with fatal intracranial hemorrhage in a child. The
clinical features and intraoperative and pathologic findings of the case are
discussed.
PMID- 26557181
TI - Giant pediatric glioblastoma multiforme causing primary calvarial erosion and
sutural diastasis presenting with enlarged head.
AB - Authors report a rare case of supratentorial glioblastoma multiforme in a 13-year
old boy, who had headache, vomiting and left sided hemiparesis for last 6 months.
On evaluation by primary physician he was labeled as hydrocephalus in view of
enlarged head with papilledema on fundoscopic evaluation and no imaging was
carried out. On current admission, magnetic resonance imaging brain revealed a
large heterogeneous mass lesion involving right frontoparietal region associated
with massive perilesional edema causing significant mass effect. He underwent
right fronto-temporal craniotomy and intraoperatively erosion of parietal bone
was observed, unassociated with any extradural deposit of tumor. After surgery,
he noticed improvement in headache along with hemiparesis. Primary calvarial
erosion in glioblastoma is extremely rare, and there is paucity of literature as
evident from the few case reports reported previously and all occurred in
elderly, so current case is the first pediatric case having primary calvarial
erosion. Management of such case and pertinent literature is briefly discussed.
PMID- 26557182
TI - Magnetic resonance imaging depiction of acquired Dyke-Davidoff-Masson syndrome
with crossed cerebro-cerebellar diaschisis: Report of two cases.
AB - Acquired Dyke-Davidoff-Masson syndrome, also known as hemispheric atrophy, is
characterized by loss of volume of one cerebral hemisphere from an insult in
early life. Crossed cerebellar diaschisis refers to dysfunction/atrophy of
cerebellar hemisphere which is secondary to contralateral supratentorial insult.
We describe magnetic resonance imaging findings in two cases of acquired Dyke
Davidoff-Masson syndrome with crossed cerebro-cerebellar diaschisis.
PMID- 26557183
TI - Dry beriberi preceded Wernicke's encephalopathy: Thiamine deficiency after
laparoscopic sleeve gastrectomy.
AB - In recent times, pediatric obesity has become widely prevalent. If first-line
treatment with lifestyle modification fails, bariatric surgery may be indicated
for severely obese patients. Many patients now travel abroad to get these
surgeries done. Some of these patients receive inadequate postoperative care. We
described a morbidly obese 17-year-old girl who had a laparoscopic sleeve
gastrectomy procedure for weight loss. Due to severe nausea, she stopped her
multivitamin supplementation. Within a few weeks, she developed symptoms of dry
beriberi was soon followed by classic symptoms of Wernicke's encephalopathy. The
prompt diagnosis was made with confirmation from serum thiamine level and brain
magnetic resonance imaging. Thiamine supplementation reversed ophthalmological
symptoms promptly. However, the patient needed inpatient rehabilitation for
neuropathy. This case describes that thiamine deficiency can occur after
restrictive bariatric surgery, despite lower risk of malnutrition in the absence
of intestinal bypass procedure. This report highlights that in the presence of
risk factors: dietary noncompliance, inadequate follow-up, and severe nausea with
and without vomiting can precipitate the development of Wernicke's
encephalopathy, even after restrictive surgery. Physicians may increasingly
encounter thiamine and other nutrient deficiencies in increasing numbers due to
increasing prevalence of obesity disorders and availability of bariatric
surgeries. This report also emphasized the importance of identifying vague
sensory symptoms in thiamine deficiency.
PMID- 26557185
TI - Effects of Supplementation with Neptune Krill Oil (Euphasia Superba) on Selected
Redox Parameters and Pro-Inflammatory Markers in Athletes during Exhaustive
Exercise.
PMID- 26557184
TI - Examining Smoking Dependence Motives among African American Light Smokers.
AB - INTRODUCTION: Despite smoking fewer cigarettes per day, African American smokers
have greater difficulty quitting compared to Caucasian smokers. Further
elucidating the impact of smoking motivations on smoking behavior would
contribute to understanding the factors that maintain smoking. AIMS: This study
examined the factor structure of a brief assessment examining smoking dependence
motives among a sample of African American light smokers. METHODS: Data from a
double-blind, placebo-controlled randomized smoking cessation trial involving 540
participants. Results were analyzed using an exploratory factor analysis (EFA)
and a randomly split EFA. RESULTS/FINDINGS: Findings from the initial EFA
analysis produced an 8-factor model, explaining 69% of the variation in
responses. The overall Measure of Sampling Adequacy (MSA) was 0.88 with item
level MSA ranging 0.68-0.94 across the 30 items. Results from the randomly split
EFA replicated the findings of the original EFA; with the exception of the item
"I smoke within the first 30 minutes of awakening in the morning". CONCLUSIONS:
These findings support the hypothesis of a multidimensional approach to
conceptualizing nicotine dependence, and provide information regarding
characteristics of nicotine dependence in African American light smokers which
may be helpful in identifying targets for cessation treatment in this population
of smokers.
PMID- 26557186
TI - Modelling of Muscle Force Distributions During Barefoot and Shod Running.
AB - Research interest in barefoot running has expanded considerably in recent years,
based around the notion that running without shoes is associated with a reduced
incidence of chronic injuries. The aim of the current investigation was to
examine the differences in the forces produced by different skeletal muscles
during barefoot and shod running. Fifteen male participants ran at 4.0 m.s-1 (+/-
5%). Kinematics were measured using an eight camera motion analysis system
alongside ground reaction force parameters. Differences in sagittal plane
kinematics and muscle forces between footwear conditions were examined using
repeated measures or Freidman's ANOVA. The kinematic analysis showed that the
shod condition was associated with significantly more hip flexion, whilst
barefoot running was linked with significantly more flexion at the knee and
plantarflexion at the ankle. The examination of muscle kinetics indicated that
peak forces from Rectus femoris, Vastus medialis, Vastus lateralis, Tibialis
anterior were significantly larger in the shod condition whereas Gastrocnemius
forces were significantly larger during barefoot running. These observations
provide further insight into the mechanical alterations that runners make when
running without shoes. Such findings may also deliver important information to
runners regarding their susceptibility to chronic injuries in different footwear
conditions.
PMID- 26557187
TI - Certain Actions from the Functional Movement Screen Do Not Provide an Indication
of Dynamic Stability.
AB - Dynamic stability is an essential physical component for team sport athletes.
Certain Functional Movement Screen (FMS) exercises (deep squat; left- and right
leg hurdle step; left- and right-leg in-line lunge [ILL]; left- and right-leg
active straight-leg raise; and trunk stability push-up [TSPU]) have been
suggested as providing an indication of dynamic stability. No research has
investigated relationships between these screens and an established test of
dynamic stability such as the modified Star Excursion Balance Test (mSEBT), which
measures lower-limb reach distance in posteromedial, medial, and anteromedial
directions, in team sport athletes. Forty-one male and female team sport athletes
completed the screens and the mSEBT. Participants were split into high-,
intermediate-, and low-performing groups according to the mean of the excursions
when both the left and right legs were used for the mSEBT stance. Any between
group differences in the screens and mSEBT were determined via a one-way analysis
of variance with Bonferroni post hoc adjustment (p < 0.05). Data was pooled for a
correlation analysis (p < 0.05). There were no between-group differences in any
of the screens, and only two positive correlations between the screens and the
mSEBT (TSPU and right stance leg posteromedial excursion, r = 0.37; left-leg ILL
and left stance leg posteromedial excursion, r = 0.46). The mSEBT clearly
indicated participants with different dynamic stability capabilities. In contrast
to the mSEBT, the selected FMS exercises investigated in this study have a
limited capacity to identify dynamic stability in team sport athletes.
PMID- 26557188
TI - Higher Neuromuscular Manifestations of Fatigue in Dynamic than Isometric Pull-Up
Tasks in Rock Climbers.
AB - Neuromuscular assessment of rock climbers has been mainly focused on forearm
muscles in the literature. We aimed to extend the body of knowledge investigating
on two other upper limb muscles during sport-specific activities in nine male
rock climbers. We assessed neuromuscular manifestations of fatigue recording
surface electromyographic signals from brachioradialis and teres major muscles,
using multi-channel electrode arrays. Participants performed two tasks until
volitional exhaustion: a sequence of dynamic pull-ups and an isometric
contraction sustaining the body at half-way of a pull-up (with the elbows flexed
at 90 degrees ). The tasks were performed in randomized order with 10 minutes of
rest in between. The normalized rate of change of muscle fiber conduction
velocity was calculated as the index of fatigue. The time-to-task failure was
significantly shorter in the dynamic (31 +/-10 s) than isometric contraction (59
+/-19 s). The rate of decrease of muscle fiber conduction velocity was found
steeper in the dynamic than isometric task both in brachioradialis (isometric:
0.2 +/-0.1%/s; dynamic: -1.2 +/-0.6%/s) and teres major muscles (isometric:
0.4+/-0.3%/s; dynamic: -1.8+/-0.7%/s). The main finding was that a sequence of
dynamic pull-ups lead to higher fatigue than sustaining the body weight in an
isometric condition at half-way of a pull-up. Furthermore, we confirmed the
possibility to properly record physiological CV estimates from two muscles, which
had never been studied before in rock climbing, in highly dynamic contractions.
PMID- 26557189
TI - Comparison of Lower Limb Segments Kinematics in a Taekwondo Kick. An Approach to
the Proximal to Distal Motion.
AB - In taekwondo, there is a lack of consensus about how the kick sequence occurs.
The aim of this study was to analyse the peak velocity (resultant and value in
each plane) of lower limb segments (thigh, shank and foot), and the time to reach
this peak velocity in the kicking lower limb during the execution of the
roundhouse kick technique. Ten experienced taekwondo athletes (five males and
five females; mean age of 25.3 +/-5.1 years; mean experience of 12.9 +/-5.3
years) participated voluntarily in this study performing consecutive kicking
trials to a target located at their sternum height. Measurements for the
kinematic analysis were performed using two 3D force plates and an eight camera
motion capture system. The results showed that the proximal segment reached a
lower peak velocity (resultant and in each plane) than distal segments (except
the peak velocity in the frontal plane where the thigh and shank presented
similar values), with the distal segment taking the longest to reach this peak
velocity (p < 0.01). Also, at the instant every segment reached the peak
velocity, the velocity of the distal segment was higher than the proximal one (p
< 0.01). It provides evidence about the sequential movement of the kicking lower
limb segments. In conclusion, during the roundhouse kick in taekwondo inter
segment motion seems to be based on a proximo-distal pattern.
PMID- 26557190
TI - Reliability Analysis of Traditional and Ballistic Bench Press Exercises at
Different Loads.
AB - The purpose of this study was to determine test-retest reliability for peak
barbell velocity (Vpeak) during the bench press (BP) and bench press throw (BPT)
exercises for loads corresponding to 20-70% of one-repetition maximum (1RM).
Thirty physically active collegiate men conducted four evaluations after a
preliminary BP 1RM determination (1RM.bw-1 = 1.02 +/- 0.16 kg.kg-1). In
counterbalanced order, participants performed two sessions of the BP in one week
and two sessions of the BPT in another week. Recovery time between sessions
within the same week was 48 hours and recovery time between sessions of different
weeks was 120 hours. On each day of evaluation the individual load-velocity
relationship at each tenth percentile (20-70% of 1RM) in a Smith machine for the
BP or BPT was determined. Participants performed three attempts per load, but
only the best repetition (highest Vpeak), registered by a linear position
transducer, was analysed. The BPT resulted in a significantly lower coefficient
of variation (CV) for the whole load-velocity relationship, compared to the BP
(2.48% vs. 3.22%; p = 0.040). Test-retest intraclass correlation coefficients
(ICCs) ranged from r = 0.94-0.85 for the BPT and r = 0.91-0.71 for the BP (p <
0.001). The reduction in the biological within-subject variation in BPT exercise
could be promoted by the braking phase that obligatorily occurs during a BP
executed with light or moderate loads. Therefore, we recommend the BPT exercise
for a most accurate assessment of upper-body velocity.
PMID- 26557191
TI - Comparisons of a Multi-Frequency Bioelectrical Impedance Analysis to the Dual
Energy X-Ray Absorptiometry Scan in Healthy Young Adults Depending on their
Physical Activity Level.
AB - This study aimed at comparing BIA and DXA results in assessing body composition
in young adults depending on their physical activity level. Eighty healthy 19-30
years old subjects were enrolled and their body composition (Fat Mass and Fat
Free Mass) was assessed by dual-energy X-ray absorptiometry (DXA) and by a newly
developed Bioelectrical Impedance Analyzer (BIA - Tanita MC780). A seven-day
physical activity level was assessed using a 3-axial accelerometer. DXA-FM% and
BIA-FM% were correlated (p<0.001; r= 0.852; ICC [IC95%]: 0.84 [0.75 - 0.90];
concordance coefficient: 0.844). DXA-FFM and BIA FFM were correlated (p<0.001;
r=0.976; ICC [IC95%]: 0.95 [0.93 - 0.97], concordance coefficient: 0.955). DXA
and BIA measurements of FM% and FFM were highly correlated in both boys and girls
regardless of the physical activity level. Compared with DXA scans, newly
developed bioelectrical impedance analyzers provide satisfactory fat mass and
lean mass measures in healthy young women and men, despite their physical
activity level.
PMID- 26557192
TI - Acute Exercise and Oxidative Stress: CrossFit(TM) vs. Treadmill Bout.
AB - CrossFit(TM), a popular high-intensity training modality, has been the subject of
scrutiny, with concerns of elevated risk of injury and health. Despite these
concerns empirical evidence regarding physiologic stresses including acute
oxidative stress is lacking. Therefore, the purpose of this investigation was to
examine the acute redox response to a CrossFit(TM) bout. Furthermore, these
findings were compared to a high-intensity treadmill bout as a point of
reference. Ten males 26.4 +/- 2.7 yrs having three or more months of CrossFit(TM)
experience participated in the present study. Blood plasma was collected at four
time points: Pre-exercise (PRE), immediately-post-exercise (IPE), 1 hr-post (1
HP) and 2 hr-post (2-HP), to examine oxidative damage and antioxidant capacity.
Regarding plasma oxidative damage, CrossFit(TM) and Treadmill elicited a time
dependent increase of lipid peroxides 1-HP (CrossFit(TM)=+143%, Treadmill=+115%)
and 2-HP (CrossFit(TM)=+256%, Treadmill+167%). Protein Carbonyls were increased
IPE in CF only (+5%), while a time-dependent decrease occurred 1-HP
(CrossFit(TM)=-16%, Treadmill=-8%) and 2-HP (CF=-16%, TM=-1%) compared to IPE.
Regarding antioxidant capacity, Ferric Reducing Antioxidant Power also
demonstrated a time-dependent increase within CrossFit(TM) and Treadmill: IPE
(CrossFit(TM)=+25%, Treadmill=+17%), 1-HP (CrossFit(TM)=+26%, Treadmill=+4.8%), 2
HP (CrossFit(TM)=+20%, Treadmill=+12%). Total Enzymatic Antioxidant Capacity
showed a time-dependent decrease in IPE (CrossFit(TM)=-10%, Treadmill=-12%), 1-HP
(CrossFit(TM)=-12%, Treadmill=-6%), 2-HP (CrossFit(TM)=-7%, Treadmill=-11%). No
trial-dependent differences were observed in any biomarker of oxidative stress.
The CrossFit(TM) bout elicited an acute blood oxidative stress response
comparable to a traditional bout of high-intensity treadmill running. Results
also confirm that exercise intensity and the time course of exercise recovery
influence oxidative responses.
PMID- 26557193
TI - Can height categories replace weight categories in striking martial arts
competitions? A pilot study.
AB - In most combat sports and martial arts, athletes compete within weight
categories. Disordered eating behaviors and intentional pre-competition rapid
weight loss are commonly seen in this population, attributed to weight
categorization. We examined if height categories can be used as an alternative to
weight categories for competition, in order to protect the health of athletes.
Height and weight of 169 child and adolescent competitive karate athletes were
measured. Participants were divided into eleven hypothetical weight categories of
5 kg increments, and eleven hypothetical height categories of 5 cm increments. We
calculated the coefficient of variation of height and weight by each division
method. We also calculated how many participants fit into corresponding
categories of both height and weight, and how many would shift a category if
divided by height. There was a high correlation between height and weight (r =
0.91, p<0.001). The mean range of heights seen within current weight categories
was reduced by 83% when participants were divided by height. When allocating
athletes by height categories, 74% of athletes would shift up or down one weight
category at most, compared with the current categorization method. We conclude
that dividing young karate athletes by height categories significantly reduced
the range of heights of competitors within the category. Such categorization
would not cause athletes to compete against much heavier opponents in most cases.
Using height categories as a means to reduce eating disorders in combat sports
should be further examined.
PMID- 26557194
TI - Does the GNB3 C825T Polymorphism Influence Swimming Performance in Competitive
Swimmers?
AB - Single nucleotide polymorphism C825T located within the GNB3 gene has been
proposed in the literature as the performance enhancing polymorphism in highly
trained athletes. Therefore, the aim of the present study was to verify the
hypothesis assuming an association between the C825T polymorphic site and
performance of competitive swimmers. The frequencies of C/T alleles and
distribution of CC, CT and TT genotypes of the C825T GNB3 polymorphism were
compared between athletes and nonathletic controls as well as between sprint and
endurance swimmers. Genomic DNA was extracted from 197 competitive swimmers (50
long distance swimmers (LDS) and 147 short distance swimmers (SDS)) and 379
sedentary volunteers. The allele frequencies and genotype distribution of the
C825T polymorphic site were not significantly different when LDS and SDS were
compared to sedentary controls. Gender-specific analysis did not reveal any
significant differences in allele and genotype distribution, neither between
female controls and female swimmers nor between male controls and male swimmers.
No significant differences in allele frequencies and genotype distribution were
observed when LDS and SDS as well as groups of swimmers stratified by gender were
compared. The results of this study do not support the hypothesis that the C825T
polymorphism of the GNB3 gene is associated with swimming performance in
competitive swimmers.
PMID- 26557195
TI - Iron Metabolism in Field Hockey Players During an Annual Training Cycle.
AB - Post-physical training changes in iron metabolism in the human body often occur.
To fully describe these processes, fifteen male Polish National Team field hockey
players (age 27.7 +/- 5.2 years, body mass 72.8 +/- 7.6 kg and body height 177.1
+/- 5.7 cm) were examined in three phases of an annual training cycle:
preparatory (T1), competitive (T2) and transition (T3). To assess aerobic
fitness, maximal oxygen uptake (VO2max) was evaluated. Based on the iron
concentration, the changes in total iron binding capacity (TIBC), unsaturated
iron binding capacity (UIBC) and other selected haematological indicators
(haemoglobin, erythrocytes, mean corpuscular haemoglobin - MCH) in iron
metabolism were estimated. The average values of maximum oxygen uptake increased
from 54.97 +/- 3.62 ml.kg(-1).min(-1) in T1 to 59.93 +/- 3.55 ml.kg(-1).min(-1)
in T2 (p<0.05) and then decreased to 56.21 +/- 4.56 ml.kg(-1).min(-1) in T3
(p<0.05). No statistically significant changes in the erythrocyte count were
noted. The MCH and haemoglobin concentration decreased between T1 and T2. The
maximal exercise test caused a significant (p<0.05) increase in the plasma iron
concentration during the competition and transition phases. Progressive but non
significant increases in resting iron concentration, TIBC and UIBC in the
analysed annual training cycle were noted. To show global changes in iron
metabolism in the human body, it is necessary to determine additional variables,
i.e. UIBC, TIBC, haemoglobin, MCH or the erythrocyte count. The direction of
changes in iron metabolism depends on both the duration and intensity of the
physical activity and the fitness level of the subjects. Dietary intake of iron
increases the level of this trace element and prevents anaemia associated with
training overloads.
PMID- 26557196
TI - Effect of a Six-Week Preparation Period on Acute Physiological Responses to a
Simulated Combat in Young National-Level Taekwondo Athletes.
AB - The aim of this study was to examine changes in physical attributes,
physiological characteristics and responses that occurred in a simulated combat
during a six-week preparatory period in young taekwondo athletes. Seven athletes
(age 12.17 +/- 1.11 years) were examined before (pre-intervention) and after
(post-intervention) a preparatory period for physical fitness and physiological
responses to a 2*90 s simulated bout with a 30 s rest period. The heart rate (HR)
was monitored during the simulated combat, and handgrip muscle strength (HMS)
along with the countermovement jump (CMJ) were recorded before and after the
combat. When compared with pre-intervention values, in post-intervention we
observed a decrease in body mass, body fat percentage, and the HR at rest and
during recovery after a 3 min step test, and an increase in maximal velocity of
the cycle ergometer force-velocity test, the CMJ and mean power during the 30 s
continuous jumping test (p<0.05). Furthermore, HR responses to a simulated combat
were lower in the post-intervention session (p<0.05). CMJ values increased after
the bout in both pre and post-intervention, with higher absolute values in the
latter case (p<0.05), whereas there was no difference in HMS. Based on these
findings, it can be concluded that the acute physiological responses to a
simulated taekwondo combat vary during a season, which might be explained by
changes in physical fitness.
PMID- 26557197
TI - Focused and Radial Shock Wave Therapy in the Treatment of Tennis Elbow: A Pilot
Randomised Controlled Study.
AB - The purpose of this article was to evaluate and compare the efficacy of radial
and focused shock wave therapies applied to treat tennis elbow. Patients with
tennis elbow were randomized into two comparative groups: focused shock wave
therapy (FSWT; n=25) and radial shock wave therapy (RSWT; n=25). Subjects in the
FSWT and RSWT groups were applied with a focused shock wave (3 sessions, 2000
shocks, 4 Hz, 0.2 mJ/mm(2)) and a radial shock wave (3 sessions, 2000 + 2000
shocks, 8 Hz, 2.5 bar), respectively. The primary study endpoints were pain
relief and functional improvement (muscle strength) one week after therapy. The
secondary endpoint consisted of the results of the follow-up observation (3, 6
and 12 weeks after the study). Successive measurements showed that the amount of
pain patients felt decreased in both groups. At the same time grip strength as
well as strength of wrist extensors and flexors of the affected extremity
improved significantly. Both focused and radial shock wave therapies can
comparably and gradually reduce pain in subjects with tennis elbow. This process
is accompanied by steadily improved strength of the affected extremity.
PMID- 26557198
TI - Analysis of the Association Between Motor and Anthropometric Variables with
Change of Direction Speed and Reactive Agility Performance.
AB - There is an evident lack of studies examining the factors associated with
reactive agility performances. The aim of this study was to evaluate the
association between anthropometrics, body composition, jumping capacity, reactive
strength, and balance with a stop-and-go change of direction speed (CODS) and
reactive agility. The total sample comprised 39 male (body height: 182.95 +/-
5.19 cm; body mass: 80.66 +/- 7.69 kg) and 34 female (body height: 171.45 +/-
6.81 cm; body mass: 61.95 +/- 6.70 kg) college-level athletes (21.9 +/- 1.9 years
of age). The variables included body height, body mass, the percentage of body
fat (BF%), balance as measured by an overall-stability index, the countermovement
jump (CMJ), a reactive-strength index (RSI), stop-and-go reactive agility, and
stop-and-go CODS. To define the associations between motor and anthropometric
variables with CODS and reactive agility, the participants were clustered into
three achievement groups based on their CODS and reactive agility performances.
The ANOVA showed a significant difference between the CODS-based achievement
groups for the CMJ (F test = 3.45 and 3.60 for males and females, respectively; p
< 0.05), the RSI (F test = 6.94 and 5.29 for males and females, respectively; p <
0.05), and balance (F test = 3.47; p < 0.05 for males). In females, the reactive
agility achievement groups differed significantly in the RSI (F test = 6.46; p <
0.05), the CMJ (F test = 4.35; p < 0.05) and BF% (F test = 4.07; p < 0.05), which
is further confirmed by discriminant canonical analysis (Can R = 0.74; p < 0.05).
The results confirm the need for independent evaluation and training for both
CODS and reactive agility performance in sports.
PMID- 26557199
TI - Moderate Altitude Affects High Intensity Running Performance in a Collegiate
Women's Soccer Game.
AB - The effect of altitude on soccer game activity profiles was retrospectively
examined in six NCAA Division I female soccer players. Comparisons were made
between two matches played at sea level (SL) and one match played at a moderate
altitude (1839 m). A 10-Hz global positioning system device was used to measure
distance and velocity. The rate of total distance capacity (TDC) and high
intensity running (HIR) as well as percent of time at HIR were evaluated.
Significant differences were seen in the distance rate (120.55 +/- 8.26 m.min-1
versus 105.77 +/- 10.19 m.min-1) and the HIR rate (27.65 +/- 9.25 m.min-1 versus
25.07 +/- 7.66 m.min-1) between SL and altitude, respectively. The percent of
time at HIR was not significantly different (p = 0.064), yet tended to be greater
at SL (10.4 +/- 3.3%) than at altitude (9.1 +/- 2.2%). Results indicate that
teams residing at SL and competing at a moderate altitude may have a reduced
ability in distance covered and a high intensity run rate.
PMID- 26557200
TI - Effects of Traditional Versus Horizontal Inertial Flywheel Power Training on
Common Sport-Related Tasks.
AB - This study aimed to analyze the effects of power training using traditional
vertical resistance exercises versus direction specific horizontal inertial
flywheel training on performance in common sport-related tasks. Twenty-three
healthy and physically active males (age: 22.29 +/- 2.45 years) volunteered to
participate in this study. Participants were allocated into either the
traditional training (TT) group where the half squat exercise on a smith machine
was applied or the horizontal flywheel training (HFT) group performing the front
step exercise with an inertial flywheel. Training volume and intensity were
matched between groups by repetitions (5-8 sets with 8 repetitions) and relative
intensity (the load that maximized power (Pmax)) over the period of six weeks.
Speed (10 m and 20 m), countermovement jump height (CMJH), 20 m change of
direction ability (COD) and strength during a maximal voluntary isometric
contraction (MVIC) were assessed before and after the training program. The
differences between groups and by time were assessed using a two-way analysis of
variance with repeated measures, followed by paired t-tests. A significant group
by time interaction (p=0.004) was found in the TT group demonstrating a
significantly higher CMJH. Within-group analysis revealed statistically
significant improvements in a 10 m sprint (TT: -0.17 0.27 s vs. HFT: -0.11 0.10
s), CMJH (TT: 4.92 2.58 cm vs. HFT: 1.55 2.44 cm) and MVIC (TT: 62.87 79.71 N vs.
HFT: 106.56 121.63 N) in both groups (p < 0.05). However, significant differences
only occurred in the 20 m sprint time in the TT group (-0.04 0.12 s; p = 0.04).
In conclusion, the results suggest that TT at the maximal peak power load is more
effective than HFT for counter movement jump height while both TT and HFT
elicited significant improvements in 10 m sprint performance while only TT
significantly improved 20 m sprint performance.
PMID- 26557201
TI - Effects of Single Vs. Multiple Sets Water-Based Resistance Training on Maximal
Dynamic Strength in Young Men.
AB - The aim of this study was to compare the effects of single vs. multiple sets
water-based resistance training on maximal dynamic strength in young men. Twenty
one physically active young men were randomly allocated into 2 groups: a single
set group (SS, n=10) and a multiple sets group (MS, n=11). The single set program
consisted of only 1 set of 30 s, whereas the multiple sets comprised 3 sets of 30
s (rest interval between sets equaled 1 min 30 s). All the water-based resistance
exercises were performed at maximal effort and both groups trained twice a week
for 10 weeks. Upper (bilateral elbow flexors and bilateral elbow extensors, peck
deck and inverse peck deck) as well as lower-body (bilateral knee flexors and
unilateral knee extensors) one-repetition maximal tests (1RM) were used to assess
changes in muscle strength. The training-related effects were assessed using
repeated measures two-way ANOVA (alpha=5%). Both SS and MS groups increased the
upper and lower-body 1RM, with no differences between groups. Therefore, these
data show that the maximal dynamic strength significantly increases in young men
after 10 weeks of training in an aquatic environment, although the improvement in
the strength levels is independent of the number of sets performed.
PMID- 26557202
TI - Physical Demands of Top-Class Soccer Friendly Matches in Relation to a Playing
Position Using Global Positioning System Technology.
AB - The aim of this study was to examine the physical demands imposed on professional
soccer players during 11-a-side friendly matches in relation to their playing
position, using global positioning system (GPS) technology. One hundred and
eleven match performances of a Spanish "La Liga" team during the 2010-11 and 2011
12 pre-seasons were selected for analysis. The activities of the players were
monitored using GPS technology with a sampling frequency of 1 Hz. Total distance
covered, distance in different speed categories, accelerations, and heart rate
responses were analyzed in relation to five different playing positions: central
defenders (n=23), full-backs (n=20), central midfielders (n=22), wide midfielders
(n=26), and forwards (n=20). Distance covered during a match averaged 10.8 km,
with wide and central midfielders covering the greatest total distance.
Specifically, wide midfielders covered the greatest distances by very high
intensity running (>19.8 km.h-1) and central midfielders by jogging and running
(7.2-19.7 km.h-1). On the other hand, central defenders covered the least total
distance and at high intensity, although carried out more (p<0.05-0.01)
accelerations than forwards, wide midfielders, and fullbacks. The work rate
profile of the players obtained with the GPS was very similar to that obtained
with semi-automatic image technologies. However, when comparing results from this
study with data available in the literature, important differences were detected
in the amount of distance covered by sprinting, which suggests that caution
should be taken when comparing data obtained with the GPS with other motion
analysis systems, especially regarding high-intensity activities.
PMID- 26557203
TI - Validation and Reliability of a Novel Test of Upper Body Isometric Strength.
AB - The purpose of the present investigation was to examine the association of a
novel test of upper body isometric strength against a 1RM bench press
measurement. Forty college age adults (n = 20 female, n = 20 male; age 22.8 +/-
2.8 years; body height 171.6 +/- 10.8 cm; body mass 73.5 +/- 16.3 kg; body fat
23.1 +/- 5.4%) volunteered for the present investigation. The participants
reported to the lab on three occasions. The first visit included anthropometric
measurements and familiarization with both the upper body isometric test and
bench press exercise. The final visits were conducted in a randomized order, with
one being a 1RM assessment on the bench press and the other consisting of three
trials of the upper body isometric assessment. For the isometric test,
participants were positioned in a "push-up" style position while tethered
(stainless steel chain) to a load cell (high frequency) anchored to the ground.
The peak isometric force was consistent across all three trials (ICC = 0.98)
suggesting good reliability. Multiple regression analysis was completed with the
predictors: peak isometric force, gender, against the outcome variable 1RM bench
press. The analysis resulted in a significant model (r2 = 0.861, p<=0.001) with
all predictor variables attaining significance in the model (p<0.05). Isometric
peak strength had the greatest effect on the model (Beta = 5.19, p<=0.001).
Results from this study suggest that the described isometric upper body strength
assessment is likely a valid and reliable tool to determine strength. Further
research is warranted to gather a larger pool of data in regard to this
assessment.
PMID- 26557204
TI - Structural Analysis of Technical-Tactical Elements in Table Tennis and their Role
in Different Playing Zones.
AB - For the purpose of determining the overall structure of technical-tactical
elements in table tennis and evaluating their role in different playing zones
around the table, a new measuring instrument (a questionnaire) was formulated
that took advantage of the expert knowledge of top, world class table tennis
coaches. The results of the hierarchical taxonomic (cluster) analysis showed that
the overall structure of the technical-tactical elements forming the table tennis
technique could be divided into three basic groups; a group of technical-tactical
elements (A) used in the phase of preparing one's own and disabling the
opponent's attack; a group of technical-tactical elements (B) used in the phase
of attack and counterattack; and a group of technical-tactical elements (C) used
in the phase of defense. The differences among the obtained groups of table
tennis elements were determined by applying the Kruskal-Wallis test, while
relations between the groups and their role in different playing zones around the
table were analyzed by comparing the average values of the experts' scores.
PMID- 26557205
TI - The Structure of Morpho-Functional Conditions Determining the Level of Sports
Performance of Young Badminton Players.
AB - The aim of the study was to determine the structure of morpho-functional models
that determine the level of sports performance in three consecutive stages of
training of young badminton players. In the course of the study, 3 groups of
young badminton players were examined: 40 preadolescents aged 11-13, 32
adolescents aged 14-16, and 24 adolescents aged 17-19. The scope of the study
involved basic anthropometric measurements, computer tests analysing motor
coordination abilities, motor skills encompassing speed, muscular power and
strength, and cardiorespiratory endurance. Results of the study indicate that the
structure of morpho-functional models varies at different stages of sports
training. Sets of variables determining sports performance create characteristic
complexes of variables that do not constitute permanent models. The dominance of
somatic features and coordination abilities in the early stages of badminton
training changes for the benefit of speed and strength abilities.
PMID- 26557206
TI - Analysis of Soccer Players' Positional Variability During the 2012 UEFA European
Championship: A Case Study.
AB - The purpose of this study was to analyse players' positional variability during
the 2012 UEFA European Championship by applying principal component analysis
(PCA) to data gathered from heat maps posted on the UEFA website. We analysed the
teams that reached the finals and semi-finals of the competition. The players' 2D
coordinates from each match were obtained by applying an image-processing
algorithm to the heat maps. With all the players' 2D coordinates for each match,
we applied PCA to identify the directions of greatest variability. Then, two
orthogonal segments were centred on each player's mean position for all matches.
The segments' directions were driven by the eigenvectors of the PCA, and the
length of each segment was defined as one standard deviation around the mean.
Finally, an ellipse was circumscribed around both segments. To represent player
variability, segment lengths and elliptical areas were analysed. The results
demonstrate that Portugal exhibited the lowest variability, followed by Germany,
Spain and Italy. Additionally, a graphical representation of every player's
ellipse provided insight into the teams' organisational features throughout the
competition. The presented study provides important information regarding soccer
teams' tactical strategy in high-level championships that allows coaches to
better control team organisation on the pitch.
PMID- 26557207
TI - Relative Age Effect in UEFA Championship Soccer Players.
AB - Relative Age Effect (RAE) is the breakdown by both age grouping and dates of
birth of athletes. In the past 20 years the existence of this effect has been
shown with higher or smaller impact in multiple sports, including soccer. The
purpose of this study was to identify the existence of RAE in European soccer
players. The sample included 841 elite soccer players who were participants in
the UEFA European Soccer Championship in different categories. The professional
category (n = 368), U-19 (n = 144) and U-17 (n = 145) were in 2012, and U-21 was
in 2011 (n = 184). The Kolmogorov-Smirnov test and the Levene test recommended
the use of nonparametric statistics. The results obtained by the square test (
the Kruskal-Wallis test and Cohen's effect sizes revealed the existence of RAE
(chi(2) = 17.829, p < 0.001; d = 0.30), with the size of their different effects
depending on their category or qualifying round achieved by the national team and
the existence of significance in the observed differences by category. Therefore,
we could continue examining RAE which is present in elite soccer, and could be
considered a factor that influences performance of the national teams tested. RAE
was not evident in the professional teams analysed, however it was present in the
three lower categories analysed (youth categories), with its influence being
greater on younger age categories (U-17).
PMID- 26557208
TI - Attack Coverage in High-Level Men's Volleyball: Organization on the Edge of
Chaos?
AB - Change is pervasive, but emerging patterns are occasionally detectable through
analysis of systemic behaviors. Match analysis uses these patterns in order to
reduce the degree of improvisation and to optimize the training process. However,
it is possible that certain game phases elude systematic patterning. In this
vein, our aim was to analyze the case of attack coverage in men's volleyball, as
we suspected it would elude systematic patterning and has received negligible
attention in scientific research. We analyzed the occurrence of attack coverage
in 4544 plays of the 2011 Volleyball World League. A Chi-square test with
residual adjusted values was applied to explore significant associations between
variables. A Monte Carlo correction was applied, as some cells had n<5. Effect
sizes were determined using Cramer's V. Overall, attack coverage occurred in
3.89% of ball possessions, and 23 distinct structures emerged. These structures
lacked significant associations with the game complex, setting zone, and effect
of attack coverage. Conversely, attack coverage structures showed significant
associations with the attack zone and tempo, with very strong effect sizes
(V=0.472 and V=0.521, respectively). As certain attack zones are deeply
associated with attack tempo, it is apparent that quicker attack plays affect
attack coverage structuring, promoting the formation of less complex structures.
Ultimately, attack coverage structures seem to depend on momentary constraints,
thereby rendering rigid systematization impracticable. Still, we contended that a
principle-based approach might be suitable. This invites researchers to rethink
how to interpret game regularities.
PMID- 26557209
TI - Influence of the Type of Marking and the Number of Players on Physiological and
Physical Demands During Sided Games in Soccer.
AB - The aim of this research was to examine the influence of two variables, the type
of marking (with or without man-marking) and the number of players per team (3,
6, or 9) on the physical and physiological demands of sided games in soccer.
Eighteen amateur players were monitored with GPS and heart rate devices. The
following variables were analyzed: a maximum heart rate, a mean heart rate, time
spent in each intensity range, total distance covered and distance covered in
different speed ranges, a player load, maximum speed reached, and a work:rest
ratio. The results showed that the type of marking influenced the physical
demands of players, with greater total distance, a player load and a work:rest
ratio when man-marking was used in the 3 vs. 3 (737 m, 95 Arbitrary Units (AU)
and 3.4 AU, respectively) and 6 vs. 6 (783 m, 95 AU and 5.3 AU, respectively)
games (p<0.05). The number of players also had an effect on physiological
intensity, with more time being spent at the <80%HRmax during the 9 vs. 9 and 6
vs. 6 games (more than 30%) compared with the 3 vs. 3 format (less than 15%)
(p<0.05). These findings could help coaches to understand how the modification of
different variables in sided games influences the physical and physiological
demands of players.
PMID- 26557210
TI - The Intricacies of Children's Physical Activity.
AB - Understanding the physical activity patterns of youth is an essential step in
preparing programming and interventions needed to change behavior. To date,
little is known about the intricacies of youth physical activity across various
physical activity segments (i.e. in school, out of school, recess, classroom
physical activity, physical education, weekends, etc.). Therefore, the purpose of
the study was to examine the physical activity patterns of elementary school
children across various segments and during two seasons. A total of 287 fourth
and fifth graders from the Southwest US wore the Yamax Digiwalker SW-200
pedometer for 7 consecutive days during the Fall and Spring seasons. Children
were prompted to record their step counts when arriving and leaving school,
before and after physical education and recess, as well as on the weekends. Means
and standard deviations were calculated and ANOVAs and t tests were utilized to
examine difference by sex, season, and segment. Youth were more active outside of
school and on weekdays (p<0.05). Boys were generally more active than girls and
all youth were more active during the milder Spring season. There is a clear need
for Comprehensive School Physical Activity Programming and weekend physical
activity opportunities. Furthermore, greater emphasis is needed on PE and across
other activity segments for girls to increase their physical activity levels.
PMID- 26557211
TI - COMBINING NONEXCHANGEABLE FUNCTIONAL OR SURVIVAL DATA SOURCES IN ONCOLOGY USING
GENERALIZED MIXTURE COMMENSURATE PRIORS.
AB - Conventional approaches to statistical inference preclude structures that
facilitate incorporation of supplemental information acquired from similar
circumstances. For example, the analysis of data obtained using perfusion
computed tomography to characterize functional imaging biomarkers in cancerous
regions of the liver can benefit from partially informative data collected
concurrently in non-cancerous regions. This paper presents a hierarchical model
structure that leverages all available information about a curve, using penalized
splines, while accommodating important between-source features. Our proposed
methods flexibly borrow strength from the supplemental data to a degree that
reflects the commensurability of the supplemental curve with the primary curve.
We investigate our method's properties for nonparametric regression via
simulation, and apply it to a set of liver cancer data. We also apply our method
for a semiparametric hazard model to data from a clinical trial that compares
time to disease progression for three colorectal cancer treatments, while
supplementing inference with information from a previous trial that tested the
current standard of care.
PMID- 26557213
TI - A role for lung retention in the sense of retronasal smell.
AB - In olfaction, odors typically engage the lungs on the way to the nose to evoke
retronasal smell. This is most notable when the lung has a first pass effect
during smoking/vaping, but also upon exhaling after sniffing an odor. The lungs
act as a sink for odors, which can both reduce the retronasal odor concentration
and the odor mixture makeup. Lung retention is a simple measure that quantifies
the effectiveness of the sink. Lung retention has been studied in the context of
environmental toxicology and is known for many volatile organic compounds.
Available data on human lung retention suggests that the lungs may have a large
impact on odor perception, and that this may depend heavily on the specifics of
active sampling such as sniffing, smoking and vaping. Suggestions are included
for transient measures and models of lung retention.
PMID- 26557214
TI - Evaluation of Optical Coherence Tomography as a Means of Identifying Earlier
Stage Basal Cell Carcinomas while Reducing the Use of Diagnostic Biopsy.
AB - OBJECTIVE: To determine the diagnostic accuracy of optical coherence tomography
for basal cell carcinoma and the proportion of biopsies that could be avoided if
optical coherence tomography is used to rule-in surgery. DESIGN: Multicenter,
prospective, observational study. SETTING: Dermatology clinics. PARTICIPANTS:
Consecutive patients with clinically challenging pink lesions suspicious for
basal cell carcinoma. MEASUREMENTS: Clinical, dermoscopic, and optical coherence
tomography images were obtained for all subjects. At each stage, the clinician
made a diagnosis (pathology + subtype if applicable), and assessed his/her own
confidence in the diagnosis. RESULTS: Optical coherence tomography significantly
(p<0.01) improved sensitivity and specificity over clinical or dermoscopic
evaluation. The percentage of correct diagnoses was 57.4 percent (clinical), 69.6
percent (dermoscopy), and 87.8 percent (optical coherence tomography). Optical
coherence tomography significantly increased the certainty of diagnosis;
clinicians indicated they were certain (>95% confident) in 17 percent of lesions
examined clinically, in 38.6 percent examined with dermoscopy, and in 70 percent
examined with optical coherence tomography. With the use of optical coherence
tomography in the diagnosis of basal cell carcinoma, more than 1 in 3 patients
could avoid a diagnostic biopsy. CONCLUSION: In a population of clinically
challenging lesions, optical coherence tomography improved diagnostic certainty
by a factor of four over clinical examination alone and improved diagnostic
accuracy by 50 percent (57-88%). The addition of optical coherence tomography to
other standard assessments can improve the false-positive rate and give a high
degree of certainty for ruling in a positive diagnosis for basal cell carcinoma.
A reduction of 36 percent in overall biopsies could be achieved by sending high
certainty basal cell carcinoma positive optical coherence tomography diagnoses
straight to surgery.
PMID- 26557215
TI - The Tolerability and Efficacy of a Three-product Anti-aging Treatment Regimen in
Subjects with Moderate-to-severe Photodamage.
AB - Retinoids and alpha hydroxy acids differ in mechanism of action for treatment of
photodamage, but concurrent use may produce a synergistic effect by combining
retinoid-induced normalization of cellular differentiation with alpha hydroxy
acid-induced exfoliation (in hydrophilic areas) and enhanced dermal and epidermal
hydration. A recent bioengineered molecule, ethyl lactyl retinoate (alpha hydroxy
acid retinoid conjugate), is the first to deliver alpha hydroxy acids and
retinoids together in a hydrolysis-based time-released fashion. This could
improve efficacy while minimizing irritation commonly associated with retinoid
use. An eight-week clinical study was conducted to examine the efficacy and
tolerability of this formulation; 25 women aged 54.1 +/-8.9 years (mean +/- SD)
with moderate-to-severe photodamage (as determined by physician investigators
using the Glogau Wrinkle Scale) employed a twice-daily regimen of cleanser (7.8%
1-lactic acid, 2% salicylic acid) and anti-aging serum (0.1% alpha hydroxy acids
retinoids, 6.5% 1-lactic acid) with concurrent use of sun protection factor 50+
sunscreen as needed. Longitudinal analysis of study data revealed statistically
significant improvement in photodamage, dryness/flaking, dyschromia, and global
appearance at eight weeks. All study products were well-tolerated throughout.
Investigators concluded that the alpha hydroxy acid retinoid conjugate is a safe
and effective topical therapy for moderate-to-severe photodamage, warranting
further study, (clinicaltrials.gov, NCT02422836,
https://clinicaltrials.gov/ct2/show/NCT02422836?term=NCT02422836).
PMID- 26557216
TI - The Impact of Multispectral Digital Skin Lesion Analysis on German Dermatologist
Decisions to Biopsy Atypical Pigmented Lesions with Clinical Characteristics of
Melanoma.
AB - OBJECTIVE: To determine the impact of multispectral digital skin lesion analysis
on German dermatologist biopsy decisions of atypical pigmented skin lesions.
DESIGN: Participants were shown high-resolution clinical images of 12 atypical
pigmented skin lesions previously analyzed by multispectral digital skin lesion
analysis. Participants were asked if they would biopsy the lesion based on
clinical images and high-resolution dermoscopy images and again when subsequently
shown multispectral digital skin lesion analysis probability information.
SETTING/PARTICIPANTS: Forty-one dermatologists at a skin cancer conference in
Germany in September 2014. MEASUREMENTS: Sensitivity, specificity, diagnostic
accuracy, percent biopsying all melanomas, and overall biopsy rates. RESULTS:
Sensitivity for the detection of melanoma following clinical evaluation was 64
percent. After receipt of multispectral digital skin lesion analysis probability
information, sensitivity decreased nonsignificantly to 62 percent. Specificity
with clinical evaluation was 57 percent and increased to 73 percent using
multispectral digital skin lesion analysis. Overall biopsy accuracy increased
from 60 percent with clinical evaluation to 68 percent with multispectral digital
skin lesion analysis. The percentage of low-grade dysplastic nevi chosen for
biopsy decreased from 43 percent after clinical evaluation to 27 percent with
multispectral digital skin lesion analysis. Finally, the overall percentage of
lesions biopsied decreased from 52 percent with clinical evaluation to 42 percent
after multispectral digital skin lesion analysis. CONCLUSION: Multispectral
digital skin lesion analysis can be used reliably to detect melanoma as well as
clinical evaluation. Dermatologists can confidently use multispectral digital
skin lesion analysis to significantly improve specificity and reduce their
overall number of biopsies while increasing overall diagnostic accuracy.
PMID- 26557217
TI - Rosacea Patient Perspectives on Homeopathic and Over-the-counter Therapies.
AB - BACKGROUND: Rosacea patients commonly employ nonprescription therapies. The
authors' aim was to understand rosacea patients' perceptions of over-the-counter
products, complementary and alternative medicine, and homeopathic therapies.
METHOD: A public, online discussion forum comprising 3,350 members and 27,051
posts provided a source of 346 posts on patient perceptions on alternative
rosacea treatments. RESULTS: Three major themes of nonprescription treatment were
identified-motivation for use, patient-provider discussions, and experience with
these treatments. Perceived medication failure, barriers to treatment, and
distrust of physicians drive patients to seek nonprescription therapies. Still,
patients prefer to consult a physician on incorporating nonprescription therapies
into treatment. Complementary and alternative medicine natural products (19.4% of
posts), complementary and alternative medicine practices (16.5%), and homeopathic
medicine (3.8%) were commonly discussed. CONCLUSION: Physicians have an
opportunity to be a trusted source of information on the strengths and weaknesses
of skin care products and other complementary treatments for rosacea.
PMID- 26557218
TI - Injuries Attributable to Cosmetic Procedures Performed by Unlicensed Individuals
in the United States.
AB - OBJECTIVE: Although only licensed professionals should be performing cosmetic
procedures for patients, there are often news stories of unlicensed individuals
performing procedures with serious consequences. This brief report seeks to
determine the scope and magnitude of the problem by determining the number of
cases of unlicensed procedures and determining the people, procedures, and states
that are involved. DESIGN: Various databases were used to find lawsuits and
United States English-language news reports from January 1, 2013, through
December 31, 2013, which described cosmetic procedures performed by unlicensed
individuals. SETTING: The United States. PARTICIPANTS: All publicly reported
cases. MEASUREMENTS: Number of cases, case location, type of procedures and
injuries, and demographics of the victims and perpetrators. RESULTS: Twenty-eight
unique cases were found. The three states with the highest number of cases were
Florida (35.7%; n=10), Texas (14.3%; n=4), and California (10.7%; n=3). The type
of procedures (n=28) performed were buttocks injections (n=1), face injections
(n=7), laser facial procedures (n=2), liposuctions (n=4), and other cosmetic
surgeries (n=4). The reported injuries (n=16) were hospitalization (n=8), death
(n=4), amputation (n=1), burn (n=1), ptosis (n=1), and scar (n=1). Women and
minorities appeared to be disproportionately affected by these illegal
procedures. CONCLUSION: This study likely only provides a small snapshot of a
much larger problem, as many cases are presumably not taken to the news or
courts. The availability of illegal cosmetic procedures can be diminished with
complementary efforts carried out both by law enforcement and the communities
themselves.
PMID- 26557212
TI - Using Animal Models to Determine the Role of Gustatory Neural Input in the
Control of Ingestive Behavior and the Maintenance of Body Weight.
AB - INTRODUCTION: Decades of research have suggested that nutritional intake
contributes to the development of human disease, mainly by influencing the
development of obesity and obesity-related conditions. A relatively large body of
research indicates that functional variation in human taste perception can
influence nutritional intake as well as body mass accumulation. However, there
are a considerable number of studies that suggest that no link between these
variables actually exists. These discrepancies in the literature likely result
from the confounding influence of a variety of other, uncontrolled, factors that
can influence ingestive behavior. STRATEGY: In this review, the use of controlled
animal experimentation to alleviate at least some of these issues related to the
lack of control of experimental variables is discussed. Specific examples of the
use of some of these techniques are examined. DISCUSSION AND CONCLUSIONS: The
review will close with some specific suggestions aimed at strengthening the link
between gustatory neural input and its putative influence on ingestive behaviors
and the maintenance of body weight.
PMID- 26557219
TI - The "Knife-Cut Sign" Revisited: A Distinctive Presentation of Linear Erosive
Herpes Simplex Virus Infection in Immunocompromised Patients.
AB - BACKGROUND: The "knife-cut sign" is a distinctive presentation of linear erosive
herpes simplex virus infection in immunocompromised patients. PURPOSE: To
describe a man whose herpes simplex virus infection-related skin lesions
demonstrated the "knife-cut sign" and to review the characteristics of reported
immunosuppressed individuals with "knife-cut" cutaneous herpes simplex virus
lesions. METHODS: A man with multiple myeloma and post-stem cell transplant
cutaneous graft-versus-host disease managed with systemic prednisone and
sirolimus developed disseminated cutaneous herpes simplex virus infection with
virus-associated linear ulcers of the inguinal folds and the area between his ear
and scalp; the lesions at both sites had a distinctive "knife-cut" appearance.
Using the PubMed database, an extensive literature search was performed on herpes
simplex virus, immunocompromised patient, and "knife-cut sign". RESULTS: Herpes
simplex virus infection-associated skin lesions that demonstrate the "knife-cut
sign" present in patients who are immunosuppressed secondary to either an
underlying medical condition or a systemic therapy or both. The distinctive virus
related cutaneous lesions appear as linear ulcers and fissures in intertriginous
areas, such as the folds in the inguinal area, the vulva, and the abdomen; in
addition, other sites include beneath the breast, within the gluteal cleft, and
the area between the ear and the scalp. Not only herpes simplex virus-2, but also
herpes simplex virus-1 has been observed as the causative viral serotype; indeed,
herpes simplex virus-1 has been associated with genital and inframammary lesions
in addition to those above the neck. Direct fluorescent antibody testing is a
rapid method for confirming the clinically suspected viral infection; however,
since false-negative direct fluorescent antibody testing occurred in some of the
patients, it may be prudent to also perform viral cultures and possibly lesional
skin biopsies to establish the diagnosis. The herpes simplex virus infection
related skin lesions clinically improve once systemic antiviral therapy is
initiated. CONCLUSION: In immunosuppressed individuals, the "knife-cut sign" is a
distinctive presentation of cutaneous linear erosive herpes simplex virus
infection. Recognition of the linear ulcers in intertriginous areas and body
folds should prompt the clinician to consider herpes simplex virus infection
associated skin lesions in an immunocompromised patient and to initiate systemic
antiviral treatment while awaiting the results of laboratory evaluation to
confirm the suspected diagnosis.
PMID- 26557220
TI - Linear IgA Bullous Dermatosis: A Rare Clinicopathologic Entity with an Unusual
Presentation.
AB - Linear immunoglobulin A bullous dermatosis is a rare autoimmune mucocutaneous
disorder caused by immunoglobulin A autoantibodies produced against several
different antigens in the basement membrane zone. Clinically, it is characterized
by tense vesicles or bullae, which on histopathological exam demonstrate
subepidermal blister with a predominantly neutrophilic infiltrate. A smooth,
linear pattern of immunoglobulin A deposition in the basement membrane zone on
direct immunofluorescence is considered the gold standard for establishing a
diagnosis. Treatment consists of dapsone or sulfapyridine. The authors report a
60-year-old woman who presented with pruritic erythematous patches and plaques on
her trunk, back, and legs without blisters, who was diagnosed with eczema for
several months with no response to prior treatments. A biopsy was performed,
which was consistent with linear immunoglobulin A bullous dermatosis and later
confirmed by direct immunofluorescence studies. The authors present this case to
increase awareness of this rare disease, which could manifest in a nonclassical,
nonblistering fashion.
PMID- 26557221
TI - Kids These Days: Urine as a Home Remedy for Acne Vulgaris?
AB - Complementary and alternative medicine therapies are gaining popularity among
patients, aided by modern media outlets that facilitate easy and rapid
dissemination of information. "Urine therapy" is one such complementary and
alternative medicine and is described by its proponents as a wonder therapy for
inflammatory conditions, such as acne vulgaris. As with other complementary and
alternative medicines, healthcare providers should be mindful of the use of urine
therapy and its potential implications for patients who may utilize it.
PMID- 26557223
TI - Compensation for Managing Population Health.
PMID- 26557224
TI - Longitudinal Commercial Claims-Based Cost Analysis of Diabetic Retinopathy
Screening Patterns.
AB - BACKGROUND: Diabetic retinopathy is one of the most common complications of
diabetes. The screening of patients with diabetes to detect retinopathy is
recommended by several professional guidelines but is an underutilized service.
OBJECTIVE: To analyze the relationship between the frequency of retinopathy
screening and the cost of care in adult patients with diabetes. METHODS: Truven
Health MarketScan commercial databases (2000-2013) were used to identify the
diabetic population aged 18 to 64 years for the performance of a 2001-2013 annual
trend analysis of patients with type 1 and type 2 diabetes and a 10-year
longitudinal analysis of patients with newly diagnosed type 2 diabetes. In the
trend analysis, the prevalence of diabetes, screening rate, and allowed cost per
member per month (PMPM) were calculated. In the longitudinal analysis, data from
4 index years (2001-2004) of patients newly diagnosed with type 2 diabetes were
combined, and the costs were adjusted to be comparable to the 2004 index year
cohort, using the annual diabetes population cost trends calculated in the trend
analysis. The longitudinal population was segmented into the number of years of
diabetic retinopathy screening (ie, 0, 1-4, 5-7, and 8-10), and the relationship
between the years of screening and the PMPM allowed costs was analyzed. The
difference in mean incremental cost between years 1 and 10 in each of the 4
cohorts was compared after adjusting for explanatory variables. RESULTS: In the
trend analysis, between 2001 and 2013, the prevalence of diabetes increased from
3.93% to 5.08%, retinal screening increased from 26.27% to 29.58%, and the
average total unadjusted allowed cost of care for each patient with diabetes
increased from $822 to $1395 PMPM. In the longitudinal analysis, the difference
between the screening cohorts' mean incremental cost increase was $185 between
the 0- and 1-4-year cohorts (P <.003) and $202 between the 0- and 5-7-year
cohorts (P <.023). The cost differences between the other cohorts, including $217
between the 0- and 8-10-year cohorts (P <.066), were not statistically
significant. CONCLUSIONS: Based on our analysis, the annual retinopathy screening
rate for patients with diabetes has remained low since 2001, and has been well
below the guideline-recommended screening levels. For patients with type 2
diabetes, the mean increase in healthcare expenditures over a 10-year period
after diagnosis is not statistically different among those with various
retinopathy screening rates, although the increase in healthcare spending is
lower for patients with diabetes who were not screened for retinopathy compared
with patients who did get screened.
PMID- 26557222
TI - Protective Effect of Edaravone in Primary Cerebellar Granule Neurons against
Iodoacetic Acid-Induced Cell Injury.
AB - Edaravone (EDA) is clinically used for treatment of acute ischemic stroke in
Japan and China due to its potent free radical-scavenging effect. However, it has
yet to be determined whether EDA can attenuate iodoacetic acid- (IAA-) induced
neuronal death in vitro. In the present study, we investigated the effect of EDA
on damage of IAA-induced primary cerebellar granule neurons (CGNs) and its
possible underlying mechanisms. We found that EDA attenuated IAA-induced cell
injury in CGNs. Moreover, EDA significantly reduced intracellular reactive
oxidative stress production, loss of mitochondrial membrane potential, and
caspase 3 activity induced by IAA. Taken together, EDA protected CGNs against IAA
induced neuronal damage, which may be attributed to its antiapoptotic and
antioxidative activities.
PMID- 26557225
TI - Evaluating Drug Cost per Response with SGLT2 Inhibitors in Patients with Type 2
Diabetes Mellitus.
AB - BACKGROUND: The sodium-glucose cotransporter 2 (SGLT2) inhibitors, which include
canagliflozin, dapagliflozin, and empagliflozin, represent a new class of
antihyperglycemic agents. Few studies have assessed their cost per response, with
"cost per response" being the total cost of a select drug, divided by the
resulting change in glycated hemoglobin (HbA1c) levels. OBJECTIVE: To examine the
drug cost of SGLT2 inhibitors per a reduction in placebo-adjusted 1% HbA1c in
patients with type 2 diabetes mellitus who received treatment during 26 weeks
with canagliflozin, dapagliflozin, or empagliflozin. METHODS: The drug cost per
response for each of the 3 agents individually was assessed based on data from a
subset of clinical trials discussed in the prescribing information for each drug
that were all placebo-controlled studies evaluating each drug as monotherapy,
dual therapy (combined with metformin), and triple therapy (combined with
metformin and a sulfonylurea) in patients with uncontrolled, type 2 diabetes
mellitus. The US 2015 wholesale acquisition cost for each drug was used to
calculate each drug's treatment costs over 26 weeks. The average cost per
response for each drug was defined as the prescription drug cost of each SGLT2
inhibitor, divided by the average, placebo-adjusted HbA1c reduction at 26 weeks.
RESULTS: The drug cost per unit dose was the same for canagliflozin (100 mg or
300 mg), dapagliflozin (5 mg or 10 mg), and empagliflozin (10 mg or 25 mg), at
$11.43. The drug cost per placebo-adjusted 1% HbA1c reduction varied by agent and
by dose, as a result of the differences in the treatment responses for each of
the 3 drugs. The costs per response for canagliflozin 100 mg as monotherapy, dual
therapy, and triple therapy regimens ranged from $2286 to $3355, and for
canagliflozin 300 mg, from $1793 to $2702. The costs per response for
dapagliflozin 5 mg as monotherapy and dual therapy (triple therapy was not
available at the time of the study) ranged from $4161 to $5201; the cost for
dapagliflozin 10 mg ranged from $2972 to $4161. The costs per response for
empagliflozin 10 mg ranged from $2972 to $3467 across the monotherapy, dual
therapy, and triple therapy regimens; the cost for empagliflozin 25 mg ranged
from $2311 to $3467. CONCLUSION: Simple analyses, such as the drug cost per
placebo-adjusted 1% reduction in HbA1c, may be useful when considering the
addition of antihyperglycemic agents to the health plan's formulary.
PMID- 26557226
TI - The Impact of HbA1c Testing on Total Annual Healthcare Expenditures Among Newly
Diagnosed Patients with Diabetes.
AB - BACKGROUND: In 2010, diabetes was the seventh leading cause of death in the
United States. Diabetes also imposes a huge financial burden on the US economy.
In 2009, the American Diabetes Association International Expert Committee
recommended the use of the glycated hemoglobin (HbA1c) test as a uniform
diagnostic measure to identify patients with diabetes. Although HbA1c is a
convenient diagnostic test, it is also more expensive than older tests and could,
therefore, have an impact on patients' healthcare expenditures. OBJECTIVES: To
determine if HbA1c testing has an impact on total annual healthcare expenditures
among newly diagnosed patients with diabetes and to analyze the factors that are
associated with the total healthcare expenditures among diabetic patients before
and after HbA1c was implemented as a standard diagnostic factor. METHODS: This
was an observational, retrospective, cross-sectional study. The Medical
Expenditure Panel Survey-Household Component 2009 and 2011 databases were used to
form the study cohort of patients with diabetes. The total mean healthcare
expenditures among patients with diabetes formed the dependent variable. A proxy
variable representing a diagnosis of diabetes with and without the use of HbA1c
testing in 2009 and in 2011, respectively, formed the main independent variable
along with demographic factors, comorbidities, and healthcare services
utilization in both years. A generalized linear regression was conducted to
determine the association of HbA1c testing with total diabetes-related healthcare
expenditures. RESULTS: The mean total healthcare expenditure decreased in 2011
compared with 2009. The HbA1c test did not show an association with the total
healthcare expenditures versus earlier diabetes-related diagnostic factors. The
total expenditures were associated with private insurance, the incidence of a
previous heart attack, prescription drug refills, inpatient hospital stays, home
care, hospital discharges, and visits to outpatient providers and physicians in
both years. CONCLUSIONS: The HbA1c diagnostic factor did not yield any
association with diabetes healthcare expenditures. Although the total healthcare
expenditures were reduced in 2011 compared with 2009, it cannot be established
that the reduction in costs is solely attributed to the implementation of the
HbA1c diagnostic criteria. Further research on healthcare expenditures for
diabetic patients diagnosed with and without the use of HbA1c testing is
warranted to establish any possible association.
PMID- 26557227
TI - Entresto (Sacubitril/Valsartan): First-in-Class Angiotensin Receptor Neprilysin
Inhibitor FDA Approved for Patients with Heart Failure.
PMID- 26557228
TI - Philly Firsts.
PMID- 26557229
TI - Optimizing Rheumatoid Arthritis Therapy: Using Objective Measures of Disease
Activity to Guide Treatment.
AB - BACKGROUND: Rheumatoid arthritis (RA) affects approximately 1.5 million
individuals in the United States, or approximately 1% of the US adult population.
In women, RA most often begins between age 30 and 60 years; in men, it often
starts later in life. Patients with RA may have rapid declines in physical
function that can begin early in the disease course. Disability increases most
rapidly during the early years of the disease course, and if patients are not
accurately diagnosed and do not receive appropriate care early, substantial
functional declines may result. OBJECTIVE: To review strategies and clinical
assessment tools that may optimize patient outcomes by using objective measures
of disease activity. DISCUSSION: The goal of treatment for patients newly
diagnosed with RA should be preventing joint damage from developing by employing
early and aggressive approaches to therapy that minimize disease activity.
Likewise, for established disease, treatment should be aimed at limiting the
progression of existing joint damage. Substantial advances have been made in the
treatment of RA over the past 2 decades, in large part as a result of better
understanding of the biology of RA and the resultant introduction of biologic
therapies. In 2010, an international task force published recommendations for a
treat-to-target management approach to RA, much of which was based on the use of
biologic drugs. This treatment strategy emphasized that the primary target in the
treatment of patients with RA should be clinical remission or low disease
activity. The tools necessary to measure RA disease activity are often
incomplete, imprecise, or rely on a combination of physician and patient
subjective evaluations. There is no one symptom, laboratory measure, or clinical
tool that provides a truly accurate assessment of disease activity in patients
with RA. CONCLUSION: Thus, there is a large gap between what is recommended in
clinical guidelines and the actual practice of rheumatologists. Better methods of
assessing RA disease activity are still needed to enable widespread adoption of
guidelines in the clinical community.
PMID- 26557231
TI - Transforming the Drug Cost Paradigm from Payment for Medications to Payment for
Value.
PMID- 26557230
TI - Evaluating the Expected Costs and Budget Impact of Interventional Therapies for
the Treatment of Chronic Venous Disease.
AB - BACKGROUND: Chronic venous disease is a common disorder in the United States. The
manifestations of chronic venous disease include varicosities and related
sequelae that are frequent contributors to the morbidity and high costs
associated with the disease. The interventional treatment options for chronic
venous disease have expanded greatly in recent years and include various surgical
and vein ablation techniques. Polidocanol injectable foam (also known as
polidocanol endovenous microfoam 1%), a chemical ablation agent, is the most
recent entrant to the market. OBJECTIVE: To evaluate the expected patient-level
total treatment costs and health plan-level budgetary impact of polidocanol
injectable foam compared with the currently available interventional treatment
options from a third-party US payer perspective. METHODS: A Microsoft Excel-based
budget impact model was designed to compare the costs of polidocanol injectable
foam with other interventional treatments (ie, laser ablation, radiofrequency
ablation, surgery, and multimodality treatment). The model included drug
acquisition, medical procedure, administration, additional treatment, and disease
progression costs. The treatment patterns and rates of additional treatment were
incorporated from a recent retrospective claims analysis for established
treatment modalities and from the clinical trials for polidocanol injectable
foam. The model estimates the 1-year total estimated costs and the health plan
budget impact assuming an 8-week treatment time frame. RESULTS: The total
expected 8-week treatment costs were $2165 for polidocanol injectable foam, $1827
for endovenous laser ablation, $2106 for radiofrequency ablation, $2374 for
surgery, and $2844 for multimodality treatment. The initial treatment costs were
higher for surgery and multimodality treatment compared with polidocanol
injectable foam and were lower for endovenous laser ablation and radiofrequency
ablation treatments. Polidocanol injectable foam is projected to have a
relatively small budget impact ($0.01 per member per month) at an initial 5%
market share. CONCLUSION: Polidocanol injectable foam offers an alternative to
other interventional options for the treatment of varicose veins and is projected
to have a relatively small budget impact. From a health plan perspective, this
drug is likely to have a relatively low budget impact as it becomes more widely
used.
PMID- 26557232
TI - Healthcare Utilization and Costs of Knee or Hip Replacements versus Pain-Relief
Injections.
AB - BACKGROUND: Given the dramatic increase in total knee and hip replacement
procedures among the US population aged 45 years and older, there is a need to
compare the downstream healthcare utilization and costs between patients who
undergo joint replacement and those who receive intraarticular injections as a
low-cost alternative. OBJECTIVE: To compare changes in osteoarthritis (OA)
related healthcare utilization and costs for Medicare members with OA who
underwent knee or hip replacement versus those receiving steroid or
viscosupplementation injections. METHODS: Medicare members aged >=45 years
diagnosed with OA were identified for this retrospective longitudinal study. Data
were compared for patients who underwent primary knee or hip replacement surgery
between July 1, 2007, and June 30, 2012, and those receiving injection of pain
relief medication during the same period. The date of joint replacement surgery
was considered the index date. For the comparison cohort, the index date was 180
days postinjection of the first intraarticular injection. Medical and pharmacy
claims were examined longitudinally in 90-day increments, from 180 days preindex
until 360 days postindex. Difference-in-difference analyses were conducted to
compare the change in OA-related healthcare costs, postindex versus preindex,
between the study cohorts. Time-to-event analyses were used to measure rates of
readmissions and venous thromboembolism (VTE). RESULTS: The mean age was 70.7
years for patients with knee replacement, 71.7 years for those with hip
replacement, and 71.1 years for those receiving pain-relief injection (P <.0001).
The RxRisk-V comorbidity index scores were 4.7, 4.4, and 4.8, respectively (P
<.0001). Difference-in-difference analyses indicated that decreases in OA-related
costs were greater for the joint replacement cohorts (coefficient for knee
replacement*time: -0.603; hip replacement*time: -0.438; P <.001 for both) than
for the comparison cohort. The VTE rates were 5.6% (knee) and 5.1% (hip)
postsurgery versus 1.4% (knee) and 1.3% (hip) presurgery. CONCLUSION: The overall
difference-in-difference results showed a greater decrease in healthcare
utilization and costs for the members with joint replacement than for those
receiving injection.
PMID- 26557233
TI - Profuse coarse pulmonary nodules in a patient with lymphangioleiomyomatosis:
thirty-three years of follow-up.
AB - Lymphangioleiomyomatosis (LAM) is a rare disease characterized by progressive
cystic destruction of the lungs. We present an unusual radiological presentation
of lymphangioleiomyomatosis in a patient followed for 33 years with profuse
coarse lung nodules in addition to the classical cystic lesions. We believe that
this report might support the case for considering LAM a low-malignant neoplasm.
PMID- 26557234
TI - Good clinical practice in clinical interventional studies.
AB - Good clinical practice (GCP) guidelines should always be implemented and obeyed
in clinical interventional studies. In this mini-review, we will address several
burning questions relating to GCP in a concise 'frequently asked questions'
format. While compliance to current rules and regulations is our mission, we also
wish to play devil's advocate attempting to translate the rules into sizeable
chunks using a high dose of common sense.
PMID- 26557235
TI - Determinants of uncontrolled asthma in a Swedish asthma population: cross
sectional observational study.
AB - BACKGROUND: Asthma control is achieved in a low proportion of patients. The
primary aim was to evaluate risk factors for uncontrolled asthma. The secondary
aim was to assess quality of life associated with asthma control. METHODS: In a
cross-sectional study, asthma patients aged 18-75 were randomly selected from
primary and secondary health care centers. Postal questionnaires were sent to
1,675 patients and the response rate was 71%. A total of 846 patients from
primary and 341 patients from secondary care were evaluated. Data were collected
using a questionnaire and review of medical records. The questionnaire included
questions about asthma control and a quality-of-life questionnaire, the mini
AQLQ, with four domains (symptoms, activity limitation, emotional function, and
environmental stimuli). The mean score for each domain and the overall score were
calculated. Asthma control was divided into three levels according to the GINA
guidelines and partly and uncontrolled asthma were combined into one group -
poorly controlled asthma. RESULTS: Asthma control was achieved in 36% of the
sample: 38% in primary and 29% in secondary care. In primary and secondary care,
35 and 45% had uncontrolled asthma, respectively. Risk factors for poorly
controlled asthma were female sex [OR 1.31 (1.003-1.70)], older age [OR 2.18
(1.28-3.73)], lower educational level [OR 1.63 (1.14-2.33)], and current smoking
[OR 1.68 (1.16-2.43)]. Older age and lower educational level remained
statistically significantly associated with poorly controlled asthma when the
analyses were limited to never-smokers. Depression was an independent risk factor
for poorly controlled asthma in men [OR 3.44 (1.12-10.54)]. The mini-AQLQ scores
and the mean overall score were significantly lower in uncontrolled asthma.
CONCLUSION: Risk factors for poorly controlled asthma were female sex, older age,
low educational level, and smoking. Uncontrolled asthma was significantly
associated with lower quality of life.
PMID- 26557236
TI - The Bergen COPD microbiome study (MicroCOPD): rationale, design, and initial
experiences.
AB - BACKGROUND: Recent methodological developments, in particular new sequencing
methods for bacterial RNA/DNA, have shown that microorganisms reside in airways
that do not suffer from acute infection and that respiratory microbiota might
vary according to airways disease status. We aim to establish high-quality
sampling methods for lower airways microbiota as well as describe the respiratory
microbiome in subjects with and without chronic obstructive pulmonary disease
(COPD) and to relate the microbiome to disease development, progression, and the
host immune system. METHODS: The Bergen COPD microbiome study (MicroCOPD) is a
longitudinal study aiming to collect data from 200 subjects with COPD as well as
150 individuals without COPD. At baseline, subjects go through a bronchoscopy in
which protected specimen brushes, small-volume lavage, bronchoalveolar lavage,
and bronchial biopsies provide a unique chance to analyze the microbiota and the
host immune system status. These variables will be related to baseline clinical
parameters (lung function, smoking status, exacerbation frequency, arterial blood
gases, comorbidities, and medications) as well as follow-up parameters (lung
function changes, exacerbation frequency, mortality, and more). RESULTS: Per date
more than 150 bronchoscopies have been performed, equally distributed between
cases and controls, with a very low complication frequency. CONCLUSIONS:
MicroCOPD will provide unique data on a large material, with insight on a new
field of respiratory research.
PMID- 26557237
TI - Inflammatory myofibroblastic tumor: a rare tumor of the lung.
AB - Inflammatory myofibroblastic tumor (IMT) is a rare lesion, representing 0.04-1.2%
of all lung tumors. Brunn first described it in 1939, but its etiology remains
uncertain. A 16-year-old patient was admitted to our hospital for further
examination following abnormal radiological findings. The physical examination
showed no abnormality, and routine hematological and biochemical parameters were
within normal range. Chest radiograph revealed homogenous opacity of the right
upper lobe with regular margins. Thoracic CT showed a nodular lesion, 30*26 mm in
dimensions, with lobular contours in the right hilar. Bronchoscopic examination
showed a vascular endobronchial lesion in the anterior right upper lobe, with
bleeding when palpated. She underwent right thoracotomy for diagnostic and
therapeutic purposes since bronchoscopic biopsy failed because of bleeding. With
a pathological diagnosis of IMT, the present report discusses her case
accompanied by relevant literature as it is a very rare type of lung tumor. IMT
is a rare benign tumor. The diagnosis is difficult to make before surgery since
its clinical and radiological features are variable and nonspecific. Although it
is a benign lesion, it should be completely resected and patients should be
closely monitored following the resection in order to avoid local invasion and
recurrence.
PMID- 26557238
TI - An obstructing endobronchial lipoma simulating COPD.
AB - Endobronchial lipomas are rare benign tumors of the respiratory tract. Bronchial
occlusion may cause parenchymal damage and lead to a misdiagnosis of chronic
obstructive pulmonary disease or malignancy. Therefore, both accurate diagnosis
and radical treatment of endobronchial lipomas are essential. We describe the
case of a 61-year-old man with a history of smoking (40 pack years), dyspnea in
exertion, and cough during the past 6 months due to an endobronchial lipoma.
Chest computed tomographic (CT) scan revealed a circumscribed polypoid lesion
partially obstructing the left lower lobe. The endobronchial lipoma was removed
by flexible bronchoscopy, and the patient had complete resolution of symptoms
following the procedure. Flexible bronchoscopy was normal at the 3-month follow
up. In addition, clinical characteristics, diagnosis, and treatment of
endobronchial lipomas are discussed.
PMID- 26557239
TI - Methotrexate as an oral corticosteroid-sparing agent in severe asthma: the
emergence of a responder asthma endotype.
AB - BACKGROUND: Sustained use of oral corticosteroids is associated with significant
side effects. It is therefore of interest to find a corticosteroid-sparing agent.
In two meta-analyses, methotrexate resulted in a rather small reduction in the
oral corticosteroid maintenance dose. We have used methotrexate as an oral
corticosteroid-sparing agent in consecutive patients with severe bronchial asthma
and find a need for a real-life observational study to evaluate the effect of
methotrexate in clinical practice. METHODS: We analyzed the clinical data of 13
oral corticosteroid-dependent asthma patients with a mean prednisolone dose of 15
mg/day for up to 8 years. The diagnosis of asthma based on the clinical history,
positive bronchodilator reversibility test, and variable airflow obstruction was
secured by bronchial biopsies in all patients. We reviewed the literature and
found 12 studies evaluating methotrexate as an oral corticosteroid-sparing agent
in severe asthma and calculated the mean daily reduction in mg of prednisolone.
RESULTS: Oral corticosteroids could be reduced in 8/13 patients, 61.5% (mean
reduction 9.0 mg/day), and stopped in six of these patients. Five patients had no
reduction and remained oral corticosteroid-dependent. Patients with the highest
oral corticosteroid doses experienced the greatest reductions. Two patients
stopped methotrexate due to side effects. FEV1 remained unaffected by
methotrexate treatment and corticosteroid reduction. CONCLUSIONS: Methotrexate
has significant oral corticosteroid-sparing effect while maintaining an unaltered
asthma control and spirometry. Methotrexate seems an effective oral
corticosteroid-sparing agent in a significant proportion of patients with severe
asthma. The specific asthma phenotype/endotype that responds needs further study.
PMID- 26557241
TI - The launch of the European Clinical Respiratory Journal, the scientific forum of
the Nordic Respiratory Academy.
PMID- 26557242
TI - Feasibility of a telecare solution for patients admitted with COPD exacerbation:
screening data from a pulmonary ward in a university hospital.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is a major cause of
morbidity and mortality. Furthermore, the prevalence of COPD is increasing, and
it places an increasing burden on health care systems worldwide. Therefore, there
is a growing interest in home telecare solutions that can help patients manage
their disease at home and thereby possibly reduce the risk of readmission.
PURPOSE: The primary aim of this study is to assess the feasibility of a
telehealth care solution when offered in connection with discharges from a
pulmonary ward at a university hospital. Secondary aims are to assess the reasons
for the exclusion of patients, and the reasons for patients not consenting to
participate, as well as to identify the predictors for consenting or not
consenting among the subgroup of eligible patients. METHODS: In this study, all
data in the screening log were collected over a period of 10 months. RESULTS: A
total of 462 patients admitted with an acute exacerbation in COPD (AECOPD) were
screened. Almost 70% of the patients were excluded, and 49% of the eligible
patients did not consent. Thus, only 15.6% of the screened patients were
included. No significant differences were found regarding known risk factors of
readmission between the eligible patients, who were included, and those who did
not consent. The only significant difference was that more patients in the group
that consented are being followed up in our outpatient clinic, notably 84% versus
55.7% (p<0.001), suggesting that this telehealthcare solution is 25 more
appealing to those patients who are already being followed up in the outpatient
clinic. CONCLUSION: These findings emphasize the importance of designing telecare
solutions that allow for the inclusion of the actual population of patients
admitted with AECOPD.
PMID- 26557243
TI - Are patients with COPD treated with NIV in accordance with national guidelines?
An internal audit.
AB - INTRODUCTION: Non-invasive ventilation (NIV) as an add-on modality to medical
treatment has been recommended in national guidelines for patients acutely
admitted with chronic obstructive pulmonary disorder (COPD) exacerbation and
hypercapnic respiratory failure. To address concerns regarding whether NIV is
used appropriately, we conducted an audit of COPD patients admitted to a
university hospital in Denmark. MATERIAL AND METHODS: Data from medical records
were retrieved for two cohorts in 2010: 1) all patients admitted to the Medical
Emergency Ward with the diagnosis of COPD, and 2) all patients receiving NIV
regardless of their diagnosis at the Respiratory Ward. Demographic data and
outcome of treatment were registered. RESULTS: Cohort 1 comprised 804 admissions
fulfilling criteria for COPD at evaluation, and of the 804 admissions, NIV was
initiated in 151 (18.7%) admissions. In 42 additional cases (5.2%), initial mild
respiratory acidosis was registered at admission, fulfilling criteria for NIV
treatment; and, in 36 cases, the clinical status was reported as improved or not
reported at all; no deaths were observed. In cohort 2, 124 admissions were
registered that comprised 110 admissions with COPD and 14 without a diagnosis of
COPD (of which half had a 'not-to-intubate' order). The indication for NIV
treatment was met in 92.7% of the COPD admissions. CONCLUSION: NIV was initiated
in 18.8% of the COPD admissions, and in an additional 5.2%, NIV criteria were met
without initiation. In 82.3% of the admissions receiving NIV, a COPD diagnosis
and correct criteria for NIV treatment were met.
PMID- 26557240
TI - Techniques of assessing small airways dysfunction.
AB - The small airways are defined as those less than 2 mm in diameter. They are a
major site of pathology in many lung diseases, not least chronic obstructive
pulmonary disease (COPD) and asthma. The small airways are frequently involved
early in the course of these diseases, with significant pathology demonstrable
often before the onset of symptoms or changes in spirometry and imaging. Despite
their importance, they have proven relatively difficult to study. This is in part
due to their relative inaccessibility to biopsy and their small size which makes
their imaging difficult. Traditional lung function tests may only become abnormal
once there is a significant burden of disease within them. This has led to the
term 'the quiet zone' of the lung. In recent years, more specialised tests have
been developed which may detect these changes earlier, perhaps offering the
possibility of earlier diagnosis and intervention. These tests are now moving
from the realms of clinical research laboratories into routine clinical practice
and are increasingly useful in the diagnosis and monitoring of respiratory
diseases. This article gives an overview of small airways physiology and some of
the routine and more advanced tests of airway function.
PMID- 26557244
TI - Meta-analysis of routine blood tests as predictors of mortality in COPD.
AB - OBJECTIVE: The purpose of this study was to examine whether routine blood tests
can be useful in predicting mortality in COPD patients. METHODS: Eligible studies
were found through a search conducted in the PubMed and Embase databases, the
Cochrane Library, and the Web of Knowledge. Twelve studies were included for the
meta-analysis of five biochemical markers. Pooled odds ratios (ORs), matching 95%
confidence intervals (CIs), and p-values for each of the biochemical markers were
calculated using the random effect model. RESULTS: The following four examined
biochemical markers were shown to be associated with mortality in patients
suffering from COPD: anemia (OR=2.62, 95% CI: 1.60; 4.29, p=0.01),
hypoalbuminemia (OR=2.90, 95% CI: 1.56; 5.40, p=0.0008), elevated NT-proBNP
(OR=7.54, 95% CI: 4.04; 14.10, p<0.00001), and elevated cardiac troponin T
(OR=3.10, 95% CI: 1.11; 8.25, p=0.03). hs-CRP was not found to be associated with
increased mortality. CONCLUSION: In this study, we found that anemia,
hypoalbuminemia, elevated NT-proBNP, and elevated cardiac troponin T were
associated with increased mortality in patients suffering from COPD.
PMID- 26557245
TI - Defining severe asthma - an approach to find new therapies.
AB - Asthma is a chronic inflammatory disease that has reached epidemic proportions
worldwide. It is treatable in the majority of patients, but there is no cure.
Moreover, a proportion of patients suffer from severe, difficult-to-control
disease with daily symptoms and high morbidity, making it imperative that we
continue to improve our understanding of the underlying mechanisms of this
disease. Severe asthma is a heterogeneous condition. A systematic approach to
identify specific asthma phenotypes, including clinical characteristics and
inflammatory processes, is the first step toward individualized, logical therapy.
This review focuses on the need to characterize severe asthma phenotypes and on
novel, targeted molecular treatment options currently under development.
PMID- 26557246
TI - Interactions between alveolar epithelial cells and neutrophils under pro
inflammatory conditions.
AB - BACKGROUND: Intercellular communication is essential for defense and survival of
the organism. The aim of the study was to find out whether there is an active
crosstalk between airway cells constituting the first line of defense, alveolar
epithelial cells (A549) and neutrophils, following activation with pro
inflammatory stimuli in vitro and to explore whether this communication is
altered in chronic obstructive pulmonary disease (COPD), a condition
characterized by chronic airway and lung inflammation. METHODS: Blood neutrophils
from healthy subjects and COPD patients were co-cultured with A549 cells in pure
medium and in medium containing lipopolysaccharide (LPS), peptidoglycan (PGN), or
tumor necrosis factor. The expression of Toll-like receptor 2 (TLR2), Toll-like
receptor 4 (TLR4), and CD14 on the cell surface of neutrophils was assessed by
flow cytometry, and release of CXCL8 (IL-8) and the soluble CD14 (sCD14) was
measured in the supernatant with enzyme-linked immunosorbent assay (ELISA).
RESULTS: On neutrophils, the surface expression of TLR2 was diminished following
activation with all three pro-inflammatory stimuli, and membrane bound (mCD14)
and TLR4 expression were significantly increased in co-cultures compared to
single cell cultures, irrespective of pro-inflammatory stimulation. There was a
correlation between CXCL8 and sCD14 in LPS-stimulated co-cultured cells (r=0.82;
p<0.01). CONCLUSION: An active crosstalk between A549 cells and blood neutrophils
was clearly demonstrated, both in unstimulated cells and following activation
with pro-inflammatory stimuli, in vitro. Co-culturing implied synergy and
correlation between LPS-induced release of sCD14 and CXCL8, which indicates that
sCD14 may be donated by neutrophils to epithelial cells facilitating TLR4
signaling. Furthermore, TLR2 on neutrophils was found to be down-regulated by pro
inflammatory stimuli.
PMID- 26557248
TI - Fabry disease, respiratory symptoms, and airway limitation - a systematic review.
AB - BACKGROUND: Fabry disease is an X-linked disorder caused by a deficiency of the
lysosomal enzyme alpha-galactosidase A, resulting in accumulation of
glycosphingolipids in multiple organs, primarily heart, kidneys, skin, CNS, and
lungs. MATERIALS AND METHOD: A systematic literature search was performed using
the PubMed database, leading to a total number of 154 hits. Due to language
restriction, this number was reduced to 135; 53 papers did not concern Fabry
disease, 19 were either animal studies or gene therapy studies, and 36 papers did
not have lung involvement in Fabry disease as a topic. The remaining 27 articles
were relevant for this review. RESULTS: The current literature concerning lung
manifestations describes various respiratory symptoms such as dyspnoea or
shortness of breath, wheezing, and dry cough. These symptoms are often related to
cardiac involvement in Fabry disease as respiratory examinations are seldom
performed. Pulmonary function tests primarily show obstructive airway limitation,
but a few articles also report of patients with restrictive limitation and a
mixture of both. No significant association has been found between smoking and
the development of symptoms or spirometry abnormalities in patients with Fabry
disease. Electron microscopy of lung biopsy and induced sputum show lamellar
inclusion bodies (Zebra bodies) in the cytoplasm of cells in the airway wall. X
ray and CT scan have shown patchy ground-glass pulmonary infiltrations, fibrosis,
and air trapping. Fibrosis diagnosed by high-resolution CT has not been
significantly correlated with lung spirometry. CONCLUSION: Consistent findings
have not been shown in the current literature. Pulmonary function tests and
registration of symptoms showed various results; however, there is a trend
towards obstructive airway limitation in patients with Fabry disease. Further
studies are needed to evaluate pathogenesis, progression, and the effects of
treatment.
PMID- 26557249
TI - Cigarette smoke-induced induction of antioxidant enzyme activities in airway
leukocytes is absent in active smokers with COPD.
AB - BACKGROUND: Oxidative injury to the airway has been proposed as an important
underlying mechanism in the pathogenesis of chronic obstructive pulmonary disease
(COPD). As the extent of oxidant-mediated damage is dependent on the endogenous
antioxidant defences within the airways, we examined whether COPD was associated
with deficiencies in the antioxidant network within the respiratory tract lining
fluids (RTLFs) and resident airway leukocytes. We hypothesised that COPD would be
associated with both basal depression of antioxidant defences and impaired
adaptive antioxidant responses to cigarette smoke. METHODS: Low molecular weight
and enzymatic antioxidants together with metal-handling proteins were quantified
in bronchoalveolar lavage fluid and airway leukocytes, derived from current (n=9)
and ex-smoking COPD patients (n=15), as well as from smokers with normal lung
function (n=16) and healthy never smokers (n=13). RESULTS: Current cigarette
smoking was associated with an increase in ascorbate and glutathione within
peripheral RTLFs in both smokers with normal lung function compared with healthy
never smokers and in COPD smokers compared with COPD ex-smokers. In contrast,
intra-cellular antioxidant enzyme activities (glutathione peroxidase, glutathione
reductase, and catalase) were only up-regulated in smokers with normal lung
function compared with healthy never smokers and not in actively smoking COPD
patients relative to COPD ex-smokers. CONCLUSIONS: We found no evidence of
impaired basal antioxidant defences, within either the RTLFs or airway leukocytes
in stable ex-smoking COPD patients compared with healthy never smoking controls.
Current cigarette smoking induced an up-regulation of low molecular weight
antioxidants in the RTLFs of both control subjects with normal lung function and
patients with COPD. Importantly, the present data demonstrated a cigarette smoke
induced increase in intra-cellular antioxidant enzyme activities only within the
smokers with normal lung function, implying that patients with COPD who continue
to smoke will experience enhanced oxidative stress, prompting disease
progression.
PMID- 26557250
TI - Reference values for spirometry - report from the Obstructive Lung Disease in
Northern Sweden studies.
AB - BACKGROUND: Abnormal lung function is commonly identified by comparing observed
spirometric values to corresponding reference values. It is recommended that such
reference values for spirometry are evaluated and updated frequently. The aim of
this study was to estimate new reference values for Swedish adults by fitting a
multivariable regression model to a healthy non-smoking general population sample
from northern Sweden. Further aims were to evaluate the external validity of the
obtained reference values on a contemporary sample from south-western Sweden, and
to compare them to the Global Lung Function Initiative (GLI) reference values.
METHOD: Sex-specific multivariable linear regression models were fitted to the
spirometric data of n=501 healthy non-smoking adults aged 22-91 years, with age
and height as predictors. The models were extended to allow the scatter around
the outcome variable to depend on age, and age-dependent spline functions were
incorporated into the models to provide a smooth fit over the entire age range.
Mean values and lower limits of normal, defined as the lower 5th percentiles,
were derived. RESULT: This modelling approach resulted in unbiased estimates of
the spirometric outcomes, and the obtained estimates were appropriate not only
for the northern Sweden sample but also for the south-western Sweden sample. On
average, the GLI reference values for forced expiratory volume in one second
(FEV1) and, in particular, forced expiratory vital capacity (FVC) were lower than
both the observed values and the new reference values, but higher for the
FEV1/FVC ratio. CONCLUSION: The evaluation based on the sample of healthy non
smokers from northern Sweden show that the Obstructive Lung Disease in Northern
Sweden reference values are valid. Furthermore, the evaluation based on the south
western Sweden sample indicates a high external validity. The comparison with GLI
brought further evidence to the consensus that, when available, appropriate local
population-specific reference values may be preferred.
PMID- 26557251
TI - Diagnostic work-up in patients with possible asthma referred to a university
hospital.
AB - OBJECTIVE: The best strategy for diagnosing asthma remains unclear. Accordingly,
the aim of this study was to evaluate diagnostic strategies in individuals with
possible asthma referred to a respiratory outpatient clinic at a university
hospital. METHODS: All individuals with symptoms suggestive of asthma referred
over 12 months underwent spirometry, bronchodilator reversibility test, Peak
expiratory flow rate (PEF) registration, and bronchial challenge test with
methacholine and mannitol on three separate days. The results of these tests were
compared against an asthma diagnosis based on symptoms, presence of atopy and
baseline spirometry made by a panel of three independent respiratory specialists.
RESULTS: Of the 190 individuals examined, 63% (n=122) were classified as having
asthma. Reversibility to beta2-agonist had the lowest sensitivity of 13%, whereas
airway hyperresponsiveness to methacholine had the highest (69%). In contrast,
specificity was the highest for reversibility testing (93%), whereas methacholine
had the lowest specificity (57%). The combination of reversibility, peak-flow
variability, and methacholine yielded a cumulative sensitivity of 78%, albeit a
specificity of 41%. In comparison, a combination of reversibility and mannitol
resulted in a specificity of 82% and a sensitivity of 42%. CONCLUSION: In this
real-life population, different diagnostic test combinations were required to
achieve a high specificity for diagnosing asthma and a high sensitivity,
respectively: Our findings suggest that the diagnostic test approach should be
based on whether the aim is to exclude asthma (high sensitivity required) or
confirm a diagnosis of asthma (high specificity required).
PMID- 26557252
TI - Improved asthma control in patients with severe, persistent allergic asthma after
12 months of nightly temperature-controlled laminar airflow: an observational
study with retrospective comparisons.
AB - INTRODUCTION: Continuous or episodic allergen exposure is a major risk factor of
frequent symptoms and exacerbations for patients with allergic asthma. It has
been shown that temperature-controlled laminar airflow (TLA) significantly
reduced allergen exposure and airway inflammation and improved quality of life of
patients with poorly controlled allergic asthma. OBJECTIVE: The objective was to
evaluate the effects of nighttime TLA when used during real-life conditions for
12 consecutive months in addition to the patients' regular medication. METHODS:
This multicenter, pre- and postretrospective observational study included
patients with inadequately controlled moderate-to-severe allergic asthma who
received add-on treatment with TLA for 12 consecutive months. Data on medication
use, asthma control, asthma symptoms, lung function, use of hospital resources,
and exacerbations were collected after 4 and 12 months and compared with
corresponding data collected retrospectively from medical records during the year
prior to inclusion in the study. RESULTS: Data from 30 patients (mean age 28;
range 8-70) completing 4 months and 27 patients completing 12 months of TLA use
are presented. The mean number of exacerbations was reduced from 3.6 to 1.3
(p<0.0001), and the ratio of asthma-related emergency room visits or
hospitalizations diminished from 72.4 to 23.3% (p=0.001) or from 44.8 to 20.0%
(p<0.05), respectively, after 12 months of TLA use. The Asthma Control Test index
increased from 14.1 to 18.5 (p<0.0001). After 4 months of TLA use, clear
improvements can be shown for most variables in line with the data collected
after 12 months. CONCLUSIONS: The addition of TLA to the patients' regular
medication significantly reduced exacerbations, asthma symptoms, and the
utilization of hospital resources. The data support that TLA may be an important
new non-pharmacological approach in the management of poorly controlled allergic
asthma.
PMID- 26557247
TI - Exploring the origins of asthma: Lessons from twin studies.
AB - This thesis explores the contribution of twin studies, particularly those studies
originating from the Danish Twin Registry, to the understanding of the aetiology
of asthma. First, it is explored how twin studies have established the
contribution of genetic and environmental factors to the variation in the
susceptibility to asthma, and to the variation in several aspects of the clinical
expression of the disease such as its age at onset, its symptomatology, its
intermediate phenotypes, and its relationship with other atopic diseases. Next,
it is explored how twin studies have corroborated theories explaining asthma's
recent increase in prevalence, and last, how these fit with the explanations of
the epidemiological trends in other common chronic diseases of modernity.
PMID- 26557253
TI - Pharmacological treatment of idiopathic pulmonary fibrosis - preclinical and
clinical studies of pirfenidone, nintedanib, and N-acetylcysteine.
AB - Three recent clinical trials on the pharmacologic treatment of idiopathic
pulmonary fibrosis (IPF) mark a new chapter in the management of patients
suffering from this very severe fibrotic lung disease. This review article
summarizes the published investigations on the preclinical studies of three novel
IPF drugs, namely pirfenidone, nintedanib, and N-acetylcysteine (NAC). In
addition, the study protocols, differences, and the main findings in the recent
clinical trials of these pharmacological treatments are reviewed. The strategy
for drug development and the timeline from the discovery to the clinical use have
been very different in these regimens. Pirfenidone was discovered in 1976 but
only recently received approval in most countries, and even now its exact
mechanism of action is unknown. On the contrary, nintedanib (BIBF1120) was
identified in large drug screening tests as a very specific inhibitor of certain
tyrosine kinases, but no published data on preclinical tests existed until 2014.
NAC, a mucolytic drug with an antioxidant mechanism of action was claimed to
possess distinct antifibrotic properties in several experimental models but
proved to be ineffective in a recent randomized placebo-controlled trial. At
present, no curative treatment is available for IPF. A better understanding of
the molecular mechanisms of IPF as well as relevant preclinical tests including
animal models and in vitro experiments on human lung cells are needed to promote
the development of therapeutic drugs.
PMID- 26557254
TI - Idiopathic fibrotic lung disease at a university hospital setting: management and
prognostic factors.
AB - BACKGROUND: Idiopathic fibrosing interstitial pneumonia consists of many
subtypes, most associated with a poor prognosis. The aim of the study was to
evaluate diagnostic procedures and treatment as well as survival in patients with
idiopathic fibrosing interstitial pneumonia. METHODS: This study comprised 175
patients with idiopathic fibrosing interstitial pneumonia (ICD 10 code J84) that
had been diagnosed at Uppsala University Hospital, during 2005 to 2012. Patient
records were reviewed concerning: gender, age, smoking, occupational exposure,
comorbidities, procedures, lung function, and treatment. Information on survival
and cause of death was collected. RESULTS: A total of 98% had been examined with
computed tomography, 93% with spirometry, 49% with measurement of diffusion
capacity, 48% with bronchoalveolar lavage, and 23% with lung biopsy. Prednisolone
had been prescribed to 74% while N-acetylcysteine (NAC) and omeprazole were
prescribed to 54%, respectively. Five-year survival was 46%. Mortality was
associated with high age, low diffusion capacity, and the use of NAC. CONCLUSION:
High age and a low diffusion capacity are related to shorter survival in
idiopathic fibrosing interstitial pneumonia. We also unexpectedly found that the
use of NAC was related to shorter survival. A relatively low proportion of the
patients were examined with diffusion capacity measurement. Thus, there is a
possibility to improve diagnostic procedures and thereby improve estimation of
prognosis in fibrotic lung disease.
PMID- 26557257
TI - Genetics of asthma: an introduction for the clinician.
AB - Asthma runs in families, and children of asthmatic parents are at increased risk
of asthma. Prediction of disease risk is pivotal for the clinician when
counselling atopic families. However, this is not always an easy task bearing in
mind the vast and ever-increasing knowledge about asthma genetics. The advent of
new genotyping technologies has made it possible to sequence in great detail the
human genome for asthma-associated variants, and accordingly, recent decades have
witnessed an explosion in the number of rare and common variants associated with
disease risk. This review presents an overview of methods and advances in asthma
genetics in an attempt to help the clinician keep track of the most important
knowledge in the field.
PMID- 26557256
TI - High prevalence of rhinitis symptoms without allergic sensitization in Estonia
and Finland.
AB - OBJECTIVES: Allergic rhinitis and atopy are more common in urban than rural
environments. Non-allergic rhinitis has not been studied to a great extent. We
aimed to assess the relationship of rhinitis symptoms with different profiles of
allergic sensitization, comparing this in rural and urban environments. METHODS:
The study population consisted of population-based cohorts of adults aged 26-60
from Helsinki, Finland, and rural Saaremaa and urban Tallinn, Estonia. We
compared the results of a structured interview and skin prick tests and assessed
the risk factors for rhinitis. RESULTS: The prevalence of rhinitis symptoms with
atopy was 32.7% in Helsinki, 20.8% in Tallinn, and 12.5% in Saaremaa (p<0.001).
Rhinitis symptoms without atopy were found in 26.4%, 29.8%, and 29.3% (p=n.s.),
respectively. In Helsinki, 87.3% of participants with atopy identified symptoms
as allergic, compared to 57.0% in Tallinn and 47.5% in Saaremaa. Childhood in the
countryside (OR 0.63), family history of allergic rhinitis (OR 1.89), and
polysensitization (OR 15.99) were significantly associated with rhinitis symptoms
with atopy in a multivariate logistic regression model. The most common
sensitizers were pollens and animals in Helsinki and mites in Estonia. Exposure
to environmental tobacco smoke (OR 1.50) and family history of allergic rhinitis
(OR 1.70) were associated with rhinitis symptoms without atopy. CONCLUSION:
Rhinitis symptoms without allergic sensitization were common in both Finland and
Estonia and were associated with environmental tobacco smoke. Family history of
allergic rhinitis predisposed to rhinitis symptoms irrespective of atopy status.
PMID- 26557255
TI - Pharmacology of novel treatments for COPD: are fixed dose combination LABA/LAMA
synergistic?
AB - Bronchodilators are mainstay for the symptomatic treatment of chronic obstructive
pulmonary disease (COPD) and the introduction of long-acting bronchodilators has
led to an improvement in the maintenance treatment of this disease. Various
clinical trials have evaluated the effects of fixed dose long-acting beta2
agonists (LABA)/long-acting anti-muscarinics (LAMA) combinations and documented
greater improvements in spirometry but such improvements do not always translate
to greater improvements in symptom scores or reduction in the rates of
exacerbation compared with a single component drug. An analysis of whether this
significantly greater change in spirometry with combination therapy is additive
or synergistic was undertaken and is the subject of this review. Bronchodilators
are not disease modifiers and whilst glucocorticosteroids have been shown to
reduce rates of exacerbation in moderate to severe COPD, the increase risk of
pneumonia and bone fractures is a motivation enough to warrant developing novel
anti-inflammatory and disease-modifying drugs and with the expectation of
positive outcomes.
PMID- 26557258
TI - A retrospective pilot study of the use of a new algorithm to improve quality
control in bronchodilator studies.
AB - Reversibility testing is used to identify a positive or negative response to
bronchodilators. Results from a reversibility test can not only support a
diagnosis of asthma but can alter a patient's treatment plan, so its clinical
importance should not be understated. With multiple guidelines published
classifying a 'positive response' it becomes unclear on how to categorise certain
individuals. This study looks into the discrepancies between the guidelines, and
introduces a new algorithm to help clinicians. This retrospective pilot study was
completed across four hospitals in South Wales. Data were collected from a total
of 117 patients referred for a reversibility study during November 2013 and April
2014. An algorithm was created to improve flow-volume loop (FVL) quality control
when assessing airways bronchodilation in symptomatic patients. Each patient
result was placed through four major reversibility guidelines [British Thoracic
Society (BTS), National Institute for Clinical Excellence (NICE), Association for
Respiratory Technology Physiologists (ARTP) and Global Lung Initiative (GLI)] and
the new algorithm. When comparing published guidelines, 75% of patients would
receive the same bronchodilator response decision, positive or negative,
irrespective of the guideline followed. Variability between the numbers of
positive responders in each guideline varied by up to 58%, with NICE found to
give the least number of positive responses (7%), and BTS giving the greatest
(65%). Using the new algorithm, over one third (38%) of patients required a
repeat FVL, as baseline and/or post-bronchodilator FVLs did not meet the quality
control specification. Further investigation is needed to establish the clinical
impact of the new algorithm, and its approach to using the whole of the FVL in
bronchodilator analysis; however, quality control during reversibility testing
needs to be improved to ensure that bronchodilator responses are correctly
identified.
PMID- 26557259
TI - Organisation of diagnosis and treatment of idiopathic pulmonary fibrosis and
other interstitial lung diseases in the Nordic countries.
AB - INTRODUCTION: Differences in the organisation of idiopathic pulmonary fibrosis
(IPF) and interstitial lung diseases (ILDs) in the Nordic countries are not well
described. Diagnostic setups, treatment modalities and follow-up plans may vary
due to national, cultural and epidemiological features. The aim of the present
study was to describe the different organisation of diagnostics and treatment of
IPF and ILD in the Nordic countries. METHODS: All university and regional
hospitals with respiratory physicians were invited to respond to a questionnaire
collecting data on the number of physicians, nurses, patients with ILD/IPF, the
presence of and adherence to disease-specific national and international
guidelines, diagnosis and treatment including ILD-specific palliation and
rehabilitation programmes. RESULTS: Twenty-four university and 22 regional
hospitals returned the questionnaire. ILD and IPF incidence varied between 1.4
and 20/100,000 and 0.4 and 10/100,000, respectively. Denmark and Estonia have
official national plans for the organisation of ILD. The majority of patients are
managed at the university hospitals. The regional hospitals each manage 46 (5
200) patients with ILD and 10 (0-20) patients with IPF. There are from one to
four ILD centres in each country with a median of two ILD specialists employed.
Specialised ILD nurses are present in nine hospitals. None of the Nordic
countries have national guidelines made by health authorities. The respiratory
societies in Sweden, Norway and Denmark have developed national guidelines. All
hospitals except two use the ATS/ERS/JRS/ALAT IPF guidelines from 2011. The
limited number of ILD specialists, ILD-specialised radiologists and pathologists
and the low volume of ILD centres were perceived as bottlenecks for
implementation of guidelines. Twenty of the 24 university hospitals have
multidisciplinary conferences (MDCs). Pulmonologists and radiologists take part
in all MDCs while pathologists only participate at 17 hospitals. Prescription of
pirfenidone is performed by all university hospitals except in Estonia. Triple
therapy with steroid, azathioprine and N-acetylcysteine is not used. No hospitals
have specific palliation programmes for patients with ILD/IPF, but 36 hospitals
have the possibility of referring patients for palliative care, mostly based on
existing oncology palliative care teams; seven hospitals have rehabilitation
programmes for ILD. CONCLUSION: There are obvious differences between the
organisations of ILD patients in the Nordic countries. We call for national plans
that consider the challenge of cultural and geographical differences and suggest
the establishment of national reference centres and satellite collaborative
hospitals to enable development of common guidelines for diagnostics, therapy and
palliation in this patient group.
PMID- 26557260
TI - Rituximab-induced interstitial lung disease: five case reports.
AB - Rituximab (RTX), a mouse/human chimeric anti-CD20 IgG1 monoclonal antibody has
been effectively used as a single agent or in combination with chemotherapy
regimen to treat lymphoma since 1997. In addition, it has been used to treat
idiopathic thrombocytopenic purpura, systemic lupus erythematous, rheumatoid
arthritis, and autoimmune hemolytic anemia. Recently, RTX has also been suggested
for the treatment of certain connective tissue disease-related interstitial lung
diseases (ILD) and hypersensitivity pneumonitis. Rare but serious pulmonary
adverse reactions are reported. To raise awareness about this serious side effect
of RTX treatment, as the indication for its use increases with time, we report
five cases of probable RTX-ILD and discuss the current literature on this
potentially lethal association.
PMID- 26557261
TI - Kinetics of TH2 biomarkers in sputum of asthmatics following inhaled allergen.
AB - BACKGROUND: Allergen-induced late airway response offers important
pharmacodynamic targets, including T helper 2 (TH2) biomarkers. However,
detection of inflammatory markers has been limited in dithiothreitol-processed
sputum. OBJECTIVES: To test whether allergen-induced TH2 inflammatory markers can
be reproducibly quantified by sensitive detection techniques in ultracentrifuged
sputum and the effect of fluticasone (FP) on these endpoints. METHODS: Thirteen
allergic asthmatics with dual allergen-induced airway responses, documented
during a single-blind placebo run-in period, participated in a double-blind, two
period crossover study. Each period consisted of three consecutive days,
separated by >=3 weeks. Following randomization, subjects inhaled FP (500 ug bid,
five doses total) or placebo. On Day 2 in each study period, allergen challenge
was performed and airway response measured by forced expiratory volume in 1 sec
(FEV1) until 7 h post-challenge. Sputum was induced 24 h pre-allergen and 7 and
24 h post-allergen. Sputum samples were split into two portions: TH2 biomarkers
were quantified by Meso Scale multiplex platform following ultracentrifugation,
and cell differentials were counted on Giemsa-May-Grunwald-stained cytospins.
Allergen-induced changes in inflammatory endpoints were compared between FP and
placebo using a mixed model ANCOVA. RESULTS: Inhaled allergen induced dual airway
responses in all subjects during both placebo periods with reproducible late
asthmatic response (LAR) and increased sputum inflammatory biomarkers (IL-2, IL
4, IL-13, and eotaxin-1) and eosinophil counts. FP effectively blunted both the
LAR and the inflammatory biomarkers. CONCLUSIONS: Combining novel, sensitive
quantification methods with ultracentrifugation allows reproducible
quantification of sputum biomarkers following allergen challenge, reversed by FP.
This approach allows non-invasive identification of pharmacodynamic targets for
anti-asthma therapies.
PMID- 26557262
TI - Epidemiology and natural history of atopic diseases.
AB - The atopic diseases - atopic dermatitis, asthma, and hay fever - pose a great
burden to the individual and society, not least, since these diseases have
reached epidemic proportions during the past decades in industrialized and, more
recently, in developing countries. Whereas the prevalence of the atopic diseases
now seems to have reached a plateau in many Western countries, they are still on
the increase in the developing world. This emphasizes continuing research aimed
at identifying the causes, risk factors, and natural history of these diseases.
Herein, the fundamental aspects of the natural history and epidemiology of the
atopic diseases are reviewed.
PMID- 26557263
TI - Central arterial stiffness is increased among subjects with severe and very
severe COPD: report from a population-based cohort study.
AB - INTRODUCTION: Cardiovascular disease (CVD) is common in chronic obstructive
pulmonary disease (COPD) and is, as productive cough, related to poorer prognosis
in COPD. Central arterial stiffness is a marker of early atherosclerosis, but the
association between COPD, productive cough, and arterial stiffness as a possible
indicator of CVD is unclear. OBJECTIVES: To compare both arterial stiffness among
subjects with and without COPD and the impact of productive cough in a population
based cohort. METHODS: A population-based cohort, including 993 COPD and 993 non
COPD subjects, has been invited to annual examination since 2005. In 2010, 947
subjects, of which 416 had COPD (according to the GOLD spirometric criteria),
participated in examinations including structured interview, spirometry, and
measurements of central arterial stiffness as pulse wave velocity (PWV). RESULTS:
PWV was higher in GOLD 3-4 compared to non-COPD (10.52 vs. 9.13 m/s, p=0.042).
CVD and age >=60 were both associated with significantly higher PWV in COPD as
well as in non-COPD. In COPD, those with productive cough had higher PWV than
those without, significantly so in GOLD 1 (9.59 vs. 8.92 m/s, p=0.024). In a
multivariate model, GOLD 3-4 but not productive cough was associated with higher
PWV, when adjusted for sex, age group, smoking habits, blood pressure, CVD, and
pulse rate. CONCLUSIONS: GOLD 3-4, age >=60, and CVD were associated with
increased arterial stiffness, and also increased in COPD subjects with productive
cough compared to those without. Of importance, GOLD 3-4 but not productive cough
remained associated with increased central arterial stiffness when adjusted for
confounders.
PMID- 26557264
TI - Outcomes of acute exacerbations in COPD in relation to pre-hospital oxygen
therapy.
AB - BACKGROUND: Pre-hospital, high-concentration oxygen therapy during acute
exacerbation of chronic obstructive pulmonary disease (AECOPD) has been
associated with increased mortality. Recent COPD guidelines have encouraged
titrated oxygen therapy with a target saturation range of 88-92%. Oxygen therapy
leading to saturation above 92% is defined as 'inappropriate oxygen therapy'.
OBJECTIVES: To examine the frequency of inappropriate oxygen therapy and whether
inappropriate oxygen therapy in the ambulance in an urban area with short transit
time to hospital was associated with poor outcome. METHODS: In an audit of 405
consecutive patients with AECOPD arriving by ambulance to Hvidovre Hospital,
details of transit time, oxygen administration, saturation, and arterial blood
gases were registered. Outcomes were respiratory acidosis, need of supported
ventilation, length of hospitalisation, and in-hospital mortality. RESULTS: Only
15 patients were not treated with oxygen and information on oxygen flow was
missing in seven patients and on saturation on one patient. Altogether, 352
(88.7%) of 397 patients received inappropriate oxygen therapy. Patients on
'inappropriate oxygen therapy' (saturation >=92%) had a high frequency of
respiratory acidosis at hospital admission, 108 (33.5%) of 324 patients, length
of stay was on average 5.1 days, 12.5% of the patients needed ventilatory
support, and in-hospital mortality was 3.4%. CONCLUSION: The majority of patients
with AECOPD received inappropriate oxygen therapy in the ambulance, but their
need of ventilatory support, length of stay, and mortality were low. Randomised
studies are needed to clarify the optimal pre-hospital oxygen therapy.
PMID- 26557265
TI - Ensemble Supervised Classification Method Using the Regions of Interest and Grey
Level Co-Occurrence Matrices Features for Mammograms Data.
AB - BACKGROUND: Breast cancer is one of the most encountered cancers in women.
Detection and classification of the cancer into malignant or benign is one of the
challenging fields of the pathology. OBJECTIVES: Our aim was to classify the
mammogram data into normal and abnormal by ensemble classification method.
PATIENTS AND METHODS: In this method, we first extract texture features from
cancerous and normal breasts, using the Gray-Level Co-occurrence Matrices (GLCM)
method. To obtain better results, we select a region of breast with high
probability of cancer occurrence before feature extraction. After features
extraction, we use the maximum difference method to select the features that have
predominant difference between normal and abnormal data sets. Six selected
features served as the classifying tool for classification purpose by the
proposed ensemble supervised algorithm. For classification, the data were first
classified by three supervised classifiers, and then by simple voting policy, we
finalized the classification process. RESULTS: After classification with the
ensemble supervised algorithm, the performance of the proposed method was
evaluated by perfect test method, which gave the sensitivity and specificity of
96.66% and 97.50%, respectively. CONCLUSIONS: In this study, we proposed a new
computer aided diagnostic tool for the detection and classification of breast
cancer. The obtained results showed that the proposed method is more reliable in
diagnostic to assist the radiologists in the detection of abnormal data and to
improve the diagnostic accuracy.
PMID- 26557266
TI - Giant Organized Hematoma Originating From the Inferior Turbinate.
AB - We reported CT of a case of giant organized hematoma originating from the
inferior turbinate without any paranasal sinuses involvement, with an emphasis on
different patterns of enhancement of the tumor on enhanced CT scans. This case
was exceptional because of unusual location and difficulties in diagnosis.
Moreover, organized hematoma of nasal cavity should be considered in the
differential diagnosis of a unilateral, huge and easy bleeding lesion in the
nasal cavity. A high clinical suspicion based on endoscopic and radiologic
findings plays an important role to make an accurate diagnosis.
PMID- 26557267
TI - An Experimental Study to Determine the Role of Inferior Vena Cava Filter in
Preventing Bone Cement Implantation Syndrome.
AB - BACKGROUND: Inferior vena cava filters (IVCF) are frequently used for preventing
pulmonary embolism (PE) following deep venous thromboembolism. OBJECTIVES: The
present study was designed to investigate whether IVCF could prevent or impede
the occurrence of bone cement implantation syndrome (BCIS), since PE is
considered as the central mechanism of BCIS. MATERIALS AND METHODS: Fifteen sheep
were divided into three groups: bone cement free (BCF) group, cement implantation
(CI) group and IVCF group. In all the groups, an osteotomy proximal to the
greater trochanter of left femur was carried out. In BCF group, the femoral canal
was not reamed out or packed with any bone cement. In CI and IVCF groups, the
left femoral canals were packed with bone cement, to simulate the cementing
procedures carried out in hip replacement. An OptEase((r)) filter was placed and
released in inferior vena cava, prior to packing cement in the femoral canal in
IVCF group, while the IVCF was not released in the CI group. The BCF group was
considered as control. RESULTS: Systolic blood pressure (SBP), saturation of
oxygen (SaO2) and partial pressure of carbon dioxide (PaCO2) declined
significantly 10 min after the bone cement packing, in CI group, compared to
those in BCF group. This was accompanied by a rise in the arterial pH. However,
IVCF prevented those changes in the CI group. On ultrasonography, there were
dotted echoes in right atrium in the CI group, after bone cement packing, while
such echoes were hardly seen in the IVCF group. CONCLUSION: This study
demonstrates that IVCF could prevent BCIS effectively, and, as a corollary,
suggests that PE represents the leading cause of the constellation of BCIS
symptoms.
PMID- 26557268
TI - Twin Reversed Arterial Perfusion (TRAP) Sequence; Characteristic Gray-Scale and
Doppler Ultrasonography Findings.
AB - Twin reversed arterial perfusion (TRAP) sequence is a syndrome with poor
prognosis, seen only in monochorionic monozygotic twin pregnancies. The incidence
is one in 35.000 births and one in 100 monozygotic twin pregnancies. It is
characterized with a recipient fetus exhibiting lethal anomalies including
acardia and a pump fetus. Mortality is usually due to heart failure or premature
labor caused by polyhydramnios of pump fetus. Herein, we report a case of TRAP
sequence that emphasizes the importance of gray-scale and color Doppler imaging
in the diagnosis and management of TRAP sequence.
PMID- 26557269
TI - Characterization of Soft Tissue Tumors by Diffusion-Weighted Imaging.
AB - BACKGROUND: Diffusion-weighted imaging (DWI) is a noninvasive method for
investigation of tumor histological content. It has been applied for some
musculoskeletal tumors and reported to be useful. OBJECTIVES: The aim of the
present study was to prospectively evaluate the apparent diffusion coefficient
(ADC) values of benign and malignant soft tissue tumors and to determine if ADC
can help differentiate these tumors. PATIENTS AND METHODS: DWI was performed on
25 histologically proven soft tissue masses. It was obtained with a single-shot
echo-planar imaging technique using a 1.5T magnetic resonance (MR) machine. The
mean ADC values were calculated. We grouped soft tissue tumors as benign cystic,
benign solid or mixed, malignant cystic and malignant solid or mixed tumors and
compared mean ADC values between these groups. RESULTS: There was only one
patient with a malignant cystic tumor and was not included in the statistical
analysis. The median ADC values of benign and malignant tumors were 2.31 +/- 1.29
and 0.90 +/- 0.70 (median +/- interquartile range), respectively. The mean ADC
values were different between benign and malignant tumors (P = 0.031). Benign
cystic tumors had significantly higher ADC values than benign solid or mixed
tumors and malignant solid or mixed tumors (p values were < 0.001 and 0.003,
respectively). Malignant solid or mixed tumors had lower ADC values than benign
solid or mixed tumors (P = 0.02). CONCLUSION: Our preliminary results have shown
that although there is some overlap between benign and malignant tumors, adding
DWI, MR imaging to routine soft tissue tumor protocols may improve diagnostic
accuracy.
PMID- 26557270
TI - Inferior Epigastric Artery Pseudoaneurysm Following Paracentesis in a Liver Graft
Recipient: A Case Report.
AB - Pseudoaneurysm happens when the artery wall is injured and the blood is contained
by the surrounding tissues with eventual formation of a fibrous sac communicating
with the artery. We report a case of a 39-year-old man with inferior epigastric
artery (IEA) pseudoaneurysm after paracentesis. The pseudoaneurysm was diagnosed
by Doppler ultrasound and treated by surgical intervention regarding the
patient's underlying comorbidity. IEA false aneurysm must be included in the
differential diagnosis during investigation of the cause of any swelling after
paracentesis. Cirrhotic patients may be more prone to this complication because
of thin rectus muscle that could not confine the hematoma.
PMID- 26557271
TI - Correlation of Shape and Size of Sella Turcica With the Type of Facial Skeletal
Class in an Iranian Group.
AB - BACKGROUND: In orthodontic science, diagnosis of facial skeletal type (class I,
II, and III) is essential to make the correct treatment plan that is usually
expensive and complicated. Sometimes results from analysis of lateral
cephalometry radiographies are not enough to discriminate facial skeletal types.
In this situation, knowledge about the relationship between the shape and size of
the sella turcica and the type of facial skeletal class can help to make a more
definitive decision for treatment plan. OBJECTIVES: The present study was
designed to investigate this relationship in patients referred to a dental school
in Iran. PATIENTS AND METHODS: In this descriptive-analytical study,
cephalometric radiographies of 90 candidates for orthodontic treatment (44
females and 46 males) with an age range of 14 - 26 years and equal distribution
in terms of class I, class II, and class III facial skeletal classification were
selected. The shape, length, diameter, and depth of the sella turcica were
determined on the radiographs. Linear dimensions were assessed by one-way
analysis of variance while the correlation between the dimensions and age was
investigated using Pearson's correlation coefficient. RESULTS: Sella turcica had
normal morphology in 24.4% of the patients while irregularity (notching) in the
posterior part of the dorsum sella was observed in 15.6%, double contour of
sellar floor in 5.6%, sella turcica bridge in 23.3%, oblique anterior wall in 20%
and pyramidal shape of the dorsum sella in 11.1% of the subjects. In total, 46.7%
of class I patients had a normal shape of sella turcica, 23.3% of class II
patients had an oblique anterior wall and a pyramidal shape of the dorsum sella,
and 43.3% of class III individuals had sella turcica bridge (the greatest
values). Sella turcica length was significantly greater in class III patients
compared to class II and class I (P < 0.0001). However, depth and diameter of
sella turcica were similar in class I, class II, and class III patients.
Furthermore, age was significantly correlated to the diameter of sella turcica as
greater diameters were observed in older ages (P < 0.04). CONCLUSION: A
significant relationship exists between the type of facial skeletal
classification and the shape of the sella turcica; as in class III patients,
sella turcica bridge was reported with a higher frequency. Also, sella turcica
had a significantly higher length in these patients than in those with class I
and class II facial skeletal types.
PMID- 26557272
TI - A Case of Complete Unroofed Coronary Sinus Syndrome Combined With Coronary Sinus
Stenosis Leading to Asymptomatic Presentation.
AB - We describe a patient with an asymptomatic complete unroofed coronary sinus (CS)
syndrome associated with the CS stenosis in the absence of a persistent left
superior vena cava (SVC) as identified on coronary computed tomography
angiography. There was a large defect between the CS and the left atrium (i.e. a
large left-to-right shunt), but an unusual combination of the absence of a
persistent left SVC (i.e. no risk for brain abscess due to the absence of a right
to-left shunt) and the CS stenosis (i.e. a markedly reduced degree of a left-to
right shunt), resulting in an asymptomatic presentation.
PMID- 26557273
TI - Developmental Venous Anomaly With Asymmetrical Basal Ganglia Calcification: Two
Case Reports and Review of the Literature.
AB - Developmental venous anomaly (DVA) is a common lesion formerly known as venous
angioma. DVAs drain normal brain parenchyma; however, parenchymal abnormalities
surrounding DVAs have been reported. Unilateral putamen and caudate calcification
in the drainage territory of DVAs has so far been reported in 7 cases, all with
deep venous drainage. We present two additional cases of DVAs, one with
superficial and the other one with deep venous drainage, associated with basal
ganglia calcifications. We emphasize that DVAs should be in the differential
diagnosis of unilateral basal ganglia calcifications.
PMID- 26557274
TI - Parapharyngeal Angiofibroma: A Case Report.
AB - Nasopharyngeal angiofibroma is a relatively uncommon vascular tumor affecting
adolescent males and it characteristically originates in the posterior lateral
wall of the nasopharynx. Primary extra-nasopharyngeal angiofibroma is very rare.
Here, I present a case of angiofibroma of the parapharyngeal space in a 53-year
old woman with CT and sonographic findings.
PMID- 26557275
TI - Retroperitoneal Cystic Malignant Fibrous Histiocytoma Mimicking a Psoas Abscess.
AB - Primary neoplasms in the psoas muscle including schwannoma and soft tissue
sarcoma with secondary cystic degeneration are rare entities. They are difficult
to distinguish from psoas abscess purely based on radiological findings.
Malignant fibrous histiocytoma (MFH) in the retroperitoneum is an uncommon entity
in contrast to liposarcoma and leiomyosarcoma. Psoas abscess is a common
infection in the retroperitoneum, especially in regions where tuberculosis is
endemic. In the current case, the patient presented with gradually progressive
lower abdominal pain and raised erythrocyte sedimentation rate (ESR), lymphocyte
count and sputum positive for acid fast bacilli. There was a presence of previous
history of skeletal tuberculosis. Imaging revealed well-defined multilocular
cystic lesion involving the left psoas muscle which along with the clinical
scenario suggested psoas abscess. However, post-operative biopsy showed the
lesion to be a MFH with extensive cystic degeneration. To the best of our
knowledge, cystic MFH mimicking an abscess has been previously reported only once
in an oncology literature.
PMID- 26557276
TI - Myocardial Metabolic Abnormality in a Primary Left Atrial Rhabdomyosarcoma:
Localized 1H MR Spectroscopy.
AB - We report a 73-year-old woman with primary left atrial rhabdomyosarcoma and its
1H MR spectroscopy (MRS) findings. The tumor showed a 2.8 cm sized lesion in the
posteroinferior atrial wall on MRI. 1H MR spectra were acquired using a point
resolved spectroscopy (PRESS) sequence with electrocardiographic (ECG) gating and
respiratory motion. The use of 1H-MRS allowed the quantification of triglyceride
(TG) peak groups at 0.9 and 1.3 ppm, and unsaturated group of lipids at 2.1 ppm,
creatine (Cr) at 3.0 ppm, and choline (Cho) at 3.2 ppm. The percentages of the
myocardial metabolites based on water-peak in the interventricular septum were TG
18.4%, Cr 1.6%, Cho 3.3% and unsaturated group 4.0%, whereas the rhabdomyosarcoma
showed TG 118.8%, unsaturated group 5.1%, Cr 1.3%, Cho 3.5% and the olefinic
components of fatty acid at 5.4 ppm 24.5%. This case demonstrates that 1H-MRS is
potentially useful to diagnose the rhabdomyosarcoma by quantifying the myocardial
metabolites which are important biomarkers for heart function and diseases.
PMID- 26557277
TI - Fluoroscopic Analysis of Tibial Translation in Anterior Cruciate Ligament Injured
Knees With and Without Bracing During Forward Lunge.
AB - BACKGROUND: Despite several studies with different methods, the effect of
functional knee braces on knee joint kinematics is not clear. Direct
visualization of joint components through medical imaging modalities may provide
the clinicians with more useful information. OBJECTIVES: In this study, for the
first time in the literature, video fluoroscopy was used to investigate the
effect of knee bracing on the sagittal plane kinematics of anterior cruciate
ligament (ACL) injured patients. PATIENTS AND METHODS: For twelve male unilateral
ACL deficient subjects, the anterior tibial translation was measured during lunge
exercise in non-braced and braced conditions. Fluoroscopic images were acquired
from the subjects using a digital fluoroscopy system with a rate of 10 fps. The
image of each frame was scaled using a calibration coin and analyzed in AutoCAD
environment. The angle between the two lines, tangent to the posterior cortexes
of the femoral and tibial shafts was measured as the flexion angle. For the
fluoroscopic images associated with 0 degrees , 15 degrees , 30 degrees , 45
degrees and 60 degrees knee flexion angles, the relative anterior-posterior
configuration of the tibiofemoral joint was assessed by measuring the position of
landmarks on the tibia and femur. RESULTS: Results indicated that the overall
anterior translations of the tibia during the eccentric (down) and concentric
(up) phases of lunge exercise were 10.4 +/- 1.7 mm and 9.0 +/- 2.2 mm for non
braced, and 10.1 +/- 3.4 mm and 7.4 +/- 2.5 mm, for braced conditions,
respectively. The difference of the tibial anterior-posterior translation
behaviors of the braced and non-braced knees was not statistically significant.
CONCLUSION: Fluoroscopic imaging provides an effective tool to measure the
dynamic behavior of the knee joint in the sagittal plane and within the
limitations of this study, the pure mechanical stabilizing effect of functional
knee bracing is not sufficient to control the anterior tibial translation of the
ACL deficient patients during lunge exercise.
PMID- 26557278
TI - Barber Pole Sign in CT Angiography, Adult Presentation of Midgut Malrotation: A
Case Report.
AB - Adult midgut volvulus is a challenging diagnosis because of its low incidence and
nonspecific symptoms. Diagnostic delay and long-term complaints are frequent in
this clinical scenario. We reported a patient referred to our diagnostic imaging
unit with intermittent abdominal pain, bloating and episodic vomiting for several
years. He underwent barium gastrointestinal transit and abdominal ultrasound,
which revealed severe gastric dilatation, food retention and slow transit until a
depressed duodenojejunal flexure, with malrotation of the midgut and jejunal
loops being located in the right upper quadrant. Computed tomography angiography
was performed, showing rotation of the small intestine around the mesentery root,
suggestive of midgut malrotation. In addition, an abnormal twisted disposition of
superior mesenteric artery with corkscrew appearance was seen, shaping the pole
barber sign which was evident in volume rendering three-dimensional
reconstructions. The patient underwent scheduled surgical treatment without any
complication and had good outcome after hospital discharge and follow-up.
Computed tomography plays an important role in evaluation of adult midgut
volvulus. In addition, angiographic reconstructions can help us to assess the
anatomic disposition of mesenteric vascular supply. Both of these assessments are
useful in preoperative management.
PMID- 26557279
TI - Comparing the Effect of Different Voxel Resolutions for Assessment of Vertical
Root Fracture of Permanent Teeth.
AB - BACKGROUND: The teeth with undiagnosed vertical root fractures (VRFs) are likely
to receive endodontic treatment or retreatment, leading to frustration and
inappropriate endodontic therapies. Moreover, many cases of VRFs cannot be
diagnosed definitively until the extraction of tooth. OBJECTIVES: This study
aimed to assess the use of different voxel resolutions of two different cone beam
computerized tomography (CBCT) units in the detection VRFs in vitro. MATERIALS
AND METHODS: The study material comprised 74 extracted human mandibular single
rooted premolar teeth without root fractures that had not undergone any root
canal treatment. Images were obtained by two different CBCT units. Four image
sets were obtained as follows: 1) 3D Accuitomo 170, 4 * 4 cm field of view (FOV)
(0.080 mm(3)); 2) 3D Accuitomo 170. 6 * 6 cm FOV (0.125 mm(3)); 3) NewTom 3G, 6"
(0.16 mm(3)) and 4) NewTom 3G, 9" FOV (0.25 mm(3)). Kappa coefficients were
calculated to assess both intra- and inter-observer agreements for each image
set. RESULTS: No significant differences were found among observers or voxel
sizes, with high average Z (Az) results being reported for all groups. Both intra
and inter-observer agreement values were relatively better for 3D Accuitomo 170
images than the images from NewTom 3G. The highest Az and kappa values were
obtained with 3D Accuitomo 170, 4 * 4 cm FOV (0.080 mm(3)) images. CONCLUSION: No
significant differences were found among observers or voxel sizes, with high Az
results reported for all groups.
PMID- 26557280
TI - In Vivo Evaluation of Feeding Arteries of Tumors in Dorsal Sector of the Liver.
AB - BACKGROUND: The identification of the dorsal sector of the liver and its detailed
vascular anatomy is of primary importance for surgical practice and segmental
transcatheter arterial chemoembolization. OBJECTIVES: This study aimed to
investigate the feeding arteries of tumors in dorsal sector of the liver.
PATIENTS AND METHODS: Computed tomography (CT) and digital subtraction
angiography (DSA) images of eleven patients with tumors of the dorsal sector of
the liver were analyzed retrospectively. The hepatic arteries that probably
supplied the tumors were observed in DSA images. The case number of each hepatic
artery feeding to the tumors was calculated. A scoring method was used to
estimate each hepatic artery contribution to the tumor stain in DSA images. The
accumulative scores were employed to evaluate the blood supply of feeding
arteries of the tumors. RESULTS: The data of the study revealed that right
posterior hepatic artery (RPHA) (n = 9), middle hepatic artery (MHA) (n = 8),
left medial hepatic artery (LMHA) (n = 6), right anterior hepatic artery (RAHA)
(n = 5), and caudate hepatic artery (CaHA) (n = 3) were the feeding arteries of
the tumors in dorsal sector in eleven patients. The accumulative scores of RPHA,
MHA, RAHA, and LMHA were 23, 17, 11, and 7 points, respectively (chi(2) = 6.827,
P = 0.078, Friedman test). The total scores of right hepatic artery (RHA)
branches and left hepatic artery (LHA) branches were 51 and 11 points,
respectively (Z = -2.764, P = 0.006, Wilcoxon rank test). CONCLUSION: The RPHA,
MHA, RAHA, and LMHA might be the main feeding arteries of the tumors in dorsal
sector of the liver.
PMID- 26557281
TI - Fluoroscopy Guided Transurethral Placement of Ureteral Metallic Stents.
AB - Ureteral stent exchange is usually performed under both fluoroscopic and
cystoscopic guidance. We experienced two cases with retrograde placement of
metallic ureteral stent via urethra under fluoroscopic guidance. When patients
with double-J ureteral stent (DJUS)have symptom and want to change DJUS to
metallic stent, fluoroscopic guided transurethral placement of ureteral metallic
stent is a good option as alternative of cystoscopic procedure or percutaneous
procedure through percutaneous nephrostomy tract.
PMID- 26557282
TI - Computed Tomography Pulmonary Angiography for Evaluation of Patients With
Suspected Pulmonary Embolism: Use or Overuse.
AB - BACKGROUND: The use of computed tomography pulmonary angiography (CTPA) has been
increased during the last decade. OBJECTIVES: We studied the adherence to current
diagnostic recommendations for evaluation of pulmonary embolism in a teaching
hospital of Tehran University of Medical Sciences. PATIENTS AND METHODS: The
registered medical records (Wells scores and serum D-dimer level) of all patients
whose CTPA was performed with suspicion of pulmonary thromboembolism (PTE) were
studied retrospectively. Modified Wells score of each patient was determined
without being aware of the CTPA results. The patients were categorized to those
with a high (likely) clinical probability (score > 4) and low (unlikely) clinical
probability (score<= 4) of PTE. RESULTS: During a 6-month period, 82 patients who
underwent CTPA were included. The prevalence of PTE was 62.2% in the group of
subjects with a likely clinical risk. In 45 (54.8%) of those patients whose CTPA
was requested, the PTE was unlikely based on modified Wells criteria. In the
clinically unlikely group, serum D-dimer assay was done in 15 out of 45 (33.3%),
while it was inappropriately checked in 10 out of 37 (27.0%) with a clinically
likely risk. General adherence rate to diagnostic algorithm of PTE was 43.9%.
CONCLUSION: There is still excessive unjustified concern of PTE in less trained
physicians leading to excessive diagnostic work-up. Loyalty to the existing
guideline for management of suspected PTE in educational hospitals and
supervision of attending physicians could prevent overuse of CTPA.
PMID- 26557283
TI - Desmoplastic Fibroma, Report of a Rare Case in Infraorbital Rim.
AB - Desmoplastic fibroma (DF) is a rare and locally aggressive intraosseous tumor
with unknown etiology. The mandible is the common site of involvement in the
maxillofacial region. However, it is believed that DF can arise in any bone of
the body. A wide age distribution has been reported for DF occurrence, extending
from birth to the sixth decade of life, with a peak incidence at 10 to 19 years
of age. In this study, diagnostic and therapeutic management of a 6-year-old girl
with a desmoplastic fibroma of the inferior orbital rim and zygomatic buttress
are discussed. Cone beam computed tomography (CBCT) revealed a mixed lesion in
infraorbital rim, which had ill-defined borders and a straight thick bony septum
inside the lesion. It also involved the zygomatic process of maxilla and
zygomatic bone. According to radiologic concepts, this rare lesion may mimic
fibro-osseous, benign and especially malignant lesions. Regarding different
treatment plans, identification of this lesion is essential. Furthermore,
presence of coarse and irregular or straight septa along with some imaging
criteria for malignant lesions such as destruction of the cortex, periosteal
reaction and soft tissue invasion would be helpful to differentiate this lesion
from malignant and multilocular benign lesions.
PMID- 26557284
TI - Prevalence of osteoporosis and its association with serum vitamin D level in
older people in Amirkola, North of Iran.
AB - BACKGROUND: Ageing of population worldwide will be responsible for a major
increase of the incidence of osteoporosis in elderly. For the individual,
osteoporotic fractures result in great disability as well as loss of quality of
life and also represent an enormous burden for healthcare systems. This study was
conducted to determine the prevalence of osteoporosis and its association with
serum vitamin D level in elderly people in Amirkola, North of Iran. METHODS: In
this cross-sectional study, 193 subjects aged 60 years old and over were assessed
in Amirkola. Using a standard questionnaire, individual characteristics and
densitometry (with DXA) results were collected and the data were analyzed with
SPSS, chi square tests and linear regression. RESULTS: The overall prevalence
rate of osteoporosis was 32.1% in at least one measurement sites (28.5% in lumbar
and 14.5% in femoral region), while 49.7% of elderly people suffering from
decreased bone mass (osteopenia). Osteoporosis prevalence in women was 55.7% and
this value in elderly men was 12.4%. Bone mineral density has negative
association with age in femoral region (p<0.01, r-0.3), but no statistical
relationships were seen between bone mineral density and serum 25-hydroxy vitamin
D level in this study. CONCLUSION: Our findings revealed higher prevalence of
osteoporosis in elderly people especially in women compared to other studies in
Iran, and also showed high prevalence rate of vitamin D deficiency. No
relationships were found between serum vitamin D and bone density in this study.
PMID- 26557285
TI - Bone mineral density changes during treatment of rheumatoid arthritis with
disease-modifying-anti-rheumatic drugs.
AB - BACKGROUND: Bone mineral density (BMD) changes during the course of rheumatoid
arthritis (RA). The present study was designed to investigate the status of BMD
in patients with RA treated with anti-rheumatic drugs. METHODS: BMD at the
femoral neck (FN-BMD) and lumbar spine (LS-BMD) were measured by dual energy x
ray absorptiometry (DXA) method using Norland densitometer. Disease activity (DA)
was assessed by calculation of DAS28 score. The patients with at least twice BMD
measurements were included and those who received treatment for osteoporosis were
excluded. The mean FN-BMD and LS-BMD changes from baseline between the two BMD
measurements was determined. RESULTS: Nineteen patients (17 females, 2 males)
with the mean age of 54.5+/-7.7 years, with mean disease duration of 141.8+/-58
months were treated for an average period of 2.9+/-1.9 years. All the patients
were treated with low-dose methotrexate (MTX) up to 15 mg/week alone or with
combination of hydroxychloroquine and/or sulfasalazine and 5 mg prednisolone
daily. At the end of study period, the value of FN-BMD gr/cm2 decreased by -
4.24% (p=0.12) and LS-BMD gr/cm2 by - 6.57% (p=0.009). The mean FN BMD Z-score
increased by +7.66% (p=0.64) and LS-BMD Z-score decreased by - 14.7% (p=0.120).
CONCLUSION: The findings of this study indicate that bone loss in RA continues
despite anti-inflammatory treatment. The lower rate of bone loss from FN compared
with LS may be attributed to suppression of hip synovitis with anti-inflammatory
treatment.
PMID- 26557286
TI - Efficacy of levothyroxine on benign thyroid nodule.
AB - BACKGROUND: Suppressive therapy with levothyroxine for reducing the size of
thyroid nodules has not been really accepted. The purpose of this study was to
assess the effect of levothyroxine on the size of benign thyroid nodules.
METHODS: Forty patients with confirmed benign nodule were randomly divided into
two groups. Group I received 50g/day levothyroxine for six months but group II
did not take it. Sonography was used to measure the dimensions of nodules before
and after six months. TSH serum levels were measured before and after treatment.
This clinical trial study was registered as IRCT 201103185692 N3. The data were
collected and analyzed. RESULTS: The mean age of levothyroxine group was 41.57+/
9.41 and control group was 44.45+/-10.9 years (p=0.386). The TSH levels and
longitudinal and transverse dimensions in both groups were similar (p>0.05). The
TSH levels before and after treatment were 2+/-1.65 and 0.52+/-0.67 mUI/L
(p=0.001). The Longitudinal and transverse dimensions before and after treatment
in case group were 1.9+/-1.11, 1.90+/-1.15 and 1.44+/-0.90, 1.49+/-1.02 cm
respectively (p=0.74, p=0.7, respectively) but in control group, were 2.19+/
1.32, 1.97+/-1.4 and 1.57+/-0.95, 1.26+/-0.7, respectively (p=0.35 and 0.1,
respectively). CONCLUSION: The results show that suppressive therapy with
levothyroxine lead no alteration in the size of benign nodules.
PMID- 26557287
TI - How is total antioxidant status in plasma of Patients with brucellosis?
AB - BACKGROUND: Brucella species can cause infection in a wide range of animals and
human beings. Oxidative events against brucella infection are not well
elucidated. It is possible that brucellosis may be related to increased free
radical production and antioxidant depletion. Thus, the aim of this study was to
determine the total antioxidant capacity (TAC) before and after treatment of
patients with brucellosis. METHODS: In the present study, a total of 48 patients
with brucellosis, 23 males and 25 females, were detected through Wright >=1/160
2ME >=1/80, in association with compatible clinical findings. All patients were
treated with standard regimens of therapy. Total antioxidant capacity (TAC) was
determined with ferric reducing antioxidant power (FRAP) using spectrophotometric
method before and after treatment. RESULTS: TAC levels were significantly lower
in pre-treatment than in post-treatment patients, 0.783+/-0.015 and 0.819+/-0.024
m mol/L respectively (p<0.01). There was not significant relation between plasma
TAC levels and age or gender of the patients. CONCLUSION: TAC may be useful as an
early marker of oxidative stress to monitor and optimize antioxidant therapy as
an adjunct in the management of patients with brucellosis.
PMID- 26557288
TI - Evaluation of guide wire cannulation in reduced risk of post - ERCP pancreatitis
and facilitated bile duct cannulation.
AB - BACKGROUND: Pancreatitis is most common complication of post-ERCP and needs to
admission at least for one day. The purpose of this study was to assess the
efficacy of guide wire for better common bile duct (CBD) cannulation for reducing
post-ERCP pancreatitis. METHODS: From April 2010 through March 2011, the patients
who needed ERCP and referred to Shahid Beheshti and Rouhani Teaching Hospital
were entered into the study. Guide wire cannulation (65 subjects) as case group
and 78 cases with standard cannulation as control group were performed on them
randomly. Data from these cases were collected and analyzed. RESULTS: One hundred
eighteen (82.5%) patients were females and 28 (17.5%) were males. The mean age of
these patients was 56.5+/-16.8 years. Post- ERCP pancreatitis rate in guide wire
group was 6 (9.2%) and in the standard group was 12 (15.4%) (p=0.269). Successful
cannulation in these two groups was 67.7% and 67.9%, respectively (p=0.974).
CONCLUSION: The results show that post- ERCP pancreatitis rate in both groups are
similar. Other studies with large number of cases are required to confirm our
results.
PMID- 26557289
TI - Prevalence of asthma, allergic rhinitis and eczema in elementary schools in Sari
(Iran).
AB - BACKGROUND: Allergic diseases including asthma, allergic rhinitis (AR) and eczema
are common chronic diseases in children. The purpose of this study was to
determine the prevalence of asthma, AR and eczema in Sari, Iran. METHODS: This
study was carried out on all elementary schools selected as a cluster from
February 2010 to July 2010 in Sari, North of Iran. A questionnaire was provided
according to International Study of Asthma and Allergies in Childhood (ISAAC)
protocol. Asthma, AR, eczema and their combinations were recorded. RESULTS: Out
of the 1818 cases, 646 (35%) subjects had allergic disorder; 223 (12%) had
asthma, 318 (17%) had AR and 105 (6%) had eczema The prevalence of allergic
disorder in boys (65%) was higher than the girls (40%) (p<0.05). CONCLUSION: The
results show that around one - third of the elementary school children have
allergic disorders. The prevalence in males is higher than the females.
PMID- 26557290
TI - Epidemiological, clinical and laboratory features of patients hospitalized with
2009 pandemic influenza in north of Iran.
AB - BACKGROUND: The clinical manifestations and outcome of influenza infection differ
between various patients in the world. The purpose of this study was to assess
the clinical manifestations of patients with confirmed or suspected novel H1N1
flu infection in Sari, North of Iran. METHODS: From September 2009 to January
2010, the patients' data were collected by retrospective chart review of medical
records. Laboratory confirmation included a positive RT-PCR (reverse
transcriptase-polymerase-chain-reaction assay) from a nasal or pharyngeal swab
sample. RESULTS: Nearly 80% of established patients were in age group of 15-45
years. Approximately 14.6% of female cases were pregnant There was no significant
difference in clinical and laboratory characteristics of patients with confirmed
H1N1 virus infection to total cases with Influenza Like Illness (ILI). Thirty
nine (95.1%) of the established patients had a combination of fever plus sore
throat or cough. Relative lymphopenia was reported in 36.6%. Pneumonia was the
most common complication. Acute pericarditis evolved in one case and aseptic
meningitis was reported in another. CONCLUSION: Precise collecting information of
clinical manifestations, risk factors and other characteristics of flu, can help
to the early infection detection, timely treatment of patients and proper
preventive measurements.
PMID- 26557291
TI - Can serum procalcitonin measurement help monitor the treatment of acute bacterial
meningitis? A prospective study.
AB - BACKGROUND: Previous studies have demonstrated the value of serum procalcitonin
(PCT) as a marker of bacterial infection, as well as the rapid decrease in its
concentration with appropriate antibiotic treatment. The aim of this study was to
determine the variation in serum PCT levels over time during the treatment of
acute bacterial meningitis (ABM) in adults. METHODS: In this prospective
analytical study, 36 acute meningitis patients (26 males and 10 females) with
mean age of 38.4+/-20.1 years were studied. Among them, 17 patients with fever
and neck stiffness and CSF profiles consistence, ABM was treated by appropriate
antibiotic regimen. We measured PCT serum levels before and after 24 and 72 hours
after initiation of treatment. Decrease in the body temperature and feeling of
well being were the clinical criteria for treatment response. The data were
collected and analyzed. RESULTS: Serum level of PCT in the beginning of
treatment, 24 and 72 hours after initiation of treatment was 2.58+/-4.62, 2.50+/
4.6 and 1.52+/-3.03 ng/ml, respectively. Difference in PCT initially and 24 h
later was 0.044+/-0.08 (p=0.025) and after 72 h was 1.74+/-2.92 (p=0.013). The
mean of PCT level was greater in patients not improving (5.34+/-4.42 vs. 2.08+/
3.46). CONCLUSION: Although decreasing PCT was low in 24 h after treatment, this
decrement is more significant after 72 h. PCT serum level may be used as a follow
up of treatment response in ABM.
PMID- 26557293
TI - Laryngeal tuberculosis without pulmonary involvement.
AB - BACKGROUND: Tuberculosis of the larynx is a rare form of tuberculosis. Patients
usually present with hoarseness or dysphagia and other nonspecific constitutional
symptoms like fever or localized pain. In this study, we present a case of
primary vocal cord lesion with tuberculosis. CASE PRESENTATION: A 72 year old man
presented with hoarseness of voice, low grade fever, and night sweating with in
three month duration. Laryncoscopic study showed unilateral thickening of vocal
cord and biopsy of the lesion showed granuloma with caseous necrosis. Chest x-ray
was normal. The patient was treated with standard regimen of tuberculosis and was
cured after 6 months of therapy. CONCLUSION: Laryngeal tuberculosis should be
considered in the differential diagnosis of patients with hoarseness without
pulmonary involvement in endemic regions of tuberculosis.
PMID- 26557292
TI - Role of oxidative stress in pathogenesis of metabolic syndrome.
AB - The metabolic syndrome (MS) recognized as a major cause of type 2 diabetes and
cardiovascular diseases, has become one of the major public health challenges
worldwide. The pathogenesis of the metabolic syndrome is multiple and still
poorly understood. No single factor has yet been identified as an underlying
causal factor. There is a growing belief, however, that obesity, especially
visceral obesity, may play an important role in the development of the syndrome.
Visceral adiposity seems to be an independent predictor of insulin sensitivity,
impaired glucose tolerance, dyslipidemia and elevated blood pressure. An
increasing number of studies confirm that oxidative stress, chronic inflammation
and angiogenesis all play important roles in the pathogenesis of MS. Chronic
hyperglycemia causes oxidative stress in tissues prone to complications in
patients with diabetes. Oxidative stress occurs in a cellular system when the
production of free radical moieties exceeds the antioxidant capacity of that
system. If cellular antioxidants do not remove free radicals, radicals attack and
damage proteins, lipids, and nucleic acids. The oxidized or nitrosylated products
of free radical attack have decreased biological activity, leading to loss of
energy metabolism, cell signaling, transport, and other major functions. These
altered products are also targeted for proteosome degradation, further decreasing
cellular function. Accumulation of such injury ultimately leads a cell to die
through necrotic or apoptotic mechanisms. In conclusion, a puzzle of many pieces
of evidence suggests that free radical overgeneration may be considered the key
in the generation of insulin resistance, diabetes, and cardiovascular disease.
PMID- 26557294
TI - How Age Affects Auditory-Cognitive Interactions in Speech Comprehension.
AB - To participate effectively in multi-talker conversations, listeners need to do
more than simply recognize and repeat speech. They have to keep track of who said
what, extract the meaning of each utterance, store it in memory for future use,
integrate the incoming information with what each conversational participant has
said in the past, and draw on the listener's own knowledge of the topic under
consideration to extract general themes and formulate responses. In other words,
to acquire and use the information contained in spoken language requires the
smooth and rapid functioning of an integrated system of perceptual and cognitive
processes. Here we review evidence indicating that the operation of this
integrated system of perceptual and cognitive processes is more easily disrupted
in older than in younger adults, especially when there are competing sounds in
the auditory scene.
PMID- 26557295
TI - Age-Related Changes in Auditory and Cognitive Abilities in Elderly Persons with
Hearing Aids Fitted at the Initial Stages of Hearing Loss.
AB - In this study, we investigated the relation between the use of hearing aids at
the initial stages of hearing loss and age-related changes in the auditory and
cognitive abilities of elderly persons. 12 healthy elderly persons participated
in an annual auditory and cognitive longitudinal examination for three years.
According to their hearing level, they were divided into 3 subgroups - the normal
hearing group, the hearing loss without hearing aids group, and the hearing loss
with hearing aids group. All the subjects underwent 4 tests: pure-tone
audiometry, syllable intelligibility test, dichotic listening test (DLT), and
Wechsler Adult Intelligence Scale-Revised (WAIS-R) Short Forms. Comparison
between the 3 groups revealed that the hearing loss without hearing aids group
showed the lowest scores for the performance tasks, in contrast to the hearing
level and intelligibility results. The other groups showed no significant
difference in the WAIS-R subtests. This result indicates that prescription of a
hearing aid during the early stages of hearing loss is related to the retention
of cognitive abilities in such elderly people. However, there were no statistical
significant correlations between the auditory and cognitive tasks.
PMID- 26557296
TI - Screening for Otologic Functional Impairments in the Elderly: Whose Job is it
Anyway?
AB - Among the most prevalent of chronic conditions affecting older adults globally,
hearing loss prevalence is increasing and its impact on society growing.
Untreated hearing loss diminishes ones ability to communicate and its strong
association with depression and cognitive decline adds further to the burden of
hearing loss. Hearing health care is rarely included in the traditional medical
exam for older adults, it is typically not considered a risk factor for cognitive
decline or falls, and it is not a condition for which routine screening has been
recommended by the U.S. Preventive Services Task Force. Yet in older adults,
disability typically results from many small risks acting together with different
people having a different pattern of multifactorial risk (U.S. Preventive
Services Task Force, 2010). The importance of preventive hearing health care in
primary care is discussed along with a screening strategy with targeted
interventions designed to target older at risk adults.
PMID- 26557297
TI - SUN-test (Speech Understanding in Noise): A Method for Hearing Disability
Screening.
AB - The SUN-test (Speech Understanding in Noise) is a speech-in-noise test to screen
adults and older adults for hearing disability. The SUN-test consists in a short
list of intervocalic consonants (VCV, vowel-consonant-vowel) in noise that are
presented in a three-alternatives forced choice (3AFC) paradigm by means of a
touch-screen interface. Based on the number of stimuli correctly identified, the
tested subject gets one of three possible test outcomes: no listening
difficulties, a hearing check would be advisable, or a hearing check is
recommended. This paper reviews the main results obtained with the SUN-test in
the Italian language in a population of nearly 1,300 adults and older adults with
varying degrees of audiometric thresholds and audio-metric configurations, tested
both in low and in high ambient noise settings. Results obtained in the tested
population revealed that the outcomes of the SUN-test were in line with the
outcomes of pure-tone testing, and that the test performance was similar both in
low and in high ambient noise (up to 65 dB A). Results obtained with the SUN-test
were not biased by the age of the subject because the performance of younger and
older subjects in the test was similar. The mean duration of the SUN-test was
nearly 40 s/ear, and was lower than 1 minute per ear even in subjects older than
80 years so that both ears could be tested, on average, in 2 minutes. The SUN
test was considered easy or slightly difficult by nearly 90% of subjects; test
duration was judged short or fair by nearly 95% of subjects, and the overall
evaluation of the test was pleasant, or neutral, in more than 90% of subjects.
Overall, results of this study indicated that the SUN-test might be feasible for
application in adult hearing screening. The test is fast, easy, self convincing,
and reflects differences in hearing sensitivity between the tested subjects. The
outcomes of the SUN-test were not influenced by the noise level in the test room
(up to 65 dB A) indicating that the test, as such, might be feasible to screen
adults and older adults both in clinical and in non clinical settings, such as
convenient care clinics, hearing aid providers, or pharmacies, where the ambient
noise is, typically, not controlled.
PMID- 26557298
TI - e-Health Technologies for Adult Hearing Screening.
AB - The development of hearing diagnosis methods and hearing screening methods are
not isolated phenomena: they are intimately related to changes in the cultural
background and to advances in fields of medicine and engineering. In the recent
years, there has been a rapid evolution in the development of fast, easy and
reliable techniques for low-cost hearing screening initiatives. Since adults and
elderly people typically experience a reduced hearing ability in challenging
listening situations [e.g., in background noise, in reverberation, or with
competing speech (Pichora-Fuller & Souza, 2003)], these newly developed screening
tests mainly rely on the recognition of speech stimuli in noise, so that the real
experienced listening difficulties can be effectively targeted (Killion &
Niquette, 2000). New tests based on the recognition of speech in noise are being
developed on portable, battery-operated devices (see, for example, Paglialonga et
al., 2011), or distributed diffusely using information and communication
technologies. The evolutions of e-Health and telemedicine have shifted focus from
patients coming to the hearing clinic for hearing health evaluation towards the
possibility of evaluating the hearing status remotely at home. So far, two ways
of distributing the hearing test have primarily been used: ordinary telephone
networks (excluding mobile networks) and the internet. When using the telephone
network for hearing screening, the predominantly test is a speech-in-noise test
often referred to as the digit triplet test where the subjects hearing status is
evaluated as the speech-to-noise threshold for spoken digits. This test is today
available in some ten countries in Europe, North America and Australia. The use
of internet as testing platform allows several different types of hearing
assessment tests such as questionnaires, different types of speech in noise
tests, temporal gap detection, sound localization (minimum audible angle), and
spectral (un)masking tests. Also, the use of the internet allows audiovisual
presentations as well as visual interaction and cues in the tests for a more
ecological approach. Even if several new and novel approaches for hearing
assessment using the internet are surfacing, the validated tests are based on
questionnaires or speech-in-noise. Although the internet allows for a broader
flora of pure auditory and audiovisual tests for hearing health assessment,
calibration problems such as timing uncertainty, output levels and modes of
presentation (speakers or earphones) limits the usability at present.
PMID- 26557299
TI - Hearing Impairment in Dementia - How to Reconcile Two Intertwined Challenges in
Diagnostic Screening.
PMID- 26557300
TI - An Economic Model of Adult Hearing Screening.
PMID- 26557301
TI - Pilot Initiatives of Adult Hearing Screening in Italy.
AB - A series of pilot initiatives of adult hearing screening programs were organized
in eight large and small-size cities in Italy in the past two years. The
screening initiatives were held in public places, supermarkets, drugstores, and
in some universities of the third age, and involved an overall population of
2,278 screened subjects with age ranging from 13 to 93 years. Three different
screening tests were used to assess hearing ability in the participants, i.e.:
screening pure tone audiometry (PTA), an automated speech-in-noise screening test
(the SUN-test), and a screening questionnaire of self-perceived hearing handicap
(the HHIE-S). This paper describes the organization and management of these
screening initiatives and reviews the main results obtained in the screened
population, using the three different screening tests. Results obtained in these
pilot initiatives showed that screening adults for hearing problems might be
feasible, on a local level, in non clinical settings and can be performed quite
easily with the support of local coordinators and partners, such as associations
or local authorities. It is recognized that further initiatives and studies will
have to be performed to better define the key aspects related to the organization
and management of adult hearing screening programs, either at a local, regional,
or national level.
PMID- 26557302
TI - Adult Hearing Screening: The Cyprus Pilot Program.
PMID- 26557303
TI - Screening Among Workers in a Dockyard in the City of Varna.
PMID- 26557304
TI - A 16-year Longitudinal Study of Hearing in very Old Australians.
PMID- 26557305
TI - Strategies of Adult Hearing Aid Selection.
PMID- 26557306
TI - Interventions after Screening for Hearing Difficulties: A Retrospective
Investigation of Interventions other than Hearing Aids.
PMID- 26557307
TI - Testing Listening Effort for Speech Comprehension Using the Individuals'
Cognitive Spare Capacity.
PMID- 26557308
TI - Experiences with Bimodal Hearing and Bilateral Cochlear Implantation in the
Elderly.
PMID- 26557309
TI - The NAL-NL2 Prescription Procedure.
PMID- 26557310
TI - Systematic Review of Barriers and Facilitators to Hearing Aid Uptake in Older
Adults.
PMID- 26557311
TI - Perceptions about Hearing Aids from Elderly Non-Users: A Bicultural Point of View
(Italy and USA).
PMID- 26557312
TI - A Representative Study of Hearing Ability in North West Germany.
PMID- 26557313
TI - Auditory Temporal Processing and Aging: Implications for Speech Understanding of
Older People.
PMID- 26557315
TI - Auditory Temporal Processes in the Elderly.
AB - Several studies have reported age-related decline in auditory temporal resolution
and in working memory. However, earlier studies did not provide evidence as to
whether these declines reflect overall changes in the same mechanisms, or reflect
age-related changes in two independent mechanisms. In the current study we
examined whether the age-related decline in auditory temporal resolution and in
working memory would remain significant even after controlling for their shared
variance. Eighty-two participants, aged 21-82 performed the dichotic temporal
order judgment task and the backward digit span task. The findings indicate that
age-related decline in auditory temporal resolution and in working memory are two
independent processes.
PMID- 26557314
TI - Stimulus-Onset-Asynchrony as the Main Cue in Temporal Order Judgment.
PMID- 26557316
TI - Contribution of Resolved and Unresolved Harmonic Regions to Brainstem Speech
Evoked Responses in Quiet and in Background Noise.
AB - Speech auditory brainstem responses (speech ABR) reflect activity that is phase
locked to the harmonics of the fundamental frequency (F0) up to at least the
first formant (F1). Recent evidence suggests that responses at F0 in the presence
of noise are more robust than responses at F1, and are also dissociated in some
learning-impaired children. Peripheral auditory processing can be broadly divided
into resolved and unresolved harmonic regions. This study investigates the
contribution of these two regions to the speech ABR, and their susceptibility to
noise. We recorded, in quiet and in background white noise, evoked responses in
twelve normal hearing adults in response to three variants of a synthetic vowel:
i) Allformants, which contains all first three formants, ii) F1Only, which is
dominated by resolved harmonics, and iii) F2&F3Only, which is dominated by
unresolved harmonics. There were no statistically significant differences in the
response at F0 due to the three variants of the stimulus in quiet, nor did the
noise affect this response with the Allformants and F1Only variants. On the other
hand, the response at F0 with the F2&F3Only variant was significantly weaker in
noise than with the two other variants (p<0.001). With the response at F1, there
was no difference with the Allformants and F1Only variants in quiet, but was
expectedly weaker with the F2&F3Only variant (p<0.01). The addition of noise
significantly weakened the response at F1 with the F1Only variant (p<0.05), but
this weakening only tended towards significance with the Allformants variant
(p=0.07). The results of this study indicate that resolved and unresolved
harmonics are processed in different but interacting pathways that converge in
the upper brainstem. The results also support earlier work on the differential
susceptibility of responses at F0 and F1 to added noise.
PMID- 26557317
TI - Audiological Screening in People with Diabetes. First Results.
PMID- 26557319
TI - Editorial.
PMID- 26557318
TI - Hearing Ability and its Relationship with Psychosocial Health, Work-Related
Variables, and Health Care Use: The National Longitudinal Study on Hearing.
PMID- 26557321
TI - Simultaneous Vibrant Soundbridge Implantation and 2nd Stage Auricular
Reconstruction for Microtia with Aural Atresia.
AB - Aural atresia and severe microtia are associated malformations that result in
problems with hearing and cosmesis, associated speech and language difficulties
and diminished self-esteem. In cases where middle ear ossiculoplasty and aural
atresia canalplasty are expected to give poor hearing outcomes that would
eventually require the use of hearing aids, bone anchored hearing aids or active
middle ear implants may be better options. This case report describes a
simultaneous Vibrant Soundbridge implantation and 2(nd) stage auricular
reconstruction with rib graft cartilage for an 11-year-old boy with grade III
microtia and aural atresia 8 months after the 1(st) stage reconstruction.
Audiometric results of the Vibrant Soundbridge aided ear were comparable to that
of the contralateral hearing aid aided ear.
PMID- 26557320
TI - Spectrum Resolving Power of Hearing: Measurements, Baselines, and Influence of
Maskers.
AB - Contemporary methods of measurement of frequency resolving power in the auditory
system are reviewed. Majority of classical methods are based on the frequency
selective masking paradigm and require multi-point measurements (a number of
masked thresholds should be measured to obtain a single frequency-tuning
estimate). Therefore, they are rarely used for practical needs. As an alternative
approach, frequency-selective properties of the auditory system may be
investigated using probes with complex frequency spectrum patterns, in
particular, rippled noise that is characterized by a spectrum with periodically
alternating maxima and minima. The maximal ripple density discriminated by the
auditory system is a convenient measure of the spectrum resolving power (SRP). To
find the highest resolvable ripple density, a phase-reversal test has been
suggested. Using this technique, normal SRP, its dependence on probe center
frequency, spectrum contrast, and probe level were measured. The results were not
entirely predictable by frequency-tuning data obtained by masking methods. SRP is
influenced by maskers, with on- and off-frequency maskers influencing SRP very
differently. Dichotic separation of the probe and masker results in almost
complete release of SRP from influence of maskers.
PMID- 26557322
TI - Distortion-Product Otoacoustic Emissions: Body Position Effects with Simultaneous
Presentation of Tone Pairs.
AB - This study examined the effect of three different body positions on distortion
product otoacoustic emission (DPOAE) amplitude and noise levels with multiple
primary tone pairs simultaneously-presented to 36 normal-hearing female human
adults. Other studies have demonstrated that the simultaneously presented tone
pairs method shows clinical promise as a screener, but the sequential method
remains in widespread clinical use. Postural changes have been suggested to have
an effect not only on DPOAEs, but also transient-evoked OAEs and stimulus
frequency OAEs. DPOAE amplitude and noise levels were recorded in seated, supine,
and side-lying positions to the following order of simultaneously-presented tone
pairs relative to the f2 frequencies: 1187, 2375, and 4812 Hz; 1500, 3000, and
6062 Hz; and 1875, 3812, and 7625 Hz. No DPOAE could be detected reliably at 7625
Hz as result of poor signal-to-noise ratio. For remaining DPOAEs, statistical
analyses revealed that amplitudes were not significantly different among the
three body positions. However, at 1500 Hz and below, body position did have a
statistically significant effect on noise levels though they are likely
clinically negligible. Except at 7625 Hz, results suggest that DPOAEs recorded
using a simultaneously presented tone pairs appear to be comparably recorded
regardless of an individual's body position.
PMID- 26557323
TI - Speech Perception in Noise in Normally Hearing Children: Does Binaural Frequency
Modulated Fitting Provide More Benefit than Monaural Frequency Modulated Fitting?
AB - The aim of the present study was to compare the benefit of monaural versus
binaural ear-level frequency modulated (FM) fitting on speech perception in noise
in children with normal hearing. Reception threshold for sentences (RTS) was
measured in no-FM, monaural FM, and binaural FM conditions in 22 normally
developing children with bilateral normal hearing, aged 8 to 9 years old. Data
were gathered using the Pediatric Malay Hearing in Noise Test (P-MyHINT) with
speech presented from front and multi-talker babble presented from 90 degrees ,
180 degrees , 270 degrees azimuths in a sound treated booth. The results
revealed that the use of either monaural or binaural ear level FM receivers
provided significantly better mean RTSs than the no-FM condition (P<0.001).
However, binaural FM did not produce a significantly greater benefit in mean RTS
than monaural fitting. The benefit of binaural over monaural FM varies across
individuals; while binaural fitting provided better RTSs in about 50% of study
subjects, there were those in whom binaural fitting resulted in either
deterioration or no additional improvement compared to monaural FM fitting. The
present study suggests that the use of monaural ear-level FM receivers in
children with normal hearing might provide similar benefit as binaural use.
Individual subjects' variations of binaural FM benefit over monaural FM suggests
that the decision to employ monaural or binaural fitting should be
individualized. It should be noted however, that the current study recruits
typically developing normal hearing children. Future studies involving normal
hearing children with high risk of having difficulty listening in noise is
indicated to see if similar findings are obtained.
PMID- 26557324
TI - Development of Spanish Version of the Littlears Parental Questionnaire for Use in
the United States and Latin America.
AB - The LittlEARS Auditory Questionnaire is a parent questionnaire created to assess
development of age-dependent auditory behaviors of children in the pre-verbal
stage. The original questionnaire was developed in Austria (in German), and is
now being introduced in the United States in English. This study was designed to
obtain normative data on a Spanish translation. Fifty parents or caregivers
participated. Responses were obtained at their child's visit to a hospital clinic
or an external ambulatory site. Children ranged in age from .5 to 21.4 months
(mean = 9.5 months). Parents were either Spanish monolingual or bilingual
representing 5 national origins. Analyses included correlation of age with total
score, and with individual questions, index of difficulty, discrimination and
selectivity indices, scale analysis, split-half reliability and internal
consistency. Specifically, correlation between age and number of observed
behaviors was 0.927. A measure of internal consistency was high, 0.95. Results
indicated that the translated LittlEARS for use with Spanish speakers is a
potentially useful tool for clinicians assessing pre-verbal auditory behavior.
High correlations of total score with age suggested that the questionnaire
reflects a progression of auditory skills in the 0 to 24 months age group.
PMID- 26557325
TI - Influence of postconceptional age on universal newborn hearing screening in NICU
babies.
AB - The feasibility of universal newborn hearing screening (UNHS) using automated
auditory brainstem response (AABR) devices in the neonatal intensive care unit
(NICU) is already well demonstrated. The aim of this study was to find out
whether the postconceptional age (PCA) of the babies at the time of the AABR
measurement has an influence on the measuring results and to determine the
earliest time point for a reliable hearing screening in preterm neonates. Hearing
screening measurements of 634 neonates (NICU-Babies) were included. We had
complete data for 577 of these babies. The babies were born between 24 and 42
weeks of gestation in the years 2007-2008 and were screened in the Neonatal Unit
of the Marburg University hospital. In this group, the hearing screening had been
performed at or after 32 weeks of PCA. The AABR measurements showed a specificity
of 93.9% (babies tested between 32 and 34 weeks of PCA), 95.8% (tested between 35
and 37 weeks), 95.9% (tested between 38 and 40 weeks of PCA) and 92.1% (tested
after 40 weeks of PCA). Hearing screening yields reliable results at 32 weeks
PCA. Therefore, an UNHS can be already performed before term without risking a
higher rate of false positive results. However, individual factors such as
cardiorespiratory and temperature stability of the baby should be considered.
PMID- 26557326
TI - Is there addiction to loud music? Findings in a group of non-professional
pop/rock musicians.
AB - Listening to loud music may be connected to addictive behavior possibly leading
to damaging effects on the cochlea. We hypothesized that members of non
professional pop/rock bands with regular exposure to loud music are more likely
to show an addictive-like behavior for loud music than matched control subjects.
Fifty non-professional musicians and 50 matched control subjects were asked to
complete the Northeastern Music Listening Survey (NEMLS) with two basic
components. The first comprises an adaptation of the validated Michigan Alcohol
Screening Test (MAST) to study the addictive-like behavior towards loud music.
The second comprises the criteria outlined by the fourth edition of the
Diagnostic and Statistical Manual of Mental Disorders (DSM-IV) of the American
Psychiatric Society for the diagnosis of substance dependence. The NEMLS was
scored using the same point system as used in the MAST. The DSM-IV criteria for
substance dependence were met by nine of the musician group and by one control
subject. Seven of these nine musicians also had a positive NEMLS score. Traits of
addictive-like behavior to loud music were detected more often in members of
nonprofessional pop/rock bands than in control subjects.
PMID- 26557327
TI - Indication criteria for cochlear implants and hearing aids: impact of
audiological and non-audiological findings.
AB - Owing to technological progress and a growing body of clinical experience,
indication criteria for cochlear implants (CI) are being extended to less severe
hearing impairments. It is, therefore, worth reconsidering these indication
criteria by introducing novel testing procedures. The diagnostic evidence
collected will be evaluated. The investigation includes postlingually deafened
adults seeking a CI. Prior to surgery, speech perception tests [Freiburg Speech
Test and Oldenburg sentence (OLSA) test] were performed unaided and aided using
the Oldenburg Master Hearing Aid (MHA) system. Linguistic skills were assessed
with the visual Text Reception Threshold (TRT) test, and general state of health,
socio-economic status (SES) and subjective hearing were evaluated through
questionnaires. After surgery, the speech tests were repeated aided with a CI. To
date, 97 complete data sets are available for evaluation. Statistical analyses
showed significant correlations between postsurgical speech reception threshold
(SRT) measured with the adaptive OLSA test and pre-surgical data such as the TRT
test (r=-0.29), SES (r=-0.22) and (if available) aided SRT (r=0.53). The results
suggest that new measures and setups such as the TRT test, SES and speech
perception with the MHA provide valuable extra information regarding indication
for CI.
PMID- 26557328
TI - Sensitivity of cortical auditory evoked potential detection for hearing-impaired
infants in response to short speech sounds.
AB - Cortical auditory evoked potentials (CAEPs) are an emerging tool for hearing aid
fitting evaluation in young children who cannot provide reliable behavioral
feedback. It is therefore useful to determine the relationship between the
sensation level of speech sounds and the detection sensitivity of CAEPs, which is
the ratio between the number of detections and the sum of detections and non
detections. Twenty-five sensorineurally hearing impaired infants with an age
range of 8 to 30 months were tested once, 18 aided and 7 unaided. First,
behavioral thresholds of speech stimuli /m/, /g/, and /t/ were determined using
visual reinforcement orientation audiometry. Afterwards, the same speech stimuli
were presented at 55, 65, and 75 dB sound pressure level, and CAEPs were
recorded. An automatic statistical detection paradigm was used for CAEP
detection. For sensation levels above 0, 10, and 20 dB respectively, detection
sensitivities were equal to 72+/-10, 75+/-10, and 78+/-12%. In 79% of the cases,
automatic detection P-values became smaller when the sensation level was
increased by 10 dB. The results of this study suggest that the presence or
absence of CAEPs can provide some indication of the audibility of a speech sound
for infants with sensorineural hearing loss. The detection of a CAEP might
provide confidence, to a degree commensurate with the detection probability, that
the infant is detecting that sound at the level presented. When testing infants
where the audibility of speech sounds has not been established behaviorally, the
lack of a cortical response indicates the possibility, but by no means a
certainty, that the sensation level is 10 dB or less.
PMID- 26557329
TI - Effect of stimuli, transducers and gender on acoustic change complex.
AB - The objective of this study was to investigate the effect of stimuli, transducers
and gender on the latency and amplitude of acoustic change complex (ACC). ACC is
a multiple overlapping P1-N1-P2 complex reflecting acoustic changes across the
entire stimulus. Fifteen males and 15 females, in the age range of 18 to 25
(mean=21.67) years, having normal hearing participated in the study. The ACC was
recorded using the vertical montage. The naturally produced stimuli /sa/ and /si/
were presented through the insert earphone/loud speaker to record the ACC. The
ACC obtained from different stimuli presented through different transducers from
male/female participants were analyzed using mixed analysis of variance.
Dependent t-test and independent t-test were performed when indicated. There was
a significant difference in latency of 2N1 at the transition, with latency for
/sa/ being earlier; but not at the onset portions of ACC. There was no
significant difference in amplitude of ACC between the stimuli. Among the
transducers, there was no significant difference in latency and amplitude of ACC,
for both /sa/ and /si/ stimuli. Female participants showed earlier latency for
2N1 and larger amplitude of N1 and 2P2 than male participants, which was
significant. ACC provides important insight in detecting the subtle spectral
changes in each stimulus. Among the transducers, no difference in ACC was noted
as the spectra of stimuli delivered were within the frequency response of the
transducers. The earlier 2N1 latency and larger N1 and 2P2 amplitudes noticed in
female participants could be due to smaller head circumference. The findings of
this study will be useful in determining the capacity of the auditory pathway in
detecting subtle spectral changes in the stimulus at the level of the auditory
cortex.
PMID- 26557330
TI - Efficacy of the LiSN & Learn auditory training software: randomized blinded
controlled study.
AB - Children with a spatial processing disorder (SPD) require a more favorable signal
to-noise ratio in the classroom because they have difficulty perceiving sound
source location cues. Previous research has shown that a novel training program -
LiSN & Learn - employing spatialized sound, overcomes this deficit. Here we
investigate whether improvements in spatial processing ability are specific to
the LiSN & Learn training program. Participants were ten children (aged between
6;0 [years;months] and 9;9) with normal peripheral hearing who were diagnosed as
having SPD using the Listening in Spatialized Noise - Sentences test (LiSN-S). In
a blinded controlled study, the participants were randomly allocated to train
with either the LiSN & Learn or another auditory training program - Earobics -
for approximately 15 min per day for twelve weeks. There was a significant
improvement post-training on the conditions of the LiSN-S that evaluate spatial
processing ability for the LiSN & Learn group (P=0.03 to 0.0008, eta(2)=0.75 to
0.95, n=5), but not for the Earobics group (P=0.5 to 0.7, eta(2)=0.1 to 0.04,
n=5). Results from questionnaires completed by the participants and their parents
and teachers revealed improvements in real-world listening performance post
training were greater in the LiSN & Learn group than the Earobics group. LiSN &
Learn training improved binaural processing ability in children with SPD,
enhancing their ability to understand speech in noise. Exposure to non
spatialized auditory training does not produce similar outcomes, emphasizing the
importance of deficit-specific remediation.
PMID- 26557331
TI - Intratympanic injection of dexamethasone for treatment of tinnitus in patients
with sudden sensorineural hearing loss.
AB - The purpose of this study is to test the effectiveness of intratympanic
dexamethasone injections as a treatment for severe tinnitus in idiopathic sudden
sensorineural hearing loss (SNHL). We studied 37 patients who received
intratympanic dexamethasone injections and 14 control patients who did not
receive it, with severe tinnitus after onset of unilateral sudden SNHL. Hearing
level did not change during this study in any patient. The relationship between
the duration of tinnitus and effectiveness of treatment was investigated in
sudden SNHL. We used a visual analogue scale to evaluate 51 patients with severe
tinnitus at the stage of stable hearing level after idiopathic sudden
sensorineural hearing loss. Forty-one per cent of patients showed significant
improvement after treatment. The average period between onset of sudden
sensorineural hearing loss and initiation of intratympanic dexamethasone
injection was significantly shorter (207 days) in the improved group than in the
unchanged group (482 days) (P<0.001). In control group, one of 14 patients
presented significant improvement spontaneously. Intratympanic dexamethasone
treatment may be effective in treatment of severe tinnitus after sudden SNHL at
the stage of stable hearing level, and the shorter the period from onset of
sudden deafness to the start of intratympanic dexamethasone treatment, the
greater the improvement in tinnitus that can be expected.
PMID- 26557332
TI - Comparison of auditory brainstem response peak measures using ear lobe, mastoid,
and custom ear canal reference electrodes.
AB - Auditory brainstem response (ABR) peak measures were compared for a custom ear
canal electrode and traditional mastoid and ear lobe reference electrode sites
with the assumption that the ear canal electrode would yield larger Wave I
amplitudes. In this study, Waves I, III, and V latencies and amplitudes were
measured and compared in twenty participants between the ages of 18 and 50, with
particular interest in a potential Wave I advantage using the custom ear canal
reference electrode. The statistical analysis suggested that all reference
electrode sites yielded comparable results with no statistical differences in
peak latency or peak-to-trough amplitude for Waves I, III, and V. Although the
custom ear canal electrode did not produce larger Wave I amplitudes over the
other two references, a deeper placement of the ear canal electrode might have
yielded different results.
PMID- 26557333
TI - Health behavior change in hearing healthcare: a discussion paper.
AB - Health behavior change (HBC) refers to facilitating changes to habits and/or
behavior related to health. In healthcare practice, it is quite common that the
interactions between practitioner and patient involve conversations related to
HBC. This could be mainly in relation to the practitioner trying to directly
persuade the patients to make some changes in their health behavior. However, the
patients may not be motivated to do so as they do not see this change as
important. For this reason, direct persuasion may result in a breakdown of
communication. In such instances, alternative approaches and means of indirect
persuasion, such as empowering the patient and their family members, could be
helpful. Furthermore, there are several models and/or theories proposed which
explain the health behavior and also provide a structured framework for health
behavior change. Many such models/approaches have been proven effective in
facilitating HBC and health promotion in areas such as cessation of smoking,
weight loss and so on. This paper provides an overview of main models/theories
related to HBC and some insights into how these models/approaches could be
adapted to facilitate behavior change in hearing healthcare, mainly in relation
to: i) hearing help-seeking and hearing-aid uptake; and ii) hearing conservation
in relation to music-induced hearing loss (MIHL). In addition, elements of
current research related to this area and future directions are highlighted.
PMID- 26557334
TI - Comparison of speech intelligibility measures for an electronic amplifying
earmuff and an identical passive attenuation device.
AB - The purpose of this study was to identify any differences between speech
intelligibility measures obtained with MineEars electronic earmuffs (ProEars,
Westcliffe, CO, USA) and the Bilsom model 847 (Sperian Hearing Protection, San
Diego, CA, USA), which is a conventional passive-attenuation earmuff. These two
devices are closely related, since the MineEars device consisted of a Bilsom 847
earmuff with the addition of electronic amplification circuits. Intelligibility
scores were obtained by conducting listening tests with 15 normal-hearing human
subject volunteers wearing the earmuffs. The primary research objective was to
determine whether speech understanding differs between the passive earmuffs and
the electronic earmuffs (with the volume control set at three different
positions) in a background of 90 dB(A) continuous noise. As expected, results
showed that speech intelligibility increased with higher speech-to-noise ratios;
however, the electronic earmuff with the volume control set at full-on performed
worse than when it was set to off or the lowest on setting. This finding suggests
that the maximum volume control setting for these electronic earmuffs may not
provide any benefits in terms of increased speech intelligibility in the
background noise condition that was tested. Other volume control settings would
need to be evaluated for their ability to produce higher speech intelligibility
scores. Additionally, since an extensive electro-acoustic evaluation of the
electronic earmuff was not performed as a part of this study, the exact cause of
the reduced intelligibility scores at full volume remains unknown.
PMID- 26557335
TI - Listening levels of teenage iPod users: does measurement approach matter?
AB - The main objective of this study was to determine the influence of background
noise levels and measurement approach on user-selected listening levels (USLLs)
chosen by teenaged MP3 player users. It was hypothesized that the presence of
background noise would (i) increase the USLL across all measurement approaches,
(ii) result in no significant USLL differences between survey reports, objective
lab measures or calibrated self-report field measures, and (iii) cause no
interaction effect between level of background noise and measurement approach.
There were two independent variables in this study: the level of background noise
and measurement approach. The first independent variable, level of background
noise, had two levels: quiet and transportation noise. The second independent
variable, measurement approach, had three levels: survey, objective in-ear lab
measurement and calibrated self-report field measurement. The dependent variable
was ear canal A-weighted sound pressure level (dBA SPL). A 2 * 3 repeated
measures ANOVA was used to determine the significance of the main and interaction
effects. USLLs increased in the presence of background noise, regardless of the
measurement approach used. However, the listening levels estimated by the
participants using the survey and self-report field measure were significantly
lower than those recorded using in-ear laboratory measurements by 9.6 and 3.3 dBA
respectively. In-ear laboratory measures yielded the highest listening levels.
Higher listening levels were observed in the presence of background noise for all
measurement approaches. It appears that subjects' survey responses underestimate
true listening levels in comparison to self-report calibrated field measures, and
that both underestimate listening levels measured in the laboratory setting. More
research in this area is warranted to determine whether measurement techniques
can be refined and adjusted to accurately reflect real-world listening
preferences.
PMID- 26557336
TI - Notched-noise embedded frequency specific chirps for objective audiometry using
auditory brainstem responses.
AB - It has been shown recently that chirp-evoked auditory brainstem responses (ABRs)
show better performance than click stimulations, especially at low intensity
levels. In this paper we present the development, test, and evaluation of a
series of notched-noise embedded frequency specific chirps. ABRs were collected
in healthy young control subjects using the developed stimuli. Results of the
analysis of the corresponding ABRs using a time-scale phase synchronization
stability (PSS) measure are also reported. The resultant wave V amplitude and
latency measures showed a similar behavior as for values reported in literature.
The PSS of frequency specific chirp-evoked ABRs reflected the presence of the
wave V for all stimulation intensities. The scales that resulted in higher PSS
are in line with previous findings, where ABRs evoked by broadband chirps were
analyzed, and which stated that low frequency channels are better for the
recognition and analysis of chirp-evoked ABRs. We conclude that the development
and test of the series of notched-noise embedded frequency specific chirps
allowed the assessment of frequency specific ABRs, showing an identifiable wave V
for different intensity levels. Future work may include the development of a
faster automatic recognition scheme for these frequency specific ABRs.
PMID- 26557337
TI - A report of extended high frequency audiometry thresholds in school-age children
with no hearing complaints.
AB - The aim of the study was to establish the extended high frequency thresholds
(EHF) of school-age children with no hearing complaints. The study was conducted
on 50 children aged 8 to 12 years with pure tone thresholds (0.5, 1 and 2 kHz) of
15 dB HL or less, with normal speech discrimination and tympanometry and with the
presence of contralateral acoustic reflexes of 0.5, 1, 2 and 4 kHz. The children
were tested for EHF at frequencies of 9, 10, 11.2, 12.5, 14 and 16 kHz. No
significant differences were found between the right and left ear for female and
male groups. The results allowed us to group the children into a single sample
with mean thresholds (dB) of 8.6 (9 kHz), 6.2 (10 kHz), 8.2 (11.2 kHz), 7.1 (12.5
kHz), 0.4 (14 kHz), and -3.6 (16 kHz). We conclude that, for school-age children,
the extended EHF below 15 dBHL could be used as an indication of normal hearing
sensitivity.
PMID- 26557338
TI - Characteristics of multiple sclerosis patient stance control disorders, measured
by means of posturography and related to brainstem lesions.
AB - Balance disorders are commonly observed during the course of multiple sclerosis
(MS). The aim of this study is to report characteristics of MS patient stance
control disorders, measured by means of posturography and related to the
brainstem lesions. Thirty-eight patients affected by MS, mildly to moderately
disable according to Kurtzke's Expanded Disability Status Scale, underwent a
complete clinical neurological and vestibular evaluation and brain MRI scanning.
All patients were then tested on a static posturography platform (Tetrax, Israel)
in four conditions: eyes open and eyes closed standing on a firm surface and on a
foam pad. Clinical and/or magnetic resonance imaging evidence of brainstem
involvement was observed in 55.3% of patients. When brainstem lesion was
detected, Fourier analysis showed a typical pattern characterized by inversion of
the 0-0.1 Hz and 0.1-0.25 Hz frequency bands. In conclusion, MS leads to
pervasive postural disturbances in the majority of subjects, including the visuo
vestibular loops and proprioception involving vestibulo-spinal pathways in at
least 55.3% of patients. Our results may also suggest the presence of Fourier
inversion in patients with brainstem lesions.
PMID- 26557339
TI - Evaluation of Speech Intelligibility and Sound Localization Abilities with
Hearing Aids Using Binaural Wireless Technology.
AB - Wireless synchronization of the digital signal processing (DSP) features between
two hearing aids in a bilateral hearing aid fitting is a fairly new technology.
This technology is expected to preserve the differences in time and intensity
between the two ears by co-ordinating the bilateral DSP features such as
multichannel compression, noise reduction, and adaptive directionality. The
purpose of this study was to evaluate the benefits of wireless communication as
implemented in two commercially available hearing aids. More specifically, this
study measured speech intelligibility and sound localization abilities of normal
hearing and hearing impaired listeners using bilateral hearing aids with wireless
synchronization of multichannel Wide Dynamic Range Compression (WDRC). Twenty
subjects participated; 8 had normal hearing and 12 had bilaterally symmetrical
sensorineural hearing loss. Each individual completed the Hearing in Noise Test
(HINT) and a sound localization test with two types of stimuli. No specific
benefit from wireless WDRC synchronization was observed for the HINT; however,
hearing impaired listeners had better localization with the wireless
synchronization. Binaural wireless technology in hearing aids may improve
localization abilities although the possible effect appears to be small at the
initial fitting. With adaptation, the hearing aids with synchronized signal
processing may lead to an improvement in localization and speech intelligibility.
Further research is required to demonstrate the effect of adaptation to the
hearing aids with synchronized signal processing on different aspects of auditory
performance.
PMID- 26557340
TI - Are Open-Fit Hearing Aids a Possible Alternative to Bone-Anchored Hearing Devices
in Patients with Mild to Severe Hearing Loss? A Preliminary Trial.
AB - Open-fit hearing aids (OFHAs) may be of benefit for some individuals with chronic
outer and middle ear conditions for which boneanchored hearing devices (BAHDs)
are normally recommended. The purpose of this study was to compare performance
between OFHAs and BAHDs. A Starkey Destiny 800 OFHA was fit on eight adult BAHD
users and speech perception measures in quiet and in background noise were
compared under two different test conditions: i) BAHD only and ii) OFHA only.
Equivalent outcome measure performance between these two conditions suggests that
the OFHA was able to provide sufficient amplification for mild to moderate
degrees of hearing loss (pure-tone averages (PTAs) less than 47 dB HL). The
improved speech perception performances and increased loudness ratings observed
for several of the participants with moderately-severe to severe degrees of
hearing loss (PTAs of 47 dB HL or greater) in the BAHD only condition suggest
that the OFHA did not provide sufficient amplification for these individuals.
Therefore, OFHAs may be a successful alternative to the BAHD for individuals with
no more than a moderate conductive hearing loss who are unable or unwilling to
undergo implant surgery or unable to wear conventional hearing aids due to
allergies, irritation, or chronic infection associated with the ear being blocked
with a shell or earmold.
PMID- 26557341
TI - Effects of Stimulus Intensity on Low-Frequency Toneburst Cochlear Microphonic
Waveforms.
AB - This study investigates changes in amplitude and delays in low-frequency
toneburst cochlear microphonic (CM) waveforms recorded at the ear canal in
response to different stimulus intensities. Ten volunteers aged 20-30 were
recruited. Low-frequency CM waveforms at 500 Hz in response to a 14-ms toneburst
were recorded from an ear canal electrode using electrocochleography techniques.
The data was statistically analyzed in order to confirm whether the differences
were significant in the effects of stimulus intensity on the amplitudes and
delays of the low-frequency CM waveforms. Electromagnetic interference artifacts
can jeopardize CM measurements but such artifacts can be avoided. The CM
waveforms can be recorded at the ear canal in response to a toneburst which is
longer than that used in ABR measurements. The CM waveforms thus recorded are
robust, and the amplitude of CM waveforms is intensity-dependent. In contrast,
the delay of CM waveforms is intensity-independent, which is different from
neural responses as their delay or latency is intensity-dependent. These findings
may be useful for development of the application of CM measurement as a
supplementary approach to otoacoustic emission (OAE) measurement in the clinic
which is severely affected by background acoustic noise. The development of the
application in the assessment of low-frequency cochlear function may become
possible if a further series of studies can verify the feasibility, but it is not
meant to be a substitute for audiometry or OAE measurements. The measurement of
detection threshold of CM waveform responses using growth function approach may
become possible in the clinic. The intensity-independent nature of CMs with
regards to delay measurements may also become an impacting factor for
differential diagnoses and for designing new research studies.
PMID- 26557342
TI - Cervical and Ocular Vestibular Evoked Myogenic Potentials Test Results in
Individuals with Auditory Neuropathy Spectrum Disorders.
AB - Auditory neuropathy spectrum disorder is a clinical disorder where the outer hair
cell functioning is intact but the functioning of the auditory nerve is affected.
Since, the 8(th) nerve is constituted by both the auditory and vestibular branch
of nerve fibers, there are chances that the vestibular nerve might also be
affected. Hence, the current study was carried out in order to determine the
functioning of vestibular nerve in individuals with auditory neuropathy. A total
of 11 participants were considered for the current study. Cervical vestibular
evoked myogenic potentials (cVEMPs) and ocular vestibular evoked myogenic
potentials (oVEMPs) were administered using the conventional protocol. In all the
participants (100%) the oVEMPs were absent whereas in 20 ears out of 22 ears
tested (90.90%) the cVEMPs were absent. The results of the present study indicate
a high incidence of vestibular involvement in individuals with auditory
neuropathy spectrum disorders. Also, it necessitates the inclusion of vestibular
tests in the test battery used to assess individuals with auditory neuropathy
spectrum disorder.
PMID- 26557343
TI - Evaluation of the Hearing Aid Rehabilitation Questionnaire in Dutch: Examination
of its Psychometric Properties and Potential Use as a Screening Instrument.
AB - Items pertaining to hearing and hearing aids from the Hearing Aid Rehabilitation
Questionnaire were applied to a heterogeneous sample of Dutch patients aged 55
years and more to evaluate their potential use in hearing screening. Subjects
aged 55+ were recruited from a large general practitioners practice to
participate. Three groups were formed: a group of 63 persons with a hearing aid,
a group of 64 without a hearing aid but with sufficient hearing impairment to
qualify for hearing aid reimbursement, and a group of 85 non-hearing impaired
persons. Factor and reliability analyses revealed a structure with two scales
regarding hearing, namely functionality and social hearing and three scales
pertaining to hearing aids, namely hearing aid stigma, pressure to be assessed
and not wanting a hearing aid. Scale validity was assessed with pure tone
averages over the frequencies 1, 2 and 4 kHz and with a visual analogue scale for
subjective hearing. The derived scales can be applied reliably in audiological
assessment in an adult hearing screen setting to detect experienced hearing
problems as well as attitudes related to hearing and hearing aids.
PMID- 26557344
TI - Comparison of Cervical and Ocular Vestibular Evoked Myogenic Potentials in
Dancers and Non-Dancers.
AB - The objective of the study was to assess the sacculocollic and otolith ocular
pathway function using cervical vestibular evoked myogenic potentials (cVEMP) and
ocular vestibular myogenic potentials (oVEMP) in dancers and non dancers. Total
16 subjects participated in the study. Out of 16 participants, 8 were trained in
Indian classical form of dance (dancers) and other 8 participants who were not
trained in any dance form (non dancers). cVEMP and oVEMP responses were recorded
for all the subjects. Non Parametric Mann-Whitney U test revealed no significant
difference between dancers and non dancers for the latency and amplitude
parameter for cVEMP and oVEMP, i.e. P13, N23 latency and P13-N23 complex
amplitude and N10, P14 latency, N10-P14 complex amplitude respectively. The
vestibular system comprises of several structures. It is possible that the dance
style practiced by the dancer's group assessed in this study does not contribute
towards improving the plasticity of the sacculocollic and otolith-ocular
pathways. It can be concluded that not all forms of dance training brings about a
change in the plasticity of the sacculocollic and otolithocular pathways.
PMID- 26557345
TI - Pediatric Physicians' Referral of Children Aged 0-3 Years for Audiological
Evaluation in the Public Health Care Sector.
AB - The current study aimed to determine the current practice of pediatric physicians
in the referral of children (0-3 years) for further audiological evaluation in
the South African public health care sector. Sixty three pediatric physicians
comprising of pediatricians, neonatologists, medical officers, registrars and
interns from three academic hospitals completed a self- administered
questionnaire. Most participants reported referrals to an audiologist when
hearing loss was suspected. An average of eight risk factors for hearing loss
listed on the Health Professionals Council of South Africa (HPCSA) 2007 position
statement were identified by participants, indicating the need for referral.
Generally, participants reported that referral/s occurred easily within the
respective hospitals. Results highlight that pediatric physicians are aware of
the role that audiologists play in the diagnosis and management of hearing loss,
are involved in the referral of children that are at risk for hearing loss, and
have awareness of some of the known risk factors associated with hearing loss.
Further education regarding other risk factors is required in order to increase
referral/s, and ensure appropriate referral of children at risk for hearing loss.
PMID- 26557346
TI - Pediatric Evaluation of the ClearVoiceTM Speech Enhancement Algorithm in Everyday
Life.
AB - ClearVoiceTM enables Advanced Bionics cochlear implant users to improve their
speech understanding in difficult listening environments, without compromising
performance in quiet situations. The aim of the study was to evaluate the
benefits of ClearVoice in children. Children between six and fourteen years of
age randomly tested two modalities of ClearVoice for one month each. The baseline
program, HiRes 120TM, and both ClearVoice programs were evaluated with a sentence
test in quiet and noise. Parents and teachers completed a questionnaire related
to everyday noisy situations. The switchover to ClearVoice was uneventful for
both modalities. Adjustments to thresholds and comfort levels were required.
Seven out of the nine children preferred a ClearVoice program. No impact of
ClearVoice on performance in quiet was observed and both modalities of ClearVoice
improved speech understanding in noise compared to the baseline program,
significantly with ClearVoice high. Positive outcomes were obtained from the
questionnaires and discussions with parents and children. This study showed that
children benefited from using ClearVoice in their daily life. There was a clear
trend towards improved speech understanding in noise with ClearVoice, without
affecting performance in quiet; therefore ClearVoice can be used by children all
day, without having to change programs.
PMID- 26557347
TI - Effect of Stimulus Polarity on Speech Evoked Auditory Brainstem Response.
AB - The aim of the present study was to investigate the effect of stimulus polarity
on speech evoked auditory brainstem response (ABR). In order to accomplish it,
speech evoked ABR was recorded with various stimulus polarities from 17 normally
hearing adults. The result of the study shows differential effect of stimulus
polarity on components of speech evoked ABR. Latency of peaks for onset,
sustained and offset responses of speech evoked ABR were found to be not
significantly different across stimulus polarities. In contrast, the amplitude of
first formant and high frequency components was found to be significantly reduced
for alternating polarity compared to single polarity, while amplitude of
fundamental frequency response was not affected by polarity of the stimuli. Thus
speech evoked ABR may be recorded using single polarity rather than using
alternating polarities.
PMID- 26557348
TI - Language Outcomes Using Hearing Aids in Children with Auditory Dys-Synchrony.
AB - There is a considerable debate on the use of hearing aid in children with
auditory dys-synchrony (AD). To current date there are no similar Studies which
show the effect of acoustic amplification on outcomes on speech and language
development in children with AD are found in the literature. Thus, in the present
study three children diagnosed to have AD were recruited and subjected to hearing
aid trial and fitting based on the late latency response thresholds. Ranging from
7 months to 41 months of intervention period, each child's language age was
reassessed and compared with that of their pre-therapy language age. The
comparison showed a good improvement in receptive age, though the children had
moderate to severe degree of hearing loss. But their expressive age was
significantly delayed irrespective of their age of identification and duration of
intervention. Thus the delay in expression with a good amount of improvement in
reception can be a characteristic of an AD child with amplification.
PMID- 26557349
TI - Optimizing Stimulus Repetition Rate for Recording Ocular Vestibular Evoked
Myogenic Potential Elicited by Air-Conduction Tone Bursts of 500 Hz.
AB - Amidst several publications reporting the effects of stimulus-related parameters
on ocular vestibular evoked myogenic potential (oVEMP), the effect of the
repetition rate on oVEMP responses has largely gone unexplored. Studies have used
a repetition rate of ~5.1 Hz mainly due to a presumption that oVEMP, like
cervical VEMP, should produce best responses for ~5 Hz, although there is paucity
of experimental evidence to support this hypothesis. 52 healthy individuals in
the age range of 17-35 years underwent air-conduction oVEMP elicited by 500 Hz
tone-bursts using seven different repetition rates (3.1, 5.1, 10.1, 15.1, 20.1,
25.1 and 30.1 Hz). The results revealed a tendency for prolongation of latencies
and reduction in amplitude with increasing repetition rate. However,
significantly longer latencies were observed only for 20.1 Hz and larger
amplitudes for 3.1 and 5.1 Hz (P<0.05). There was no significant difference
between the rates of 3.1 Hz and 5.1 Hz. However 3.1 Hz produced poorer signal-to
noise ratio and required considerably longer time and thereby had lesser
efficiency than 5.1 Hz (P<0.05). This would also result in higher fatigue and
irritation levels considering the physical act of maintaining a supero-medial
gaze. Thus the use of 5.1 Hz is recommended for clinical recording of oVEMP.
PMID- 26557350
TI - Influence of Native and Non-Native Multitalker Babble on Speech Recognition in
Noise.
AB - The aim of the study was to assess speech recognition in noise using multitalker
babble of native and non-native language at two different signal to noise ratios.
The speech recognition in noise was assessed on 60 participants (18 to 30 years)
with normal hearing sensitivity, having Malayalam and Kannada as their native
language. For this purpose, 6 and 10 multitalker babble were generated in Kannada
and Malayalam language. Speech recognition was assessed for native listeners of
both the languages in the presence of native and non-native multitalker babble.
Results showed that the speech recognition in noise was significantly higher for
0 dB signal to noise ratio (SNR) compared to -3 dB SNR for both the languages.
Performance of Kannada Listeners was significantly higher in the presence of
native (Kannada) babble compared to non-native babble (Malayalam). However, this
was not same with the Malayalam listeners wherein they performed equally well
with native (Malayalam) as well as non-native babble (Kannada). The results of
the present study highlight the importance of using native multitalker babble for
Kannada listeners in lieu of non-native babble and, considering the importance of
each SNR for estimating speech recognition in noise scores. Further research is
needed to assess speech recognition in Malayalam listeners in the presence of
other non-native backgrounds of various types.
PMID- 26557351
TI - Evaluation of Temporal Difference Limen in Preoperative Non-Invasive Ear Canal
Audiometry as a Predictive Factor for Speech Perception after Cochlear
Implantation.
AB - The temporal difference limen (TDL) can be measured with noninvasive electrical
ear canal stimulation. The objective of the study wa to determine the role of
preoperative TDL measurements in predicting patients' speech perception after
cochlear implantation. We carried out a retrospective chart analysis of fifty
four cochlear implant (CI) patients with preoperative TDL and postoperative
bisyllabic word recognition measurements in Helsinki University Central Hospital
between March 1994 and March 2011. Our results show that there is no correlation
between TDL and postoperative speech perception. However, patient's advancing age
correlates with longer TDL but not-directly with poorer speech perception. The
results are in line with previous results concerning the lack of predictive value
of preoperativ TDL measurements in CI patients.
PMID- 26557352
TI - The Relationship Between Acceptable Noise Level and Electrophysiologic Auditory
Brainstem and Cortical Signal to Noise Ratios.
AB - The following objectives of the study were formulated: i) to investigate
differences in measured signal to noise ratios while recording speech-evoked
auditory brainstem response (cABR) and cortical late latency response (LLR) in
low and high acceptable noise level (ANL) groups; and ii) to compare peak to peak
amplitude of cABR (V-A) and LLR (N1-P2) in low and high ANL groups. A total of 23
normal hearing participants was included in the study. One shot replicative and
partly exploratory research design was utilized to study the effect of signal to
noise ratio in a recorded waveform on afferent mechanism, assessed by cABR and
LLR on participants having values of ANL of <=7 (low ANL group) and >=13 (high
ANL group). There were no differences in signal to noise ratio in the recorded
waveforms of cABR and LLR between low and high ANL groups at both brainstem and
cortical levels. However, the peak to peak amplitude of V-A of cABR and N1-P2 of
LLR were both statistically larger in the high ANL group compared to their
counterpart. The signal to noise ratio in recorded waveforms did not
differentiated cABR (V-A) or LLR (N1-P2) in low and high ANL groups. However,
Larger peak to peak amplitudes in the high ANL group suggests differences higher
processing centers in the upper brainstem to the auditory cortex. The findings of
the study may be useful in determining the patient acceptability of noise.
PMID- 26557353
TI - Survey on Infant Hearing Loss at Caritas Baby Hospital in Bethlehem-Palestine.
AB - This study describes the epidemiology of infants' hearing loss (IHL) among
patients under 3 months of age at Caritas Baby Hospital, the only pediatric
hospital in Palestine. It was aimed to demonstrate that IHL is a major health
problem in Palestine and to assess the first available data of the newborn
hearing screening program conducted between September 25, 2006 and December 31,
2011. Data was uploaded and analyzed using Microsoft Excel and the Statistical
Package for the Social Sciences software (SPSS version 21). A total of 8144
infants were tested, 4812 (59%) were males and 3332 (41%) were females. As to
their origin, 72% (5886) came from the Bethlehem district, 25% (2044) from the
Hebron district, while 3% (214) from the other Palestinian districts (Jericho,
Ramallah, Nablus, Jenin and Jerusalem). The transient evoked otoacoustic
emissions (TEOAEs) and the automated auditory brainstem response were used
according to the manufacturer guidelines. The results were interpreted according
to the indications of the American Academy of Pediatrics, the National Institutes
of Health, and the European Consensus Development Conference on Neonatal Hearing
Screening. Out of the 8144 infants tested, 1507 (14.6%) did not pass the 1(st)
test, 477 (32.8%) of these 1507 infants failed retesting, while 498 (33%)
patients were lost to follow-up. Only 152 (31.9%) patients that failed retesting
went to an audiologist. The audiologist evaluation revealed that 101 (66.4%)
patients presented with a mild-moderate or profound hearing loss according to the
Bureau International of Audiophonologie standards, 44 (28.9%) patients had otitis
media, whereas 7 cases (4.7%) had no hearing disorders. The overall unadjusted
percentage of hearing loss was 1.24%, and the adjusted overall percentage was
1.85%. The chart review showed that jaundice, sepsis, prematurity, lung disease
were more common among the affected patients. The high prevalence of childhood
deafness in Palestine is of utmost importance and deserves immediate attention on
the part of the Palestinian government. Meanwhile, Caritas Baby Hospital
undertook to set up a newborn hearing screening unit utilizing the TEOAE method.
PMID- 26557354
TI - Short-Term Musical Training and Pyschoacoustical Abilities.
AB - The aim of the study was to assess the effect of short-term perceptual training
of music on some psycho-acoustical measures. The study was carried out in three
phases. In first the phase pre-training evaluation was done which included raga
identification and various psycho acoustical tests. Psycho-acoustical tests
included measurement of differential limen of frequency and intensity, duration
discrimination, gap detection, modulation detection, backward masking and
duration pattern test. In the second phase, auditory perceptual training was
given for raga identification and in the third phase post- training evaluation
was done though same tests as mentioned in pre-training phase. A total of 10
normal hearing adults (7 males, 3 females) in the age range of 18-25 years
participated in the study. The results revealed that all the subjects performed
significantly better on raga identification after training. However; there was no
significant difference in psycho-acoustical measures in pre and post-training.
PMID- 26557355
TI - Effect of Repetition Rate on Speech Evoked Auditory Brainstem Response in Younger
and Middle Aged Individuals.
AB - Speech evoked auditory brainstem responses depicts the neural encoding of speech
at the level of brainstem. This study was designed to evaluate the neural
encoding of speech at the brainstem in younger population and middle-aged
population at three different repetition rates (6.9, 10.9 and 15.4). Speech
evoked auditory brainstem response was recorded from 84 participants (young
participants=42, middle aged participants=42) with normal hearing sensitivity.
The latency of wave V and amplitude of the fundamental frequency, first formant
frequency and second formant frequency was calculated. Results showed that the
latency of wave V was prolonged for middle-aged individuals for all three
repetition rates compared to the younger participants. The results of the present
study also revealed that there was no difference in encoding of fundamental
frequency between middle aged and younger individuals at any of the repetition
rates. However, increase in repetition rate did affect the encoding of the
fundamental frequency in middle-aged individuals. The above results suggest a
differential effect of repetition rate on wave V latency and encoding of
fundamental frequency. Further, it was noticed that repetition rate did not
affect the amplitude of first formant frequency or second formant frequency in
middle aged participants compared to the younger participants.
PMID- 26557356
TI - Psychoacoustic Characteristics of Tinnitus in Individuals with Auditory
Neuropathy Spectrum Disorder.
AB - The study aimed at understanding the psychoacoustic characteristics of tinnitus
in individuals with auditory neuropathy spectrum disorder (ANSD). It attempts to
assess the pitch and intensity of loudness of tinnitus matched by individuals
with ANSD. Fifty individuals who were diagnosed as having auditory neuropathy
spectrum disorder were included in the study. Tinnitus evaluation was carried out
where the individuals matched the frequency and intensity of loudness of the
tinnitus. The results of the study shows that pitch matched by majority of
patients with ANSD is predominantly low pitched (<1000 Hz). The frequency of
tinnitus matched by the patients with ANSD also correlated with the degree of
maximal hearing loss. The intensity of loudness of the tinnitus was around 10-15
dB higher than their threshold in majority of the patients considered in the
study. There was a weak negative correlation for the matched frequency and
intensity of loudness. The results of the study suggest that majority of
individuals with low frequency hearing loss had low pitched tinnitus. Thus, there
could be discordant damage between outer and inner hair cells, abnormal firing of
auditory nerve in individuals with ANSD which can lead to tinnitus. Thus, it can
help to understand the physiology of tinnitus in individuals with ANSD.
PMID- 26557357
TI - Effect of Compression, Digital Noise Reduction and Directionality on Envelope
Difference Index, Log-Likelihood Ratio and Perceived Quality.
AB - The aim of the present study was to evaluate the use of the envelope difference
index (EDI) and log-likelihood ratio (LLR) to quantify the independent and
interactive effects of wide dynamic range compression, digital noise reduction
and directionality, and to carry out self-rated quality measures. A recorded
sentence embedded in speech spectrum noise at +5 dB signal to noise ratio was
presented to a four channel digital hearing aid and the output was recorded with
different combinations of algorithms at 30, 45 and 70 dB HL levels of
presentation through a 2 cc coupler. EDI and LLR were obtained in comparison with
the original signal using MATLAB software. In addition, thirty participants with
normal hearing sensitivity rated the output on the loudness and clarity
parameters of quality. The results revealed that the temporal changes happening
at the output is independent of the number of algorithms activated together in a
hearing aid. However, at a higher level of presentation, temporal cues are better
preserved if all of these algorithms are deactivated. The spectral components
speech tend to get affected by the presentation level. The results also indicate
the importance of quality rating as this helps in considering whether the
spectral and/or temporal deviations created in the hearing aid are desirable or
not.
PMID- 26557358
TI - Simultaneous Extratympanic Electrocochleography and Auditory Brainstem Responses
Revisited.
AB - The purpose of this study was to revisit the two-channel, simultaneous click
evoked extratympanic electrocochleography and auditory brainstem response
(ECoG/ABR) recording technique for clinical use in normal hearing participants.
Recording the compound action potential (AP) of the ECoG simultaneously with ABR
may be useful when Wave I of the ABR is small or diminished in patients with
sensorineural or retrocochlear disorder and minimizes overall test time. In
contrast to some previous studies that used the extratympanic electrode both as
non-inverting electrode for the ECoG and inverting electrode for ABR, this study
maintained separate recording channel montages unique to conventional click
evoked ECoG and ABR recordings. That is, the ABR was recorded using a vertical
channel (Cz to ipsilateral earlobe), while the ECoG with custom extratympanic
electrode was recorded using a horizontal channel (tympanic membrane to
contralateral earlobe). The extratympanic electrode is easy to fabricate in
house, or can be purchased commercially. Maintaining the conventional ABR montage
permits continued use of traditional normative data. Broadband clicks at a fixed
level of 85 dB nHL were presented with alternating polarity at stimulus rates of
9.3, 11.3, and 15.3/s. Different stimulation rates were explored to identify the
most efficient rate without sacrificing time or waveform morphology. Results
revealed larger ECoG AP than ABR Wave I, as expected, and no significant
difference across stimulation rate and no interaction effect. Extratympanic
electrode placement takes little additional clinic time and may improve the
neurodiagnostic utility of the ABR.
PMID- 26557359
TI - The Effect of Short-Term Musical Training on Speech Perception in Noise.
AB - The aim of the study was to assess the effect of short-term musical training on
speech perception in noise. In the present study speech perception in noise was
measured pre- and post- short-term musical training. The musical training
involved auditory perceptual training for raga identification of two Carnatic
ragas. The training was given for eight sessions. A total of 18 normal hearing
adults in the age range of 18-25 years participated in the study wherein group 1
consisted of ten individuals who underwent musical training and group 2 consisted
of eight individuals who did not undergo any training. Results revealed that post
training, speech perception in noise improved significantly in group 1, whereas
group 2 did not show any changes in speech perception scores. Thus, short-term
musical training shows an enhancement of speech perception in the presence of
noise. However, generalization and long-term maintenance of these benefits needs
to be evaluated.
PMID- 26557360
TI - Habituation of Auditory Steady State Responses Evoked by Amplitude-Modulated
Acoustic Signals in Rats.
AB - Generation of the auditory steady state responses (ASSR) is commonly explained by
the linear combination of random background noise activity and the stationary
response. Based on this model, the decrease of amplitude that occurs over the
sequential averaging of epochs of the raw data has been exclusively linked to the
cancelation of noise. Nevertheless, this behavior might also reflect the non
stationary response of the ASSR generators. We tested this hypothesis by
characterizing the ASSR time course in rats with different auditory maturational
stages. ASSR were evoked by 8-kHz tones of different supra-threshold intensities,
modulated in amplitude at 115 Hz. Results show that the ASSR amplitude habituated
to the sustained stimulation and that dishabituation occurred when deviant
stimuli were presented. ASSR habituation increased as animals became adults,
suggesting that the ability to filter acoustic stimuli with no-relevant temporal
information increased with age. Results are discussed in terms of the current
model of the ASSR generation and analysis procedures. They might have
implications for audiometric tests designed to assess hearing in subjects who
cannot provide reliable results in the psychophysical trials.
PMID- 26557362
TI - Nystagmus in Enlarged Vestibular Aqueduct: A Case Series.
AB - Enlarged vestibular aqueduct (EVA) is one of the commonly identified congenital
temporal bone abnormalities associated with sensorineural hearing loss. Hearing
loss may be unilateral or bilateral, and typically presents at birth or in early
childhood. Vestibular symptoms have been reported in up to 50% of affected
individuals, and may be delayed in onset until adulthood. The details of
nystagmus in patients with EVA have not been previously reported. The objectives
were to describe the clinical history, vestibular test findings and nystagmus
seen in a case series of patients with enlarged vestibular aqueduct anomaly.
Chart review, included computed tomography temporal bones, infrared
nystagmography with positional and positioning testing, caloric testing, rotary
chair and vibration testing. Clinical history and nystagmus varied among the five
patients in this series. All patients were initially presumed to have benign
paroxysmal positional vertigo, but repositioning treatments were not effective,
prompting referral, further testing and evaluation. In three patients with
longstanding vestibular complaints, positional nystagmus was consistently
present. One patient had distinct recurrent severe episodes of positional
nystagmus. Nystagmus was unidirectional and horizontal. In one case horizontal
nystagmus was consistently reproducible with seated head turn to the affected
side, and reached 48 d/s. Nystagmus associated with enlarged vestibular aqueduct
is often positional, and can be confused with benign paroxysmal positional
vertigo. Unexplained vestibular symptoms in patients with unilateral or bilateral
sensorineural hearing loss should prompt diagnostic consideration of EVA.
PMID- 26557361
TI - Outlook for Tissue Engineering of the Tympanic Membrane.
AB - Tympanic membrane perforation is a common problem leading to hearing loss.
Despite the autoregenerative activity of the eardrum, chronic perforations
require surgery using different materials, from autologous tissue - fascia,
cartilage, fat or perichondrium - to paper patch. However, both, surgical
procedures (myringoplasty or tympanoplasty) and the materials employed, have a
number of limitations. Therefore, the advances in this field are incorporating
the principles of tissue engineering, which includes the use of scaffolds,
biomolecules and cells. This discipline allows the development of new
biocompatible materials that reproduce the structure and mechanical properties of
the native tympanic membrane, while it seeks to implement new therapeutic
approaches that can be performed in an outpatient setting. Moreover, the creation
of an artificial tympanic membrane commercially available would reduce the
duration of the surgery and costs. The present review analyzes the current
treatment of tympanic perforations and examines the techniques of tissue
engineering, either to develop bioartificial constructs, or for tympanic
regeneration by using different scaffold materials, bioactive molecules and
cells. Finally, it considers the aspects regarding the design of scaffolds,
release of biomolecules and use of cells that must be taken into account in the
tissue engineering of the eardrum. The possibility of developing new
biomaterials, as well as constructs commercially available, makes tissue
engineering a discipline with great potential, capable of overcoming the
drawbacks of current surgical procedures.
PMID- 26557363
TI - Effect of Compression Ratio on Perception of Time Compressed Phonemically
Balanced Words in Kannada and Monosyllables.
AB - The present study attempted to study perception of time-compressed speech and the
effect of compression ratio for phonemically balanced (PB) word lists in Kannada
and monosyllables. The test was administered on 30 normal hearing individuals at
compression ratios of 40%, 50%, 60%, 70% and 80% for PB words in Kannada and
monosyllables. The results of the study showed that the speech identification
scores for time-compressed speech reduced with increase in compression ratio. The
scores were better for monosyllables compared to PB words especially at higher
compression ratios. The study provides speech identification scores at different
compression ratio for PB words and monosyllables in individuals with normal
hearing. The results of the study also showed that the scores did not vary across
gender for all the compression ratios for both the stimuli. The same test
material needs to be compared the clinical population with central auditory
processing disorder for clinical validation of the present results.
PMID- 26557364
TI - Apogeotropic Posterior Semicircular Canal Benign Paroxysmal Positional Vertigo:
Some Clinical and Therapeutic Considerations.
AB - We lately reported the cases of patients complaining positional vertigo whose
nystagmic pattern was that of a peripheral torsional vertical positional down
beating nystagmus originating from a lithiasis of the non-ampullary arm of the
posterior semicircular canal (PSC). We considered this particular pathological
picture the apogeotropic variant of PSC benign paroxysmal positional vertigo
(BPPV). Since the description of the pilot cases we observed more than 150
patients showing the same clinical sign and course of symptoms. In this paper we
describe, in detail, both nystagmus of apogeotropic PSC BPPV (A-PSC BPPV) and
symptoms reported by patients trying to give a reasonable explanation for these
clinical features. Moreover we developed two specific physical therapies directed
to cure A-PSC BPPV. Preliminary results of these techniques are related.
PMID- 26557365
TI - Factors associated with excessive polypharmacy in older people.
AB - BACKGROUND: Older people are a growing population. They live longer, but often
have multiple chronic diseases. As a consequence, they are taking many different
kind of medicines, while their vulnerability to pharmaceutical products is
increased. The objective of this study is to describe the medicine utilization
pattern in people aged 65 years and older in Belgium, and to estimate the
prevalence and the determinants of excessive polypharmacy. METHODS: Data were
used from the Belgian Health Interview Survey carried out in 2008. Each
respondent was asked to show to the interviewer all medicines that he/she had
taken in the 24 h prior to the interview. Excessive polypharmacy was defined as
the use of nine different kind of medicines or more in the past 24 h; the
relation with the Region of residence, age, gender and additional factors, such
as socioeconomic status, living situation, health status and contacts with health
services, was explored through multivariate models. RESULTS: Eight percent of the
older people (65 years or more) belong to the excessive polypharmacy group.
Factors most strongly associated with excessive polypharmacy are: having a
longstanding illness, chronic condition or handicap, at least 1 contact with a
general practitioner in past 2 months and self-reported depression during the
last year. Ninety percent of persons in the excessive polypharmacy group are
taking medicines active on the cardiovascular system. CONCLUSIONS: In order to
optimize the use of medicines, it is necessary to find a balance between adequate
treatment of diseases and avoiding adverse effects of medicines. Interventions
should aim to increase awareness among healthcare professionals and patients;
they should focus on general practitioners and patients with cardiovascular
diseases, those suffering from depression and those aged 80 years and over.
Monitoring excessive polypharmacy in the older population remains important.
Further studies should explore more in depth other and more specific determinants
of excessive polypharmacy.
PMID- 26557366
TI - Use of plasma metanephrine to aid adrenal venous sampling in combined aldosterone
and cortisol over-secretion.
AB - In patients with primary aldosteronism (PA) undergoing adrenal venous sampling
(AVS), cortisol levels are measured to assess lateralization of aldosterone
overproduction. Concomitant adrenal autonomous cortisol and aldosterone secretion
therefore have the potential to confound AVS results. We describe a case where
metanephrine was measured during AVS to successfully circumvent this problem. A
55-year-old hypertensive male had raised plasma aldosterone/renin ratios and PA
confirmed by fludrocortisone suppression testing. Failure of plasma cortisol to
suppress overnight following dexamethasone and persistently suppressed
corticotrophin were consistent with adrenal hypercortisolism. On AVS, comparison
of adrenal and peripheral A/F ratios (left 5.7 vs peripheral 1.0; right 1.7 vs
peripheral 1.1) suggested bilateral aldosterone production, with the left gland
dominant but without contralateral suppression. However, using
aldosterone/metanephrine ratios (left 9.7 vs peripheral 2.4; right 1.3 vs
peripheral 2.5), aldosterone production lateralized to the left with good
contralateral suppression. The patient underwent left laparoscopic adrenalectomy
with peri-operative glucocorticoid supplementation to prevent adrenal
insufficiency. Pathological examination revealed adrenal cortical adenomas
producing both cortisol and aldosterone within a background of aldosterone
producing cell clusters. Hypertension improved and cured of PA and
hypercortisolism were confirmed by negative post-operative fludrocortisone
suppression and overnight 1 mg dexamethasone suppression testing. Routine
dexamethasone suppression testing in patients with PA permits detection of
concurrent hypercortisolism which can confound AVS results and cause unilateral
PA to be misdiagnosed as bilateral with patients thereby denied potentially
curative surgical treatment. In such patients, measurement of plasma metanephrine
during AVS may overcome this issue. LEARNING POINTS: Simultaneous autonomous
overproduction of cortisol and aldosterone is increasingly recognised although
still apparently uncommon.Because cortisol levels are used during AVS to correct
for differences in dilution of adrenal with non-adrenal venous blood when
assessing for lateralisation, unilateral cortisol overproduction with
contralateral suppression could confound the interpretation of AVS
resultsMeasuring plasma metanephrine during AVS to calculate lateralisation
ratios may circumvent this problem.
PMID- 26557367
TI - Evaluation of Ceftriaxone utilization in internal medicine wards of general
hospitals in Addis Ababa, Ethiopia: a comparative retrospective study.
AB - OBJECTIVES: The irrational use of reserved antimicrobials, such as ceftriaxone,
is one of the global public health issues particularly to low income countries
like Ethiopia, leading to high costs of treatment or therapeutic failure. The
purpose of the present study, thus, is to evaluate the appropriateness of
ceftriaxone utilization in the medicine wards of general hospitals in Addis
Ababa, with reference to the standard treatment guideline of Ethiopia for general
hospitals. METHODS: An institution based retrospective cross sectional study
design was conducted in the internal medicine wards of Hayat and Zewditu Memorial
hospital from 20 January to 20 February, 2014. Medication records of all patients
who were admitted and prescribed with ceftriaxone during the previous one year to
the study period were evaluated in reference to the Ethiopian Standard Treatment
Guideline (STG 2010) for general hospitals. RESULTS: The proportion of patients
who received ceftriaxone was 59.3 % and 49 % in the public & the private
hospital, respectively. Pneumonia, meningitis and sepsis were the common
diagnoses in which ceftriaxone was prescribed in both hospitals. Maintenance
fluids were the top ranked co-prescribed drugs in either hospital. Only 48.9 % in
the public hospital and 44.6 % of records in the private hospital showed overall
ceftriaxone use compliance to the guideline. Days of hospital stay was associated
with appropriateness of ceftriaxone therapy. CONCLUSIONS: Even though ceftriaxone
is one of the most commonly prescribed drugs in both hospitals, appropriateness
of its use, according to the Ethiopian standard treatment guideline, was less
than expected. This was so mainly from its indication and duration of therapy.
Increasing the duration of hospital stay, however, showed to improve the
appropriateness of ceftriaxone utilization.
PMID- 26557368
TI - Engaging Nigerian community pharmacists in public health programs: assessment of
their knowledge, attitude and practice in Enugu metropolis.
AB - OBJECTIVES: The Nigerian health sector battles with control of infectious
diseases and emerging non-communicable diseases. Number of healthcare personnel
involved in public health programs need to be boosted to contain the health
challenges of the country. Therefore, it is important to assess whether community
pharmacists in Nigeria could be engaged in the promotion and delivery of various
public health interventions. This study aimed to assess level of knowledge,
attitude and practice of public health by community pharmacists. METHODS: The
cross sectional survey was carried out in Enugu metropolis. Questionnaire items
were developed from expert literature. Percentage satisfactory knowledge and
practice were obtained by determining the percentage of community pharmacists
that were able to list more than 2 activities or that stated the correct answer.
Attitude score represents the average score on the 5 point Likert scale for each
item. Chi square and Fisher's exact test were used to test for statistically
significant difference in knowledge, attitude and practice of public health
between different groups of community pharmacists. RESULTS: Forty pharmacists
participated in the survey. About one third of the participants had satisfactory
knowledge of public health. With the exception of one item in attitude
assessment, average item score ranged from 'agreed' to 'strongly agreed'. Study
participants scored below satisfactory on practice of public health. Knowledge,
attitude and practice of public health were not influenced by years of practice,
qualification and prior public health experience. Reported barriers to the
practice of public health include inadequate funds, lack of time, lack of space,
cooperation of clients, inadequate staff, government regulation, insufficient
knowledge, and remuneration. CONCLUSIONS: Level of knowledge and practice of
public health by community pharmacists were not satisfactory although they had a
positive attitude towards practice of public health. The findings highlight the
importance of educational interventions targeted towards practicing community
pharmacists to improve their knowledge level on public health issues. Providing
incentives for public health services rendered could increase community
pharmacists' engagement in public health activities.
PMID- 26557369
TI - CanVasc recommendations for the management of antineutrophil cytoplasm antibody
(ANCA)-associated vasculitides - Executive summary.
AB - The Canadian Vasculitis research network (CanVasc) is composed of physicians from
different medical specialties, including rheumatology and nephrology and
researchers with expertise in vasculitis. One of its aims was to develop
recommendations for the diagnosis and management of antineutrophil cytoplasm
antibody (ANCA)-associated vasculitides in Canada. This executive summary
features the 19 recommendations and 17 statements addressing general AAV
diagnosis and management, developed by CanVasc group based on a synthesis of
existing international guidelines, other published supporting evidence and expert
consensus considering the Canadian healthcare context.
PMID- 26557370
TI - Why does the gout attack stop? A roadmap for the immune pathogenesis of gout.
AB - Gout is one of the most severe and frequent rheumatic diseases. Clinical
manifestations of gout arise from uric acid crystal deposition in the
musculoskeletal tissue. At high concentrations of uric acid in the body
(hyperuricaemia), needle-shaped monosodium urate (MSU) crystals are formed. The
structures are ingested by neutrophils and monocytes and thereby trigger robust
activation of the inflammasome, an intracellular protein complex mounting an
inflammatory response. Inflammasome activation builds interleukin-1, which acts
as a proinflammatory mediator and induces vasodilation, recruitment of additional
leucocytes and the expression of proinflammatory cytokines and chemokines. This
process is associated with the clinical manifestation of an acute gout attack.
Such attacks, however, stop rather rapidly and the process of resolution of
inflammation in gout is now better defined. Neutrophils having ingested MSU
crystals undergo a specific form of cell death called NETosis, which is
characterised by the formation of neutrophil extracellular traps (NETs). During
this process, DNA is extruded, allowing the dense packaging of MSU crystals as
well as the degradation of proinflammatory cytokines, thereby allowing the
stopping of the inflammatory process. Reactive oxygen species are essential for
forming NETs and for allowing the resolution of inflammation in gout. This
process of NETosis is critical for understanding tophaceous gout, since tophi are
composed of NETs and densely packed MSU crystals.
PMID- 26557371
TI - Antirheumatic drugs and reproduction in women and men with chronic arthritis.
AB - The impact of rheumatic disease on fertility and reproduction can be remarkable.
Many disease-related factors can influence patients' sexual functioning, perturb
fertility and limit family planning. Antirheumatic pharmacological treatment can
also have a crucial role in this field. Proper counselling, preferably provided
by a multidisciplinary team of rheumatologists, obstetricians, gynaecologists and
neonatologists, is recommended for patients taking antirheumatic drugs, not only
at the beginning, but also during the course of treatment. Paternal exposure to
antirheumatic drugs was not found to be specifically associated with congenital
malformation and adverse pregnancy outcome, therefore discontinuation of these
drugs while planning for conception should be weighed against the risk of disease
flare. Drugs in Food and Drug Administration (FDA) category 'X' should be
withdrawn in a timely manner in women who desire a pregnancy. Meanwhile, disease
control can be achieved with anti-tumour necrosis factor (TNF)-alpha agents,
which are not teratogenic drugs. If maternal disease control is permissive, they
can be stopped as soon as the pregnancy test turns positive and be resumed during
pregnancy in case of a flare.
PMID- 26557372
TI - The osteocyte: key player in regulating bone turnover.
AB - Osteocytes are the most abundant cell type in bone and are distributed throughout
the mineralised bone matrix forming an interconnected network that ideally
positions them to sense and to respond to local biomechanical and systemic
stimuli to regulate bone remodelling and adaptation. The adaptive process is
dependent on the coordinated activity of osteoclasts and osteoblasts that form a
so called bone multicellular unit that remodels cortical and trabecular bone
through a process of osteoclast-mediated bone resorption, followed by a phase of
bone formation mediated by osteoblasts. Osteocytes mediate their effects on bone
remodelling via both cell-cell interactions with osteoclasts and osteoblasts, but
also via signaling through the release of soluble mediators. The remodelling
process provides a mechanism for adapting the skeleton to local biomechanical
factors and systemic hormonal influences and for replacing bone that has
undergone damage from repetitive mechanical loading.
PMID- 26557373
TI - Glucocorticoids: bad or safe for the bones?
AB - Until recently, patients with rheumatoid arthritis (RA) were treated with
monotherapy using conventional drugs such as sulfasalazine, antimalarials,
intramuscular gold and methotrexate, which often leads to persistent arthritis,
loss of functional capacity and decreased quality of life. The use of high-dose
glucocorticoids (GCs) and active RA are both associated with generalised bone
loss and fractures, while GCs have a strong immunosuppressive effect. With the
introduction of very effective tumour-necrosis factor-blockers and other
biologics, clinical remission is a realistic target in around half of the early
patients with RA; the same appears true for the use of methotrexate with chronic
low dose or initially high-dose GCs. With the use of a treat-to-target strategy
focusing on clinical remission or low disease activity in early patients with RA,
the negative effects of systemic inflammation on bone can be inhibited and local
bone loss (in the joints), and generalised bone loss at the spine and hips, can
be limited or prevented. Whether this also leads to a reduction in vertebral and
non-vertebral fractures remains to be demonstrated. Another issue is, in other
systemic rheumatic diseases in which treatment options are smaller and less
effective than in RA, local and systemic bone loss may still occur.
PMID- 26557374
TI - New insights into treatment of osteoporosis in postmenopausal women.
AB - For the prevention of fractures, antiresorptive drugs (bisphosphonates and
denosumab) that decrease high bone resorption and, secondarily, also bone
formation, are the mainstream of therapy. Osteoanabolic drugs, such as
teriparatide, increase bone formation more than bone resorption, and are used in
severe osteoporosis, including patients treated with antiresorptive drugs who
still lose bone and have recurrent fractures. New potential drugs for fracture
prevention that uncouple bone resorption from bone formation include odanacatib,
a specific inhibitor of cathepsin-K, the enzyme that degrades bone collagen type
I, that inhibits bone resorption and only temporarily bone formation, and
monoclonal antibodies against sclerostin (romosozumab, blosozumab), that
stimulate bone formation and decrease bone resorption.
PMID- 26557375
TI - Non-radiographic axial spondyloarthritis and ankylosing spondylitis: what are the
similarities and differences?
AB - The development of the axial spondyloarthritis and ankylosing spondylitis (ASAS)
classification criteria has had several implications for our understanding of the
entire spectrum of spondyloarthritides (SpA). Going beyond the modified New York
criteria, which concentrate on conventional radiographs of the sacroiliac joints
(SIJ) for the classification of ankylosing spondylitis, the ASAS criteria add
active inflammation of the SIJ as obtained by MRI and human leucocyte antigen
(HLA) B27 to classify patients with chronic back pain starting at a young age as
axial SpA (axSpA). AxSpA should be considered as one disease that includes AS,
the radiographic form, as well as the non-radiographic (nr-axSpA) form.
Similarities and differences between these subgroups have been described in 3
studies: 1 local study, 1 national study (German SpA Inception Cohort) and 1
international study mainly conducted to test the efficacy of a tumour necrosis
factor alpha blocker. Most clinical features and assessments of axSpA showed the
same prevalence in patients with and without radiographic changes. However, some
differences have been observed: the male:female ratio, the proportion of patients
with objective signs of inflammation such as bone marrow oedema as detected by
MRI, and the proportion of patients with increased levels of C reactive protein
were higher in patients with AS. Importantly, these factors have also been
identified as prognostic factors for more severe disease in terms of new bone
formation. Thus, nr-axSpA may represent an early stage of AS but may also just be
an abortive form of a disease which does cause much pain but which may also never
lead to structural changes of the axial skeleton. Since the cut-off between nr
axSpA and AS is artificial and unreliable, we think that the term nr-axSpA should
not be used for diagnosis but only for classification for historical reasons.
PMID- 26557376
TI - Old and new treatment targets in axial spondyloarthritis.
AB - Two main treatment targets in axial spondyloarthritis (axSpA) could be currently
defined: (1) reduction of inflammation resulting in control of signs and symptoms
such as pain and stiffness and (2) prevention or retardation of structural damage
progression in the spine resulting in preservation of functional status and
improvement in the long-term outcome. A good control of signs and symptoms could
be successfully achieved nowadays in the majority of patients treated with non
steroidal anti-inflammatory drugs (NSAIDs-the first-line therapy in axSpA) and
with tumour necrosis factor (TNF) alpha blockers (the second-line therapy, if
NSAIDs fail). Several pipeline drugs including interleukin (IL) 17 and IL-23
antagonists might be helpful in the immediate future in achievement of this
treatment target in case of inefficacy of NSAIDs and TNFalpha blockers.
Retardation of radiographical spinal progression in axSpA-disease modification-is
currently a much more challenging task than a good symptom control. In this
review, we discuss symptomatic and possible disease-modifying properties of
current and forthcoming treatment options for axSpA.
PMID- 26557377
TI - Treatment of complex regional pain syndrome type I with bisphosphonates.
AB - Complex regional pain syndrome type I (CRPS-I) is a common and disabling disorder
affecting a peripheral limb, usually developing after a trauma to an extremity.
CRPS-I is characterised by presence of spontaneous pain, allodynia and
hyperalgesia, disproportionate to the inciting event and by a variety of
autonomic disturbances and trophic abnormalities. The pathophysiology of CRPS-I
has not been fully understood. Experimental models have suggested that an initial
triggering event may produce the release of proinflammatory neuropeptides and
cytokines, generating a sort of neurogenic inflammation. Thereafter, increased
microvascular permeability and intramedullary pressure, reduced oxygen extraction
and cellular hypoxia maintain and make the disease worse, producing metabolic
tissue acidosis. In this context, it is probable that, far from being a key
player, the sympathetic nervous system contributes interacting with these
mechanisms and producing vasomotor disturbances. Bisphosphonates (BPs) are potent
inhibitors of osteoclastic activity widely used for the management of
osteoporosis and other metabolic bone diseases. Their primary pharmacological
action is the reduction of bone turnover. An enhanced osteoclastic activity has
never been clearly demonstrated in CRPS-I. Therefore, it is likely that the
positive effects of BPs in this condition are not related to their antiresorptive
properties, but to a more complex interaction between these pharmacological
agents and the pathophysiological mechanisms underlying CRPS-I. Results of
several clinical trials have suggested the potential beneficial effects of BPs in
CRPS-I. In five randomised controlled trials, oral and intravenous alendronate
and intravenous clodronate, pamidronate and neridronate demonstrated to be
effective in reducing pain and improving physical function in patients presenting
with CRPS-I, with a good profile of safety and tolerability. Although these
trials have a number of limitations, including the small samples enrolled, there
is sufficient evidence to support the use of BPs as agents of choice in the
management of CRPS-I.
PMID- 26557378
TI - Rheumatoid arthritis treatment: the earlier the better to prevent joint damage.
AB - The management of rheumatoid arthritis has undergone major advances in recent
years, both in terms of the drugs armamentarium and therapeutic strategy.
Treating disease to target, aiming at remission, through a tight control protocol
is regarded as the standard of care. Reaching clinical and radiographic disease
remission has therefore become an achievable goal. Increasing evidence has
demonstrated that early diagnosis, prompt treatment initiation and early
achievement of remission are the major predictors of long-term clinical,
functional and radiographic outcomes. Concentrating efforts in controlling
disease activity in a very early window of opportunity offers unique sustained
benefits. In this short review, we analysed the available evidence supporting the
value of treating rheumatoid arthritis early and the impact on disease outcomes,
with particular focus on radiographic progression.
PMID- 26557379
TI - Pathophysiology of osteoarthritis: canonical NF-kappaB/IKKbeta-dependent and
kinase-independent effects of IKKalpha in cartilage degradation and chondrocyte
differentiation.
AB - Osteoarthritis (OA), a whole-joint disease driven by abnormal biomechanics and
attendant cell-derived and tissue-derived factors, is a rheumatic disease with
the highest prevalence, representing a severe health burden with a tremendous
economic impact. Members of the nuclear factor kappaB (NF-kappaB) family
orchestrate mechanical, inflammatory and oxidative stress-activated processes,
thus representing a potential therapeutic target in OA disease. The two pivotal
kinases, IkappaB kinase (IKK) alpha and IKKbeta, activate NF-kappaB dimers that
might translocate to the nucleus and regulate the expression of specific target
genes involved in extracellular matrix remodelling and terminal differentiation
of chondrocytes. IKKalpha, required for the activation of the so-called non
canonical pathway, has a number of NF-kappaB-independent and kinase-independent
functions in vivo and in vitro, including controlling chondrocyte hypertrophic
differentiation and collagenase activity. In this short review, we will discuss
the role of NF-kappaB signalling in OA pathology, with emphasis on the functional
effects of IKKalpha that are independent of its kinase activity and NF-kappaB
activation.
PMID- 26557380
TI - Early knee osteoarthritis.
AB - Concepts regarding osteoarthritis, the most common joint disease, have
dramatically changed in the past decade thanks to the development of new imaging
techniques and the widespread use of arthroscopy that permits direct
visualisation of intra-articular tissues and structure. MRI and ultrasound allow
the early detection of pre-radiographic structural changes not only in the peri
articular bone but also in the cartilage, menisci, synovial membrane, ligaments
and fat pad. The significance of MRI findings such as cartilage defects, bone
marrow lesions, synovial inflammation/effusions and meniscal tears in patients
without radiographic signs of osteoarthritis is not fully understood.
Nevertheless, early joint tissue changes are associated with symptoms and, in
some cases, with progression of disease. In this short review, we discuss the
emerging concept of early osteoarthritis localised to the knee based on recently
updated knowledge. We highlight the need for a new definition of early
osteoarthritis that will permit the identification of patients at high risk of
osteoarthritis progression and to initiate early treatment interventions.
PMID- 26557381
TI - Structural integrity versus radiographic progression in rheumatoid arthritis.
AB - Rheumatoid arthritis (RA) is a chronic, progressive and inflammatory disease
often leading to irreversible destruction of articular structures and consequent
disability. The key steps of RA pathogenetic mechanisms are the break of immune
tolerance and the production of autoantibodies, followed by systemic and local
inflammation resulting in damage of both subchondral bone (erosion) and cartilage
(joint space narrowing (JSN)). Evidences from clinical trials suggest that
erosions and JSN are the result of inter-related but partly independent
pathogenetic pathways, in both cases mediated by pro-inflammatory cytokines, even
if a direct effect of cyclic citrullinated peptides (anticitrullinated protein
antibodies, ACPAs) on bone damage had been postulated. As a consequence, the
suppression of inflammation provided by synthetic and biological disease
modifying antirheumatic drugs results in a decreased progression of bone and
cartilage damage, supporting the effectiveness of the treat-to-target strategy.
Nevertheless, radiographic progression may also be detected in patients achieving
a sustained clinical remission. Two main reasons for this apparent uncoupling
between clinical synovitis and damage progression should be considered. First, in
some cases, the use of composite indices to define remission may not be
completely adequate to identify residual disease activity, requiring the
concomitant introduction of more sensible tools such as imaging. Second, the
direct effect of biological drugs on bone destruction inducers, such as pro
inflammatory cytokines, may explain the suppression of radiographic progression
despite the persistence of clinical synovitis. In this review, we discuss the
link between autoimmunity, inflammation, joint damage and disability, focusing on
how radiographic progression may predict functional disability.
PMID- 26557382
TI - Bone and TNF in rheumatoid arthritis: clinical implications.
AB - Experimental data have demonstrated that tumour necrosis factor (TNF) plays a
significant role in systemic and local bone loss related to rheumatoid arthritis
(RA). In clinical studies on patients with RA, treatment with TNF inhibitors was
able to arrest systemic bone loss assessed by bone mineral density and bone
turnover markers, but there is scarce evidence of a clinically meaningful effect
of TNF inhibition in preventing fractures. TNF inhibitors showed a higher
efficacy in reducing radiographic progression related to the disease compared to
methotrexate in randomised clinical trials. Data from observational studies seem
to confirm the effectiveness of anti-TNF therapy in reducing joint damage
evolution.
PMID- 26557383
TI - Systemic lupus erythematosus and fractures.
AB - Since survival of patients with systemic lupus erythematosus (SLE) has improved
over the past decades, increasing attention is focused on complications of the
disease. Osteoporosis and fractures contribute to damage in the second most
frequently involved organ system in SLE: the musculoskeletal system. Recent
studies have reported a high frequency of reduced bone mineral density in SLE,
and an increased risk of peripheral and vertebral fractures. The incidence of
symptomatic fractures is increased 1.2-4.7-fold in patients with SLE. A large
population-based study on 4343 patients with SLE and 21 780 age-matched and sex
matched controls, demonstrated previous glucocorticoid use and longer disease
duration as important risk factors for symptomatic fractures in SLE. Prevalent
vertebral fractures are demonstrated in 18-50% of these relatively young
patients, and one in three of these patients has normal bone density. The
aetiology of bone loss in SLE is supposed to be multifactorial, involving
clinical osteoporosis risk factors, systemic inflammation, serological factors,
metabolic factors, hormonal factors, medication-induced adverse effects and,
possibly, genetic factors. A 6-year follow-up study on Dutch patients with SLE
revealed that low 25-hydroxyvitamin D serum levels, low body mass index and
baseline use of antimalarials were associated with bone loss. In addition, a dose
dependent relationship between glucocorticoid use and bone loss was demonstrated
in longitudinal studies in SLE. These findings have implications for daily
clinical practice, because vitamin D insufficiency is highly frequent in SLE,
antimalarials are regarded as 'anchor drugs' for therapy and the majority of
patients with SLE are on chronic glucocorticoid treatment.
PMID- 26557386
TI - Sleep Disorders, Obesity, Hypertension, and Cardiovascular Risk.
PMID- 26557385
TI - Identification of Iron Homeostasis Genes Dysregulation Potentially Involved in
Retinopathy of Prematurity Pathogenicity by Microarray Analysis.
AB - Retinopathy of prematurity (ROP) is a serious disease of preterm neonates and
there are limited systematic studies of the molecular mechanisms underlying ROP.
Therefore, here we performed global gene expression profiling in human fetal
retinal microvascular endothelial cells (RMECs) under hypoxic conditions in
vitro. Aborted fetuses were enrolled and primary RMECs were isolated from
eyeballs. Cultivated cells were treated with CoCl2 to induce hypoxia. The dual
color microarray approach was adopted to compare gene expression profiling
between treated RMECs and the paired untreated control. The one-class algorithm
in significance analysis of microarray (SAM) software was used to screen the
differentially expressed genes (DEGs) and quantitative RT-PCR (qRT-PCR) was
conducted to validate the results. Gene Ontology was employed for functional
enrichment analysis. There were 326 DEGs between the hypoxia-induced group and
untreated group. Of these genes, 198 were upregulated in hypoxic RMECs, while the
other 128 hits were downregulated. In particular, genes in the iron ion
homeostasis pathway were highly enriched under hypoxic conditions. Our study
indicates that dysregulation of genes involved in iron homeostasis mediating
oxidative damage may be responsible for the mechanisms underlying ROP. The
"oxygen plus iron" hypothesis may improve our understanding of ROP pathogenesis.
PMID- 26557384
TI - OsteoRheumatology: a new discipline?
AB - This review summarises recent evidence about the interaction between bone, the
immune system and cartilage in disabling conditions such as osteoarthritis,
rheumatoid arthritis and spondyloarthritis. These topics have been recently
discussed at the 'OsteoRheumatology' conference held in Genoa in October 2014.
The meeting, at its 10th edition, has been conceived to bring together
distinguished international experts in the fields of rheumatic and metabolic bone
diseases with the aim of discussing emerging knowledge regarding the role of the
bone tissue in rheumatic diseases. Moreover, this review focuses on new
treatments based on underlying the pathophysiological processes in rheumatic
diseases. Although, a number of issues still remain to be clarified, it seems
quite clear that in clinical practice, as well as in basic and translational
research, there is a need for more knowledge of the interactions between the
cartilage, the immune system and the bone. In this context, 'OsteoRheumatology'
represents a potential new discipline providing a greater insight into this
interplay, in order to face the multifactorial and complex issues underlying
common and disabling rheumatic diseases.
PMID- 26557387
TI - Gastrojejunal Anastomosis Complications and Their Management after Laparoscopic
Roux-en-Y Gastric Bypass.
AB - BACKGROUND: Complications at the gastrojejunal anastomosis after laparoscopic
Roux-en-Y gastric bypass (LRYGB) are challenging in terms of diagnosis, therapy,
and prevention. This study aims at identifying these complications and discussing
their management. METHODS: Data of 228 patients who underwent a LRYGB between
October 2008 and December 2011 were reviewed retrospectively to evaluate the
frequency and treatment of complications such as stenoses, marginal ulcers,
perforated marginal ulcers, or anastomotic leaks related to the operation.
RESULTS: Follow-up information was available for 209 patients (91.7%) with a
median follow-up of 38 months (range 24-62 months). Of these patients 16 patients
(7.7%) experienced complications at the gastrojejunostomy. Four patients (1.9%)
had stenoses and 12 patients (5.7%) marginal ulcers, one of them with perforation
(0.5%). No anastomotic leaks were reported. One case with perforated ulcer and
one with recurrent ulcers required surgical revision. CONCLUSION: Gastrojejunal
anastomotic complications are frequent and occur within the first few days or up
to several years after surgery. Stenoses or marginal ulcers are usually
successfully treated nonoperatively. Laparoscopic repair, meanwhile, is an
appropriate therapeutic option for perforated ulcers.
PMID- 26557389
TI - Postinjury Neuroplasticity in Central Neural Networks.
PMID- 26557388
TI - A Systematic Review Investigating the Presence of Inflammatory Synovitis in Hip
and Knee Joint Replacement Surgery.
AB - Synovial tissue can display an inflammatory response in the presence of OA. There
is increasing interest to better understand the role of inflammation in OA,
particularly with regard to those who require joint replacement. A systematic
review of inflammatory synovitis in OA of literature databases was undertaken
from their inception until October 14, 2014. Independent critical appraisal of
each study was undertaken using the CASP appraisal tool. From a total of sixty
six identified citations, twenty-three studies were deemed eligible for review.
The studies presented moderate to strong methodological quality. Strong
correlation was identified between histological and imaging synovitis severity.
Correlation was weaker between clinical symptoms and imaging and/or histological
synovitis severity. There was little consensus, with regard to expressed
cytokines and chemokines at the different stages of OA disease progression. Few
studies investigated the influence of inflammatory synovitis on the outcome of
major joint replacement. Research into inflammatory synovitis in OA is an
emerging field. Longitudinal studies applying proven imaging modalities,
histological analysis, and longer follow-up are required in order to further
define our understanding of the role of synovitis in the pathogenesis of OA and
its effects on outcomes following major joint replacement.
PMID- 26557390
TI - Vital Life-Threatening Hematoma after Implant Insertion in the Anterior Mandible:
A Case Report and Review of the Literature.
AB - Dental implant insertion is considered a safe and reliable surgical procedure and
severe complications are seldom reported. However, we present a case of a 52-year
old patient who attended our Department of Oral and Maxillofacial Surgery,
Johannes Gutenberg University Medical Center, Mainz, with spreading hematoma in
the floor of the mouth and acute airway obstruction after insertion of a dental
implant in the anterior mandible. The hematoma was removed and submentally
drained by a silicon drainage. However, the progressive swelling of the tongue
and the floor of the mouth necessitated a temporary tracheotomy for three days.
The review of the literature summarizes guidelines for prevention and management
of this life-threatening complication.
PMID- 26557391
TI - Endocrown with Leucite-Reinforced Ceramic: Case of Restoration of Endodontically
Treated Teeth.
AB - A common problem encountered by dentists is the restorative treatment of nonvital
teeth. When the pulp chamber presents appropriate conditions for retention, the
endocrown is indicated. This monolithic, ceramic adhesive restoration is
singularly used yet warrants wider recognition and use. The endocrown allows
preservation of the tooth structure and is minimally invasive. Currently, this
treatment option, of a core buildup and full coverage restoration, reduces tooth
structure excessively. This treatment presents not only functional limitations
but also aesthetic concerns. Recently, the VITA-PM9 system, a leucite-reinforced
glass ceramic, has been increasingly used in a variety of clinical situations due
to its satisfactory physical-mechanical and aesthetic properties. Therefore, the
present study describes a case of surgical restoration of a nonvital tooth using
the endocrown technique and the VITA-PM9.
PMID- 26557392
TI - Full Mouth Oral Rehabilitation by Maxillary Implant Supported Hybrid Denture
Employing a Fiber Reinforced Material Instead of Conventional PMMA.
AB - Many people have life-long problems with their dentures, such as difficulties
with speaking and eating, loose denture, and sore mouth syndrome. The evolution
of dental implant supported prosthesis gives these patients normal healthy life
for their functional and esthetic advantages. This case report presents the
fabrication of maxillary implant supported hybrid prosthesis by using Nanofilled
Composite (NFC) material in teeth construction to rehabilitate a complete denture
wearer patient.
PMID- 26557394
TI - Acute Systolic Heart Failure Associated with Complement-Mediated Hemolytic Uremic
Syndrome.
AB - Complement-mediated hemolytic uremic syndrome (otherwise known as atypical HUS)
is a rare disorder of uncontrolled complement activation that may be associated
with heart failure. We report the case of a 49-year-old female with no history of
heart disease who presented with microangiopathic hemolytic anemia,
thrombocytopenia, and acute kidney injury. Given her normal ADAMSTS13 activity,
evidence of increased complement activation, and renal biopsy showing evidence of
thrombotic microangiopathy, she was diagnosed with complement-mediated HUS. She
subsequently developed acute hypoxemic respiratory failure secondary to pulmonary
edema requiring intubation and mechanical ventilation. A transthoracic
echocardiogram showed evidence of a Takotsubo cardiomyopathy with an estimated
left ventricular ejection fraction of 20%, though ischemic cardiomyopathy could
not be ruled out. Treatment was initiated with eculizumab. After several failed
attempts at extubation, she eventually underwent tracheotomy. She also required
hemodialysis to improve her uremia and hypervolemia. After seven weeks of
hospitalization and five doses of eculizumab, her renal function and respiratory
status improved, and she was discharged in stable condition on room air and
independent of hemodialysis. Our case illustrates a rare association between
acute systolic heart failure and complement-mediated HUS and highlights the
potential of eculizumab in stabilizing even the most critically-ill patients with
complement-mediated disease.
PMID- 26557393
TI - Esophageal Cicatricial Pemphigoid as an Isolated Involvement Treated with
Mycophenolate Mofetil.
AB - Cicatricial pemphigoid (CP) is a rare blistering autoimmune disease. Esophageal
involvement occurs in widespread disease and rarely appears as the only affected
organ. We report a 67-year-old Caucasian female with esophageal dysphagia and
weight loss. Several oral panendoscopies showed multiple exudative ulcerations
with fibrin and webs in mid- and proximal esophagus and a peeling mucosa. There
were no lesions in other organs. We established the diagnosis performing a direct
immunofluorescence (DIF), demonstrating IgG3 and complement deposition along the
basement membrane. As initial treatment the patient received prednisone 60 mg and
1 gr twice daily of mycophenolate mofetil (MMF) as a steroid-sparing agent due to
its lower toxicity and its selective mechanism of action. Six months later there
was a significant clinical improvement and the esophageal ulcerations had
disappeared, developing cicatricial fibrous rings, although no stenosis was
present. Four years later, the patient remains asymptomatic with a low
maintenance dose of MMF.
PMID- 26557395
TI - Mycobacterium sherrisii Lung Infection in a Brazilian Patient with Silicosis and
a History of Pulmonary Tuberculosis.
AB - Nontuberculous mycobacteria (NTM) diseases became relevant with the emergence and
spread of HIV and are also related to lung infection in non-HIV individuals with
structural lung diseases. Mycobacterium sherrisii is a NTM first characterized in
2004. Only a few cases have been reported. The aim of this case report is to
describe the first detailed case of infection with M. sherrisii in a patient with
silicosis and history of pulmonary tuberculosis. A 50-year-old HIV-negative white
male, previous smoker, with silicosis and a history of treated pulmonary
tuberculosis developed a worsening of cough and expectoration pattern, and two
sputum samples were positive for acid-fast bacilli. Presumptive treatment for
pulmonary tuberculosis was initiated with rifampin, isoniazid, pyrazinamide, and
ethambutol, but, at month 5 of treatment, despite correct medication intake and
slight improvement of symptoms, sputum bacilloscopy remained positive. Sputum
cultures were positive Mycobacterium sherrisii. Treatment regimen was altered to
streptomycin (for 2 months), ethambutol, clarithromycin, rifabutin, and
trimethoprim-sulfamethoxazole. M. sherrisii should be considered a possible
etiological agent of lung infections in patients with pneumoconiosis and history
of tuberculosis.
PMID- 26557396
TI - Fatal Injury of the Small Intestine during Retropubic Sling Placement.
AB - We describe a case of injury of the small intestine in a patient who underwent
placement of Align R retropubic urethral support system (BARD). Absence of
characteristic symptoms of the bowel injury and peritonitis led to a rapid
development of sepsis, multiple organ failure, and death. Although the placement
of midurethral sling is a minimally invasive surgery, good diagnostic skills,
proper evaluation of indications, safe performance of the procedure, and thorough
postsurgical monitoring are paramount for safe and effective outcome of the
surgery.
PMID- 26557397
TI - Bilateral Serous Psammocarcinoma of Ovary: Rare Variant Low Grade Serous
Carcinoma.
AB - Serous psammocarcinoma is a rare variant of serous carcinoma arising from either
ovary or peritoneum, characterized by massive psammoma body formation, low grade
cytologic features, and invasiveness. Its clinical behavior is similar to serous
borderline tumors with relatively favorable prognosis. We report herein a case of
a 60-year-old postmenopausal woman who presented with abdominal distension.
Contrast enhanced computed tomography (CECT) revealed calcified pelvic masses
with ascites. Elevated serum CA-125 (970 U/mL) suggested malignant ovarian
neoplasm. Patient underwent exploratory laparotomy with primary debulking
surgery. Histopathology showed bilateral serous psammocarcinoma of ovary with
invasive implants on omentum. Adjuvant chemotherapy was advised in view of
advanced stage disease, although its benefits are poorly defined due to rarity of
the tumor. However, patient opted out of it and is now on follow-up.
PMID- 26557398
TI - Successful Delivery of Twin Pregnancy in Class U3b/C2/V1 Uterus by Bilateral
Caesarean Section after Spontaneous Conception.
AB - A case of a 19-year-old female with class U3b/C2/V1 uterus conceived a twin
pregnancy with a fetus in each horn after spontaneous conception. She referred to
our department with presentation of premature rupture of membranes, with a
history of cesarean delivery of a single full term living fetus a year and a half
before this delivery. Examination revealed two completely separate uterine horns
with a fetus in each horn, two distinct externally rounded cervices, and a single
vagina with a short nonobstructing vaginal septum in the upper part of the
vagina. And as the appropriate mode of delivery is still unclear, each case
should be managed as the condition requires, and in our case urgent bilateral
caesarean sections were performed.
PMID- 26557399
TI - Rhabdomyolysis due to Trimethoprim-Sulfamethoxazole Administration following a
Hematopoietic Stem Cell Transplant.
AB - Rhabdomyolysis, a syndrome of muscle necrosis, is a life-threatening event. Here
we describe the case of a patient with chronic myeloid leukemia who underwent a
haploidentical stem cell transplant and subsequently developed rhabdomyolysis
after beginning trimethoprim-sulfamethoxazole (TMP/SMX) prophylaxis therapy.
Rechallenge with TMP/SMX resulted in a repeat episode of rhabdomyolysis and
confirmed the association. Withdrawal of TMP/SMX led to sustained normalization
of creatine kinase levels in the patient. A high index of suspicion is necessary
to identify TMP/SMX as the cause of rhabdomyolysis in immunocompromised patients.
PMID- 26557400
TI - Ocular Inflammatory Myofibroblastic Tumor in the Left Eye with Phthisis Right
Eye: A Rare Occurrence in a Child.
AB - Inflammatory myofibroblastic tumor (IMT) is a benign pseudoneoplastic
inflammatory condition with the potential for persistent local growth and
recurrence that rarely affects the orbit. We report a very rare case of anterior
orbital IMT in a child who presented with gradually progressive mass in left eye
for 16 months. Ocular examination showed a cauliflower like exophytic mass at 360
degrees of the perilimbal area covering the entire cornea and obscuring the
visualization of anterior and posterior segments. The right eye was phthisical.
CT scan showed a lobulated exophytic soft tissue mass in the preseptal region and
along the anterior portion of the left globe extending from medial canthus to the
lateral canthus. Enucleation of the left eye was performed and the
histopathological examination confirmed the diagnosis of IMT. This report aims to
raise awareness about this rare ocular entity and emphasizes its early treatment
as delay can result in loss of the eye.
PMID- 26557401
TI - Multifocal ERG Guiding Therapy in a Case of Hydroxychloroquine Premaculopathy.
AB - We report the case of a 28-year-old female treated for systemic lupus
erythematosus with hydroxychloroquine (200 mg/day) for 11 years. She was visually
asymptomatic, with normal fundus appearance, normal colour vision testing
findings, 20/20 visual acuity in both eyes, and only mild central bilateral
defects on 10-2 perimetry. Multifocal electroretinography (mfERG) showed low
density values for ring 1 in both eyes. Because the patient had not previously
responded to alternative treatments and in consultation with her physician, the
hydroxychloroquine dose was reduced to 200 mg four days/week. Four serial mfERGs
performed at 4, 18, 25, and 34 months after dose reduction showed a progressive
improvement in the definition and density of the responses until they were
normalized at the third mfERG (25 months after hydroxychloroquine dose
reduction). The fourth and final mfERG at 34 months confirmed the recovery in
both eyes. Perimetry defects were mostly normalized. These results demonstrate
the importance of mfERG for the safe management of patients under long-term
hydroxychloroquine treatment.
PMID- 26557402
TI - A Case of Cerebral Vasculitis Associated with Ulcerative Colitis.
AB - Ulcerative colitis (UC) is a chronic, debilitating condition characterized by
inflammation of the colonic mucosa. It is regarded as a systemic inflammatory
disorder that can affect a number of organ systems. Central nervous system
disease associated with UC is a rare sequela of inflammatory bowel disease,
occurring in less than 5% of cases. These manifestations include arterial and
venous thrombosis, leukoencephalitis, seizures, and vasculitis. We present a case
of a 61-year-old female with a two-year history of well-controlled ulcerative
colitis, who developed altered mental status and weakness. On brain imaging, she
was found to have cerebral lesions which were biopsied. Histopathology
subsequently revealed coagulative necrosis and inflammation characteristic of
vasculitis. Rheumatology serologies were negative, and the patient was started on
steroids that dramatically improved her neurological function, with no residual
deficits, and led to resolution of the brain lesions.
PMID- 26557403
TI - A Case of Amyopathic Dermatomyositis with Pneumomediastinum and Subcutaneous
Emphysema.
AB - A 34-year-old man was admitted with dyspnea, cough, and fever. Thorax computed
tomography revealed ground glass opacities and pneumomediastinum. The patient was
diagnosed as amyopathic dermatomyositis due to skin lesions and radiological
findings. Despite immunosuppressive treatment clinical deterioration and
radiological progression were observed and the patient died because of severe
hypoxemic respiratory failure. The patient presented with extremely rare
occurrence of pneumomediastinum and subcutaneous emphysema in amyopathic
dermatomyositis with a poor prognosis.
PMID- 26557404
TI - Chemistry of Medicinal Plants, Foods, and Natural Products 2015.
PMID- 26557406
TI - Communication of Bad News to Patients: Is Honesty the Best Policy?
PMID- 26557405
TI - Core Muscle Activity, Exercise Preference, and Perceived Exertion during Core
Exercise with Elastic Resistance versus Machine.
AB - Objectives. To investigate core muscle activity, exercise preferences, and
perceived exertion during two selected core exercises performed with elastic
resistance versus a conventional training machine. Methods. 17 untrained men aged
26-67 years participated in surface electromyography (EMG) measurements of five
core muscles during torso-twists performed from left to right with elastic
resistance and in the machine, respectively. The order of the exercises was
randomized and each exercise consisted of 3 repetitions performed at a 10 RM
load. EMG amplitude was normalized (nEMG) to maximum voluntary isometric
contraction (MVC). Results. A higher right erector spinae activity in the elastic
exercise compared with the machine exercise (50% [95% CI 36-64] versus 32% [95%
CI 18-46] nEMG) was found. By contrast, the machine exercise, compared with the
elastic exercise, showed higher left external oblique activity (77% [95% CI 64
90] versus 54% [95% CI 40-67] nEMG). For the rectus abdominis, right external
oblique, and left erector spinae muscles there were no significant differences.
Furthermore, 76% preferred the torso-twist with elastic resistance over the
machine exercise. Perceived exertion (Borg CR10) was not significantly different
between machine (5.8 [95% CI 4.88-6.72]) and elastic exercise (5.7 [95% CI 4.81
6.59]). Conclusion. Torso-twists using elastic resistance showed higher activity
of the erector spinae, whereas torso-twist in the machine resulted in higher
activity of the external oblique. For the remaining core muscles the two training
modalities induced similar muscular activation. In spite of similar perceived
exertion the majority of the participants preferred the exercise using elastic
resistance.
PMID- 26557407
TI - The Consequences of a BRCA Mutation in Women.
PMID- 26557408
TI - The Toxicity and Benefit of Various Dosing Strategies for Interleukin-2 in
Metastatic Melanoma and Renal Cell Carcinoma.
AB - Interleukin-2 (IL-2) therapy has been used with success in curing meta-static
renal cell carcinoma and melanoma in a small minority of patients. However, the
benefits can be accompanied by severe toxicity. This review of the literature
discusses varying doses of IL-2 and their associated re-sponse rates and the
toxicities associated with treatment. The review also explores the maximally
beneficial dose with the most tolerable side effects. Although the higher-dose
regimens with a more frequent dosing schedule produce higher-grade toxicity, they
were found to deliver the most durable and complete responses. It is recommended
to use a higher-dose regimen (720,000 IU/kg every 8 hours for a maximum of 15
doses) and provide sup-portive care for toxicity, so patients can have maximal
benefit from therapy.
PMID- 26557409
TI - Hypnosis for the Management of Anticipatory Nausea and Vomiting.
AB - CASE STUDYBJ is a 34-year-old woman who was diagnosed with metastatic breast
cancer. She was treated with surgical removal of the primary tumor and sentinel
node biopsy. Following surgery, she received chemotherapy. She was given
antiemetic therapy prior to and immediately following chemotherapy. She began to
experience significant and persistent nausea with intermittent episodes of
vomiting after the second cycle of chemotherapy. She completed her chemotherapy
but still experienced nausea and vomiting in response to several cues, such as
smelling food cooking and going to the hospital. Her nausea and vomiting resulted
in segregation from her family during meal time, which negatively impacted her
quality of life. A hypnosis consultation was requested, and BJ was cooperative.
She reported feeling very nauseated at the time of the interview. Hypnosis was
discussed; her questions were answered, and the potential risks and benefits of
hypnosis were reviewed. She agreed that she would like to try hypnosis. A
hypnosis assessment was conducted and revealed that she had a history of profound
motion sickness and severe, chronic childhood trauma associated with feelings of
anxiety and hypervigilance. The therapeutic suggestions that were used with BJ
included hypnotic suggestions for relaxation and removal of discomfort. A
metaphor describing the central processing of the anticipatory nausea and
vomiting as a thermostat that could be adjusted to reduce and eliminate the
sensation was used to suggest that she could control her perceptions and in turn
control the nausea. Posthypnotic suggestions included that at the earliest
awareness of discomfort, rubbing the throat would eliminate that discomfort, and
cooking aromas would be transformed into her favorite fragrance. Reversal went
smoothly, and BJ reported satisfaction with the experience. BJ experienced
significant reduction in symptoms after the first session. She had two more
sessions, at which time she was able to eat with her family and go to the clinic
without discomfort. She was provided a CD with a recording of her hypnosis script
to reinforce the face-to-face intervention. She continues to be symptom-free 3
months after treatment with hypnosis.
PMID- 26557410
TI - Pembrolizumab: First in Class for Treatment of Metastatic Melanoma.
PMID- 26557411
TI - Evaluating a Sexual Health Patient Education Resource.
AB - This article shares the findings of an evaluation of a patient teaching resource
for sexual health entitled Everything Nobody Tells You About Cancer Treatment and
Your Sex Life: From A to Z, which was accomplished through systematic
conceptualization, construction, and evaluation with women diagnosed with breast
or gynecologic cancer. This resource, which has evolved from patient-focused
research and has been tested in the clinical setting, can be used in patient
education and support. Oncology professionals are committed to addressing quality
of-life concerns for patients across the trajectory of illness. Sexuality is a
key concern for patients and impacts relationships and overall quality of life.
Through careful assessment, patient education, and support, clinicians can ensure
that sexuality is respected as an essential part of patient-centered care.
PMID- 26557412
TI - Significance of Human Papillomavirus in Head and Neck Cancers.
PMID- 26557413
TI - The Use of Calcium and Magnesium to Prevent Neurotoxicity in Patients Receiving
Oxaliplatin.
PMID- 26557414
TI - Calcium and Magnesium for Oxaliplatin-Induced Neurotoxicity: Issues in Study
Design, Measurement, and Analysis.
PMID- 26557416
TI - Basal Cell Carcinoma: What You Need to Know.
PMID- 26557415
TI - Writing a Successful Grant: Tips and Tools.
PMID- 26557418
TI - Isolation and characterization of 10 polymorphic microsatellite loci for the
endangered Galapagos-endemic whitespotted sandbass (Paralabrax albomaculatus).
AB - The white-spotted sandbass (Paralabrax albomaculatus) is a commercially important
species in the Galapagos Marine Reserve, but is classified as endangered in the
IUCN Red List. For this study, 10 microsatellite loci were isolated and
characterized using Illumina paired-end sequencing. These loci can be used for
genetic studies of population structure and connectivity to aid in the management
of the white-spotted sandbass and other closely-related species. The 10
characterized loci were polymorphic, with 11-49 alleles per locus, and observed
heterozygosity ranged from 0.575 to 0.964. This set of markers is the first to be
developed for this species.
PMID- 26557417
TI - Reengineering Human Bruch's Membrane Increases Rod Outer Segment Phagocytosis by
Human Retinal Pigment Epithelium.
AB - PURPOSE: We have shown previously that Bruch's membrane (BM) aging decreases
retinal pigment epithelium (RPE) phagocytosis. Herein, we determine the effects
of BM reengineering on RPE phagocytosis. METHODS: BM explants were dissected from
young and old donor eyes. Some old BM explants were reengineered by cleaning with
Triton X-100 and/or coating with extracellular matrix (ECM) ligands. ARPE-19 cell
derived ECM (ARPE-ECM) modified ("aged") by sodium nitrite was subjected to
similar treatments. ARPE-19 cells were then cultured to confluence onto the
different surfaces. Fluorescently-labeled bovine rod outer segments (ROS) were
fed to cells with or without alphaVbeta5 integrin antibody. Image acquisition and
phagocytosis quantification was performed by fluorescence microscopy and ImageJ
analysis. RESULTS: Cleaning old donor-derived BM with detergent does not increase
the uptake of ROS, but a combination of cleaning and coating with ECM ligands
significantly increases RPE phagocytosis (54.9 +/- 6.2 vs. 83.5 +/- 6.5 arbitrary
units; P < 0.05) to levels closer to young donor BM (123.6 +/- 9.9 arbitrary
units). Similar effects were observed on nitrite-modified ARPE-ECM subjected to
the same treatments. Incubation of alphaVbeta5 blocking antibody with ROS
significantly decreased RPE phagocytosis. CONCLUSIONS: The detrimental effects of
aging BM on RPE phagocytosis can be reversed by reengineering the BM surface with
detergent cleaning and recoating with ECM ligands. TRANSLATION RELEVANCE: These
results demonstrate that the therapeutic success of transplanted RPE cells may
require, at least in part, reengineering of diseased BM to make it a more
suitable environment for attachment, survival and proper functioning of the RPE.
PMID- 26557419
TI - The prevalence and anatomical characteristics of the accessory head of the flexor
pollicis longus muscle: a meta-analysis.
AB - Background and Objectives. The accessory head of the flexor pollicis longus
muscle (AHFPL), also known as the Gantzer's muscle, was first described in 1813.
The prevalence rates of an AHFPL significantly vary between studies, and no
consensus has been reached on the numerous variations reported in its origin,
innervation, and relationships to the Anterior Interosseous Nerve (AIN) and the
Median Nerve (MN). The aim of our study was to determine the true prevalence of
AHFPL and to study its associated anatomical characteristics. Methods. A search
of the major electronic databases PubMed, EMBASE, Scopus, ScienceDirect, and Web
of Science was performed to identify all articles reporting data on the
prevalence of AHPFL in the population. No date or language restriction was set.
Additionally, an extensive search of the references of all relevant articles was
performed. Data on the prevalence of the AHFPL in upper limbs and its anatomical
characteristics and relationships including origin, insertion, innervation, and
position was extracted and pooled into a meta-analysis using MetaXL version 2.0.
Results. A total of 24 cadaveric studies (n = 2,358 upper limb) were included in
the meta-analysis. The pooled prevalence of an AHFPL was 44.2% (95% CI [0.347
0.540]). An AHFPL was found more commonly in men than in women (41.1% vs. 24.1%),
and was slightly more prevalent on the right side than on the left side (52.8%
vs. 45.2%). The most common origin of the AHFPL was from the medial epicondyle of
the humerus with a pooled prevalence of 43.6% (95% CI [0.166-0.521]). In most
cases, the AHFPL inserted into the flexor pollicis longus muscle (94.6%, 95% CI
[0.731-1.0]) and was innervated by the AIN (97.3%, 95% CI [0.924-0.993]).
Conclusion. The AHFPL should be considered as more a part of normal anatomy than
an anatomical variant. The variability in its anatomical characteristics, and its
potential to cause compression of the AIN and MN, must be taken into account by
physicians to avoid iatrogenic injury during decompression procedures and to aid
in the diagnosis and treatment of Anterior Interosseous Nerve Syndrome.
PMID- 26557420
TI - Measuring the well-being of health care professionals in the Punjab: a
psychometric evaluation of the Warwick-Edinburgh Mental Well-being Scale in a
Pakistani population.
AB - Background. There is growing awareness of the public health importance of mental
well-being both in the general population and in specific groups. The well-being
of health professionals is likely to influence the quality of the care they
deliver. This study was carried out to examine the well-being of Pakistani
healthcare professionals, and to evaluate the psychometric performance of the
Warwick-Edinburgh Mental Well-being Scale (WEMWBS) in this population. Methods. A
cross-sectional survey was carried out from June, 2013 to December, 2014 among
1,271 Pakistani health care providers (HCPs) working in seven different cities in
Punjab province, Pakistan, to examine the acceptability, internal consistency,
test-retest reliability and content and construct validity of the English version
of the WEMWBS in a Pakistani population sample. All data were analyzed in SPSS v.
21. Results. Our analysis demonstrated unidimensional construct validity, high
internal consistency (0.89) and test-retest reliability, good validity and easy
readability of WEMWBS in our sample of Pakistani HCPs. The mean WEMWBS score was
48.1 (SD 9.4), which is lower than in the general population in other countries.
Male HCPs scored significantly higher on the WEMWBS than their female
counterparts (P < 0.05), and older respondents had higher scores. Conclusion. The
WEMWBS appears acceptable for use in Pakistani HCPs, and findings from this study
verify its validity and internal consistency for this population sample. Our
respondents had lower well-being scores than those reported in general population
surveys in the UK.
PMID- 26557421
TI - Risk factors and prognosis of hypoalbuminemia in surgical septic patients.
AB - The aim of this study was to investigate the risk factors of hypoalbuminemia and
effects of different albumin levels on the prognosis of surgical septic patients.
We preformed a retrospective clinical study including 135 adult patients from
September 2011 to June 2014. The albumin levels and severity markers were
recorded during the first 48 h after enrollment, and logistic regression analyses
were used to determine the risk factors. The outcomes of patients with different
albumin levels were also compared. The acute physiology and chronic health
evaluation II (APACHE II) score (OR 1.786, 95% CI [1.379-2.314], P < 0.001), C
reactive protein (CRP) (OR 1.016, 95% CI [1.005-1.027], P = 0.005), and blood
lactate (OR 1.764, 95% CI [1.141-2.726], P = 0.011) were established as the
independent risk factors of hypoalbuminemia in patients with surgical sepsis. The
severity markers and outcomes of patients with albumin levels <=20 g/L were
significantly worse than that of 21-25 g/L and >=26 g/L, whereas the latter two
groups had similar prognosis. Every 1 g/L decrease of albumin level below the
optimal cut-off (23 g/L) was associated with a 19.4% increase in hospital
mortality and a 28.7% increase in the incidence of multiple organ dysfunction
syndrome. In conclusion, APACHE II score (>=14.5), CRP (>=34.25 mg/L), and blood
lactate (>=.35 mmol/L) were established as the independent risk factors of
hypoalbuminemia in the early stage of surgical sepsis. Patients with baseline
albumin level <=20 g/L had worse prognosis than that of albumin level >=21 g/L.
Albumin levels were negatively correlated the prognosis of surgical sepsis when
below about 23 g/L.
PMID- 26557422
TI - Successful survival, growth, and reproductive potential of quagga mussels in low
calcium lake water: is there uncertainty of establishment risk?
AB - The risk of quagga mussel (Dreissena rostriformis bugensis Andrusov 1897)
establishment into water-bodies of the western US has expanded the geographic
concern regarding the ecological and economic impacts this species will have in
aquatic ecosystems. Thresholds based on calcium concentrations, an element
critical for mussel growth and physiology, have been used as a primary predictor
of quagga mussel establishment success to aid management decisions. We evaluated
the invasion potential of quagga mussels in low calcium waters using laboratory
experiments to compare the survival, growth and reproductive potential of adult
mussels held for 90 days at low (9 and 12 ppm), moderate (15 to 32 ppm) and high
(72 ppm) calcium water concentrations. In conjunction with adult experiments,
veliger stage survival, growth and settlement were evaluated under similar low,
moderate, and high calcium water treatments. Adult mussels survived, grew and
showed reproductive potential in low calcium water (12 ppm). Veligers were also
able to survive, grow and settle in low calcium water. Higher levels of natural
seston biomass appeared to improve adult mussel life history performance in low
calcium water. Survival curve analysis predicted that 99% adult mortality could
occur in <170 days at 9 ppm and 12 ppm, however water with >15 ppm could have
adults surviving more than a year. The results from these bioassays provide
further evidence that quagga mussels have higher risk of establishment in low
calcium lakes if habitats exist that have slightly elevated calcium. These
results should help emphasize the vulnerability of water-body in the 12 to 15 ppm
calcium range that could potentially be at risk of establishing sustainable
quagga mussel populations. Furthermore, these results provide insights into the
uncertainty of using a single parameter in assigning establishment risk given the
complexity of variables in specific water-bodies that influence life history
performance of introduced species.
PMID- 26557423
TI - Pore size is a critical parameter for obtaining sustained protein release from
electrochemically synthesized mesoporous silicon microparticles.
AB - Mesoporous silicon has become a material of high interest for drug delivery due
to its outstanding internal surface area and inherent biodegradability. We have
previously reported the preparation of mesoporous silicon microparticles (MS-MPs)
synthesized by an advantageous electrochemical method, and showed that due to
their inner structure they can adsorb proteins in amounts exceeding the mass of
the carrier itself. Protein release from these MS-MPs showed low burst effect and
fast delivery kinetics with complete release in a few hours. In this work, we
explored if tailoring the size of the inner pores of the particles would retard
the protein release process. To address this hypothesis, three new MS-MPs
prototypes were prepared by electrochemical synthesis, and the resulting carriers
were characterized for morphology, particle size, and pore structure. All MS-MP
prototypes had 90 um mean particle size, but depending on the current density
applied for synthesis, pore size changed between 5 and 13 nm. The model protein
alpha-chymotrypsinogen was loaded into MS-MPs by adsorption and solvent
evaporation. In the subsequent release experiments, no burst release of the
protein was detected for any prototype. However, prototypes with larger pores
(>10 nm) reached 100% release in 24-48 h, whereas prototypes with small mesopores
(<6 nm) still retained most of their cargo after 96 h. MS-MPs with ~6 nm pores
were loaded with the osteogenic factor BMP7, and sustained release of this
protein for up to two weeks was achieved. In conclusion, our results confirm that
tailoring pore size can modify protein release from MS-MPs, and that prototypes
with potential therapeutic utility for regional delivery of osteogenic factors
can be prepared by convenient techniques.
PMID- 26557424
TI - Nodes with high centrality in protein interaction networks are responsible for
driving signaling pathways in diabetic nephropathy.
AB - In spite of huge efforts, chronic diseases remain an unresolved problem in
medicine. Systems biology could assist to develop more efficient therapies
through providing quantitative holistic sights to these complex disorders. In
this study, we have re-analyzed a microarray dataset to identify critical
signaling pathways related to diabetic nephropathy. GSE1009 dataset was
downloaded from Gene Expression Omnibus database and the gene expression profile
of glomeruli from diabetic nephropathy patients and those from healthy
individuals were compared. The protein-protein interaction network for
differentially expressed genes was constructed and enriched. In addition,
topology of the network was analyzed to identify the genes with high centrality
parameters and then pathway enrichment analysis was performed. We found 49 genes
to be variably expressed between the two groups. The network of these genes had
few interactions so it was enriched and a network with 137 nodes was constructed.
Based on different parameters, 34 nodes were considered to have high centrality
in this network. Pathway enrichment analysis with these central genes identified
62 inter-connected signaling pathways related to diabetic nephropathy.
Interestingly, the central nodes were more informative for pathway enrichment
analysis compared to all network nodes and also 49 differentially expressed
genes. In conclusion, we here show that central nodes in protein interaction
networks tend to be present in pathways that co-occur in a biological state.
Also, this study suggests a computational method for inferring underlying
mechanisms of complex disorders from raw high-throughput data.
PMID- 26557425
TI - Association among smoking, depression, and anxiety: findings from a
representative sample of Korean adolescents.
AB - This study investigated the relationship between smoking and depression and
anxiety using data from a nationwide survey representing Korean adolescents.
Subjects were 6,489 adolescents in middle and high school (age 13-18) who had
participated in the 2011 Korean Study of Promotion Policies on Children and
Adolescents-Mental Health (KSPCAM). Daily smoking number of times for current
smokers was classified as 1-2 times, 2-4 times and over 5 times. The odds ratio
for the statistical test was presented using hierarchical logistic regression.
When adjusted for covariates (gender, age, household economy, type of residing
city, type of school, school record, satisfaction with school life, subjective
health status, satisfaction with relationship with parents, and drinking
experience), smokers more significantly likely to have depression (OR = 1.27, 95%
CI [1.02-1.57]), and anxiety (OR = 1.49, 95% CI [1.14-1.96]) than non-smokers (p
< 0.05). In addition, adolescents who smoke more than 5 cigarettes a day were 1.5
times more likely to have depression (OR = 1.48, 95% CI [1.13-1.92]) and anxiety
(OR = 1.49, 95% CI [1.07-2.08]) than those who do not smoke. Smoking in
adolescence was found to be significantly related with depression and anxiety. To
promote the mental health of adolescents, effective smoking cessation programs
are required.
PMID- 26557427
TI - Dispersal and metapopulation stability.
AB - Metapopulation dynamics are jointly regulated by local and spatial factors. These
factors may affect the dynamics of local populations and of the entire
metapopulation differently. Previous studies have shown that dispersal can
stabilize local populations; however, as dispersal also tends to increase spatial
synchrony, its net effect on metapopulation stability has been controversial.
Here we present a simple metapopulation model to study how dispersal, in
interaction with other spatial and local processes, affects the temporal
variability of metapopulations in a stochastic environment. Our results show that
in homogeneous metapopulations, the local stabilizing and spatial synchronizing
effects of dispersal cancel each other out, such that dispersal has no effect on
metapopulation variability. This result is robust to moderate heterogeneities in
local and spatial parameters. When local and spatial dynamics exhibit high
heterogeneities, however, dispersal can either stabilize or destabilize
metapopulation dynamics through various mechanisms. Our findings have important
theoretical and practical implications. We show that dispersal functions as a
form of spatial intraspecific mutualism in metapopulation dynamics and that its
effect on metapopulation stability is opposite to that of interspecific
competition on local community stability. Our results also suggest that
conservation corridors should be designed with appreciation of spatial
heterogeneities in population dynamics in order to maximize metapopulation
stability.
PMID- 26557426
TI - Investigation into the effects of antioxidant-rich extract of Tamarindus indica
leaf on antioxidant enzyme activities, oxidative stress and gene expression
profiles in HepG2 cells.
AB - The leaf extract of Tamarindus indica L. (T. indica) had been reported to possess
high phenolic content and showed high antioxidant activities. In this study, the
effects of the antioxidant-rich leaf extract of the T. indica on lipid
peroxidation, antioxidant enzyme activities, H2O2-induced ROS production and gene
expression patterns were investigated in liver HepG2 cells. Lipid peroxidation
and ROS production were inhibited and the activity of antioxidant enzymes
superoxide dismutase, catalase and glutathione peroxidase was enhanced when the
cells were treated with the antioxidant-rich leaf extract. cDNA microarray
analysis revealed that 207 genes were significantly regulated by at least 1.5
fold (p < 0.05) in cells treated with the antioxidant-rich leaf extract. The
expression of KNG1, SERPINC1, SERPIND1, SERPINE1, FGG, FGA, MVK, DHCR24, CYP24A1,
ALDH6A1, EPHX1 and LEAP2 were amongst the highly regulated. When the
significantly regulated genes were analyzed using Ingenuity Pathway Analysis
software, "Lipid Metabolism, Small Molecule Biochemistry, Hematological Disease"
was the top biological network affected by the leaf extract, with a score of 36.
The top predicted canonical pathway affected by the leaf extract was the
coagulation system (P < 2.80 * 10(-6)) followed by the superpathway of
cholesterol biosynthesis (P < 2.17 * 10(-4)), intrinsic prothrombin pathway (P <
2.92 * 10(-4)), Immune Protection/Antimicrobial Response (P < 2.28 * 10(-3)) and
xenobiotic metabolism signaling (P < 2.41 * 10(-3)). The antioxidant-rich leaf
extract of T. indica also altered the expression of proteins that are involved in
the Coagulation System and the Intrinsic Prothrombin Activation Pathway (KNG1,
SERPINE1, FGG), Superpathway of Cholesterol Biosynthesis (MVK), Immune
protection/antimicrobial response (IFNGR1, LEAP2, ANXA3 and MX1) and Xenobiotic
Metabolism Signaling (ALDH6A1, ADH6). In conclusion, the antioxidant-rich leaf
extract of T. indica inhibited lipid peroxidation and ROS production, enhanced
antioxidant enzyme activities and significantly regulated the expression of genes
and proteins involved with consequential impact on the coagulation system,
cholesterol biosynthesis, xenobiotic metabolism signaling and antimicrobial
response.
PMID- 26557428
TI - Effect of shampoo, conditioner and permanent waving on the molecular structure of
human hair.
AB - The hair is a filamentous biomaterial consisting of the cuticle, the cortex and
the medulla, all held together by the cell membrane complex. The cortex mostly
consists of helical keratin proteins that spiral together to form coiled-coil
dimers, intermediate filaments, micro-fibrils and macro-fibrils. We used X-ray
diffraction to study hair structure on the molecular level, at length scales
between ~3-90 A, in hopes of developing a diagnostic method for diseases
affecting hair structure allowing for fast and noninvasive screening. However,
such an approach can only be successful if common hair treatments do not affect
molecular hair structure. We found that a single use of shampoo and conditioner
has no effect on packing of keratin molecules, structure of the intermediate
filaments or internal lipid composition of the membrane complex. Permanent waving
treatments are known to break and reform disulfide linkages in the hair. Single
application of a perming product was found to deeply penetrate the hair and
reduce the number of keratin coiled-coils and change the structure of the
intermediate filaments. Signals related to the coiled-coil structure of the alpha
keratin molecules at 5 and 9.5 A were found to be decreased while a signal
associated with the organization of the intermediate filaments at 47 A was
significantly elevated in permed hair. Both these observations are related to
breaking of the bonds between two coiled-coil keratin dimers.
PMID- 26557429
TI - Effects of mediated social touch on affective experiences and trust.
AB - This study investigated whether communication via mediated hand pressure during a
remotely shared experience (watching an amusing video) can (1) enhance recovery
from sadness, (2) enhance the affective quality of the experience, and (3)
increase trust towards the communication partner. Thereto participants first
watched a sad movie clip to elicit sadness, followed by a funny one to stimulate
recovery from sadness. While watching the funny clip they signaled a hypothetical
fellow participant every time they felt amused. In the experimental condition the
participants responded by pressing a hand-held two-way mediated touch device (a
Frebble), which also provided haptic feedback via simulated hand squeezes. In the
control condition they responded by pressing a button and they received abstract
visual feedback. Objective (heart rate, galvanic skin conductance, number and
duration of joystick or Frebble presses) and subjective (questionnaires) data
were collected to assess the emotional reactions of the participants. The
subjective measurements confirmed that the sad movie successfully induced sadness
while the funny movie indeed evoked more positive feelings. Although their
ranking agreed with the subjective measurements, the physiological measurements
confirmed this conclusion only for the funny movie. The results show that
recovery from movie induced sadness, the affective experience of the amusing
movie, and trust towards the communication partner did not differ between both
experimental conditions. Hence, feedback via mediated hand touching did not
enhance either of these factors compared to visual feedback. Further analysis of
the data showed that participants scoring low on Extraversion (i.e., persons that
are more introvert) or low on Touch Receptivity (i.e., persons who do not like to
be touched by others) felt better understood by their communication partner when
receiving mediated touch feedback instead of visual feedback, while the opposite
was found for participants scoring high on these factors. The implications of
these results for further research are discussed, and some suggestions for follow
up experiments are presented.
PMID- 26557430
TI - Identification of limiting climatic and geographical variables for the
distribution of the tortoise Chelonoidis chilensis (Testudinidae): a baseline for
conservation actions.
AB - Background. Just as for most other tortoise species, the once common Chaco
tortoise, Chelonoidis chilensis (Testudinidae), is under constant threat across
it distribution in Argentina, Bolivia and Paraguay. Despite initial qualitative
description of the species distribution and further individual reports of new
locations for the species, there is no description of the species distribution in
probabilistic terms. With this work we aim to produce an updated predictive
distribution map for C. chilensis to serve as a baseline management tool for
directed strategic conservation planning. Methods. We fitted a spatially expanded
logistic regression model within the Bayesian framework that accounts for
uncertainty on presence-only and generated pseudo-absence data into the parameter
estimates. We contrast the results with reported data for the national networks
of protected areas to assess the inclusion of the species in area-based
conservation strategies. Results. We obtained maps with predictions of the
occurrence of the species and reported the model's uncertainty spatially. The
model suggests that potential suitable habitats for the species are continuous
across Argentina, West Paraguay and South Bolivia, considering the variables, the
scale and the resolution used. The main limiting variables were temperature
related variables, and precipitation in the reproductive period. Discussion.
Given the alarming low density and coverage of protected areas over the
distribution area of C. chilensis, the map produced provides a baseline to
identify areas where directed strategic conservation management actions would be
more efficient for this and other associated species.
PMID- 26557431
TI - Unraveling mysteries of personal performance style; biomechanics of left-hand
position changes (shifting) in violin performance.
AB - Instrumental music performance ranks among the most complex of learned human
behaviors, requiring development of highly nuanced powers of sensory and neural
discrimination, intricate motor skills, and adaptive abilities in a temporal
activity. Teaching, learning and performing on the violin generally occur within
musico-cultural parameters most often transmitted through aural traditions that
include both verbal instruction and performance modeling. In most parts of the
world, violin is taught in a manner virtually indistinguishable from that used
200 years ago. The current study uses methods from movement science to examine
the "how" and "what" of left-hand position changes (shifting), a movement skill
essential during violin performance. In doing so, it begins a discussion of
artistic individualization in terms of anthropometry, the performer-instrument
interface, and the strategic use of motor behaviors. Results based on 540
shifting samples, a case series of 6 professional-level violinists, showed that
some elements of the skill were individualized in surprising ways while others
were explainable by anthropometry, ergonomics and entrainment. Remarkably,
results demonstrated each violinist to have developed an individualized pacing
for shifts, a feature that should influence timing effects and prove foundational
to aesthetic outcomes during performance. Such results underpin the potential for
scientific methodologies to unravel mysteries of performance that are associated
with a performer's personal artistic style.
PMID- 26557432
TI - Spider crabs of the Western Atlantic with special reference to fossil and some
modern Mithracidae.
AB - Spider crabs (Majoidea) are well-known from modern oceans and are also common in
the western part of the Atlantic Ocean. When spider crabs appeared in the Western
Atlantic in deep time, and when they became diverse, hinges on their fossil
record. By reviewing their fossil record, we show that (1) spider crabs first
appeared in the Western Atlantic in the Late Cretaceous, (2) they became common
since the Miocene, and (3) most species and genera are found in the Caribbean
region from the Miocene onwards. Furthermore, taxonomic work on some modern and
fossil Mithracidae, a family that might have originated in the Western Atlantic,
was conducted. Specifically, Maguimithrax gen. nov. is erected to accommodate the
extant species Damithrax spinosissimus, while Damithrax cf. pleuracanthus is
recognized for the first time from the fossil record (late Pliocene-early
Pleistocene, Florida, USA). Furthermore, two new species are described from the
lower Miocene coral-associated limestones of Jamaica (Mithrax arawakum sp. nov.
and Nemausa windsorae sp. nov.). Spurred by a recent revision of the subfamily,
two known species from the same deposits are refigured and transferred to new
genera: Mithrax donovani to Nemausa, and Mithrax unguis to Damithrax. The diverse
assemblage of decapods from these coral-associated limestones underlines the
importance of reefs for the abundance and diversity of decapods in deep time.
Finally, we quantitatively show that these crabs possess allometric growth in
that length/width ratios drop as specimens grow, a factor that is not always
taken into account while describing and comparing among taxa.
PMID- 26557433
TI - Home range and use of diurnal shelters by the Etendeka round-eared sengi, a newly
discovered Namibian endemic desert mammal.
AB - To understand habitat use by the newly described Etendeka round-eared sengi
(Macroscelides micus) in northwestern Namibia, we radio-tracked five individuals
for nearly a month. Home ranges (100% convex polygons) in the rocky desert
habitat were remarkably large (mean 14.9 ha) when compared to sengi species in
more mesic habitats (<1.5 ha). The activity pattern of M. micus was strictly
nocturnal, which contrasts to the normal diurnal or crepuscular activity of other
sengis. The day shelters of M. micus were under single rocks and they likely were
occupied by single sengis. One tagged sengi used 22 different day shelters during
the study. On average, only 7% of the day shelters were used more than once by
the five tagged sengis. The shelters were also unusual for a small mammal in that
they were unmodified in terms of excavation or nesting material. Shelter
entrances were significantly oriented to face south by south west (average 193
degrees ), away from the angle of the prevailing midday sun. This suggests that
solar radiation is probably an important aspect of M. micus thermal ecology,
similar to other sengis. Compared to published data on other sengis, M. micus
generally conforms to the unique sengi adaptive syndrome, but with modifications
related to its hyper-arid habitat.
PMID- 26557434
TI - Relationship of central incisor implant placement to the ridge configuration
anterior to the nasopalatine canal in dentate and partially edentulous
individuals: a comparative study.
AB - Background. The aims of this study were to investigate the ridge contour anterior
to the nasopalatine canal, and the difference between the incidences of the
nasopalatine canal perforation in dentate and partially edentulous patients by
cone-beam computed tomography. Methods. Cone-beam computed tomography scan images
from 72 patients were selected from database and divided into dentate and
partially edentulous groups. The configuration of the ridge anterior to the canal
including palatal concavity depth, palatal concavity height, palatal concavity
angle, bone height coronal to the incisive foramen, and bone width anterior to
the canal was measured. A virtual implant placement procedure was used, and the
incidences of perforation were evaluated after implant placement in the cingulum
position with the long axis along with the designed crown. Results. Comparing
with variable values from dentate patients, the palatal concavity depth and angle
were greater by 0.9 mm and 4 degrees , and bone height was shorter by 1.1 mm in
partially edentulous patients, respectively. Bone width in edentulous patients
was narrower than in dentate patients by 1.2 mm at incisive foramen level and 0.9
mm at 8 mm subcrestal level, respectively. After 72 virtual cylindrical implants
(4.1 * 12 mm) were placed, a total of 12 sites (16.7%) showed a perforation and
three-fourths occurred in partially edentulous patients. After replacing with 72
tapered implants (4.3 * 13 mm), only 6 implants (8.3%) broke into the canal in
the partially edentulous patient group. Conclusions. The nasopalatine canal may
get close to the implant site and the bone width anterior to the canal decreases
after the central incisor extraction. The incidence of nasopalatine canal
perforation may occur more commonly during delayed implant placement in central
incisor missing patients.
PMID- 26557435
TI - Overcoming pain thresholds with multilevel models-an example using quantitative
sensory testing (QST) data.
AB - The assessment of somatosensory function is a cornerstone of research and
clinical practice in neurology. Recent initiatives have developed novel protocols
for quantitative sensory testing (QST). Application of these methods led to
intriguing findings, such as the presence lower pain-thresholds in healthy
children compared to healthy adolescents. In this article, we (re-) introduce the
basic concepts of signal detection theory (SDT) as a method to investigate such
differences in somatosensory function in detail. SDT describes participants'
responses according to two parameters, sensitivity and response-bias. Sensitivity
refers to individuals' ability to discriminate between painful and non-painful
stimulations. Response-bias refers to individuals' criterion for giving a
"painful" response. We describe how multilevel models can be used to estimate
these parameters and to overcome central critiques of these methods. To provide
an example we apply these methods to data from the mechanical pain sensitivity
test of the QST protocol. The results show that adolescents are more sensitive to
mechanical pain and contradict the idea that younger children simply use more
lenient criteria to report pain. Overall, we hope that the wider use of
multilevel modeling to describe somatosensory functioning may advance neurology
research and practice.
PMID- 26557436
TI - Chewed out: an experimental link between food material properties and repetitive
loading of the masticatory apparatus in mammals.
AB - Using a model organism (rabbits) that resembles a number of mammalian herbivores
in key aspects of its chewing behaviors, we examined how variation in dietary
mechanical properties affects food breakdown during mastication. Such data have
implications for understanding phenotypic variation in the mammalian feeding
apparatus, particularly with respect to linking jaw form to diet-induced
repetitive loading. Results indicate that chewing frequency (chews/s) is
independent of food properties, whereas chewing investment (chews/g) and chewing
duration(s), which are proportional to repetitive loading of the jaws, are
positively related to food stiffness and toughness. In comparisons of
displacement-limited and stress-limited fragmentation indices, which respectively
characterize the intraoral breakdown of tough and stiff foods, increases in
chewing investment and duration are linked solely to stiffness. This suggests
that stiffer foods engender higher peak loads and increased cyclical loading. Our
findings challenge conventional wisdom by demonstrating that toughness does not,
by itself, underlie increases in cyclical loading and loading duration. Instead,
tough foods may be associated with such jaw-loading patterns because they must be
processed in greater volumes owing to their lower nutritive quality and for
longer periods of time to increase oral exposure to salivary chemicals.
PMID- 26557437
TI - Removal of concentrated sulfamethazine by acclimatized aerobic sludge and
possible metabolic products.
AB - This article examined the biological removal of high concentrated sulfamethazine
(SMZ) antibiotics by the acclimatized activated sludge in lab-scale SBRs system.
The removal of SMZ was characterized by a quick adsorption and a slow process of
biodegradation. The adsorption capacity of activated sludge for SMZ was 44 and 47
ug SMZ/g SS, respectively, with the initial SMZ concentrations of 1 and 2 mg/L.
The adsorption process fitted pseudo-second-order kinetic model. In a series of
batch studies, with the increase of initial SMZ concentration that were 1, 2, 3,
5, 7 and 9 mg/L, 56.0%, 51.3%, 42.2%, 29.5%, 25.0% and 20.8% of influent SMZ were
biodegraded within 24 h of biological reaction, respectively. The Monod equation
applied to simulate SMZ biodegradation had a good coefficient of determination
(R2 > 0.99). Furthermore, the results of HPLC demonstrated that the SMZ was not
completely removed by the acclimatized activated sludge. From the analysis of LC
MS, 4 intermediates of SMZ biodegradation were identified: Sulfanilic Acid, 4
amino-N-(4,6-dimethyl-2 pyrimidin) benzene sulfonamide, N-(4,6-dimethyl-2
pyrimidin)-4-N-(benzene sulfonamide) benzene sulfonamide, N-(4,6-dimethyl-2
pyrimidin)-4-N-(4,6-dimethyl pyrimidine) benzene sulfonamide, and N-(4,6-dimethyl
2-pyrimidin)-4-N-(3-dimethyl-4-N sodium benzene sulfonamide) benzene sulfonamide.
PMID- 26557438
TI - Suberoylanilide hydroxamic acid suppresses hepatic stellate cells activation by
HMGB1 dependent reduction of NF-kappaB1.
AB - Hepatic stellate cells (HSCs) activation is essential to the pathogenesis of
liver fibrosis. Exploring drugs targeting HSC activation is a promising anti
fibrotic strategy. In the present study, we found suberoylanilide hydroxamic acid
(SAHA), a histone deacetylase inhibitor, prominently suppressed the activation
phenotype of a human hepatic stellate cell line-LX2. The production of collagen
type I and alpha-smooth muscle actin (alpha-SMA) as well as the proliferation and
migration of LX2 cells were significantly reduced by SAHA treatment. To determine
the molecular mechanisms underlying this suppression, genome wild gene regulation
by SAHA was determined by Affymetrix 1.0 human cDNA array. Upon SAHA treatment,
the abundance of 331 genes was up-regulated and 173 genes was down-regulated in
LX2 cells. Bioinformatic analyses of these altered genes highlighted the high
mobility group box 1 (HMGB1) pathway was one of the most relevant pathways that
contributed to SAHA induced suppression of HSCs activation. Further studies
demonstrated the increased acetylation of intracellular HMGB1 in SAHA treated
HSCs, and this increasing is most likely to be responsible for SAHA induced down
regulation of nuclear factor kappa B1 (NF-kappaB1) and is one of the main
underlying mechanisms for the therapeutic effect of SAHA for liver fibrosis.
PMID- 26557439
TI - Laboratory and clinical trials of cocamide diethanolamine lotion against head
lice.
AB - Context. During the late 1990s, insecticide resistance had rendered a number of
treatment products ineffective; some companies saw this as an opportunity to
develop alternative types of treatment. We investigated the possibility that a
surfactant-based lotion containing 10% cocamide diethanolamine (cocamide DEA) was
effective to eliminate head louse infestation. Settings and Design. Initial in
vitro testing of the lotion formulation versus laboratory reared body/clothing
lice, followed by two randomised, controlled, community-based, assessor blinded,
clinical studies. Materials and Methods. Preliminary laboratory tests were
performed by exposing lice or louse eggs to the product using a method that
mimicked the intended use. Clinical Study 1: Children and adults with confirmed
head louse infestation were treated by investigators using a single application
of aqueous 10% cocamide DEA lotion applied for 60 min followed by shampooing or a
single 1% permethrin creme rinse treatment applied to pre-washed hair for 10 min.
Clinical Study 2: Compared two treatment regimens using 10% cocamide DEA lotion
that was concentrated by hair drying. A single application left on for 8
h/overnight was compared with two applications 7 days apart of 2 h duration,
followed by a shampoo wash. Results. The initial laboratory tests showed a
pediculicidal effect for a 60 min application but limited ovicidal effect. A
longer application time of 8 h or overnight was found capable of killing all eggs
but this differed between batches of test material. Clinical Study 1: Both
treatments performed badly with only 3/23 (13%) successful treatments using
cocamide DEA and 5/25 (23.8%) using permethrin. Clinical Study 2: The single
overnight application of cocamide DEA concentrated by hair drying gave 10/56
(17.9%) successes compared with 19/56 (33.9%) for the 2 h application regimen
repeated after 1 week. Intention to treat analysis showed no significant
difference (p = 0.0523) between the treatments. Over the two studies, there were
18 adverse events possibly or probably associated with treatment, most of which
were increased pruritus after treatment. Conclusions. Cocamide DEA 10% lotion,
even when concentrated by hair drying, showed limited activity to eliminate head
louse infestation.
PMID- 26557440
TI - Development and application of molecular biomarkers for characterizing Caribbean
Yellow Band Disease in Orbicella faveolata.
AB - Molecular stress responses associated with coral diseases represent an under
studied area of cnidarian transcriptome investigations. Caribbean Yellow Band
Disease (CYBD) is considered a disease of Symbiodinium within the tissues of the
coral host Orbicella faveolata. There is a paucity of diagnostic tools to assist
in the early detection and characterization of coral diseases. The validity of a
diagnostic test is determined by its ability to distinguish host organisms that
have the disease from those that do not. The ability to detect and identify
disease-affected tissue before visible signs of the disease are evident would
then be a useful diagnostic tool for monitoring and managing disease outbreaks.
Representational Difference Analysis (RDA) was utilized to isolate differentially
expressed genes in O. faveolata exhibiting CYBD. Preliminary screening of RDA
products identified a small number of genes of interest (GOI) which included an
early growth response factor and ubiquitin ligase from the coral host as well as
cytochrome oxidase from the algal symbiont. To further characterize the
specificity of response, quantitative real-time PCR (qPCR) was utilized to
compare the expression profiles of these GOIs within diseased tissues (visible
lesions), tissues that precede visible lesions by 2-4 cm (transition area), and
tissues from healthy-looking colonies with no signs of disease. Results show
there are distinctive differences in the expression profiles of these three GOIs
within each tissue examined. Collectively, this small suite of GOIs can provide a
molecular "finger print" which is capable of differentiating between infected and
uninfected colonies on reefs where CYBD is known to occur.
PMID- 26557441
TI - Rapid loss of phosphorus during early pedogenesis along a glacier retreat
choronosequence, Gongga Mountain (SW China).
AB - The loss of phosphorus (P) during the early pedogenesis stage is important at the
ecosystem level, and it also plays an important role in the global P cycle. The
seasonal variation of total P (Pt) and its fractions along a young soil
chronosequence (Hailuogou chronosequence) on the eastern slope of Gongga
Mountain, SW China, was investigated based on the modified Hedley fractionation
technique to understand P loss during the early pedogenesis stage. The results
showed that the mineral P (mainly apatite) was the dominant fraction of Pt in the
C horizon of the soil, and the seasonal difference in Pt and its fractions was
insignificant. In the A horizon, Pt concentrations decreased markedly compared
with those in the C horizon, and as the age of the soil increased, the inorganic
P (Pi) significantly decreased and the organic P (Po) prominently increased.
Seasonally, the P fractions exhibited various distributions in the A horizon. The
variation of Pt and its fractions revealed that the P loss was rapid along the
120-year soil chronosequence. The P stocks in soils (0-30 cm) started to decrease
at the 52 year site. And the P stock depletion reached almost 17.6% at the 120
year site. The loss of P from the soil of the Hailuogou chronosequence was mainly
attributed to weathering, plant uptake, and transport by runoff. About 36% P loss
was transported into plant biomass P at the 120 year site. The data obtained
indicated that the glacier retreat chronosequence could be used to elucidate the
fast rate of P loss during the early pedogenic stage.
PMID- 26557443
TI - Preparedness for Ebola Virus Disease.
PMID- 26557444
TI - WMA Resolution on Unproven Therapy and the Ebola Virus: Adopted by the 65th WMA
General Assembly, Durban, South Africa, October 2014.
PMID- 26557445
TI - WMA Resolution on Ebola Viral Disease: Adopted by the 65th WMA General Assembly,
Durban, South Africa, October 2014.
PMID- 26557442
TI - The herbaceous landlord: integrating the effects of symbiont consortia within a
single host.
AB - Plants are typically infected by a consortium of internal fungal associates,
including endophytes in their leaves, as well as arbuscular mycorrhizal fungi
(AMF) and dark septate endophytes (DSE) in their roots. It is logical that these
organisms will interact with each other and the abiotic environment in addition
to their host, but there has been little work to date examining the interactions
of multiple symbionts within single plant hosts, or how the relationships among
symbionts and their host change across environmental conditions. We examined the
grass Agrostis capillaris in the context of a climate manipulation experiment in
prairies in the Pacific Northwest, USA. Each plant was tested for presence of
foliar endophytes in the genus Epichloe, and we measured percent root length
colonized (PRLC) by AMF and DSE. We hypothesized that the symbionts in our system
would be in competition for host resources, that the outcome of that competition
could be driven by the benefit to the host, and that the host plants would be
able to allocate carbon to the symbionts in such a way as to maximize fitness
benefit within a particular environmental context. We found a correlation between
DSE and AMF PRLC across climatic conditions; we also found a fitness cost to
increasing DSE colonization, which was negated by presence of Epichloe
endophytes. These results suggest that selective pressure on the host is likely
to favor host/symbiont relationships that structure the community of symbionts in
the most beneficial way possible for the host, not necessarily favoring the
individual symbiont that is most beneficial to the host in isolation. These
results highlight the need for a more integrative, systems approach to the study
of host/symbiont consortia.
PMID- 26557446
TI - The Communication of Information Such as Evacuation Orders at the Time of a
Nuclear Power Station Accident: -Recommendations for responses by the national
government and electric power utilities to the "Information Disaster".
AB - This research was carried out from the perspective that the damage to the people
of Fukushima and others from the Fukushima Daiichi Nuclear Power Station (NPS)
accident was an "information disaster." It evaluated the critical problems raised
by and actual condition analysis on the process of events in the Fukushima
Daiichi NPS disaster and responses of the governments and others, notification of
the occurrence of the accident and evacuation order by the national and local
governments and the evacuation of residents, and guidance for distribution and
intake of stable iodine tablets. The research aimed to provide a basis for the
implementation of effective distribution and intake of stable iodine tablets and
responses to the "information disaster" in the nuclear power disaster. On March
15 at the time that the most radioactive substances were dispersed, even when the
average wind speed at the site area was 1.6 m/s, the radioactive substances had
reached the outer boundary of Urgent Protective action planning Zone (UPZ, the
region with a radius of 30 km) within about five hours. Because of this, every
second counted in the provision of information about the accident and the
issuance of evacuation orders. This study evaluated the actual condition of
information provision by the national government and others from the perspective
of this awareness of the importance of time. On the basis of the results of this
kind of consideration, we come to the following recommendations: The Nuclear
Emergency Response Guidelines and the system for communication of information to
medical providers should be revised. The national government should make
preparations for the effective advance distribution and intake of stable iodine
tablets.
PMID- 26557447
TI - Japan Medical Association Junior Doctors Network Report on the WMA Council
Session, Tokyo 2014.
PMID- 26557448
TI - Japan Medical Association Junior Doctors Network Report on the WMA General
Assembly, Durban 2014.
PMID- 26557449
TI - Disaster Management at Soma General Hospital in Response to the Great East Japan
Earthquake.
PMID- 26557450
TI - Don't Drink Unboiled Water in Unfamiliar Places!-Taro Takemi's Success Story.
PMID- 26557451
TI - From the Editor's Desk.
PMID- 26557453
TI - Historical Changes in Home Care Service and Its Future Challenges.
PMID- 26557454
TI - Current Conditions and Issues for Home Care Support Clinics.
PMID- 26557455
TI - Regional Collaboration in Home Care Services.
PMID- 26557456
TI - Visiting Care by Family Physicians.
PMID- 26557457
TI - The Present Situation and the Problem of Visiting Nursing: Team Care Management
of Pressure Ulcers in the Elderly.
PMID- 26557458
TI - Long-term Care Insurance Act and Home Care.
PMID- 26557459
TI - Infection Prevention and Control at Home.
PMID- 26557460
TI - Nutritional Management in Home Care: Including Eating Disorder and Dysphagia
Assessments.
PMID- 26557461
TI - Home Respiratory Management: From COPD to Neurological Diseases.
PMID- 26557462
TI - Home Rehabilitation.
PMID- 26557463
TI - Death, Dignity, and the Significance of Home Hospice Care.
PMID- 26557464
TI - Current Situations and Problems of Home Care for Children.
PMID- 26557465
TI - Efforts of Medical Associations to Support Home Medical Care Services: Case of
the Nagasaki City Medical Association.
PMID- 26557466
TI - Home Medical Care That a Local Medical Association Works On: The Case of Itabashi
Medical Association, Tokyo, Japan.
PMID- 26557467
TI - Efforts of Medical Associations to Support Home Medical Care Services: The Case
of the Shizuoka Medical Association.
PMID- 26557468
TI - Efforts of Medical Associations to Support Home Care Services: The Cases of
Ichikawa City and Chiba Prefecture Medical Associations.
PMID- 26557469
TI - From the Editor's Desk.
PMID- 26557470
TI - Groundwater vulnerability to pollution mapping of Ranchi district using GIS.
AB - Groundwater pollution due to anthropogenic activities is one of the major
environmental problems in urban and industrial areas. The present study
demonstrates the integrated approach with GIS and DRASTIC model to derive a
groundwater vulnerability to pollution map. The model considers the seven
hydrogeological factors [Depth to water table (D), net recharge (R), aquifer
media (A), soil media (S), topography or slope (T), impact of vadose zone (I) and
hydraulic Conductivity(C)] for generating the groundwater vulnerability to
pollution map. The model was applied for assessing the groundwater vulnerability
to pollution in Ranchi district, Jharkhand, India. The model was validated by
comparing the model output (vulnerability indices) with the observed nitrate
concentrations in groundwater in the study area. The reason behind the selection
of nitrate is that the major sources of nitrate in groundwater are anthropogenic
in nature. Groundwater samples were collected from 30 wells/tube wells
distributed in the study area. The samples were analyzed in the laboratory for
measuring the nitrate concentrations in groundwater. A sensitivity analysis of
the integrated model was performed to evaluate the influence of single parameters
on groundwater vulnerability index. New weights were computed for each input
parameters to understand the influence of individual hydrogeological factors in
vulnerability indices in the study area. Aquifer vulnerability maps generated in
this study can be used for environmental planning and groundwater management.
PMID- 26557471
TI - Barriers and facilitators to recruitment to a culturally-based dietary
intervention among urban Hispanic breast cancer survivors.
AB - PURPOSE: The purpose of this study was to understand factors related to
recruitment to behavioral intervention trials among Spanish-speaking urban
Hispanic breast cancer (BC) survivors. METHODS: Potentially eligible Hispanic BC
survivors were recruited from the Columbia University Breast Oncology Clinic,
signed informed consent, and completed a screening interview on demographics,
medical history, acculturation (Short Acculturation Scale for Hispanics), quality
of life (QOL), and perceived benefits/risks of research participation. Trial
eligibility criteria included stage 0-III BC, completion of adjuvant treatment,
Hispanic, fluency in Spanish, willing to be randomized to active arm (9-session
in-person culturally-based !Cocinar para su salud! dietary modification program)
or control arm (written materials). We compared characteristics between eligible
women who did and did not enroll in the trial. RESULTS: 102 women completed the
screening interview and were eligible to participate. Overall mean age was 57.3
+/- 9.5 years, mean time since diagnosis was 3.4 +/- 2.1 years, 71% reported
annual household income <$15,000 and mean acculturation index score was 1.6 +/-
0.6 (scale 1-5, low-high). Of the 102 women, 70 enrolled and 32 declined
participation. Reasons stated for non-participation included lack of interest in
dietary change, illness, and work constraints. Compared to women who enrolled,
women who did not enroll were less likely to be employed (P=0.03) and more likely
to only read/speak Spanish (P=0.02). Compared to women who enrolled, non
enrollers were more likely to state that research is costly to participants
(P=0.03). CONCLUSION: Lower participation was associated with unemployment,
monolingualism, and the perception that research is costly to participants.
Future behavioral intervention trials among minority BC survivors need to account
for these and other factors that may be related to trial participation.
PMID- 26557472
TI - Broccoli-Derived Sulforaphane and Chemoprevention of Prostate Cancer: From Bench
to Bedside.
AB - Sulforaphane (SFN) is a metabolic by product of cruciferous vegetables and is the
biologically active phytochemical found in high concentrations in broccoli. It
has been studied extensively for its anticancer efficacy and the underlying
mechanisms using cell culture and preclinical models. The immediate precursor of
SFN is glucoraphanin, a glucosinolate which requires metabolic conversion to SFN.
SFN and other notable isothiocyanates, including phenethyl isothiocyanate and
benzyl isothiocyanate found in various cruciferous vegetables, have also been
implicated to have a chemopreventive role for breast, colon and prostate cancer.
In-vitro and in-vivo anti-cancer activity of this class of compounds summarizing
the past two decades of basic science research has previously been reviewed by us
and others. The present review aims to focus specifically on SFN and its
chemopreventive and antineoplastic activity against prostate cancer. Particular
emphasis in this communication is placed on the current status of clinical
research and prospects for future clinical trials with the overall objective to
better understand the clinical utility of this promising chemopreventive
nutraceutical in the context of mechanisms of prostate carcinogenesis.
PMID- 26557473
TI - The Association of Alcohol and Drug Use with Persistence of Violent Offending in
Young Adulthood.
AB - PURPOSE: This study expanded upon an earlier study, which examined the
associations between heavy drinking and persistence of serious violent offending
through emerging adulthood (approximate age 25), by examining associations
between alcohol, marijuana, and other drug use and disorders and persistence of
serious violent offending through young adulthood (approximate age 36). METHODS:
We used official records and self-reported longitudinal data from Black and White
men from early adolescence through young adulthood (n = 391). Men were divided
into four violence groups: non-violent, desisters, persisters, and very late
onsetters. Multinomial logistic regression analyses controlling for race and
incarceration were used to compare these groups in terms of substance use in
young adulthood and changes in use from emerging to young adulthood. RESULTS:
Most previous serious violent offenders did not re-offend in young adulthood.
Whereas alcohol use did not differ across groups, persisters and desisters,
compared to non-violent men, were more likely to use hard drugs, deal drugs, have
a lifetime substance use disorder diagnosis and show larger decreases in alcohol
and marijuana frequency from emerging to young adulthood. None of these measures
differed between persisters and desisters except that persisters reported larger
decreases in alcohol and marijuana use frequency. CONCLUSIONS: The findings
demonstrated reductions in serious violent offending during young adulthood and
suggested that after adolescence, illicit drug use, compared to alcohol use, may
play a more important role in initiation and maintenance of serious violent
offending. Future research that examines the interrelations of drug use, drug
culture, and violence is warranted.
PMID- 26557474
TI - An efficient protocol for deriving liver stem cells from neonatal mice:
validating its differentiation potential.
AB - The success of liver regeneration depends on the availability of suitable cell
types and their potential to differentiate into functional hepatocytes. To
identify the stem cells which have the ability to differentiate into hepatocytes,
we used neonatal liver as source. However, the current protocol for isolating
stem cells from liver involves enzymes like collagenase, hyaluronidase exposed
for longer duration which limits the success. This results in the keen interest
to develop an easy single step enzyme digestion protocol for isolating stem cells
from liver for tissue engineering approaches. Thus, the unlimited availability of
cell type favors setting up the functional recovery of the damaged liver,
ensuring ahead success towards treating liver diseases. We attempted to isolate
liver stem derived cells (LDSCs) from mouse neonatal liver using single step
minimal exposure to enzyme followed by in vitro culturing. The cells isolated
were characterized for stem cell markers and subjected to lineage
differentiation. Further, LDSCs were induced to hepatocyte differentiation and
validated with hepatocyte markers. Finally, we developed a reproducible,
efficient protocol for isolation of LDSCs with functional hepatocytes
differentiation potential, which further can be used as in vitro model system for
assessing drug toxicity assays in various preclinical trials.
PMID- 26557475
TI - Factors Associated with Sexual Orientation and Gender Disparities in Chronic Pain
Among U.S. Adolescents and Young Adults.
AB - OBJECTIVE: This research investigated factors associated with sexual orientation
disparities in chronic pain frequency among youth. METHOD: Data were analyzed
from 4534 female and 3785 male youth from Waves I-IV (1995-2009) of the U.S.
National Longitudinal Study of Adolescent to Adult Health. Gender-stratified
weighted logistic regression models controlled for sociodemographic
characteristics and included sexual orientation (primary predictor) and frequency
of three types of chronic pain (outcomes). Models with sexual orientation only
were compared to models with factors hypothesized to increase or decrease risk of
pain. Significant odds ratios (OR) for chronic pain frequency (daily/weekly vs.
rarely) with confidence intervals (CI) and associated factors are reported.
RESULTS: Compared to same-gender heterosexual females, mostly heterosexuals were
more likely to report headaches (OR=1.40, CI=1.09, 1.79) and mostly heterosexuals
and bisexuals were more likely to report muscle/joint pain (mostly heterosexual
OR=1.69, CI=1.29, 2.20; bisexual OR=1.87, CI=1.03, 3.38). Compared to same-gender
heterosexual males, gay males were more likely to report headaches (OR=2.00,
CI=1.06, 3.82), but less likely to report muscle/joint pain (OR=0.28, CI=0.11,
0.74). Significant disparities were attenuated by up to 16% when associated
factors were added to the model. CONCLUSION: Sexual orientation disparities in
chronic pain were partially explained by associated factors, but more research is
needed to develop intervention and prevention strategies.
PMID- 26557477
TI - Why there is a need to discuss pulmonary hypertension other than pulmonary
arterial hypertension?
AB - Pulmonary hypertension (PH) is a condition characterized by the elevation of the
mean pulmonary artery pressure above 25 mmHg and the pulmonary vascular
resistance above 3 wood units. Pulmonary arterial hypertension (PAH) is an
uncommon condition with severe morbidity and mortality, needing early recognition
and appropriate and specific treatment. PH is frequently associated with
hypoxemia, mainly chronic obstructive pulmonary disease and DPLD and/or left
heart diseases (LHD), mainly heart failure with reduced or preserved ejection
fraction. Although in the majority of patients with PH the cause is not PAH, a
significant number of published studies are still in regard to group I PH,
leading to a logical assumption that PH due to other causes is not such an
important issue. So, is there a reason to discuss PH other than PAH? Chronic lung
diseases, mainly chronic obstructive lung disease and DPLD, are associated with a
high incidence of PH which is linked to exercise limitations and a worse
prognosis. Although pathophysiological studies suggest that specific PAH therapy
may benefit such patients, the results presented from small studies in regard to
the safety and effectiveness of the specific PAH therapy are discouraging. PH is
a common complication of left heart disease and is related to disease severity,
especially in patients with reduced ejection fraction. There are two types of PH
related to LHD based on diastolic pressure difference (DPD, defined as diastolic
pulmonary artery pressure - mean PAWP): Isolated post-capillary PH, defined as
PAWP > 15 mmHg and DPD < 7 mmHg, and combined post-capillary PH and pre-capillary
PH, defined as PAWP > 15 mmHg and DPD >= 7 mmHg. The potential use of PAH
therapies in patients with PH related to left heart disease is based on a logical
pathobiological rationale. In patients with heart failure, endothelial
dysfunction has been proposed as a cause of PH and hence as a target for
treatment, supported by the presence of increased endothelin-1 activity and
impaired nitric oxide-dependent vasodilation. Unfortunately, so far, there is no
evidence supporting the use of specific PAH therapies in patients with PH related
to left heart disease. In conclusion, the presence of PH in patients with
conditions other than PAH contributes to the severity of the disease, affecting
the outcome and quality of life. The disappointing results regarding the
effectiveness of specific PAH therapies in patients with chronic lung diseases
and LHD underline the need for seeking new underlying mechanisms and thus novel
therapies targeting PH due to left heart disease and/or lung diseases.
PMID- 26557476
TI - Deep sternal wound infection after cardiac surgery: Evidences and controversies.
AB - Despite many advances in prevention and perioperative care, deep sternal wound
infection (DSWI) remains a pressing concern in cardiac surgery, with a still
relevant incidence and with a considerable impact on in-hospital mortality and
also on mid- and long-term survival. The permanent high impact of this
complication is partially related to the increasing proportion of patients at
high-risk for infection, as well as to the many patient and surgical risk factors
involved in the pathogenesis of DSWI. The prophylactic antibiotic therapy is one
of the most important tools in the prevention of DSWI. However, the choice of
antibiotic, the dose, the duration, the adequate levels in serum and tissue, and
the timing of antimicrobial prophylaxis are still controversial. The treatment of
DSWI ranges from surgical revision with primary closure to surgical revision with
open dressings or closed irrigation, from reconstruction with soft tissue flaps
to negative pressure wound therapy (NPWT). However, to date, there have been no
accepted recommendations regarding the best management of DSWI. Emerging evidence
in the literature has validated the efficacy and safety of NPWT either as a
single-line therapy, or as a "bridge" prior to final surgical closure. In
conclusion, the careful control of patient and surgical risk factors - when
possible, the proper antimicrobial prophylaxis, and the choice of validated
techniques of treatment could contribute to keep DSWIs at a minimal rate.
PMID- 26557478
TI - Recruitment maneuvers in acute respiratory distress syndrome: The safe way is the
best way.
AB - Acute respiratory distress syndrome (ARDS) represents a serious problem in
critically ill patients and is associated with in-hospital mortality rates of 33%
52%. Recruitment maneuvers (RMs) are a simple, low-cost, feasible intervention
that can be performed at the bedside in patients with ARDS. RMs are characterized
by the application of airway pressure to increase transpulmonary pressure
transiently. Once non-aerated lung units are reopened, improvements are observed
in respiratory system mechanics, alveolar reaeration on computed tomography, and
improvements in gas exchange (functional recruitment). However, the reopening
process could lead to vascular compression, which can be associated with
overinflation, and gas exchange may not improve as expected (anatomical
recruitment). The purpose of this review was to discuss the effects of different
RM strategies - sustained inflation, intermittent sighs, and stepwise increases
of positive end-expiratory pressure (PEEP) and/or airway inspiratory pressure -
on the following parameters: hemodynamics, oxygenation, barotrauma episodes, and
lung recruitability through physiological variables and imaging techniques. RMs
and PEEP titration are interdependent events for the success of ventilatory
management. PEEP should be adjusted on the basis of respiratory system mechanics
and oxygenation. Recent systematic reviews and meta-analyses suggest that RMs are
associated with lower mortality in patients with ARDS. However, the optimal RM
method (i.e., that providing the best balance of benefit and harm) and the
effects of RMs on clinical outcome are still under discussion, and further
evidence is needed.
PMID- 26557479
TI - In vivo analysis of intestinal permeability following hemorrhagic shock.
AB - AIM: To determine the time course of intestinal permeability changes to
proteolytically-derived bowel peptides in experimental hemorrhagic shock.
METHODS: We injected fluorescently-conjugated casein protein into the small bowel
of anesthetized Wistar rats prior to induction of experimental hemorrhagic shock.
These molecules, which fluoresce when proteolytically cleaved, were used as
markers for the ability of proteolytically cleaved intestinal products to access
the central circulation. Blood was serially sampled to quantify the relative
change in concentration of proteolytically-cleaved particles in the systemic
circulation. To provide spatial resolution of their location, particles in the
mesenteric microvasculature were imaged using in vivo intravital fluorescent
microscopy. The experiments were then repeated using an alternate measurement
technique, fluorescein isothiocyanate (FITC)-labeled dextrans 20, to semi
quantitatively verify the ability of bowel-derived low-molecular weight molecules
(< 20 kD) to access the central circulation. RESULTS: Results demonstrate a
significant increase in systemic permeability to gut-derived peptides within 20
min after induction of hemorrhage (1.11 +/- 0.19 vs 0.86 +/- 0.07, P < 0.05)
compared to control animals. Reperfusion resulted in a second, sustained increase
in systemic permeability to gut-derived peptides in hemorrhaged animals compared
to controls (1.2 +/- 0.18 vs 0.97 +/- 0.1, P < 0.05). Intravital microscopy of
the mesentery also showed marked accumulation of fluorescent particles in the
microcirculation of hemorrhaged animals compared to controls. These results were
replicated using FITC dextrans 20 [10.85 +/- 6.52 vs 3.38 +/- 1.11 fluorescent
intensity units (* 10(5), P < 0.05, hemorrhagic shock vs controls)], confirming
that small bowel ischemia in response to experimental hemorrhagic shock results
in marked and early increases in gut membrane permeability. CONCLUSION: Increased
small bowel permeability in hemorrhagic shock may allow for systemic absorption
of otherwise retained proteolytically-generated peptides, with consequent
hemodynamic instability and remote organ failure.
PMID- 26557480
TI - Therapeutic temperature modulation is associated with pulmonary complications in
patients with severe traumatic brain injury.
AB - AIM: To examine complications associated with the use of therapeutic temperature
modulation (mild hypothermia and normothermia) in patients with severe traumatic
brain injury (TBI). METHODS: One hundred and fourteen charts were reviewed.
Inclusion criteria were: severe TBI with Glasgow Coma Scale (GCS) < 9, intensive
care unit (ICU) stay > 24 h and non-penetrating TBI. Patients were divided into
two cohorts: the treatment group received therapeutic temperature modulation
(TTM) with continuous surface cooling and indwelling bladder temperature probes.
The control group received standard treatment with intermittent acetaminophen for
fever. Information regarding complications during the time in the ICU was
collected as follows: Pneumonia was identified using a combination of clinical
and laboratory data. Pulmonary embolism, pneumothorax and deep venous thrombosis
were identified based on imaging results. Cardiac arrhythmias and renal failure
were extracted from the clinical documentation. acute respiratory distress
syndrome and acute lung injury were determined based on chest imaging and
arterial blood gas results. A logistic regression was conducted to predict
hospital mortality and a multiple regression was used to assess number and type
of clinical complications. RESULTS: One hundred and fourteen patients were
included in the analysis (mean age = 41.4, SD = 19.1, 93 males), admitted to the
Jackson Memorial Hospital Neuroscience ICU and Ryder Trauma Center (mean GCS =
4.67, range 3-9), were identified and included in the analysis. Method of injury
included motor vehicle accident (n = 29), motor cycle crash (n = 220), blunt head
trauma (n = 212), fall (n = 229), pedestrian hit by car (n = 216), and gunshot
wound to the head (n = 27). Ethnicity was primarily Caucasian (n = 260), as well
as Hispanic (n = 227) and African American (n = 223); four patients had unknown
ethnicity. Patients received either TTM (43) or standard therapy (71). Within the
TTM group eight patients were treated with normothermia after TBI and 35 patients
were treated with hypothermia. A logistic regression predicting in hospital
mortality with age, GCS, and TM demonstrated that GCS (Beta = 0.572, P < 0.01)
and age (Beta = -0.029) but not temperature modulation (Beta = 0.797, ns) were
significant predictors of in-hospital mortality [chi(2) (3) = 22.27, P < 0.01] A
multiple regression predicting number of complications demonstrated that
receiving TTM was the main contributor and was associated with a higher number of
pulmonary complications (t = -3.425, P = 0.001). CONCLUSION: Exposure to TTM is
associated with an increase in pulmonary complications. These findings support
more attention to these complications in studies of TTM in TBI patients.
PMID- 26557481
TI - What is new in critical illness and injury science? Patient safety amidst chaos:
Are we on the same team during emergency and critical care interventions?
PMID- 26557482
TI - Regional anesthesia for management of acute pain in the intensive care unit.
AB - Pain is a major problem for Intensive Care Unit (ICU) patients. Despite numerous
improvements it is estimated that as many as 70% of the patients experience
moderate-to-severe postoperative pain during their stay in the ICU. Effective
pain management means not only decreasing pain intensity, but also reducing the
opioids' side effects. Minimizing nausea, vomiting, urinary retention, and
sedation may indeed facilitate patient recovery and it is likely to shorten the
ICU and hospital stay. Adequate postoperative and post-trauma pain management is
also crucial for the achievement of effective rehabilitation. Furthermore, recent
studies suggest that effective acute pain management may be helpful in reducing
the development of chronic pain. When used appropriately, and in combination with
other treatment modalities, regional analgesia techniques (neuraxial and
peripheral nerve blocks) have the potential to reduce or eliminate the
physiological stress response to surgery and trauma, decreasing the possibility
of surgical complications and improving the outcomes. Also they may reduce the
total amount of opioid analgesics necessary to achieve adequate pain control and
the development of potentially dangerous side effects.
PMID- 26557483
TI - Prognosis of critical surgical patients depending on the duration of stay in the
ICU.
AB - OBJECTIVE: To analyze the epidemiological and prognostic differences between
critical surgical patients admitted to intensive care unit (ICU) according to
length of stay in the ICU. MATERIALS AND METHODS: Retrospective observational
study on patients with surgical pathology admitted to ICU of a tertiary hospital,
during 7 years, with a stay >= 5 days. The variables analyzed were age, sex,
Acute Physiology and Chronic Health Evaluation II (APACHE II), duration of stay,
hospital and ICU mortality, original service, reason for admission, geographical
place of residence, and the use of invasive techniques such as mechanical
ventilation (MV), tracheotomy, and techniques of continuous renal replacement
(CRR). Two groups were defined; one with intermediate stay, the one that exceeds
the average of our population (> 5 days) and another with long stay patients (>
14 days). Readmissions were excluded. Firstly, the analysis of differential
characteristics of patients was performed, this was according to the duration of
their stay using either a contrast equal averages when the variable contrast
between the two groups was quantitative or the Chi-square test when the variable
analyzed was qualitative. For both tests, the existence of significant
differences between groups was considered when the significance level was less
than 5%. And, secondly, a model forecast ICU survival of these patients,
regardless of length of stay in ICU, using a binary logistic regression analysis
was performed. RESULTS: Among the 540 patients analyzed, no significant
differences were observed, depending on the length of stay in the ICU, except the
need for invasive techniques such as MV or tracheotomy in those of longer stay (P
= 0.000). However, ICU mortality was significantly higher for patients with
intermediate stay (30 vs 17: 5%; P = 0.000), without observing differences in
hospital mortality. ICU survival was influenced by age, APACHE II levels,
admission to the ICU in a coma state, and the application of the three invasive
techniques discussed. CONCLUSION: Surgical patients who survive in the ICU,
regardless of the length of their stay in it, have the same odds of hospital
survival. Found as predictors of mortality in ICU APACHE II, age, admission in a
coma state, and application of invasive techniques.
PMID- 26557484
TI - Can enteral antibiotics be used to treat pneumonia in the surgical intensive care
unit? A clinical outcomes and cost comparison.
AB - BACKGROUND: Controlling healthcare costs without compromising patient care is a
focus given recent healthcare changes in the United States. The purpose of this
study was to assess clinical improvement in surgical intensive care unit (SICU)
patients initiated on or transitioned to enteral antibiotics compared to those
who solely receive intravenous (IV) antibiotic therapy for treatment of bacterial
pneumonia. MATERIALS AND METHODS: This retrospective cohort study included
patients with a positive quantitative respiratory culture being treated for
bacterial pneumonia in a SICU from 1/1/09 to 3/31/11. Two distinct patient groups
were identified: Those treated with IV antibiotics exclusively (IV) and those
either initiated on or transitioned to enteral antibiotics within 4 days of
antibiotic initiation (PO). The primary endpoint of clinical improvement was
assessed on day of antibiotic discontinuation. RESULTS: A total of 647 patients
were evaluated; 124 met inclusion criteria (30 patients PO group and 94 IV
group). There was no difference in clinical improvement (86.7 PO vs 72.3% IV, P =
0.14) or recurrence (10 PO vs. 12.8% IV, P > 0.99) between groups. Secondary
outcomes of duration of mechanical ventilation, ICU and hospital length of stay,
and all-cause mortality were also similar. Antibiotic and infection-related costs
were significantly decreased in the PO group ($1,042 vs $697, P = 0.04; $20,776
vs $17,381, P = 0.012, respectively). CONCLUSIONS: SICU patients initiated on or
transitioned to PO antibiotics for pneumonia had similar clinical outcomes, but
significantly less infection-related and antibiotic costs compared to those
receiving IV therapy. Further, prospective studies are warranted.
PMID- 26557485
TI - Direct (presenting primarily to trauma center) versus indirect (referred or
transferred) admission of patients to the Trauma Centre of King George Medical
University: One-year prospective pilot study.
AB - BACKGROUND: India does not have a trauma registry. There is lack of base line
demographic data of trauma victims that present directly to the trauma center and
those that are transferred to the trauma center. AIM: To compare the clinical and
demographic profile of directly admitted (presenting primarily to the trauma
center) and referred (transferred to trauma center) patients at the trauma centre
of King George Medical University. MATERIALS AND METHODS: The demographic and
clinical profiles of patients admitted on thirty-three consecutive Mondays were
collected and compared. In addition, the demographic data of patients admitted on
Mondays and eight randomly selected Wednesdays and Saturdays were analyzed to
ascertain the representativeness of the studied sample. RESULTS: Of the 572
patients in the study, 327 were referred and 245 were directly admitted. There
was 27% mortality in the referred group and 22% mortality in the directly
admitted group, the difference been statistically insignificant (P value 0.20).
Patients referred from peripheral hospitals were more severely injured with a
lower GCS and a higher TRISS, and had a higher proportion of multi system major
trauma and severe head injury. CONCLUSION: Referred admitted (transferred)
patients at the KGMU trauma center are more seriously injured than the patients
presenting directly. Yet there is no statistically significant difference in the
overall mortality. A future study focusing on certain sub-categories of patients
such as those demonstrating subdural hematoma, GCS less than 9 or ISS more than
15 may yield interesting data.
PMID- 26557486
TI - Complications of needle thoracostomy: A comprehensive clinical review.
AB - Needle thoracostomy (NT) is a valuable adjunct in the management of tension
pneumothorax (tPTX), a life-threatening condition encountered mainly in trauma
and critical care environments. Most commonly, needle thoracostomies are used in
the prehospital setting and during acute trauma resuscitation to temporize the
affected individuals prior to the placement of definitive tube thoracostomy (TT).
Because it is both an invasive and emergent maneuver, NT can be associated with a
number of potential complications, some of which may be life-threatening. Due to
relatively common use of this procedure, it is important that healthcare
providers are familiar, and ready to deal with, potential complications of NT.
PMID- 26557487
TI - Central line complications.
AB - Central venous access is a common procedure performed in many clinical settings
for a variety of indications. Central lines are not without risk, and there are a
multitude of complications that are associated with their placement.
Complications can present in an immediate or delayed fashion and vary based on
type of central venous access. Significant morbidity and mortality can result
from complications related to central venous access. These complications can
cause a significant healthcare burden in cost, hospital days, and patient quality
of life. Advances in imaging, access technique, and medical devices have reduced
and altered the types of complications encountered in clinical practice; but most
complications still center around vascular injury, infection, and misplacement.
Recognition and management of central line complications is important when caring
for patients with vascular access, but prevention is the ultimate goal. This
article discusses common and rare complications associated with central venous
access, as well as techniques to recognize, manage, and prevent complications.
PMID- 26557489
TI - Complications of bronchoscopy: A concise synopsis.
AB - Flexible and rigid bronchoscopes are used in diagnosis, therapeutics, and
palliation. While their use is widespread, effective, and generally safe; there
are numerous potential complications that can occur. Mechanical complications of
bronchoscopy are primarily related to airway manipulations or bleeding. Systemic
complications arise from the procedure itself, medication administration
(primarily sedation), or patient comorbidities. Attributable mortality rates
remain low at < 0.1% for fiberoptic and rigid bronchoscopy. Here we review the
complications (classified as mechanical or systemic) of both rigid and flexible
bronchoscopy in hope of making practitioners who are operators of these tools,
and those who consult others for interventions, aware of potential problems, and
pitfalls in order to enhance patient safety and comfort.
PMID- 26557488
TI - An overview of complications associated with open and percutaneous tracheostomy
procedures.
AB - Tracheostomy, whether open or percutaneous, is a commonly performed procedure and
is intended to provide long-term surgical airway for patients who are dependent
on mechanical ventilatory support or require (for various reasons) an alternative
airway conduit. Due to its invasive and physiologically critical nature,
tracheostomy placement can be associated with significant morbidity and even
mortality. This article provides a comprehensive overview of commonly encountered
complications that may occur during and after the tracheal airway placement,
including both short- and long-term postoperative morbidity.
PMID- 26557490
TI - Laparoscopy in trauma: An overview of complications and related topics.
AB - The introduction of laparoscopy has provided trauma surgeons with a valuable
diagnostic and, at times, therapeutic option. The minimally invasive nature of
laparoscopic surgery, combined with potentially quicker postoperative recovery,
simplified wound care, as well as a growing number of viable intraoperative
therapeutic modalities, presents an attractive alternative for many
traumatologists when managing hemodynamically stable patients with selected
penetrating and blunt traumatic abdominal injuries. At the same time, laparoscopy
has its own unique complication profile. This article provides an overview of
potential complications associated with diagnostic and therapeutic laparoscopy in
trauma, focusing on practical aspects of identification and management of
laparoscopy-related adverse events.
PMID- 26557493
TI - The use of cryotherapy via bronchoscopy for removal of obstructing
tracheobronchial thrombi.
PMID- 26557492
TI - Colosplenic contained perforation secondary to colonic lymphoma.
AB - We present the case of patient with colosplenic perforation from a colonic
lymphoma. He initially was diagnosed with a splenic abscess subsequently
developed a contained colonic perforation, underwent surgical treatment and
intraoperatively was diagnosed with lymphoma. This is a rare entity in a non
immunocompromised host and has been scarcely reported.
PMID- 26557491
TI - Complications of pericardiocentesis: A clinical synopsis.
AB - Pericardiocentesis (PC) is both a diagnostic and a potentially life-saving
therapeutic procedure. Currently echocardiography-guided pericardiocentesis is
considered the standard clinical practice in the treatment of large pericardial
effusions and cardiac tamponade. Although considered relatively safe, this
invasive procedure may be associated with certain risks and potentially serious
complications. This review provides a summary of pericardiocentesis and a focused
overview of the potential complications of this procedure.
PMID- 26557494
TI - Renal leak; mechanism of hypercalciuria in short-term immobilization.
PMID- 26557495
TI - Mean platelet volume a key or obstacle in clinical affairs.
PMID- 26557496
TI - Hypercapnia during endoscopic saphenectomy complicating CABG.
PMID- 26557497
TI - Catheter-related infections.
PMID- 26557498
TI - Extensive descending necrotizing mediastinitis can be managed conservatively.
PMID- 26557499
TI - A letter in response to impact of acetazolamide use in severe exacerbation of
chronic obstructive pulmonary disease requiring invasive mechanical ventilation.
PMID- 26557500
TI - Ovarian Cancer Molecular Stratification and Tumor Heterogeneity: A Necessity and
a Challenge.
PMID- 26557501
TI - The Impact of Neutrons in Clinical Proton Therapy.
AB - In proton therapy, high-energy proton beams cause the production of secondary
neutrons. This leads to an unwanted dose contribution, which can be considerable
for tissues outside of the target volume regarding the long-term health of cancer
patients. Due to the high biological effectiveness of neutrons with regard to
cancer induction, small neutron doses can be important. Published comparisons of
neutron dose measurements and the corresponding estimates of cancer risk between
different treatment modalities differ over orders of magnitude. In this report,
the controversy about the impact of the neutron dose in proton therapy is
critically discussed and viewed in the light of new epidemiological studies. In
summary, the impact of neutron dose on cancer risk can be determined correctly
only if the dose distributions are carefully measured or computed. It is
important to include not only the neutron component into comparisons but also the
complete deposition of energy as precisely as possible. Cancer risk comparisons
between different radiation qualities, treatment machines, and techniques have to
be performed under similar conditions. It seems that in the past, the uncertainty
in the models which lead from dose to risk were overestimated when compared with
erroneous dose comparisons. Current risk models used with carefully obtained dose
distributions predict a second cancer risk reduction for active protons vs.
photons and a more or less constant risk of passive protons vs. photons. Those
findings are in general agreement with newly obtained epidemiologically results.
PMID- 26557502
TI - Exome Sequencing of an Adult Pituitary Atypical Teratoid Rhabdoid Tumor.
AB - Atypical teratoid rhabdoid tumors (AT/RTs) are rare pediatric brain tumors
characterized by bialleic loss of the SMARCB1 tumor suppressor gene. In contrast
to pediatric AT/RT that has a simple genome, very little is known about the adult
AT/RT genomic landscape. Using a combination of whole-exome sequencing and high
resolution SNP array in a single adult pituitary AT/RT, we identified a total of
47 non-synonymous mutations, of which 20 were predicted to cause non-conservative
amino acid substitutions, in addition to a subclone of cells with trisomy 8. We
suggest that adult AT/RT may not be markedly dissimilar to other adult brain
tumors where mutations in a range of genes, reflecting the functional
specialization of different brain regions, but including SMARCB1 inactivation,
may be required for its pathogenesis.
PMID- 26557504
TI - Radiation-Induced Esophagitis is Mitigated by Soy Isoflavones.
AB - INTRODUCTION: Lung cancer patients receiving radiotherapy present with acute
esophagitis and chronic fibrosis, as a result of radiation injury to esophageal
tissues. We have shown that soy isoflavones alleviate pneumonitis and fibrosis
caused by radiation toxicity to normal lung. The effect of soy isoflavones on
esophagitis histopathological changes induced by radiation was investigated.
METHODS: C57BL/6 mice were treated with 10 Gy or 25 Gy single thoracic
irradiation and soy isoflavones for up to 16 weeks. Damage to esophageal tissues
was assessed by hematoxylin-eosin, Masson's Trichrome and Ki-67 staining at 1, 4,
10, and 16 weeks after radiation. The effects on smooth muscle cells and
leukocyte infiltration were determined by immunohistochemistry using anti
alphaSMA and anti-CD45, respectively. RESULTS: Radiation caused thickening of
esophageal tissue layers that was significantly reduced by soy isoflavones. Major
radiation alterations included hypertrophy of basal cells in mucosal epithelium
and damage to smooth muscle cells in muscularis mucosae as well as disruption of
collagen fibers in lamina propria connective tissue with leukocyte infiltration.
These effects were observed as early as 1 week after radiation and were more
pronounced with a higher dose of 25 Gy. Soy isoflavones limited the extent of
tissue damage induced by radiation both at 10 and 25 Gy. CONCLUSION: Soy
isoflavones have a radioprotective effect on the esophagus, mitigating the early
and late effects of radiation injury in several esophagus tissue layers. Soy
could be administered with radiotherapy to decrease the incidence and severity of
esophagitis in lung cancer patients receiving thoracic radiation therapy.
PMID- 26557503
TI - DIPG in Children - What Can We Learn from the Past?
AB - Brainstem tumors represent 10-15% of pediatric central nervous system tumors and
diffuse intrinsic pontine glioma (DIPG) is the most common brainstem tumor of
childhood. DIPG is almost uniformly fatal and is the leading cause of brain tumor
related death in children. To date, radiation therapy (RT) is the only form of
treatment that offers a transient benefit in DIPG. Chemotherapeutic strategies
including multi-agent neoadjuvant chemotherapy, concurrent chemotherapy with RT,
and adjuvant chemotherapy have not provided any survival advantage. To overcome
the restrictive ability of the intact blood-brain barrier (BBB) in DIPG, several
alternative drug delivery strategies have been proposed but have met with minimal
success. Targeted therapies either alone or in combination with RT have also not
improved survival. Five decades of unsuccessful therapies coupled with recent
advances in the genetics and biology of DIPG have taught us several important
lessons (1). DIPG is a heterogeneous group of tumors that are biologically
distinct from other pediatric and adult high grade gliomas (HGG). Adapting
chemotherapy and targeted therapies that are used in pediatric or adult HGG for
the treatment of DIPG should be abandoned (2). Biopsy of DIPG is relatively safe
and informative and should be considered in the context of multicenter clinical
trials (3). DIPG probably represents a whole brain disease so regular neuraxis
imaging is important at diagnosis and during therapy (4). BBB permeability is of
major concern in DIPG and overcoming this barrier may ensure that drugs reach the
tumor (5). Recent development of DIPG tumor models should help us accurately
identify and validate therapeutic targets and small molecule inhibitors in the
treatment of this deadly tumor.
PMID- 26557506
TI - Pelvic Floor Support Defect in Apical Anterior Vaginal Prolapse with Cervical
Hypertrophy. Review with Case Report in a 20-year-old Cadaver.
AB - Apical anterior vaginal wall prolapse (AVWP) with central defect is uncommon in
young non hysterectomized patients causing considerable mortality after the
fourth decade of life. Its high propensity to recurrence poses the greatest
challenge to pelvic reconstructive surgeons. Approximately 40% of women with
prolapse have hypertrophic cervical elongation and the extent of elongation
increases with greater degrees of prolapse. Women with prolapse either have
inherent hypertrophic elongation of the cervix which predisposes them to prolapse
or the downward traction in prolapse leads to cervical elongation. The Pelvic
Organ Prolapse Quantification (POP-Q) examination includes measurement of the
location of the posterior fornix (point D) with the assumption that this
measurement is associated with cervical elongation. Multifocal site involvement
with apical and perineal descent primarily afflicts elderly, postmenopausal women
after the fourth decade while cervical hypertrophic elongation with prolapse is
observed in younger women less than 40 years of age. A review of the anatomical
implication of the association of cervical hypertrophy in prolapse is carried out
in this article. We observed a combination of distension type anterior vaginal
prolapse with apical descent and cervical hypertrophy in a 20-year-old cadaver
during routine dissection for undergraduate medical students at Sikkim Manipal
Institute of Medical Sciences in 2013. Distension type anterior vaginal prolapse
with central defect is rarer as most reported cases are of the displacement type,
paravaginal defect. Hypertrophic cervical elongation is either the cause or
consequence of prolapse and its identification before reconstructive surgery is
paramount as uterine suspension in the face of cervical elongation is
contraindicated. Inappropriate identification of all support defects and breaking
of tissues is the primary cause of failure of laparoscopic pelvic reconstructive
surgery.
PMID- 26557505
TI - Study of Third Coronary Artery in Adult Human Cadaveric Hearts.
AB - INTRODUCTION: Third coronary artery (TCA) is a direct branch arising from the
anterior aortic sinus (right aortic sinus) which supplies right ventricular
outflow tract. It is found frequently and may be an important source for
collateral coronary blood flow through a vascular anastomotic bridge (circle of
Vieussens) between the right and left coronary systems. AIM: To evaluate the
gross anatomy of third coronary artery in terms of their number, origin, extent
and distribution. MATERIALS AND METHODS: After an ethical approval, 150 formalin
fixed adult human cadaveric hearts were collected from Department of Anatomy,
BVDU Medical College and Hospital, Sangli and Pune over the period of six years.
The careful dissection was carried out to note details about third coronary
artery and data was analysed using SPSS computer software. RESULTS: The TCA was
present in 32% of the heart specimens. In 42 hearts (28%) single TCA and in 6
hearts (4%) double TCA were noted. It was found to be variably distributed to
conus arteriosus, anterior wall of the right ventricle, interventricular septum
and the apex of the heart. TCA was larger than right coronary artery in 8 hearts
and later ended at inferior border of heart. Myocardial bridge was noted over
large third coronary artery in one specimen. CONCLUSION: TCA is present
frequently. It anastomoses with branches of left anterior descending artery
(LADA) and contributes to apical and septal perfusion. Hence role of TCA should
always be considered during diagnostic and therapeutic interventions.
PMID- 26557507
TI - Unusual Course of Splenic Artery: A Case Report.
AB - Splenic artery is the largest branch from the celiac trunk. It is remarkably
tortuous in its course before it enters the hilum of the spleen. During routine
dissection of abdomen for undergraduate students in a 60-year-old male cadaver,
we observed an unusual course and tortuosity in the splenic artery. Knowledge of
such unusual tortuous splenic artery is important in partial or total
splenectomy, splenic aneurysum, splenic embolisation and surgeries related to
pancreas, stomach.
PMID- 26557508
TI - Is Xanthine Oxidase, a Marker in Pre-eclampsia? A Case-Control Study.
AB - INTRODUCTION: Pre-eclampsia is an obstetrics problem that affects multiple
systemic functions and leads to the increased maternal and fetal morbidity and
mortality. The objective of the study was to evaluate the plasma levels of
Xanthine oxidase (XO) activity, uric acid and Nitric oxide (NO) levels in women
with pre-eclampsia and normal pregnancy during antenatal and postpartum period.
MATERIALS AND METHODS: A case control study was conducted in women with normal
pregnancy (n=50) and pre-eclampsia (n=50) before and after delivery. XO activity,
uric acid and NO levels were determined from samples at 30-39 weeks of gestation.
The current study was conducted in association with Obstetrics and Gynecology
Department of R.L. Jalappa Hospital and Research Center. The blood samples were
analysed for assay of XO, uric acid and NO. The results were analysed by using
SPSS software version 2013. P-value < 0.05 was considered as statistically
significant. RESULTS: The plasma XO activity was elevated (p<0.001) in the pre
eclampsia compared to normotensive pregnant women before delivery and decreased
after delivery (p<0.001) significantly. Uric acid level showed a significant
increase in pre-eclampsia when compared to the control before delivery (p<0.001)
however values were non-significant after delivery. CONCLUSION: Placenta plays a
key role in the pathophysiology of pre-eclampsia. Placenta removal leads to
decrease trend of xanthine oxidase activity, uric acid and elevation of Nitric
oxide as reversible changes in pre-eclampsia patients within 48 hours after
delivery.
PMID- 26557509
TI - Evaluation of CareSens POCT Devices for Glucose Testing in the Routine Hospital
Setting.
AB - INTRODUCTION: Glucose meters are used routinely in hospital wards to manage blood
glucose levels in patients requiring frequent monitoring of blood glucose.
OBJECTIVE: Our institution has 50 POC instruments utilized by diverse population
of all ages and medical conditions. The primary objective of our study was to
investigate whether all these CareSens glucose meters (I-sense Inc, Seoul, South
Korea) results in hospitalized patients during routine clinical care jointly
satisfy the specified quality specifications, as defined by Clinical and
Laboratory Standards Institute (CLSI) guideline POCT12-A3. MATERIALS AND METHODS:
The records of hospitalized patients who underwent simultaneous measures of
glucose levels with both glucose meters and a central laboratory analyser between
January and June 2013 were retrospectively analysed. We also performed a
prospective evaluation of the accuracy of the CareSens glucose Strip. RESULTS:
Glucose concentrations measured in 840 patients ranged from 1.66 to 31.72 mmol/L
The Bland-Altman difference plot between the auto analyser and all the 50
CareSens glucosemeters revealed a mean bias of -2.2%, with analytical biases for
the two methods varying from -31.1% to 26.8%. Eighty four percent of the glucose
meter's glucose values were within +/- 12.5% for values 5.54 mmol/L of the
comparative laboratory glucose values and 93% of the results were within 20% of
the reference for glucose >4.2 mmol/L and 65% of the results were within 0.8
mmol/L for glucose <4.2 mmol/L. CONCLUSION: CareSens glucose meter readings in
hospital settings, especially in hypoglycaemic patients, should be confirmed by
central laboratory analysers whenever possible.
PMID- 26557510
TI - Heart-Type Fatty Acid Binding Protein: A Better Cardiac Biomarker than CK-MB and
Myoglobin in the Early Diagnosis of Acute Myocardial Infarction.
AB - BACKGROUND: Early diagnosis and therapeutic intervention can improve the outcome
of acute myocardial infarction (AMI). However, there are no satisfactory cardiac
biomarkers for the diagnosis of AMI within 6 hours of onset of symptoms. Among
novel biochemical markers of AMI, heart-type fatty acid binding protein (H-FABP)
is of particular interest. AIM: To compare the diagnostic value of H-FABP with
that of CK-MB and myoglobin in suspected AMI patients within first 6 hours after
the onset of symptoms. SETTINGS AND DESIGN: The study includes 40 AMI cases and
40 non-cardiac chest pain otherwise healthy controls. The cases and controls were
further divided into 2 groups depending on the time since chest pain as those
subjects within 3 hours and those between 3-6 hours of onset of chest pain.
MATERIALS AND METHODS: In all the cases and controls, serum H-FABP, CK-MB and
myoglobin concentrations were measured by Immunoturbidimetric method, immuno
inhibition method and Chemiluminescence immunoassay respectively. STATISTICAL
ANALYSIS: Data is presented as mean +/- SD values. Differences between means of
two groups were assessed by Student t-test. Sensitivity, Specificity, Positive
predictive value, Negative predictive values were calculated and ROC curve
analysis was done to assess the diagnostic validity of each study parameter.
RESULTS: The sensitivity, specificity, PPV, NPV of H-FABP were greater than CK-MB
and myoglobin and ROC curve analysis demonstrated highest area under curve for H
FABP followed by myoglobin and CK-MB in patients with suspected AMI both within 3
hours and 3-6 hours after the onset of chest pain. CONCLUSION: The diagnostic
efficiency of H-FABP is greater than CK-MB and myoglobin for the early diagnosis
of AMI within first 6 hours of chest pain. H-FABP can be used as an additional
diagnostic tool for the early diagnosis of AMI.
PMID- 26557511
TI - Laboratory Profiles of Patients on Hemodialysis - A Retrospective One Year Study
in a Rural Tertiary Care Hospital.
AB - INTRODUCTION: The global prevalence of chronic kidney disease (CKD) is estimated
to be 8-16%. Studies have shown that the increased mortality in patients with CKD
is due to anemia that leads to cardiovascular disease (CVD), also known as
"Cardio renal anemia syndrome". The present study was undertaken to look into the
laboratory profiles of end stage renal disease (ESRD) patients. AIM: To study the
laboratory profiles of End stage renal disease (ESRD) patients coming for
hemodialysis. MATERIALS AND METHODS: The study was a retrospective, cross-
sectional study done by collecting data from the medical case records of all
patients during a period of one year from January 1(st) 2014 to December 31(st)
2014. Records of a total of 140 patients who underwent hemodialysis during this
period were taken. The laboratory profiles that was recorded included
haemoglobin, serum sodium, potassium, chloride, fasting glucose, calcium and
phosphorus. RESULTS: The mean age of the subjects was 53.5+/-14.5 yrs. All the
patients had moderate anaemia. There was a significant difference in the mean
systolic and diastolic blood pressure, serum creatinine and serum urea values
between males and females. The mean serum calcium levels were low. CONCLUSION:
The present study is the first such study in this rural area and shows evidence
of a relatively young population with ESRD having moderate anaemia and
hypertension. There is evidence of hypocalcaemia and serum phosphorus is on the
higher end of the normal range. These findings are usually associated with a
higher risk of mortality. With the explosion of diabetes and hypertension in
India, chronic kidney disease should be diagnosed and managed as early as
possible if not prevented.
PMID- 26557512
TI - Effect of Different Phases of Menstrual Cycle on Heart Rate Variability (HRV).
AB - BACKGROUND: Heart Rate Variability (HRV), which is a measure of the cardiac
autonomic tone, displays physiological changes throughout the menstrual cycle.
The functions of the ANS in various phases of the menstrual cycle were examined
in some studies. AIMS AND OBJECTIVES: The aim of our study was to observe the
effect of menstrual cycle on cardiac autonomic function parameters in healthy
females. MATERIALS AND METHODS: A cross-sectional (observational) study was
conducted on 50 healthy females, in the age group of 18-25 years. Heart Rate
Variability (HRV) was recorded by Physio Pac (PC-2004). The data consisted of
Time Domain Analysis and Frequency Domain Analysis in menstrual, proliferative
and secretory phase of menstrual cycle. Data collected was analysed statistically
using student's pair t-test. RESULTS: The difference in mean heart rate, LF
power%, LFnu and HFnu in menstrual and proliferative phase was found to be
statistically significant. The difference in mean RR, Mean HR, RMSSD (the square
root of the mean of the squares of the successive differences between adjacent
NNs.), NN50 (the number of pairs of successive NNs that differ by more than 50
ms), pNN50 (the proportion of NN50 divided by total number of NNs.), VLF (very
low frequency) power, LF (low frequency) power, LF power%, HF power %, LF/HF
ratio, LFnu and HFnu was found to be statistically significant in proliferative
and secretory phase. The difference in Mean RR, Mean HR, LFnu and HFnu was found
to be statistically significant in secretory and menstrual phases. CONCLUSION:
From the study it can be concluded that sympathetic nervous activity in secretory
phase is greater than in the proliferative phase, whereas parasympathetic nervous
activity is predominant in proliferative phase.
PMID- 26557513
TI - Diurnal Variation in Peak Expiratory Flow and Forced Expiratory Volume.
AB - INTRODUCTION: Diurnal variability in airways has most commonly been reported
using peak expiratory flow (PEF) and forced expiratory volume in one second
(FEV1). Characteristics of variability in small, mid and large airways caliber
are expected to be different but have not been studied. AIM: The aim of the study
was to assess diurnal variation in small mid and large airways caliber using
FEF25, FEF50, FEF75 and FEF25-75 along with PEF and FEV1 in healthy subjects.
MATERIALS AND METHODS: Spirometry was performed in 161 healthy subjects twice in
a day. Diurnal variability in FEF25, FEF50, FEF75, FEF25-75, PEF and FEV1 were
determined and compared. RESULTS: It revealed that all parameters exhibit
significant diurnal variability. Diurnal variability is highest in large airways
and lowest in smaller airways. CONCLUSION: Proximal airways show greater diurnal
variation in their caliber than distal airways as reflected by greater
variability in PEF as compared to FEV1.
PMID- 26557514
TI - Heart Rate Variability in Adolescents - Normative Data Stratified by Sex and
Physical Activity.
AB - BACKGROUND: Heart rate variability (HRV) refers to the beat-to-beat fluctuations
in the cardiac rhythm occurring due to modulation of the pacemaker (sinoatrial
node) activity of the heart by the sympathetic and parasympathetic branches of
the autonomic nervous system. Nowadays, cardiovascular diseases and their risk
factors are increasingly occurring at a younger age (children and adolescents)
and recording of HRV in them will help us to identify cardiovascular autonomic
derangement earlier. However, to be used clinically, normative data has to be
established in this age group considering other major factors that can influence
HRV such as sex, physical activity, and BMI. MATERIALS AND METHODS: Task Force of
the European Society of Cardiology and the North American Society of Pacing and
Electrophysiology has provided the standards for measurement of heart rate
variability and we have followed the same. In the present study, we have
described the normative data for HRV in the adolescent in the age group of 12-17
years, stratified based on sex and physical activity. RESULTS: Data given below
are expressed as median with interquartile range (Median (IQR)) in the following
order: non-athlete girls, non athlete boys, athlete girls and athlete boys. Time
domain indices - SDNN - 66.35 (40.78), 63.20 (36.20), 113.00 (31.40) and 94.20
(35.55); RMSSD - 69.00 (50.55), 58.70 (43.40), 94.90 (42.10) and 100.30 (47.50);
NN50 - 137.50 (100.25), 116.00 (90.50), 137.00 (81.00) and 156.00 (81.50). The
frequency domain indices - LF power 1015.00 (1098.75), 945.00 (831.00), 1465
(642.25), and 1211.00 (811.37); HF power - 1324.00 (1707.00), 988.00 (1426.50),
2409.00 (1387.50), and 2219.00 (1752.00); Total power - 3374.50 (3094.25),
2757.00 (2641.00), 5202.00 (2501.50) and 5273.00 (3507.50); LFnu - 45.44 (16.61),
47.63 (29.98), 38.59 (11.81) and 37.10 (11.21); HFnu - 54.56 (16.61), 52.37
(29.98), 61.41 (11.81) and 62.90 (11.21). CONCLUSION: We have given sex and
physical activity stratified HRV normative data for adolescents in the age
between 12-17 years.
PMID- 26557515
TI - Methicillin Resistant Staphylococcus aureus: Inconsistencies in Vancomycin
Susceptibility Testing Methods, Limitations and Advantages of each Method.
AB - BACKGROUND: Vancomycin may be ineffective against an increasing proportion of
methicillin resistant Staphylococcus aureus (MRSA) with minimum inhibitory
concentrations (MICs) well within the susceptible range. On the other hand it is
common knowledge that determination of vancomycin MICs is method dependent.
Therefore, given the apparent variability in vancomycin MIC results obtained with
the different methods, the use of the vancomycin MIC to predict the outcome of
serious S. aureus infections needs to take into account the method used and the
results of studies using that particular method. AIM: Comparative study was
carried out to evaluate the MICs obtained by BMD method, E-test, and Vitek 2
method and to detect inconsistencies in these vancomycin for 66 MRSA isolates
obtained from various samples of patients attending the OPDs & IPDs within a
period of one year. MATERIALS AND METHODS: A comparative study was carried out to
evaluate the MICs obtained by BMD method, E-test, and Vitek 2 method to detect
vancomycin susceptibility in 66 clinical isolates of MRSA obtained from various
samples of patients attending the OPDs & IPDs within a period of one year. The
study was conducted in Department of Microbiology, Subharti Medical College,
Meerut from January to December 2012. RESULTS: On determination of MICs for
vancomycin for the MRSA isolates, all were identified as VSSA by BMD, E-Test &
Vitek 2 methods. However, the vancomycin MIC values obtained by E-test correlated
better with BMD method (correlation factor= 0.6727) than Vitek 2 (correlation
factor=0.5316), indicating E-Test to be a better method for determination of
vancomycin MICs as compared to Vitek 2. CONCLUSION: MRSA isolates with higher
vancomycin MICs, even within the susceptibility range, are being observed more
frequently which result in treatment failures with vancomycin. Because of the
discrepancy that exists in vancomycin MIC results from different methods, the
prediction of outcome of serious S.aureus infections should take into account the
method used & results of studies using that particular method.
PMID- 26557516
TI - Comparison of Antimicrobial Efficacy of Triclosan- Containing, Herbal and
Homeopathy Toothpastes- An Invitro Study.
AB - BACKGROUND: Use of antimicrobial agents is one of the important strategies to
prevent oral diseases. These agents vary in their abilities to deliver preventive
and therapeutic benefits. OBJECTIVES: This invitro study was conducted to assess
antimicrobial efficacy of different toothpastes against various oral pathogens.
MATERIALS AND METHODS: A total of nine toothpastes in three groups were tested
for their antimicrobial activity against Escherichia coli (ATCC 25922),
Staphylococcus aureus (ATCC 25923), Streptococcus mutans (ATCC 0266P) and Candida
albicans (Laboratory Strain) by modified agar well diffusion method. Statistical
Analysis was performed using Minitab Software. A p-value of less than 0.05 was
considered significant. RESULTS: Triclosan-based dental formulation with
combination of fluoride (1000ppm) exhibited higher antimicrobial activity against
test organisms than the combination of lower fluoride-concentration or sodium
monofluorophosphate. Among herbal dentifrices, formulation containing Neem,
Pudina, Long, Babool, Turmeric and Vajradanti showed significant antimicrobial
activity against all the four tested microorganisms (p<0.05). However, against
Streptococcus mutans, all three herbal products showed significant antimicrobial
activity. Homeo products showed least antimicrobial activity on the tested
strains. Formulation with kreosotum, Plantago major and calendula was
significantly effective only against Streptococcus mutans. CONCLUSION: In the
present study, antimicrobial activity of the toothpaste containing both triclosan
and fluoride (1000ppm) as active ingredients showed a significant difference (p<
0.05) against all four tested microflora compared to that of with lower fluoride
concentration or sodium monofluorophosphate. Of herbal groups, the only
dentifrice containing several phytochemicals was found to be significantly
effective and comparable to triclosan-fluoride (1000ppm) formulation. Thus, this
herbal toothpaste can be used as alternative to triclosan-based formulations.
However, these results might not be clinically useful unless tested invivo.
PMID- 26557517
TI - Screening for Mupirocin Resistance in Staphylococcus.
AB - INTRODUCTION: Mupirocin is widely used topical antibiotic for the treatment of
skin and soft tissue infections caused by Staphylococcus and Streptococcus. In
addition nasal formulations are approved for the use in nasal eradication of
methicillin-resistant Staphylococcus aureus in patients and health care workers.
Wide usage of mupirocin has resulted in resistance leading to treatment failure.
AIM: To screen for the mupirocin resistance among the Staphylococcus isolates
using disc diffusion and minimum inhibitory concentration method. MATERIALS AND
METHODS: A cross-sectional study was done at Microbiology Department of Sri
Ramachandra University with 100 strains of Staphylococcus spp isolated from skin
and soft tissue infections. Methicillin susceptibility was done by disc diffusion
method using oxacillin (1 MUgm) and cefoxitin (30 MUgm) discs. Isolates were
screened for mupirocin resistance by disc diffusion method using 5 MUgm discs.
High level and low level resistance determined by MIC using agar dilution method.
RESULTS: In 100 Staphylococcus spp 56 were Staphylococcus aureus and 44 were
CoNS. Among the 56 Staphylococcus aureus 49 (87.5%) were mupirocin susceptible
and 7 (12.5%) resistant by 5MUg disc diffusion method. However by MIC method 11
(19.6%) were high and low level mupirocin resistant. Out of 44 CoNS 22 (50%) and
18 (41%) were susceptible by disc diffusion and MIC method respectively. Of the
26 resistant CoNS low level and high level mupirocin resistant was observed in 7
(15.9%) and 19 (43.1%) respectively. CONCLUSION: Screening for mupirocin
resistance by disc diffusion method is important before attempting
decolonisation. Mupirocin resistance is more with CoNS. Disc diffusion method may
miss low level Mupirocin resistance.
PMID- 26557518
TI - Candiduria in Catheter Associated Urinary Tract Infection with Special Reference
to Biofilm Production.
AB - INTRODUCTION: Urinary tract infections as a result of Candida species are
becoming increasingly common in hospital settings. The association is higher in
patients with prolonged urinary catheterization and also various pre-disposing
factors. AIM: This study was done to look into the significance of candiduria in
the catheterized patients and to perform microbial catheterization of yeast and
biofilm detection by tube method to guide treatment protocol. MATERIALS AND
METHODS: This is a prospective study. One hundred urine samples were collected
over a period of 3 months. Specimens included were those of patients presenting
with nosocomial Urinary tract infection (UTI) after 72 hours of hospitalization.
The urine samples obtained were immediately processed in microbiology laboratory
by semi-quantitative method as per standard protocol. All yeast isolates were
stored for further microbial characterization. Biofilm production was detected by
tube method. RESULTS: In the present study we observed that out of 100 samples
obtained from catheterized patients presenting with nosocomial UTI 26% were
caused by Candida species. Among the 26 Candida isolates 16 (61.53%) were non
albicans Candida and 10(38.47%) were Candida albicans. Out 26 Candida isolates,
14(53.84%) of the candida isolates were found to produce biofilm. Biofilm
production was found to occur more frequently among non albicans Candida
10(62.5%) than Candida albicans 4(40.0%). CONCLUSION: The present study
reiterates the presence of candiduria in catheterized patients. Non-albicans
candida speices are replacing candida albicans as the predominant pathogen for
nosocomial UTI. It was also observed that Biofilm formation is seen more
frequently with non albicans candida species than with Candida albicans.
PMID- 26557519
TI - Bacteriological Quality of Treated Water and Dialysate in Haemodialysis Unit of A
Tertiary Care Hospital.
AB - INTRODUCTION: Haemodialysis is one of the treatment modalities for patients
suffering from end stage renal disease (ESRD). Dialysis patients are exposed to
large volumes of water for production of dialysis fluids. Treated water and
dialysate come in direct contact with the patient's bloodstream. Such patients
suffer from abnormalities of the immune system, making them more susceptible to
infections. Microbial contamination of the treated water and dialysate can lead
to biofilm formation and release of endotoxins in Haemodialysis system. These can
give rise to pyrogenic reactions in the short term and beta2 amyloidosis,
atherosclerosis, and increased mortality in the long term. AIM: To assess the
bacteriological quality of treated water and dialysate used in the Haemodialysis
unit of a tertiary care hospital. MATERIALS AND METHODS: A retrospective review
of records of treated water and dialysate samples sent to the Microbiology
laboratory for analysis of bacteriological contamination of the water used in
haemodialysis treatment from January 2013 to June 2014 was conducted. The
acceptable limits for treated water and dialysate were taken as <200 CFU/ml and <
2000 CFU/ml respectively as per Government of India Guidelines for Maintenance
Haemodialysis. RESULTS: Thirty six samples of treated water and 394 samples of
dialysate were analysed for bacteriological contamination. 4 out of 36 (11.1%)
samples of treated water and 44 out of 394 dialysate samples (11.2%) showed
unacceptable bacteriological growth. CONCLUSION: Regular and continual monitoring
of the disinfection protocol of the water distribution system in haemodialysis
unit is necessary to get good microbiological quality of treated water and
dialysate fluid.
PMID- 26557521
TI - Primary Bacteremia Caused by Rhizobium radiobacter in Neonate: A Rare Case
Report.
AB - Rhizobium radiobacter is a gram-negative tumourigenic plant pathogen that rarely
causes infections in humans. Rhizobium radiobacter has a strong predilection to
cause infection particularly in those patients who have long standing indwelling
foreign devices. Herewith we report a rare case of Rhizobium radiobacter
bacteremia in a new born baby without other risk factors. The patient was
successfully treated with gentamicin and imipenem. To the best of our knowledge
this is the first documented case of R. radiobacter from India causing neonatal
infection.
PMID- 26557520
TI - Bacteriological Profile of Surgical Site Infections and Their Antibiogram: A
Study From Resource Constrained Rural Setting of Uttarakhand State, India.
AB - INTRODUCTION: Surgical site infections (SSI) constitute a major public health
problem worldwide and are the second most frequently reported nosocomial
infections. They are responsible for increasing the treatment cost, length of
hospital stay and significant morbidity and mortality. AIM: To determine the
incidence of SSIs and the prevalence of aerobic bacterial pathogens involved with
their antibiogram. MATERIALS AND METHODS: Samples were collected using sterile
cotton swabs from 137 patients clinically diagnosed of having SSIs and were
processed as per standard microbiological techniques. Antimicrobial
susceptibility testing was done using modified Kirby-Bauer disc diffusion method.
This cross sectional study was conducted for a period of six months (January 2013
to June 2013) in the Department of Microbiology at a rural tertiary care hospital
of Uttarakhand state, India. RESULTS: Out of 768 patients, 137 (17.8%) were found
to have SSIs and samples were collected from them. Out of total 137 samples, 132
(96.4%) yielded bacterial growth and 139 bacterial isolates were obtained.
Staphylococcus aureus (50.4%) was the commonest organism followed by Escherichia
coli (23.02%), Pseudomonas aeruginosa (7.9%) and Citrobacter species (7.9%).
Antimicrobial profile of gram positive isolates revealed maximum sensitivity to
vancomycin, teicoplanin and linezolid, whereas among gram negative isolates
meropenem, piperacillin-tazobactam, and amikacin were found to be most sensitive.
CONCLUSION: The rate of SSI observed in this study was comparable to other
similar studies, however we observed a higher degree of antimicrobial resistance.
Adherence to strict infection control measures, maintenance of proper hand
hygiene and optimal preoperative, intraoperative and postoperative patient care
will surely reduce the incidence of SSIs.
PMID- 26557522
TI - Simplified Protocol for the Phenotypic Identification of Carbapenem Resistance
Mechanism in Enterobacteriaceae.
PMID- 26557523
TI - Scrub Typhus Seroprevalence in Healthy Indian Population.
AB - Scrub typhus, a zoonosis caused by Orientia tsutsugamushi, is an important cause
of acute febrile illness in India. This preliminary study determines the
seroprevalence of scrub typhus in healthy Indian adults by measuring IgM and IgG
antibodies to scrub typhus by ELISA in 100 healthy blood donors. Our study
demonstrates a 15% seroprevalence of scrub typhus in adults. Further studies are
needed to confirm these findings especially in children.
PMID- 26557524
TI - Quest for An Ideal, Simple and Cost-Effective Stain for Morphological Assessment
of Sperms.
AB - BACKGROUND: Recent alarming trends of a substantial rise in the number of cases
of infertility with as many as 30-40% being attributed to male-factor associated
causes have created a need for further studies and advancements in semen
analysis. Despite the focus on semen analysis over the years, assessment of sperm
morphology has not been given due importance although it is a simple, standard
and baseline diagnostic modality. It can be used to predict the need and outcome
of Artificial Reproductive Techniques such as Invitro Fertilization, Gamete Intra
Fallopian Tube Transfer and Intra Cytoplasmic Sperm Injection. AIM: To find the
ideal, simple and cost-effective basic stain for assessment of sperm morphology
in a rural tertiary care set- up where advanced equipment for assessment of sperm
morphometry are inaccessible. MATERIALS AND METHODS: An updated way of
determining sperm shape is called the Kruger's strict morphology method. Keeping
this as the standard criterion, we studied semen samples of 62 healthy male
subjects using four basic staining techniques and the consensus of four
independent observers was tabulated. RESULTS: We found that Haematoxylin and
Eosin stain was the best stain for assessment of sperm head morphology. Rapid
Papanicolau stain was the most ideal, simple and cost-effective stain for overall
assessment of sperm morphology. CONCLUSION: Sperm morphology assessment remains
the baseline necessity for the diagnosis and management of male factor associated
infertility when advanced techniques are unavailable, inaccessible or
unaffordable.
PMID- 26557526
TI - Plasmacytoid Myoepithelioma of the Hard Palate in a Child - A Rare Case Report.
AB - Myoepithelioma is a rare tumour accounting for 1-1.5% of salivary gland tumours,
21% of which occur in soft & hard palate. Cytologic features of these tumours are
not well established leading to diagnostic pitfall in many cases on FNAC.
However, as radiologic findings are overlapping and inconclusive in salivary
gland tumours, preoperative cytologic diagnosis may help surgeons to plan surgery
especially in patients requiring facial surgery. Here, we present a rare case of
plasmacytoid myoepithelioma of the hard palate in an 11-year-old boy which was
conclusively diagnosed on FNAC and further confirmed by histopathological and
immunohistochemical studies. We have made an attempt to describe cytologic
features of myoepithelioma with differential diagnosis of these tumours through
review of literature.
PMID- 26557527
TI - Trichinella spiralis: Mere Co-Existence or Carcinogenic Parasite For Oral
Squamous Cell Carcinoma?
AB - Trichinella spiralis is a parasite which is usually seen in pork-eaters. Most of
the trichinosis infections cause little or no symptoms. We report a rare case of
a middle aged North Indian male who presented with a painless ulcer in right
buccal mucosa which was biopsied and reported as squamous cell carcinoma. Wide
local excision was done subsequently which showed encysted larvae of Trichinella
spiralis in the deeper skeletal muscle bundles. This article supports the
carcinogenic potential of trichinosis and suggests timely work-up and treatment
of the parasite.
PMID- 26557525
TI - Malaria Diagnosis Using Automated Analysers: A Boon for Hematopathologists in
Endemic Areas.
AB - BACKGROUND: Haematological abnormalities are common in acute febrile tropical
illnesses. Malaria is a major health problem in tropics. In endemic areas
especially in the post monsoon season, it is not practical to manually screen all
peripheral blood films (PBF) for malarial parasite. Automated analysers offer
rapid, sensitive and cost effective screening of all samples. AIM: The study was
done to evaluate the usefulness of automated cell counters analysing their
histograms, scatter-grams and the flaggings generated in malaria positive and
negative cases. The comparison of other haematological parameters were also
studied which could help to identify malaria parasite in peripheral blood smear.
MATERIALS AND METHODS: The blood samples were analysed using Beckman coulter LH
750. The abnormal scatter grams and additional peaks in WBC histograms were
observed diligently & compared with normal controls. Haematological abnormalities
were also evaluated. STATISTICAL ANALYSIS: Statistical analysis was done by using
software Epi-Info version 7.1.4 freely available from CDC website. Fisher exact
test was applied to calculate the p-value and value < 0.05 was considered as
significant. Final identification of malarial parasite species was done
independently by peripheral blood smear examination by two pathologists. RESULTS:
Of all the 200 cases evaluated abnormal scatter grams were observed in all the
cases of malaria while abnormal WBC histogram peaks were noted in 96% cases
demonstrating a peak at the threshold of the histogram. The difference between
number of slides positive for abnormal WBC scatter gram and abnormal WBC
histogram peaks were statistically highly significant (p=0.007). So abnormal WBC
scatter gram can better give idea of malarial parasite presence. Of the
haematological parameters thrombocytopenia (92% cases) emerged as the strongest
predictor of malaria. CONCLUSION: It is recommended for haematopathologists to
review the haematological data and the scatter plots on the analyser along with
peripheral blood smear examination.
PMID- 26557528
TI - Intraneural Hybrid Neurofibroma/Schwannoma In Scalp: A Case Report.
AB - Benign Peripheral Nerve Sheath Tumours (BPNSTs) are traditionally classified into
schwannoma, neurofibroma and perinurioma. Due to advances in molecular
techniques, hybrid BPNSTs containing more than one histologic types have been
documented. Recent studies have demonstrated their frequent association with
inherited syndromes like schwannomatosis and neurofibromatosis. Intraneural
variant of hybrid neurofibroma/schwannoma is yet to be described. Here we report
such a case in a 30-year-old male, who presented with a scalp swelling and
histology showed intraneural neurofibromatous tumour admixed with schwannoma-like
nodules. IHC (immunohistochemistry) showed variable S100 staining in
neurofibromatous areas, diffuse S100 staining in schwannoma-like areas and
negative EMA staining in the tumour.
PMID- 26557529
TI - Intraparenchymal Angiomatous Meningioma: A Diagnostic Dilemma.
AB - Meningioma arises from the arachnoid cap cells of the cerebrum. Intraparenchymal
meningiomas or meningiomas without dural attachment are rare. We report a case of
40-year-old male who presented with a history of headache, dizziness and gradual
loss of vision since one year. Clinicoradiological diagnosis of a high grade
glioma was considered. Tumour was excised and haematoxylin and eosin stained
sections revealed a tumour comprised predominantly of variable sized blood
vessels showing hyalinization in a background of plump spindle cells with oval
vesicular nuclei. In view of these features angiomatous meningioma was suspected.
However, to confirm the diagnosis, a panel of immunohistochemical markers
including vimentin, EMA and GFAP was done and a final diagnosis of angiomatous
meningioma was offered. Angiomatous meningioma is a rare variant of meningioma
and even much rarer in the intraparenchymal location. Angiomatous meningioma
should be considered in the differential diagnosis of highly vascular
intraparenchymal brain tumours.
PMID- 26557530
TI - Serous Microcystadenoma of Pancreas.
AB - Cystic tumours of the pancreas are less common, representing 5% to 10% of all
pancreatic neoplasms. They constitute an important subset because many cystic
tumour are either benign or low-grade (indolent) malignant neoplasm. Cystic
lesions are also detected more commonly owing to the increased use of sensitive
imaging techniques. A female patient 48-year-old, presented with upper abdominal
symptoms. CT abdomen reveals a large multicystic mass with central scar shows no
communication with pancreatic duct. Resected specimen, show a large lobulated
mass with central scar and many small cysts. Microscopic examination confirms the
diagnosis of serous adenoma. PAS positivity is used to demonstrate the glycogen
content of the lining epithelium.
PMID- 26557531
TI - Renal Myxoma- A Rare Variety of Benign Genitourinary Tumour.
AB - Renal myxomas are rare neoplasms and very few cases have been reported in
literature. Here we report a renal myxoma in a 48-year-old lady with
hypothyroidism who presented with abdominal pain. She was found to have a mass
lesion of 67 x 61 x 74 mm with exophytic component in the right kidney on
ultrasonography and computed tomography. Right radical nephrectomy was performed
due to suspicion of malignancy. Grossly the resected kidney showed a gelatinous,
semi-translucent mass involving the mid and lower poles. Microscopy revealed
marked hypocellular appearance of loose myxoid tissue with foamy histiocytes.
Tumour cells were reactive for vimentin. At eight months of follow-up, patient is
doing well.
PMID- 26557532
TI - Ectopic Paratubal Adrenal Cell Rest Associated with Mucinous Cystadenoma of
Ovary.
AB - Ectopic adrenal cortex is a rare entity. Usually found in male children; commonly
located around kidney, retroperitoneum, spermatic cord and para-testicular
region. Rarely, adults with heterotopic adrenal glands are described. Incidence
in females is very less; though sometimes detected accidentally in hysterectomy
specimens. We describe a case of ectopic adrenal cortical cell in paratubal
region in a patient with mucinous cyst adenoma of ovary. A 26-year-old female
presented with complains of menstrual irregularities and abdominal discomfort for
6 months. Investigations suggested a right ovarian cyst. Right ovarian cystectomy
with partial salpingectomy was performed; histopathology revealed mucinous cyst
adenoma. Sections from tube showed presence of ectopic adrenal cortical rest in
the paratubal region, incidentally discovered on microscopy. We present this case
because of its rarity in females, interesting presentation with another unrelated
gynaecological pathology, its potentiality for malignant transformation and
possible complications.
PMID- 26557533
TI - Microfilaria Coexistent with Fibroadenoma - An Unusual Association.
AB - Filariasis is an endemic problem in India. Involvement of breast by filariasis is
uncommon, but known to occur in endemic areas. However, microfilaria co-existing
with neoplastic lesions is very rare. Here we report an unusual finding of
microfilaria co-existing with fibroadenoma in a 20-year-old female patient.
PMID- 26557534
TI - Reticulum vs Inclusions: A Learning Experience in Haemoglobin H Disease.
AB - Haemoglobin H disease, also known as the alpha-thalassaemia is characterized by
the presence of HbH inclusions in red blood cells, detectable on supra-vital
stain. We present a case of a previously asymptomatic 31-year-old male, who
insidiously developed anaemia and had prominent splenomegaly. Peripheral smear
examination revealed microcytic hypochromic anaemia with numerous spherocytes and
moderate polychromasia. In reticulocyte preparation with Brilliant cresyl blue,
HbH inclusions were mistakenly identified as granulofilamentous reticulum of
reticulocytes, giving a spuriously high reticulocyte percentage. After the
literature review, repeat assessment was performed and with the aid of high
performance liquid chromatography result, it was possible to delineate the HbH
inclusions.
PMID- 26557535
TI - Choriocarcinoma with Uterine Rupture and Shock: A Rare Case Report.
AB - Choriocarcinoma is a rare neoplasm and a malignant form of gestational
trophoblastic disease. Choriocarcinoma is frequently preceded by a complete mole,
ectopic pregnancy, nonmolar intrauterine abortion, and uncommonly by a partial
mole. It is treated medically with chemotherapeutic drugs usually. However, we
managed to save a life with appropriate and timely surgical intervention in a
case of choriocarcinoma who presented with uterine rupture, haemoperitoneum,
anaemia and hypovolemic shock. The patient underwent exploratory laparotomy and
hysterectomy followed by systemic chemotherapy.
PMID- 26557536
TI - Gastric Glomus Tumour: A Rare Cause of Gastrointestinal Bleeding.
PMID- 26557537
TI - Subcutaneous Fungal Cyst Masquerading as Benign Lesions - A Series of Eight
Cases.
AB - BACKGROUND: Subcutaneous fungal infections are caused by penetration of the
causative fungi into the subcutaneous layer and are usually localised. We present
a series of eight cases with subcutaneous fungal cystic lesions masquerading as
benign lesions. MATERIALS AND METHODS: A retrospective study was conducted on
subcutaneous fungal infections seen between January 2007 to July 2014 in the
Department of Pathology. Eight patients with biopsy proven subcutaneous fungal
infection were included. We collected and analysed their demographic, clinical
and histopathological details. RESULTS: Among eight patients, six were male and
two were female. The mean age was 47 years (Range: 21-70). All the eight patients
presented with non-tender cystic swelling. The size of the swellings varied from
a minimum of 3x3 cm to maximum of 10x4 cm. Out of eight, hand was involved in
three, forearm in one, elbow in two, leg in one and foot in one. On H&E staining,
all the cases showed fibro collagenous cyst wall, lined by histiocytes,
granulomatous reaction, foreign body type of giant cells with acute and chronic
inflammatory infiltrate containing fungal elements. Six were identified as
hyalohyphomycosis and two were identified as phaeohyphomycotic cysts based on
pigmentation of hyphae. CONCLUSION: Fungal infection should be suspected in all
subcutaneous cystic lesions. Excised tissue should always be sent for culture and
histopathology.
PMID- 26557539
TI - Estimation of Stature From Hand and Foot Measurements in a Rare Tribe of Kerala
State in India.
AB - INTRODUCTION: The present study has been undertaken on the Vettuvar group of
tribes in Kasargod district of Kerala state, and explores the usability of
dimensions of hands and feet as predictors of stature in the tribal population of
Kasargod District of Kerala, India. The present study is the first ever
documented anthropological work on the tribes of Kasargod district, Kerala,
India. MATERIALS AND METHODS: Two hundred subjects comprising of 100 males and
100 females in 20-30 years age group were included in the study group. Dimensions
of hands and feet viz: hand length, hand breadth, foot length and foot breadth
were measured independently on left and right side of each individual using a
Sliding calliper. Stature of individuals was measured with the help of a
Stadiometer. RESULTS: Statistical analysis indicated that the bilateral
variations were insignificant for all the measurements except foot breadth among
females (p<0.001). The paired sample t-test showed that the statistical
difference between males and females was highly significant for all the
measurements (p<0.001). The correlation between the stature and various
parameters studied in males and females were found to be positive and
statistically highly significant. Linear and multiple regression equation for
stature estimation were calculated separately for males and females. CONCLUSION:
The significant positive correlation between the study variables and the stature
indicates that these variables can be successfully used to predict stature.
PMID- 26557538
TI - Adverse Drug Reaction Profile in Patients on Anti-tubercular Treatment Alone and
in Combination with Highly Active Antiretroviral Therapy.
AB - BACKGROUND AND OBJECTIVES: Adverse drug reactions are very common among patients
on anti-tubercular treatment alone or in combination with highly active
antiretroviral therapy but comparatively studied very less. Hence, the current
study was done to evalaute the adverse drug reaction (ADR) profile in patients
receiving anti-tubercular treatment (ATT) and ATT with highly active
antiretroviral therapy (HAART). MATERIALS AND METHODS: A one year prospective,
cross-sectional observational study was undertaken using suspected adverse drug
data collection form available under Pharmacovigilance Programme of India.
RESULTS: Seventy four patients receiving ATT & 32 patients on both ATT & HAART
presented with 74 and 45 adverse drug events (ADE) respectively. Males were more
affected than females in both the groups. DOTS category- 1 regimen was mostly
responsible for ADE in both the groups. Epigastric pain was the most common ADE
in TB patients, while anaemia was the most common presentation in TB with HIV
group. On comparison, ADE rate of TB with HIV co-morbid patients was more (55.8%)
than TB patients (0.36%) (p < 0.001). Urban population presented more with ADR in
TB/HIV group unlike rural population in TB group (p<0.0001). Whereas, illiterate
were more involved in TB group unlike literate in TB/HIV group (p<0.05). Type A
reactions were more common in TB group (p < 0.001). Addition of drugs for the
management of ADR events was more in TB/HIV group (p < 0.001) as compared to TB
group. Rest all the parameters were comparable. CONCLUSION: The study underscores
that concomitant HAART and ATT, result in more ADRs in comparison to ATT alone
demanding collaboration & integration of National AIDS Control programme and PvPI
to enhance drug safety in this field.
PMID- 26557540
TI - TASER((r)) Electronic Control Device-Induced Rhabdomyolysis and Renal Failure: A
Case Report.
AB - Many law enforcement agencies around the United States are employing the use of
TASER((r)) electronic control devices (TASER((r)) International Inc.) to subdue
combative suspects. Since its inception the TASER((r)) has had a temporal
association with reports of rhabdomyolysis. Case reports have reported TASER((r))
induced rhabdomyolysis as mild but serious cases have also been reported. Herein
we present the case of a single patient who was admitted to our health network
with severe rhabdomyolysis after receiving TASER((r)) shocks and review the
pertinent literature. No direct link has been established between clinically
significant rhabdomyolysis and TASER((r)) device application but this case serves
as an example of a sparsely documented but serious complication that may occur in
patients who are at risk for restraint by an electronic control device.
PMID- 26557541
TI - Medico Legal Consideration in Postmortem Diagnostic of Intracranial Haemorrhage.
PMID- 26557543
TI - The Current Mental Health Status of Ebola Survivors in Western Africa.
AB - The epidemic of Ebola virus disease has claimed many lives. The impact of this
disease is evident in the mental health of the survivors. The mere drafting of
policies will not help; rather execution at the ground level is essential. There
is an urgent need, to focus on the ways by which the sufferings should be
reduced. The present article throws light on this grave problem in Africa.
PMID- 26557542
TI - "Why We Say No! A Look Through the Editor's Eye".
AB - BACKGROUND: The rapidly flourishing health science has provided a ground to
perform research work and contribute to the field of science. On the other hand,
reporting the research is equally important as carrying out research. Many such
researches and their ground breaking work remain unreported or do not reach the
guild, because of poor drafting skills. In nine years since Journal of Clinical
and Diagnostic Research (JCDR) inception, editorial have come across many
manuscripts which are clinically and socially relevant in their message, but lack
legible drafting. It was felt that an objective analysis of the reasons for
rejection, of manuscripts, is required. AIM: The present study was conducted with
the aim to determine the reasons for rejection of medical and dental manuscript
submitted in JCDR. MATERIALS AND METHODS: Retrospective analysis of 1000
consecutive medical and dental articles submitted to JCDR since 1(st) August 2014
was done. Only those articles (902) that reached the end point on decision were
considered. The reasons of rejection for medical and dental articles were
enlisted and analyzed. When there were multiple reasons of rejection and all of
them were critical, then they were counted in all the categories. RESULTS: Out of
the 902 consecutive articles 522 articles underwent rejection. Among the rejected
ones, dental specialty comprised of 43.5% and medical articles contributed 56.5%.
The most frequent reasons for rejection were commonality (44.6%), non compliance
by authors (17.8%), methodological issues (17.3%), plagiarism (11.1%), received
same topic and published (7.66%), poor draft (6.70%), data inconsistency (5.77%),
mismanagement (1.72%), blacklisted author (1.14%), ethical and out of scope were
0.57% each. CONCLUSION: Based on our findings, it can be concluded that
manuscript rejection can be avoided by the authors, if the topic is well choosen
and communication is maintained with the journal editorial.
PMID- 26557544
TI - Competing Risk Approach (CRA) for Estimation of Disability Adjusted Life Years
(DALY's) for Female Breast Cancer in India.
AB - BACKGROUND: Competing Risk Approach (CRA) has been used to compute burden of
disease in terms of Disability Adjusted Life Years (DALYs) based on a life table
for an initially disease-free cohort over time. OBJECTIVE: To compute Years of
Life Lost (YLL) due to premature mortality, Years of life lost due to Disability
(YLD), DALYs and loss in expectation of life (LEL) using competing risk approach
for female breast cancer patients for the year 2008 in India. MATERIALS AND
METHODS: The published data on breast cancer by age & sex, incidence & mortality
for the year 2006-2008 relating to six population based cancer registries (PBCR)
under Indian Council of Medical Research (ICMR), general mortality rates of 2007
in India, published in national health profile 2010; based on Sample Registration
System (SRS) were utilized for computations. Three life tables were constructed
by applying attrition of factors: (i) risk of death from all causes ('a'; where a
is the general death rate); (ii) risk of incidence and that of death from causes
other than breast cancer ('b-a+c'; where 'b' is the incidence of breast cancer
and 'c' is the mortality of breast cancer); and (iii) risk of death from all
other causes after excluding cancer mortality ('a-c'). Taking the differences in
Total Person Years Lived (TPYL), YLD and YLL were derived along with LEL.
RESULTS: CRA revealed that the DALYs were 40209 per 100,000 females in the life
time of 0-70+ years with a LEL of 0.11 years per person. Percentage of YLL to
DALYs was 28.20% in the cohort. CONCLUSION: The method of calculation of DALYs
based on the CRA is simple and this will help to identify the burden of diseases
using minimal information in terms of YLL, YLD, DALYs and LEL.
PMID- 26557545
TI - Major Delays in the Diagnosis and Management of Tuberculosis Patients in Nepal.
AB - BACKGROUND: Early diagnosis is a determining factor for spread of tuberculosis.
Delay in diagnosis and treatment of tuberculosis geometrically increases spread
and infectivity of the disease and is associated with higher risk of mortality.
AIM: The present study aimed to investigate the length of delays in diagnosis and
treatment among new pulmonary tuberculosis patients in central development region
of Nepal. MATERIALS AND METHODS: A cross-sectional study was conducted by
administration of structured questionnaire interview and reviewing the medical
records of the new sputum smear positive pulmonary tuberculosis cases during
January-May 2015. Simple random sampling was applied to select samples from 5
districts of 19 districts comprising at least one each from 3 ecological regions
of Nepal. RESULTS: A total of 374 new sputum smear positive pulmonary
tuberculosis cases were included in the study. The median patient delay, health
system delay, and total delay were 32 days, 3 days and 39.5 days respectively.
The unacceptable patients delay was 53.21% (95% CI: 48.12-58.29) of all new
patients, whereas it was 26.74% (95% CI: 22.23-31.24) for the unacceptable health
system delay and the unacceptable total delay was 62.83% (95% CI: 57.91-67.75).
CONCLUSION: TB diagnosis and treatment is still a significant problem of Nepal.
Majority of unacceptable delays were from patients. Identifying factors
influencing delays and developing evidence-based approaches to address those
delays will help in advancing tuberculosis prevention and management in low
income settings.
PMID- 26557546
TI - Hepatitis C Seroprevalence and Risk Factors in Adult Population of Chaharmahal
and Bakhtiari Province of Iran in 2013.
AB - INTRODUCTION: Hepatitis C is the second leading viral infectious disease
worldwide. In Iran, hepatitis C is the most important and prevalent reason for
chronic hepatitis and liver cirrhosis in the multi transfused population. AIM:
This study was conducted to determine seroprevalence and burden of hepatitis C in
Chaharmahal and Bakhtiari province and to plan for controlling it. MATERIALS AND
METHODS: For this analytical, population-based study, 3000 samples were older
than 15 years old and were enrolled from urban and rural areas of Chaharmahal and
Bakhtiari province, southwest Iran per cluster sampling. Written informed consent
was obtained from the participants and the demographic data, transmission route
and risk factors were collected after blood sample taking. Hepatitis C virus
antibody (HCV Ab) and western blotting were consecutively run. STATISTICAL
ANALYSIS: Data analysis was done by SPSS 19 using descriptive statistics, and chi
square test, Fisher's exact test, and logistic regression Ap value of 0.05 was
considered as the level of significance. RESULTS: The prevalence of HCV Ab was
obtained 1.4% (95% CI, 0.95-1.7) and that of positive hepatitis C by western
blotting 0.9% (95% CI, 0.65-1.3). The prevalence in men (1.2%) was obtained two
times higher than women. The highest prevalence was obtained in 35 to 44-year-old
population (2%). The prevalence was higher in married individuals and less in
higher educated. History of hospital stay, first degree relatives infected with
HCV, jaundice, history of blood transfusion, tattoo, outpatient surgery,
imprisonment, contact with the infected, intravenous (IV) drug abuse, and smoking
had significant association with disease prevalence (p<0.05). The highest odds
ratio was obtained for history of IV drug abuse (OR=38.2, 95% CI, 14.06-103.9)
followed by imprisonment (OR=8.9, 95% CI, 2.97-26.6). However, by logistic
regression only history of IV drug abuse was obtained as significant (p<0.05).
CONCLUSION: Hepatitis C is growing and emerging as the most prevalent chronic,
viral hepatic disease, so further consideration of risk factors and routes of
transmission is crucial for appropriate planning for, and preventing, treating,
and controlling hepatitis C. IV drug abusers as the most important group need
special consideration and surveillance in order to cut infection chain and
decrease the disease incidence.
PMID- 26557547
TI - Study of the Continuous Improvement Trend for Health, Safety and Environmental
Indicators, after Establishment of Integrated Management System (IMS) in a
Pharmaceutical Industry in Iran.
AB - BACKGROUND: Nowadays, organizations try to improve their services and
consequently adopt management systems and standards which have become key parts
in various industries. One of these management systems which have been noticed in
the recent years is Integrated Management System that is the combination of
quality, health, safety and environment management systems. AIM: This study was
conducted with the aim of evaluating the improvement trend after establishment of
integrated management system for health, safety and environment indicators, in a
pharmaceutical industry in Iran. MATERIALS AND METHODS: First, during several
inspections in different parts of the industry, indicators that should have been
noted were listed and then these indicators were organized in 3 domains of
health, safety and environment in the form of a questionnaire that followed
Likert method of scaling. Also, the weight of each index was resulted from
averaging out of 30 managers and the viewpoints of the related experts in the
field. Moreover, by checking the documents and evidence of different years (5
contemplation years of this study), the score of each indicator was determined by
multiplying the weight and score of the indices and were finally analysed.
RESULTS: Over 5 years, scores of health scope indicators, increased from 161.99
to 202.23. Score in the first year after applying the integrated management
system establishment was 172.37 in safety part and in the final year increased to
197.57. The changes of environmental scope rates, from the beginning of the
program up to the last year increased from 49.24 to 64.27. CONCLUSION: Integrated
management systems help organizations to improve programs to achieve their
objectives. Although in this study all trends of health, safety and environmental
indicator changes were positive, but at the same time showed to be slow. So, one
can suggest that the result of an annual evaluation should be applied in planning
future activities for the years ahead.
PMID- 26557548
TI - Thyroidectomy Under Regional Anaesthesia: An ORL Perspective.
AB - BACKGROUND: The use of regional anaesthesia as an effective alternative to
general anaesthesia in thyroid surgeries is now being accepted in many parts of
the world. In this day of computers and technology, there is an increased
awareness among the people of the available options of anaesthesia and the
adverse effects of general anaesthesia. They thus have an inclination to avoid
general anaesthesia wherever feasible. This study dwells on the use of regional
anaesthesia as an alternative tool that can be offered to the patients undergoing
thyroidectomy. AIMS: This study aims at analysing the effectiveness, safety, ease
and patient acceptability of performing thyroidectomies under regional
anaesthesia. SETTINGS AND DESIGN: This prospective study was performed at a
university - affiliated hospital. MATERIALS AND METHODS: Twenty nine patients who
underwent thyroidectomy for benign thyroid diseases under regional anaesthesia
were included in this study: 20 patients under deep cervical plexus block and 9
patients under cervical epidural anaesthesia. STATISTICAL ANALYSIS USED: Z-test
and validity test. RESULTS: In our study, all the 29 patients who underwent
thyroidectomy under regional anaesthesia found the anaesthesia effective and were
comfortable throughout the procedure. The surgeon too was at ease while
performing the surgery. No complications were recorded. CONCLUSION: In our
present study, regional anaesthesia (Cervical epidural anaesthesia and Cervical
plexus block) has been used safely and effectively in 29 thyroid surgeries. We
conclude that although regional anaesthesia has been reserved for high risk
thyroidectomies it may be offered as effective alternative to general anaesthesia
even in routine thyroid surgeries.
PMID- 26557549
TI - Modified External Dacryocystorhinostomy in Primary Acquired Nasolacrimal Duct
Obstruction.
AB - BACKGROUND: Epiphora secondary to acquired nasolacrimal duct obstruction is a
common ophthalmic problem in adults requiring surgical management. External
dacryocystorhinostomy (DCR) is a reliable but difficult surgical technique for
the treatment of nasolacrimal duct obstruction. PURPOSE: To evaluate the success
rate and complications of modified external DCR in patients with primary acquired
nasolacrimal duct obstruction. MATERIALS AND METHODS: This hospital based
prospective interventional study included 56 patients with primary acquired
nasolacrimal duct obstruction. Diagnosis of nasolacrimal duct obstruction was
made through irrigation of the nasolacrimal drainage system. All patients were
operated by modified technique of external DCR with anastomosis of the anterior
lacrimal and nasal mucosal flaps only, whereas posterior mucosal flaps were
excised. Patients were followed up for a period of 6 months. During the follow
up, success rate and complications if any were recorded. Success was defined
objectively by a patent lacrimal passage on irrigation and subjectively by the
absence of watering or discharge. RESULTS: The mean age of the study population
was 39.23 +/- 10.66 years, and 78.6% of patients were females (male to female
ratio 1:3.7). The average operation time was 36.48 +/- 4.72 minutes. Objective
and subjective success rates were 92.9% and 89.3%, respectively after a follow up
period of 6 months. Intraoperatively, haemorrhage occurred in 3 patients (5.3%)
and laceration of the nasal mucosa in 4 patients (7.1%). Postoperative
complications included significant lid swelling and periorbital ecchymosis in 3
patients (5.3%), epistaxis in 2 patients (3.6%) and hypertrophic scar in 2
patients (3.6%). CONCLUSION: These results suggest that modified external DCR
with anterior flaps anastomosis only is a simple, safe, less time consuming
surgical technique that is easy to perform, and the outcome is comparable to
conventional DCR.
PMID- 26557550
TI - Effect of Oral Lactoferrin on Cataract Surgery Induced Dry Eye: A Randomised
Controlled Trial.
AB - CONTEXT: Cataract surgery is one of the most frequently performed intra-ocular
surgeries, of these manual Small Incision Cataract Surgery (SICS) is a time
tested technique of cataract removal. Any corneal incisional surgery, including
cataract surgery, can induce dry eye postoperatively. Various factors have been
implicated, of which oneis the inflammation induced by the surgery. Lactoferrin,
a glycoprotein present in tears is said to have anti-inflammatory effects, and
promotes cell growth. It has been used orally in patients of immune mediated dry
eye to alleviate symptoms. AIM: This study was aimed to evaluate the dry eyes
induced by manual Small Incision Cataract Surgery, and the effect if any, of oral
lactoferrin on the dry eyes. SETTINGS AND TRIAL DESIGN: A single centre,
prospective randomised controlled trial with a concurrent parallel design. The
study was carried out on patients presenting in the OPD of Rohilkhand Medical
College hospital for cataract surgery. MATERIALS AND METHODS: Sixty four patients
of cataract surgery were included in the study. Patients with pre-existing dry
eyes, ocular disease or systemic disease predisposing to dry eyes were excluded
from the study. The selected patients were assigned into two groups by simple
randomisation-Control Group A-32 patients that did not receive oral lactoferrin
postoperatively. Group B-32 patients that received oral lactoferrin 350 gm
postoperatively from day 1 after SICS. All patients were operated for cataract
and their pre and postoperative (on days 7, 14, 30 and 60) dry eye status was
assessed using the mean tear film break-up time (tBUT) and Schirmer test 1 (ST 1)
as the evaluating parameters. Subjective evaluation of dry eye was done using
Ocular Surface Disease Index (OSDI) scoring. Data was analysed for 58 patients,
as 6 did not complete the follow up. STATISTICAL ANALYSIS: Unpaired t-test was
used to calculate the p-values. RESULT: There was a statistically significant
difference between the tBUT values of the Control and Lactoferrin group from day
14 onwards. The tBUT of control group on day 60 was 7.86 (+/-0.86) seconds as
compared to 13.9(+/- 0.99) seconds in the lactoferrin group. The Schirmer test 1
values also showed a statistically significant difference between the two groups-
15.86 (+/- 5.83) seconds in the control group versus 30.9 (+/-1.66) in the
lactoferrin group on day 60. OSDI score showed 42.8% patients complaining of at
least mild dry eye symptoms in the control group, as compared to 26.6% patients
in the lactoferrin group on day 60. CONCLUSION: Small Incision Cataract Surgery
induces dry eye postoperatively. Oral lactoferrin given postoperatively improves
tear film status and dry eye after cataract surgery.
PMID- 26557551
TI - Clinical Evaluation of Correlation Between Diabetic Retinopathy with Modifiable,
Non-Modifiable and Other Independent Risk Factors in Tertiary Set-up in Central
Rural India.
AB - INTRODUCTION: Diabetes mellitus and its related ocular complication like diabetic
retinopathy (DR) are showing increased prevalence in India, but the magnitude of
presence and progression of DR in central rural population and its relation to
certain variables requires further exploration. AIM: To study the demographic
profile on diabetic retinopathy and the association between different risk
factors of diabetic retinopathy with its onset and severity. MATERIALS AND
METHODS: A cross-sectional study was carried out on patients suffering from
diabetes mellitus (n=100) admitted to AVBRH, Sawangi (Meghe) in a duration of 2
months from April to June 2014. Snellen's chart, slit lamp, and indirect
ophthalmoscope were used for ocular examination of all patients. Comprehensive
examination was used for risk factor assessment. STATISTICAL ANALYSIS: All data
was entered into the proforma. Chi-square test, Student's unpaired t-test and one
way ANOVA using SPSS 17.0 and Graph Pad Prism 5.0. (p<0.05 was considered
significant). RESULTS: The study showed that among all the diabetics (mean age
56.4+11.2 years), 68% were males and 97% type 2 diabetics. This study showed
statistically significant association between serum triglyceride (p=0.0003),
duration since diagnosis of diabetes mellitus (p=0.0006), serum total cholesterol
(p=0.0021), FBG (p=0.003), serum HDL (p=0.012) and hypertension (p=0.045) with
presence of diabetic retinopathy. The study also revealed that serum
triglycerides (p=0.001), serum total cholesterol (p=0.006), BMI (p=0.04) and
duration of diabetes (p=0.04) are the only factors which showed significant
association with the severity of diabetic retinopathy. CONCLUSION: Effective
screening strategies for early detection of both diabetes and diabetic
retinopathy should be formulated especially for the rural population which is not
aware about the various complications of diabetes and their final outcomes.
Diabetics should follow proper guidelines to prevent or delay progression of DR.
PMID- 26557552
TI - Morning Glory Disc Anomaly, A Report of a Successfully Treated Case of Functional
Amblyopia.
AB - Morning Glory Disc Anomaly (MGDA) is a congenital malformation of the optic nerve
characterized by the presence of a funnel-shaped macropapilla with neuroglial
remnants in its center surrounded by an elevated and pigmented chorioretinal
ring. Its incidence is rare and no gender predisposition has been found.
Associated conditions like strabismus lead to an early diagnosis. We report the
case of a 3.8-year-old boy with amblyopia of the right eye (count fingers 0.3
meters) due to MGDA. Correction of the refractive error with glasses, along with
occlusive therapy resulted in a visual acuity of 20/100 after a five-year follow
up. The presence of amblyopia in these cases demands an early management oriented
to improve the visual acuity. Every patient with an anatomical malformation
diagnosed during the period of sensory maturation should be treated with
occlusive therapy and followed on a regular basis to diagnose associated
conditions such as retinal detachment. We recommend occlusive therapy in every
patient diagnosed with MGDA or in any patient with unilateral or asymmetric
structural abnormalities that could lead to amblyopia. This 5-year case follow-up
provides additional evidence of the importance of treatment during the period of
amblyopia reversibility.
PMID- 26557553
TI - Analysis of Vascular Access in Haemodialysis Patients - Single Center Experience.
AB - BACKGROUND: Vascular access is the key in successful management of chronic
haemodialysis (HD) patients. Though native arteriovenous fistula (AVF) is
considered the access of choice, many patients in our country initiate
haemodialysis through central venous catheter (CVC). There is paucity of data on
vascular access in haemodialysis patients from southern India. AIM: Aim of the
present study was to review our experience of vascular access in Haemodialysis
patients (both central venous catheters and arteriovenous fistula) and to assess
its success rate and common complications. MATERIALS AND METHODS: This
prospective study was conducted between January 2014 and December 2014 in our
institute. A total of 50 patients with Chronic Kidney Disease (CKD) underwent
vascular access intervention during the above period. RESULTS: A temporary venous
catheter (96%) in the right internal jugular vein was the most common mode of
initiation of haemodialysis with 34.48% incidence of catheter related sepsis.
Fifty percent of catheters were removed electively with mean duration of catheter
survival of 77.23 +/- 14.8 days. Wrist AVF (60%) was the most common site of AVF
creation followed by arm (30%), mid-forearm (7.5%) and leg (2.5%). Complications
include distal oedema (17.5%) and venous hypertension (2.5%). Primary failure
occurred in 25% of patients and was more common in diabetic, elderly (>60 years)
and in distal fistulas. Elderly patients (>60 years) starting dialysis with a CVC
were more likely to be CVC dependent at 90 days. CONCLUSION: Late presentation
and delayed diagnosis of chronic kidney disease (CKD) necessitates dialysis
initiation through temporary catheter. Dialysis catheter with its attendant
complications further adds to the morbidity, mortality, health care burden and
costs. Early nephrology referral and permanent access creation in the pre
dialysis stage could avert the unnecessary complications and costs of catheter.
PMID- 26557554
TI - Clinical Profile and Predictors of Outcomes in Older Inpatients with
Pyelonephritis in a Tertiary Care Hospital in Southern India.
AB - BACKGROUND: Pyelonephritis is a serious infection associated with significant
morbidity and mortality in the elderly with an estimated annual incidence rate of
around 10% from previous studies. Older people are at a higher risk for
pyelonephritis due to multiple factors including structural, functional and co
existent conditions. There is very little data on the incidence, clinical
features and outcomes among elderly patients with pyelonephritis in India.
MATERIALS AND METHODS: We performed a retrospective review of case records of 100
consecutive patients over the age of 60 years with pyelonephritis admitted to a
tertiary care hospital. RESULTS: One fourth of our patients (26%) did not have
fever, 49% had delirium and 52% had systemic inflammatory response syndrome
(SIRS). Sixty five percent of the patients were diabetic and 60% had infections
caused by extended spectrum beta lactamase (ESBL) producing organisms. As in
other studies, the commonest organism isolated was E.coli (49%). A low serum
albumin level was a predictor of mortality (p<0.001) and increased length of
hospital stay (p<0.005). Delirium was also associated with a poor outcome
(p=0.009) in these patients. Patients with pyelonephritis secondary to ESBL
producing organisms had a higher length of stay (p<0.005). CONCLUSION:
Hypoalbuminemia and delirium predicted poor outcomes in our patients. We found a
high prevalence of ESBL infections in this study. Further research is required to
assess the efficacy of aggressive management of delirium and low albumin in
improving health and cost outcomes.
PMID- 26557555
TI - Early Menopause in Type 2 Diabetes - A Study from a South Indian Tertiary Care
Centre.
AB - INTRODUCTION: Menopause marks the end of ovarian function and it is called
'early' or 'premature' if it occurs before 45 years. Very little is known about
the menopause transition in Diabetic women. Metabolic disorders like diabetes
will accelerate the reproductive ageing and determine premature ovarian failure
by various mechanisms. Early menopause along with diabetes has a synergistic
effect over the incidence of cardiovascular diseases and other illnesses. There
is no data regarding menopausal age in Indian population. Hence, present study
was aimed at understanding the age of menopause in diabetic Indian women.
MATERIALS AND METHODS: This study was carried out at a tertiary care, teaching
hospital in Southern India. Post-menopausal women who attended the Department of
Medicine during August 2013 to August 2014, were included in the study. Six
hundred patients were recruited by a systematic random sampling, 300 diabetic and
300 non-diabetic after obtaining their consents. They were all non-smokers, took
mixed diet and other somatometric variables were similar in both the groups.
RESULTS: Average age of menopause among diabetic women was 44.65 years which is
much earlier than the menopause in non-diabetic women (48.2 years). Out of the
600 women, 212 women had an early menopause (<45 yrs.). Among them, 54 were non
diabetic and 158 were diabetic. Present study also revealed a higher BMI among
the diabetics than the non-diabetic women. This may be due to the changes in body
composition and increase in abdominal fat after menopause. This change is more in
diabetics due to the disturbances in insulin sensitivity and glucose metabolism.
CONCLUSION: The present study confirms that Type 2 Diabetes increases the risk of
early menopause. The study reinforces the importance of early diagnosis and
treatment of diabetes for a long term well being of a woman.
PMID- 26557556
TI - Prevalence and Spectrum of Gastro Esophageal Reflux Disease in Bronchial Asthma.
AB - BACKGROUND: There exists a complex interplay between asthma and gastroesophageal
reflux disease. Both these diseases are known to aggravate each other and
amelioration of one is necessary for the control of the other. There is a paucity
of studies in Indian population on this subject. AIM: To evaluate the clinical
features and the endoscopic findings of the upper gastrointestinal tract in
patients with bronchial asthma. MATERIALS AND METHODS: Study was conducted at KMC
group of hospitals, Mangalore in the Department of chest medicine in association
with Department of gastroenterology. Subjects included 50 cases of bronchial
asthma and controls were 58 non asthmatic patients with allergic rhinitis and
chronic urticaria. All patients were queried about presence or absence of
symptoms of upper gastro intestinal tract disorders by gastro oesophageal reflux
disease (GERD) questionnaire and all the included patients underwent upper gastro
intestinal endoscopy. RESULTS: The study showed that symptoms of gastroesophageal
reflux were significantly more in asthmatics (52%) as compared to the controls
(28%). The common presenting features of gastroesophageal reflux in asthmatics
were heartburn (40%) retrosternal pain (24%), nocturnal cough (18%), dyspepsia
(16%) and regurgitation (14%) and the above symptoms were significantly more
common in asthmatics as compared to controls. Gastroesophageal reflux disease was
found to be significantly more common in the asthmatics (58%) as compared to the
control group where it was present in 32.75% of the subjects. Clinical or
endoscopic evidence of any upper gastrointestinal disorder was found in 68% of
the asthmatics as compared to 37.93% of the controls. This difference was found
to be statistically significant. CONCLUSION: The study showed that
gastroesophageal reflux disease was significantly more in asthmatics as compared
to the controls. Upper gastrointestinal symptoms were more common in asthmatics
as against controls. Clinical or endoscopic evidence of upper gastrointestinal
disorder and gastroesophageal reflux disease was found in significantly higher
proportion of the asthmatics as compared to the controls. Clinically silent
gastroesophageal reflux disease was however seen in both control and asthmatic
groups equally with a lower prevalence.
PMID- 26557558
TI - Primary Antiphospholipid Antibody Syndrome: A Case Report.
AB - Primary Antiphospholipid antibody syndrome is a rare disease associated with
thromboembolic events which may affect either the arterial or the venous
vasculature. It presents with an increased risk of thrombosis in pregnant woman
leading to repeated fetal losses. We present here a case of primary
antiphospholipid antibody syndrome in young women who had previous event of
gangrene of toes leading to their amputation and repeated fetal losses.
PMID- 26557557
TI - Successful Retrieval of Uncoiled Coronary Guidewire Using Simple Balloon Method.
AB - Breakage of angioplasty device in coronary artery can cause panic in the
catheterization laboratory. These broken fragments may serve as a nidus for
thrombus formation; hence, removal of these fragments becomes mandatory. Since
the incidence of guidewire fracture during angioplasty are rarely reported,
evidence-based approaches are not available for the management of such incidental
conditions. Here, we report an interesting case of entrapment and unravelling of
guidewire. We successfully retrieved unravelled guidewire using a noncompliant
balloon inflated in the guiding catheter. Subsequently, the procedure was
completed successfully with an implantation of a stent in the culprit lesion. We
are of opinion that this novel technique is quite easy and less cumbersome than
other described techniques reported earlier.
PMID- 26557559
TI - Innominate Artery Aneurysm: Simulating a Thyroid Nodule.
PMID- 26557560
TI - Pancreatic Lipomatosis: Complete Replacement of Pancreas by Fat.
PMID- 26557561
TI - Clinical and Haematological Effects of Hydroxyurea in beta-Thalassemia Intermedia
Patients.
AB - INTRODUCTION: It is well known that hydroxyurea (HU) impacts on clinical and
haematologic indices in thalassemia. We aimed to evaluate the effect of
hydroxyurea on clinical and haematological improvement in children with
thalassemia intermedia. MATERIALS AND METHODS: After the patients' enrollment in
the study their data such as transfusion, hospitalization, spleen size, visit,
total Hb, HbF levels, MCV and MCH were compared before and after treatment with
HU 10 mg/kg/day/for one year. RESULTS: In patients with thalassemia intermedia,
HU significantly diminished the rate of transfusion, hospitalization, spleen size
and significantly increased Hb MCH, HbF and MCV. Moreover HU was well tolerated
in our patients and we got no remarkable adverse effect. CONCLUSION: We divulged
hydroxyurea 10 mg/kg/day during one year. This significantly increased HbF, total
haemoglobin, MCV, MCH, without any remarkable adverse events.
PMID- 26557562
TI - Is Prophylactic Drainage of Peritoneal Cavity after Gut Surgery Necessary?: A Non
Randomized Comparative Study from a Teaching Hospital.
AB - INTRODUCTION: Prophylactic use of intra-peritoneal drain is commonly practiced by
surgeons in the hope of early detection of complication and reducing mortality
and morbidity. The aim of the study was to determine evidence based value of
prophylactic drainage of peritoneal cavity in cases of secondary peritonitis and
resection and anastomosis of small and large bowel. MATERIALS AND METHODS: One
hundred and seventy one (171) cases were included in the study from March 2012
May 2013 that underwent laparotomy for peptic ulcer perforation (PUP), simple and
complicated acute appendicitis (appendicular perforation with
localized/generalized peritonitis), small bowel obstruction (SBO) and sigmoid
volvulus, traumatic and non-traumatic perforation of small and large bowel.
Appropriate management was done after resuscitation and investigation. After
completion of operation peritoneal cavity was either drained or not drained
according operator's preference. They were divided into drain and non-drain
groups. Surgical outcome and postoperative complications <=30 days of operation
was noted and compared between two groups. RESULTS: No significant difference was
observed between drained group and non-drained group in terms of age (32.08+/
15.99 vs. 35.57 +/- 16.42 years), Sex (76M: 42F vs. 40M: 13F), weight 50.9 +/-
11.75 vs. 48.4 +/- 16.1 kg), height (1.6 +/- 0.13 vs. 1.5 +/- 0.18 Meter), BMI
(20 +/- 4.7 vs. 20 +/- 7.2), ASA score (p= >0.05). However there was significant
difference was observed between drained group and non-drained groups in terms of
length of hospital stay (9 +/- 4 vs 5 +/- 3.4 days), operative duration (115.6 +/
41.0 vs. 80 +/- 38.1 minutes), infection rates in dirty wound (40.0% vs 12.5%)
and overall postoperative complications (35.85% vs16.11%). CONCLUSION: Based on
these results, present study suggests that prophylactic drainage of peritoneal
cavity after gastrointestinal surgery is not necessary as it does not offer
additional benefits for the patients undergoing gut surgery. Moreover, it
increases operative duration, length of hospital stay and surgical site infection
(SSI).
PMID- 26557563
TI - Missed Iatrogenic Bladder Rupture Following Normal Vaginal Delivery.
AB - Bladder rupture following caesarian section is well documented complications.
Intraperitoneal bladder rupture following normal vaginal delivery is very rare.
Hereby, we present a case report of intraperitoneal bladder rupture presented
late following normal vaginal delivery. We report a case of spontaneous
intraperitoneal urinary bladder rupture following uneventful outlet forceps
delivery in a 22-year-old primi gravid woman with gestational diabetes mellitus
and fetal macrosomia who presented with large urinary ascites, anuria and renal
failure. Emergent exploratory laparotomy with repair of the intraperitoneal
bladder rupture helped to prevent its potential complications. Postpartum
patients who undergo episiotomy or perineal repair may land up in unnoticed
urinary retention which may rarely terminate in spontaneous urinary bladder
rupture. Awareness of its manifestations amongst emergency physician would help
to initiate appropriate timely management.
PMID- 26557564
TI - Giant Gastric Lipoma Presenting as Gastric Outlet Obstruction - A Case Report.
AB - Gartric lipomas are rare. They are mostly small in size, and usually remain
asymptomatic. We report a case of giant (14*11cm) gastric lipoma in a 46-year-old
male who presented with features of gastric outlet obstruction clinically
mimicking gastric malignancy. Upper endoscopy showed a large smooth polypoid mass
with broad base arising from posterior wall of gastric antrum with areas of
superficial ulcerations. Multiple endoscopic biopsy specimens were nondiagnostic.
A computed tomography (CT) scan of the abdomen revealed a huge cauliflower- like
intraluminal mass with lobulated surface projecting into gastric lumen and
pyloric canal. The mass demonstrated uniform fat density consistent with lipoma.
The case is presented to stress the diagnostic problems related to this
condition, and emphasize the role of CT in arriving at a correct diagnosis of
lipomatous gastrointestinal tract tumours.
PMID- 26557565
TI - Gas in Hepatic Portal Veins with Gastric Massive Dilatation and Pneumatosis in
Acute Pancreatitis.
AB - Gas in portal veins is a rare phenomenon observed secondary to bowel ischaemia
and necrosis. A young girl with history of pica ingestion presented with acute
abdomen with huge distension. Investigation revealed air in hepatic portal veins,
air within stomach wall, and massive distension of stomach secondary to acute
pancreatitis. Successful conservative treatment confirmed the current concept
that all cases of hepatic portal venous gas do not warrant immediate surgical
intervention.
PMID- 26557566
TI - Schwanomma From Cervical Sympathetic Chain Ganglion - A Rare Presentation.
AB - Schwanommas arising from cervical sympathetic chain are tumours that are rare in
occurrence. These lesions are usually difficult to differentiate from a vagal
schwanomma and a carotid body tumour during the initial workup. In this report, a
rarely seen huge cervical sympathetic chain schwanomma case with partial Horner's
syndrome is being presented in detail, which to our known knowledge, is one of
the few cases reported in literature.
PMID- 26557567
TI - Primary Retroperitoneal Inflammatory Myofibroblastic Tumour.
PMID- 26557568
TI - Risk Factors for Stress During Antenatal Period Among Pregnant Women in Tertiary
Care Hospital of Southern India.
AB - BACKGROUND: The well-being of an infant may be affected when the mother is
subjected to psychosocial stress during her pregnancy. Mothers exposed to
stressful conditions were more prone for preterm birth than those without any
stress. In this study perceived stress has been used as an indicator of levels of
stress. There are very few studies published from developing countries on the
levels of perceived stress and its causes in pregnant women. MATERIALS AND
METHODS: This study employed a cross-sectional assessment of pregnant women
attending the outpatient services of a tertiary care hospital for regular
antenatal check-up. Women not known to have any risk factors at 28 weeks to 34
weeks of pregnancy who agreed to participate in the study were interviewed to
assess the perceived stress score. RESULTS: Among the total patients 57.7% were
primigravida and the mean score on perceived stress scale was 13.5+/-5.02. The
majority of the group (102; 65.4%) scored higher than the mean value of total
score on the perceived stress scale. Unplanned pregnancy and husband's employment
status were associated with high levels of perceived stress in multivariate
analysis in this set of women. CONCLUSION: Individual as well as pregnancy
related factors can contribute to perceived stress in pregnant women. With the
established relationship between maternal mental health, pregnancy outcome and
infant growth, the assessment and management of stress early in the pregnancy is
crucial.
PMID- 26557569
TI - Expectant Versus Surgical Management of Early Pregnancy Miscarriages- A
Prospective Study.
AB - BACKGROUND: Surgical, medical and expectant management are the various options
available to manage early pregnancy miscarriages; each with its own merits and
demerits. In the last two decades, the efficacy and safety of expectant
management which allows for the spontaneous passage of retained products of
conception has been studied and confirmed. AIM: To compare the safety and
efficacy of expectant management of early pregnancy miscarriages with surgical
uterine evacuation. MATERIALS AND METHODS: The prospective study conducted in
tertiary care centre for 5 years, included 212 patients with USG confirmed
pregnancy miscarriages of less than 13 weeks, who were allocated to expectant
management (Cases, n=112) and surgical evacuation (Control, n=100). Patients were
allocated for expectant management as outpatients for 2 weeks, without any
intervention till they had spontaneous complete miscarriage which was confirmed
by sonography. Those who failed to do so, underwent a planned surgical uterine
evacuation. Emergency admission and evacuation was done, if the patients became
symptomatic in the waiting period. Patients allocated to surgical group underwent
planned surgical evacuation once diagnosed. Success rate and complications like
emergency evacuation, vaginal bleeding, abdominal pain, limitation of physical
activity and patient satisfaction were assessed. Both groups were followed up for
6 more weeks. Statistical analysis was done with Z-test. RESULTS: Success rate of
the expectant management was 71% as against 97% in surgical group. Severe vaginal
bleeding was comparable (5% in both groups), 8% of expectant had severe abdominal
pain versus 4% in surgical group. Unplanned admissions and emergency evacuation
rate was high in expectant 9% against 1% in surgical group. Twenty one percent
patients in expectant and 17% patients in surgical group experienced limitation
of physical activity. Overall patient satisfaction rate was comparable (74% in
expectant 80% in surgical group). CONCLUSION: Expectant management of
miscarriages has a success rate of 71%. Compared to surgical management,
abdominal pain, unplanned admissions, emergency evacuation and limitation of
physical activity were more in expectant group. Success rate can be improved and
complications can be minimised with proper patient selection and counseling.
PMID- 26557570
TI - Prevalence of Co-existing Endometrial Carcinoma in Patients with Preoperative
Diagnosis of Endometrial Hyperplasia.
AB - INTRODUCTION: Endometrial hyperplasia has been associated with the presence of
concomitant endometrial carcinoma. In this study, patients who were diagnosed
with endometrial hyperplasia and had hysterectomy, determination of the incidence
of endometrial cancer accompanying postoperatively and clinical parameters
associated with cancer are aimed. MATERIALS AND METHODS: Endometrial biopsies
were taken from patients for various reasons and among them 158 patients
diagnosed with endometrial hyperplasia from pathologic examination results were
retrospectively evaluated. All of the patient's age, parity, weight, transvaginal
ultrasound measured by endometrial thickness, concomitant systemic disease
(diabetes, hypertension, hypothyroidism), tamoxifen use, hormone use and whether
in reproductive age or menopause were all questioned. Patients who applied with
endometrial cancer, their cervical stromal involvement, lymph node involvement,
cytology positivity and omental metastases were examined. Patients were
classified according to their stage and grade. Patients who had intraoperative
frozen were re-evaluated. RESULTS: Fifteen cases with preoperative endometrial
hyperplasia diagnosed with endometrial cancer postoperatively, 2 cases had
complex hyperplasia without atypia and 13 cases had complex atypical hyperplasia.
The rate of preoperative hyperplasia with postoperative endometrial cancer was
found to be 10.8% where by 15 cases of patients diagnosed with endometrial cancer
postoperatively 11 cases were in postmenopausal period. In patients diagnosed
with endometrial cancer according to their histologic types 14 cases had
endometrioid adenocarcinoma while one patient with preoperative complex
hyperplasia without atypia was diagnosed with serous papillary carcinoma
postoperatively. Evaluation of stages in patients diagnosed with cancer, 7 cases
of patients had stage IA, 7 cases of patients had stage IB, and 7 cases cases of
patients with serous papillary carcinoma were evaluated as stage 3C. CONCLUSION:
The risk of endometrial cancer in patients diagnosed with endometrial hyperplasia
especially endometrial hyperplasia ranges between 15% to 45% and among them 7.9%
51% are found to have myometrial inversion. Therefore, preoperative ultrasound
and magnetic resonance imaging should be perfomed in patients diagnosed with
complex atypical hyperplasia. Even intraoperative frozen section examination can
provide useful information in selected cases.
PMID- 26557572
TI - A Rare Case of Bilateral Broad Ligament Haematoma in Twin Pregnancy.
AB - We report a rare case of bilateral broad ligament haematoma in twin pregnancy
presented as gravida 3 para 2 living 2 (G3P2A0L2), with undelivered second twin
with transverse lie with cord and hand prolapse with obstructed labour referred
to our hospital from primary health centre after spontaneous vaginal delivery of
first live healthy twin baby four hours later. On examination patient was stable,
her investigations were within normal limits and patient was taken up for
emergency lower segment caesarian section. Intraoperatively features of
obstructed labour were present; a dead term baby was extracted with dichorionic
diamniotic placenta. Bilateral broad ligament haematomas approximately of 8x8 cm
were noted which were increasing in size after extraction of baby. On both sides
haematomas were evacuated and drained along with bilateral uterine artery
ligation. Three pints of blood were transfused intra and postoperatively. Her
postoperative period was uneventful and the patient was discharged on
postoperative day 14.
PMID- 26557571
TI - Uncommon Presentation of Triploidy: A Case Report.
AB - A 28-year-old woman presented in her first pregnancy was admitted with severe
hyperemesis gravidarium. Increased nuchal translucency with cardiac anomaly and
omphalocele at the first trimester was observed at the ultrasound examination.
Chorionic villus biopsy confirmed triploidy. The combination of type I and type
II triploidy patterns were seen together in the second trimester of the
pregnancy. Although the symptoms due to increased human chorionic levels occured,
at the pathologic investigation there were no molar changes in the placenta. Here
we report a case of uncommon presentation of triploidy.
PMID- 26557573
TI - Large Nabothian Cyst Obstructing Labour Passage.
AB - Nabothian cysts are common and silent retention cysts of the uterine cervix with
no particular intervention required. It is quite rare to reach a size of more
than 4 cm and it is a diagnostic dilemma to differ it from adenoma malignum. We
report a case of a woman with 38 weeks of gestation presented to the maternity
unit with labour pain and protruding cystic mass (60x70 mm) out of the vagina.
Simple drainage was performed to allow the vaginal delivery. She delivered 4130
grams, 9-10 Apgar, male baby by spontaneous vaginal delivery. The patient's and
the newborn postpartum course was uneventful. Gynaecologic examination revealed a
persisting cystic mass in the cervix (40x50 mm) two months after the delivery. We
performed a total excision of the cyst to confirm the pathologic diagnosis. This
paper is the first report of nabothian cysts obstructing labour passage. In the
view of this case, we aimed to discuss cervical cystic masses and a review of the
literature.
PMID- 26557574
TI - Urethral Diverticulum Masquerading as Anterior Vaginal Wall Cyst: A Diagnostic
Dilemma.
AB - Urethral diverticulum (UD) is a condition in which a variably sized outpouching
forms, next to the urethra. Because it connects to the urethra, this outpouching
repeatedly gets filled with urine during micturition, thus causing symptoms. In
females, it presents as a bulge in anterior vagina, mimicking a vaginal wall
cyst. Various aetiologies proposed attributing to urethral diverticulum formation
is repeated infection of the periurethral gland, childbirth trauma, iatrogenic
and urethral instrumentation. Patients of UD present with non specific irritative
lower urinary tract symptoms such as increased frequency, urgency and dysuria;
symptoms may not correlate with the size of the diverticulum. Recurrent cystitis
or urinary tract infection is seen in one-third of patients. Pain, hematuria,
post-void dribbling, dyspareunia, urinary retention or incontinence is other
symptoms. In some cases, there may be associated urethral calculi or carcinoma.
Magnetic resonance imaging (MRI) is highly sensitive and specific for the
diagnosis of UD, although non invasive sonography may be the first line
investigation. Treatment is by transvaginal diverticulectomy or marsupialization.
A 60-year-old P9L6 postmenopausal lady, presented with a tender, hard suburethral
anterior vaginal wall mass. Cystourethroscopy revealed a small opening in
posterior urethra, with stone visible through it. With the final diagnosis of
suburethral diverticulum with retained multiple calculi, excision of the
diverticulum and repair of urethra was done vaginally. Correct evaluation and
treatment of this condition can lead to avoidance of urinary tract injury.
PMID- 26557575
TI - Appendicitis in Postpartum Period: A Diagnostic Challenge.
AB - Infections that occur in the postpartum period are assumed to be related to
pregnancy or delivery; however other causes should also be considered.
Appendicitis is one of the most common conditions requiring laparotomy during
pregnancy, but very few cases of postpartum appendicitis have been reported. We
report two such cases and the challenges faced by clinicians in diagnosis of
immediate postpartum appendicitis. The first case was managed on lines of
puerperal sepsis and the second one as enteric fever. Appendicular pathology was
detected incidentally on laparotomy. In postpartum patients with no obvious focus
of sepsis, appendicitis should be kept in mind. A team approach involving
sensitized obstetricians and surgeons is likely to reduce serious morbidities.
PMID- 26557576
TI - Fibroepithelial Polyps of the Vagina in Pregnancy.
PMID- 26557577
TI - Case series: Pregnancy Outcome in Patients with Uterine Fibroids.
AB - Fibroids in pregnancy is a commonly encountered clinical entity. Objective of
this study was to evaluate the maternal and fetal outcome in women having
pregnancy with uterine fibroids. We present the clinical, obstetric data,
perinatal outcomes of 15 patients from a prospective study. Fifteen pregnant
women with fibroid >3cm were prospectively included in study. Major proportion of
patient with fibroids were in younger age group of 25-30 years when compared to
older age group of 31-35 years (66% vs 33%). Fibroids were more frequent in multi
gravidae, compared to primigravidae. In almost half of patients, (53.3%) fibroids
were diagnosed before pregnancy. Common complications encountered during
pregnancy in decreasing order of frequency were pain abdomen (46.6%), followed by
threatened preterm labour (26.6%) and anaemia (26.6%). Out of 15, three (20%)
women had abortion. In remaining, 11/12 patients attained term pregnancy between
37 to 40 weeks. Two patients required antenatal myomectomy. Caesarean section was
done in 75% of women who attained term pregnancy and one patient had technical
difficulty during caesarean section. Post partum heamorrhage was seen in 5/15
(33.3%) of patients. Out of 12, five babies were low birth weight. Four babies
required NICU admission. There was no perinatal mortality. In our small patient
series high incidence of caesarean section rates and increased incidence of
threatened preterm labour, anaemia, and postpartum haemorrhage, was observed in
pregnant patients with fibroids and hence, the pregnancy with fibroids should be
considered as high risk pregnancy.
PMID- 26557578
TI - Diagnosis and Treatment of Symptomatic Carpal Bossing.
AB - CONTEXT: Carpal bossing is an osseous formation at the dorsal portion of the
quadrangular joint, which rarely becomes symptomatic. However, in some patients
it causes pain, restricted mobility and can lead to complications like tendon
rupture, inflammatory and degenerative joint disease. AIM: In this article, we
present our experiences with this rare disorder in order to improve diagnostic
and therapeutic proceedings. SETTINGS DESIGN: This is a multicenter and
interdisciplinary observation made by orthopaedic surgeons and radiologists in
the years 2010 to 2015. Retrospective observational study. The follow up period
was 2 years. MATERIALS AND METHODS: In the observed time period, eight patients
were diagnosed with symptomatic carpal bossing. Symptoms were pain at palmar
flexion and limited mobility of the wrist in combination with a palpable
protuberance over the quadrangular joint. All patients underwent X-ray, CT and
MRI examinations. A conservative treatment strategy was initiated for 6 weeks in
all patients, followed by a wedge resection when symptoms were persisting and
disabling. RESULTS: After the conservative treatment schedule, five patients were
asymptomatic. Three patients had persisting pain and were thus recommended for
surgery. In the postoperative course, two patients were asymptomatic. One patient
developed a type 1 complex regional pain syndrome (CRPS) in the first
postoperative year, which was successfully treated with pain-adapted
physiotherapy, pharmacotherapy with analgesics and calcitonin, and a triple CT
guided thoracic sympathetic nerve blockade. CONCLUSION: Carpal bossing is a
mostly asymptomatic entity, which in our experience gets symptomatic due to
direct trauma or repetitive stress, especially in competitive racket sports
players. It can be diagnosed by thorough clinical examination and multimodal
diagnostic imaging. Conservative treatment comprises an excellent prognosis,
however surgery, either wedge resection or arthrodesis, must be considered if the
response is not positive after 6 weeks.
PMID- 26557579
TI - Current Clinical Practice Scenario of Osteoporosis Management in India.
AB - BACKGROUND: Various osteoporosis guidelines are available for practice. AIM: To
understand the current clinical practice scenario from the perspective of Indian
orthopaedicians, especially about the epidemiology, clinical manifestations,
approach to diagnosis and management and patient compliance patterns to long term
treatment. MATERIALS AND METHODS: A pre-validated structured questionnaire
containing questions (mostly objective, some open-ended) catering to various
objectives of the study was circulated amongst orthopaedic surgeons across India
by means of post/courier, after giving a brief overview of the study
telephonically. Data was extracted from the completed questionnaires, and
analysed using Microsoft Excel software. RESULTS: The questionnaire was filled by
a total of 84 orthopaedicians throughout India. The prevalence of osteoporosis in
India according to the orthopaedic surgeons was 38.4% and there was a female
preponderance. Most of the respondents felt out of every 100 osteoporosis
patients in India, less than 20 patients are actually diagnosed and treated for
osteoporosis. The most common initial presenting feature of established
osteoporosis cases was general symptoms. Most respondents preferred Dual-energy X
ray absorptiometry (DEXA) as the initial investigation for the diagnosis of
osteoporosis in a patient presenting with typical features. While most
respondents preferred once-a-month oral over intravenous (IV) bisphosphonates,
they agreed that IV administration had advantages such as lower gastrointestinal
side effects and improved compliance. The average duration of therapy of oral
bisphosphonates was the longest (27.04 months) among the other anti- osteoporosis
therapies that they used. On an average, the patient compliance rate in
osteoporosis management was around 64%. IV Zoledronic acid (ZA) and intranasal
calcitonin were infrequently used than other anti- osteoporosis therapies. While
concerns about cost and availability deterred more frequent usage, there was an
agreement that if used regularly these two agents may improve compliance rates
among patients. CONCLUSION: Current clinical practice scenario of osteoporosis
management in India largely adheres to various clinical practice guidelines for
osteoporosis. Side effects and lengthy duration of therapy with bisphosphonates
seem to be the main factors leading to a low patient compliance. Widespread
popularization of once-yearly Zoledronic acid and intranasal calcitonin spray may
improve patient compliance and reduce side effect incidence.
PMID- 26557580
TI - Management of Osteoarthritis Knee by Graduated Open Wedge High Tibial Osteotomy
in 40-60 Years Age Group Using Limb Reconstruction System: A Clinical Study.
AB - BACKGROUND: With i ncrease in elderly population, osteoarthritis has become major
concern nowadays. Knee joint is most commonly affected joint. A number of methods
have been developed in the last few years which help in treating the
osteoarthritis knee, which includes non pharmacological, pharmacological and
surgical methods. Among the most promising techniques with renewed interest for
osteoarthritis knee with deformity is the use of high tibial osteotomy. Uni
compartmental osteoarthritis knee with deformity especially in relatively younger
age group (less than 60 years) constitutes the main indication. AIM: The aim of
present study was to evaluate management of osteoarthritis knee by graduated open
wedge high tibial osteotomy in 40-60 years age group using limb reconstruction
system. MATERIALS AND METHODS: Medial Opening Wedge High Tibial Osteotomy leaving
the lateral cortex intact which acts as a hinge, was done in 30 patients and
stabilized by Limb Reconstruction System. Distraction was started at 7(th) day at
the rate of 1 mm/day and continued till proper alignment was achieved. RESULTS:
Medial Opening Wedge High Tibial Osteotomy stabilized by unilateral external
fixator is a good method for unicompartmental osteoarthritis knee with deformity
as it gives precise control over final limb alignment and its ability to perform
a residual correction. Deformity correction can be quantified at the time of
correction as it is not acute correction. Gradual deformity correction can be
done over time by distraction histogenesis with the help of unilateral external
fixator. It is also a good method in young patients requiring large correction.
CONCLUSION: Medial Opening Wedge High Tibial Osteotomy is having many benefits
over closed wedge osteotomy and stabilization by unilateral external fixator also
has its added benefits. It is less invasive, no internal hardware present and
safer in terms of neurovascular complications.
PMID- 26557581
TI - Claudication Due to Sciatic Nerve Palsy Following Nicolau Syndrome: A Case
Report.
AB - Nicolau syndrome is a rare drug reaction due to intramuscular injection
administration that can lead to limb loss or even death. A 3.8-year-old Iranian
boy received an intramuscular injection of Benzathine Penicillin. Immediately
after injection the child developed lower limb pain and livedoid discolouration
and was referred to our department. The patient was diagnosed to develop Nicolau
syndrome and fasciotomy carried out due to compartment syndrome. Pharmacologic
therapy with Heparin, Cefazolin and Methylprednisolone was initiated. On 18(th)
day, he was discharged, although he was not able to move. Finally, after 6 months
of care at home, physiotherapy and Electromyography (EMG) at regular intervals,
the child was gradually able to move and his claudication improved.
PMID- 26557582
TI - A Rare Case Report on Bilateral Intertrochanteric Fractures in a Child Following
Child Abuse.
AB - Diagnosis of non accidental injury needs careful history elicitation, proper
examination and thorough workup including blood investigation and radiological
assessment to avoid misdiagnosis or under diagnosis. Correct diagnosis and
reporting would avoid possible similar incidents in the future. Four-year-old
female child brought to our hospital by her mother with apparent history of fall
from height. Following detailed examination and radiological assessment we
suspected of child abuse. Child had multiple fractures in different stages of
healing which included bilateral intertrochanteric fractures. Child was operated
for bilateral intertrochanteric fractures which appeared relatively fresh
compared to other old malunited fractures with open reduction and fixation with
titanium elastic nailing and was immobilized by hip spica. Two months
postoperatively, there was complete radiological union of fractures and child was
being counseled by child psychologist.
PMID- 26557583
TI - A Framework for Developing a Curriculum Regarding Autism Spectrum Disorders for
Primary Care Providers.
AB - INTRODUCTION: The prevalence of Autism Spectrum Disorders (ASDs) has increased
and varies across age groups. Thus there is an increasing need for educational
opportunities for General Practitioners (GPs) and other Primary Care providers to
help in early identification and referral to specialist services. An earlier
survey of GPs in New South Wales (Australia) demonstrated two broad domains for
educational activities: (1) a general knowledge (important for early
identification and referral) and (2) surveillance (important for ongoing
management). AIM: To seek further evidence to these domains and synthesize the
important contents for educational programs for GPs. MATERIALS AND METHODS: We
conducted a (1) Confirmatory Factor Analysis (CFA) on our original survey data
and (2) systematic review of the literature to identify important educational
topics, using a life cycle approach. RESULTS: CFA and literature review support
theoretical framework of two domains. Alerts and red flags for ASDs, knowledge of
simple surveillance tools, communication of diagnosis with parents, referral
pathways particularly to speech pathologists before a formal diagnosis is
confirmed, and appreciation of vulnerabilities for identifying supports were
important in the general knowledge domain, while supporting the families through
transition points such as from pre-school to school entry, secondary school and
adolescence, role of psychopharmacology such as medications for sleep issues, and
for common co-morbidities of anxiety were important in the surveillance
dimension. CONCLUSION: GP supervisors and medical and nursing educators can use
findings from this paper for developing structured learning activities for
training primary health care workforce regarding ASD's.
PMID- 26557584
TI - Biological Reference Interval for Hematological Profile of Umbilical Cord Blood:
A Study Conducted at A Tertiary Care Centre in South India.
AB - INTRODUCTION: Umbilical cord blood (UCB) a source of hematopoietic stem cells, is
also an acceptable sample to assess neonatal sepsis. Though reports are available
for stem cell counts very minimal literature is available regarding hematologic
parameters, which may vary on ethnicity. AIM: To establish biological reference
interval for hematological parameters of umbilical cord blood to guide
neonatologists, hematopoietic stem cell transplant specialists and future
analysis. MATERIALS AND METHODS: Prospective longitudinal study was done from
January 2014 to April 2014 after ethics committee approval. UCB from 120 full
term new borns of normal birth weight born out of uneventful pregnancy to mothers
aged between 21 to 45 years with hemoglobin above 10g/dL were processed in
Beckman Coulter LH780 analyzer for complete blood count and counter checked by
peripheral smear. Results tabulated in Microsoft excel are analyzed using IBM
SPSS statistics 16 software. RESULTS: Male to female ratio is 1:1.05. There is no
difference in the values between males and females. When compared with few
studies available, though many values are comparable a few values are not
comparable. CONCLUSION: This study can be a useful guide to neonatologists,
hematopoietic stem cells transplant hematologists and future analysis.
PMID- 26557585
TI - SNAPPE-II (Score for Neonatal Acute Physiology with Perinatal Extension-II) in
Predicting Mortality and Morbidity in NICU.
AB - INTRODUCTION: A number of illness severity scores have evolved which would
predict mortality and morbidity in intensive care units. One such scoring system
developed by Richardson was SNAPPE-II (Score for Neonatal Acute Physiology with
Perinatal extension-II). AIM: The present study was conducted to assess the
validity of SNAPPE-II score as a predictor of mortality and morbidity. MATERIALS
AND METHODS: A total of 248 neonates who met the inclusion criteria were included
in the study and SNAPPE-II score was calculated. Receiver Operating
Characteristic (ROC) curve was constructed to derive the best cut-off score and
SPSS package (Statistical Package for the Social Sciences) was used for
statistical analysis. RESULTS: SNAPPE-II score was higher among expired neonates
compared to survived ones. A mean score of 37 was associated with higher
mortality. However, it didn't accurately predict the length of stay. CONCLUSION:
SNAPPE II score is a better predictor of mortality irrespective of gestational
ages and it is not a good predictor of morbidity.
PMID- 26557586
TI - Neonatal Carnitine Palmitoyltransferase II Deficiency: A Lethal Entity.
AB - Carnitine palmitoyltransferase II (CPTII) deficiency is a rare disorder of
mitochondrial fatty acid oxidation with autosomal recessive mode of inheritance.
Three classic forms of CPT II deficiency have been described namely the lethal
neonatal form, severe infantile hepatocardiomuscular form and the myopathic form.
We present a three-day-old female child, admitted to us for lethargy, icterus,
low sugars and convulsions. Persistent non ketotic hypoglycaemia, hyperammonemia,
raised liver enzymes with hepatomegaly and cardiomyopathy led to the suspicion of
fatty acid oxidation defect. Tandem mass spectrometry helped to clinch the
diagnosis of CPT II Deficiency in the present case.
PMID- 26557587
TI - Acute Hypercalcaemia and Hypervitaminosis D in an Infant with Extra Pulmonary
Tuberculosis.
AB - In patients with tuberculosis, abnormal extrarenal production of 1,25
dihydroxyvitamin D3 by activated macrophages in granulomatous tissues may result
in hypercalcaemia. More commonly reported in adults with active pulmonary
tuberculosis, this complication may rarely occur in extrapulmonary tuberculosis,
and children. The hypercalcaemia may be precipitated by usually recommended
vitamin D and calcium supplementation in patients with tuberculosis. We report
here an infant with tubercular meningitis who developed hypercalcaemia 12 days
after starting routine vitamin D and calcium supplementation. This communication
highlights the importance of close monitoring of calcium levels in patients with
tuberculosis, especially if started on vitamin D and calcium replacement before
anti-tubercular therapy.
PMID- 26557588
TI - Efficacy of Transcerebellar Diameter/Abdominal Circumference Versus Head
Circumference/Abdominal Circumference in Predicting Asymmetric Intrauterine
Growth Retardation.
AB - BACKGROUND: The high incidence of IUGR and its low recognition lead to increasing
perinatal morbidity and mortality for which prediction of IUGR with timely
management decisions is of paramount importance. Many studies have compared the
efficacy of several gestational age independent parameters and found that TCD/AC
is a better predictor of asymmetric IUGR. AIM: To compare the accuracy of
transcerebellar diameter/abdominal circumference with head
circumference/abdominal circumference in predicting asymmetric intrauterine
growth retardation after 20 weeks of gestation. MATERIALS AND METHODS: The
prospective study was conducted over a period of one year on 50 clinically
suspected IUGR pregnancies who were evaluated with 3.5 MHz frequency ultrasound
scanner by a single sonologist. BPD, HC, AC and FL along with TCD were measured
for assessing the sonological gestational age. Two morphometric ratios- TCD/AC
and HC/AC were calculated. Estimated fetal weight was calculated for all these
pregnancies and its percentile was determined. STATISTICAL METHODS: The TCD/AC
and HC/AC ratios were correlated with advancing gestational age to know if these
were related to GA. Sensitivity, specificity, positive predictive value (PPV),
negative predictive value (NPV) and diagnostic accuracy (DA) for TCD/AC and HC/AC
ratios in evaluating IUGR fetuses were calculated. RESULTS: In the present study,
linear relation of TCD and HC in IUGR fetuses with gestation was noted. The
sensitivity, specificity, PPV, NPV & DA were 88%, 93.5%, 77.1%, 96.3% & 92.4%
respectively for TCD/AC ratio versus 84%, 92%, 72.4%, 95.8% & 90.4% respectively
for HC/AC ratio in predicting IUGR. CONCLUSION: Both ratios were gestational age
independent and can be used in detecting IUGR with good diagnostic accuracy.
However, TCD/AC ratio had a better diagnostic validity and accuracy compared to
HC/AC ratio in predicting asymmetric IUGR.
PMID- 26557589
TI - Optic Strut and Para-clinoid Region - Assessment by Multi-detector Computed
Tomography with Multiplanar and 3 Dimensional Reconstructions.
AB - PURPOSE: To evaluate thickness, location and orientation of optic strut and
anterior clinoid process and variations in paraclinoid region, solely based on
multidetector computed tomography (MDCT) images with multiplanar (MPR) and 3
dimensional (3D) reconstructions, among Indian population. MATERIALS AND METHODS:
Ninety five CT scans of head and paranasal sinuses patients were retrospectively
evaluated with MPR and 3D reconstructions to assess optic strut thickness, angle
and location, variations like pneumatisation, carotico-clinoid foramen and inter
clinoid osseous ridge. RESULTS: Mean optic strut thickness was 3.64mm (+/-0.64),
optic strut angle was 42.67 (+/-6.16) degrees. Mean width and length of anterior
clinoid process were 10.65mm (+/-0.79) and 11.20mm (+/-0.95) respectively. Optic
strut attachment to sphenoid body was predominantly sulcal as in 52 cases
(54.74%) and was most frequently attached to anterior 2/5(th) of anterior clinoid
process, seen in 93 sides (48.95%). Pneumatisation of optic strut occurred in 23
sides. Carotico-clinoid foramen was observed in 42 cases (22.11%), complete
foramen in 10 cases (5.26%), incomplete foramen in 24 cases (12.63%) and contact
type in 8 cases (4.21%). Inter-clinoid osseous bridge was seen unilaterally in 4
cases. CONCLUSION: The study assesses morphometric features and anatomical
variations of paraclinoid region using MDCT 3D and multiplanar reconstructions in
Indian population.
PMID- 26557590
TI - Imaging Patterns in MRI in Recent Bone Injuries Following Negative or
Inconclusive Plain Radiographs.
AB - BACKGROUND: Few bony injuries and most soft tissue injuries cannot be detected on
plain radiography. Magnetic resonance imaging (MRI) can detect such occult bony
injuries due to signal changes in bone marrow. In addition to excluding serious
bony injuries, it can also identify tendon, ligament, cartilage and other soft
tissue injuries and thus help in localizing the cause of morbidity. AIMS AND
OBJECTIVES: To determine the MRI imaging patterns in recent bone injuries (less
than 4 weeks) following negative or inconclusive plain radiographs. To determine
the role of MRI in recent fractures. RESULTS: Out of the 75 individuals with
history of recent injury of less than 4 weeks duration, fracture line was
demonstrated in 16 patients (21%) who had no obvious evidence of bone injury on
plain radiographs. Bone contusion or bruising of the bone was demonstrated in 39
(52%) patients. This was the commonest abnormality detected in MRI. The remaining
20 patients did not show any obvious injury to the bone on MR imaging however,
soft tissue injury could be demonstrated in 12 (16%) patients which show that the
extent of soft tissue injury was relatively well demonstrated by MR imaging. The
present study showed that occult injuries commonly occur at the Knee followed by
Ankle, Wrist, Foot, Elbow, Leg, Hands, Hips & Spine. CONCLUSION: The study showed
that MR is efficient in the detection of occult bone injuries which are missed on
radiography. Compared to radiographs, MRI clearly depicted the extent of injuries
and associated soft tissue involvement. MRI demonstrates both acute and chronic
injuries and also differentiates both, whereas radiography has poor sensitivity
for acute injuries. Also, the soft tissue injuries like tendionous and
ligamentous injuries cannot be identified on radiographs.
PMID- 26557591
TI - Comprehensive Evaluation of Cardiac Hydatid Using 256 Slice Dual Source CT: One
Stop Shop.
AB - Hydatid disease results from infection with larval stage of Echinococcus
granulosus tapeworm. Dogs and other canines are the definitive hosts; Human
beings are common accidental intermediate hosts. Liver is the most common organ
to be involved in this condition. Cardiac hydatid, seen in only 0.5 to 2% cases,
is a rare entity because of myocardial contractility. Larvae reach the myocardium
through coronary circulation. Among various locations of cardiac hydatid, due to
its rich coronary arterial supply Left ventricle (LV) myocardium is the most
common site of involvement followed by interventricular septum and right
ventricle. Rare locations include pericardium, right atrium and left atrium. A 50
year-old woman presented with dyspnoea for 11 months, chest X-ray showed a well
defined, homogenous left paracardiac mass, which is not separable from left heart
border. Transthoracic echocardiography revealed a complex multicystic mass lesion
abutting antero-lateral wall of left ventricle. Contrast enhanced computed
tomography showed a well-circumscribed multicystic mass lesion with honeycomb
appearance arising from myocardium of anterolateral wall of left ventricle.
Indirect haemagglutination test for hydatid disease was positive. At surgery the
cyst was seen to arise from LV myocardium. It was incised and grape like contents
were evacuated. The cavity was irrigated with scolicidal solution. Thereafter,
the cyst was marsupialised. Histopathological examination revealed grape like
cyst contents consistent with the diagnosis of hydatid cyst.
PMID- 26557592
TI - Spermatic Cord and Peritoneal Metastases from Unruptured Hepatocellular
Carcinoma.
AB - Extrahepatic metastases are not uncommon in patients with late-stage
hepatocellular carcinoma (HCC). These extrahepatic lesions are most commonly
found in the lungs, lymph nodes and bones. The authors report the case of a
patient with chronic liver disease who presented with left inguinal swelling
which was thought to be incarcerated hernia on clinical examination. Further
evaluation revealed that the patient had HCC with spermatic cord metastasis which
was masquerading as inguinal hernia. He also had extensive peritoneal
dissemination. Awareness and accurate detection of these unusual sites of
extrahepatic dissemination of HCC is of paramount importance for radiologists to
avoid unnecessary surgery as well as after loco regional therapy to assess for
recurrence. Details of the case are discussed with a review of the relevant
literature.
PMID- 26557593
TI - Isolated Hypoglossal Nerve Schwannoma: An Uncommon Presentation of Schwannoma.
PMID- 26557594
TI - Acute Poisonings Admitted to a Tertiary Level Intensive Care Unit in Northern
India: Patient Profile and Outcomes.
AB - BACKGROUND: Poisoning is becoming a real health care burden for developing
countries like India. An improved knowledge of the patterns of poisonings, as
well as the clinical course and outcomes of these cases can help to formulate
better preventive and management strategies. AIM: To study the demographic and
clinical profiles of patients admitted to the ICU with acute poisoning and to
study the factors that predict their mortality. MATERIALS AND METHODS:
Retrospective two years (September 1, 2010 to August 31, 2012) study of all
consecutive patients admitted to the Intensive Care Unit (ICU) with acute
poisoning at a tertiary care hospital in Northern India. RESULTS: Out of the 67
patients admitted to the ICU during the study period, the majority were young
(median age 29 years) males (69%) who had consumed poison intentionally.
Pesticides were the most commonly employed poison, notably organophosphorus
compounds (22 patients, 32.8%) and aluminium phosphide (14 patients, 20.9%).
While the overall mortality from all poisonings was low (18%), aluminium
phosphide was highly toxic, with a mortality rate of 35%. The factors at ICU
admission that were found to be associated with a significant risk of death were,
high APACHE II and SOFA scores (p =0.0001 and p=0.006, respectively), as well as
the need for mechanical ventilation and drugs for vasoactive support (p=0.012 and
p= 0.0001, respectively). CONCLUSION: Use of pesticides for intentional poisoning
continues to be rampant in Northern India, with many patients presenting in a
critical condition to tertiary level hospitals. Pesticide regulations laws,
educational awareness, counseling and poison information centers will help to
curtail this public health problem.
PMID- 26557595
TI - Psychiatric Morbidity in Patients with Chikungunya Fever: First Report from
India.
AB - BACKGROUND: Chikungunya fever is an acute illness caused by an arbovirus and has
various complications like neurological, psychological, dermatological and even
multi organ failure. Psychiatric co-morbidity is not very well studied till now.
This is the first report from India. AIM: Aim of the study was to assess the
psychiatric morbidity during or after the onset of Chikungunya fever. MATERIALS
AND METHODS: Patients referred from Medicine department with confirmed diagnosis
of Chikungunya fever were recruited, after taking informed consent. Patient's
socio-demographic characteristics were noted and Psychiatric co-morbidity was
assessed by complete history taking and mental status examination, using WHO
International Classification of Diseases, 10(th) edition (ICD -10) of Mental and
Behavioural Disorders, Diagnostic criteria for research. RESULTS: The age range
of the study group was found to be 23-48 years. Fourteen (70%) were males and 6
(30%) were females. Five (25%) patients were diagnosed with depressive disorder,
3 (15%) patients had Generalized Anxiety Disorder (GAD), 2 (10%) patients GAD
with Panic attacks, 1(5%) patients phobic disorder (claustrophobia), 3 (15%)
patients Somatoform Disorder, 3 (15%), Neurasthenia (Fatigue Syndrome), etc. Two
(10%) patients presented with vague somatic complaints which did not fit into any
of the diagnostic category. CONCLUSION: Chikungunya fever can result in
significant psychiatric morbidity, mainly in the form of depressive episode,
anxiety disorder and even long persisting illnesses like somato-form disorders.
Further research is required to know about the phenomenology or the neurobiology
of the psychiatric disorders occurring in the course of this illness.
PMID- 26557596
TI - Personality Factor as a Predictor of Depression Score Among Depressed and CHD
Patients.
AB - INTRODUCTION: Many risk factors can affect depression and coronary disease, these
including physiological and psychological risk factors (such as personality
traits). OBJECTIVES: Our objectives were to examine whether personality factors
(The Five-Factor Model) can predict depression score in the depressed and
coronary heart disease (CHD) individuals compared to that of healthy subjects.
MATERIALS AND METHODS: To achieve the above objectives, 100 depressed (Mean=35.90
years, SD=10.59 years), and 100 CHD (Mean=46.42 years, SD=12.52 years), patients
and 100 healthy subjects (Mean = 37.97 years, SD =12.49 years) were selected by
convenience sampling method. To compare the three groups of participants, ANOVA
test was used. Stepwise Multiple Regression Analysis was used to identify the
variables that most closely predict the perceived stress and depression scores.
Pearson's Correlation Co-efficient was used to examine the correlation between
variables. RESULTS: In Neuroticism, the CHD patients had significant highest
scores, followed by depressed patients. The healthy group had the least scores.
In case of Extraversion, Openness and Agreeableness, healthy participants had
significant higher scores followed by the depressed and CHD patients. Only in
conscientiousness factor, Depressive and CHD groups had statistically less scores
compared to the healthy group. Also, high Neuroticism and Age, and low
Extraversion were significant protective factors for depression Scores of CHD
patients, while high Neuroticism and low Extraversion function as predictors in
the depressed and healthy groups. CONCLUSION: The effects of Neuroticism and
Extraversion on depression have been reported as inconsistent across previous
studies. This study indicates that, older CHD individuals with high Neuroticism
and low Extraversion scores are more vulnerable for depression.
PMID- 26557597
TI - Quality of Life Perspective Towards Acne among Adolescents at Tertiary Care
Center of Gujarat, India.
AB - INTRODUCTION: Acne is the most common disease of skin affecting adolescents,
which can have a significant psychological impact leading to anxiety and
depression. AIMS: Study was undertaken to see the impact of acne on the Quality
of life. MATERIALS AND METHODS: The study was conducted from March 2012 to
February 2013, in the age group 14-25, using a validated self-administered
questionnaire The questions were evaluated using 4 point Likert scale (0-3).
STATISTICAL ANALYSIS: Statistical calculations were done using Excel 2010 and
Statgraphics Centurion XVI.I. RESULTS: Among 869 participants, 608(69.97%) had
acne while 261 (30.03%) had no acne. Of acne sufferers 43.75% were males and
56.25% were females with maximum 67.93% in the age group 18-21. Of Non-acne
participants 57.09% considered acne as a problem and 54.02% were disturbed by the
idea of having acne. Study showed p-values<0.05 indicating statistically
significant non-zero correlations at 95.0% confidence level. CONCLUSION: The
quality of life scale of acne varies according to individual perception and
differs from population to population. Questionnaire evaluation is a useful tool,
but cannot replace proper psychological assessment.
PMID- 26557598
TI - Pseudoepitheliomatous, Keratotic and Micaceous Balanitis: A Case Report.
AB - Pseudoepitheliomatous, keratotic and micaceous balanitis (KPMB) is a rare
condition affecting glans penis of elderly men. It is characterized by thick
hyperkeratotic plaque with micaceous scaling often leading to phimosis. Here we
are reporting a rare case of pseudoepitheliomatous, keratotic, and micaceous
balanitis in 50-year-old man who has undergone circumcision 16 years back. Till
date only a handful cases have been reported in world literature.
PMID- 26557599
TI - Impact of Induction Chemotherapy Followed by Radiotherapy in Patients of Invasive
Cervical Carcinoma with Inadvertent Hysterectomy: A Retrospective Study.
AB - BACKGROUND: The standard of care for patients who undergo an inadvertent
hysterectomy for invasive cervical carcinoma is not well established. AIM: The
aim of this study was to evaluate the role of induction chemotherapy followed by
external beam radiotherapy and brachytherapy in patients who had an inadequate
hysterectomy for carcinoma of the cervix. MATERIALS AND METHODS: Patients with
history of inadequate hysterectomy with no residual disease at presentation to
our outpatients department of Radiotherapy and registered between October 2011 to
June 2014 were retrospectively analyzed. Most of these patients had received
three cycles of induction chemotherapy using Paclitaxel and Carboplatin regime.
Thereafter, these patients had received external beam radiotherapy and vaginal
brachytherapy. The primary end points were overall survival and disease-free
survival. Survival analysis was done using Kaplan-Meier method and the
differences in survival rates were compared using log-rank test. RESULTS: A total
of 34 patients were eligible for the study. Overall survival and Disease-free
survival at 3-years were 70% and 68%. Patients who had Taxane-based chemotherapy
had significantly better survival outcomes than their counterparts. Vaginal vault
boost with brachytherapy had a significant impact on prognosis of these patients.
CONCLUSION: Induction chemotherapy using Paclitaxel and Carboplatin followed by
external beam radiotherapy and brachytherapy is a good treatment option for
patients of carcinoma of the cervix who have undergone inadvertent hysterectomy.
PMID- 26557600
TI - Adjuvant Radiotherapy with Three-Dimensional Conformal Radiotherapy of Lacrimal
Gland Adenoid Cystic Carcinoma.
AB - BACKGROUND & AIM: Adenoid cystic carcinoma (ACC) of lacrimal gland is a rare
tumour with aggressive behaviour. There is sparse data to address optimum therapy
for such tumours. So, the present study was aimed at evaluating the role of
adjuvant three dimensional conformal radiotherapy (3D-CRT) in cases of incomplete
(R1) resection along with review of literature pertaining to management of
lacrimal adenoid cystic carcinoma. MATERIALS AND METHODS: We retrospectively
reviewed the demographic and treatment data of 10 biopsy proven ACC of lacrimal
gland patients, treated from December 2006 to June 2013. They were treated with
radiotherapy following surgical resection. Eight patients underwent gross total
excision of the tumour mass (enbloc excision) followed by conformal radiotherapy
to a dose of 60 Gray/30fractions/ 6 weeks. Two patients with advanced disease
were treated with palliative radiotherapy after biopsy. RESULTS: The median age
was 32 years. There were equal numbers of male and female patients. The median
duration of symptoms was 7 months. At a median follow up of 21 months, eight
patients had no evidence of disease and had complete tumour response, two
patients worsened, and one of the two had systemic failure with bone metastasis.
CONCLUSION: Despite a small sample size and short follow, enbloc surgical
excision with adjuvant radiotherapy is well tolerated and shows good control in
ACC of lacrimal gland.
PMID- 26557601
TI - Induction Chemotherapy with Cisplatin and 5-Fluorouracil in Advanced Head and
Neck Cancers: A Short Term Response Evaluation.
AB - BACKGROUND: Considering the uprising number of Head and neck cancer in the state
with limited options of medical and surgical treatment, the focus of this study
involved on chemotherapy in advanced Head and neck cancers. The aim of this study
was to evaluate the efficacy and toxicity of combination of Cisplatin and 5
Fluorouracil (PF) as induction chemotherapy in patients in locally advanced
squamous cell cancer of head and neck. MATERIALS AND METHODS: Forty four patients
with previously untreated stage III -IV advanced and inoperable cases were
included in this prospective study. Induction chemotherapy consisted of 3 cycles
of Cisplatin 100mg/mt(2) as infusion on day 1, 5-Fluorouracil of 750mg/mt(2) on
day 2, 5-Fluorouracil of 1000mg/mt2 as infusion on day 3 in an inpatient basis.
Cycles were repeated with an interval of 21 days. Patients were evaluated within
a period of 3 weeks at the end of completion of third cycle of chemotherapy. Post
chemotherapy local therapy was individualized based on the response, site and
stage of the tumour. RESULTS: Out of 44 eligible and evaluable patients, major
dominance was noted in male group constituting 68%. After induction chemotherapy
58.8% of stage III experienced stable response, & 44% had partial response. In
stage IV, 44% showed a stable response and 33.3% had partial response. But in
comparison to primary tumour response and nodal response, which had a significant
clinical response, the overall response of malignancy with respect to stage and
site specificity was clinically insignificant. Moderate adverse reaction was
noted in 47.6% and 42.1% had mild reactions. Majority of patients experienced
grade 3 adverse events, of which anaemia in females and leucopenia in males pre
dominated. CONCLUSION: With the use of cisplatin and 5-FU as induction
chemotherapy agents in advanced and inoperable squamous cell carcinoma of head
and neck, a distinct benefit was seen in stabilizing the tumour from progression.
But achieving a significant complete response to the same is of faint
possibility. An alternate multidrug regimen or multimodality treatment would be
ideal to gain the optimum results from induction agents. Toxicity related to
chemotherapy usually is transient at therapeutic doses, and can be controlled by
adequate prophylactic measures.
PMID- 26557602
TI - Low Grade Fibromyxoid Sarcoma of the Palate: A Case Report.
AB - Low-grade fibromyxoid sarcoma (LGFMS) are rare soft-tissue sarcomas that usually
come to attention as slow growing masses. Males and females are affected
approximately equally and typically arising in the soft tissue of the proximal
extremities. Primary occurrence within the head and neck is exceedingly rare. We
report a case arising from the hard palate of a 40-year-old male and according to
our knowledge this is the first ever case of LGFMS involving the palate to be
reported.
PMID- 26557603
TI - Validation of Gujarati Version of ABILOCO-Kids Questionnaire.
AB - BACKGROUND: ABILOCO-Kids is a measure of locomotion ability for children with
cerebral palsy (CP) aged 6 to 15 years & is available in English & French. AIM:
To validate the Gujarati version of ABILOCO-Kids questionnaire to be used in
clinical research on Gujarati population. MATERIALS AND METHODS: ABILOCO-Kids
questionnaire was translated into Gujarati from English using forward-backward
forward method. To ensure face & content validity of Gujarati version using group
consensus method, each item was examined by group of experts having mean
experience of 24.62 years in field of paediatric and paediatric physiotherapy.
Each item was analysed for content, meaning, wording, format, ease of
administration & scoring. Each item was scored by expert group as either
accepted, rejected or accepted with modification. Procedure was continued until
80% of consensus for all items. Concurrent validity was examined on 55 children
with Cerebral Palsy (6-15 years) of all Gross Motor Functional Classification
System (GMFCS) level & all clinical types by correlating score of ABILOCO-Kids
with Gross Motor Functional Measure & GMFCS. RESULT: In phase 1 of validation, 16
items were accepted as it is; 22 items accepted with modification & 3 items went
for phase 2 validation. For concurrent validity, highly significant positive
correlation was found between score of ABILOCO-Kids & total GMFM (r=0.713,
p<0.005) & highly significant negative correlation with GMFCS (r= -0.778,
p<0.005). CONCLUSION: Gujarati translated version of ABILOCO-Kids questionnaire
has good face & content validity as well as concurrent validity which can be used
to measure caregiver reported locomotion ability in children with CP.
PMID- 26557604
TI - The Use of the MyoDK for Mechanical Pressure in the Treatment of Chronic Lateral
Epicondylalgia: A Pilot Study.
AB - INTRODUCTION: Chronic Lateral Epicondylalgia (CLE) is potentially associated with
a significant reduction of the patient's quality of life; its treatment by manual
Deep Transverse Friction (DTF), although widely accepted, lacks standardization.
The purpose of our study was to evaluate the efficacy of the MyoDK device, which
allows deep transverse pressure (DTP) monitoring, for treatment of CLE. MATERIALS
AND METHODS: This is a single centre observational study for treatment of CLE by
the MyoDK device. In a given year, all patients who appeared at our institution
with a diagnostic of CLE were screened for possible inclusion. Exclusion criteria
were: confounding factors on pain and function of the upper limb, cognitive
impairment, inability or unwilling to give informed consent or to comply with
treatment protocol. Our primary outcome measure was the pain reduction measured
by the VAS pain scale (VAS) at 6 weeks. Our intervention consisted in applying a
pressure from 0.5 to 10kg/100mm(2) for 20 minutes, once a week for 6 weeks, using
the MyoDK device. RESULTS: Thirty patients were screened. Two were excluded for
incomplete protocol, remaining 28 for analysis. Mean age was 47.3, 23 were male
(82.1%), 24 were affected on their dominant side (85.7%). We had a significant
reduction in VAS pain score at 12 weeks (80.8 vs 13.0; p<0.01). There were no
side effects reported. CONCLUSION: Our study showed the safety of the use of a
standard protocol using the MyoDK device for treatment of CLE. We believe that
further controlled studies will establish the MyoDK as a reliable option for
treatment of CLE.
PMID- 26557605
TI - Effect of Surgical Periodontal Therapy on Serum C-reactive Protein Levels Using
ELISA in Both Chronic and Aggressive Periodontitis Patient.
AB - BACKGROUND: Periodontitis can be defined as a local inflammatory process which
mediates destruction of periodontal tissues & is triggered by bacterial insult.
In periodontal infections, the levels of C reactive proteins are elevated as
compared to the levels in a periodontally healthy individual. The study was done
to determine the relative levels of serum CRP in aggressive, chronic and
periodontally healthy subjects and to evaluate the effect of surgical periodontal
therapy on serum C-reactive protein levels. MATERIALS AND METHODS: Serum samples
were collected from 150 participants (50 healthy control patients (non
periodontitis), 50 patients with chronic periodontitis and aggressive
periodontitis. Serum C- reactive protein levels were assessed by means of
immunoturbidimetric assay at baseline for subjects in all the 3 groups and 3
months after completion of surgical therapy. RESULTS: The mean baseline C
reactive protein (CRP) concentrations in the Groups I, II and III were 1.65+/
0.57 mg/L, 3.03+/-2.14 mg/L and 3.09+/-2.27 mg/L respectively. After treatment,
the mean C-reactive protein (CRP) levels in Groups II and III reduced from 3.03+/
1.67 mg/L to 1.46+/-1.67 mg/L and from 3.09+/-1.21 to 1.43+/-1.21 mg/L
respectively. Similar results were found for probing depth and all indexes in
Group II and III after treatment. Also, the mean attachment loss in Groups II and
III reduced, so the results were highly significant. CONCLUSION: Successful
periodontal treatment results in significant decrease in serum C-reactive protein
(CRP) levels in otherwise healthy subjects.
PMID- 26557606
TI - Influence of Media in the Choice of Oral Hygiene Products Used Among the
Population of Maduravoyal, Chennai, India.
AB - BACKGROUND AND AIMS: To assess the factors influencing the awareness and practice
of oral hygiene among the local population Maduravoyal, Chennai, India. MATERIALS
AND METHODS: A cross-sectional questionnaire based study was conducted to
understand the factors influencing the choice and practice of oral hygiene
products among the population of Maduravoyal, Chennai, India. Data was collected
by means of a self administered structured questionnaire written in English and
validated through a pilot survey. One thousand two hundred and nine subjects,
with an age range of 15 to 70 years, who visited the Department of
Periodontology, Meenakshi Ammal Dental College, Chennai were selected for
investigation. The data obtained was analyzed using the SPSS version 13.0. The
frequency of distribution and percentages were calculated. RESULTS: The results
of the study showed that tooth brush (98.5%) and toothpaste (98%) were the main
products used for the maintenance of oral hygiene and around 84.6% of the
population brushed once daily. Information from the media (59.4%) and decay
prevention (49.7%) were the major factors that influenced the choice of
toothpaste among the study population. CONCLUSION: The major factor which
influenced the choice of oral hygiene products was based on information obtained
from advertisements and other sources. There is a need for the dental
professionals to be aware of the ever-increasing development and marketing of
oral hygiene products from various databases. Hence, the education of people
regarding the importance of oral hygiene maintenance, proper selection of oral
hygiene products is essential.
PMID- 26557607
TI - Total and Free Fluoride Concentration in Various Brands of Toothpaste Marketed in
India.
AB - BACKGROUND: For fluoridated toothpaste to be effective in controlling dental
caries, an adequate concentration of soluble fluoride must be available in the
oral cavity. AIM: To determine the total and free fluoride concentration in
various brands of toothpaste marketed in India. MATERIALS AND METHODS: Three
samples of 12 different toothpastes were purchased from supermarkets in Mysore
city, Karnataka, India. Toothpastes were analysed in duplicate using a fluoride
ion-specific electrode. The concentration of total fluoride (TF) and total
soluble fluoride (TSF) were determined. RESULTS: Measured TF was consistent with
that declared by the manufacturer in five products. Four toothpastes showed lower
TF and two higher TF than declared. Most toothpastes exhibited TSF concentrations
similar to the TF content except four samples that displayed considerably lower
TSF than TF. CONCLUSION: The measurement of total and free fluoride
concentrations of toothpastes available in India showed inhomogenities. Therefore
there is a need for stringent regulatory control measures for the determination
of fluoride content in toothpastes in developing country like India.
PMID- 26557608
TI - Efficacy of 0.25% Lemongrass Oil Mouthwash: A Three Arm Prospective Parallel
Clinical Study.
AB - BACKGROUND: Chlorhexidine mouthwash has earned eponym of gold standard to treat
and/or prevent periodontal diseases. However, the present study was carried out
to explore an alternative herbal mouthwash. AIM: To compare the anti-plaque and
anti-gingivitis efficacy of a 0.25% lemongrass oil mouthwash to that of 0.2%
chlorhexidine mouthwash. MATERIALS AND METHODS: A double-blinded parallel
designed clinical trial with 60 subjects was taken for the study. Baseline plaque
index (PI) & gingival index (GI) score was recorded. Oral prophylaxis was done
and the plaque score was set at zero. Then, subjects were randomly allocated into
3 groups (N=20 in each): 0.25% lemongrass oil mouthwash, 0.2% chlorhexidine
mouthwash and oral prophylaxis only. Subjects were asked to swish with respective
mouthwash twice daily for 21 days. Subjects were again re-evaluated on 14(th) and
21(st) day for GI and PI. Comparison of the mean difference among the variables
was performed by parametric tests. RESULTS: Lemongrass oil mouthwash group showed
highest reduction in GI & PI at both 14(th) and 21(st) day, which was
statistically significant (p<=0.05). CONCLUSION: Lemongrass oil mouthwash can
also be used as a good herbal alternative to chlorhexidine mouthwash, so further
studies are needed.
PMID- 26557609
TI - Perception of Aesthetics by Different Professionals of Different Communities.
AB - AIM: To evaluate the perception of aesthetics by different professionals of
different communities in India by a photographic study. MATERIALS AND METHODS:
This was a photographic study conducted among different professionals of
different communities to establish an aesthetic norm for Indian population. The
communities to which the professionals belonged were North Indian, South Indian,
Maharashtrian, Gujarati and Parsi. The subjects photographed were aesthetic
profiles with good occlusion. Five different facial photographic views each for
male and female were obtained. These photographs were then subjected to changes
in increments of 2 mm and 4 mm in retrusive and protrusive profile in Adobe
Photoshop CS5 after which they were evaluated by different professionals of
different communities according to their preference from most liked to least
liked. RESULTS: The aesthetic preferences differed widely among different
professionals of different community. CONCLUSION: The established aesthetic norms
can be utilized by the dental fraternity in general and Orthodontist's in
particular in diagnosis and treatment planning of Samples belonging to different
communities to have the treatment outcome in unison with the established soft
tissue norm for that particular community.
PMID- 26557610
TI - Evaluation of Patients' Satisfaction after Class III Orthognathic Surgery.
AB - BACKGROUND: Well-planned orthognathic surgery improves psychological health,
aesthetics and function of patients. AIM: The present study aimed to investigate
patients' satisfaction after orthognathic surgery by means of a satisfaction
questionnaire before and after surgery. MATERIALS AND METHODS: A total of 29
patients was selected (17 women and 12 men), with a mean age of 28 years,
randomly selected from a private clinic at Aracatuba - Sao Paulo by two
investigators. Anamnesis and clinical examination were performed. Subjects with
facial deformities submitted to orthodontic treatment before and after
orthognathic surgery with a minimum post-surgery period of 6 months, answered a
satisfaction questionnaire composed of 10 questions regarding dental and facial
aesthetics. In this study, the maximum satisfaction score was 10. RESULTS:
Regarding aesthetics, two satisfaction parameters were investigated: dental and
facial. For all indices, the average satisfaction was up to score 7. CONCLUSION:
According to the results, it can be concluded that orthognathic surgery has been
an effective treatment for dentofacial deformities, aesthetics and functional
problems, what was verified by pre and postoperative questionnaire application.
PMID- 26557611
TI - Quantitative Analysis of Acute Phase Proteins in Post Chemo-Radiation Mucositis.
AB - AIM: Oral mucositis induced by radiation is an inevitable but transient side
effect of radiotherapy. Acute phase proteins are a class of proteins whose phase
concentrations fluctuate in response to inflammation. The best known of the acute
phase proteins is C-reactive protein, a protein that rises in the blood with
inflammation. MATERIALS AND METHODS: 30 patients undergoing chemo - radiotherapy
for head and neck cancer were clinically evaluated for mucositis on day 0, 7, 14,
28 and 42. Blood investigations like C-reactive protein, erythrocyte
sedimentation rate and total leukocyte count were also conducted. RESULTS: There
was a significant increase in the severity of mucositis during the course of
treatment followed by a gradual decrease in severity towards the end of
radiotherapy. Comparison of C-reactive protein levels from day 0 to day 42 in the
study group showed a significant increase towards the end of radiotherapy. There
was a significant increase in erythrocyte sedimentation rate levels till day 14
followed by a decrease towards the end of radiotherapy whereas total leukocyte
count showed a significant decrease from day 0 to day 7 followed by an increase
towards the end of radiotherapy. CONCLUSION: The oral mucosa bears only a small
clinical spectrum of the side-effect conveyed by chemo-radiation. Both widespread
and late effects do occur, and tissues may never return to normal completely.
Inflammatory serum markers like C-reactive protein, erythrocyte sedimentation
rate and total leukocyte count can thus be used as an objective measure to study
the complexities of radiation mucositis which is documented as one of the worst
side effects of head and neck cancer therapy.
PMID- 26557612
TI - Fluoride Content of Bottled Drinking Water in Chennai, Tamilnadu.
AB - CONTEXT: The optimum level of fluoride in drinking water is 0.7 to 1.2 ppm.
Decreased fluoride concentration leads to increased risk of caries and increased
concentration can lead to dental or skeletal fluorosis. One crore liters of water
is supplied to Chennai and surrounding areas through pouches and bottles which
carters about one third of city population. AIM: The aim of this study is to
determine the fluoride concentration in top 10 bottled waters in Chennai and to
check the accuracy of their labelling. MATERIALS AND METHODS: Top selling bottled
waters, 6 multinational and 4 Non- multinational brands were selected for the
study. Three different batches of each brand were purchased. The labels of the
bottled were removed after collecting the details regarding fluoride content. All
the bottles were numbered and sent for fluoride content analysis using SPADNS
calorimetric method. RESULTS: All the brands and batches which were analysed for
the study had less than optimal fluoride content and there is a significant
variation in fluoride concentration of each brand and among different batches of
same brand bottled waters. The range of fluoride level in tested samples was
between 0.27 to 0.59. Only one brand's label had information regarding the
fluoride content. CONCLUSION: Standardization of fluoride levels in bottled
waters and labelling of fluoride content should become mandatory.
PMID- 26557613
TI - Effects of Scaling and Root Planing on Gingival Status during Menstrual Cycle- A
Cross-Sectional Analytical Study.
AB - INTRODUCTION: Variations in sex steroid hormones, noticeable through the
menstrual cycle of women, may impact periodontal health. A relationship between
female sex hormone levels and periodontal changes during puberty, pregnancy, and
menopause has been reported. Little research on gingival status at different
periods of menstrual cycle, but very less work has been done to observe the
effect of scaling on gingival status during different periods of menstrual cycle.
MATERIALS AND METHODS: Thirty female subjects, aged 18-25 years were selected for
a three month study. In Stage 1, clinical parameters {Plaque Index (PI), Gingival
Index (GI), Modified Sulcular Bleeding Index (mSBI) and Probing depth (PD)} were
recorded at three different time intervals {OV (Ovulation), PM (Premenstruation),
M (Menstruation)} of their menstrual cycle, without scaling and polishing. In
Stage 2, all clinical parameters were recorded at their subsequent menstrual
cycle after scaling and polishing. RESULTS: In interstage analysis, OV 1 > OV 2,
PM 1 > PM 2 and M 1> M 2. In intrastage analysis, for PI, mean difference between
all values was not statistically significant. For mSBI, PM 1 > OV 1 > M 1, also
PM 2 > OV 2 > M 2. For GI, PM 1 > OV 1 > M 1, also PM 2 > OV 2 > M 2. For PD,
mean difference was not statistically significant. CONCLUSION: Ovarian hormones
influence gingival status of females, with an increase observed primarily during
PM and OV phases of menstrual cycle. Scaling leads to a subsequent decrease in
gingival inflammation.
PMID- 26557614
TI - Assessment of the Potential of CFC (Calcium hydroxide Flagyl Ciprofloxacin) for
the Rapid Disinfection of Resilon and Gutta-Percha.
AB - INTRODUCTION: Obturating materials exposed to the dental operating environment
has been shown to be contaminated, making rapid chair side disinfection mandatory
to ensure the sterility of the root canals. OBJECTIVE: The purpose of this study
was to investigate the effectiveness of CFC (combination of Calcium hydroxide,
Flagyl and Ciprofloxacin) for the rapid disinfection of Gutta-percha and Resilon
cones. MATERIALS AND METHODS: Seventy new Gutta-percha and Resilon cones were
randomly selected, contaminated with Enterococcus faecalis and divided into 4
group according to the irrigant used for disinfection {Group I: 5.25% Sodium
Hypochlorite (NaOCl), Group II: MTAD, Group III: 2% Chlorhexidine (CHX), Group
IV: CFC (a combination of Calcium hydroxide, Flagyl and Ciprofloxacin)}. All the
samples were placed in a centrifuge tube with BHI broth after being washed with
sterile water. The samples were then incubated for 7 days at 37(o)C. Samples were
randomly plated on Mac Conkey agar plate and the colony count was recorded and
the observations were drawn. RESULTS: A 5.25% NaOCl required 1 minute exposure
for effective disinfection of all the samples. MTAD could eliminate E.faecalis
from gutta-percha samples in 30 seconds whereas it required 1 minute of exposure
for Resilon cones. Both 2% CHX and CFC could not disinfect the samples with 1
minute exposure and a minimum of 5 minute exposure was required. CONCLUSION: A
5.25% NaOCl and Biopure MTAD required less chair side time to disinfect all the
samples effectively when compared with 2% CHX and CFC.
PMID- 26557615
TI - The Mystery of Handprints: Assesment and Correlation of Dermatoglyphics with
Early Childhood Caries A Case-Control Study.
AB - BACKGROUND: It has been acknowledged that genetics play a significant role in
determination of dermatoglyphic patterns. Since caries is a multifactorial
disease with the influence of genetic pattern, this study was undertaken to
assess dermatoglyphic patterns and correlate them with early childhood caries.
AIM: The aim of the study was to determine the association and correlation of
dermatoglyphic patterns with early childhood caries. MATERIALS AND METHODS: The
study sample consisted of 100 children aged between 3 and 6 years, divided into
two groups of 50 children each. The deft score was evaluated to select the
experimental group and control group. Finger and palm prints were recorded using
the ink method described by Cummins and Midlo. The handprints obtained were
checked for their clarity with a magnifying glass (*2) and coded. The presence of
core and the triradii of the dermatoglyphic pattern were checked thoroughly to
include the handprint in the study. A total of 1000 digital prints and 200 palmar
prints were obtained. RESULTS: The presence of whorls in the index finger of the
right hand predicts significantly lower risk of caries in children (male and
female; combined). Presence of whorls in the ring finger of the right hand
predicts significantly lower risk of caries in female children. Statistically no
correlation between atd angle and early childhood caries was obtained from the
present data. CONCLUSION: The results obtained from the present study creates a
notion on the validity of the results of the previous studies and point them to
be chance findings. Due to increasing acceptability of dermatoglyphics as a
diagnostic tool for congenital diseases, further large scale extensive research
should be undertaken to evaluate the role of the same in children with Early
Childhood Caries (ECC).
PMID- 26557616
TI - Effect of Habits and Nutritional Status on Clinical Grading and Histopathological
Staging in Patients with Oral Sub Mucous Fibrosis.
AB - BACKGROUND: Oral submucous fibrosis (OSMF) is a chronic progressive debilitating
disease affecting the oral, oropharyngeal and sometimes the oesophageal mucosa
resulting in inability to eat due to burning, ulcers and stiffness. AIM: The
study was undertaken, to evaluate the correlation of clinical staging,
histological grading and nutritional status using body mass index (BMI) with
gutkha (habit) index in OSMF patients. MATERIALS AND METHODS: The study group
comprised of 50 patients clinically diagnosed and histopathologically confirmed
cases of OSMF. Habit (gutkha) index was calculated by multiplying duration and
frequency. Body mass index was calculated by dividing weight in kilograms and
height in centimetres of the patient. RESULTS: Male to female ratio was 2.8:1.
Clinical grading increased with increase in gutkha index, patients with gutkha
index 1-50, maximum were in mild stage; with gutkha index 51-100, maximum in
moderate and patients with gutkha index 101-150, all were in severe stage.
Histological staging showed direct correlation with gutkha index, it increased
with increase in gutkha index with p <0.05. Site analysis showed that buccal
mucosa and retromolar area were involved in all the patient and floor of mouth in
46% of patients Body mass index analysis revealed that out of 27 patients with
moderate clinical staging 3 was underweight; out of 3 with severe clinical
staging, 2 was underweight. CONCLUSION: The duration and frequency of areca nut
product use effects on the incidence and severity of OSMF and the patient becomes
unable to eat due to burning, ulcers and inability to open mouth which affect the
health of the individual. Thus it is important to access the nutritional status
to improve the survival rate of patients.
PMID- 26557617
TI - Invitro Study of the Effect of Different Samples of Water Used for Washing the
Etchant on Bracket Bond Strength.
AB - BACKGROUND: Bonding is a very important step in the orthodontic treatment
planning. Effective bonding enhances the treatment by reducing the bond failure
and thereby reducing the treatment duration and also increases efficiency in
orthodontic mechanics. The success of the bonded brackets is negatively affected
by contamination with oral fluids such as blood and saliva. AIM: The aim of the
present study was to evaluate the effect of hardness of water used in removing
the etchant on the bracket bond strength. MATERIALS AND METHODS: Seventy five
extracted premolars were divided in three groups of 25 each. The teeth in all the
three groups were etched with 35% phosphoric acid. The etchant in each of the
group I, II and III was removed using distilled water (soft), corporation water
(moderately hard) and hard water respectively. Stainless steel brackets were
attached using light cure bonding agent (transbond XT, 3M UNITEK) and cured for
10sec with a light cure unit. The shear bond strength was evaluated by mechanical
testing machine. Statistically significant differences were defined for p < 0.05.
RESULT: The results showed significant increase in bond strength in samples where
in soft water was used for cleaning the etchant on the bonding surface.
CONCLUSION: Hardness of water used for washing the etchant affects the bracket
bond strength. Shear bond strength of soft water is significantly increased
compared to moderately hard and very hard water.
PMID- 26557618
TI - The Comparative Evaluation of Patient's Satisfaction and Comfort Level by Diode
Laser and Scalpel in the Management of Mucogingival Anomalies.
AB - BACKGROUND: Surgical correction of mucogingival anomalies is required to enhance
patient's compatibility to maintain oral hygiene or to improve facial aesthetics
or both. Laser has become a desirable and dependable alternative for traditional
surgical techniques because it is simple and painless with more predictable
outcomes. AIM: The aim of this study was to compare the effects of the
conventional scalpel technique and the laser technique on the degree of
discomfort, satisfaction, healing and postoperative pain experienced by patients
after correction of mucogingival anomalies. MATERIALS AND METHODS: In the present
study 70 patients were enrolled and randomly distributed in two groups i.e.
surgical correction of mucogingival anomalies by scalpel and by laser. Patient's
comfort level, pain and satisfaction level was assessed by using Visual analogue
scale (VAS) and healing was evaluated by healing index. RESULTS: The results
indicated patients treated with the diode laser had less postoperative pain and
discomfort with remarkable satisfactory results and healing compared to patients
treated with the conventional technique. CONCLUSION: Laser is a desirable
therapeutic alternative to correct soft tissue anomalies. It allows good control
of haemorrhage with comfortable healing phase and appreciable satisfactory
outcomes.
PMID- 26557619
TI - Arthrocentesis A Minimally Invasive Method for TMJ Disc Disorders - A Prospective
Study.
AB - BACKGROUND: Temporomandibular joint (TMJ) disc disorders are one of the major
concerns to the mankind and doctors in day to day life due to its complex nature
and failure to treat these kinds of conditions successfully. OBJECTIVES: The aim
of the present study was to evaluate the efficacy of arthrocentesis in patients
suffering from TMJ disc disorders. MATERIALS AND METHODS: A total of 50 subjects
suffering from TMJ disc disorders were selected and treated by arthrocentesis.
The subjects were followed up for a period of one year. RESULTS: The mean maximal
mouth opening prior to arthro-centesis was 32.13mm and after the procedure the
mean maximal mouth opening was 46.6mm. The mean right and left lateral movements
before arthrocentesis were 7.15mm and 7.59mm respectively, and the mean right and
left lateral movements of 9.49 and 9.31 respectively were present after the
procedure. The mean degree of pain before arthrocentesis was 8.7, and after the
procedure the mean degree of pain was 1.13 as per the visual analogue scale.
CONCLUSION: The findings of this study suggested potential utility of
arthrocentesis in the management of TMJ disc disorders.
PMID- 26557620
TI - Assessment of Fluoride Concentration of Soil and Vegetables in Vicinity of Zinc
Smelter, Debari, Udaipur, Rajasthan.
AB - BACKGROUND: As of late, natural contamination has stimulated as a reaction of
mechanical and other human exercises. In India, with the expanding
industrialization, numerous unsafe substances are utilized or are discharged amid
generation as cleans, exhaust, vapours and gasses. These substances at last are
blended in the earth and causes health hazards. OBJECTIVE: To determine
concentration of fluoride in soils and vegetables grown in the vicinity of Zinc
Smelter, Debari, Udaipur, Rajasthan. MATERIALS AND METHODS: Samples of vegetables
and soil were collected from areas situated at 0, 1, 2, 5, and 10 km distance
from the zinc smelter, Debari. Three samples of vegetables (i.e. Cabbage, Onion
and Tomato) and 3 samples of soil {one sample from the upper layer of soil (i.e.
0 to 20 cm) and one from the deep layer (i.e. 20 - 40 cm)} at each distance were
collected. The soil and vegetable samples were sealed in clean polythene bags and
transported to the laboratory for analysis. One sample each of water and
fertilizer from each distance were also collected. RESULTS: The mean fluoride
concentration in the vegetables grown varied between 0.36 +/- 0.69 to 0.71 +/-
0.90 ppm. The fluoride concentration in fertilizer and water sample from various
distances was found to be in the range of 1.4 - 1.5 ppm and 1.8 - 1.9 ppm
respectively. CONCLUSION: The fluoride content of soil and vegetables was found
to be higher in places near to the zinc smelter.
PMID- 26557621
TI - Impact of Dental Neglect Scale on Oral Health Status Among Different
Professionals in Indore City-A Cross- Sectional Study.
AB - BACKGROUND: Young educated Indian generation are very much health conscious. They
take adequate nutritious balanced diet and practice physical exercise regularly
to keep themselves active and healthy. Oral health is a part of general health
care system. If oral health is neglected it may affect our general health and as
a result it affects our quality of life too. AIM: To assess dental negligence and
oral health status by using Dental Neglect scale questionnaire among different
professionals of Indore city. MATERIALS AND METHODS: The study consisted of a
convenient sample of 400 students of aged 18-25 years from 4 different
professional colleges of Sri Aurobindo Group of Institutes of the same campus. A
pretested validated questionnaire was used for assessing dental neglect and home
dental care practices. Oral health examination was conducted to assess dental
caries and oral hygiene status by using DMFT and OHIS respectively. Data was
analysed using SPSS Software (version 20). RESULTS: For OHI(S), majority of the
respondents (57.7%) showed fair oral hygiene for DNS score <15, whereas majority
of the professionals (63.7%), showed poor oral hygiene for DNS score >15. The
Dental Neglect Scale (DNS) score was found statistically significant with OHIS
and caries experience at 95% Confidence Interval. There was no statistically
significant difference between DNS score and frequency of Decayed, Missing and
Filled teeth DMFT. CONCLUSION: The Dental Neglect Scale appears to be a sound
method for objectifying dental neglect. It has many of the features of a
satisfying health index. However, further validation with other age groups,
cultures, place and a larger population is required in order to justify the
utility of Dental Neglect Scale in different situations.
PMID- 26557622
TI - Prevalence and Association of Developmental Defects of Enamel with, Dental-
Caries and Nutritional Status in Pre-School Children, Lucknow.
AB - BACKGROUND: Developmental Defects of Enamel in the primary dentition may be
associated and predictors of dental caries and nutritional status. The aim of the
present study was to assess the Prevalence of Developmental Defects of Enamel and
its Association with, Dental-Caries and Nutritional Status in Pre-School Children
of Lucknow, India. MATERIALS AND METHODS: Multistage Sampling was done. A total
of 302 pre-school (Rural and Urban) children were examined. Type III examination
was conducted with WHO Probe. Developmental Enamel Defects (DED) and Dental
Caries were assessed using WHO (1997) Proforma. RESULTS: The prevalence of DED of
any type was 39.9% with that of demarcated opacities being the highest, followed
by hypoplasia. The most frequently affected teeth were maxillary anterior teeth,
while the least affected teeth were mandibular incisors. The mean dmft was 3.5. A
positive association between DED and caries was observed. Association between
Dental Caries & BMI was non-significant whereas Pearson correlation showed a
negative correlation between the two. CONCLUSION: The prevalence of enamel
defects and caries was high, as the enamel defects were strongly associated with
caries.
PMID- 26557623
TI - Effectiveness of Various Sensory Input Methods in Dental Health Education Among
Blind Children- A Comparative Study.
AB - AIM: The aim of the study is to evaluate effectiveness of various sensory input
methods in dental health education among blind children. Oral hygiene status was
assessed through visible plaque index and oral hygiene knowledge was assessed
through questionnaire before and after oral health education. MATERIALS AND
METHODS: The study involved of 200 blind children with the age range of 8 to 14
years of both genders from two blind schools similar in standard of teaching. The
total study population (n=200) was randomized and divided into five Groups,
comprising of 40 children in each Group. The first four were the experimental
Groups who received dental health education through different modes, while the
fifth Group served as control. Oral health related knowledge and plaque scores
were assessed in all the study Groups before and after dental health education.
RESULTS: After intervention, the mean knowledge scores and plaque scores were
statistically significant in all the study Groups when compared to the baseline
scores. CONCLUSION: The present study proved that blind children can maintain an
acceptable level of oral hygiene when taught with special customized methods like
multisensory approach with creative use of other senses which was found to be
effective than unisensory method.
PMID- 26557624
TI - Improving Gingival Aesthetics Using Platelet Rich Fibrin and Synthetic Collagen
Membrane: A Report of Two Cases.
AB - Covering the clinically exposed root surface of a tooth has now become a routine
demand of patients to improve aesthetics and also to reduce the instances of
hypersensitivity. The idea behind the treatment of gingival recession is to place
the gingiva as close as possible to the cement-enamel junction so that the
exposed root area is covered and a normal sulcus is created. Here we present a
series of two cases of gingival recession treatment in young patients affecting
the maxillary anterior region. The affected sites were treated by a periodontal
flap with synthetic collagen membrane and patient derived platelet rich fibrin.
It may be emphasized that platelet-rich fibrin can be used as a membrane for
periodontal tissue regeneration and it has the ability to promote platelet
aggregation, be chemotactic for fibroblast and enhance wound stability and proper
healing. Hence, both the methods can be successfully used in place of a
connective tissue graft for treating gingival recession.
PMID- 26557625
TI - A Rare Entity of Giant Epidermal Exophytic Naevus of Hemifacial Region.
AB - The term nevi constitute a hamartomatous growth of either skin or mucosa that is
generally found at birth or shortly after birth. An epidermal nevus consisting
chiefly of sebaceous glands is called as nevus sebaceous. Typically this entity
is seen as a solitary bald patch over the scalp at birth. We report a case of
exophytic lesion following blaschko's lines at birth. We find this case as
peculiar because of the multiplicity of lesions, their pattern of distribution,
as well as their atypical morphology.
PMID- 26557626
TI - Keratoacanthoma of Upper Lip: Review and Report of Case Managed Surgically.
AB - Keratoacanthoma is a benign lesion usually presenting as a solitary, dome shaped
nodule with a central crater filled with keratin. It frequently occurs on the sun
exposed areas of the skin. Keratoacanthoma can be difficult to differentiate from
oral squamous cell carcinoma both clinically and microscopically. A case of
keratoacanthoma involving the upper lip in a 51-year-old male is reported
presenting as an exophytic growth that resolved after excisional biopsy.
PMID- 26557627
TI - A Case of Intraoral Lymphangioma Circumscripta - A Diagnostic Dilemma.
AB - Lymphangiomas are developmental malformations which present as benign hamartomas
of lymphatic channels. They are commonly located in the head and neck region and
are less frequently reported in the oral cavity. However affected sites in the
oral cavity include the tongue, palate, gingiva, buccal mucosa, lips and alveolar
ridge of the mandible. The most common site in the oral cavity is tongue.
Lymphangioma of the buccal mucosa is rare as per the available literature and
they usually occur as lobular masses or cystic lesions. Histopathologically,
lymphangioma appear as proliferated lymphatic vessels lined by plump endothelial
cells. Surgical excision, laser therapy and sclerotherapy are the eventual modes
of treatment. This paper reports a rare case of lymphangioma of the buccal mucosa
in a 60-year old male patient managed by surgical excision.
PMID- 26557628
TI - Facilitated Eruption of an Impacted Premolar 8 Years Post-eruption Timing- Think
before You Treat!!!
AB - The treatment planning of impacted teeth usually involves surgical intervention
for bringing about its eruption with traction. But a thoughtful analysis in such
cases looking at the possible aetiology may save the complexity of therapy. The
present case demonstrates the importance of correct diagnosis in resolving
impaction wherein an impacted mandibular second premolar was made to erupt using
a simple removable appliance 8 years after its normal range of eruption timing.
PMID- 26557629
TI - Severely Resorbed Edentulous Ridges: A Preventive Prosthodontic Approach - A Case
Report.
AB - As a dentist our main aim should be prevention which not only includes prevention
of caries and or periodontal disease but also prevention of residual alveolar
bone loss after teeth are extracted. Today with greater stress on preventive
measures, the dental profession has expanded this preventive concept into
Prosthodontics. Preventive Prosthodontics emphasizes the importance of any
procedure that can delay or eliminate the future Prosthodontic problem and stop
further progression of oral disease and prevent the loss of remaining tissues.
The Residual Ridge Resorption (RRR) is an inevitable consequence of tooth loss
and denture wearing. Severe RRR gradually results in increased interarch
distance, significant horizontal discrepancy between edentulous ridges,
occurrence of flabby displaceable tissues in the denture bearing area and other
sequelae. Prosthetic rehabilitation in these patients can be challenging. The
conventional complete denture fabrication in such cases may further compound the
poor denture bearing ability of the tissues and lead to decreased retention,
stability and support which may result in psychological problems and social
isolation. This case report emphasizes the importance of preventive concepts in
every step of complete denture fabrication to offer a long serviceable prosthesis
without any significant complications and compromise.
PMID- 26557630
TI - Modified SARME (Surgically Assisted Rapid Maxillary Expansion) in Conjunction
with Orthodontic Treatment-A Case Report.
AB - Transverse maxillary hypoplasia or maxillary constriction in conjunction with
unilateral or bilateral posterior cross bites is a common finding in cleft palate
patients. These situations are also commonly encountered in adults who have not
had recourse to orthodontic treatment in childhood. In adults, after ossification
of the mid palatal suture is complete, the accepted means of correcting
transverse skeletal discrepancies is by Surgically Assisted Rapid Maxillary
Expansion (SARME). The disadvantage of this technique in the Indian scenario is
reduced patient acceptance and increased treatment costs. Le Fort-I down fracture
and mid palatal suture sectioning requires hospitalization and increases
morbidity. A case of a 21-year-old non-cleft male who presented with Class I
malocclusion with transverse skeletal discrepancy and bilateral posterior cross
bites is presented. A modified SAARME technique was performed without
pterygomaxillary disjunction, as an outpatient procedure. The results obtained
were satisfactory and the desired amount of transverse skeletal correction was
achieved. The patient was discharged the same day. The technique can be used to
successfully treat a large number of patients in India with maxillary skeletal
transverse problems with increased predictability, reduced costs and morbidity
and higher rates of acceptance.
PMID- 26557631
TI - A Novel Approach to Regain Anterior Space Using Modified 2 by 3 Fixed Appliance:
A Report of Two Cases.
AB - Early loss of permanent anterior teeth in growing children has a psychological
impact on the child. Anterior teeth are important both aesthetically and
functionally. When a permanent tooth is lost, the teeth adjacent to the created
space tend to migrate into the space resulting in the space loss. Management of
regaining space with the removable appliance always depends on child cooperation
for using the appliance as well as for the recall visits. The advantages of fixed
appliances over the removable appliances are minimal discomfort, reduced need for
patient cooperation and increased control of tooth movements in all three
directions of space. Thus, a short course of fixed appliance like the modified 2
by 3 fixed appliance followed by fixed functional space maintainer could be an
ideal treatment option for such cases.
PMID- 26557632
TI - Atypical Presentation of Capillary Hemangioma in Oral Cavity- A Case Report.
AB - Capillary Haemangioma is a benign vascular tumour characterized by proliferation
of blood vessels that are primarily reported to be a developmental hamartomatous
lesion of infancy and childhood. Pyogenic granuloma is a non-neoplastic benign
lesion found in the oral cavity having a striking predilection for occurrence in
the gingiva. The present case report is an atypical presentation of capillary
haemangioma on gingiva which is considered to be extremely rare. The lesion in
this case was clinically diagnosed as pyogenic granuloma but histopathologically
as capillary haemangioma. These lesions present as a diagnostic dilemma to the
clinician and can lead to serious complications if not carefully managed.
PMID- 26557633
TI - Curcumin - Pharmacological Actions And its Role in Oral Submucous Fibrosis: A
Review.
AB - Turmeric has been in use for thousands of years as a dye, flavouring and a
medicinal herb. Ancient Indian medicine has touted turmeric as an herb with the
ability to provide glow and lustre to the skin as well as vigour and vitality to
the entire body. Since curcumin has antimicrobial, antioxidant, astringents and
other useful properties, it is quite useful in dentistry also. Curcumin, the most
active polyphenolic constituent, is the active ingredient in the traditional
herbal remedy and dietary spice turmeric. In gel form it is a component in local
drugs delivery system. The objective of this article is to review the
pharmacological action of turmeric and its use in treating oral submucous
fibrosis.
PMID- 26557635
TI - Oral Squamous Cell Carcinoma: Picro Sirius Red Stain.
PMID- 26557634
TI - Allergic Reactions to Dental Materials-A Systematic Review.
AB - INTRODUCTION: Utility of various dental materials ranging from diagnosis to
rehabilitation for the management of oral diseases are not devoid of posing a
potential risk of inducing allergic reactions to the patient, technician and
dentist. This review aims to develop a systematic approach for the selection and
monitoring of dental materials available in the market thereby giving an insight
to predict their risk of inducing allergic reactions. MATERIALS AND METHODS: Our
data included 71 relevant articles which included 60 case reports, 8 prospective
studies and 3 retrospective studies. The source of these articles was Pub Med
search done with the following terms: allergies to impression materials, sodium
hypochlorite, Ledermix paste, zinc oxide eugenol, formaldehyde, Latex gloves,
Methyl methacrylate, fissure sealant, composites, mercury, Nickel-chromium,
Titanium, polishing paste and local anaesthesia. All the relevant articles and
their references were analysed. The clinical manifestations of allergy to
different dental materials based on different case reports were reviewed.
RESULTS: After reviewing the literature, we found that the dental material
reported to cause most adverse reactions in patients is amalgam and the incidence
of oral lichenoid reactions adjacent to amalgam restorations occur more often
than other dental materials. CONCLUSION: The most common allergic reactions in
dental staff are allergies to latex, acrylates and formaldehyde. While
polymethylmethacrylates and latex trigger delayed hypersensitivity reactions,
sodium metabisulphite and nickel cause immediate reactions. Over the last few
years, due to the rise in number of patients with allergies from different
materials, the practicing dentists should have knowledge about documented
allergies to known materials and thus avoid such allergic manifestations in the
dental clinic.
PMID- 26557636
TI - Miles to Discover in Histological Grading of Odontogenic Tumours.
PMID- 26557637
TI - Desmoplastic Ameloblastoma - An Unusual Presentation.
AB - Ameloblastomas are the most common and represents a family of diseases with
diverse biological behaviour and contribute to 11-18% of odontogenic epithelial
neoplasms. Downstream it has been regarded as benign neoplasm with local
aggressiveness. Desmoplastic ameloblastoma is the least frequent of all the
variants of ameloblastoma and contribute to 4-5%. The uniqueness of this lesion
can be further enhanced with respect to its site of occurrence and the
radiographic features in contrast to the classical type of ameloblastoma. Here
in, we report a case of Desmoplastic Ameloblastoma in anterior mandible in a 65
year-old male crossing the midline, which deserves preponderency because of its
distinct site, radiological features, local aggressiveness and high chances of
false clinical impression. This case report is an effort to develop a high index
of suspicion in diagnosing such rare cases.
PMID- 26557638
TI - Heterotopic Tooth: An Exceptional Entity.
PMID- 26557639
TI - Quality of Life and Related Factors Among People With Spinal Cord Injuries in
Tehran, Iran.
AB - BACKGROUND: Spinal Cord Injury (SCI) is one of the biggest health problems.
Disabilities resulting from injuries such as spinal disability requires special
attention because of their potential reduced to cause adverse effects in
different systems of the body. Today, improving the Quality of Life (QOL) in
patients with SCIs is an important goal of treatment. OBJECTIVES: The purpose of
this study was to determine the QOL and related factors among people with SCIs.
PATIENTS AND METHODS: In this cross-sectional descriptive study, 106 patients
with SCI were selected through sampling based on census. Data were collected
using a demographic questionnaire and a Short-Form 36 (SF-36) health survey
questionnaire for measuring the QOL among patients. Data were analyzed using SPSS
14 software and descriptive and inferential statistics. P < 0.05 was considered
statistically significant. RESULTS: The mean QOL in these patients was 37.1 +/-
1.7 years (21 - 65 years) and mean disease duration was 7.3+/-6 years. The most
common injury was paraplegia. Most of the patients have moderate QOL (54.7 %).
The results showed a significant relationship between QOL and marital status and
employment status (P < 0.05). Also, results showed a significant relationship
between QOL and education levels (P = 0.002), age (P = 0.001), and duration of
illness (P = 0.001).The highest and lowest scores were 64 +/- 7.1 and 36 +/- 5.3
for understanding General Health (GH) and role physical, respectively.
CONCLUSIONS: The results show that patients with SCI have a moderate health
related QOL Determining the QOL is needed to focus on the strengths and
weaknesses of patients with spinal cord injuries. Planning principles is
recommended in order to reform the disability.
PMID- 26557640
TI - Synthetic self-adjuvanting glycopeptide cancer vaccines.
AB - Due to changes in glycosyltransferase expression during oncogenesis, the
glycoproteins of cancer cells often carry highly truncated carbohydrate chains
compared to those on healthy cells. These glycans are known as tumor-associated
carbohydrate antigens (TACAs), and are prime targets for use in vaccines for the
prevention and treatment of cancer. Herein, we review the state-of-the-art in
targeting the immune system toward tumor-associated glycopeptide antigens via
synthetic self-adjuvanting vaccines, in which the antigenic and adjuvanting
moieties of the vaccines are present in the same molecule. The majority of the
self-adjuvanting glycopeptide cancer vaccines reported to date employ antigens
from mucin 1, a protein which is highly over-expressed and aberrantly
glycosylated in many forms of cancer. The adjuvants used in these vaccines
predominantly include lipopeptide- or lipoamino acid-based TLR2 agonists,
although studies investigating stimulation of TLR9 and TLR4 are also discussed.
Many of these adjuvants are highly lipophilic, and, upon conjugation to antigenic
peptides, provide amphiphilic vaccine molecules. The amphiphilic nature of these
vaccine constructs can lead to the formation of higher-order structures by
vaccines in solution, which are likely to be important for their efficacy in
vivo.
PMID- 26557641
TI - Lifetime Distributions from Tracking Individual BC3H1 Cells Subjected to
Yessotoxin.
AB - This work shows examples of lifetime distributions for individual BC3H1 cells
after start of exposure to the marine toxin yessotoxin (YTX) in an experimental
dish. The present tracking of many single cells from time-lapse microscopy data
demonstrates the complexity in individual cell fate and which can be masked in
aggregate properties. This contribution also demonstrates the general
practicality of cell tracking. It can serve as a conceptually simple and non
intrusive method for high throughput early analysis of cytotoxic effects to
assess early and late time points relevant for further analyzes or to assess for
variability and sub-populations of interest. The present examples of lifetime
distributions seem partly to reflect different cell death modalities. Differences
between cell lifetime distributions derived from populations in different
experimental dishes can potentially provide measures of inter-cellular influence.
Such outcomes may help to understand tumor-cell resistance to drug therapy and to
predict the probability of metastasis.
PMID- 26557642
TI - Metabolomics, Standards, and Metabolic Modeling for Synthetic Biology in Plants.
AB - Life on earth depends on dynamic chemical transformations that enable cellular
functions, including electron transfer reactions, as well as synthesis and
degradation of biomolecules. Biochemical reactions are coordinated in metabolic
pathways that interact in a complex way to allow adequate regulation.
Biotechnology, food, biofuel, agricultural, and pharmaceutical industries are
highly interested in metabolic engineering as an enabling technology of synthetic
biology to exploit cells for the controlled production of metabolites of
interest. These approaches have only recently been extended to plants due to
their greater metabolic complexity (such as primary and secondary metabolism) and
highly compartmentalized cellular structures and functions (including plant
specific organelles) compared with bacteria and other microorganisms.
Technological advances in analytical instrumentation in combination with advances
in data analysis and modeling have opened up new approaches to engineer plant
metabolic pathways and allow the impact of modifications to be predicted more
accurately. In this article, we review challenges in the integration and analysis
of large-scale metabolic data, present an overview of current bioinformatics
methods for the modeling and visualization of metabolic networks, and discuss
approaches for interfacing bioinformatics approaches with metabolic models of
cellular processes and flux distributions in order to predict phenotypes derived
from specific genetic modifications or subjected to different environmental
conditions.
PMID- 26557644
TI - Distribution and Viability of Fetal and Adult Human Bone Marrow Stromal Cells in
a Biaxial Rotating Vessel Bioreactor after Seeding on Polymeric 3D Additive
Manufactured Scaffolds.
AB - One of the conventional approaches in tissue engineering is the use of scaffolds
in combination with cells to obtain mechanically stable tissue constructs in
vitro prior to implantation. Additive manufacturing by fused deposition modeling
is a widely used technique to produce porous scaffolds with defined pore network,
geometry, and therewith defined mechanical properties. Bone marrow-derived
mesenchymal stromal cells (MSCs) are promising candidates for tissue engineering
based cell therapies due to their multipotent character. One of the hurdles to
overcome when combining additive manufactured scaffolds with MSCs is the
resulting heterogeneous cell distribution and limited cell proliferation
capacity. In this study, we show that the use of a biaxial rotating bioreactor,
after static culture of human fetal MSCs (hfMSCs) seeded on synthetic polymeric
scaffolds, improved the homogeneity of cell and extracellular matrix distribution
and increased the total cell number. Furthermore, we show that the relative mRNA
expression levels of indicators for stemness and differentiation are not
significantly changed upon this bioreactor culture, whereas static culture shows
variations of several indicators for stemness and differentiation. The biaxial
rotating bioreactor presented here offers a homogeneous distribution of hfMSCs,
enabling studies on MSCs fate in additive manufactured scaffolds without inducing
undesired differentiation.
PMID- 26557645
TI - Food Science without Borders.
PMID- 26557643
TI - Engineering of Metabolic Pathways by Artificial Enzyme Channels.
AB - Application of industrial enzymes for production of valuable chemical compounds
has greatly benefited from recent developments in Systems and Synthetic Biology.
Both, in vivo and in vitro systems have been established, allowing conversion of
simple into complex compounds. Metabolic engineering in living cells needs to be
balanced which is achieved by controlling gene expression levels, translation,
scaffolding, compartmentation, and flux control. In vitro applications are often
hampered by limited protein stability/half-life and insufficient rates of
substrate conversion. To improve stability and catalytic activity, proteins are
post-translationally modified and arranged in artificial metabolic channels.
Within the review article, we will first discuss the supramolecular organization
of enzymes in living systems and second summarize current and future approaches
to design artificial metabolic channels by additive manufacturing for the
efficient production of desired products.
PMID- 26557646
TI - Prevention of a Parastomal Hernia by Biological Mesh Reinforcement.
AB - INTRODUCTION: In the field of hernia prevention, the prophylactic mesh
reinforcement of stoma-sites is one of the most controversially discussed issues.
The incidence of parastomal hernias in the literature reported to be up to 48.1%
after end colostomy and up to 30.8% after loop of colostomy, but still remains
uncertain due to diagnostic variety of clinical or radiological methods,
heterogeneous patient groups and variable follow-up intervals. Anyway, the
published data regarding the use of synthetic or bio-prostethic meshes in the
prevention of parastomal hernia at the primary operation are very scarce.
METHODS: A literature search of the Medline database in terms of biological
prophylactic mesh implantation in stoma creation identified six systematic
reviews, two randomized controlled trials (RCT), two case-controlled studies, and
one technical report. RESULTS: In a systematic review focusing on the prevention
of parastomal hernia including only RCTs encompassing one RCT using bio
prosthetic mesh the incidence of herniation was 12.5% compared to 53% in the
control group (p < 0.0001). In one RCT and two case-control studies,
respectively, there was a significant smaller incidence of parastomal herniation
as well as a similar complication rate compared to the control group. Only in one
RCT, no significant difference regarding the incidence of parastomal hernia was
reported with comparable complication rates. CONCLUSION: Thus, so far two RCT and
two case-control studies are published with prophylactic bio-prosthetic
reinforcement in stoma sites. The majority revealed significant better results in
terms of parastomal herniation and without any mesh-related complications in
comparison to the non mesh group. Further, multicenter RCT are required to
achieve a sufficient level of recommendation.
PMID- 26557647
TI - Assessment of Gate Width Size on Lifetime-Based Forster Resonance Energy Transfer
Parameter Estimation.
AB - Forster Resonance Energy Transfer (FRET) enables the observation of interactions
at the nanoscale level through the use of fluorescence optical imaging
techniques. In FRET, fluorescence lifetime imaging can be used to quantify the
fluorescence lifetime changes of the donor molecule, which are associated with
proximity between acceptor and donor molecules. Among the FRET parameters derived
from fluorescence lifetime imaging, the percentage of donor that interacts with
the acceptor (in proximity) can be estimated via model-based fitting. However,
estimation of the lifetime parameters can be affected by the acquisition
parameters such as the temporal characteristics of the imaging system. Herein, we
investigate the effect of various gate widths on the accuracy of estimation of
FRET parameters with focus on the near-infrared spectral window. Experiments were
performed in silico, in vitro, and in vivo with gate width sizes ranging from 300
ps to 1000 ps in intervals of 100 ps. For all cases, the FRET parameters were
retrieved accurately and the imaging acquisition time was decreased three-fold.
These results indicate that increasing the gate width up to 1000 ps still allows
for accurate quantification of FRET interactions even in the case of short
lifetimes such as those encountered with near-infrared FRET pairs.
PMID- 26557648
TI - A New Binning Method for Metagenomics by One-Dimensional Cellular Automata.
AB - More and more developed and inexpensive next-generation sequencing (NGS)
technologies allow us to extract vast sequence data from a sample containing
multiple species. Characterizing the taxonomic diversity for the planet-size data
plays an important role in the metagenomic studies, while a crucial step for
doing the study is the binning process to group sequence reads from similar
species or taxonomic classes. The metagenomic binning remains a challenge work
because of not only the various read noises but also the tremendous data volume.
In this work, we propose an unsupervised binning method for NGS reads based on
the one-dimensional cellular automaton (1D-CA). Our binning method facilities to
reduce the memory usage because 1D-CA costs only linear space. Experiments on
synthetic dataset exhibit that our method is helpful to identify species of lower
abundance compared to the proposed tool.
PMID- 26557649
TI - SimpLiFiCPM: A Simple and Lightweight Filter-Based Algorithm for Circular Pattern
Matching.
AB - This paper deals with the circular pattern matching (CPM) problem, which appears
as an interesting problem in many biological contexts. CPM consists in finding
all occurrences of the rotations of a pattern ?? of length m in a text ?? of
length n. In this paper, we present SimpLiFiCPM (pronounced "Simplify CPM"), a
simple and lightweight filter-based algorithm to solve the problem. We compare
our algorithm with the state-of-the-art algorithms and the results are found to
be excellent. Much of the speed of our algorithm comes from the fact that our
filters are effective but extremely simple and lightweight.
PMID- 26557650
TI - Systemic and Ocular Hemodynamic Risk Factors in Glaucoma.
AB - Primary open angle glaucoma (POAG) is a multifactorial disease characterized by
progressive retinal ganglion cell death and visual field loss. It is known that
alterations in intraocular pressure (IOP), blood pressure (BP), and ocular
perfusion pressure (OPP) can play a significant role in the pathogenesis of the
disease. Impaired autoregulatory capacity of ocular blood vessels may render
tissues vulnerable to OPP changes and potentially harmful tissue ischemia
reperfusion damage. Vascular risk factors should be considered more important in
a subgroup of patients with POAG, and especially in patients with normal tension
glaucoma (NTG) with evidence of unphysiological BP response. For example,
reduction of BP during the nighttime has an influence on OPP, and increased
circadian OPP fluctuation, which might stand for unstable ocular blood flow, has
been found to be the consistent risk factor for NTG development and progression.
Central visual field may be affected more severely than peripheral visual field
in NTG patients with higher 24-hour fluctuation of OPP. This review will discuss
the current understanding of allegedly major systemic and ocular hemodynamic risk
factors for glaucoma including systemic hypertension, arterial stiffness,
antihypertensive medication, exaggerated nocturnal hypotension, OPP, and
autonomic dysregulation.
PMID- 26557651
TI - Cementation of Glass-Ceramic Posterior Restorations: A Systematic Review.
AB - AIM: The aim of this comprehensive review is to systematically organize the
current knowledge regarding the cementation of glass-ceramic materials and
restorations, with an additional focus on the benefits of Immediate Dentin
Sealing (IDS). MATERIALS AND METHODS: An extensive literature search concerning
the cementation of single-unit glass-ceramic posterior restorations was conducted
in the databases of MEDLINE (Pubmed), CENTRAL (Cochrane Central Register of
Controlled Trials), and EMBASE. To be considered for inclusion, in vitro and in
vivo studies should compare different cementation regimes involving a "glass
ceramic/cement/human tooth" complex. RESULTS AND CONCLUSIONS: 88 studies were
included in total. The in vitro data were organized according to the following
topics: (micro)shear and (micro)tensile bond strength, fracture strength, and
marginal gap and integrity. For in vivo studies survival and quality of survival
were considered. In vitro studies showed that adhesive systems (3-step, etch-and
rinse) result in the best (micro)shear bond strength values compared to self
adhesive and self-etch systems when luting glass-ceramic substrates to human
dentin. The highest fracture strength is obtained with adhesive cements in
particular. No marked clinical preference for one specific procedure could be
demonstrated on the basis of the reviewed literature. The possible merits of IDS
are most convincingly illustrated by the favorable microtensile bond strengths.
No clinical studies regarding IDS were found.
PMID- 26557652
TI - Retrobulbar Hemodynamics and Visual Field Progression in Normal Tension Glaucoma:
A Long-Term Follow-Up Study.
AB - PURPOSE: Vascular risk factors are important factors in the pathogenesis of
glaucoma. The purpose of this research was to investigate retrobulbar
hemodynamics and visual field progression in patients with normal tension
glaucoma (NTG). PATIENTS AND METHODS: 31 eyes of 16 patients with NTG were
included in a retrospective long-term follow-up study. Colour Doppler imaging was
performed at baseline to determine various CDI parameters in the different
retrobulbar vessels. The rate of visual field progression was determined using
the Visual Field Index (VFI) progression rate per year (in %). To be included in
the analysis, patients had at least 4 visual field examinations with a follow-up
of at least 2 years. RESULTS: Mean follow-up was 7.6 +/- 4.1 years with an
average of 10 +/- 5 visual field tests. The mean MD (mean defect) at baseline was
-7.61 +/- 7.49 dB. The overall VFI progression was -1.14 +/- 1.40% per year. A
statistical significant correlation between VFI progression and the RI of the
NPCA and PSV of the CRA was found. CONCLUSION: Long-term visual field progression
may be linked to impaired retrobulbar hemodynamics in patients with NTG only to a
limited degree. Interpretation of the data for an individual patient seems to be
limited due to the variability of parameters.
PMID- 26557654
TI - Validation of Screening Questions for Hyperacusis in Chronic Tinnitus.
AB - BACKGROUND: We investigated the validity of the two hyperacusis items of the
TSCHQ (Tinnitus Sample Case History Questionnaire) from the TRI (Tinnitus
Research Initiative) database by comparing them with the German hyperacusis
questionnaire GUF. METHODS: We investigated the association of the GUF with the
TSCHQ screening questions for both the sum score and the single items with
correlation, contrast, principal component, and discriminant analysis in a sample
of 161 patients with chronic tinnitus. RESULTS: TSCHQ items and the GUF total
score were significantly associated with a special focus on fear and pain related
hyperacusis. Factor analysis of the GUF revealed the three factors "fear and pain
related hyperacusis," "hearing related problems," and "problems in quality of
life." A discriminant analysis showed a sensitivity of 64% and a specificity of
71% of the TSCHQ items for the establishment of tinnitus patient subgroups with
and without hyperacusis. DISCUSSION: Both hyperacusis TSCHQ items can serve as
screening questions with respect to self-reported hyperacusis in chronic tinnitus
with a specific focus on fear and pain related hyperacusis. However, the multiple
dimensions of hyperacusis should be considered for diagnosis and treatment in
both scientific and clinical contexts.
PMID- 26557655
TI - An Evaluation of the Policy Context on Psychosocial Risks and Mental Health in
the Workplace in the European Union: Achievements, Challenges, and the Future.
AB - Despite the developments both in hard and soft law policies in the European Union
in relation to mental health and psychosocial risks in the workplace, a review of
these policies at EU level has not been conducted to identify strengths,
weaknesses, and gaps to be addressed in the future. Keeping in mind that the aim
should be to engage employers in good practice, ideally such policies should
include key definitions and elements of the psychosocial risk management process,
covering risk factors, mental health outcomes, risk assessment and preventive
actions, or interventions. The current paper aims to fill this gap by reviewing
hard and soft law policies on mental health in the workplace and psychosocial
risks applicable at EU level and conducting a gap analysis according to a set of
dimensions identified in models of good practice in this area. Our review of
ninety-four policies in total revealed several gaps, especially in relation to
binding in comparison to nonbinding policies. These are discussed in light of the
context of policy-making in the EU, and recommendations are offered for future
actions in this area.
PMID- 26557653
TI - Effects of Physical (In)activity on Platelet Function.
AB - As platelet activation is closely related to the liberation of growth factors and
inflammatory mediators, platelets play a central role in the development of CVD.
Virtually all cardiovascular risk factors favor platelet hyperreactivity and,
accordingly, also physical (in)activity affects platelet function. Within this
paper, we will summarize and discuss the current knowledge on the impact of acute
and habitual exercise on platelet function. Although there are apparent
discrepancies regarding the reported effects of acute, strenuous exercise on
platelet activation, a deeper analysis of the available literature reveals that
the applied exercise intensity and the subjects' cardiorespiratory fitness
represent critical determinants for the observed effects. Consideration of these
factors leads to the summary that (i) acute, strenuous exercise can lead to
platelet activation, (ii) regular physical activity and/or physical fitness
diminish or prevent platelet activation in response to acute exercise, and (iii)
habitual physical activity and/or physical fitness also favorably modulate
platelet function at physical rest. Notably, these effects of exercise on
platelet function show obvious similarities to the well-recognized relation
between exercise and the risk for cardiovascular events where vigorous exercise
transiently increases the risk for myocardial infarction and a physically active
lifestyle dramatically reduces cardiovascular mortality.
PMID- 26557656
TI - Modulation Spectra Morphological Parameters: A New Method to Assess Voice
Pathologies according to the GRBAS Scale.
AB - Disordered voices are frequently assessed by speech pathologists using perceptual
evaluations. This might lead to problems caused by the subjective nature of the
process and due to the influence of external factors which compromise the quality
of the assessment. In order to increase the reliability of the evaluations, the
design of automatic evaluation systems is desirable. With that in mind, this
paper presents an automatic system which assesses the Grade and Roughness level
of the speech according to the GRBAS perceptual scale. Two parameterization
methods are used: one based on the classic Mel-Frequency Cepstral Coefficients,
which has already been used successfully in previous works, and other derived
from modulation spectra. For the latter, a new group of parameters has been
proposed, named Modulation Spectra Morphological Parameters: MSC, DRB, LMR, MSH,
MSW, CIL, PALA, and RALA. In methodology, PCA and LDA are employed to reduce the
dimensionality of feature space, and GMM classifiers to evaluate the ability of
the proposed features on distinguishing the different levels. Efficiencies of
81.6% and 84.7% are obtained for Grade and Roughness, respectively, using
modulation spectra parameters, while MFCCs performed 80.5% and 77.7%. The
obtained results suggest the usefulness of the proposed Modulation Spectra
Morphological Parameters for automatic evaluation of Grade and Roughness in the
speech.
PMID- 26557657
TI - Biology of Heme in Mammalian Erythroid Cells and Related Disorders.
AB - Heme is a prosthetic group comprising ferrous iron (Fe(2+)) and protoporphyrin IX
and is an essential cofactor in various biological processes such as oxygen
transport (hemoglobin) and storage (myoglobin) and electron transfer (respiratory
cytochromes) in addition to its role as a structural component of hemoproteins.
Heme biosynthesis is induced during erythroid differentiation and is coordinated
with the expression of genes involved in globin formation and iron
acquisition/transport. However, erythroid and nonerythroid cells exhibit distinct
differences in the heme biosynthetic pathway regulation. Defects of heme
biosynthesis in developing erythroblasts can have profound medical implications,
as represented by sideroblastic anemia. This review will focus on the biology of
heme in mammalian erythroid cells, including the heme biosynthetic pathway as
well as the regulatory role of heme and human disorders that arise from defective
heme synthesis.
PMID- 26557658
TI - Hyperacusis Questionnaire as a Tool for Measuring Hypersensitivity to Sound in a
Tinnitus Research Population.
AB - Hypersensitivity to external sounds is often comorbid with tinnitus and may be
significant for adherence to certain types of tinnitus management. Therefore, a
clear measure of sensitivity to sound is important. The aim of this study was to
evaluate the validity and reliability of the Hyperacusis Questionnaire (HQ) for
use as a measurement tool using data from a sample of 264 adults who took part in
tinnitus research. We evaluated the HQ factor structure, internal consistency,
convergent and discriminant validity, and floor and ceiling effects. Internal
consistency was high (Cronbach's alpha = 0.88) and moderate correlations were
observed between the HQ, uncomfortable loudness levels, and other health
questionnaires. Confirmatory factor analysis revealed that the original HQ three
factor solution and a one-factor solution were both a poor fit to the data. Four
problematic items were removed and exploratory factor analysis identified a two
factor (attentional and social) solution. The original three-factor structure of
the HQ was not confirmed. All fourteen items do not accurately assess
hypersensitivity to sound in a tinnitus population. We propose a 10-item (2
factor) version of the HQ, which will need to be confirmed using a new tinnitus
and perhaps nontinnitus population.
PMID- 26557659
TI - Optical Coherence Tomography as a Tool for Ocular Dynamics Estimation.
AB - PURPOSE: The aim of the study is to demonstrate that the ocular dynamics of the
anterior chamber of the eye can be estimated quantitatively by means of optical
coherence tomography (OCT). METHODS: A commercial high speed, high resolution
optical coherence tomographer was used. The sequences of tomographic images of
the iridocorneal angle of three subjects were captured and each image from the
sequence was processed in MATLAB environment in order to detect and identify the
contours of the cornea and iris. The data on pulsatile displacements of the
cornea and iris and the changes of the depth of the gap between them were
retrieved from the sequences. Finally, the spectral analysis of the changes of
these parameters was performed. RESULTS: The results of the temporal and spectral
analysis manifest the ocular microfluctuation that might be associated with
breathing (manifested by 0.25 Hz peak in the power spectra), heart rate (1-1.5 Hz
peak), and ocular hemodynamics (3.75-4.5 Hz peak). CONCLUSIONS: This paper shows
that the optical coherence tomography can be used as a tool for noninvasive
estimation of the ocular dynamics of the anterior segment of the eye, but its
usability in diagnostics of the ocular hemodynamics needs further investigations.
PMID- 26557660
TI - Analysis of Self-Adhesive Resin Cement Microshear Bond Strength on Leucite
Reinforced Glass-Ceramic with/without Pure Silane Primer or Universal Adhesive
Surface Treatment.
AB - OBJECTIVE: To evaluate the microshear bond strength (MUSBS) of self-adhesive
resin (SA) cement on leucite-reinforced glass-ceramic using silane or universal
adhesive. MATERIALS AND METHODS: Ceramic blocks were etched with 9.5%
hydrofluoric acid and divided into three groups (n = 16): (1) negative control
(NC) without treatment; (2) Single Bond Universal (SBU); (3) RelyX Ceramic Primer
as positive control (PC). RelyX Unicem resin cement was light-cured, and MUSBS
was evaluated with/without thermocycling. The MUSBS was analyzed using one-way
analysis of variance. The fractured surfaces were examined using stereomicroscopy
and scanning electron microscopy (SEM). RESULTS: Without thermocycling, MUSBS was
highest for PC (30.50 MPa +/- 3.40), followed by SBU (27.33 MPa +/- 2.81) and NC
(20.18 MPa +/- 2.01) (P < 0.05). Thermocycling significantly reduced MUSBS in SBU
(22.49 MPa +/- 4.11) (P < 0.05), but not in NC (20.68 MPa +/- 4.60) and PC (28.77
MPa +/- 3.52) (P > 0.05). PC and NC predominantly fractured by cohesive failure
within the ceramic and mixed failure, respectively. CONCLUSION: SBU treatment
improves MUSBS between SA cement and glass ceramics, but to a lower value than
PC, and the improvement is eradicated by thermocycling. NC exhibited the lowest
MUSBS, which remained unchanged after thermocycling.
PMID- 26557661
TI - The Association between Job Strain and Atrial Fibrillation: Results from the
Swedish WOLF Study.
AB - INTRODUCTION: Atrial fibrillation (AF) is a common heart rhythm disorder. Several
life-style factors have been identified as risk factors for AF, but less is known
about the impact of work-related stress. This study aims to evaluate the
association between work-related stress, defined as job strain, and risk of AF.
METHODS: Data from the Swedish WOLF study was used, comprising 10,121 working men
and women. Job strain was measured by the demand-control model. Information on
incident AF was derived from national registers. Cox proportional hazard
regression was used to estimate hazard ratios (HR) and 95% confidence intervals
(CI) for the association between job strain and AF risk. RESULTS: In total, 253
incident AF cases were identified during a total follow-up time of 132,387 person
years. Job strain was associated with AF risk in a time-dependent manner, with
stronger association after 10.7 years of follow-up (HR 1.93, 95% CI 1.10-3.36
after 10.7 years, versus HR 1.11, 95% CI 0.67-1.83 before 10.7 years). The
results pointed towards a dose-response relationship when taking accumulated
exposure to job strain over time into account. CONCLUSION: This study provides
support to the hypothesis that work-related stress defined as job strain is
linked to an increased risk of AF.
PMID- 26557663
TI - Femtosecond Laser Assisted Deep Anterior Lamellar Keratoplasty Outcomes and
Healing Patterns Compared to Manual Technique.
AB - The purpose of the study is to report the visual, refractive, and wound healing
pattern outcomes of femtosecond assisted deep anterior lamellar keratoplasty
(DALK) compared to the conventional manual technique. DALK was performed on 50
eyes of 47 advanced keratoconus patients. The patients were divided into two
groups, 25 eyes each, depending on whether femtosecond assisted or manual DALK
technique was performed for the side cut of the procedure only. Patients were
followed up at 1 month, 6 months, and 1 year for visual acuity, clinical
refraction, corneal cylinder, date of suture removal, and side cut corneal
healing pattern according to new grading classification of the side cut scar
(Grade 0 = transparent scar, 1 = faint healing opacity, 2 = evident healing
opacity, 3 = significant opacity with some cosmetic imbalance, and 4 = highly
significant opacity with very significant cosmetic imbalance). Outcomes are
reported at one year. In conclusion, femtosecond assisted and manual DALK show
comparable visual and refractive outcomes but femtosecond assisted DALK shows
more evident corneal wound healing patterns at the side cut. This observation may
indicate that an activated cornea wound healing might allow earlier suture
removal when femtosecond technology is used to perform the side cut for DALK.
PMID- 26557662
TI - Cardiovascular Reflexes Activity and Their Interaction during Exercise.
AB - Cardiac output and arterial blood pressure increase during dynamic exercise
notwithstanding the exercise-induced vasodilation due to functional
sympatholysis. These cardiovascular adjustments are regulated in part by neural
reflexes which operate to guarantee adequate oxygen supply and by-products
washout of the exercising muscles. Moreover, they maintain adequate perfusion of
the vital organs and prevent excessive increments in blood pressure. In this
review, we briefly summarize neural reflexes operating during dynamic exercise
with particular emphasis on their interaction.
PMID- 26557664
TI - All-Trans Retinoic Acid Induces Proliferation, Survival, and Migration in A549
Lung Cancer Cells by Activating the ERK Signaling Pathway through a Transcription
Independent Mechanism.
AB - All-trans retinoic acid (ATRA) has been used as an antineoplastic because of its
ability to promote proliferation, inhibition, and differentiation, primarily in
leukemia; however, in other types of cancer, such as lung cancer, treatment with
ATRA is restricted because not all the patients experience the same results. The
ERK signaling pathway is dysregulated in cancer cells, including lung cancer, and
this dysregulation promotes proliferation and cell invasion. In this study, we
demonstrate that treatment with ATRA can activate the ERK signaling pathway by a
transcription-independent mechanism through a signaling cascade that involves
RARalpha and PI3K, promoting growth, survival, and migration in lung cancer
cells. Until now, this mechanism was unknown in lung cancer cells. The inhibition
of the ERK signaling pathway restores the beneficial effects of ATRA, reduces
proliferation, increases apoptosis, and blocks the cell migration process in lung
cancer cells. In conclusion, our results suggest that the combination of ATRA
with ERK inhibitor in clinical trials for lung cancer is warranted.
PMID- 26557665
TI - The Context, Process, and Outcome Evaluation Model for Organisational Health
Interventions.
AB - To facilitate evaluation of complex, organisational health interventions (OHIs),
this paper aims at developing a context, process, and outcome (CPO) evaluation
model. It builds on previous model developments in the field and advances them by
clearly defining and relating generic evaluation categories for OHIs. Context is
defined as the underlying frame that influences and is influenced by an OHI. It
is further differentiated into the omnibus and discrete contexts. Process is
differentiated into the implementation process, as the time-limited enactment of
the original intervention plan, and the change process of individual and
collective dynamics triggered by the implementation process. These processes lead
to proximate, intermediate, and distal outcomes, as all results of the change
process that are meaningful for various stakeholders. Research questions that
might guide the evaluation of an OHI according to the CPO categories and a list
of concrete themes/indicators and methods/sources applied within the evaluation
of an OHI project at a hospital in Switzerland illustrate the model's
applicability in structuring evaluations of complex OHIs. In conclusion, the
model supplies a common language and a shared mental model for improving
communication between researchers and company members and will improve the
comparability and aggregation of evaluation study results.
PMID- 26557666
TI - The Ethanolic Extract of Taiwanofungus camphoratus (Antrodia camphorata) Induces
Cell Cycle Arrest and Enhances Cytotoxicity of Cisplatin and Doxorubicin on Human
Hepatocellular Carcinoma Cells.
AB - Taiwanofungus camphoratus (synonym Antrodia camphorata) is a widely used
medicinal fungus in the folk medicine of Taiwan with several pharmacological
features such as anti-inflammatory, liver protection, antihypertensive, and
antioxidative activities. The ethanolic extract of T. camphoratus (TCEE) which
contains abundant bioactive compounds including triterpenoids and polysaccharides
also has antitumor effects in various human cancer cell lines. The aims of this
study are to clarify the antitumor effects of TCEE on human hepatocellular
carcinoma cells and also evaluate the combination drug effects with conventional
chemotherapy agents, cisplatin and doxorubicin. In the present study, the TCEE
treatment induced cell cycle arrest and suppressed cell growth on both Hep3B and
HepJ5 cells. Expression of cell cycle inhibitors, P21 and P27, and activation of
apoptosis executer enzyme, caspase-3, were also induced by TCEE. In combination
with the chemotherapy agents, TCEE treatment further enhanced the tumor
suppression efficiency of cisplatin and doxorubicin. These results together
suggested that TCEE is a potential ingredient for developing an integrated
chemotherapy for human liver cancer.
PMID- 26557667
TI - Evaluation of the Effect of Hypercapnia on Vascular Function in Normal Tension
Glaucoma.
AB - INTRODUCTION: Altered ocular perfusion and vascular dysregulation have been
reported in glaucoma. The aim of this paper was to evaluate the vascular response
to a hypercapnic stimulus. METHODS: Twenty normal tension glaucoma (NTG) patients
and eighteen age- and gender-matched controls had pulsatile ocular blood flow
(POBF) measurements, systemic cardiovascular assessment, and laser Doppler
digital blood flow (DBF) assessed. Measurements were taken at baseline, after 10
minutes rest, in the stable sitting and supine positions and following induction
and stabilization of hypercapnia, which induced a 15% increase in end-tidal pCO2.
The POBF response to hypercapnia was divided into high (>20%) and low responders
(<20%). RESULTS: 65% of NTG patients had a greater than 41% increase in POBF
following CO2 rebreathing (high responders). These high responders had a lower
baseline POBF, lower baseline DBF, and a greater DBF response to thermal
stimulus. CONCLUSION: NTG patients that have a greater than 20% increase in POBF
after a hypercapnic stimulus have lower baseline POBF and DBF values. This
suggests that there is impaired regulation of blood flow in a significant
subgroup of NTG patients. This observation may reflect a generalised dysfunction
of the vascular endothelium.
PMID- 26557668
TI - Is It Time to Beta Block the Septic Patient?
AB - Beta blockers are some of the most studied drugs in the pharmacopoeia. They are
already widely used in medicine for treating hypertension, chronic heart failure,
tachyarrhythmias, and tremor. Whilst their use in the immediate perioperative
patient has been questioned, the use of esmolol in the patients with established
septic shock has been recently reported to have favourable outcomes. In this
paper, we review the role of the adrenergic system in sepsis and the evidence for
the use of beta stimulation and beta blockers from animal models to critically
ill patients.
PMID- 26557669
TI - The Role of Systemic Arterial Stiffness in Open-Angle Glaucoma with Diabetes
Mellitus.
AB - PURPOSE: To investigate the role of systemic arterial stiffness in glaucoma
patients with diabetes mellitus (DM). DESIGN: Retrospective, cross-sectional
study. PARTICIPANTS: DM subjects who underwent brachial-ankle pulse wave velocity
(baPWV) were recruited. METHODS: Glaucoma patients (n = 75) and age-matched
control subjects (n = 92) were enrolled. Systemic examination including BaPWV and
detailed eye examination were performed. The glaucoma group was divided into
subgroups of normal tension glaucoma (NTG, n = 55) and primary open-angle
glaucoma (POAG) based on an IOP of 21 mmHg. BaPWV was used to stratify the
population into 4 groups based on the rate. Stepwise multiple logistic regression
analysis by baPWV quartiles was used to compare the glaucoma group with the
control group. MAIN OUTCOME MEASURES: BaPWV in glaucoma with DM patients.
RESULTS: Faster baPWV was positively associated with glaucoma (odds ratio: 3.74;
95% CI: 1.03-13.56, stepwise multiple logistic regression analysis) in patients
with DM. Increasing baPWV was also positively associated with glaucoma (p for
trend = 0.036). The NTG subgroup showed similar results to those of the glaucoma
group. CONCLUSIONS: In this study, increased arterial stiffness was shown to be
associated with glaucoma and may contribute to the pathogenesis of glaucoma in DM
patients.
PMID- 26557670
TI - Burnout Is Associated with Reduced Parasympathetic Activity and Reduced HPA Axis
Responsiveness, Predominantly in Males.
AB - There is mounting evidence that burnout is a risk factor for cardiovascular
disease (CVD). Stress-related dysregulation of the sympathetic and
parasympathetic system and the hypothalamic pituitary adrenal (HPA) axis may
explain the enhanced risk for CVD. To test this hypothesis, 55 patients (34 males
and 21 females) with burnout on sickness absence and 40 healthy participants (16
males and 24 females) were exposed to a psychosocial stressor consisting of
mental arithmetic and public speech. Physiological variables (i.e., blood
pressure, heart rate, cardiac output, vascular resistance, cortisol, and alpha
amylase) were measured. Basal levels, reactivity, and recovery were compared
between groups. In male patients, baseline systolic blood pressure was higher,
whereas basal alpha-amylase and cortisol reactivity were lower than in healthy
males. In female patients, a tendency for lower basal cortisol was found as
compared to healthy females. Furthermore, reduced basal heart rate variability
and a trend for elevated basal cardiac output were observed in both male and
female patients. Burnout is characterised by dysregulation of the sympathetic and
parasympathetic system and the HPA axis, which was more pronounced in males than
in females. This study further supports burnout as being a risk factor for CVD
through dysregulation of the sympathetic and parasympathetic system and the HPA
axis.
PMID- 26557671
TI - Comparison of Ocular Pulse Amplitude Lowering Effects of Preservative-Free
Tafluprost and Preservative-Free Dorzolamide-Timolol Fixed Combination Eyedrops.
AB - PURPOSE: To compare the ocular pulse amplitude (OPA) lowering effects of
preservative-free tafluprost and dorzolamide-timolol fixed combination (DTFC)
using dynamic contour tonometry. METHODS: In total, 66 eyes of 66 patients with
normal tension glaucoma (NTG) (n = 34) or primary open angle glaucoma (POAG) (n =
32) were included. Patients were divided into two groups: the preservative-free
tafluprost-treated group (n = 33) and the preservative-free DTFC-treated group (n
= 33). Intraocular pressure (IOP) was measured using Goldmann applanation
tonometry (GAT). OPA was measured using dynamic contour tonometry; corrected OPA
(cOPA) was calculated at baseline and at 1 week and 1, 3, and 6 months after
treatment. RESULTS: After 6 months of treatment, tafluprost significantly reduced
IOP (P < 0.001). The OPA lowering effects differed significantly between the two
treatment groups (P = 0.003). The cOPA-lowering effect of tafluprost (1.09 mmHg)
was significantly greater than that of DTFC (0.36 mmHg) after 6 months of
treatment (P = 0.01). CONCLUSIONS: Tafluprost and DTFC glaucoma treatments
provided marked OPA and IOP lowering effects. Tafluprost had a greater effect
than DTFC; thus, this drug is recommended for patients at risk of glaucoma
progression, due to the high OPA caused by large fluctuations in IOP.
PMID- 26557672
TI - Analysis of Hereditary Elliptocytosis with Decreased Binding of Eosin-5-maleimide
to Red Blood Cells.
AB - Flow cytometric test for analyzing the eosin-5-maleimide (EMA) binding to red
blood cells has been believed to be a specific method for diagnosing hereditary
spherocytosis (HS). However, it has been reported that diseases other than HS,
such as hereditary pyropoikilocytosis (HPP) and Southeast Asian ovalocytosis
(SAO), which are forms in the category of hereditary elliptocytosis (HE), show
decreased EMA binding to red blood cells. We analyzed EMA binding to red blood
cells in 101 healthy control subjects and 42 HS patients and obtained a mean
channel fluorescence (MCF) cut-off value of 36.4 (sensitivity 0.97, specificity
0.95). Using this method, we also analyzed 12 HE patients. Among them, four HE
patients showed the MCF at or below the cut-off value. It indicates that some HE
patients have decreased EMA binding to red blood cells. Two of these four HE
patients were classified as common HE, and two were spherocytic HE with reduced
spectrin. This study demonstrates that, in addition to patients with HPP or SAO,
some HE patients have decreased EMA binding to red blood cells.
PMID- 26557673
TI - Ocular Surface and Tear Film Changes in Older Women Working with Computers.
AB - The aim of this work is to investigate changes in the ocular surface (OS) and
tear film (TF) by means of questionnaire-based subjective symptoms, TF break-up
time, Schirmer test, and TF analysis in women working with computers and to
analyze the effects of the oral supplementation with antioxidants/omega 3 fatty
acids (A/omega3) in the OS outcomes. Women aged 40-65 years (n = 148) were
recruited at the Administrative Offices of Valencia (Spain) and distributed into
two age groups, 40-52 years (AGE1; n = 87) and 53-65 years (AGE2; n = 61), and
then subdivided according to being (or not) computer users (CUG; NCUG) during the
workday. Homogeneous subgroups were randomly assigned (or not) to the daily
intake of three pills of A/omega3 for three months. At baseline and at the end of
follow-up, personalized interviews and ocular examination were done. Reflex tear
samples were collected from the inferior meniscus and processed for a multiplexed
particle-based flow cytometry assay to measure proinflammatory molecules.
Statistics were performed using the SPSS 15.0 program. The OS pathology was
clinically evident in the AGE1-CUG (33%) versus the AGE2-CUG (64%) of women.
Significantly higher interleukins-1beta and -6 tear levels were found in the AGE1
versus the AGE2 women employees (P = 0.006 and P = 0.001, resp.), as well as in
the CUG versus the NCUG (P = 0.001 and P = 0.000, resp.). Supplementation with
A/omega3 positively influenced the OS pathology as manifested by the amelioration
of the clinical signs/symptoms related to computer uses. Strategies involving a
safe environment and oral micronutrient supplements may be managed within eye
care standards in older women.
PMID- 26557674
TI - Ocular Manifestations of Ebola Virus Disease: An Ophthalmologist's Guide to
Prevent Infection and Panic.
AB - Ebola virus disease (EVD--formerly known as Ebola hemorrhagic fever) is a severe
hemorrhagic fever caused by lipid-enveloped, nonsegmented, negative-stranded RNA
viruses belonging to the genus Ebolavirus. Case fatality rates may reach up to
76% of infected individuals, making this infection a deadly health problem in the
sub-Saharan population. At the moment, there are still no indications on
ophthalmological clinical signs and security suggestions for healthcare
professionals (doctors and nurses or cooperative persons). This paper provides a
short but complete guide to reduce infection risks.
PMID- 26557675
TI - HPTLC Analysis of Bioactivity Guided Anticancer Enriched Fraction of
Hydroalcoholic Extract of Picrorhiza kurroa.
AB - OBJECTIVE: Hydroalcoholic extract of Picrorhiza kurroa and its fractions were
subjected to in vitro screening for cytotoxicity; further best active fraction
(BAF) obtained was tested against Ehrlich ascites carcinoma (EAC) model in Balb/c
mice after its quality control analysis. METHODS: Cytotoxicities of all the
fractions and mother extract of P. kurroa were determined, using MTT assay on
breast cancer (MCF-7, MDA-MB 231) and cervical cancer (HeLa, SiHa) cell lines.
Metabolic fingerprinting was developed using HPTLC with quantification of
biomarkers (cucurbitacins B and E; betulinic acid; picrosides 1 and 2; and
apocynin) in BAF. The EAC tumor-bearing mice were used for in vivo anticancer
activity after oral administration (50 mg Kg(-1)) for 10 days. RESULTS:
Cytotoxicity assay of mother extract and its fractions over breast cancer and
cervix cancer cell lines showed that dichloromethane (DCM) fraction was most
cytotoxic (IC50 36.0-51.0 ug mL(-1) at 72 h). Oral administration of DCM fraction
showed significant reduction in tumor regression parameters, viable tumor cell
count and restoration of hematological parameters may be due to presence of
cucurbitacins B and E; betulinic acid; picrosides 1 and 2; and apocynin, as
compared to the untreated mice of the control group. CONCLUSION: The DCM fraction
of P. kurroa displayed potent anticancer activity and can be further explored for
the development of a potential candidate for cancer therapy.
PMID- 26557676
TI - Basic Competence of Intensive Care Unit Nurses: Cross-Sectional Survey Study.
AB - Critical care patients benefit from the attention of nursing personnel with a
high competence level. The aim of the study was to describe and evaluate the self
assessed basic competence of intensive care unit nurses and related factors. A
cross-sectional survey design was used. A basic competence scale (Intensive and
Critical Care Nursing Competence Scale version 1, Likert scale 1-5, 1 = poor and
5 = excellent) was employed among Finnish intensive care unit nurses (n = 431).
Intensive care unit nurses' self-assessed basic competence was good (mean 4.19,
SD 0.40). The attitude and value base of basic competence was excellent whereas
experience base was the poorest compared to the knowledge base and skill base of
intensive and critical care nursing. The strongest factor explaining nurses'
basic competence was their experience of autonomy in nursing care (F value 60.85,
beta 0.11, SE 0.01, and P <= 0.0001). Clinical competence was self-rated as good.
Nurses gave their highest competence self-ratings for ICU patient care according
to the principles of nursing care. The ICU nurses also self-rated their
professional competence as good. Collaboration was self-rated as the best
competence. In basic and continuing education and professional self-development
discussions it is meaningful to consider and find solutions for how to improve
nurses' experienced autonomy in nursing.
PMID- 26557678
TI - Prognostic Factors of Returning to Work after Sick Leave due to Work-Related
Common Mental Disorders: A One- and Three-Year Follow-Up Study.
AB - The aim of this paper was to assess the prognostic factors of return to work
(RTW) after one and three years among people on sick leave due to occupational
stress. Methods. The study population comprised 223 completers on sick leave, who
participated in a stress treatment program. Self-reported psychosocial work
environment, life events during the past year, severity of the condition,
occupational position, employment sector, marital status, and medication were
assessed at baseline. RTW was assessed with data from a national compensation
database (DREAM). Results. Self-reported high demands, low decision authority,
low reward, low support from leaders and colleagues, bullying, high global
symptom index, length of sick leave at baseline, and stressful negative life
events during the year before baseline were associated with no RTW after one
year. Low work ability and full-time sick leave at inclusion were predictors
after three years too. Being single was associated with no RTW after three years.
The type of treatment, occupational position, gender, age, and degree of
depression were not associated with RTW after one or three years. Conclusion. The
impact of the psychosocial work environment as predictor for RTW disappeared over
time and only the severity of the condition was a predictor for RTW in the long
run.
PMID- 26557677
TI - Are Auditory Steady-State Responses Useful to Evaluate Severe-to-Profound Hearing
Loss in Children?
AB - OBJECTIVE: To evaluate Auditory Steady-State Responses (ASSR) at high intensities
in pediatric cochlear implant candidates and to compare the results to behavioral
tests responses. METHODS: This prospective study evaluated 42 children with
suspected severe-to-profound hearing loss, aged from 3 to 72 months. All had
absent ABR and OAE responses. ASSR were evoked using binaural single frequency
stimuli at 110 dB HL with a 10 dB down-seeking procedure. ASSR and behavioral
test results were compared. RESULTS: Forty-two subjects completed both ASSR and
behavioral evaluation. Eleven children (26.2%) had bilateral responses. Four
(9.5%) showed unilateral responses in at least two frequencies, all confirmed by
behavioral results. Overall 61 ASSR responses were obtained, most (37.7%) in 500
Hz. Mean thresholds were between 101.3 and 104.2 dB HL. Among 27 subjects with
absent ASSR, fifteen had no behavioral responses. Seven subjects showed
behavioral responses with absent ASSR responses. No spurious ASSR responses were
observed at 100 or 110 dB HL. CONCLUSION: ASSR is a valuable tool to detect
residual hearing. No false-positive ASSR results were observed among 42 children,
but in seven cases with absent ASSR, the test underestimated residual hearing as
compared to the behavioral responses.
PMID- 26557679
TI - Prevalence and Risk Factors of Prostate Cancer in Chinese Men with PSA 4-10 ng/mL
Who Underwent TRUS-Guided Prostate Biopsy: The Utilization of PAMD Score.
AB - PURPOSE: To elucidate the characteristics and risk factors for positive biopsy
outcomes in Chinese patients with prostate specific antigen (PSA) 4-10 ng/mL and
develop a risk-stratification score model. METHODS: The data of 345 patients who
underwent transrectal ultrasound-guided prostate biopsy between 2011 and 2013 was
retrospectively analyzed. Digital rectal examination (DRE), prostate volume (PV),
magnetic resonance imaging (MRI), and smoking status were also collected.
Positive biopsy outcomes were defined as prostate cancer (PCa) and high grade PCa
(HGPCa, Gleason Score >= 7). RESULTS: The median PSA was 7.15 (IQR 5.91-8.45)
ng/mL. Overall 138 patients (40.0%) were shown to have PCa, including 100
patients (29.0%) with HGPCa. Smaller PV, elder age, MRI results, and positive DRE
were proved to be predictive factors for positive biopsy outcomes in both
univariate and multivariate analysis. We developed a "PAMD" score which combined
the four factors to categorize patients into three risk groups, and the model
performed good predictive sensitivity and specificity. CONCLUSION: The prevalence
of prostate cancer in Chinese patients with PSA 4-10 ng/mL was 40%, including 29%
patients with high grade disease. DRE, age, MRI, and PV were predictive factors
for positive biopsy outcomes, and the PAMD score model could be utilized for risk
stratification and decision-making.
PMID- 26557680
TI - Electrical Bioimpedance Spectroscopy on Acute Unilateral Stroke Patients: Initial
Observations regarding Differences between Sides.
AB - PURPOSE: Electrical Bioimpedance Cerebral Monitoring is assessment in real time
of health of brain tissue through study of passive dielectric properties of
brain. During the last two decades theory and technology have been developed in
parallel with animal experiments aiming to confirm feasibility of using
bioimpedance-based technology for prompt detection of brain damage. Here, for the
first time, we show that electrical bioimpedance measurements for left and right
hemispheres are significantly different in acute cases of unilateral stroke
within 24 hours from onset. METHODS: Electrical BIS measurements have been taken
in healthy volunteers and patients suffering from acute stroke within 24 hours of
onset. BIS measurements have been obtained using SFB7 bioimpedance spectrometer
manufactured by Impedimed ltd. and 4-electrode method. Measurement electrodes,
current, and voltage have been placed according to 10-20 EEG system obtaining
mutual BIS measurements from 4 different channels situated in pairs symmetrically
from the midsagittal line. Obtained BIS data has been analyzed, assessing for
symmetries and differences regarding healthy control data. RESULTS: 7 out of 10
patients for Side-2-Side comparisons and 8 out 10 for central/lateral comparison
presented values outside the range defined by healthy control group. When
combined only 1 of 10 patients exhibited values within the healthy range.
CONCLUSIONS: If these initial observations are confirmed with more patients, we
can foresee emerging of noninvasive monitoring technology for brain damage with
the potential to lead to paradigm shift in treatment of brain stroke and
traumatic brain damage.
PMID- 26557681
TI - Effects of Computer-Aided Manufacturing Technology on Precision of Clinical Metal
Free Restorations.
AB - PURPOSE: The purpose of this study was to investigate the marginal fit of metal
free crowns made by three different computer-aided design/computer-aided
manufacturing (CAD/CAM) systems. MATERIALS AND METHODS: The maxillary left first
premolar of a dentiform was prepared for all-ceramic crown restoration. Thirty
all-ceramic premolar crowns were made, ten each manufactured by the Lava system,
Cercon, and Cerec. Ten metal ceramic gold (MCG) crowns served as control. The
marginal gap of each sample was measured under a stereoscopic microscope at 75x
magnification after cementation. One-way ANOVA and the Duncan's post hoc test
were used for data analysis at the significance level of 0.05. RESULTS: The mean
(standard deviation) marginal gaps were 70.5 (34.4) MUm for the MCG crowns, 87.2
(22.8) MUm for Lava, 58.5 (17.6) MUm for Cercon, and 72.3 (30.8) MUm for Cerec.
There were no significant differences in the marginal fit among the groups except
that the Cercon crowns had significantly smaller marginal gaps than the Lava
crowns (P < 0.001). CONCLUSIONS: Within the limitation of this study, all the
metal-free restorations made by the digital CAD/CAM systems had clinically
acceptable marginal accuracy.
PMID- 26557682
TI - Curcumin Enhanced Busulfan-Induced Apoptosis through Downregulating the
Expression of Survivin in Leukemia Stem-Like KG1a Cells.
AB - Leukemia relapse and nonrecurrence mortality (NRM) due to leukemia stem cells
(LSCs) represent major problems following hematopoietic stem cell transplantation
(HSCT). To eliminate LSCs, the sensitivity of LSCs to chemotherapeutic agents
used in conditioning regimens should be enhanced. Curcumin (CUR) has received
considerable attention as a result of its anticancer activity in leukemia and
solid tumors. In this study, we investigated the cytotoxic effects and underlying
mechanisms in leukemia stem-like KG1a cells exposed to busulfan (BUS) and CUR,
either alone or in combination. KG1a cells exhibiting BUS-resistance demonstrated
by MTT and annexin V/propidium iodide (PI) assays, compared with HL-60 cells. CUR
induced cell growth inhibition and apoptosis in KG1a cells. Apoptosis of KG1a
cells was significantly enhanced by treatment with CUR+BUS, compared with either
agent alone. CUR synergistically enhanced the cytotoxic effect of BUS. Seven
apoptosis-related proteins were modulated in CUR- and CUR+BUS-treated cells
analyzed by proteins array analysis. Importantly, the antiapoptosis protein
survivin was significantly downregulated, especially in combination group.
Suppression of survivin with specific inhibitor YM155 significantly increased the
susceptibility of KG1a cells to BUS. These results demonstrated that CUR could
increase the sensitivity of leukemia stem-like KG1a cells to BUS by
downregulating the expression of survivin.
PMID- 26557683
TI - Origins of the Vertebrate Erythro/Megakaryocytic System.
AB - Vertebrate erythrocytes and thrombocytes arise from the common bipotent
thrombocytic-erythroid progenitors (TEPs). Even though nonmammalian erythrocytes
and thrombocytes are phenotypically very similar to each other, mammalian species
have developed some key evolutionary improvements in the process of erythroid and
thrombocytic differentiation, such as erythroid enucleation, megakaryocyte
endoreduplication, and platelet formation. This brings up a few questions that we
try to address in this review. Specifically, we describe the ontology of erythro
thrombopoiesis during adult hematopoiesis with focus on the phylogenetic origin
of mammalian erythrocytes and thrombocytes (also termed platelets). Although the
evolutionary relationship between mammalian and nonmammalian erythroid cells is
clear, the appearance of mammalian megakaryocytes is less so. Here, we discuss
recent data indicating that nonmammalian thrombocytes and megakaryocytes are
homologs. Finally, we hypothesize that erythroid and thrombocytic differentiation
evolved from a single ancestral lineage, which would explain the striking
similarities between these cells.
PMID- 26557684
TI - Analysis of Retinal Peripapillary Segmentation in Early Alzheimer's Disease
Patients.
AB - Decreased thickness of the retinal nerve fiber layer (RNFL) may reflect retinal
neuronal-ganglion cell death. A decrease in the RNFL has been demonstrated in
Alzheimer's disease (AD) in addition to aging by optical coherence tomography
(OCT). Twenty-three mild-AD patients and 28 age-matched control subjects with
mean Mini-Mental State Examination 23.3 and 28.2, respectively, with no ocular
disease or systemic disorders affecting vision, were considered for study. OCT
peripapillary and macular segmentation thickness were examined in the right eye
of each patient. Compared to controls, eyes of patients with mild-AD patients
showed no statistical difference in peripapillary RNFL thickness (P > 0.05);
however, sectors 2, 3, 4, 8, 9, and 11 of the papilla showed thinning, while in
sectors 1, 5, 6, 7, and 10 there was thickening. Total macular volume and RNFL
thickness of the fovea in all four inner quadrants and in the outer temporal
quadrants proved to be significantly decreased (P < 0.01). Despite the fact that
peripapillary RNFL thickness did not statistically differ in comparison to
control eyes, the increase in peripapillary thickness in our mild-AD patients
could correspond to an early neurodegeneration stage and may entail the existence
of an inflammatory process that could lead to progressive peripapillary fiber
damage.
PMID- 26557685
TI - Physicochemical Aspects of the Plasmodium chabaudi-Infected Erythrocyte.
AB - Membrane electrochemical potential is a feature of the molecular profile of the
cell membrane and the two-dimensional arrangement of its charge-bearing
molecules. Plasmodium species, the causative agents of malaria, are intracellular
parasites that remodel host erythrocytes by expressing their own proteins on
erythrocyte membranes. Although various aspects of the modifications made to the
host erythrocyte membrane have been extensively studied in some human Plasmodium
species (such as Plasmodium falciparum), details of the structural and molecular
biological modifications made to host erythrocytes by nonhuman Plasmodium
parasites have not been studied. We employed zeta potential analysis of
erythrocytes parasitized by P. chabaudi, a nonhuman Plasmodium parasite. From
these measurements, we found that the surface potential shift was more negative
for P. chabaudi-infected erythrocytes than for P. falciparum-infected
erythrocytes. However, electron microscopic analysis of the surface of P.
chabaudi-infected erythrocytes did not reveal any modifications as compared with
nonparasitized erythrocytes. These results suggest that differences in the
membrane modifications found herein represent unique attributes related to the
pathogenesis profiles of the two different malaria parasite species in different
host animals and that these features have been acquired through parasite
adaptations acquired over long evolutionary time periods.
PMID- 26557686
TI - Associations between Distal Upper Extremity Job Physical Factors and Psychosocial
Measures in a Pooled Study.
AB - INTRODUCTION: There is an increasing body of literature relating musculoskeletal
diseases to both job physical exposures and psychosocial outcomes. Relationships
between job physical exposure measures and psychosocial factors have not been
well examined or quantified. These exploratory analyses evaluate relationships
between quantified exposures and psychosocial outcomes. METHODS: Individualized
quantification of duration, repetition, and force and composite scores of the
Strain Index (SI) and the Threshold Limit Value for Hand Activity Level (TLV for
HAL) were compared to 10 psychosocial measures. Relationships and predicted
probabilities were assessed using ordered logistic regression. Analyses were
adjusted for age, BMI, and gender. RESULTS AND DISCUSSION: Among 1834 study
participants there were multiple statistically significant relationships. In
general, as duration, repetition, and force increased, psychosocial factors
worsened. However, general health and mental exhaustion improved with increasing
job exposures. Depression was most strongly associated with increased repetition,
while physical exhaustion was most strongly associated with increased force. SI
and TLV for HAL were significantly related to multiple psychosocial factors.
These relationships persisted after adjustment for strong confounders.
CONCLUSION: This study quantified multiple associations between job physical
exposures and occupational and nonoccupational psychosocial factors. Further
research is needed to quantify the impacts on occupational health outcomes.
PMID- 26557687
TI - Consequences of Job Insecurity on the Psychological and Physical Health of Greek
Civil Servants.
AB - The aim of this study was to estimate the short term consequences of job
insecurity associated with a newly introduced mobility framework in Greece. In
specific, the study examined the impact of job insecurity on anxiety, depression,
and psychosomatic and musculoskeletal symptoms, two months after the announcement
of the mobility framework. In addition the study also examined the "spill over"
effects of job insecurity on employees not directly affected by the mobility
framework. Personal interviews using a structured questionnaire were conducted
for 36 university administrative employees awaiting repositioning, 36 coworkers
not at risk, and 28 administrative employees of a local hospital not at risk.
Compared to both control groups the employees in the anticipation phase of labor
mobility had significantly worse scores for perceived stress, anxiety,
depression, positive affect, negative affect, social support, marital discord,
common somatic symptoms, and frequency of musculoskeletal pain. This study
highlights the immediate detrimental effects of job insecurity on the physical,
psychological, and social functioning of employees. There is a need for the
development of front line interventions to prevent these effects from developing
into chronic conditions with considerable cost for the individual and society in
general.
PMID- 26557688
TI - Nonword Repetition and Speech Motor Control in Children.
AB - This study examined how familiarity of word structures influenced articulatory
control in children and adolescents during repetition of real words (RWs) and
nonwords (NWs). A passive reflective marker system was used to track articulator
movement. Measures of accuracy were obtained during repetition of RWs and NWs,
and kinematic analysis of movement duration and variability was conducted.
Participants showed greater consonant and vowel accuracy during RW than NW
repetition. Jaw movement duration was longer in NWs compared to RWs across age
groups, and younger children produced utterances with longer jaw movement
duration compared to older children. Jaw movement variability was consistently
greater during repetition of NWs than RWs in both groups of participants. The
results indicate that increases in phonological short-term memory demands affect
articulator movement. This effect is most pronounced in younger children. A range
of skills may develop during childhood, which supports NW repetition skills.
PMID- 26557689
TI - Reproducibility of Neonate Ocular Circulation Measurements Using Laser Speckle
Flowgraphy.
AB - Measuring the ocular blood flow in neonates may clarify the relationships between
eye diseases and ocular circulation abnormalities. However, no method for
noninvasively measuring ocular circulation in neonates is established. We used
laser speckle flowgraphy (LSFG) modified for neonates to measure their ocular
circulation and investigated whether this method is reproducible. During their
normal sleep, we studied 16 subjects (adjusted age of 34-48 weeks) whose blood
flow could be measured three consecutive times. While the subjects slept in the
supine position, three mean blur rate (MBR) values of the optic nerve head (ONH)
were obtained: the MBR-A (mean of all values), MBR-V (vessel mean), and MBR-T
(tissue mean), and nine blood flow pulse waveform parameters in the ONH were
examined. We analyzed the coefficient of variation (COV) and the intraclass
correlation coefficient (ICC) for each parameter. The COVs of the MBR values were
all <= 10%. The ICCs of the MBR values were all >0.8. Good COVs were observed for
the blowout score, blowout time, rising rate, falling rate, and acceleration time
index. Although the measurement of ocular circulation in the neonates was
difficult, our results exhibited reproducibility, suggesting that this method
could be used in clinical research.
PMID- 26557690
TI - Acoustic Correlates of Compensatory Adjustments to the Glottic and Supraglottic
Structures in Patients with Unilateral Vocal Fold Paralysis.
AB - The goal of this study was to analyse perceptually and acoustically the voices of
patients with Unilateral Vocal Fold Paralysis (UVFP) and compare them to the
voices of normal subjects. These voices were analysed perceptually with the GRBAS
scale and acoustically using the following parameters: mean fundamental frequency
(F0), standard-deviation of F0, jitter (ppq5), shimmer (apq11), mean harmonics-to
noise ratio (HNR), mean first (F1) and second (F2) formants frequency, and
standard-deviation of F1 and F2 frequencies. Statistically significant
differences were found in all of the perceptual parameters. Also the jitter,
shimmer, HNR, standard-deviation of F0, and standard-deviation of the frequency
of F2 were statistically different between groups, for both genders. In the male
data differences were also found in F1 and F2 frequencies values and in the
standard-deviation of the frequency of F1. This study allowed the documentation
of the alterations resulting from UVFP and addressed the exploration of
parameters with limited information for this pathology.
PMID- 26557691
TI - PLK-1 Targeted Inhibitors and Their Potential against Tumorigenesis.
AB - Mitotic kinases are the key components of the cell cycle machinery and play vital
roles in cell cycle progression. PLK-1 (Polo-like kinase-1) is a crucial mitotic
protein kinase that plays an essential role in both the onset of G2/M transition
and cytokinesis. The overexpression of PLK-1 is strongly correlated with a wide
spectrum of human cancers and poor prognosis. The (si)RNA-mediated depletion of
PLK-1 arrests tumor growth and triggers apoptosis in cancer cells without
affecting normal cells. Therefore, PLK-1 has been selected as an attractive
anticancer therapeutic drug target. Some small molecules have been discovered to
target the catalytic and noncatalytic domains of PLK-1. These domains regulate
the catalytic activation and subcellular localization of PLK-1. However, while
PLK-1 inhibitors block tumor growth, they have been shown to cause severe adverse
complications, such as toxicity, neutropenia, and bone marrow suppression during
clinical trials, due to a lack of selectivity and specificity within the human
kinome. To minimize these toxicities, inhibitors should be tested against all
protein kinases in vivo and in vitro to enhance selectivity and specificity
against targets. Here, we discuss the potency and selectivity of PLK-1-targeted
inhibitors and their molecular interactions with PLK-1 domains.
PMID- 26557693
TI - Workplace Bullying as a Risk Factor for Musculoskeletal Disorders: The Mediating
Role of Job-Related Psychological Strain.
AB - Workplace bullying is considered by the European Agency for Safety and Health at
Work one of the emerging psychosocial risk factors that could negatively affect
workers' health. Thus, the aim of this study was to analyze the process that
leads from bullying to negative health (such as musculoskeletal disorders
(MSDs)), testing the mediating role of job-related strain. Data were collected on
512 workers (62.9% female; mean age = 43.6 years) of a retail chain who filled in
a self-report questionnaire after a one-hour training session on work-related
stress. Data analyses were performed controlling for potentially confounding
variables (i.e., gender, age, organizational role, type of contract, and
perceived physical job demands). Preacher and Hayes analytical approach was used
to test the indirect relationship between bullying and MSDs. Results showed that
work-related strain mediates the relationship between bullying and MSDs
considered (low back, upper back, and neck) except for MSDs of the shoulders. Our
study confirms the role played by bullying and job-related strain in determining
workers' MSDs.
PMID- 26557692
TI - Estimating the Impact of Workplace Bullying: Humanistic and Economic Burden among
Workers with Chronic Medical Conditions.
AB - BACKGROUND: Although the prevalence of work-limiting diseases is increasing, the
interplay between occupational exposures and chronic medical conditions remains
largely uncharacterized. Research has shown the detrimental effects of workplace
bullying but very little is known about the humanistic and productivity cost in
victims with chronic illnesses. We sought to assess work productivity losses and
health disutility associated with bullying among subjects with chronic medical
conditions. METHODS: Participants (N = 1717) with chronic diseases answered a
self-administered survey including sociodemographic and clinical data, workplace
bullying experience, the SF-12 questionnaire, and the Work Productivity Activity
Impairment questionnaire. RESULTS: The prevalence of significant impairment was
higher among victims of workplace bullying as compared to nonvictims (SF-12 PCS:
55.5% versus 67.9%, p < 0.01; SF-12 MCS: 59.4% versus 74.3%, p < 0.01). The
adjusted marginal overall productivity cost of workplace bullying ranged from
13.9% to 17.4%, corresponding to Italian Purchase Power Parity (PPP) 2010 US$
4182-5236 yearly. Association estimates were independent and not moderated by
concurrent medical conditions. CONCLUSIONS: Our findings demonstrate that the
burden on workers' quality of life and productivity associated with workplace
bullying is substantial. This study provides key data to inform policy-making and
prioritize occupational health interventions.
PMID- 26557694
TI - The Changes of Retinal Saturation after Long-Term Tamponade with Silicone Oil.
AB - PURPOSE: To evaluate the effects of long-term tamponade with silicone oil on
retinal saturation. METHODS: A total of 49 eyes that received tamponade with
silicone oil were included. The patients were divided into 3 groups (3-6 months,
6-9 months, and >9 months) according to the duration of silicone oil tamponade.
Retinal oximetry was performed using the Oxymap system before and 2 months after
silicone oil removal. RESULTS: The mean retinal oxygen saturation before silicone
oil removal was 107% +/- 12% in the arterioles and 60% +/- 10% in the venules,
with an overall arteriovenous difference (AVD) of 47% +/- 14%. The AVD in the >9
month group was significantly higher than that in the 3-6-month group (54% +/-
16% versus 44% +/- 11%, P = 0.042). After silicone oil removal, the AVD in the >9
month group was significantly decreased (45% +/- 9% versus 54% +/- 16%, P =
0.009); additionally, the arterioles were significantly wider than before surgery
(10.8 +/- 0.7 pixels versus 10.4 +/- 0.9 pixels, P = 0.015). CONCLUSIONS: The
tamponade with silicone oil for more than 9 months will cause the alterations of
retinal saturation and the narrowing of retinal arterioles, which may further
interfere with the oxygen metabolism in the retina.
PMID- 26557695
TI - Diverse of Erythropoiesis Responding to Hypoxia and Low Environmental Temperature
in Vertebrates.
AB - Erythrocytes are responsible for transporting oxygen to tissue and are essential
for the survival of almost all vertebrate animals. Circulating erythrocyte counts
are tightly regulated and respond to erythrocyte mass and oxygen tension. Since
the discovery of erythropoietin, the erythropoietic responses to environment and
tissue oxygen tension have been investigated in mice and human. Moreover, it has
recently become increasingly clear that various environmental stresses could
induce the erythropoiesis via various modulating systems, while all vertebrates
live in various environments and habitually adapt to environmental stress.
Therefore, it is considered that investigations of erythropoiesis in vertebrates
provide a lead to the various erythropoietic responses to environmental stress.
This paper comparatively introduces the present understanding of erythropoiesis
in vertebrates. Indeed, there is a wide range of variations in vertebrates'
erythropoiesis. This paper also focused on erythropoietic responses to
environmental stress, hypoxia, and lowered temperature in vertebrates.
PMID- 26557696
TI - Stem Cell Therapy in Injured Vocal Folds: A Three-Month Xenograft Analysis of
Human Embryonic Stem Cells.
AB - We have previously shown that human embryonic stem cell (hESC) therapy to injured
rabbit vocal folds (VFs) induces human tissue generation with regained VF
vibratory capacity. The aims of this study were to test the sustainability of
such effect and to what extent derivatives of the transplanted hESCs are
propagated in the VFs. The VFs of 14 New Zealand rabbits were injured by a
localized resection. HESCs were transplanted to 22 VFs which were analyzed for
persistence of hESCs after six weeks and after three months. At three months, the
VFs were also analyzed for viscoelasticity, measured as dynamic viscosity and
elastic modulus, for the lamina propria (Lp) thickness and relative content of
collagen type I. Three months after hESC cell therapy, the dynamic viscosity and
elastic modulus of the hESC treated VFs were similar to normal controls and lower
than untreated VFs (p <= 0.011). A normalized VF architecture, reduction in
collagen type I, and Lp thickness were found compared with untreated VFs (p <=
0.031). At three months, no derivatives of hESCs were detected. HESCs
transplanted to injured rabbit VFs restored the vibratory characteristics of the
VFs, with maintained restored function for three months without remaining hESCs
or derivatives.
PMID- 26557697
TI - Helicobacter pylori CagA Suppresses Apoptosis through Activation of AKT in a
Nontransformed Epithelial Cell Model of Glandular Acini Formation.
AB - H. pylori infection is the most important environmental risk to develop gastric
cancer, mainly through its virulence factor CagA. In vitro models of CagA
function have demonstrated a phosphoprotein activity targeting multiple cellular
signaling pathways, while cagA transgenic mice develop carcinomas of the
gastrointestinal tract, supporting oncogenic functions. However, it is still not
completely clear how CagA alters cellular processes associated with carcinogenic
events. In this study, we evaluated the capacity of H. pylori CagA positive and
negative strains to alter nontransformed MCF-10A glandular acini formation. We
found that CagA positive strains inhibited lumen formation arguing for an evasion
of apoptosis activity of central acini cells. In agreement, CagA positive strains
induced a cell survival activity that correlated with phosphorylation of AKT and
of proapoptotic proteins BIM and BAD. Anoikis is a specific type of apoptosis
characterized by AKT and BIM activation and it is the mechanism responsible for
lumen formation of MCF-10A acini in vitro and mammary glands in vivo. Anoikis
resistance is also a common mechanism of invading tumor cells. Our data support
that CagA positive strains signaling function targets the AKT and BIM signaling
pathway and this could contribute to its oncogenic activity through anoikis
evasion.
PMID- 26557698
TI - Antiproliferative Activity of T. welwitschii Extract on Jurkat T Cells In Vitro.
AB - Triumfetta welwitschii is a plant used traditionally for the treatment of fever
and diarrhoea. Previous work has shown that T. welwitschii has antibacterial
activity. The purpose of this study was to investigate T. welwitschii extract for
anticancer activity against Jurkat T cells. The Jurkat T cell line is used to
study acute T cell leukaemia. An antiproliferation assay, determination of
induction of apoptosis, the determination of the effect of the combination of the
extract and GSH, and effects of the extract on DNA leakage were conducted. T.
welwitschii was found to decrease cell viability in a dose- and time-dependent
manner. T. welwitschii caused apoptosis in the Jurkat T cells as shown by DNA
fragmentation. When T. welwitschii was combined with reduced GSH, it was found
that the growth of the Jurkat T cells was significantly reduced compared to
untreated cells after 72 h of treatment. This was unexpected, as cancer cells
have elevated levels of GSH compared to normal cells. The results of this study
show that T. welwitschii is a potential source of compounds that may serve as
leads for anticancer compounds.
PMID- 26557699
TI - Job Strain and Self-Reported Insomnia Symptoms among Nurses: What about the
Influence of Emotional Demands and Social Support?
AB - Job strain, derived from high psychological demands and low job control, is
associated with insomnia, but information on the role of emotional demands and
social support in this relationship is scarce. The aims of this study were (i) to
test the association between job strain and self-reported insomnia symptoms, (ii)
to evaluate the combination of emotional demands and job control regarding
insomnia symptoms, and (iii) to analyze the influence of social support in these
relationships. This cross-sectional study refers to a sample of nurses (N = 3,013
and N = 3,035 for Job Strain and Emotional demand-control model, resp.) working
at public hospitals in Rio de Janeiro, Brazil. Data were collected through a self
report questionnaire. The prevalence of insomnia symptoms was 34.3%. Job strain
was associated with increased odds for insomnia symptoms (OR: 2.20); the same
result was observed with the combination of emotional demands and low job control
(OR: 1.99). In both models, the inclusion of low social support combined with
high demands and low job control led to increased odds for insomnia symptoms,
compared to groups with high social support from coworkers and supervisors.
Besides job strain, the study of emotional demands and social support are
promising with regards to insomnia symptoms, particularly among nurses.
PMID- 26557700
TI - Treatment of Hemorrhagic Vocal Polyps by Pulsed Dye Laser-Assisted
Laryngomicrosurgery.
AB - OBJECTIVE: Conventional surgical techniques of laryngomicrosurgery (LMS) on
hemorrhagic vocal polyps are often difficult due to obscuration of the surgical
field by inadvertent bleeding from the lesion, and there are often significant
amounts of mucosal epithelium loss. Here, we introduce our surgical technique
using pulsed dye laser (PDL), which can effectively resect the polyp with vocal
fold mucosa preservation. METHODS: Patients who were diagnosed with hemorrhagic
vocal polyp and who were surgically managed using PDL from March 2013 to October
2014 were retrospectively reviewed. Preoperative and postoperative clinical
outcomes and surgical findings were evaluated. RESULTS: A total of 39 patients
were treated with PDL-assisted enucleation LMS. The average age was 43.7 years
(range 20-73), and there were 20 males and 19 females (17 professional voice
users). In all cases, the hemorrhagic polyp was successfully enucleated after
application of PDL, thereby preserving the overlying epithelium. Postoperative
voice outcomes were favorable with clear preservation of the vocal fold mucosal
wave. CONCLUSION: PDL-assisted enucleation LMS for the treatment of hemorrhagic
vocal polyps can be a safe and effective surgical technique. It can be considered
a promising treatment option for hemorrhagic vocal polyps.
PMID- 26557701
TI - Over-the-Counter Hearing Aids: A Lost Decade for Change.
AB - BACKGROUND: Hearing aids sold directly to consumers in retail stores or through
the internet, without individual prescription by audiological professionals, are
termed over-the-counter (OTC) devices. This study aimed to determine whether
there was any change in the electroacoustic characteristics of OTC devices
compared to research carried out a decade earlier. The previous results indicated
that most OTC devices were low-frequency-emphasis devices and were unsuitable for
elderly people with presbycusis, who were likely to be the major consumers of
these products. METHODS: Ten OTC devices were selected and their electroacoustic
performance was measured. Appropriate clients for the OTC devices were derived,
using four linear prescription formulae, and OTC suitability for elderly persons
with presbycusis was investigated. RESULTS: OTC electroacoustic characteristics
were similar to those in the earlier study. Most OTC devices were not
acoustically appropriate for potential consumers with presbycusis. Although
several of the devices could match prescriptive targets for individuals with
presbycusis, their poor electroacoustic performance--including ineffective volume
control function, high equivalent input noise, and irregular frequency response-
may override their potential benefit. CONCLUSION: The low-cost OTC devices were
generally not suitable for the main consumers of these products, and there has
been little improvement in the appropriateness of these devices over the past
decade.
PMID- 26557702
TI - The Association between Primary Open-Angle Glaucoma and Blood Pressure: Two
Aspects of Hypertension and Hypotension.
AB - Glaucoma is the second leading cause of blindness worldwide. Although the
mechanism of the development of primary open-angle glaucoma (POAG) is not fully
understood, elevated intraocular pressure (IOP) is considered the most important
risk factor. Several vascular factors have also been identified as risk factors
and can lead to hypoperfusion of the optic nerve head and thus may play an
important role in the pathogenesis and progression of POAG. The results of the
present study suggest that both high and low blood pressure (BP) are associated
with an increased risk of POAG based on a comprehensive literature review.
Elevated BP is associated with elevated IOP, leading to increased risk of
glaucoma, but excessive BP lowering in glaucoma patients may cause a drop in
ocular perfusion pressure (OPP) and subsequent ischemic injury. The relationship
between IOP, OPP, and BP suggests that the relationship between BP and glaucoma
progression is U-shaped.
PMID- 26557703
TI - Effects of a Workplace Intervention Targeting Psychosocial Risk Factors on Safety
and Health Outcomes.
AB - The goal of this study was to test the effectiveness of a workplace intervention
targeting work-life stress and safety-related psychosocial risk factors on health
and safety outcomes. Data were collected over time using a randomized control
trial design with 264 construction workers employed in an urban municipal
department. The intervention involved family- and safety-supportive supervisor
behavior training (computer-based), followed by two weeks of behavior tracking
and a four-hour, facilitated team effectiveness session including supervisors and
employees. A significant positive intervention effect was found for an objective
measure of blood pressure at the 12-month follow-up. However, no significant
intervention results were found for self-reported general health, safety
participation, or safety compliance. These findings suggest that an intervention
focused on supervisor support training and a team effectiveness process for
planning and problem solving should be further refined and utilized in order to
improve employee health with additional research on the beneficial effects on
worker safety.
PMID- 26557704
TI - Antiproliferative and Antiestrogenic Activities of Bonediol an Alkyl Catechol
from Bonellia macrocarpa.
AB - The purpose of this study was to investigate antiproliferative activity of
bonediol, an alkyl catechol isolated from the Mayan medicinal plant Bonellia
macrocarpa. Bonediol was assessed for growth inhibition of androgen-sensitive
(LNCaP), androgen-insensitive (PC-3), and metastatic androgen-insensitive (PC-3M)
human prostate tumor cells; toxicity on normal cell line (HEK 293) was also
evaluated. Hedgehog pathway was evaluated and competitive 3H-estradiol ligand
binding assay was performed. Additionally, antioxidant activity on Nrf2-ARE
pathway was evaluated. Bonediol induced a growth inhibition on prostate cancer
cell lines (IC50 from 8.5 to 20.6 uM). Interestingly, bonediol binds to both
estrogen receptors (ERalpha (2.5 uM) and ERbeta (2.1 uM)) and displaces the
native ligand E2 (17beta-estradiol). No significant activity was found in the
Hedgehog pathway. Additionally, activity of bonediol on Nrf2-ARE pathway
suggested that bonediol could induce oxidative stress and activation of
detoxification enzymes at 1 uM (3.8-fold). We propose that the compound bonediol
may serve as a potential chemopreventive treatment with therapeutic potential
against prostate cancer.
PMID- 26557705
TI - Effect and Mechanism of Total Flavonoids Extracted from Cotinus coggygria against
Glioblastoma Cancer In Vitro and In Vivo.
AB - Flavonoids, a major constituent of Cotinus coggygria (CC), have been reported to
possess diverse biological activities, including antigenotoxic and
hepatoprotective effects; however, few studies have investigated the biological
activity of the total flavonoids of Cotinus coggygria, especially in terms of its
cytotoxicity in cancer cells. In the present study, the Cotinus coggygria
flavonoids (CCF) were extracted from Cotinus coggygria and characterized by HPLC.
These results indicated that CCF extracts could inhibit cell proliferation, with
IC50 values of 128.49 ug/mL (U87), 107.62 ug/mL (U251), and 93.57 ug/mL (DBTRG
05MG). The current investigation also revealed that CCF induced apoptosis in
highly malignant glioblastoma cells, a process that apparently involved the
inhibition of Akt coupled with ERK protein expression. This finding suggests that
the PI3K/Akt-ERK signaling pathway is regulated by CCF and leads to the
inhibition of the glioblastoma cancer cells. Furthermore, a significant antitumor
effect of CCF was observed in xenograft animal models of glioblastoma multiforme
in vivo. Taken together, these data suggest that CCF is the active component in
the Cotinus coggygria plant that offers potential therapeutic modality in the
abrogation of cancer cell proliferation, including the induction of apoptosis.
PMID- 26557706
TI - Activity of BKM120 and BEZ235 against Lymphoma Cells.
AB - Non-Hodgkin lymphomas encompass a heterogeneous group of cancers, with 85-90%
arising from B lymphocytes and the remainder deriving from T lymphocytes or NK
lymphocytes. These tumors are molecularly and clinically heterogeneous, showing
dramatically different responses and outcomes with standard therapies.
Deregulated PI3K signaling is linked to oncogenesis and disease progression in
hematologic malignancies and in a variety of solid tumors and apparently enhances
resistance to antineoplastic therapy, resulting in a poor prognosis. Here, we
have evaluated and compared the effects of the pan-PI3K inhibitor BKM120 and the
dual PI3K/mTOR inhibitor BEZ235 on mantle, follicular, and T-cell lymphomas. Our
results suggest that BKM120 and BEZ235 can effectively inhibit lymphoma cell
proliferation by causing cell cycle arrest and can lead to cell death by inducing
apoptosis and autophagy mediated by ROS accumulation. Despite great advances in
lymphoma therapy after the introduction of monoclonal antibodies, many patients
still die from disease progression. Therefore, novel treatment approaches are
needed. BKM120 and BEZ235 alone and in combination are very effective against
lymphoma cells in vitro. If further studies confirm their effectiveness in animal
models, they may be promising candidates for development as new drugs.
PMID- 26557707
TI - Phylogenetic and Ontogenetic View of Erythroblastic Islands.
AB - Erythroblastic islands are a hallmark of mammalian erythropoiesis consisting of a
central macrophage surrounded by and interacting closely with the maturing
erythroblasts. The macrophages are thought to serve many functions such as
supporting erythroblast proliferation, supplying iron for hemoglobin, promoting
enucleation, and clearing the nuclear debris; moreover, inhibition of
erythroblastic island formation is often detrimental to erythropoiesis. There is
still much not understood about the role that macrophages and microenvironment
play in erythropoiesis and insights may be gleaned from a comparative analysis
with erythropoietic niches in nonmammalian vertebrates which, unlike mammals,
have erythrocytes that retain their nucleus. The phylogenetic development of
erythroblastic islands in mammals in which the erythrocytes are anucleate
underlines the importance of the macrophage in erythroblast enucleation.
PMID- 26557708
TI - Symptoms of Posttraumatic Stress after Intensive Care Delirium.
AB - INTRODUCTION: Long-term psychological consequences of critical illness are
receiving more attention in recent years. The aim of our study was to assess the
correlation of ICU-delirium and symptoms of posttraumatic stress disorder (PTSD)
anxiety and depression after ICU-discharge in a Danish cohort. METHODS: A
prospective observational cohort study assessing the incidence of delirium in the
ICU. Psychometrics were screened by validated tools in structured telephone
interviews after 2 months (n = 297) and 6 months (n = 248) after ICU-discharge.
RESULTS: Delirium was detected in 54% of patients in the ICU and symptoms of PTSD
in 8% (2 months) and 6% (6 months) after ICU-discharge. Recall of ICU stay was
present in 93%. Associations between ICU-delirium and post-discharge PTSD
symptoms were weak and insignificant. Memories of delusions were significantly
associated with anxiety after two months. Remaining associations between types of
ICU-memories and prevalence of post-discharge symptoms of PTSD, anxiety, and
depression were insignificant after adjusting for age. Incidence of ICU-delirium
was unaffected by preadmission use of psychotropic drugs. Prevalence of PTSD
symptoms was unaffected by use of antipsychotics and sedation in the ICU.
CONCLUSION: ICU-delirium did not increase the risk of PTSD-symptoms at 2 and 6
months after ICU discharge.
PMID- 26557709
TI - Diagnostic Accuracy of Nonmydriatic Fundus Photography for the Detection of
Glaucoma in Diabetic Patients.
AB - PURPOSE: To determine the diagnostic accuracy for glaucoma of a set of criteria
with nonmydriatic monoscopic fundus photography (NMFP) in diabetics. METHODS:
Diabetics recruited from a screening program for diabetic retinopathy and
diabetic glaucoma patients recruited from our glaucoma unit were included. Any
patient with evidence of diabetic retinopathy was excluded. Diabetic patients had
to have no visual field defects to be included as controls. Glaucoma patients had
to have a glaucomatous field defect in at least one eye to be included. One NMFP
was taken per eye for all subjects. These photographs were evaluated by two
masked glaucoma specialists for the presence of the following: bilateral cup to
disc (C/D) ratio >= 0.6, notching or thinning of the neuroretinal rim, disc
hemorrhages, and asymmetry in the C/D ratio between both eyes >= 0.2. This
evaluation led to a dichotomous classification: if any of the above criteria was
present, the patient was classified as glaucoma. If none were present, the
patient was classified as normal. RESULTS: 72 control subjects and 72 glaucoma
patients were included. Evaluation of NMFP had a sensitivity of 79.17% and a
specificity of 80.56% for specialist 1 and a sensitivity of 72.22% and a
specificity of 88.88% for specialist 2 for the detection of glaucoma. The overall
accuracy was 79.83% and 80.55%, respectively. DISCUSSION: NMFP evaluation by a
glaucoma specialist may be useful for the detection of glaucoma in diabetics.
PMID- 26557710
TI - A Fast Semiautomatic Algorithm for Centerline-Based Vocal Tract Segmentation.
AB - Vocal tract morphology is an important factor in voice production. Its analysis
has potential implications for educational matters as well as medical issues like
voice therapy. The knowledge of the complex adjustments in the spatial geometry
of the vocal tract during phonation is still limited. For a major part, this is
due to difficulties in acquiring geometry data of the vocal tract in the process
of voice production. In this study, a centerline-based segmentation method using
active contours was introduced to extract the geometry data of the vocal tract
obtained with MRI during sustained vowel phonation. The applied semiautomatic
algorithm was found to be time- and interaction-efficient and allowed performing
various three-dimensional measurements on the resulting model. The method is
suitable for an improved detailed analysis of the vocal tract morphology during
speech or singing which might give some insights into the underlying mechanical
processes.
PMID- 26557711
TI - Long-Term Monitoring of Physical Behavior Reveals Different Cardiac Responses to
Physical Activity among Subjects with and without Chronic Neck Pain.
AB - BACKGROUND: We determined the extent to which heart rate variability (HRV)
responses to daily physical activity differ between subjects with and without
chronic neck pain. METHOD: Twenty-nine subjects (13 women) with chronic neck pain
and 27 age- and gender-matched healthy controls participated. Physical activity
(accelerometry), HRV (heart rate monitor), and spatial location (Global
Positioning System (GPS)) were recorded for 74 hours. GPS data were combined with
a diary to identify periods of work and of leisure at home and elsewhere. Time-
and frequency-domain HRV indices were calculated and stratified by period and
activity type (lying/sitting, standing, or walking). ANCOVAs with multiple
adjustments were used to disclose possible group differences in HRV. RESULTS: The
pain group showed a reduced HRV response to physical activity compared with
controls (p = .001), according to the sympathetic-baroreceptor HRV index (LF/HF,
ratio between low- and high-frequency power), even after adjustment for leisure
time physical activity, work stress, sleep quality, mental health, and aerobic
capacity (p = .02). The parasympathetic response to physical activity did not
differ between groups. CONCLUSIONS: Relying on long-term monitoring of physical
behavior and heart rate variability, we found an aberrant sympathetic
baroreceptor response to daily physical activity among subjects with chronic neck
pain.
PMID- 26557712
TI - Trigonella foenum (Fenugreek) Induced Apoptosis in Hepatocellular Carcinoma Cell
Line, HepG2, Mediated by Upregulation of p53 and Proliferating Cell Nuclear
Antigen.
AB - Hepatocellular carcinoma (HCC) is one of the most common cancers worldwide and
most current therapies are of limited efficacy. Trigonella foenum (Fenugreek) is
a traditional herbal plant with antitumor activity, although the mechanisms of
its activity remain unclear. Herein, a crude methanol extract was prepared from
Fenugreek seeds (FCE) and its anticancer mechanism was evaluated, using HepG2
cell line. Growth-inhibitory effect and apoptosis induction of HepG2 cells were
evidenced by MTT assay, cell morphology alteration, apoptosis enzyme-linked
immunosorbent assay, flow cytometric analysis, caspase-3 activity, and expression
of p53, proapoptotic protein, Bax, and proliferating cell nuclear antigen (PCNA)
after (100 ~ 500 MUg/mL) FCE treatment for 48 h. Furthermore, FCE was analyzed by
Chromatography-Mass Spectrometry (GC/MS). Our results revealed that FCE treatment
for 48 h showed a cytotoxic effect and apoptosis induction in a dose-dependent
manner that was mediated by upregulation of p53, Bax, PCNA, and caspase-3
activation in HepG2 cells. GC-MS analysis of FCE showed the presence of fourteen
bioactive compounds such as Terpenoids and Flavonoids, including two main
constituents with anticancer activity, Squalene and Naringenin (27.71% and
24.05%), respectively. Our data introduced FCE as a promising nontoxic herbal
with therapeutic potential to induce apoptosis in HepG2 cells through p53, Bax,
and PCNA upregulation in caspase-3 dependent manner.
PMID- 26557713
TI - Garcinia dulcis Fruit Extract Induced Cytotoxicity and Apoptosis in HepG2 Liver
Cancer Cell Line.
AB - Garcinia dulcis or locally known in Malaysia as "mundu" belongs to the family of
Clusiaceae. The study was conducted to investigate the anticancer potential of
different parts of G. dulcis fruit extracts and their possible mechanism of
action in HepG2 liver cancer cell line. MTT assay showed that the peel, flesh,
and seed extracts of G. dulcis induced cytotoxicity in HepG2 cell line with IC50
values of 46.33 +/- 4.51, 38.33 +/- 3.51, and 7.5 +/- 2.52 ug/mL, respectively.
The flesh extract of G. dulcis induced cell cycle arrest at sub-G1 (apoptosis)
phase in a time-dependent manner. Staining with Annexin V-FITC and propidium
iodide showed that 41.2% of the cell population underwent apoptosis after 72
hours of exposure of the HepG2 cell line to G. dulcis flesh extract. Caspase-3
has been shown to be activated which finally leads to the death of HepG2 cell
(apoptosis). GC-MS analysis showed that the highest percentage of compound
identified in the extract of G. dulcis flesh was hydroxymethylfurfural and 3
methyl-2,5-furandione, together with xanthones and flavonoids (based on
literature), could synergistically contribute to the observed effects. This
finding suggested that the flesh extract of G. dulcis has its own potential as
cancer chemotherapeutic agent against liver cancer cell.
PMID- 26557714
TI - Models of Workplace Incivility: The Relationships to Instigated Incivility and
Negative Outcomes.
AB - The aim of the study was to investigate workplace incivility as a social process,
examining its components and relationships to both instigated incivility and
negative outcomes in the form of well-being, job satisfaction, turnover
intentions, and sleeping problems. The different components of incivility that
were examined were experienced and witnessed incivility from coworkers as well as
supervisors. In addition, the organizational factors, social support, control,
and job demands, were included in the models. A total of 2871 (2058 women and 813
men) employees who were connected to the Swedish Hotel and Restaurant Workers
Union completed an online questionnaire. Overall, the results from structural
equation modelling indicate that whereas instigated incivility to a large extent
was explained by witnessing coworker incivility, negative outcomes were to a high
degree explained by experienced supervisor incivility via mediation through
perceived low social support, low control, and high job demands. Unexpectedly,
the relationships between incivility (experienced coworker and supervisor
incivility, as well as witnessed supervisor incivility) and instigated incivility
were moderated by perceived high control and high social support. The results
highlight the importance of including different components of workplace
incivility and organizational factors in future studies of the area.
PMID- 26557715
TI - Impaired Circulating Angiogenic Cells Mobilization and Metalloproteinase-9
Activity after Dynamic Exercise in Early Metabolic Syndrome.
AB - Increased levels of adhesion molecules or metalloproteinases (MMPs) may indicate
endothelial dysfunction. Exercise mobilizes circulating angiogenic cells (CACs)
from bone marrow in healthy subjects, improving vascular function. However, it is
unclear whether this mechanism is preserved in the early stages of metabolic
syndrome (early MetS). We aimed to evaluate the acute effects of exercise on
adhesion molecules, angiogenic factors, MMPs, and CACs in early MetS. Fifteen
subjects with early MetS and nine healthy controls underwent an exercise session
and a nonexercise session, randomly. Adhesion molecules, angiogenic factors,
CACs, and MMPs were evaluated before and after exercise or nonexercise sessions.
At baseline, levels of sE-selectin, sICAM-1, and MMP-9 were higher in early MetS
than in controls (P <= 0.03). After exercise, sE-selectin, sICAM-1, and MMP-9
levels were still higher in early MetS (P < 0.05). Subjects with early MetS
presented less CACs (P = 0.02) and higher MMP-9 activity (P <= 0.04), while
healthy controls presented higher MMP-2 activity after exercise. There was no
difference between moments in nonexercise session (P > 0.05). In conclusion,
subjects with early MetS already presented impaired endothelial function at rest
along with a decrease in CACs and an increase in MMP-9 activity in response to
exercise.
PMID- 26557716
TI - Real-Time Analysis of Temperature Changes in Composite Increments and Pulp
Chamber during Photopolymerization.
AB - OBJECTIVE: The aim of this study was to evaluate the temperature change at
various sites within the composite and on the pulpal side of dentin during
polymerization of two composite increments. MATERIALS AND METHODS: Class I
cavities prepared in third molars were restored in two composite increments (n =
5). Temperatures were measured for 110 s using eight thermocouples: bottom center
of cavity (BC), top center of 1st increment (MC), top center of 2nd increment
(TC), bottom corner of cavity (BE), top corner of 1st increment (ME), top corner
of 2nd increment (TE), pulpal side of dentin (PD), and center of curing light
guide tip (CL). RESULTS: Maximum temperature values ( degrees C) measured during
polymerization of 1st increment were MC (59.8); BC (52.8); ME (51.3); CL (50.7);
BE (48.4); and PD (39.8). Maximum temperature values during polymerization of 2nd
increment were TC 58.5; TE (52.6); MC (51.7); CL (50.0); ME (48.0); BC (46.7); BE
(44.5); and PD (38.8). CONCLUSION: Temperature at the floor of the cavity was
significantly higher during polymerization of 1st increment compared to 2nd
increment. Temperature rise was higher at the center than at the corner and at
the top surface than at the bottom surface of each increment.
PMID- 26557717
TI - Spoken Word Recognition Errors in Speech Audiometry: A Measure of Hearing
Performance?
AB - This report provides a detailed analysis of incorrect responses from an open-set
spoken word-repetition task which is part of a Dutch speech audiometric test
battery. Single-consonant confusions were analyzed from 230 normal hearing
participants in terms of the probability of choice of a particular response on
the basis of acoustic-phonetic, lexical, and frequency variables. The results
indicate that consonant confusions are better predicted by lexical knowledge than
by acoustic properties of the stimulus word. A detailed analysis of the
transmission of phonetic features indicates that "voicing" is best preserved
whereas "manner of articulation" yields most perception errors. As consonant
confusion matrices are often used to determine the degree and type of a patient's
hearing impairment, to predict a patient's gain in hearing performance with
hearing devices and to optimize the device settings in view of maximum output,
the observed findings are highly relevant for the audiological practice. Based on
our findings, speech audiometric outcomes provide a combined auditory-linguistic
profile of the patient. The use of confusion matrices might therefore not be the
method best suited to measure hearing performance. Ideally, they should be
complemented by other listening task types that are known to have less linguistic
bias, such as phonemic discrimination.
PMID- 26557718
TI - Towards a Job Demands-Resources Health Model: Empirical Testing with
Generalizable Indicators of Job Demands, Job Resources, and Comprehensive Health
Outcomes.
AB - Studies using the Job Demands-Resources (JD-R) model commonly have a
heterogeneous focus concerning the variables they investigate-selective job
demands and resources as well as burnout and work engagement. The present study
applies the rationale of the JD-R model to expand the relevant outcomes of job
demands and job resources by linking the JD-R model to the logic of a generic
health development framework predicting more broadly positive and negative
health. The resulting JD-R health model was operationalized and tested with a
generalizable set of job characteristics and positive and negative health
outcomes among a heterogeneous sample of 2,159 employees. Applying a theory
driven and a data-driven approach, measures which were generally relevant for all
employees were selected. Results from structural equation modeling indicated that
the model fitted the data. Multiple group analyses indicated invariance across
six organizations, gender, job positions, and three times of measurement. Initial
evidence was found for the validity of an expanded JD-R health model. Thereby
this study contributes to the current research on job characteristics and health
by combining the core idea of the JD-R model with the broader concepts of
salutogenic and pathogenic health development processes as well as both positive
and negative health outcomes.
PMID- 26557719
TI - Inhibition of PI3K Signalling Selectively Affects Medulloblastoma Cancer Stem
Cells.
AB - Medulloblastoma is the most common malignant brain tumor of childhood. Although
survival has slowly increased in the past years, the prognosis of these patients
remains unfavourable. In this context, it has been recently shown that the
intracellular signaling pathways activated during embryonic cerebellar
development are deregulated in MDB. One of the most important is PI3K/AKT/mTOR,
implicated in cell proliferation, survival, growth, and protein synthesis.
Moreover, a fraction of MDB cells has been shown to posses stemlike features, to
express typical neuronal precursor markers (Nestin and CD133), and to be
maintained by the hypoxic cerebellar microenvironment. This subpopulation of MDB
cells is considered to be responsible for treatment resistance and recurrence. In
this study, we evaluated the effects of PI3K/AKT pathway inhibition on primary
cultures of MDB and particularly on the cancer stem cell (CSC) population
(CD133(+)). PI3K inhibition was able to counteract MDB cell growth and to promote
differentiation of stemlike MDB cells. Moreover, PI3K/AKT pathway suppression
induced dramatic cell death through activation of the mitochondrial proapoptotic
cascade. Finally, analysis on the stem cells fraction revealed that the MDB CSC
population is more sensitive to PI3K targeting compared to the whole cancerous
population and its nonstem cell counterpart.
PMID- 26557720
TI - A Cross-Sectional Study Demonstrating Increased Serum Amyloid A Related
Inflammation in High-Density Lipoproteins from Subjects with Type 1 Diabetes
Mellitus and How this Association Was Augmented by Poor Glycaemic Control.
AB - Inflammatory atherosclerosis is increased in subjects with type 1 diabetes
mellitus (T1DM). Normally high-density lipoproteins (HDL) protect against
atherosclerosis; however, in the presence of serum amyloid-A- (SAA-) related
inflammation this property may be reduced. Fasting blood was obtained from fifty
subjects with T1DM, together with fifty age, gender and BMI matched control
subjects. HDL was subfractionated into HDL2 and HDL3 by rapid
ultracentrifugation. Serum-hsCRP and serum-, HDL2-, and HDL3-SAA were measured by
ELISAs. Compared to control subjects, SAA was increased in T1DM subjects,
nonsignificantly in serum (P = 0.088), and significantly in HDL2(P = 0.003) and
HDL3(P = 0.005). When the T1DM group were separated according to mean HbA1c
(8.34%), serum-SAA and HDL3-SAA levels were higher in the T1DM subjects with
HbA1c >= 8.34%, compared to when HbA1c was <8.34% (P < 0.05). Furthermore,
regression analysis illustrated, that for every 1%-unit increase in HbA1c, SAA
increased by 20% and 23% in HDL2 and HDL3, respectively, independent of BMI.
HsCRP did not differ between groups (P > 0.05). This cross-sectional study
demonstrated increased SAA-related inflammation in subjects with T1DM that was
augmented by poor glycaemic control. We suggest that SAA is a useful inflammatory
biomarker in T1DM, which may contribute to their increased atherosclerosis risk.
PMID- 26557721
TI - HTLV-1 ORF-I Encoded Proteins and the Regulation of Host Immune Response: Viral
Induced Dysregulation of Intracellular Signaling.
AB - The human T-cell lymphotropic virus type 1 (HTLV-1) is a retrovirus associated
with both proliferative and inflammatory disorders. This virus causes a
persistent infection, mainly in CD4+ T lymphocyte. The ability to persist in the
host is associated with the virus capacity to evade the immune response and to
induce infected T-cell proliferation, once the HTLV-1 maintains the infection
mainly by clonal expansion of infected cells. There are several evidences that
ORF-I encoded proteins, such as p12 and p8, play an important role in this
context. The present study will review the molecular mechanisms that HTLV-1 ORF-I
encoded proteins have to induce dysregulation of intracellular signaling, in
order to escape from immune response and to increase the infected T-cell
proliferation rate. The work will also address the impact of ORF-I mutations on
the human host and perspectives in this study field.
PMID- 26557722
TI - Respiratory Syncytial Virus Nonstructural Proteins Upregulate SOCS1 and SOCS3 in
the Different Manner from Endogenous IFN Signaling.
AB - Respiratory syncytial virus (RSV) infection upregulates genes of the suppressor
of cytokine signaling (SOCS) family, which utilize a feedback loop to inhibit
type I interferon dependent antiviral signaling pathway. Here, we reconstituted
RSV nonstructural (NS) protein expression plasmids (pNS1, pNS2, and pNS1/2) and
tested whether NS1 or NS2 would trigger SOCS1 and SOCS3 protein expression. These
NS proteins inhibited interferon- (IFN-) alpha signaling through a mechanism
involving the induction of SOCS1 and SOCS3, which appeared to be different from
autocrine IFN dependent. NS1 induced both SOCS1 and SOCS3 upregulation, while NS2
only induced SOCS1 expression. The induced expression of SOCS1 and SOCS3 preceded
endogenous IFN-signaling activation and inhibited the IFN-inducible antiviral
response as well as chemokine induction. Treatments with INF-alpha and NS
proteins both induced SOCS1 expression; however, they had opposing effects on IFN
alpha-dependent antiviral gene expression. Our results indicate that NS1 and NS2,
which induce the expression of SOCS1 or SOCS3, might represent an independent
pathway of stimulating endogenous IFN signaling.
PMID- 26557723
TI - Prophylactic mRNA Vaccination against Allergy Confers Long-Term Memory Responses
and Persistent Protection in Mice.
AB - Recently, mRNA vaccines have been introduced as a safety-optimized alternative to
plasmid DNA-based vaccines for protection against allergy. However, it remained
unclear whether the short persistence of this vaccine type would limit memory
responses and whether the protective immune response type would be maintained
during recurrent exposure to allergen. We tested the duration of protective
memory responses in mice vaccinated with mRNA encoding the grass pollen allergen
Phl p 5 by challenging them with recombinant allergen, 3.5, 6, and 9 months after
vaccination. In a second experiment, vaccinated mice were repeatedly challenged
monthly with aerosolized allergen over a period of 7 months. Antibody and
cytokine responses as well as lung inflammation and airway hyperresponsiveness
were assessed. mRNA vaccination induced robust TH1 memory responses for at least
9 months. Vaccination efficiently suppressed TH2 cytokines, IgE responses, and
lung eosinophilia. Protection was maintained after repeated exposure to
aerosolized allergen and no TH1 associated pathology was observed. Lung function
remained improved compared to nonvaccinated controls. Our data clearly indicate
that mRNA vaccination against Phl p 5 induces robust, long-lived memory
responses, which can be recalled by allergen exposure without side effects. mRNA
vaccines fulfill the requirements for safe prophylactic vaccination without the
need for booster immunizations.
PMID- 26557725
TI - Foley Catheter versus Vaginal Misoprostol for Labour Induction.
AB - Objectives. To compare the efficacy and safety of intravaginal misoprostol with
transcervical Foley catheter for labour induction. Material and Methods. One
hundred and four women with term gestation, with Bishop score < 4, and with
various indications for labour induction were randomly divided into two groups.
In Group I, 25 MUg of misoprostol tablet was placed intravaginally, 4 hourly up
to maximum 6 doses. In Group II, Foley catheter 16F was placed through the
internal os of the cervix under aseptic condition and then inflated with 50 cc of
sterile saline. Statistical analysis was done using SPSS software. Results. The
induction to delivery interval was 14.03 +/- 7.61 hours versus 18.40 +/- 8.02
hours (p < 0.01). The rate of vaginal delivery was 76.7% versus 56.8% in
misoprostol and transcervical Foley catheter group, respectively. Uterine
hyperstimulation was more common with misoprostol. Neonatal outcome was similar
in both the groups. Conclusion. Intravaginal misoprostol is associated with a
shorter induction to delivery interval as compared to Foley's catheter and it
increases the rate of vaginal delivery in cases of unripe cervix at term.
Transcervical Foley catheter is associated with a lower incidence of uterine
hyperstimulation during labour.
PMID- 26557724
TI - Integrating Patient-Reported Outcome Measures into Routine Cancer Care: Cancer
Patients' and Clinicians' Perceptions of Acceptability and Value.
AB - INTRODUCTION: Despite growing interest in integrating patient-reported outcome
(PRO) measures of symptoms and functional status into routine cancer care, little
attention has been paid to patients' and clinicians' perceptions of acceptability
and value. METHODS: A two-phase qualitative study was conducted to develop a web
based PRO screening system with 21 items assessing symptoms (e.g., nausea) and
functional status. Phase 1 involved cognitive interviews with 35 cancer
outpatients (n=9 breast chemotherapy, radiation for prostate (n=8) or head and
neck cancer (n=10), and n=8 bone marrow transplant [BMT]). In Phase 2, we
evaluated the acceptability and perceived value of reviewing a PRO measure during
real-time clinical encounters with 39 additional outpatients (n=10 breast, n=9
head and neck, n=10 prostate, n=10 BMT) and 12 clinicians (n=3 breast, n=2 head
and neck, n=4 prostate, n=3 BMT). At least 20% of patients were >=60 years,
African American, or <= high school. RESULTS: Patients felt that their PRO
summary of symptoms and functional status was helpful in discussing health issues
with clinicians (92%), wanted to review their results with clinicians during
future visits (82%), and would recommend it to other patients (87%). Clinicians
found the PRO summary to be easy to interpret (83%), most helpful for documenting
the Review of Symptoms (92%), and would recommend it to future patients (92%).
Over 90% of clinicians reported that consultation time did not increase.
CONCLUSION: Both cancer patients and clinicians reported that discussing a PRO
summary of symptoms and functional status during an outpatient visit was useful,
acceptable, and feasible.
PMID- 26557726
TI - Incidence, Risk Factors, Prognosis, and Electrophysiological Mechanisms of Atrial
Arrhythmias after Lung Transplantation.
AB - OBJECTIVE: To investigate incidence and timing, risk factors, prognostic
significance, and electrophysiological mechanisms of atrial arrhythmia (AA) after
lung transplantation. BACKGROUND: Although new-onset AA is common after thoracic
surgery and is associated with poorer outcomes, prognostic and mechanistic data
is sparse in lung transplant populations. METHOD: A total of 293 consecutive
isolated lung transplant recipients without known AA were retrospectively
reviewed. Mean follow-up was 28+/-17 months. Electrophysiology studies (EPS) were
performed in 25 patients with AA. RESULTS: The highest incidence of new-onset AA
after lung transplantation occurred within 30 days postoperative AA, (25 % of all
patients). In multivariable analysis, postoperative AA was associated with double
lung transplantation (OR 2.79; p=0.005) and lower mean pulmonary artery pressure
(OR 0.95; p=0.027). Patients with postoperative AA had longer hospital stays (21
days vs 12 days; p<0.001). Postoperative AA was independently associated with
late AA (HR 13.52; p<0.001) but not mortality (HR 1.55; p=0.14). In EPS, there
were 14 patients with atrial flutter alone and 11 with atrial flutter and
fibrillation. Of all EPS patients, 20 (80%) had multiple AA mechanisms, including
peritricuspid flutter (48%), perimitral flutter (36%), right atrial incisional
reentry (24%), focal tachycardia from recipient pulmonary vein (PV) antrum (32
%), focal PV fibrillation (24%), and left atrial roof flutter (20%). Left atrial
mechanisms were present in 80% (20/25) of EPS patients and originated from the
anastomotic PV antrum. CONCLUSIONS: Postoperative AA was independently associated
with longer length of stay and late AA but not mortality. Pleomorphic PV antral
arrhythmogenesis from native PV antrum is the main cause of AA after lung
transplantation.
PMID- 26557727
TI - THE EFFECT OF GLUCOCORTICOIDS ON BONE AND MUSCLE.
AB - This article examines the current knowledge of the effects of both exogenous and
endogenous glucocorticoids on bone and muscle. It demonstrates the similarity of
effects of supraphysiologic loads of glucocorticoids regardless of whether they
enter the body in the form of medication or are manufactured by the body in
response to stimuli such as inflammation. The effects of endogenous
glucocorticoids and the systemic inflammatory response resulting from pediatric
burn injury are compared and the difficulty in sorting out which of the two
factors is responsible for the ultimate effects on bone and muscle is pointed
out. The focus then switches to the body's response to the influence of both
glucocorticoids and inflammatory cytokines and evidence supporting a common
pathway of response to oxidative damage caused by both is discussed. Current
recommended medical management of glucocorticoid-induced bone and muscle loss is
discussed and the failure to reconcile current management with known mechanisms
is highlighted.
PMID- 26557728
TI - Is It Possible to Differentiate Chronic Kidney Disease and Preeclampsia by means
of New and Old Biomarkers? A Prospective Study.
AB - OBJECTIVE: Chronic kidney disease (CKD) and preeclampsia (PE) may both present
with hypertension and proteinuria in pregnancy. Our objective is to test the
possibility of distinguishing CKD from PE by means of uteroplacental flows and
maternal circulating sFlt-1/PlGF ratio. DESIGN: Prospective analysis. POPULATION:
Seventy-six patients (35 CKD, 24 PE, and 17 other hypertensive disorders), with
at least one sFlt-1/PlGF and Doppler evaluation after the 20th gestational week.
METHODS: Maternal sFlt-1-PlGF were determined by immunoassays. Abnormal uterine
artery Doppler was defined as resistance index >= 0.58. Umbilical Doppler was
defined with gestational-age-adjusted Pulsatility Index. Clinical diagnosis was
considered as reference. Performance of Doppler study was assessed by sensitivity
analysis; sFlt-1/PlGF cut-off values were determined by ROC curves. RESULTS: The
lowest sFlt-1/PlGF ratio (8.29) was detected in CKD, the highest in PE (317.32)
(P < 0.001). Uteroplacental flows were mostly preserved in CKD patients in
contrast to PE (P < 0.001). ROC analysis suggested two cut-points: sFlt-1/PlGF >=
32.81 (sensitivity 82.93%; specificity 91.43%) and sFlt-1/PlGF >= 78.75
(sensitivity 62.89%, specificity 97.14%). Specificity reached 100% at sFlt-1/PlGF
>= 142.21 (sensitivity: 48.8%). Early-preterm delivery was associated with higher
sFlt-1/PlGF ratio and abnormal uteroplacental flows relative to late-preterm and
term deliveries. CONCLUSIONS: sFlt-1/PlGF ratio and uteroplacental flows
significantly correlated with PE or CKD and preterm delivery.
PMID- 26557730
TI - LLLT for Female Infertility: No Longer Just a Dream.
PMID- 26557729
TI - Effects of Long-Term Statin Therapy in Coronary Artery Disease Patients with or
without Chronic Kidney Disease.
AB - INTRODUCTION: The effect of long-term statin therapy is essential for secondary
prevention of adverse clinical outcomes of coronary artery disease (CAD)
patients. No study has compared the effects of long-term statin treatment in CAD
patients with or without chronic kidney disease (CKD) and CKD only patients.
METHODS: We compared the effects of long-term statin therapy (average follow-up
time 5.79 years) in terms of major adverse cardiovascular events (MACE), all
cause death, and cardiac death among 570 CAD patients with or without CKD and 147
CKD only patients. RESULTS: The all-cause death and cardiac death of the patients
with CAD and CKD (24.4% and 20.4%) doubled those of CAD only patients (10.7% and
9.1%) (P < 0.001). Long-term statin therapy dramatically reduced the rates of
both MACE and all-cause death/cardiac death (by 20.5% and 28.6%/27.7%, resp.) in
CAD and CKD patients. CKD only patients had no significant adverse clinical
outcomes and were not responsive to long-term statin therapy. CONCLUSION: Chinese
CAD patients with CKD had dramatically high rates of adverse clinical outcomes;
for them, long-term statin therapies were exceptionally effective in improving
morbidity and mortality. CKD patients who had no cardiovascular disease initially
can prognose good clinical outcomes and do not require statin treatment.
PMID- 26557731
TI - Effects of the bleaching procedures on enamel micro-hardness: Plasma Arc and
diode laser comparison.
AB - BACKGROUND AND AIMS: One of the major side effects of vital bleaching is the
reduction of enamel micro-hardness. The purpose of this study was to evaluate the
influence of two different bleaching systems, Plasma Arc and GaAlAs laser, on the
enamel micro-hardness. MATERIALS AND METHODS: 15 freshly extracted human third
molars were sectioned to prepare 30 enamel blocks (5*5 mm). These samples were
then randomly divided into 2 groups of 15 each (n=15): a plasma arc bleaching
group (: 350-700 nm) + 35% Hydrogen Peroxide whitening gel and a laser bleaching
group (GaAlAs laser, lambda: 810 nm, P: 10 W, CW, Special Tip) + 35% Hydrogen
Peroxide whitening gel. Samples were subjected to the Vickers micro-hardness test
(VHN) at a load of 50 g for 15s before and after treatment. Data were
statistically analyzed by a Mann-Whitney test (p<=0.05). RESULTS: In the GaAlAs
laser group, the enamel micro-hardness was 618.2 before and was reduced to 544.6
after bleaching procedures. In the plasma arc group, the enamel micro-hardness
was 644.8 before and 498.9 after bleaching. Although both techniques
significantly reduced VHN, plasma arc bleaching resulted in a 22.62% reduction in
VHN for enamel micro-hardness, whereas an 11.89% reduction in VHN was observed
for laser bleaching; this difference is statistically significant (p<0.001).
CONCLUSION: Both bleaching techniques reduced enamel micro-hardness, although the
reduction is much less significant with the GaAlAs laser than with the plasma
arc. Therefore GaAlAs laser bleaching has fewer harmful effects than plasma arc
in respect to enamel micro-hardness reduction.
PMID- 26557732
TI - Effect of Stress-Free Therapy on immune system: Induction of Interleukin 10
expression in lymphocytes through activation of CD19(+) CD24(hi) CD38(hi)
regulatory B Cells.
AB - BACKGROUND AND AIMS: Mild thermal treatment with "Pinpoint Plantar Long
wavelength Infrared Light Irradiation (PP-LILI)" named as Stress-Free
Therapy((r)) increases peripheral-deep body temperature and blood flow, and
improves multiple disorders including hyperpiesia, type II diabetes and
cardiovascular patients. Immunomodulatory effects of PP-LILI were investigated.
MATERIALS AND METHODS: Seven healthy individuals and 4 people with underlying
medical condition (UMC) participated in this study. Participants were given PP
LILI stimuli twice a week over 3 weeks and followed with placebo stimuli over 3
weeks. This set of sessions was repeated 3 times. For analyses, fresh peripheral
mononuclear cells from participants were stained with fluorescencedye conjugated
monoclonal antibodies and changes in populational compositions and IL-10
expression levels were observed by flow cytometry. RESULTS: Distinct expression
of IL-10 in lymphocytes was induced by PP-LILI from the second session in the
healthy individuals. This induction was terminated during the following placebo
sessions. PP-LILI induced activation of CD19(+) CD24(hi) CD38(hi) regulatory B
cells in every session prior to induce the IL-10 in major lymphocytes. Activated
regulatory B cells in the individuals with UMC decreased as same levels of
healthy individuals after second PP-LILI session and re-activated with the
stimuli. Significant population changes in neither regulatory T cells nor
proinflammatory IL-17A expressing CD4(+) T cells were observed. CONCLUSIONS: PP
LILI is a potent immunomodulatory inducer that activates regulatory B cells and
consequent IL-10 expression in lymphocytes. Moreover, its stimulatory intervals
down-regulate the higher activation of regulatory B cells and lymphocyte's IL-10
expression occurred by UMC to the healthy people's level.
PMID- 26557733
TI - Er:YAG laser dentistry in special needs patients.
AB - OBJECTIVE: Between a quarter and a third of adults with intellectual disability
is estimated to have dental anxiety. Unpleasant stimuli, such as the injection of
local anaesthesia or the noise and vibration of rotary instruments, may provoke
anxiety and subsequent low compliance until the opposition to the treatment. The
use of Er:YAG laser in conservative dentistry had a great development in these
last years thank to new devices and also to their advantages when compared to the
conventional instruments. The aim of this clinical study was to show the
advantages of the Er:YAG laser in the conservative treatment of Special Care
patients. METHODS: Four cases are here described to show the Er:YAG laser use in
our Unit on special needs patients. RESULTS AND CONCLUSIONS: Based on the
experience gained on conservative laser-assisted treatments performed in a time
of 5 years at our Dentistry, Special Needs and Maxillo-Facial Surgery Unit we may
affirm that Er:YAG laser may be considered as a good way to improve the
cooperation, to reduce anxiety related to rotating instruments and to reach
better results with equal or shorter operating times.
PMID- 26557734
TI - Efficacy of low level laser therapy on painful diabetic peripheral neuropathy.
AB - BACKGROUND & AIMS: Diabetic peripheral neuropathy (DPN) accounts for most common
complications of T2DM. Painful DPN is associated with functional limitation &
poor quality of life. Therefore, objective of the study is to find the effect of
low level laser therapy on painful diabetic peripheral neuropathy (DPN) in type 2
diabetes mellitus (T2DM) Materials & methods: The study design is pre-post
observational design. After obtaining ethical clearance and informed consent, 19
T2DM subjects were screened and confirmed for peripheral neuropathy in an
outpatient setting with biochemical parameter, pain scale and Michigan Neuropathy
Screening Instrument (MNSI). Low Level Laser therapy was irradiated through
scanning mode with dosage of 3.1J/cm(2) on the plantar and dorsum of the foot and
3.4j/cm(2) with contact method for 10days and all subjects were reassessed at the
end of the 10 day. Descriptive statistics and paired' test was used to analyze
the pre-post finding within the group. Level of significance was set at p<0.05
RESULTS: The result analysis showed significant reduction in Pain using VAS scale
(6.47 +/- 0.84 to 1.21 +/- 0.78 (p<0.001), MNSI (5.52 +/- 1.26 to 2.71 +/- 0.97
(reduction in Vibration perception threshold (32.68 +/- 6.08 to 24.84 +/- 4.29
(<0.001) and a significant increase in the temperature from baseline to post
intervention (30.01 +/- 2.11 to 31.75 +/- 1.03 (p<0. 001). CONCLUSION: In the
present study, Low level laser therapy was found to be effective in type 2 DM
with peripheral neuropathy.
PMID- 26557735
TI - Modulation of inflammatory response of wounds by antimicrobial photodynamic
therapy.
AB - BACKGROUND AND AIMS: Management of infections caused by Pseudomonas aeruginosa is
becoming difficult due to the rapid emergence of multi-antibiotic resistant
strains. Antimicrobial photodynamic therapy (APDT) has a lot of potential as an
alternative approach for inactivation of antibiotic resistant bacteria. In this
study we report results of our investigations on the effect of poly-L-lysine
conjugate of chlorine p6 (pl-cp6) mediated APDT on the healing of P.aeruginosa
infected wounds and the role of Nuclear Factor kappa B (NF-kB) induced
inflammatory response in this process. MATERIALS AND METHOD: Excisional wounds
created in Swiss albino mice were infected with ~10(7) colony forming units of
P.aeruginosa. Mice with wounds were divided into three groups: 1) Uninfected, 2)
Infected, untreated control (no light, no pl-cp6), 3) Infected, APDT. After 24 h
of infection (day 1 post wounding), the wounds were subjected to APDT [pl-cp6
applied topically and exposed to red light (660 +/- 25 nm) fluence of ~ 60
J/cm(2)]. Subsequent to APDT, on day 2 and 5 post wounding (p.w), measurements
were made on biochemical parameters of inflammation [toll like receptor-4 (TLR
4), NF-kB, Inteleukin (IL)-[1alpha, IL-beta, and IL-2)] and cell proliferation
[(fibroblast growth factor-2 (FGF-2), alkaline phosphatase (ALP)]. RESULTS: In
comparison with untreated control, while expression of TLR-4, NF-kB (p105 and
p50), and proinflammatory interleukins (IL-1alpha, IL-1beta,IL-2) were reduced in
the infected wounds subjected to APDT, the levels of FGF-2 and ALP increased, on
day 5 p.w. CONCLUSION: The measurements made on the inflammatory markers and cell
proliferation markers suggest that APDT reduces inflammation caused by
P.aeruginosa and promotes cell proliferation in wounds.
PMID- 26557736
TI - Low level nitrogen laser therapy in pulmonary tuberculosis.
AB - BACKGROUND AND AIMS: WHO estimated 9 million new Tuberculosis cases and 1.5
million TB deaths in 2013. Globally 480000 Multi drug resistant tuberculosis
cases were noted and majority of them were in India, China and Russian
federation. Multi drug resistant tuberculosis cases are difficult to treat and
have high mortality. Presently, it was aimed to assess prevalence of drug
resistance in M. tuberculosis isolates in Central India, to check the in-vitro
effect of N2 Laser on M. tuberculosis and to study the therapeutic effect of
intra cavitary N2 laser on pulmonary Tuberculosis cases not responding to
chemotherapy. MATERIALS AND METHODS: Drug sensitivity testing was carried out on
567 isolates of M. tuberculosis by proportion method. To check the effect of N2
laser on M. tuberculosis, suspension spread on LJ plate and part of the plate
exposed to N2 laser for 10 min and plate incubated for 4 weeks to see the effect.
For exposure to lung cavity a needle was introduced into the lung through which
fiber was passed to the cavity for N2 laser irradiation for 10 min. RESULTS: Only
12.8% isolates of M. tuberculosis were sensitive to all anti-Tubercular drugs and
21.5% were found to be resistant to Rifampicin qualifying definition of Multi
drug resistant tuberculosis. Bactericidal effect for N2 laser was seen in-vitro
on exposure to N2 laser. Clinical improvement occurred in 90% of the 96 patients;
60% of the patients showed improvement on their X-rays and 75% turned out to be
Acid fast bacilli smear negative in 4 to 15 days. CONCLUSIONS: Intra-cavitory N2
laser therapy was found to have remarkable success as an adjunct to chemotherapy.
PMID- 26557737
TI - Low Level Laser Therapy: A Panacea for oral maladies.
AB - AIM: To review the applications of low level laser therapy on various soft and
hard oral tissues. A variety of therapeutic effects of Low Level Laser Therapy
have been reported on a broad range of disorders. It has been found amenably
practical in dental applications including soft as well as hard tissues of the
oral cavity. LLLT has been found to be efficient in acceleration of wound
healing, enhanced remodelling and bone repair, regeneration of neural cells
following injury, pain attenuation, endorphin release stimulation and modulation
of immune system. The aforementioned biological processes induced by Low level
lasers have been effectively applied in treating various pathological conditions
in the oral cavity. With is article, we attempt to review the possible
application of Low Laser Therapy in the field of dentistry.
PMID- 26557738
TI - Traumatic Brain Injury Rehabilitation in Hong Kong: A Review of Practice and
Research.
AB - BACKGROUND: The rising public health concern regarding traumatic brain injury
(TBI) implies a growing need for rehabilitation services for patients surviving
TBI. METHODS: To this end, this paper reviews the practices and research on TBI
rehabilitation in Hong Kong so as to inform future developments in this area.
This paper begins by introducing the general situation of TBI patients in Hong
Kong and the need for rehabilitation. Next, the trauma system in Hong Kong is
introduced. Following that is a detailed description of the rehabilitation
services for TBI patients in Hong Kong, as exemplified by a rehabilitation
hospital in Hong Kong. This paper will also review intervention studies on
rehabilitating brain-injured populations in Hong Kong with respect to various
rehabilitation goals. Lastly, the implications of culture-related issues will be
discussed in relation to TBI. RESULTS/CONCLUSIONS: The intervention studies
conducted in Hong Kong are generally successful in achieving various
rehabilitative outcomes. Additionally, certain cultural-related issues, such as
the stigma associated with TBI, may impede the rehabilitative process and lead to
various psychosocial problems.
PMID- 26557739
TI - Carbon Monoxide Inhibits Tenascin-C Mediated Inflammation via IL-10 Expression in
a Septic Mouse Model.
AB - Tenascin-C (TN-C), an extracellular matrix (ECM) glycoprotein, is specifically
induced upon tissue injury and infection and during septic conditions. Carbon
monoxide (CO) gas is known to exert various anti-inflammatory effects in various
inflammatory diseases. However, the mechanisms underlying the effect of CO on TN
C-mediated inflammation are unknown. In the present study, we found that
treatment with LPS significantly enhanced TN-C expression in macrophages. CO gas,
or treatment with the CO-donor compound, CORM-2, dramatically reduced LPS-induced
expression of TN-C and proinflammatory cytokines while significantly increased
the expression of IL-10. Treatment with TN-C siRNA significantly suppressed the
effects of LPS on proinflammatory cytokines production. TN-C siRNA did not affect
the CORM-2-dependent increase of IL-10 expression. In cells transfected with IL
10 siRNA, CORM-2 had no effect on the LPS-induced expression of TN-C and its
downstream cytokines. These data suggest that IL-10 mediates the inhibitory
effect of CO on TN-C and the downstream production of proinflammatory cytokines.
Additionally, administration of CORM-2 dramatically reduced LPS-induced TN-C and
proinflammatory cytokines production while expression of IL-10 was significantly
increased. In conclusion, CO regulated IL-10 expression and thus inhibited TN-C
mediated inflammation in vitro and in vivo.
PMID- 26557740
TI - Prevalence of obstructive sleep apnea among patients with coronary artery disease
in Saudi Arabia.
AB - BACKGROUND: Despite the association between obstructive sleep apnea (OSA) and
coronary artery disease (CAD), few studies have investigated this issue in Saudi
Arabia. OBJECTIVES: This study aimed to identify the prevalence of OSA among CAD
patients. SUBJECTS AND METHODS: This was a cross-sectional (descriptive) study
conducted at King Abdul-Aziz University Hospital in Jeddah, Saudi Arabia from
April 2012 to December 2013. All consecutive patients referred to the cardiac
catheterization lab for coronary angiography who exhibited evidence of CAD were
included in this study. This study was conducted in two stages. During the first
stage, each participant was interviewed individually. The administered interview
collected data pertaining to demographics, comorbidities, and the STOP-BANG
questionnaire score. The second stage of this study consisted of a diagnostic
overnight polysomnography (PSG) of 50% of the subjects at high risk for OSA
according to the STOP-BANG questionnaire. RESULTS: Among the patients with CAD (N
= 156), 128 (82%) were categorized as high risk for developing OSA. PSG was
conducted on 48 patients. The estimated prevalence of OSA in the study sample was
56.4%. Approximately 61% of the documented sleep apnea patients suffered from
moderate to severe OSA. CONCLUSION: This local study concurs with reports in the
literature indicating that OSA is very common among CAD patients.
PMID- 26557741
TI - Quantifying the impact of using Coronary Artery Calcium Score for risk
categorization instead of Framingham Score or European Heart SCORE in lipid
lowering algorithms in a Middle Eastern population.
AB - BACKGROUND: The use of the Coronary Artery Calcium Score (CACS) for risk
categorization instead of the Framingham Risk Score (FRS) or European Heart SCORE
(EHS) to improve classification of individuals is well documented. However, the
impact of reclassifying individuals using CACS on initiating lipid lowering
therapy is not well understood. We aimed to determine the percentage of
individuals not requiring lipid lowering therapy as per the FRS and EHS models
but are found to require it using CACS and vice versa; and to determine the level
of agreement between CACS, FRS and EHS based models. METHODS: Data was collected
for 500 consecutive patients who had already undergone CACS. However, only 242
patients met the inclusion criteria and were included in the analysis. Risk
stratification comparisons were conducted according to CACS, FRS, and EHS, and
the agreement (Kappa) between them was calculated. RESULTS: In accordance with
the models, 79.7% to 81.5% of high-risk individuals were down-classified by CACS,
while 6.8% to 7.6% of individuals at intermediate risk were up-classified to high
risk by CACS, with slight to moderate agreement. Moreover, CACS recommended
treatment to 5.7% and 5.8% of subjects untreated according to European and
Canadian guidelines, respectively; whereas 75.2% to 81.2% of those treated in
line with the guidelines would not be treated based on CACS. CONCLUSION: In this
simulation, using CACS for risk categorization warrants lipid lowering treatment
for 5-6% and spares 70-80% from treatment in accordance with the guidelines.
Current strong evidence from double randomized clinical trials is in support of
guideline recommendations. Our results call for a prospective trial to explore
the benefits/risks of a CACS-based approach before any recommendations can be
made.
PMID- 26557742
TI - Radius of proximal isovelocity surface area in the assessment of rheumatic mitral
stenosis: Connecting flow to anatomy and hemodynamics.
AB - BACKGROUND: Echocardiographic assessment of left atrial pressure (LAP) in mitral
stenosis (MS) is controversial. We sought to examine the role of the radius of
the proximal isovelocity surface area (PISA-r) in the assessment of the
hemodynamic status of MS after fixing the aliasing velocity (Val). METHODS AND
RESULTS: We studied 42 candidates of balloon mitral valvuloplasty (BMV), for whom
pre-BMV echocardiography was done and LAP invasively measured before dilatation.
PISA-r was calculated after fixing aliasing velocity to 33 cm/s. In addition, the
ratio IVRT/Te'-E was also measured, where IVRT was isovolumic relaxation time,
and Te'-E was the time difference between the onset of mitral flow E-wave and
mitral annular early diastolic velocity. IVRT/Te'-E and PISA-r showed a strong
correlation with LAP (r = -0.715 and -0.637, all p < 0.001) and with right-sided
pressures. In addition, PISA-r correlated with mitral valve area by planimetry
method (MVA) and with left ventricular outflow tract stroke volume (r = 0.66 and
0.71, all p < 0.001). Receiver operator characteristic curve (ROC-curve) showed
that PISA-r was not inferior to IVRT/Te'-E in differentiating LAP ?25 from <25
mmHg. CONCLUSION: Provided that Val is set to a constant of 33 cm/s, PISA-r can
assess the hemodynamic status of MS, and seems a simple alternative to the
tedious IVRT/Te'-E for estimation of LAP.
PMID- 26557743
TI - Objective and subjective image quality with prospectively gated versus ECG
controlled tube current modulation using 256-slice computed tomographic
angiography.
AB - INTRODUCTION: Radiation exposure is one of the major limitations of computed
tomographic coronary angiography (CTA). The purpose of this study was to compare
the objective and subjective image quality and radiation dose using prospective
ECG gating (PGA) versus ECG-controlled tube current modulation (ECTCM) scanning
techniques. METHODS: A prospective, single-center study was performed at Prince
Sultan Cardiac Centre, Qassim, Saudi Arabia. A total of 104 patients with low-to-
intermediate probability of coronary artery disease (CAD) underwent CTA with
either PGA or ECTCM acquisition. PGA was performed during the study period and
compared with the last 50 CTAs previously done using ECTCM. A 4-point scale was
used to assess the image quality subjectively. Objective image quality was
assessed using image signal, noise, and signal-to-noise ratio (SNR). RESULTS:
Patient's Baseline characteristics were not different between the two scanning
protocols. The 4-point score of subjective image quality showed no significant
differences between the PGA and ECTCM scans (2.9 +/- 0.7, 2.96 +/- 0.7,
respectively; p = 0.87). The objective image quality showed significantly higher
noise and lower SNR with PGA compared with ECTCM (31 +/- 9, 27 +/- 9,
respectively; p < 0.001 for noise) and (15 +/- 5, 17 +/- 7, respectively; p <
0.001 for SNR), with no statistical difference in the image signal (434 +/- 123,
425 +/- 103 HU, respectively, p = 0.7). Radiation exposure was significantly
lower with PGA than with ECTCM. The dose-length product (DLP) for PGA was 334 +/-
130 mGy, compared with 822 +/- 286 mGy for the ECTCM. This corresponds to a 59%
reduction in radiation exposure (p < 0.0001). CONCLUSIONS: Although prospective
ECG-triggered axial scanning increased image noise, it maintained subjective
image quality and was associated with a 59% reduction in radiation exposure when
compared with ECTCM.
PMID- 26557744
TI - Vitamin D deficiency plays an important role in cardiac disease and affects
patient outcome: Still a myth or a fact that needs exploration?
AB - There is increasing evidence that a low vitamin D status may be an important and
hitherto neglected factor of cardiovascular disease. This review is an overview
of the current body of literature, and presents evidence of the mechanisms
through which vitamin D deficiency affects the cardiovascular system in general
and the heart in particular. Available data indicate that the majority of
congestive heart failure patients have 25-hydroxyvitamin D deficiency.
Furthermore, the low serum 25-hydroxyvitamin D level has a higher impact on
hypertension, coronary artery disease an on the occurrence of relevant cardiac
events. A serum 25-hydroxyvitamin D level below 75 nmol/l (30 ng/l) is generally
regarded as vitamin D insufficiency in both adults and children, while a level
below 50 nmol/l (20 ng/l) is considered deficiency. Levels below 50 nmol/l (20
ng/l) are linked independently to cardiovascular morbidity and mortality.
PMID- 26557745
TI - Treatment strategies in the left main coronary artery disease associated with
acute coronary syndromes.
AB - Significant left main coronary artery (LMCA) stenosis is not rare and reported 3
to 10% of patients undergoing coronary angiography. Unprotected LMCA intervention
is a still clinical challenge and surgery is still going to be a traditional
management method in many cardiac centers. With a presentation of drug eluting
stent (DES), extensive use of IVUS and skilled operators, number of such
interventions increased rapidly which lead to change in recommendation in the
guidelines regarding LMCA procedures in the stable angina (Class 2a
recommendation for ostial and shaft lesion and class 2b recommendation for distal
bifurcation lesion). However, there was not clear consensus about the management
of unprotected LMCA lesion associated with acute myocardial infarction (MI) with
a LMCA culprit lesion itself or distinct culprit lesion of other major coronary
arteries. Surgery could be preferred as an obligatory management strategy even in
the high risk patients. With this review, we aimed to demonstrate treatment
strategies of LMCA disease associated with acute coronary syndrome, particularly
acute myocardial infarction (MI). In addition, we presented a short case series
with LMCA lesion and ST elevated acute MI in which culprit lesion placed either
in the left anterior descending artery or circumflex artery. We reviewed the
current medical literature and propose simple algorithm for management.
PMID- 26557746
TI - Congenital ventricular diverticulum and MI - Diagnostic challenges and
implications.
AB - The use of modern cardiac imaging techniques suggests that congenital ventricular
diverticulum (CVD) may be more common than generally believed and may present
asymptomatically in adult life. We present a case of congenital left ventricular
diverticulum diagnosed in a patient presenting with myocardial infarction (MI).
The case highlights the importance of the differential diagnosis of CVD from post
infarct left ventricular aneurysms (PILVA) and suggests that adult studies using
modern imaging techniques are needed to define the prognosis for asymptomatic CVD
in order to guide management.
PMID- 26557747
TI - Functional assessment of sequential coronary artery fistula and coronary artery
stenosis with fractional flow reserve and stress adenosine myocardial perfusion
imaging.
AB - Coronary artery fistula is an abnormal connection between one coronary artery to
another coronary artery or cardiac chambers. The coronary artery fistula may
cause significant shunting of blood and cause "pseudo-stenosis" or "steal
phenomenon". This will also accentuate pre-existing mild-moderate de novo
coronary lesions with resultant greater pressure gradient difference across the
lesions. Thus, fractional flow reserve can be a useful tool to guide intervention
decision on the coronary artery fistula. There are very few published reports
regarding the use of FFR to assess coronary artery fistula. In fact, there is no
outcome data regarding the deferment of coronary artery fistula intervention when
the FFR is not physiologically significant. This case highlighted the use of FFR
to evaluate the functional significance of coronary fistula in the setting of
ischemia evaluation and it was proven to be safe to defer intervention with good
3 year clinical outcome. Stress adenosine myocardial perfusion imaging correlated
with the FFR result.
PMID- 26557748
TI - A huge cardiac hydatid cyst: An unusual cause of chest pain revealing
multivisceral hydatidosis in a young woman.
AB - Hydatid disease remains endemic in some parts of the world. Cardiac hydatidosis
with multivisceral involvement is uncommon but potentially fatal. We report the
case of a 36-year-old Tunisian woman admitted with chest pain and T-wave
inversion in the inferior leads on her electrocardiogram. Transthoracic
echocardiography revealed a large hydatid cyst in the epicardium throughout the
left ventricle. Thoraco-abdominal computerized tomography (CT) scan showed
several hydatid cysts in the left lung, the liver, and in both breasts. After one
week of albendazole treatment, surgical excision of the cardiac cyst on
cardiopulmonary bypass was carried out as well as excision of the pulmonary and
breast cysts. The postoperative course was uneventful and albendazole treatment
was continued for six months. Though hydatid cardiac involvement is very rare, it
should be considered in the differential diagnosis of atypical chest pain in
young patients, especially those living in regions where hydatid disease is
endemic.
PMID- 26557749
TI - Spontaneous myopericarditis in a patient under dexamethasone: A double-edged
sword?
AB - A majority of acute pericarditis cases have some degree of myocarditis. Viruses
are the common etiological factor of this disease. Corticosteroids are considered
for treatment, especially in recurrent models of the disease. In this article, we
report the case of a 47-year-old man with myopericarditis who was under daily
intra-muscular dexamethasone injection for an unknown reason. This is a unique
case of spontaneous myopericarditis under corticosteroid abuse that has not been
reported previously.
PMID- 26557750
TI - Consider the genetic and myopathic background, familial occurrence, and
alternative definitions of left ventricular hypertrabeculation/noncompaction.
PMID- 26557751
TI - Reply to: Consider the genetic and myopathic background, familial occurrence, and
alternative definitions of left ventricular hypertrabeculation/noncompaction.
PMID- 26557752
TI - Identify practice gaps in medication education through surveys to patients and
physicians.
AB - BACKGROUND: Effective communication and education formats between health care
providers and patients about medication use are associated with patients'
satisfaction, recall of information, and eventually their health status. Limited
research exists on physician-delivered education interventions, as well as on
whether the current content of medication education and delivery formats
satisfies the needs of both patients and physicians. Our objective was to
identify the practice gaps regarding medication education content and delivery.
METHODS: Separate surveys were obtained from ambulatory care patients presenting
to the outpatient pharmacy for medication pickups, and physicians working at the
hospital clinics. RESULTS: A total of 108 patients completed the patient survey,
and 116 hospital clinic physicians completed the physician survey. Female
patients had a higher degree of concern regarding medication information compared
with male patients (4.04+/-0.65 versus 3.58+/-0.66, P=0.001). Physicians were
less likely to educate patients regarding their medications' on drug-drug
interactions (24.3%), drug-food interactions (24.3%), and what to do about their
prescriptions if an adverse reaction is experienced (24.3%) during physician
patient encounters. Patients' most desired education format was physician
counseling (82.4%) and the second most desired education format was pharmacist
counseling (50.9%). Medication device demonstration (7.0%) was the least used
educational format delivered to patients by physicians, and patients would like
to see an increased education delivery format through medication device
demonstration (Method desired [MD] - Method received [MR] =12.0%). Patients would
like to see expanded roles of patient focused handout (MD-MR=22.2%), telephone
consultation (21.2%), pharmacist counseling (12.9%), the use of medication
database embedded within the hospital information system (12.2%) and device
demonstration (12.0%). CONCLUSION: This study illustrates that there are practice
gaps in current medication education both in terms of content and delivery
format. The study provided valuable information in designing and implementing
future education activities that are drivers of good medication use and
adherence.
PMID- 26557753
TI - Heart rate variability biofeedback in patients with alcohol dependence: a
randomized controlled study.
AB - BACKGROUND AND OBJECTIVE: In patients with alcohol dependence, ethyl-toxic damage
of vasomotor and cardiac autonomic nerve fibers leads to autonomic imbalance with
neurovascular and cardiac dysfunction, the latter resulting in reduced heart rate
variability (HRV). Autonomic imbalance is linked to increased craving and
cardiovascular mortality. In this study, we sought to assess the effects of HRV
biofeedback training on HRV, vasomotor function, craving, and anxiety. METHODS:
We conducted a randomized controlled study in 48 patients (14 females, ages 25-59
years) undergoing inpatient rehabilitation treatment. In the treatment group,
patients (n=24) attended six sessions of HRV biofeedback over 2 weeks in addition
to standard rehabilitative care, whereas, in the control group, subjects received
standard care only. Psychometric testing for craving (Obsessive Compulsive
Drinking Scale), anxiety (Symptom Checklist-90-Revised), HRV assessment using
coefficient of variation of R-R intervals (CVNN) analysis, and vasomotor function
assessment using laser Doppler flowmetry were performed at baseline, immediately
after completion of treatment or control period, and 3 and 6 weeks afterward
(follow-ups 1 and 2). RESULTS: Psychometric testing showed decreased craving in
the biofeedback group immediately postintervention (OCDS scores: 8.6+/-7.9 post
biofeedback versus 13.7+/-11.0 baseline [mean +/- standard deviation], P<0.05),
whereas craving was unchanged at this time point in the control group. Anxiety
was reduced at follow-ups 1 and 2 post-biofeedback, but was unchanged in the
control group (P<0.05). Following biofeedback, CVNN tended to be increased
(10.3%+/-2.8% post-biofeedback, 10.1%+/-3.5% follow-up 1, 10.1%+/-2.9% follow-up
2 versus 9.7%+/-3.6% baseline; P=not significant). There was no such trend in the
control group. Vasomotor function assessed using the mean duration to 50%
vasoconstriction of cutaneous vessels after deep inspiration was improved
following biofeedback immediately postintervention and was unchanged in the
control group (P<0.05). CONCLUSION: Our data indicate that HRV biofeedback might
be useful to decrease anxiety, increase HRV, and improve vasomotor function in
patients with alcohol dependence when complementing standard rehabilitative
inpatient care.
PMID- 26557754
TI - Linezolid for the treatment of hospital-acquired pneumonia in a Chinese tertiary
hospital.
PMID- 26557755
TI - Statins and cancers.
AB - Statins (inhibitors of 3-hydroxy-3-methyl-glutaryl-coenzyme A reductase) are a
group of drugs used to treat lipid disorders. They inhibit cholesterol synthesis
at an early stage of the biosynthesis pathway, thus eliminating numerous
metabolites involved in the cycle. Numerous studies point to different possible
effects of statins on cancer cells. Statins inhibit growth of a tumor, invasion
and metastasis formation. They block the production of isoprenoids, which are
necessary for post-translational modifications of many proteins, including those
involved in normal cell signaling. They also contribute to the reduction in the
expression of vascular endothelial growth factor, sensitize tumor cells to NK
cell activity, and modify the body inflammatory response. Due to different
pharmacokinetic properties of individual statins, they may have opposite effects
on the risk of cancer. Currently, most information on the effects of statins on
the risk of developing cancer is obtained from observational studies. The studies
have different results depending on the location of cancer. The protective effect
of statins was observed in the meta-analysis of numerous studies including
prostate cancer, stomach cancer, esophagus cancer, and hepatocellular carcinoma;
however, it has not yet been confirmed that statins influence the risk of
developing colorectal cancer, breast cancer, or lung cancer. The protective
effect of statins on the development of many kinds of cancer can be a valuable
and easy way to reduce morbidity. However, further research is necessary to
thoroughly determine the value of this group of drugs.
PMID- 26557757
TI - Malignant transformation of calcifying cystic odontogenic tumour - a review of
literature.
AB - Calcifying cystic odontogenic tumour (CCOT) has been classified as an odontogenic
tumour. Ghost cell odontogenic carcinoma (GCOC) is the malignant counterpart of
CCOT. This paper aims to review the literature regarding malignant transformation
of CCOT. A literature search was done via the National Library of Medicine PubMed
interface, searching for articles relating to malignant transformation of CCOT.
From these articles, references were obtained, and from their references lists,
pertinent secondary references were also identified and acquired. After reviewing
the literature, we found 26 cases of GCOC which developed from CCOT. Malignant
transformation of CCOT was seen more commonly in the maxilla. Histologically,
changes such as increased nuclear/cytoplasmic ratio, atypical mitotic figures
have been reported after malignant transformation. Immunohistochemical analysis
has shown an increased expression of ki-67 and p53 in tumour cells. Malignant
transformation of CCOT, although rare, mostly takes place in recurrent and long
standing cases.
PMID- 26557756
TI - Familial syndromes associated with neuroendocrine tumours.
AB - Neuroendocrine tumours may be associated with familial syndromes. At least eight
inherited syndromes predisposing to endocrine neoplasia have been identified. Two
of these are considered to be major factors predisposing to benign and malignant
endocrine tumours, designated multiple endocrine neoplasia type 1 and type 2
(MEN1 and MEN2). Five other autosomal dominant diseases show more heterogeneous
clinical patterns, such as the Carney complex, hyperparathyroidism-jaw tumour
syndrome, Von Hippel-Lindau syndrome (VHL), neurofibromatosis type 1 (NF1) and
tuberous sclerosis. The molecular and cellular interactions underlying the
development of most endocrine cells and related organs represent one of the more
complex pathways not yet to be deciphered. Almost all endocrine cells are derived
from the endoderm and neuroectoderm. It is suggested that within the first few
weeks of human development there are complex interactions between, firstly, the
major genes involved in the initiation of progenitor-cell differentiation,
secondly, factors secreted by the surrounding mesenchyme, and thirdly, a series
of genes controlling cell differentiation, proliferation and migration. Together
these represent a formula for the harmonious development of endocrine glands and
tissue.
PMID- 26557758
TI - Cell-based Hyper-interleukin 6 or Hyper-interleukin 11 secreting vaccines
combined with low dose cyclophosphamide in an orthotopic murine prostate cancer
model.
AB - BACKGROUND: Cell based vaccines encoding Hyper-IL-6 (H6) and Hyper-IL-11 (H11)
present high activity in murine melanoma and renal cancer model. We evaluated the
efficacy of cellular vaccines modified with H6 or H11 combined with
cyclophosphamide in orthotopic murine prostate cancer model. MATERIAL AND
METHODS: TRAMP cells were transduced with H6 and H11 cDNA (TRAMP-H6 and TRAMP
H11). An orthotopic TRAMP model based on the implantation of TRAMP cells into the
dorsolateral lobe of the prostate of C57BL6/J mice was employed. The efficacy of
TRAMP-H6 and TRAMP-H11 vaccines evaluated in the therapeutic setting was compared
with the TRAMP cells modified with a mock transduced E1-deleted adenoviral vector
(TRAMP-AdV) and non-modified irradiated TRAMP cells (TRAMP IRR) in relation to
naive (non-immunized) mice. In the next experimental groups mice vaccinated with
TRAMP-H6 and TRAMP-H11 received cyclophosphamide (CY). Detection of immune cells
in the spleen in mice receiving vaccines combined with CY was evaluated. RESULTS:
Modification of TRAMP cells with H6 increased the efficacy of TRAMP-based whole
cell vaccine. The highest response rate was observed in mice receiving TRAMP-H6
alone and combined with CY. Vaccination with TRAMP-H6 alone and combined with CY
and TRAMP H11 combined with CY extended median OS of mice bearing orthotopic
TRAMP tumors in therapeutic setting. Low dose CY administered alone demonstrated
some antitumor activity in employed model. TRAMP-H6 or TRAMP-H11 combined with CY
strongly augmented generation of CD8+, CD4+ T lymphocytes and memory T cells.
Immunization with TRAMP combined with or without CY suppressed generation of T
regulatory cells. CONSLUSIONS: Prostate cancer vaccines modified with H6 or H11
induce prostate tumour regression and increase mice survival by stimulating the
immune system. Cyclophosphamide added to modified TRAMP vaccines demonstrated
clinical benefit of treated mice and enhanced anti-tumour immune response.
PMID- 26557759
TI - Expression of Th17 cell population regulatory cytokines in laryngeal carcinoma -
Preliminary study.
AB - AIM OF THE STUDY: Aim of the study was to evaluate the potential role of
regulatory and proinflammatory cytokines IL-23 and IL-17 as Th17 lymphocyte
activity markers in relation to invasiveness in laryngeal cancer. MATERIAL AND
METHODS: The immunological analysis was conducted in 50 patients treated for
squamous cell laryngeal carcinoma and 30 healthy volunteers as controls. The
levels of IL-23 and IL-17 in supernatants of purified peripheral blood
mononuclear cell cultures were determined by using the enzyme-linked
immunosorbent assay (ELISA). The clinicomorphological criteria included pTNM,
stage, G, and the total tumour front grading (TFG) score. RESULTS: Our data
demonstrated higher concentrations of IL-23 in patients as compared to controls
(p = 0.0001). No statistical difference for IL-17 in these groups was observed.
Our study revealed significant dependences in IL-23 expression on pT (p = 0.04),
histological differentiation (p = 0.04), and TFG total score (p = 0.02). Advanced
tumours (pT3-pT4) with higher grade (G2-G3) and higher invasiveness (> 14 TFG
points) were characterised by elevated IL-23 levels in PBMC supernatants. Our
data did not indicate a relationship between cytokine levels and three- and five
year survival. However, a tendency towards lower content of IL-23 in PBMC
cultures in patients who lived longer than five years after treatment was noted.
The relationships between IL-17 level in PBMC cultures and clinicomorphological
and prognostic parameters have not been disclosed. CONCLUSIONS: The results of
this study suggest the importance of regulatory cytokine IL-23 in determining the
aggressive potential of laryngeal carcinomas.
PMID- 26557760
TI - MicroRNA-7 enhances cytotoxicity induced by gefitinib in non-small cell lung
cancer via inhibiting the EGFR and IGF1R signalling pathways.
AB - Gefitinib is a tyrosine kinase inhibitor that has been used for the treatment of
non-small-cell lung carcinoma (NSCLC). The ability of miR-7 to enhance gefitinib
induced cytotoxicity in NSCLC cells was evaluated in this study. We found that
miR-7 significantly decreased the IC50 of gefitinib and inhibited cell growth.
G0/G1 cell cycle arrest and cell apoptosis were increased after the treatment of
gefitinib coupled with miR-7 transfection. In addition, levels of Raf1, IGF1R,
and PI3K and phosphorylation levels of Akt and ERK were also significantly
decreased. Our results suggest that miR-7 may provide a novel therapeutic target
for the treatment of NSCLCs.
PMID- 26557761
TI - ERCC1 and RRM1 as a predictive parameter for non-small cell lung, ovarian or
pancreas cancer treated with cisplatin and/or gemcitabine.
AB - BACKGROUND: We aimed to investigate the impact of RRM1 and ERCC1 expression on
response to cisplatin and/or gemcitabine chemotherapy in patients with lung,
ovarian or pancreatic cancer. MATERIAL AND METHODS: Patients with lung, ovarian
or pancreatic cancer, who used cisplatin and/or gemcitabine therapy were
included; hospital files were examined and RRM1 and ERCC1 expression were
evaluated with an immunohistochemical method on tissue cross sections from
paraffin blocks of the tumour. RESULTS: Out of 89 patients, 51%, 30% and 19% had
lung, ovarian and pancreatic cancer, respectively. The response rates to the
therapy in patients with lung and ovarian cancer having low ERCC1 expression were
62% and 90%, respectively (p = 0.028 and p = 0.044, respectively). No significant
association was found between ERCC1 expression and response to therapy in
patients with pancreatic cancer (p = 0.354). Therapeutic response rates in
patients with lung and pancreatic cancer with low RRM1 expression were 60% and
82%, respectively. Survival rates were higher in patients with lung cancer in
which ERCC1 and RRM1 expressions were low. Median survival duration in patients
with ovarian cancer showing low ERCC1 and RRM1 expressions was longer than that
seen in patients with high expressions. Although no significant correlation was
found between ERCC1 and the survival in ovarian cancer (p = 0.183), there was a
significant correlation between RRM1 expression and survival in patients with
pancreatic cancer (p = 0.005). CONCLUSIONS: Our results suggest a predictive
value of ERCC1 in lung and ovarian cancers, and also RRM1 in lung and pancreatic
cancers.
PMID- 26557762
TI - Multicentre, Prospective Observational Study of Pegfilgrastim Primary Prophylaxis
in Patients at High Risk of Febrile Neutropenia in Poland: PROFIL Study.
AB - AIM OF THE STUDY: PROFIL was a prospective observational study conducted to
investigate physicians' evaluation of febrile neutropenia (FN) risk and reasons
for giving pegfilgrastim primary prophylaxis (PP) in routine clinical practice in
Poland. MATERIAL AND METHODS: Adult cancer patients treated with chemotherapy
(CT), assessed by investigators as having high overall FN risk, and who received
pegfilgrastim in cycle 1 were enrolled between 03/2009 and 09/2010. Investigators
assessed FN risk of the CT regimen, individual risk factors, and overall FN risk,
and were asked to provide the most important reasons for providing pegfilgrastim
PP. Investigator-assessed CT FN risk was compared with guideline classification.
RESULTS: Data were analysed from 1006 breast, ovarian, and lung cancer, and non
Hodgkin (NHL) and Hodgkin lymphoma (HL) patients. The most important reasons for
using pegfilgrastim PP were high CT FN risk and advanced disease; these were
consistent across tumour types and treatment intent. The investigators generally
assessed high CT FN risk in agreement with guideline classification. Febrile
neutropenia occurred in 4% of patients, most commonly in HL, NHL, and patients
with advanced disease. CONCLUSIONS: High CT FN risk and advanced stage of disease
were found to be the most important reasons for providing pegfilgrastim PP by
physicians in Poland.
PMID- 26557763
TI - The role of oestrogen and progesterone receptors in breast cancer -
immunohistochemical evaluation of oestrogen and progesterone receptor expression
in invasive breast cancer in women.
AB - AIM OF THE STUDY: Expression of oestrogen and progesterone receptors is a very
powerful and useful predictor. Because the response rate to hormonal treatment in
breast cancer is associated with the presence of oestrogen and progesterone
receptors, assessment of the receptor expression profile allows for prediction of
breast cancer response to hormonal treatment. The aim of this study was to assess
whether the expression of receptors for oestrogen (ER) and progesterone (PR) in
the tumour tissue of patients with invasive breast cancer correlated with tumour
histological type, histological grade of malignancy, tumour size, and lymph node
status. MATERIAL AND METHODS: Materials consisted of histological preparations
derived from patients treated for invasive breast cancer. Evaluations were
conducted with histopathological and immunohistochemical methods using suitable
antibodies. RESULTS: Among 231 cases of breast cancer 18 invasive lobular
carcinomas (ILC) and 213 invasive ductal carcinomas (IDC) were diagnosed. Taking
the histological type of tumour into account, oestrogen receptor-positive
reaction was observed in 74.2% of IDC and 77.8% of ILC, and the positive response
to PR was observed in 67.1% of IDC and 61.1% of ILC. Considering the histological
grade, ER- in the largest percentage (72%) was observed in second-grade (G2)
invasive carcinomas. Similarly, PR expression (75%) was found in the largest
percentage in second-grade (G2) carcinomas. Based on our own studies and data
from literature, it appears that the ER (+) status is an indicator of good
prognosis, because it points to a less aggressive cancer, in which overall
survival and disease-free time is longer in comparison with ER (-) tumours.
CONCLUSIONS: Determination of ER status may, therefore, have significant clinical
value and is widely used in routine pathological diagnostics.
PMID- 26557764
TI - The Spectrum of Malignancies among Adult HIV Cohort in Poland between 1995 and
2012: A Retrospective Analysis of 288 Cases.
AB - THE AIM OF THE STUDY: The aim of the study was to evaluate the spectrum of AIDS
defining malignancies (ADMs) and non-AIDS-defining malignancies (NADMs) in HIV
infected patients in Poland. MATERIAL AND METHODS: A retrospective observational
study was conducted among HIV-infected adult patients who developed a malignancy
between 1995 and 2012 in a Polish cohort. Malignancies were divided into ADMs and
NADMs. Non-AIDS-defining malignancies were further categorised as virus-related
(NADMs-VR) and unrelated (NADMs-VUR). Epidemiological data was analysed according
to demographic data, medical history, and HIV-related information. Results were
analysed by OR, EPITools package parameters and Fisher's exact test. RESULTS: In
this study 288 malignancies were discovered. The mean age at diagnosis was 41.25
years (IQR20-81); for ADMs 38.05 years, and for NADMs-VURs 46.42 years; 72.22%
were male, 40.28% were co-infected with HCV. The risk behaviours were: 37.85%
IDU, 33.33% MSM, and 24.31% heterosexual. Mean CD4+ at the diagnosis was 282
cells/mm(3) (for ADMs 232 and for NADMs-VUR 395). Average duration of HIV
infection at diagnosis was 5.69 years. There were 159 (55.2%) ADMs and 129
(44.8%) NADMs, among whom 58 (44.96%) NADMs-VR and 71 (55.04%) NADMs-VUR. The
most frequent malignancies were: NHL (n = 76; 26.39%), KS (n = 49; 17.01%), ICC
(n = 34; 11.81%), HD (n = 23; 7.99%), lung cancer (n = 18; 6.25%) and HCC (n =
14; 4.86%). The amount of NADMs, NADMs-VURs in particular, is increasing at
present. Male gender (OR = 1.889; 95% CI: 1.104-3.233; p = 0.024), advanced age:
50-60 years (OR = 3.022; 95% CI: 1.359-6.720; p = 0.01) and >= 60 years (OR =
15.111; 95% CI: 3.122-73.151; p < 0.001), longer duration of HIV-infection and
successful HAART (OR = 2.769; 95% CI: 1.675-4.577; p = 0) were independent
predictors of NADMs overall, respectively. CONCLUSIONS: In a Polish cohort NHL
was the most frequent malignancy among ADMs, whereas HD was the most frequent
among NADMs. Increased incidence of NADMs appearing in elderly men with longer
duration of HIV-infection and with better virological and immunological control
was confirmed. As HIV-infected individuals live longer, better screening
strategies, especially for NADMs-VUR, are needed. The spectrum of cancer
diagnoses in Poland currently does not appear dissimilar to that observed in
other European populations.
PMID- 26557765
TI - Radiation enhancing effects of sanazole and gemcitabine in hypoxic breast and
cervical cancer cells in vitro.
AB - AIM OF THE STUDY: Sanazole and gemcitabine have been proven clinically as hypoxic
cell radiosensitisers. This study was conducted to determine the radiation
enhancing effects of sanazole and gemcitabine when administered together at
relevant concentrations into hypoxic human MCF-7 and HeLa cells. MATERIAL AND
METHODS: A 3-(4,5 dimethylthiazol-2-yl)-2,5 diphenyltetrazolium bromide (MTT)
assay was used to evaluate the number of surviving cells. Cell cycle was
determined by flow cytometry. Cell surviving fractions were determined by the
standard in vitro colony formation assay. RESULTS: The cell colony formation
assay indicated that the radiosensitivity of hypoxic MCF-7 and HeLa cells was
enhanced by sanazole or gemcitabine. The combination of the two drugs displayed
significant radiation enhancing effects at the irradiation doses of 6, 8, and 10
Gy in both cell lines, which were arrested in the S phase. CONCLUSIONS: This
study indicated that the co-administration of the two drugs may result in a
beneficial gain in radio-therapy for hypoxic breast cancer and cervical cancer.
PMID- 26557766
TI - Haematogenous muscular metastasis of non-small cell lung cancer in F-18
fluorodeoxyglucose positron emission tomography/computed tomography.
AB - AIM OF THE STUDY: F-18 FDG PET/CT is the most effective method for demonstrating
extrapulmonary metastases of lung cancer. The aim of this study is to investigate
the level of muscular metastasis of non-small-cell lung carcinoma (NSCLC) in F-18
FDG PET/CT and to demonstrate the characteristics of this special group of
patients. MATERIAL AND METHODS: A total of 1150 patients with the diagnosis of
NSCLC, who were referred for F-18 FDG PET/CT, were included into the study. Among
these patients, the findings of 13 who were shown to have muscle metastases were
studied. RESULTS: In the retrospective analysis of the patients, 13 patients (12
male, 1 female; mean: 59 +/-7 years old) were found to have haematogenous
(excluding local invasion) muscular metastases of NSCLC using F-18 FDG PET/CT.
Two of the 13 patients had only muscular metastases (one patient isolated, one
patient two foci). The other 11 patients had additional distant metastases in six
metastatic sites (bone in 7 patients, distant lymph node in 6, adrenal gland in
5, contralateral lung in 3, liver in 1, and brain metastasis in 1 patient). Five
patients died during the mean 11 +/-8 months follow up. CONCLUSIONS: Muscular
metastasis is not a rare condition, especially in F-18 FDG PET/CT examinations,
and is frequently associated with additional distant metastases.
PMID- 26557767
TI - Osteosarcoma of the larynx.
AB - Malignant neoplasms of the larynx are divided into epithelial and non-epithelial.
Non-epithelial neoplasms include, among others, mesenchymal chondrosarcomas and
osteosarcomas. Few cases of laryngeal osteosarcomas described in the literature
were usually treated by surgery without the need to use adjuvant radio- or
chemotherapy. Few authors propose the initial application of radiotherapy or high
dose chemotherapy. Our study presents a very rare case of a woman treated due to
laryngeal osteosarcoma. We have also presented diagnostic difficulties preceding
a decision to perform radical surgery. The patient had been eligible for radical
surgical treatment, even though there were no features of malignancy in a
histopathological examination of the biopsy material. Complete laryngectomy was
carried out without the surgery of the cervical lymphatic system. Laryngeal
osteosarcoma was diagnosed based on the postoperative histopathological
examination using vimentin and Ki67. The patient remains under the care of the
Otolaryngology and Laryngological Oncology Department and Oncology Centre in
Bydgoszcz. There were no reports on local recurrence or distant metastases during
regular check-ups.
PMID- 26557768
TI - Letter to the editor concerning first-line therapy with afatinib - an
irreversible EGFR TKI and overall survival of NSCLC patients with EGFR gene
mutations.
PMID- 26557769
TI - Paratesticular rhabdomyosarcoma of a young adult.
PMID- 26557770
TI - Use of high-dose oxycodone hydrochloride in patients with visceral and
neuropathic pain.
PMID- 26557771
TI - The role of Reg IV in colorectal cancer, as a potential therapeutic target.
AB - Regenerating islet-derived family, member 4 (Reg IV), a member of the Reg gene
family, has been reported to be overexpressed in gastrointestinal tract cancers.
Reg IV overexpression in tumor cells has been associated with carcinogenesis,
tissue regeneration, proliferation and resistance to apoptosis. Reg IV activates
the epidermal growth factor receptor (EGFR) signaling pathway in colon cancer and
increases expression of B-cell lymphoma-2 (Bcl-2) and B-cell lymphoma-extra large
(Bcl-xl), which are associated with the inhibition of apoptosis, results in
mitogenic signaling in colon cancer cells, increase cell proliferation,
metastasis and decreased apoptosis. Reg IV treatment inhibits 5-fluorouracil
induced apoptosis, at least two mechanisms are involved in inhibition of
apoptosis by Reg IV, including Bcl-2 and dihydropyrimidine dehydrogenase (DPD).
These studies may lead to novel therapeutic strategies for cancers expressing Reg
IV. Recently, one proteoglycan was confirmed to disrupt this signaling pathway to
perform antitumor effect. This review summaries current knowledge of the
expression and roles of Reg IV in human colorectal cancer, describes the possible
signaling pathway which Reg IV activates, and discusses the relevance of Reg IV
as a potential therapeutic target for cancer treatment.
PMID- 26557772
TI - The role of Snail1 transcription factor in colorectal cancer progression and
metastasis.
AB - Snail1 is a zinc-finger transcription factor, which plays a role in colorectal
cancer development by silencing E-cadherin expression and inducing
epithelialmesenchymal transition (EMT). During EMT tumour cells acquire a
mesenchymal phenotype that is responsible for their invasive activities.
Consequently, Snail1 expression in colorectal cancer is usually associated with
progression and metastasis. Some studies revealed that about 77% of colon cancer
samples display Snail1 immunoreactivity both in activated fibroblasts and in
carcinoma cells that have undergone EMT. Therefore, expression of this factor in
the stroma may indicate how many cells possess the abilities to escape from the
primary tumour mass, invade the basal lamina and colonise distant target organs.
Blocking snail proteins activity has the potential to avert cancer cell
metastasis by interfering with such cellular processes as remodelling of the
actin cytoskeleton, migration and invasion, which are clearly associated with the
aggressive phenotype of the disease. Moreover, the link between factors from the
snail family and cancer stem cells suggests that inhibitory agents may also prove
their potency as inhibitors of cancer recurrence.
PMID- 26557773
TI - Noble metals in oncology.
AB - Worldwide research groups are searching for anticancer compounds, many of them
are organometalic complexes having platinum group metals as their active centers.
Most commonly used cytostatics from this group are cisplatin, carboplatin and
oxaliplatin. Cisplatin was used fot the first time in 1978, from this time many
platinum derivatives were created. In this review we present biological
properties and probable future clinical use of platinum, gold, silver, iridium
and ruthenium derivatives. Gold derivative Auranofin has been studied
extensively. Action of silver nanoparticles on different cell lines was analysed.
Iridium isotopes are commonly used in brachyterapy. Ruthenium compound new anti
tumour metastasis inhibitor (NAMI-A) is used in managing lung cancer metastases.
Electroporation of another ruthenium based compound KP1339 was also studied. Most
of described complexes have antiproliferative and proapoptotic properties.
Further studies need to be made. Nevertheless noble metal based
chemotherapheutics and compounds seem to be an interesting direction of research.
PMID- 26557774
TI - Analysis of sequence variants in the 3'UTR of CDKN2A gene in melanoma patients.
AB - BACKGROUND: The 3'UTR region plays a crucial role in regulating gene expression
at posttranscriptional levels. Any changes in sequence in this region can cause
numerous pathologies and can also lead to tumour development. The most common
changes reported in in the CDKN2A gene are the 148Ala/Thr in exon 2 and 500C>G
and 540C>T in the 3'UTR region. They are suspected of having a great impact on
cancer progression. Since the role of these sequence variants in the Polish
population in the development of melanoma has not been confirmed, the importance
of 3'UTR polymorphisms in the regulation of gene expression was tested. MATERIAL
AND METHODS: First, genetic analysis in a group of 285 melanoma patients was
performed and the obtained results were correlated with the clinical course of
melanoma. Then vectors carrying 3'UTR sequence variants were prepared and the
level expression of the reported gene was measured. RESULTS: Within this study no
correlation between the presence of 148Ala/Thr polymorphism and cancer in the
family was observed. There was a correlation between the presence of this
polymorphism and breast cancer and melanoma in the same patient. There was no
correlation between 500C>G polymorphism and tumour localisation, age of
diagnosis, and type of cancer in patients' family, but a correlation between the
percentage of patients dying and the 500C>G variant was observed. CONCLUSION: The
results of functional tests indicated that the presence of polymorphism in the
3'UTR region of the CDKN2A gene resulted in changes in the level of reporter gene
expression.
PMID- 26557775
TI - The outcomes of Polish patients with advanced BRAF-positive melanoma treated with
vemurafenib in a safety clinical trial.
AB - AIM OF THE STUDY: The BRAF inhibitor vemurafenib has improved progression-free
survival and overall survival in patients with BRAFV600-mutation-positive
metastatic melanoma. Here we present the results of an open-label safety study
with vemurafenib in patients with metastatic melanoma enrolled in Polish
oncological centres. MATERIAL AND METHODS: Patients with untreated or previously
treated Stage IIIC/IV BRAFV600 mutation-positive melanoma were treated with oral
vemurafenib in an initial dose of 960 mg twice daily. Assessments for safety and
efficacy were made every 28 days. For the survival analysis the Kaplan-Meier
estimator was used with the log-rank tests for bivariate comparisons. RESULTS: In
total, 75 Polish patients were enrolled in the safety study across four centres.
At data cut-off, 28 patients died (37%), mainly (26) due to disease progression;
33 (44%) patients continued vemurafenib after disease progression. The objective
response rate was 46%, including two patients with a complete response and 29
with a partial response. Median progression-free survival was 7.4 months. The one
year overall survival rate was 61.9% (median overall survival was not reached).
Seventy-three (97.3%) patients reported adverse events (AEs), and grade 3-5
toxicity was reported in 49.4% (37) patients. The most common AEs were: skin
lesions (including rash and photosensitivity), arthralgia, and fatigue.
CONCLUSIONS: The overall safety profile and response rate of vemurafenib were
comparable to those reported in previous studies of this drug. Our study
confirmed the value of well-established prognostic features for overall survival,
such as initial LDH (lactate dehydrogenase) level and AJCC staging.
PMID- 26557776
TI - Overall survival and disease-free survival in breast cancer patients treated at
the Oncology Centre in Bydgoszcz - analysis of more than six years of follow-up.
AB - AIM OF THE STUDY: Malignant breast tumours are the largest oncological problem in
the developed world. In the recent years the number of new diagnoses has exceeded
16,500 per year. Published data regarding far-distant results of breast cancer
treatment that take under consideration the provincial division of the country
may not be representative of the therapeutic effects achieved in specific
oncological centres. The goal of this article is to analyse far-distant
therapeutic results in breast cancer patients treated at the Oncology Centre in
Bydgoszcz in 2006. They were compared with data available for Kujawsko-Pomorskie
Voivodeship and with all-Poland results. MATERIAL AND METHODS: A cohort of 667
breast cancer patients at Bydgoszcz Oncology Centre between Jan 1 and Dec 31,
2006 was studied. The majority of the studied group were patients in stage I
(26.2%) and II (48.3%) according to the TNM staging system, 17.5% were in stage
III, and 6.4% in stage IV. The 5-year survival and 5-year disease-free survival
rates were calculated. Median observation time was 79 months. RESULTS: A total of
148 patients (22.2%) suffered a relapse. There were 168 (25.2%) deaths caused by
primary disease. The 5-year survival probability was 0.761 +/-0.017 and the five
year disease-free survival probability was 0.807 +/-0.016. Median survival time
was 76.4 months, and median disease-free survival was 19.4 months. CONCLUSIONS:
The five-year survival probability for breast cancer patients undergoing
treatment at Bydgoszcz Oncology Centre was higher than all-Poland median five
year survival probability. The observation needs to be continued and should
include the assessment of treatment in subsequent time periods.
PMID- 26557777
TI - Comparative study of various subpopulations of cytotoxic cells in blood and
ascites from patients with ovarian carcinoma.
AB - AIM OF THE STUDY: A number of observations have indicated that the immune system
plays a significant role in patients with epithelial ovarian cancer (EOC). In
cases of EOC, the prognostic significance of tumour infiltrating lymphocytes has
not been clearly explained yet. The aim is to determine the phenotype and
activation molecules of cytotoxic T cell and NK cell subpopulations and to
compare their representation in malignant ascites and peripheral blood in
patients with ovarian cancer. MATERIAL AND METHODS: Cytotoxic cells taken from
blood samples of the cubital vein and malignant ascites were obtained from 53
patients with EOC. Their surface and activation characteristics were determined
by means of a flow cytometer. Immunophenotype multiparametric analysis of
peripheral blood lymphocytes (PBLs) and tumour infiltrating lymphocytes (TILs)
was carried out. RESULTS: CD3(+) T lymphocytes were the main population of TILs
(75.9%) and PBLs (70.9%). The number of activating T cells was significantly
higher in TILs: CD3(+)/69(+) 6.7% vs. 0.8% (p < 0.001). The representation of
(CD3(-)/16(+)56(+)) NK cells in TILs was significantly higher: 11.0% vs. 5.6% (p
= 0.041); likewise CD56(bright) and CD-56(bright) from CD56(+) cells were higher
in TILs (both p < 0.001). The activation receptor NKG2D was present in 45.1% of
TILs vs. 32.3% of PBLs (p = 0.034), but we did not find a significant difference
in the numbers of CD56(+)/NKG2D(+) in TILs and PBLs. CONCLUSIONS: These results
prove that the characteristics and intensity of anti-tumour responses are
different in compared compartments (ascites/PBLs). The knowledge of phenotype and
functions of effector cells is the basic precondition for understanding the anti
tumour immune response.
PMID- 26557778
TI - The influence of matrix metalloproteinase-2, -9, and -12 promoter polymorphisms
on Iranian patients with oesophageal squamous cell carcinoma.
AB - AIM OF THE STUDY: Matrix metalloproteinases (MMPs) are a zinc-dependant
endopeptidase family that can degrade extracellular matrix components. Their
dysregulation has been proven in several diseases, including cancer. Genetic
variations in MMP promoter regions can alter their expression. The aim of the
present study is to investigate the correlation of MMP-2 (-1306C/T), MMP-9 (
1562C/T), and MMP-12 (-82A/G) single nucleotide polymorphisms (SNPs) with
oesophageal squamous cell carcinoma (ESCC) initiation and progression
susceptibility in Iranian patients. MATERIAL AND METHODS: MMP-2 (-1306C/T), MMP-9
(-1562C/T), and MMP-12 (-82A/G) SNPs were detected using polymerase chain
reaction-restriction fragment-length polymorphism (PCR-RFLP) technique in 70
patients and 60 healthy controls. The genotypes and allele distributions were
statistically compared in patients and controls. The correlation of MMP-2 (
1306C/T) and MMP-9 (-1562C/T) polymorphisms with clinicopathological features
were investigated in 53 patients. RESULTS: No statistically significant
differences were observed in genotype and allele frequencies of MMP-2 (-1306C/T)
and MMP-9 (-1562C/T) between patients and controls (p > 0.05). In addition, no
relevance was observed in MMP-2 (-1306C/T) and MMP-9 (-1562C/T) SNPs and
clinicopathological features. There was no nucleotide variation in MMP-12 (-82)
in the case and control groups. CONCLUSIONS: This study indicates that these
three SNPs may have no significant association in ESCC risk in Iranian patients.
PMID- 26557779
TI - The effect of Lactobacillus casei extract on cervical cancer cell lines.
AB - AIM OF THE STUDY: Lactobacillus casei (L. casei) has been shown to inhibit the
proliferation of several types of cancer in vivo, but its effect on cervical
cells has not been reported. We incubated cells of the human cervical cell lines
Caski and HeLa with extracts of L. casei and investigated its effects on the
growth of the cells and possible synergy with anticancer drugs. MATERIAL AND
METHODS: Cell-free extracts of L. casei were prepared and purified. Cultures of
Caski and HeLa cells adhering to tissue culture plates were treated with L. casei
extract. The effects of L. casei extract on the growth of cancer cells and its
possible synergy with anti-cancer drugs in cervical cancer cell lines were
investigated. The cells were treated with L. casei extract alone, anti-cancer
drugs alone [doxorubicin, paclitaxel, 5-fluorouracil (5-FU), and cisplatin], or
L. casei extract plus anti-cancer drugs. RESULTS: L. casei extract had no
significant effect on the growth rate of the two cell lines. Anti-cancer drugs
alone induced growth inhibition, but there was no synergistic effect of L. casei
extract on growth inhibition. CONCLUSIONS: L. casei extract does not have a
potent effect on the viability of cervical cancer cells in vitro. In addition, L.
casei extract has no synergistic effect on the inhibition of growth of cancer
cells in the presence of anti-cancer drugs.
PMID- 26557781
TI - Clinical observation of docetaxel or gemcitabine combined with cisplatin in the
chemotherapy after surgery for stage II-III non-small cell lung cancer.
AB - AIM OF THE STUDY: This study aimed to compare the efficacy and toxicity of
docetaxel combined with cisplatin (DP) and gemcitabine combined with cisplatin
(GP) in postoperative chemotherapy after surgery of non-small cell lung cancer
(NSCLC). MATERIAL AND METHODS: A total of 92 patients diagnosed with NSCLC after
surgery were enrolled, and they were treated with DP (DP group) and GP (GP
group). The efficacy and toxicity of the medications were then compared. RESULTS:
Approximately 92.4% (85 out of 92) of the patients received chemotherapy for more
than three weeks. In the DP and GP groups, the incidence rates of grade III-IV
thrombocytopenia were 24.4% and 6.38%, respectively, whereas the incidence rates
of alopecia were 88.9% and 25.5%, respectively. The difference between the two
groups was statistically significant (p < 0.05). Disease-free survival rates in
DP group in one and two years were 76.5% and 50.47%, respectively, whereas in the
GP group they were 77.8% and 49.52%, respectively. No significant difference was
observed between the two groups (p > 0.05). CONCLUSIONS: These results showed
similar disease-free survival rates of DP and GP therapies in one and two years
after surgery for NSCLC. However, the DP group exhibited higher incidence rates
of grade III-IV thrombocytopenia and alopecia than the GP group. Therefore, we
should select a specific treatment for each patient according to individual
differences.
PMID- 26557780
TI - The rationale for HPV-related oropharyngeal cancer de-escalation treatment
strategies.
AB - The treatment paradigms for head and neck squamous cell cancer (HNSCC) are
changing due to the emergence of human papillomavirus-associated tumors (HPV
related), possessing distinct molecular profiles and responses to therapy.
Retrospective studies have suggested that HPV-related HNSCCs are more frequently
cured than those caused by tobacco. Current clinical trials focus on the
reduction of treatment-related toxicity and the development of HPV-targeted
therapies. New treatment strategies include: 1) dose reduction of radiotherapy,
2) the use of cetuximab instead of cisplatin for chemo-radiation 3) less invasive
surgical options, i.e. trans-oral robotic surgery and trans-oral laser
microlaryngoscopy, and 4) more specific treatment attempts, including
immunotherapeutic strategies, thanks to increasing comprehension of the molecular
background of HPV-related HNSCC. Whereas recently published data shed light on
immune mechanisms, other studies have focused on specific vaccination against HPV
related HNSCC. A crucial problem is patient selection to the chosen bias. Truly
HPV-related cancers (p16-positive and HPV DNA-positive) with biomarkers for good
response to therapy could be included in randomized trials aiming for less severe
and better tailored therapy.
PMID- 26557782
TI - Evaluation of efficacy and safety of robotic stereotactic body radiosurgery and
hypofractionated stereotactic radiotherapy for vertebral metastases.
AB - AIM OF THE STUDY: The purpose of this study was to evaluate the efficacy and
safety of applying CyberKnife (CK) radiosurgery in patients with spinal
metastases. MATERIAL AND METHODS: Twenty-eight patients with vertebral metastases
treated using the CK system were included in the study. Eleven patients suffered
from pain, and in 1 case neurological symptoms were observed. The remaining
patients were free from clinical symptoms of metastatic disease. The doses
applied ranged between 8 and 40 Gy delivered in 4 fractions of 8-15 Gy. RESULTS:
In the first follow-up evaluation (mean 4.5 months after the treatment), pain was
stable in 5 of 8 evaluable cases and in 3 regression occurred. The last follow-up
examination (mean 11 months after stereotactic radiosurgery) revealed stable
ailments in 3 of 6 evaluable cases, improvement in 3 and new complaints in
another 4 patients. In 17 patients imaging studies were conducted after a mean
time of 11 months after CK treatment. Stabilization was confirmed in 11,
regression in 4 and progression in 2 cases. Median overall survival was 20.6
months. Median progression-free survival was 12.6 months. No side effects
attributable to the treatment were observed, but during follow-up transient or
permanent deterioration in neurological status as a consequence of disease
progression was diagnosed in 4 patients. Delivery time of a single fraction
ranged between 0.5 and 1.5 hours. CONCLUSIONS: Robotic stereotactic radiosurgery
as part of multimodality therapy for metastatic spinal tumours is safe and
effective. Because of long irradiation times, this kind of treatment is not
suitable for patients in poor general condition.
PMID- 26557783
TI - Understanding the purpose of treatment and expectations in patients with
inoperable lung cancer treated with palliative chemotherapy.
AB - AIM OF THE STUDY: Informing cancer patients about various types of treatment and
their adverse effects and communicating negative information is an important
element of diagnostic and therapeutic procedures. Understanding the purpose of
treatment and expectations, and socio-demographic factors in patients undergoing
palliative chemotherapy because of lung cancer. MATERIAL AND METHODS: The study
included 100 patients with lung cancer at the age of 40-80 years (mean 63.1) in
the Oncology Center in Bydgoszcz in 2013-2014. The diagnostic survey method with
the author's questionnaire was used. RESULTS: Forty-one percent of patients were
convinced that the purpose of chemotherapy is to cure the disease. Both
inhabitants of small towns (population below 50 thousand) and large villages (p =
0.09) were similarly convinced about the effectiveness of chemotherapy. Seventy
three percent of inhabitants of small towns and 79% of country dwellers (p =
0.005) thought that chemotherapy is aimed at improving the quality of life.
Patients with very good economic conditions responded that chemotherapy is
designed to improve the quality of life more often than those with good and bad
economic conditions, 90%, 88% and 60%, respectively (p = 0.001). With the
increase in population the number of people who claimed that palliative
chemotherapy prolongs their life increased, 71%, 77% and 90%, respectively (p =
0.03). CONCLUSIONS: The knowledge of patients with lung cancer about palliative
chemotherapy is insufficient. Almost half of them do not understand the purpose
of treatment and hope that chemotherapy will cure them of the disease. Most
patients know that the aim of chemotherapy is to alleviate symptoms and improve
quality of life and prolong their life. Half of the patients want to obtain
information on treatment and half of them about life expectancy. Almost half of
the patients feel stress and anxiety towards chemotherapy. Most patients do not
use the help of a psychologist and do not feel such a need.
PMID- 26557784
TI - Changing incidence of esophageal cancer among white women: analysis of SEER data
(1992-2010).
AB - AIM OF THE STUDY: To analyse trends in the incidence rates of adenocarcinoma and
squamous cell carcinoma of the oesophagus (ACE and SCC, respectively) in white
women between 1992 and 2010. MATERIAL AND METHODS: We used data from the
Surveillance, Epidemiology, and End Results (SEER program to identify cases of
esophageal cancer). Age adjusted incidence rates (IR) were calculated for ACE and
SCC for two different time periods (1992-1996 and 2006-2010) and stratified by
age, stage, and histologic type. We used joinpoint analysis to detect changes in
rates between 1992 and 2010. RESULTS: Between the time periods 1992-1996 and 2006
2010, the age-adjusted incidence rates for SCC in white women decreased from
1.2/100,000 to 0.8/100,000 personyears, and for ACE it increased from 0.5/100,000
to 0.7/100,000 personyears. Similar to white men, the increase in the incidence
of ACE was consistent for all stages and all age groups in white women. However,
it was most pronounced in women aged 45-59 years, where the incidence of ACE
(0.9/100,000 person-years) in 2006-2010 exceeded the incidence of SCC
(0.6/100,000 person-years). On joinpoint regression analysis, an inflection point
was seen in 1999 for ACE, indicating a slower rate of increase for ACE after 1999
(annual percentage change of 8.00 before 1999 vs. 0.88 starting in 1999).
CONCLUSIONS: The incidence of ACE is increasing in white women, irrespective of
age or stage. Indeed, ACE is now more common than SCC in white women between 45
and 59 years of age.
PMID- 26557785
TI - Photodynamic diagnosis - current tool in diagnosis of carcinoma in situ of the
urinary bladder.
PMID- 26557786
TI - Multiple endocrine neoplasia and primary hyperparathyroidism - practical
approach.
PMID- 26557787
TI - Examining the influence of treatment preferences on attrition, adherence and
outcomes: a protocol for a two-stage partially randomized trial.
AB - BACKGROUND: Empirical evidence pertaining to the influence of treatment
preferences on attrition, adherence and outcomes in intervention evaluation
trials is inconsistent. The inconsistency can be explained by the method used for
allocating treatment and measuring preferences. The current methodological study
is designed to address these factors by implementing the two-stage partially
randomized or preference trial design, and administering a validated measure to
assess participants' preferences for the treatments under evaluation. It aims to
compare attrition, adherence and outcomes for participants allocated randomly or
by preference to treatment. The study is in its final stages of data collection;
its protocol is presented in this paper. METHODS/DESIGN: A partially randomized
clinical or preference trial is used. Eligible participants are randomized to two
trial arms. First is the random arm involving random assignment to treatments,
and second is the preference arm involving allocation to the chosen treatment.
Participants with chronic insomnia are targeted. Two behavioral treatments are
offered, stimulus control therapy and sleep restriction therapy, in the same
format (small group) and dose (two sessions given over a 4-week period). A
participant log is used to collect data on attrition. Adherence is evaluated in
terms of exposure and enactment of treatment. Sleep-related outcomes (sleep
parameters and perceived insomnia severity) are measured at pretest, posttest, 6
and 12 month follow-up. Treatment preferences, adherence and outcomes are
assessed with reliable and valid measures. DISCUSSION: The advantages and
limitations of the preference trial design are highlighted. The challenges in
implementing the trial are discussed relative to the distribution of participants
in the groups defined by treatment received and method of treatment allocation.
TRIAL REGISTRATION: ClinicalTrials.gov Registry NCT02513017.
PMID- 26557788
TI - Haemodialysis work environment contributors to job satisfaction and stress: a
sequential mixed methods study.
AB - BACKGROUND: Haemodialysis nurses form long term relationships with patients in a
technologically complex work environment. Previous studies have highlighted that
haemodialysis nurses face stressors related to the nature of their work and also
their work environments leading to reported high levels of burnout. Using Kanters
(1997) Structural Empowerment Theory as a guiding framework, the aim of this
study was to explore the factors contributing to satisfaction with the work
environment, job satisfaction, job stress and burnout in haemodialysis nurses.
METHODS: Using a sequential mixed-methods design, the first phase involved an on
line survey comprising demographic and work characteristics, Brisbane Practice
Environment Measure (B-PEM), Index of Work Satisfaction (IWS), Nursing Stress
Scale (NSS) and the Maslach Burnout Inventory (MBI). The second phase involved
conducting eight semi-structured interviews with data thematically analyzed.
RESULTS: From the 417 nurses surveyed the majority were female (90.9 %), aged
over 41 years of age (74.3 %), and 47.4 % had worked in haemodialysis for more
than 10 years. Overall the work environment was perceived positively and there
was a moderate level of job satisfaction. However levels of stress and emotional
exhaustion (burnout) were high. Two themes, ability to care and feeling
successful as a nurse, provided clarity to the level of job satisfaction found in
phase 1. While two further themes, patients as quasi-family and intense working
teams, explained why working as a haemodialysis nurse was both satisfying and
stressful. CONCLUSIONS: Nurse managers can use these results to identify issues
being experienced by haemodialysis nurses working in the unit they are
supervising.
PMID- 26557789
TI - Genetic risk factors in patients with deep venous thrombosis, a retrospective
case control study on Iranian population.
AB - BACKGROUND: Venous thromboembolism (VTE) could be manifested as deep venous
thrombosis (DVT) or pulmonary embolism (PE). DVT is usually the more common
manifestation and is usually formation of a thrombus in the deep veins of lower
extremities. DVT could occur without known underlying cause (idiopathic
thrombosis) which could be a consequence of an inherited underlying risk factor
or could be a consequence of provoking events, such as trauma, surgery or acute
illness (provoked thrombosis). Our aim in this study was to assess the impact of
some previously reported genetic risk factors including,
methylenetetrahydrofolate reductase (MTHFR) C677T and A1298C, plasminogen
activator inhibitor-1(PAI-1) 4G/5G, prothrombin 20210 and FV Leiden on occurrence
of DVT in a population of Iranian patients. METHODS: This long-term study was
conducted on 182 patients with DVT and also 250 age and sex matched healthy
subjects as control group. The diagnosis of DVT was based on patient's history,
clinical findings, D-dimer test, and confirmed by Doppler ultrasonography. After
confirmation of DVT, both groups were assessed for the five mentioned mutations.
The relationship between mutations and predisposition to DVT was calculated by
using logistic regression and expressed as an OR with a 95 % confidence interval
(CI). RESULTS: Our results revealed that FV Leiden (OR 6.7; 95 % CI = 2.2 to
20.3; P = 0.001), MTHFR C677T (OR 6.0; 95 % CI = 2.2 to 16.4; P < 0.001), MTHFR
A1298C (OR 8.3; 95 % CI = 4.4 to 15.8; P < 0.001), and PAI-1 4G/5G (OR 3.8; 95 %
CI = 2.1 to 7.2; P < 0.001) mutations were all significantly associated with an
increased risk of DVT. Prothrombin 20210 was found in none of the patients and
controls. CONCLUSION: Our findings suggest that genetic risk factors have a
contributory role on occurrence of DVT.
PMID- 26557790
TI - Psychoactive Substances Bill and Act of New Zealand: A Chance to Engage
Undergraduate Scientists with Society using a Transfer Learning Paradigm.
AB - Our aim was to develop a teaching paradigm that connected undergraduate's
neuropharmacological/toxicological knowledge to that of government policy. One
goal of undergraduate education should be to help develop scientists that can use
their scientific knowledge to critique government policy. There is little
research, however, on whether democratization of science occurs: nor how to
achieve this. Our work focused on a semi-structured workshop designed around the
Psychoactive Substances Bill (PSB). Third year science students were given a
questionnaire that was designed to address whether participating in the workshop
enhanced their understanding of the PSB and its relationship to their established
knowledge (i.e., transfer learning). Furthermore, whether they felt that they had
enough expertise to consider making a submission (i.e., societal engagement).
Results showed that the students appreciated the opportunity to explore potential
application of their knowledge and delve into a socio-scientific issue. However,
our findings suggested they felt uncomfortable discussing their ideas outside the
classroom: nor, did they identify themselves as having sufficient knowledge to
contribute to a submission. In conclusion, this study highlights two points.
First, that discussion based transfer learning can be used in the tertiary sector
and students value the opportunity to apply their knowledge to socio-scientific
issue. Second, if social participation and democratization of science is a goal,
then more emphasis should be placed on how students can realistically and
confidently apply their learning to change social policy. In order to achieve
this, education programs need to focus on legitimate real-life processes such as
the PSB for engagement.
PMID- 26557791
TI - Pencil-and-Paper Neural Networks: An Undergraduate Laboratory Exercise in
Computational Neuroscience.
AB - Although it has been more than 70 years since McCulloch and Pitts published their
seminal work on artificial neural networks, such models remain primarily in the
domain of computer science departments in undergraduate education. This is
unfortunate, as simple network models offer undergraduate students a much-needed
bridge between cellular neurobiology and processes governing thought and
behavior. Here, we present a very simple laboratory exercise in which students
constructed, trained and tested artificial neural networks by hand on paper. They
explored a variety of concepts, including pattern recognition, pattern
completion, noise elimination and stimulus ambiguity. Learning gains were evident
in changes in the use of language when writing about information processing in
the brain.
PMID- 26557792
TI - Developing the Next Generation of Civic-Minded Neuroscience Scholars:
Incorporating Service Learning and Advocacy Throughout a Neuroscience Program.
AB - The Neuroscience Program of Moravian College aspires to produce well-informed,
morally responsible and civically engaged individuals who will become the next
generation of community leaders. Through the integration of service learning and
advocacy into a Neuroscience curriculum, undergraduates are consistently involved
in meaningful community service with instruction and reflection that enriches
their learning experience, teaches civic responsibility and strengthens their
college and local communities. As a result of our brain awareness outreach
programming, formation of a local Society for Neuroscience chapter and advocacy
for scientific funding initiatives, we have created a model of student engagement
that has connected the academic to the practical in life altering ways for our
undergraduates. Our service experiences have become an educational awakening as
critical reflective thought creates new meaning and leads to growth and the
ability to take informed actions. As expressed in our students' portfolio
writings, our service learning endeavors have lead to personal growth,
contributed to humane conditions and engaged these citizens in purposeful
association with one another.
PMID- 26557794
TI - Non-Fiction Memoirs in the Neuroscience Classroom: A Window into the Minds of
Those Affected by Addiction.
AB - When I first developed an Addiction course for the Honors College at UMass-Boston
in 2006, it focused exclusively on the acute and long-term effects of
psychoactive substances on the brain. However, I soon realized that a strictly
biological perspective failed to capture how this complex disorder affected the
whole person. Students had no insight into addicts' thoughts, feelings, behaviors
or how their addiction affected others. To remedy this, students read two non
fiction memoirs: Tweak (Nic Sheff, young adult addict) and Beautiful Boy (David
Sheff, Nic's father) along with learning underlying neurobiology and
pharmacology. Reading memoirs students saw firsthand the impact of addiction on
individuals. Inspired by the diary structure of Tweak, one assignment asked
students to collect data about themselves in order to determine their daily
patterns/rituals and to contemplate the impact of removing these activities -
like the addict after rehabilitation. Other assignments asked students to do
close reading by selecting passages from Tweak and explaining how they related to
different facets of addiction (biological, environmental, effect on individual),
and to perform a comparative analysis between Beautiful Boy and Tweak to find
points of intersection and divergence. Most recently, students used the text of
Tweak to create interactive performances for a visiting high school class.
Memoirs provided students with detailed, honest accounts of lives affected by
addiction. The assignments and class discussions facilitated students
understanding of the impact of addiction on individuals and their families, which
was a powerful adjunct to learning about its underlying neurobiology and
pharmacology.
PMID- 26557793
TI - Extracellular Recording of Light Responses from Optic Nerve Fibers and the Caudal
Photoreceptor in the Crayfish.
AB - Few laboratory exercises have been developed using the crayfish as a model for
teaching how neural processing is done by sensory organs that detect light
stimuli. This article describes the dissection procedures and methods for
conducting extracellular recording from light responses of both the optic nerve
fibers found in the animal's eyestalk and from the caudal photoreceptor located
in the ventral nerve cord. Instruction for ADInstruments' data acquisition system
is also featured for the data collection and analysis of responses. The
comparison provides students a unique view on how spike activities measured from
neurons code image-forming and non-image-forming processes. Results from the
exercise show longer latency and lower frequency of firing by the caudal
photoreceptor compared to optic nerve fibers to demonstrate evidence of different
functions. After students learn the dissection, recording procedure, and the
functional anatomy, they can develop their own experiments to learn more about
the photoreceptive mechanisms and the sensory integration of modalities by these
light-responsive interneurons.
PMID- 26557796
TI - Undergraduate Biocuration: Developing Tomorrow's Researchers While Mining Today's
Data.
AB - Biocuration is a time-intensive process that involves extraction, transcription,
and organization of biological or clinical data from disjointed data sets into a
user-friendly database. Curated data is subsequently used primarily for text
mining or informatics analysis (bioinformatics, neuroinformatics, health
informatics, etc.) and secondarily as a researcher resource. Biocuration is
traditionally considered a Ph.D. level task, but a massive shortage of curators
to consolidate the ever-mounting biomedical "big data" opens the possibility of
utilizing biocuration as a means to mine today's data while teaching students
skill sets they can utilize in any career. By developing a biocuration assembly
line of simplified and compartmentalized tasks, we have enabled biocuration to be
effectively performed by a hierarchy of undergraduate students. We summarize the
necessary physical resources, process for establishing a data path, biocuration
workflow, and undergraduate hierarchy of curation, technical, information
technology (IT), quality control and managerial positions. We detail the
undergraduate application and training processes and give detailed job
descriptions for each position on the assembly line. We present case studies of
neuropathology curation performed entirely by undergraduates, namely the
construction of experimental databases of Amyotrophic Lateral Sclerosis (ALS)
transgenic mouse models and clinical data from ALS patient records. Our results
reveal undergraduate biocuration is scalable for a group of 8-50+ with relatively
minimal required resources. Moreover, with average accuracy rates greater than
98.8%, undergraduate biocurators are equivalently accurate to their professional
counterparts. Initial training to be completely proficient at the entry-level
takes about five weeks with a minimal student time commitment of four hours/week.
PMID- 26557795
TI - Using Tinbergen's Four Questions as the Framework for a Neuroscience Capstone
Course.
AB - Capstone courses for upper-division students are a common feature of the
undergraduate neuroscience curriculum. Here is described a method for adapting
Nikolaas Tinbergen's four questions to use as a framework for a neuroscience
capstone course, in this case with a particular emphasis on neurotoxins. This
course is intended to be a challenging opportunity for students to integrate and
apply knowledge and skills gained from their major study, a B.S. in Biological
Sciences with a Concentration in Integrative Physiology and Neurobiology. In
particular, a broad, integrative approach is favored, with emphasis placed on
primary literature, scientific process and effective, professional communication.
To achieve this, Tinbergen's four questions were adapted and implemented as the
overarching framework of the course. Tinbergen's questions range from the
proximate to ultimate/evolutionary view, providing an excellent base upon which
to teach students an integrative approach to understanding neuroscientific
phenomena. For example, a particular neurotoxin can be examined from the
proximate level (i.e., mechanism: how does this toxin specifically impact neural
physiology) to the ultimate/evolutionary level (i.e., adaptation: why and to what
extent did this toxin evolve naturally or the reason that it was initially
invented by humans). The mechanics, goals, and objectives of the course are
presented as we believe that it will serve as a flexible and useful model for
neuroscience capstone courses concerning a wide variety of topics across multiple
types of institutions.
PMID- 26557797
TI - The Cognitive Neuroscience of Sign Language: Engaging Undergraduate Students'
Critical Thinking Skills Using the Primary Literature.
AB - This article presents a modular activity on the neurobiology of sign language
that engages undergraduate students in reading and analyzing the primary
functional magnetic resonance imaging (fMRI) literature. Drawing on a seed
empirical article and subsequently published critique and rebuttal, students are
introduced to a scientific debate concerning the functional significance of right
hemisphere recruitment observed in some fMRI studies of sign language processing.
The activity requires minimal background knowledge and is not designed to provide
students with a specific conclusion regarding the debate. Instead, the activity
and set of articles allow students to consider key issues in experimental design
and analysis of the primary literature, including critical thinking regarding the
cognitive subtractions used in blocked-design fMRI studies, as well as possible
confounds in comparing results across different experimental tasks. By presenting
articles representing different perspectives, each cogently argued by leading
scientists, the readings and activity also model the type of debate and dialogue
critical to science, but often invisible to undergraduate science students.
Student self-report data indicate that undergraduates find the readings
interesting and that the activity enhances their ability to read and interpret
primary fMRI articles, including evaluating research design and considering
alternate explanations of study results. As a stand-alone activity completed
primarily in one 60-minute class block, the activity can be easily incorporated
into existing courses, providing students with an introduction both to the
analysis of empirical fMRI articles and to the role of debate and critique in the
field of neuroscience.
PMID- 26557798
TI - A Series of Computational Neuroscience Labs Increases Comfort with MATLAB.
AB - Computational simulations allow for a low-cost, reliable means to demonstrate
complex and often times inaccessible concepts to undergraduates. However,
students without prior computer programming training may find working with code
based simulations to be intimidating and distracting. A series of computational
neuroscience labs involving the Hodgkin-Huxley equations, an Integrate-and-Fire
model, and a Hopfield Memory network were used in an undergraduate neuroscience
laboratory component of an introductory level course. Using short focused surveys
before and after each lab, student comfort levels were shown to increase
drastically from a majority of students being uncomfortable or with neutral
feelings about working in the MATLAB environment to a vast majority of students
being comfortable working in the environment. Though change was reported within
each lab, a series of labs was necessary in order to establish a lasting high
level of comfort. Comfort working with code is important as a first step in
acquiring computational skills that are required to address many questions within
neuroscience.
PMID- 26557799
TI - Snack Cake 'Dissection': A Flipped Classroom Exercise to Engage Undergraduates
With Basic Neuroanatomy.
AB - This report describes a brief classroom activity for introducing basic
neuroanatomical terminology and concepts to undergraduates in a survey-level
course. Prior to completing the activity, students watched a short online lecture
discussing the relevant material. During class, students worked in groups to
'dissect' snack cakes using the information they learned in the video and then
reported and shared their 'results' using anatomical terminology. Quantitative
feedback suggests that students found that the exercise was useful practice, that
it helped increase their confidence in their ability to learn neuroanatomy, and
that it helped increase their interest in the material generally. Data from an
online anatomy quiz further supports the exercise's efficacy. Overall, the data
suggest that this exercise may be a worthwhile addition to courses that introduce
neuroanatomy to undergraduates.
PMID- 26557800
TI - Excitable Membranes and Action Potentials in Paramecia: An Analysis of the
Electrophysiology of Ciliates.
AB - The ciliate Paramecium caudatum possesses an excitable cell membrane whose action
potentials (APs) modulate the trajectory of the cell swimming through its
freshwater environment. While many stimuli affect the membrane potential and
trajectory, students can use current injection and extracellular ionic
concentration changes to explore how APs cause reversal of the cell's motion.
Students examine these stimuli through intracellular recordings, also gaining
insight into the practices of electrophysiology. Paramecium's large size of
around 150 um, simple care, and relative ease to penetrate make them ideal model
organisms for undergraduate students' laboratory study. The direct link between
behavior and excitable membranes has thought provoking evolutionary implications
for the study of paramecia. Recording from the cell, students note a small
resting potential around -30 mV, differing from animal resting potentials. By
manipulating ion concentrations, APs of the relatively long length of 20-30 ms up
to several minutes with depolarizations maxing over 0 mV are observed. Through
comparative analysis of membrane potentials and the APs induced by either calcium
or barium, students can deduce the causative ions for the APs as well as the
mechanisms of paramecium APs. Current injection allows students to calculate
quantitative electric characteristics of the membrane. Analysis will follow the
literature's conclusion in a V-Gated Ca(++) influx and depolarization resulting
in feedback from intracellular Ca(++) that inactivates V-Gated Ca(++) channels
and activates Ca-Dependent K(+) channels through a secondary messenger cascade
that results in the K(+) efflux and repolarization.
PMID- 26557801
TI - When All the Giants Are Gone.
PMID- 26557802
TI - Ishi no ue ni mo san nen(*) : Warming to the Changing Face of Neuroscience and
Neuroscience Education.
PMID- 26557804
TI - Ferrocene-Based Bioactive Bimetallic Thiourea Complexes: Synthesis and
Spectroscopic Studies.
AB - Bioactive 1,1'-(4,4'-di-ferrocenyl)di-phenyl thiourea and various metal complexes
of this ligand have been successfully synthesized and characterized by using
physicoanalytical techniques such as FT-IR and multinuclear ((1)H and (13)C) NMR
spectroscopy along with melting point and elemental analyses. The interaction of
the synthesized compounds with DNA has been investigated by using cyclic
voltammetric and viscometric measurements. The intercalation of the complexes
into the double helix structure of DNA is presumably occurring. Viscosity
measurements of the complexes have shown that there is a change in length and
this is regarded as the least ambiguous and the most critical test of the binding
model in solution. The relative potential of the complexes as anti-bacterial,
antifungal, and inhibition agents against the enzyme, alkaline phosphatase EC
3.1.3.1, has also been assessed and the complexes were found to be active
inhibitors.
PMID- 26557803
TI - An Instructor's Guide to (Some of) the Most Amazing Papers in Neuroscience.
AB - Although textbooks are still assigned in many undergraduate science courses, it
is now not uncommon, even in some of the earliest courses in the curriculum, to
supplement texts with primary source readings from the scientific literature. Not
only does reading these articles help students develop an understanding of
specific course content, it also helps foster an ability to engage with the
discipline the way its practitioners do. One challenge with this approach,
however, is that it can be difficult for instructors to select appropriate
readings on topics outside of their areas of expertise as would be required in a
survey course, for example. Here we present a subset of the papers that were
offered in response to a request for the "most amazing papers in neuroscience"
that appeared on the listserv of the Faculty for Undergraduate Neuroscience
(FUN). Each contributor was subsequently asked to describe briefly the content of
their recommended papers, their pedagogical value, and the audiences for which
these papers are best suited. Our goal is to provide readers with sufficient
information to decide whether such articles might be useful in their own classes.
It is not our intention that any article within this collection will provide the
final word on an area of investigation, nor that this collection will provide the
final word for the discipline as a whole. Rather, this article is a collection of
papers that have proven themselves valuable in the hands of these particular
educators. Indeed, it is our hope that this collection represents the inaugural
offering of what will become a regular feature in this journal, so that we can
continue to benefit from the diverse expertise of the FUN community.
PMID- 26557805
TI - Mechanisms of Cross-protection by Influenza Virus M2-based Vaccines.
AB - Current influenza virus vaccines are based on strain-specific surface
glycoprotein hemagglutinin (HA) antigens and effective only when the predicted
vaccine strains and circulating viruses are well-matched. The current strategy of
influenza vaccination does not prevent the pandemic outbreaks and protection
efficacy is reduced or ineffective if mutant strains emerge. It is of high
priority to develop effective vaccines and vaccination strategies conferring a
broad range of cross protection. The extracellular domain of M2 (M2e) is highly
conserved among human influenza A viruses and has been utilized to develop new
vaccines inducing cross protection against different subtypes of influenza A
virus. However, immune mechanisms of cross protection by M2e-based vaccines still
remain to be fully elucidated. Here, we review immune correlates and mechanisms
conferring cross protection by M2e-based vaccines. Molecular and cellular immune
components that are known to be involved in M2 immune-mediated protection include
antibodies, B cells, T cells, alveolar macrophages, Fc receptors, complements,
and natural killer cells. Better understanding of protective mechanisms by immune
responses induced by M2e vaccination will help facilitate development of broadly
cross protective vaccines against influenza A virus.
PMID- 26557806
TI - Selection of Thymocytes Expressing Transgenic TCR Specific for a Minor
Histocompatibility Antigen, H60.
AB - Minor histocompatibility antigens are MHC-bound peptides and contribute to the
generation of allo-responses after allogeneic transplantation. H60 is a dominant
minor H antigen that induces a strong CD8 T-cell response in MHC-matched
allogeneic transplantation settings. Here, we report establishment of a TCR
transgenic mouse line named J15, wherein T cells express TCRs specific for H60 in
complex with H-2K(b), and different fates of the thymocytes expressing J15 TCRs
in various thymic antigenic environments. Thymocytes expressing the J15 TCRs were
positively selected and differentiated into CD8(+) single positive (SP) cells in
the thymus of C57BL/6 mice, wherein the cognate antigen H60 is not expressed.
However, thymocytes were negatively selected in thymus tissue where H60 was
transgenically expressed under the control of the actin promoter, with double
positive stages of cells being deleted. Despite the ability of the H60H peptide
(LTFHYRNL) variant to induce cytotoxic activity from H60-specific CTL lines at
~50% of the activity induced by normal H60 peptides (LTFNYRNL), J15-expressing
thymocytes were positively selected in the thymus where the variant H60H was
transgenically expressed. These results demonstrate that a single amino-acid
change in the H60 epitope peptide influences the fate of thymocytes expressing
the cognate TCR.
PMID- 26557807
TI - A New Triggering Receptor Expressed on Myeloid Cells (TREM) Family Member, TLT-6,
is Involved in Activation and Proliferation of Macrophages.
AB - The triggering receptor expressed on myeloid cells (TREM) family, which is
abundantly expressed in myeloid lineage cells, plays a pivotal role in innate and
adaptive immune response. In this study, we aimed to identify a novel receptor
expressed on hematopoietic stem cells (HSCs) by using in silico bioinformatics
and to characterize the identified receptor. We thus found the TREM-like
transcript (TLT)-6, a new member of TREM family. TLT-6 has a single
immunoglobulin domain in the extracellular region and a long cytoplasmic region
containing 2 immunoreceptor tyrosine-based inhibitory motif-like domains. TLT-6
transcript was expressed in HSCs, monocytes and macrophages. TLT-6 protein was up
regulated on the surface of bone marrow-derived and peritoneal macrophages by
lipopolysaccharide stimulation. TLT-6 exerted anti-proliferative effects in
macrophages. Our results demonstrate that TLT-6 may regulate the activation and
proliferation of macrophages.
PMID- 26557808
TI - Galectin-9 is Involved in Immunosuppression Mediated by Human Bone Marrow-derived
Clonal Mesenchymal Stem Cells.
AB - Bone marrow-derived mesenchymal stem cells (MSCs) have immunomodulatory
properties and can suppress exaggerated pro-inflammatory immune responses.
Although the exact mechanisms remain unclear, a variety of soluble factors are
known to contribute to MSC-mediated immunosuppression. However, functional
redundancy in the immunosuppressive properties of MSCs indicates that other
uncharacterized factors could be involved. Galectin-9, a member of the beta
galactoside binding galectin family, has emerged as an important regulator of
innate and adaptive immunity. We examined whether galectin-9 contributes to MSC
mediated immunosuppression. Galectin-9 was strongly induced and secreted from
human MSCs upon stimulation with pro-inflammatory cytokines. An in vitro
immunosuppression assay using a knockdown approach revealed that galectin-9
deficient MSCs do not exert immunosuppressive activity. We also provided evidence
that galectin-9 may contribute to MSC-mediated immunosuppression by binding to
its receptor, TIM-3, expressed on activated lymphocytes, leading to apoptotic
cell death of activated lymphocytes. Taken together, our findings demonstrate
that galectin-9 is involved in MSC-mediated immunosuppression and represents a
potential therapeutic factor for the treatment of inflammatory diseases.
PMID- 26557809
TI - Altered Gut Microbiota Composition in Rag1-deficient Mice Contributes to
Modulating Homeostasis of Hematopoietic Stem and Progenitor Cells.
AB - Hematopoietic stem and progenitor cells (HSPCs) can produce all kind of blood
lineage cells, and gut microbiota that consists of various species of microbe
affects development and maturation of the host immune system including gut
lymphoid cells and tissues. However, the effect of altered gut microbiota
composition on homeostasis of HSPCs remains unclear. Here we show that
compositional change of gut microbiota affects homeostasis of HSPCs using Rag1 (
/-) mice which represent lymphopenic condition. The number and proportions of
HSPCs in Rag1 (-/-) mice are lower compared to those of wild types. However, the
number and proportions of HSPCs in Rag1 (-/-) mice are restored as the level of
wild types through alteration of gut microbiota diversity via transferring feces
from wild types. Gut microbiota composition of Rag1 (-/-) mice treated with feces
from wild types shows larger proportions of family Prevotellaceae and
Helicobacterceae whereas lower proportions of family Lachnospiraceae compared to
unmanipulated Rag1 (-/-) mice. In conclusion, gut microbiota composition of
lymphopenic Rag1 (-/-) mice is different to that of wild type, which may lead to
altered homeostasis of HSPCs.
PMID- 26557811
TI - Standardization of Diagnostics in Blood Safety.
PMID- 26557810
TI - Engagement of CD99 Reduces AP-1 Activity by Inducing BATF in the Human Multiple
Myeloma Cell Line RPMI8226.
AB - CD99 signaling is crucial to a diverse range of biological functions including
survival and proliferation. CD99 engagement is reported to augment activator
protein-1 (AP-1) activity through mitogen-activated protein (MAP) kinase pathways
in a T-lymphoblastic lymphoma cell line Jurkat and in breast cancer cell lines.
In this study, we report that CD99 differentially regulated AP-1 activity in the
human myeloma cell line RPMI8226. CD99 was highly expressed and the CD99
engagement led to activation of the MAP kinases, but suppressed AP-1 activity by
inducing the expression of basic leucine zipper transcription factor, ATF-like
(BATF), a negative regulator of AP-1 in RPMI8226 cells. By contrast, engagement
of CD99 enhanced AP-1 activity and did not change the BATF expression in Jurkat
cells. CD99 engagement reduced the proliferation of RPMI8226 cells and expression
of cyclin 1 and 3. Overall, these results suggest novel CD99 functions in
RPMI8226 cells.
PMID- 26557812
TI - Standardization of NAT for Blood-Borne Pathogens.
AB - Assays based on nucleic acid amplification technology (NAT) are increasingly used
for screening of blood and for diagnosis or monitoring of patients. Both
regulatory requirements for blood screening and international recommendations for
the treatment of patients are based on common reference materials available
globally for the standardization of NAT assays. World Health Organization
International Standards (WHO ISs) and International Reference Panels (WHO IRPs)
are primary reference materials. The characterization and manufacture of WHO
reference materials as well as their evaluation is performed on behalf of the WHO
by collaborating centers; their establishment is decided upon by the WHO Expert
Committee on Biological Standardization (ECBS). The potency of the first WHO IS
is defined by the 'international unit' (IU) which should be maintained upon
replacement of the IS. The IU, unlike copy number or genome equivalent, is
defined by the IS with a physical existence, is available worldwide, and allows
traceability and comparability of results. The anticipated use of WHO ISs is the
calibration of secondary standards or the validation of essential assay features,
e.g. limit of detection.
PMID- 26557813
TI - Bench Test for the Detection of Bacterial Contamination in Platelet Concentrates
Using Rapid and Cultural Detection Methods with a Standardized Proficiency Panel.
AB - BACKGROUND: The most frequent infectious complication in transfusion therapy in
developed countries is related to the bacterial contamination of platelet
concentrates (PCs). Rapid and cultural screening methods for bacterial detection
in platelets are available, but external performance evaluation, especially of
rapid methods, has been difficult to realize so far. Here we summarize the
results of three individual collaborative trials using an external quality
assessment program (EQAP) for the application of current rapid and cultural
screening methods. METHODS: Three different modules were available for the
detection of bacterial contamination: module 1: rapid methods, module 2: culture
methods, module 3: bacterial identification methods. The sample set-up included
up to six different bacterial strains, 1-2 negative samples and 4-6 positive
samples with stabilized bacterial cell counts (approximately 10(3)/10(4)/10(5)
CFU/ml). Time schedule for testing was limited (module 1: 6 h, module 2 and 3: 7
days). RESULTS: Samples of module 1 were analyzed with two different rapid
methods (BactiFlow, NAT). The results of the three individual collaborative
trials showed that all participants detected the negative samples with both
assays correctly. Samples spiked with 10(4) to 10(5) CFU/ml of bacteria obtained
positive results with both rapid screening methods, whereas samples spiked with
only 10(3) CFU/ml disclosed a lower number of correctly identified positive
results by NAT (86.6-93.8% sensitivity) compared to BactiFlow (100% sensitivity).
The results for modules 2 and 3 revealed a 100% diagnostic sensitivity and
specificity in all three collaborative trials. CONCLUSION: This proficiency panel
facilitates the verification of the analytical sensitivity of rapid and cultural
bacterial detection systems under controlled routine conditions. The concept of
samples provided in this EQAP has three main advantages: i) samples can be
examined by both rapid and culture methods, ii) the provided material is matrix
equivalent, and iii) the sample material is ready-to-use.
PMID- 26557814
TI - Retrospective Review of Platelet Transfusion Practices during 2013 Dengue
Epidemic of Delhi, India.
AB - BACKGROUND: Dengue infection is a major public health problem. During explosive
outbreaks, there is sudden surge in demands of platelet products. The present
study was carried out in order to review platelet transfusion practices during
the epidemic of dengue. METHODS: We retrospectively reviewed the clinical details
including the platelet counts and haemorrhagic tendencies of dengue patients as
well as the transfusion requirements of diagnosed dengue cases admitted at our
centre. RESULTS: A total of 1,750 random donor platelet and 114 single donor
platelet units were transfused to 531 patients. 23.2% platelet transfusions were
found to be inappropriate Mean dosage of platelets transfused was 2 * 10(11)
platelets per patient. A total of 347 (65.3%) patients had bleeding diathesis at
the time of presentation. Skin and the oropharynx were the most common bleeding
sites. Major bleeding was seen in 119 (34.3%) patients, whereas 228 (65.7%)
patients had minor bleeding episodes. CONCLUSION: The study emphasises the need
for minimising unnecessary transfusions and for using this scarce resource
judiciously, which can be achieved by strict adherence to evidence-based
transfusion guidelines and regular review of the on-going transfusion practices.
PMID- 26557815
TI - Characterization of Markers of the Progression of Human Parvovirus B19 Infection
in Virus DNA-Positive Plasma Samples.
AB - BACKGROUND: Accurate characterization of the infection stage in parvovirus
B19(B19V)-positive plasma donations would help establish the donation deferral
period to contribute to a safe fractionation pool of plasma. METHODS: Viral DNA
load of 74 B19V DNA-positive plasma samples from whole blood donations was
determined by titration using nucleic acid testing. Markers of cellular
(neopterin) and humoral (B19V-specific IgM and IgG) immune response were
determined by ELISA in 32 B19V DNA-positive samples and in 13 B19V DNA-negative
samples. The infection progression profile was estimated according to B19V DNA
load and the presence of immune response markers. RESULTS: B19V DNA load in the
74 samples was 10(6)-10(13) IU/ml. The distribution of 14 out of 32 selected B19V
DNA-positive samples plus 2 B19V DNA-negative samples with no immune response
marker followed along an upward curve according to B19V DNA load. After the peak,
the distribution of 18 immune marker-positive samples followed along a downward
curve according to their B19V DNA load and was grouped as follows: neopterin (n =
4), neopterin+ IgM (n = 8), neopterin + IgM + IgG (n = 3), IgM + IgG (n = 2), IgM
(n = 1). There were 11 B19V DNA-negative IgG-positive samples. CONCLUSION: This
study of B19V-DNA load and levels of neopterin, IgM, and IgG allows for reliable
characterization and distribution into the different stages of B19V infection.
PMID- 26557816
TI - Evaluation of Risk Minimisation Measures for Blood Components - Based on
Reporting Rates of Transfusion-Transmitted Reactions (1997-2013).
AB - BACKGROUND: To assess the impact of safety measures, we compared reporting rates
of transfusion-related reactions before and after the implementation of six
measures in 1999, 2004, 2006, 2008 and 2009. METHODS: Reporting rates of
transfusion-transmitted bacterial infection (TTBI), viral infection (TTVI) and
immune-mediated transfusion-related acute lung injury (TRALI) were calculated on
the basis of confirmed annual reports and distributed blood components. RESULTS:
The introduction of HCV NAT testing caused a significant reduction of HCV
reporting rate from 1:0.6 to 1:83.16 million administered blood components (p <
0.0001), donor screening for antibodies to hepatitis B core antigen caused a
reduction of HBV reporting rate from 1:2.90 to 1:10.70 million units (p =
0.0168). A significant reduction from 1:0.094 to 1:2.42 million fresh frozen
plasma (FFP) units could also be achieved by risk minimisation TRALI measures (p
< 0.0001). Implementation of pre-donation sampling did not result in a
significant decrease in TTBI, whereas limitation of shelf life for platelet
concentrate (PC) minimised the TTBI reporting rate from 1:0.088 to 1:0.19 million
PC units (p = 0.041). For HIV NAT pool testing, no significant reduction in HIV
transmission was found due to very low reporting rates (1:10 million versus 1:27
million blood components, p = 0.422). CONCLUSION: On the basis of haemovigilance
data, a significant benefit could be demonstrated for four of six implemented
safety measures.
PMID- 26557818
TI - Retraction Statement.
PMID- 26557819
TI - Targeted Therapies in Breast Cancer: New Approaches and Old Challenges.
PMID- 26557817
TI - Hepatitis E Virus.
PMID- 26557820
TI - Targeted Therapies in Triple-Negative Breast Cancer.
AB - Triple-negative breast cancer (TNBC) is a heterogeneous disease comprised of
several biologically distinct subtypes. However, treatment is currently mainly
relying on chemotherapy as there are no targeted therapies specifically approved
for TNBC. Despite initial responses to chemotherapy, resistance frequently and
rapidly develops and metastatic TNBC has a poor prognosis. New targeted
approaches are, therefore, urgently needed. Currently, bevacizumab, a monoclonal
anti-vascular endothelial growth factor (VEGF)-A antibody, is the only targeted
agent with an approval for the therapy of metastatic breast cancer, but does not
provide a specific benefit in the TNBC subtype. This review discusses the current
clinical developments in targeted approaches for TNBC, including anti-angiogenic
therapies, epidermal growth factor receptor (EGFR)-targeted therapies, poly(ADP
ribose) polymerase (PARP) inhibitors and platinum salts, as well as novel
strategies using immune-checkpoint inhibitors, which have recently demonstrated
first promising results. Strategies focusing on specific subtypes of TNBC like
anti-androgenic therapies for the luminal androgen receptor subtype (LAR) and
others are also discussed.
PMID- 26557821
TI - Targeted Therapies Overcoming Endocrine Resistance in Hormone Receptor-Positive
Breast Cancer.
AB - Breast cancer is a heterogeneous disease with different molecular subtypes. Most
tumours are hormone receptor positive (luminal subtype) with potential endocrine
responsiveness. Endocrine therapy is commonly used in these patients. Disease
progression caused by endocrine resistance represents a significant challenge in
the treatment of breast cancer. To understand the mechanisms of resistance of
long-term oestrogen-deprived breast cancer cells, it is important to focus on
cross-talk between steroid receptor signalling and other growth factor receptors
and intracellular pathways. (Pre-)clinical trials showed that co-targeting these
pathways can restore endocrine sensitivity. The focus of the current review is on
the intracellular PI3K/AKT/mTOR signalling pathway and cyclin-dependant kinases
(CDKs) in oestrogen receptor (ER)-positive breast cancer. Study results clearly
show that both inhibition of the PI3K/AKT/mTOR pathway and CDK4/6 are promising
ways to improve the efficacy of endocrine treatment in ER-positive breast cancer
patients with comparably few side effects. Further clinical trials are needed to
identify the patient population who would benefit most from a dual inhibition.
PMID- 26557822
TI - Targeted Therapies in HER2-Positive Breast Cancer - a Systematic Review.
AB - About 20% of all breast cancer patients have a human epidermal growth factor
receptor 2 (HER2)-positive breast tumor. This entity underwent an impressive
change in prognosis, with notable improvement of progression-free survival and
overall survival. Due to more aggressive tumors and no specific therapy, HER2
overexpression was historically seen as a negative prognostic marker, with worse
prognosis and increased risk of recurrent disease. Trastuzumab, the first anti
HER2 antibody, revolutionized the systemic therapy options in HER2-positive
breast cancer and initiated several targeted therapies and more personalized
treatment strategies. Over the years, multiple HER2-targeting drugs stepped into
clinical practice, for the curative as well as the metastatic situation. This
review summarizes the targeted treatment options in HER2-positive breast cancer
and their current impact in the clinical routine. Results of the most outstanding
trials in HER2-targeted therapies and important ongoing trials are subsequently
described for an up-to-date overview.
PMID- 26557823
TI - Surgical Strategies in the Treatment of Gynecomastia Grade I-II: The Combination
of Liposuction and Subcutaneous Mastectomy Provides Excellent Patient Outcome and
Satisfaction.
AB - BACKGROUND: Gynecomastia (GM) is a benign condition with glandular tissue
enlargement of the male breast. GM is classified into 4 grades of increasing
severity. We describe a series of GM grade I-II, diagnosed, treated surgically
and analyzed regarding feasibility, complication rate, and satisfaction. METHODS:
From 2005 to 2012, a chart review was performed for 53 patients. Preoperative
examination included endocrine and urological examination and exclusion of other
pathological conditions. The surgical technique consisted of liposuction through
an inframammarian-fold incision and excision of the glandular tissue by a minimal
periareolar approach. RESULTS: A total number of 53 male patients with 104
breasts were available for analysis. By liposuction, a median of 300 ml (range:
10-1000 ml) was aspirated from each breast and 25.1 g (range: 3-233 g) gland
tissue was resected. Surgery lasted between 25 and 164 min per patient (median:
72 min). 2 postoperative hemorrhages occurred (n = 2, 3.8%). 2 patients underwent
re-operation due to cosmetic reasons (n = 2, 3.8%). CONCLUSIONS: This analysis
demonstrates that treatment of GM grade I-II can easily be performed by
liposuction combined with subcutaneous resection of the glandular tissue as a
minimally invasive and low-impact surgical treatment with a low rate of
complications and excellent patient satisfaction. Preoperative workup is
important to rule out specific diseases or malignancy causing the GM.
PMID- 26557824
TI - AGO Recommendations for the Diagnosis and Treatment of Patients with Early Breast
Cancer: Update 2015.
PMID- 26557825
TI - AGO Recommendations for the Diagnosis and Treatment of Patients with Advanced and
Metastatic Breast Cancer: Update 2015.
PMID- 26557826
TI - Breast Lesions during Pregnancy - a Diagnostic Challenge: Case Report.
AB - BACKGROUND: Palpable masses of the breast often occur during pregnancy and should
be further investigated. The standard diagnostic during pregnancy is an
ultrasound combined, if needed, with a core needle biopsy. Most lesions are
benign in younger women but, nevertheless, the incidence of pregnancy-associated
breast cancer is 1 in 3,000 deliveries and rising. CASE REPORT: We report the
case of a 24-year-old patient diagnosed with a palpable breast lesion at 37 weeks
of gestation. An ultrasound was performed and the lesion was rated BI-RADS 4. The
initial core needle biopsy showed a ductal carcinoma in situ. After delivery and
ablactating, a mammography, breast magnetic resonance imaging and a second
ultrasound-guided biopsy was performed. Due to the inconclusive imaging and
histological results, a wide excision was performed and a juvenile papillomatosis
was confirmed. No further resection was necessary as the initial margins were
sufficient. CONCLUSION: This case suggests that the diagnosis of masses of the
breast during pregnancy and lactation can be quite difficult. Diagnosis should be
confirmed by an excision biopsy and by histological examination through an
experienced pathologist. As a significant proportion of papillomas contain
malignant regions, an argument exists for the complete excision of all papillary
tumours.
PMID- 26557828
TI - Exome Sequencing of a Family with Bardet-Biedl Syndrome Identifies the Common
Russian Mutation c.1967_1968delTAinsC in BBS7.
AB - Bardet-Biedl syndrome (BBS) is a rare autosomal recessive ciliopathy
characterized by obesity, postaxial polydactyly, retinitis pigmentosa, mental
retardation, and kidney abnormalities. At least 19 genes have been shown to be
associated with BBS, and therefore, genetic testing is highly complicated. We
used an Illumina MiSeq platform for whole exome sequencing analysis of a family
with strong clinical features of BBS. A homozygous c.1967_1968delTAinsC
(p.Leu656fsX673; RefSeq NM_176824.2) mutation in BBS7 was identified in both
affected children, while their healthy sibling and the non-consanguineous parents
were heterozygous for this allele. Genotyping of 2,832 DNA samples obtained from
Russian blood donors revealed 2 additional heterozygous subjects (0.07%) with the
c.1967_1968delTAinsC mutation. These findings may facilitate the genetic
diagnosis for Slavic BBS patients.
PMID- 26557829
TI - Inflammatory Bowel Diseases: Current Medical and Surgical Therapy.
PMID- 26557827
TI - 14th St. Gallen International Breast Cancer Conference 2015: Evidence,
Controversies, Consensus - Primary Therapy of Early Breast Cancer: Opinions
Expressed by German Experts.
AB - The key topics of this year's 14th St. Gallen Consensus Conference on the
diagnosis and therapy of primary breast cancer were again questions about breast
surgery and axillary surgery, radio-oncology and systemic therapy options in
consideration of tumor biology, and the clinical application of multigene assays.
This year, the consensus conference took place in Vienna. From a German
perspective, it makes sense to substantiate the results of the vote of the
international panel representing 19 countries in light of the updated national
therapy recommendations of the AGO (Arbeitsgemeinschaft Gynakologische
Onkologie). Therefore, 14 German breast cancer experts, 3 of whom are members of
the International St. Gallen Panel, have commented on the voting results of the
St. Gallen Consensus Conference 2015 in relation to clinical routine in Germany.
PMID- 26557830
TI - New Imaging Techniques in the Diagnosis of Inflammatory Bowel Diseases.
AB - BACKGROUND: Cross-sectional imaging modalities are fundamental in the management
of patients with inflammatory bowel disease (IBD) from the first diagnosis and
throughout the entire course of the disease. Over the past few years, the use of
magnetic resonance (MR) imaging (MRI) has considerably increased, and no other
imaging modality has experienced as advanced a development as MRI. METHODS: A
comprehensive literature search (PubMed/Medline) using keywords such as 'MR
enterography', 'imaging modalities', 'IBD', and 'Crohn's disease' was performed.
48 articles published between 1999 and 2015 were systematically reviewed. In this
article, besides the current standard MRI techniques, we review novel and
implementable for routine use MR techniques. The use of positron emission
tomography/computed tomography (PET/CT) and hybrid imaging such as PET/MRI with
enormous potential will also be briefly discussed. RESULTS: New imaging
techniques such as diffusion-weighted imaging, dynamic contrast-enhanced MR
perfusion, and MR motility imaging yield advanced findings about changes in the
microenvironment and alterations in motility of the affected bowel segment, and
are proven to improve the diagnostic accuracy in assessing the scale, activity
level, and severity of the IBD. Novel magnetization transfer imaging allows
direct visualization of fibrosis in the bowel wall. CONCLUSION: Diffusion
weighted imaging can be easily implemented in standard MRI for routine use to
further enhance the diagnostic accuracy in disease assessment. For validation of
magnetization transfer imaging, larger studies are warranted.
PMID- 26557832
TI - Surgical Principles in the Treatment of Ulcerative Colitis.
AB - BACKGROUND: The primary treatment of ulcerative colitis (UC) is conservative;
surgical intervention is carried out in the case of therapy-refractory situation,
imminent or malignant transformation, or complications. Surgery for UC should be
indicated by interdisciplinary means. Despite the development of drug therapy -
in particular the introduction of biologics -, a surgical intervention becomes
necessary in a relevant proportion of patients with UC throughout lifetime.
METHODS: A selective literature search was conducted, taking into account the
current studies, reviews, meta-analyses, and guidelines. PubMed served as a
database. The present work gives an overview of the surgical options, outcome as
well as peri- and postoperative management for patients with UC. RESULTS:
Approximately 20% of patients with UC will require surgery during the course of
their disease. The rate of colectomy after a disease duration of 10 years is at
approximately 16%. Unlike Crohn's disease, UC is principally surgically curable
since it is naturally limited to the colon and rectum. Restorative
proctocolectomy with an ileal pouch-anal anastomosis represents the surgical
treatment of choice. Large studies show a postoperative complication rate of
around 30% and a low mortality of 0.1% for this procedure. Chronic pouchitis is
one of the main factors limiting the surgical success of curing UC. Despite a
high postoperative complication rate, there is a long-term pouch success rate of
>90% after 10 and 20 years of follow-up. CONCLUSION: A close cooperation between
the various disciplines in the pre- and postoperative setting is essential for an
optimal outcome of patients with UC. Despite a 30% rate of early postoperative
complications, normal quality of life can ultimately be reached in more than 90%
of patients in experienced centers.
PMID- 26557831
TI - Medical Therapy of Active Ulcerative Colitis.
AB - BACKGROUND: Medical therapy of mild and moderate ulcerative colitis (UC) of any
extent is evidence-based and standardized by national and international
guidelines. However, patients with steroid-refractory UC still represent a
challenge. METHODS: A literature search using PubMed (search terms: ulcerative
colitis, therapy, new, 1-2008-2015) resulted in 821 publications. For the current
article, 88 citations were extracted including 36 randomized controlled studies,
18 reviews, and 8 meta-analyses. RESULTS: In steroid-refractory UC, early
intensive therapy using anti-tumor necrosis factor (TNF) antibodies or the
calcineurin inhibitors cyclosporine and tacrolimus is indicated in any case to
prevent progression to a toxic megacolon and/or to avoid proctocolectomy. In
patients with chronic disease activity, treatment with anti-TNF antibodies has a
higher level of evidence than azathioprine therapy and should therefore be
preferred. However, there is a subgroup of UC patients who may achieve prolonged
steroid-free remission on azathioprine monotherapy. The importance of
vedolizumab, a newly registered inhibiting antibody against integrin, has not yet
been fully clarified since direct comparison studies are lacking, in particular
in relation to anti-TNF antibodies. CONCLUSION: There is a great need for
additional innovative therapies, especially in cases of primary non-response or
secondary loss of response to anti-TNF antibodies. New small molecules (Janus
kinase inhibitors) are promising with an acceptable safety profile and efficacy
in UC. Further, strategies that target the intestinal microbiome are currently
considered for patients with active or relapsing UC, and may in the future open
up new therapeutic options.
PMID- 26557833
TI - Occult and Manifest Colorectal Carcinoma in Ulcerative Colitis: How Does It
Influence Surgical Decision Making?
AB - BACKGROUND: The incidence of colorectal cancer (CRC) among patients with
ulcerative colitis (UC) is increased compared to the general population. The
diagnosis of CRC potentially influences surgical decision making in patients with
UC. METHODS: This review considers clinical studies, systematic reviews, and
guidelines on the surgical therapy of CRC in UC. We searched the bibliographic
databases The Cochrane Library and Medline (applying MeSH terms such as 'Colitis,
Ulcerative/surgery', 'Colorectal Neoplasms', and 'Proctocolectomy, Restorative')
with no restriction on language, date, or country. Search results as well as
references of relevant publications were independently screened by both authors
of this review. RESULTS: The surgical gold standard for proven CRC in UC is
oncological proctocolectomy, if possible preferably as a restorative procedure
with formation of an ileal pouch-anal anastomosis. Mucosectomy and hand-sewn
anastomosis is the preferred option for fashioning the anastomosis in these
patients, especially in case of dysplasia or cancer in the rectum, although the
available data is not conclusive. In highly selected cases of patients with
histologically confirmed sporadic CRC without dysplasia in multiple random
biopsies and without relevant inflammation, a conventional limited oncological
resection is adequate. If UC patients with rectal cancer require radiotherapy, it
should be performed in a neoadjuvant setting because of the high risk of
radiation-induced pouch failure. CONCLUSION: Although restorative proctocolectomy
is clearly the gold standard therapy for patients with CRC in UC, surgical
decision making has to take into account the various settings and patient
factors.
PMID- 26557834
TI - Medical Therapy of Fibrostenotic Crohn's Disease.
AB - INTRODUCTION: The present review serves to provide a concise overview of the
current knowledge on therapeutic strategies with regard to fibrostenotic lesions
in Crohn's disease. METHODS: A literature search was performed focusing on the
last 5 years, and current concepts of pathophysiology, epidemiology, and
treatment have been summarized. RESULTS: Fibrostenotic lesions in Crohn's disease
are currently considered to be a consequence of the chronic inflammatory nature
of the disease. Hence, therapeutic strategies are limited to the concept that
early treatment of the inflammatory lesions can prevent structural changes, and
to various endoscopic and surgical approaches. Direct targeting of the
fibrostenotic lesion itself has not been the focus until now. This review will
provide an overview of the pathophysiology and epidemiology of fibrostenotic
lesions including current therapeutic approaches. Since research with regard to
other organ systems and fibrosis is far more advanced, current strategies from
available studies in these areas will be discussed. The results and the potential
impact for Crohn's disease will be considered. CONCLUSION: The vision of these
approaches is to reverse structural changes and restore normal function.
PMID- 26557835
TI - Medical Therapy of Perianal Crohn's Disease.
AB - BACKGROUND: Development of perianal fistulas are a common feature of Crohn's
disease (CD). Consequences are severe impairment of quality of life as well as
potentially life-threatening complications like abscess formation or bacterial
sepsis. Therefore, appropriate treatment is an important task in the management
of CD. METHODS: This review describes the epidemiology, pathogenesis, diagnosis,
and conservative medical treatment of perianal CD with regard to the available
literature. In February 2015, a PubMed search was performed with the following
terms (combined and separate): 'Crohn's disease management', 'Crohn's disease
complications', 'perianal Crohn's disease', 'fistulizing Crohn's disease',
'perianal fistulas', 'fistula healing', 'fistula closure'. From the search
results, 36 articles were chosen as core elements of this review. RESULTS: Pelvic
magnetic resonance imaging and rectal endosonography are established diagnostic
approaches to evaluate perianal fistulas in conjunction with endoscopy.
Antibiotics, thiopurines, and calcineurin inhibitors are useful agents in the
management of perianal CD. The availability of anti-TNF-alpha therapies has
substantially changed the prospects and goals of medical treatment, and fistula
healing seems to be possible in a substantial proportion of patients. CONCLUSION:
Antibiotics and several immunosuppressive drugs have improved the treatment of
fistulizing CD and should be combined with surgical measures.
PMID- 26557836
TI - Indications and Specific Surgical Techniques in Crohn's Disease.
AB - BACKGROUND: Crohn's disease (CD) as one of the major entities of chronic
inflammatory bowel diseases can affect all segments of the gastrointestinal tract
but occurs most often in the small bowel, the terminal ileum, the colon, and the
rectum. Typical symptoms include tiredness, lower abdominal pain, fever, and
diarrhea, which are initially treated by conservative measures. Most patients
will eventually develop complications such as fistulas, abscesses, or strictures.
Surgery is often unavoidable in these cases. METHODS: This review considers
studies on the treatment of CD, published from 1979 up to now. The literature
regarding the course, complications, and surgical therapy of CD was reviewed.
Searches were performed in PubMed, using the following key words: CD, surgery,
immunosuppression, guidelines, malnutrition as well as appropriate sub-items. In
most cases the literature is limited to detailed information on specific
therapeutic or diagnostic topics. Moreover, many studies are designed
retrospectively and with a small number of patients. Additionally, our long
standing experience with patients suffering from CD is taken into consideration
in this review. RESULTS: There is a wide variety of indications for surgery in CD
which includes complications like strictures, fistulas and abscess formation,
neoplasia, or refractoriness to medical therapy. The risk of developing
complications is about 33% after 5 years, and 50% after 20 years. Furthermore,
one-third of CD patients need surgical therapy within the first 5 years of
diagnosis. The treatment requires close cooperation between gastroenterologists
and surgeons. When indicated, surgery should be performed in a 'conservative'
fashion, i.e. as limited as possible, in order to achieve the required result and
to avoid small bowel syndrome. CONCLUSION: This article provides a complete
overview of indications and specific surgical treatment in patients with CD.
Surgery is typically indicated when complications of CD occur. An
interdisciplinary collaboration is necessary in order to ensure optimal
indications and timing of surgery. This is of paramount importance to achieve the
ultimate goal, i.e. a good quality of life of the patients.
PMID- 26557838
TI - Inflammatory Bowel Diseases: Current Medical and Surgical Therapy.
PMID- 26557837
TI - Endoscopic Therapy in Inflammatory Bowel Diseases.
AB - BACKGROUND: Endoscopy is an essential diagnostic and therapeutic modality in the
clinical care of inflammatory bowel disease (IBD) patients. Endoscopic therapy
can be used for treatment of disease-related strictures, surveillance and
resection of intraepithelial neoplasia, and treatment of fistulas or disease
related complications, and is currently being evaluated regarding its capacity in
in vivo molecular imaging procedures. METHODS: A literature search using Medline
and Science Citation Index was performed in March 2015. All studies on endoscopic
therapy in IBD published from 1980 to 2015 (March) were reviewed. Potential
studies were initially screened by title and abstract. The terms 'endoscopy IBD',
'endoscopy therapy IBD', 'dilatation IBD', 'strictureplasty Crohn's disease',
'endoscopy therapy fistula', 'endoscopy toxic megacolon', 'endoscopy dysplasia
IBD', 'endoscopy complications IBD', and 'molecular imaging IBD' were used in the
search. A total of 115 articles were studied to construct this review. RESULTS:
Dilatation is most useful in short anastomotic strictures, but can be also
undertaken in colonic strictures. Strictures in ulcerative colitis are always
suspicious for neoplasia and should be evaluated carefully. Lesions with
intraepithelial neoplasia can be resected when complete removal can be assured.
The finding of carcinoma or high-grade dysplasia in a random biopsy is an
indication for colectomy. If intraepithelial neoplasia is present in random
biopsy specimens, colectomy should similarly be recommended. Endoscopic therapy
of Crohn's fistulas is a possible emerging technology. In vivo molecular imaging
is currently being studied in IBD patients and offers promising therapeutic
opportunities. CONCLUSION: Therapeutic endoscopy is indispensable in the
management of IBD. It has to be carefully evaluated against alternative surgical
options but often offers an effective therapeutic approach. (c) 2015 S. Karger
GmbH, Freiburg.
PMID- 26557839
TI - The New Era of Interferon-Free Treatment of Chronic Hepatitis C.
AB - BACKGROUND: Within the development and approval of several new direct-acting
antivirals (DAA) against hepatitis C virus (HCV), a new era of hepatitis C
therapy has begun. Even more treatment options are likely to become available
during the next 1-2 years. METHODS: A summary of the current phase II and III
trials investigating DAA and a review of the recent HCV guidelines was conducted.
RESULTS: With the development of new potent DAA and the approval of different DAA
combinations, cure rates of HCV infection of >90% are achievable for almost all
HCV genotypes and stages of liver disease. Currently available DAA target
different steps in the HCV replication cycle, in particular the NS3/4A protease,
the NS5B polymerase, and the NS5A replication complex. Treatment duration varies
between 8 and 24 weeks depending on the stage of fibrosis, prior treatment, HCV
viral load, and HCV genotype. Ribavirin is required only for some treatment
regimens and may be particularly beneficial in patients with cirrhosis. DAA
resistance influences treatment outcome only marginally; thus, drug resistance
testing is not routinely recommended before treatment. In the case of treatment
failure, however, resistance testing should be performed before re-treatment with
other DAA is initiated. CONCLUSION: With the new, almost side effect-free DAA
treatment options chronic HCV infection became a curable disease. The clinical
benefit of DAA combination therapies in patients with advanced cirrhosis and the
effects on incidence rates of hepatocellular carcinoma remain to be determined.
PMID- 26557840
TI - Conjunctival Microangiopathy in a Patient with Neovascular Glaucoma.
AB - PURPOSE: To report a case of conjunctival microangiopathy secondary to diabetes
presenting as a red eye 5 years after glaucoma implant surgery for neovascular
glaucoma. MATERIALS AND METHODS: A 52-year-old Tongan male with a past history of
neovascular glaucoma secondary to proliferative diabetic retinopathy underwent
slitlamp examination and color photography of the anterior and posterior segment,
in addition to anterior segment fluorescein angiography. RESULTS: The
conjunctival venules perilimbally demonstrated tortuosity and dilation (saccular,
fusiform and tricornuate). Capillaries were tortuous, with sparse microaneurysm
formation, and arterioles were attenuated. Anterior segment fluorescein
angiography showed generalized iris ischemia, extensive perilimbal microvascular
degenerative changes and leakage of fluorescein into the anterior chamber. We are
unaware of previous reports in the literature on conjunctival microangiopathy
secondary to diabetes presenting as a red eye. CONCLUSIONS: We hypothesized that
the clinical features in our case may have been modified by a combination of
postoperative wound healing and degenerative vascular remodeling, in addition to
microvascular alterations secondary to both diabetes and consequent ocular
ischemia, which may have played a role.
PMID- 26557841
TI - Approximation of Corrected Calcium Concentrations in Advanced Chronic Kidney
Disease Patients with or without Dialysis Therapy.
AB - BACKGROUND: The following calcium (Ca) correction formula (Payne) is
conventionally used for serum Ca estimation: corrected total Ca (TCa) (mg/dl) =
TCa (mg/dl) + [4 - albumin (g/dl)]; however, it is inapplicable to advanced
chronic kidney disease (CKD) patients. METHODS: 1,922 samples in CKD G4 + G5
patients and 341 samples in CKD G5D patients were collected. Levels of TCa
(mg/day), ionized Ca(2+) (iCa(2+)) (mmol/l) and other clinical parameters were
measured. We assumed the corrected TCa to be equal to eight times the iCa(2+)
value (measured corrected TCa). We subsequently performed stepwise multiple
linear regression analysis using the clinical parameters. RESULTS: The following
formula was devised from multiple linear regression analysis. For CKD G4 + G5
patients: approximated corrected TCa (mg/dl) = TCa + 0.25 * (4 - albumin) + 4 *
(7.4 - pH) + 0.1 * (6 - P) + 0.22. For CKD G5D patients: approximated corrected
TCa (mg/dl) = TCa + 0.25 * (4 - albumin) + 0.1 * (6 - P) + 0.05 * (24 - HCO3 (-))
+ 0.35. Receiver operating characteristic analysis showed the high values of the
area under the curve of approximated corrected TCa for the detection of measured
corrected TCa >=8.4 mg/dl and <=10.4 mg/dl for each CKD sample. Both intraclass
correlation coefficients for each CKD sample demonstrated superior agreement
using the new formula compared to the previously reported formulas. CONCLUSION:
Compared to other formulas, the approximated corrected TCa values calculated from
the new formula for patients with CKD G4 + G5 and CKD G5D demonstrates superior
agreement with the measured corrected TCa.
PMID- 26557842
TI - Thrice-Weekly Nocturnal In-Centre Haemodiafiltration: A 2-Year Experience.
AB - BACKGROUND: Adequate control of plasma phosphate without phosphate binders is
difficult to achieve on a thrice-weekly haemodialysis schedule. The use of
quotidian nocturnal dialysis is effective but not practical in the in-centre
setting. This quality improvement project was set up as an exercise allowing the
evaluation of small-solute clearance by combining convection with extended-hour
dialysis in a thrice-weekly hospital setting. METHODS: A single-centred,
prospective analysis of patients' electronic records was performed from August
2012 to July 2014. The duration of haemodiafiltration was increased from a median
of 4.5 to 8 h. Dialysis adequacy, biochemical parameters and medications were
reviewed on a monthly basis. A reduction in plasma phosphate was anticipated, so
all phosphate binders were stopped. RESULTS: Since inception, 14 patients have
participated with over 2,000 sessions of dialysis. The pre-dialysis phosphate
level fell from a mean of 1.52 +/- 0.4 to 1.06 +/- 0.1 mmol/l (p < 0.05). The
average binder intake of 3.26 +/- 2.6 tablets was eliminated. A normal plasma
phosphate range has been maintained with increased dietary phosphate intake and
no requirement for intradialytic phosphate supplementation. CONCLUSION: Phosphate
control can be achieved without the need for binders or supplementation on a
thrice-weekly in-centre haemodiafiltration program.
PMID- 26557844
TI - Lung Segmentation in 4D CT Volumes Based on Robust Active Shape Model Matching.
AB - Dynamic and longitudinal lung CT imaging produce 4D lung image data sets,
enabling applications like radiation treatment planning or assessment of response
to treatment of lung diseases. In this paper, we present a 4D lung segmentation
method that mutually utilizes all individual CT volumes to derive segmentations
for each CT data set. Our approach is based on a 3D robust active shape model and
extends it to fully utilize 4D lung image data sets. This yields an initial
segmentation for the 4D volume, which is then refined by using a 4D optimal
surface finding algorithm. The approach was evaluated on a diverse set of 152 CT
scans of normal and diseased lungs, consisting of total lung capacity and
functional residual capacity scan pairs. In addition, a comparison to a 3D
segmentation method and a registration based 4D lung segmentation approach was
performed. The proposed 4D method obtained an average Dice coefficient of 0.9773
+/- 0.0254, which was statistically significantly better (p value ?0.001) than
the 3D method (0.9659 +/- 0.0517). Compared to the registration based 4D method,
our method obtained better or similar performance, but was 58.6% faster. Also,
the method can be easily expanded to process 4D CT data sets consisting of
several volumes.
PMID- 26557845
TI - Statistical Analysis of Haralick Texture Features to Discriminate Lung
Abnormalities.
AB - The Haralick texture features are a well-known mathematical method to detect the
lung abnormalities and give the opportunity to the physician to localize the
abnormality tissue type, either lung tumor or pulmonary edema. In this paper,
statistical evaluation of the different features will represent the reported
performance of the proposed method. Thirty-seven patients CT datasets with either
lung tumor or pulmonary edema were included in this study. The CT images are
first preprocessed for noise reduction and image enhancement, followed by
segmentation techniques to segment the lungs, and finally Haralick texture
features to detect the type of the abnormality within the lungs. In spite of the
presence of low contrast and high noise in images, the proposed algorithms
introduce promising results in detecting the abnormality of lungs in most of the
patients in comparison with the normal and suggest that some of the features are
significantly recommended than others.
PMID- 26557843
TI - A Prospective Observational Survey on the Long-Term Effect of LDL Apheresis on
Drug-Resistant Nephrotic Syndrome.
AB - BACKGROUND/AIMS: LDL apheresis (LDL-A) is used for drug-resistant nephrotic
syndrome (NS) as an alternative therapy to induce remission by improvement of
hyperlipidemia. Several clinical studies have suggested the efficacy of LDL-A for
refractory NS, but the level of evidence remains insufficient. A multicenter
prospective study, POLARIS (Prospective Observational Survey on the Long-Term
Effects of LDL Apheresis on Drug-Resistant Nephrotic Syndrome), was conducted to
evaluate its clinical efficacy with high-level evidence. METHODS: Patients with
NS who showed resistance to primary medication for at least 4 weeks were
prospectively recruited to the study and treated with LDL-A. The long-term
outcome was evaluated based on the rate of remission of NS 2 years after
treatment. Factors affecting the outcome were also examined. RESULTS: A total of
58 refractory NS patients from 40 facilities were recruited and enrolled as
subjects of the POLARIS study. Of the 44 subjects followed for 2 years, 21
(47.7%) showed remission of NS based on a urinary protein (UP) level <1.0 g/day.
The UP level immediately after LDL-A and the rates of improvement of UP, serum
albumin, serum creatinine, eGFR, and total and LDL cholesterol after the
treatment session significantly affected the outcome. CONCLUSIONS: Almost half of
the cases of drug-resistant NS showed remission 2 years after LDL-A. Improvement
of nephrotic parameters at termination of the LDL-A treatment was a predictor of
a favorable outcome.
PMID- 26557846
TI - CyberPsychological Computation on Social Community of Ubiquitous Learning.
AB - Under the modern network environment, ubiquitous learning has been a popular way
for people to study knowledge, exchange ideas, and share skills in the
cyberspace. Existing research findings indicate that the learners' initiative and
community cohesion play vital roles in the social communities of ubiquitous
learning, and therefore how to stimulate the learners' interest and participation
willingness so as to improve their enjoyable experiences in the learning process
should be the primary consideration on this issue. This paper aims to explore an
effective method to monitor the learners' psychological reactions based on their
behavioral features in cyberspace and therefore provide useful references for
adjusting the strategies in the learning process. In doing so, this paper firstly
analyzes the psychological assessment of the learners' situations as well as
their typical behavioral patterns and then discusses the relationship between the
learners' psychological reactions and their observable features in cyberspace.
Finally, this paper puts forward a CyberPsychological computation method to
estimate the learners' psychological states online. Considering the diversity of
learners' habitual behaviors in the reactions to their psychological changes, a
BP-GA neural network is proposed for the computation based on their personalized
behavioral patterns.
PMID- 26557848
TI - Willingness to Adopt Telemedicine in Major Iraqi Hospitals: A Pilot Study.
AB - The Iraqi healthcare services are struggling to regain their lost momentum. Many
physicians and nurses left Iraq because of the current situation in the country.
Despite plans of calling back the skilled health workforce, they are still
worried by the disadvantages of their return. Hence, technology plays a central
role in taking advantage of their profession through the use of telemedicine.
Studying the factors that affect the implementation of telemedicine is necessary.
Telemedicine covers network services, policy makers, and patient understanding. A
framework that includes the influencing factors in adopting telemedicine in Iraq
was developed in this study. A questionnaire was distributed among physicians in
Baghdad Medical City to examine the hypothesis on each factor. The Statistical
Package for the Social Sciences was utilized to verify the reliability of the
questionnaire and Cronbach's alpha test shows that the factors have values more
than 0.7, which are standard.
PMID- 26557847
TI - Molecular Features and Methylation Status in Early Onset (<=40 Years) Colorectal
Cancer: A Population Based, Case-Control Study.
AB - Colorectal cancer is usually considered a disease of the elderly. However, a
small fraction of patients develops colorectal cancer earlier. The aim of our
study was to define the frequency of known hereditary colorectal syndromes and to
characterise genetic and epigenetic features of early nonhereditary tumors.
Thirty-three patients <=40 years with diagnosis of colorectal cancer and 41
patients with disease at >60 years of age were investigated for MSI, Mismatch
Repair proteins expression, KRAS and BRAF mutations, hypermethylation, and LINE-1
hypomethylation. Detection of germline mutations was performed in Mismatch
Repair, APC and MUTYH genes. Early onset colorectal cancer showed a high
incidence of hereditary forms (18%). KRAS mutations were detected in 36% of early
nonhereditary tumors. Early onset colorectal cancer disclosed an average number
of methylated genes significantly lower when compared to the controls (p = 0.02).
Finally both of the two groups were highly methylated in ESR1, GATA5, and WT1
genes and were similar for LINE-1 hypomethylation. The genetic make-up of
carcinomas differs from young to elderly patients. Early onset tumors showed more
frequently a constitutional defective of Mismatch Repair System and a minor
number of methylated genes. Hypermethylation of ESR1, GATA5, and WT1 genes
suggests possible markers in the earlier diagnosis of colorectal tumorigenesis.
PMID- 26557849
TI - Seroprevalence of Herpes Simplex Virus Infection in HIV Coinfected Individuals in
Eastern India with Risk Factor Analysis.
AB - Herpes simplex virus type 2 (HSV-2) is the cause of most genital herpes while HSV
1 is responsible for orolabial and facial lesions. In immunocompromised
individuals, like HIV patients, impaired immunity leads to more frequent
symptomatic and asymptomatic HSV infection. Fifty-two blood samples from HIV
patients with clinically diagnosed HSV infection were taken as cases, while 45
blood samples each from HIV-infected (HIV control) and noninfected patients
without any herpetic lesion (non-HIV control) were taken as control. Serum was
tested for IgM and IgG antibodies of both HSV-1 and HSV-2 by ELISA. The
seroprevalence was compared among the three groups of study population,
considering the demographic and socioeconomic parameters. The HSV-2 IgM was
significantly higher (p < 0.005) in the HIV patient group (34.6%) than the HIV
control (2.2%) and non-HIV control (2.2%) groups, whereas HSV-2 IgG
seroprevalence was higher in both HIV patient (61.5%) and HIV control (57.8%)
groups than the non-HIV control group (17.8%). The prevalence of HSV-2 was
significantly higher in persons with multiple partners and in the reproductive
age group. The overall seroprevalence of HSV-1 IgM was too low (<5%), whereas it
was too high (about 90%) with HSV-1 IgG in all three study groups.
PMID- 26557850
TI - Effect of EDTA Conditioning and Carbodiimide Pretreatment on the Bonding
Performance of All-in-One Self-Etch Adhesives.
AB - Objective. This study evaluated the effect of ethylenediaminetetraacetic acid
(EDTA) conditioning and carbodiimide (EDC) pretreatment on the shear bond
strength of two all-in-one self-etch adhesives to dentin. Methods. Flat coronal
dentin surfaces were prepared on one hundred and sixty extracted human molars.
Teeth were randomly divided into eight groups according to two different self
etch adhesives used [G-Bond and OptiBond-All-In-One] and four different surface
pretreatments: (a) adhesive applied following manufacturer's instructions; (b)
dentin conditioning with 24% EDTA gel prior to application of adhesive; (c) EDC
pretreatment followed by application of adhesive; (d) application of EDC on EDTA
conditioned dentin surface followed by application of adhesive. Composite
restorations were placed in all the samples. Ten samples from each group were
subjected to immediate and delayed (6-month storage in artificial saliva) shear
bond strength evaluation. Data collected was subjected to statistical analysis
using three-way ANOVA and post hoc Tukey's test at a significance level of p <
0.05. Results and Conclusion. EDTA preconditioning as well as EDC pretreatment
alone had no significant effect on the immediate and delayed bond strengths of
either of the adhesives. However, EDC pretreatment on EDTA conditioned dentin
surface resulted in preservation of resin-dentin bond strength of both adhesives
with no significant fall over six months.
PMID- 26557851
TI - Impact of Digital Panoramic Radiograph Magnification on Vertical Measurement
Accuracy.
AB - Objectives. The purpose of this panoramic radiography study was to assess the
impact of image magnification on the accuracy of vertical measurements in the
posterior mandible. Methods. Six dental implants, inserted in the posterior
segments of a resin model, were used as reference objects. Two observers
performed implant length measurements using a proprietary viewer with two preset
image magnifications: the low (1.9 : 1) and the medium (3.4 : 1) image
magnifications. They also measured the implant lengths in two Digital Imaging
Communications in Medicine viewers set at low (1.9 : 1), medium (3.4 : 1), and
high (10 : 1) image magnifications. Results. The error between the measured
length and the real implant length was close to zero for all three viewers and
image magnifications. The percentage of measurements equal to the real implant
length was the highest (83.3%) for the high image magnification and below 30% for
all viewers with the low image magnification. Conclusions. The high and medium
image magnifications used in this study allowed accurate vertical measurements,
with all three imaging programs, in the posterior segments of a mandibular model.
This study suggests that a low image magnification should not be used for
vertical measurements on digital panoramic radiographs when planning an implant
in the posterior mandible.
PMID- 26557852
TI - Drug-Induced Rhabdomyolysis with Elevated Cardiac Troponin T.
AB - The essential role of cardiac troponin in the diagnosis of acute myocardial
infarction has led to the development of high-sensitivity assays, which are able
to detect very small amounts of myocardial necrosis. The high-sensitivity cardiac
troponin T assay, however, is not entirely specific for myocardial injury. This
case report describes a 48-year-old woman, who, two years after cardiac
transplantation, presented with rhabdomyolysis. During the course of the disease,
her troponin T level was elevated on repeated occasions, but other definitive
evidence of myocardial injury was not found. Asymptomatic cardiac troponin T
elevations during rhabdomyolysis may be due to either cardiac involvement or
false positive results stemming from skeletal muscle injury.
PMID- 26557853
TI - Are healthcare providers asking about environmental exposures? A community-based
mixed methods study.
AB - People living near environmental hazards may develop symptoms and health
conditions that require specialized monitoring and treatment by healthcare
providers. One emerging environmental hazard is coal ash. Coal ash is comprised
of small particles containing heavy metals, polycyclic aromatic hydrocarbons, and
radioactive elements. The overall purpose of this study was to explore whether
healthcare providers ask patients if they live near an environmental hazard like
coal ash storage sites and to assess what health conditions prompt a provider
inquiry. Focus groups were conducted in 2012 and a cross-sectional survey was
administered in 2013. Overall, 61% of survey respondents reported that their
healthcare providers never asked if they lived near an environmental hazard. One
focus group member stated "No, they don't ask that. They just always blame stuff
on you...." Respondents with asthma and other lung conditions were significantly
more likely to be asked by a healthcare provider if they lived near an
environmental hazard. Due to the unique exposures from environmental hazards and
the low prevalence of patients being asked about environmental hazards, we
recommend that healthcare providers take environmental health histories in order
to understand patients' exposures, to monitor symptoms of exposure, and to assist
with education about reducing exposure.
PMID- 26557854
TI - Protective Effect of Tetrandrine on Sodium Taurocholate-Induced Severe Acute
Pancreatitis.
AB - Tet is a type of alkaloid extracted from Stephania tetrandra, and it has recently
been demonstrated that Tet can protect against inflammation and free radical
injury and inhibit the release of inflammatory mediators. The present study was
designed to observe the protective effect of Tet on sodium taurocholate-induced
severe acute pancreatitis (SAP). The rat model of SAP was induced by retrograde
bile duct injection of sodium taurocholate and then treated with Verapamil and
Tet. The results showed that Tet can reduce NF-kappaB activation in pancreas
issue, inhibit the SAP cascade, and improve SAP through inducing pancreas acinar
cell apoptosis and stabilizing intracellular calcium in the pancreas, thus
mitigating the damage to the pancreas. Our study revealed that Tet may reduce
systemic inflammatory response syndrome (SIRS) and multiple organ dysfunction
syndromes (MODS) to protect against damage, and these roles may be mediated
through the NF-kappaB pathway to improve the proinflammatory/anti-inflammatory
imbalance.
PMID- 26557855
TI - Application of Antrodia camphorata Promotes Rat's Wound Healing In Vivo and
Facilitates Fibroblast Cell Proliferation In Vitro.
AB - Antrodia camphorata is a parasitic fungus from Taiwan, it has been documented to
possess a variety of pharmacological and biological activities. The present study
was undertaken to evaluate the potential of Antrodia camphorata ethanol extract
to accelerate the rate of wound healing closure and histology of wound area in
experimental rats. The safety of Antrodia camphorata was determined in vivo by
the acute toxicity test and in vitro by fibroblast cell proliferation assay. The
scratch assay was used to evaluate the in vitro wound healing in fibroblast cells
and the excision model of wound healing was tested in vivo using four groups of
adult Sprague Dawley rats. Our results showed that wound treated with Antrodia
camphorata extract and intrasite gel significantly accelerates the rate of wound
healing closure than those treated with the vehicle. Wounds dressed with Antrodia
camphorata extract showed remarkably less scar width at wound closure and
granulation tissue contained less inflammatory cell and more fibroblast compared
to wounds treated with the vehicle. Masson's trichrom stain showed granulation
tissue containing more collagen and less inflammatory cell in Antrodia camphorata
treated wounds. In conclusion, Antrodia camphorata extract significantly enhanced
the rate of the wound enclosure in rats and promotes the in vitro healing through
fibroblast cell proliferation.
PMID- 26557856
TI - Expression of Caspase-1 Gene Transcript Variant mRNA in Peripheral Blood
Mononuclear Cells of Patients with Primary Gout in Different TCM Syndromes.
AB - A large number of studies have shown that cysteinyl aspartate specific protease-1
(CASP1) played an important role in the inflammatory response of primary gout,
but the decreased expression of different CASP1 transcript variant could inhibit
the activation of IL-1beta. Our study mainly analyzed the expression level and
function of CASP1 gene transcript variant mRNA in peripheral blood mononuclear
cells of patients with gout in different TCM syndromes. The expression of CASP1
gene transcript variant and IL-1beta mRNA in PBMCs were detected in patients with
PG [acute phase (AP: 44 cases); nonacute phase (NAP: 52 cases)] and healthy
controls (HC: 30 cases) by reverse transcription-polymerase chain reaction and/or
real-time quantitative polymerase chain reaction. The expressions of plasma IL
1beta in patients with PG and HC were detected by enzyme-linked immunosorbent
assay. Dysregulated expression of the CASP1 gene and its transcript variant,
plasma proinflammatory cytokines in all patients with primary gout in different
TCM syndromes, correlation analysis showed that there was negative correlation
between the expression of CASP1-gamma gene transcript variant mRNA and IL-1beta
protein in APPG group. The study suggested that CASP1 gene and its transcript
variant may play a critical role in the inflammatory response of patients with PG
in different phases and TCM syndromes.
PMID- 26557857
TI - Antiviral Activities of Several Oral Traditional Chinese Medicines against
Influenza Viruses.
AB - Influenza is still a serious threat to human health with significant morbidity
and mortality. The emergence of drug-resistant influenza viruses poses a great
challenge to existing antiviral drugs. Traditional Chinese medicines (TCMs) may
be an alternative to overcome the challenge. Here, 10 oral proprietary Chinese
medicines were selected to evaluate their anti-influenza activities. These drugs
exhibit potent inhibitory effects against influenza A H1N1, influenza A H3N2, and
influenza B virus. Importantly, they demonstrate potent antiviral activities
against drug-resistant strains. In the study of mechanisms, we found that
Xiaoqinglong mixture could increase antiviral interferon production by activating
p38 MAPK, JNK/SAPK pathway, and relative nuclear transcription factors. Lastly,
our studies also indicate that some of these medicines show inhibitory activities
against EV71 and CVB strains. In conclusion, the 10 traditional Chinese
medicines, as kind of compound combination medicines, show broad-spectrum
antiviral activities, possibly also including inhibitory activities against
strains resistant to available antiviral drugs.
PMID- 26557858
TI - Scutellarin Reduces Endothelium Dysfunction through the PKG-I Pathway.
AB - Purpose. In this report, we investigated the protective mechanism of scutellarin
(SCU) in vitro and in vivo which could be involved in endothelial cGMP-dependent
protein kinase (PKG), vasodilator stimulated phosphoprotein (VASP) pathway, and
vascular endothelium dysfunction (EtD). Method. Human brain microvascular
endothelial cells (HBMECs) with hypoxia reoxygenation (HR) treatment and rats
with cerebral ischemia reperfusion (CIR) treatment were applied. Protein and mRNA
expression of PKG, VASP, and p-VASP were evaluated by Western blot and RT-PCR
methods. Vascular EtD was assessed by using wire myography to determine
endothelium-dependent vasorelaxation in isolated rat basilar artery (BA). Result.
In cultured HBMECs, SCU (0.1, 1, and 10 MUM) increased cell viability, mRNA,
protein level, and phosphorylative activity of PKG and VASP against HR injury. In
HR model of BA, SCU increased protein level of P-VASP. In rat CIR model, wire
myography demonstrated that SCU (45 and 90 mg/kg, i.v.) significantly reduced
ischemic size by partially restoring the endothelium dependent vasodilation of
BA; PKG inhibitor Rp-8-Br-cGMPS (50 MUg/kg, i.v.) reversed this protection of SCU
in CIR rats. Conclusion. SCU protects against cerebral vascular EtD through
endothelial PKG pathway activation.
PMID- 26557859
TI - Experimental Study of Antiatherosclerosis Effects with Hederagenin in Rats.
AB - The research tries to establish Wistar rat's model of atherosclerosis for
evaluating the antiatherosclerotic effect of hederagenin and exploring its
antiatherosclerosis-related mechanisms. The statistical data have shown that
hederagenin exhibits multiple pharmacological activities in the treatment of
hyperlipidemia, antiplatelet aggregation, liver protection, and anti
inflammation, indicating that hederagenin may exert a protective effect on
vascular walls by improving lipid metabolism disorders and lipid deposition. The
results show that hederagenin can correct the imbalance of endothelial function
by inhibiting the release of large amounts of iNOS and increasing eNOS contents
and inhibits the IKKbeta/NF-kappaB signaling pathway to reduce the release of IL
6, IFN-gamma, TNF-alpha, and other inflammatory factors. The experimental results
indicated that hederagenin can inhibit or ameliorate the pathological changes
associated with AS, displaying an excellent preventive function against AS.
PMID- 26557860
TI - Medicinal Plants and Natural Active Compounds for Diabetes and/or Obesity
Treatment.
PMID- 26557861
TI - Effect of alpha-Allocryptopine on Delayed Afterdepolarizations and Triggered
Activities in Mice Cardiomyocytes Treated with Isoproterenol.
AB - Objective. To investigate the effect of alpha-allocryptopine (ALL) on delayed
afterdepolarization (DAD) incidence and triggered activity (TA) in mice
administered isoproterenol (ISO). Methods. Mouse ventricular myocytes were
isolated. And the cellular electrophysiological properties of ventricular
myocytes were investigated. Results. We found that the incidences of DADs and TA
in mouse myocytes were increased by ISO treatment. In sharp contrast, triggered
arrhythmia events were rarely observed in myocytes with 10 MUM ALL treatment.
Transient inward current (I ti) was reduced significantly with ALL treatment,
which contributed to DAD-related triggered arrhythmia. Compared to Iso-treated
group, the L-type calcium current (I Ca,L) densities were decreased after
exposure to ALL, along with slower activation, quicker inactivation, and longer
time constant of recovery from inactivation kinetics. Conclusion. There is less
triggered arrhythmia events in ventricular myocytes treated with ALL. This effect
may be associated with the inhibition of I ti and I Ca,L.
PMID- 26557862
TI - Phenolic Compounds from Olea europaea L. Possess Antioxidant Activity and Inhibit
Carbohydrate Metabolizing Enzymes In Vitro.
AB - Phenolic composition and biological activities of fruit extracts from Italian and
Algerian Olea europaea L. cultivars were studied. Total phenolic and tannin
contents were quantified in the extracts. Moreover 14 different phenolic
compounds were identified, and their profiles showed remarkable quantitative
differences among analysed extracts. Moreover antioxidant and enzymatic
inhibition activities were studied. Three complementary assays were used to
measure their antioxidant activities and consequently Relative Antioxidant
Capacity Index (RACI) was used to compare and easily describe obtained results.
Results showed that Chemlal, between Algerian cultivars, and Coratina, among
Italian ones, had the highest RACI values. On the other hand all extracts and the
most abundant phenolics were tested for their efficiency to inhibit alpha-amylase
and alpha-glucosidase enzymes. Leccino, among all analysed cultivars, and
luteolin, among identified phenolic compounds, were found to be the best
inhibitors of alpha-amylase and alpha-glucosidase enzymes. Results demonstrated
that Olea europaea fruit extracts can represent an important natural source with
high antioxidant potential and significant alpha-amylase and alpha-glucosidase
inhibitory effects.
PMID- 26557863
TI - Nao-Xue-Shu Oral Liquid Improves Aphasia of Mixed Stroke.
AB - Objective. The objective is to observe whether the traditional Chinese medicine
(TCM) Nao-Xue-Shu oral liquid improves aphasia of mixed stroke. Methods. A total
of 102 patients with aphasia of mixed stroke were divided into two groups by a
single blind random method. The patients treated by standard Western medicine
plus Nao-Xue-Shu oral liquid (n = 58) were assigned to the treatment group while
the remaining patients treated only by standard Western medicine (n = 58)
constituted the control group. Changes in the Western Aphasia Battery (WAB),
Modified Rankin Scale (mRS), National Institutes of Health Stroke Scale (NIHSS),
and hemorheology parameters were assessed to evaluate the effects of the
treatments. Results. Excluding the patients who dropped out, 54 patients in the
treatment group and 51 patients in the control group were used to evaluate the
effects. Significant and persistent improvements in the WAB score, specifically
comprehension, repetition, naming, and calculating, were found in the treatment
group when the effects were evaluated at the end of week 2 and week 4,
respectively, compared with baseline. The naming and writing scores were also
improved at the end of week 4 in this group. The comprehension and reading scores
were improved at the end of week 4 in the control group compared with the
baseline, but the improvements were smaller than those in the treatment group.
The percentages of patients at the 0-1 range of mRS were increased at the end of
week 2 and week 4 in both groups, but the improvements in the treatment group
were much larger than those in the control group. Greater improvements in the
NIHSS scores and the hemorheology parameters in the treatment group were also
observed compared with the control group at the end of week 2 and week 4.
Conclusion. Nao-Xue-Shu oral liquid formulation improved aphasia in mixed stroke
patients and thus might be a potentially effective drug for treating stroke
aphasia.
PMID- 26557864
TI - Polydatin Inhibits Formation of Macrophage-Derived Foam Cells.
AB - Rhizoma Polygoni Cuspidati, a Chinese herbal medicine, has been widely used in
traditional Chinese medicine for a long time. Polydatin, one of the major active
ingredients in Rhizoma Polygoni Cuspidati, has been recently shown to possess
extensive cardiovascular pharmacological activities. In present study, we
examined the effects of Polydatin on the formation of peritoneal macrophage
derived foam cells in Apolipoprotein E gene knockout mice (ApoE(-/-)) and
explored the potential underlying mechanisms. Peritoneal macrophages were
collected from ApoE(-/-) mice and cultured in vitro. These cells sequentially
were divided into four groups: Control group, Model group, Lovastatin group, and
Polydatin group. Our results demonstrated that Polydatin significantly inhibits
the formation of foam cells derived from peritoneal macrophages. Further studies
indicated that Polydatin regulates the metabolism of intracellular lipid and
possesses anti-inflammatory effects, which may be regulated through the PPAR
gamma signaling pathways.
PMID- 26557865
TI - Characterizing Herbal Medicine Use for Noncommunicable Diseases in Urban South
Africa.
AB - Economic challenges associated with noncommunicable diseases (NCDs) and the
sociocultural outlook of many patients especially in Africa have increased
dependence on traditional herbal medicines (THMs) for these diseases. A cross
sectional descriptive study designed to determine the prevalence of and reasons
for THM use in the management of NCDs among South African adults was conducted in
an urban, economically disadvantaged area of Cape Town, South Africa. In a cohort
of 1030 participants recruited as part of the existing Prospective Urban and
Rural Epidemiological (PURE) study, 456 individuals were identified. The overall
prevalence of THM use was 27%, of which 61% was for NCDs. Participants used THM
because of a family history (49%) and sociocultural beliefs (33%). Hypertensive
medication was most commonly used concurrently with THM. Healthcare professionals
need to be aware of the potential dualistic use of THM and conventional drugs by
patients, as this could significantly influence health outcomes. Efforts should
be made to educate patients on the potential for drug/herb interactions.
PMID- 26557866
TI - The Metabonomic Studies of Tongue Coating in H. pylori Positive Chronic Gastritis
Patients.
AB - In Traditional Chinese Medicine (TCM), tongue diagnosis (TD) has been an
important diagnostic method for the last 3000 years. Tongue coating can be used
as a very sensitive marker to determine the progress of chronic gastritis.
Therefore, the scientific, qualitative, and quantitative study for the
pathophysiologic basis of tongue coating (TC) emerged as a major direction for
the objective research of TD. In our current report, we used GC/MS technology to
determine the potential changes of metabolites and identify special metabolic
biomarkers in the TC of H. pylori infected chronic gastritis patients. Four
discriminative metabolites were identified by GC/MS between the TC of H. pylori
infection (G + H) and without H. pylori infection (G - H) patients: ethylene,
cephaloridine, gamma-aminobutyric acid, and 5-pyroglutamic acid, indicating that
changes in amino acid metabolism are possibly involved in the formation of TC,
and the amino acid metabolites are part of the material components of TC in G + H
patients.
PMID- 26557867
TI - Evaluation of the Inhibitory Effects of Bavachinin and Bavachin on Human
Monoamine Oxidases A and B.
AB - Monoamine oxidase B inhibitors (MAO-BIs) are used in the early management of
Parkinson's disease (PD). Long-term suspected side effects of MAO-B classical
inhibitors established the need for safer alternative therapeutic agents. In our
study, the flavanone bavachinin (BNN) and its analog bavachin (BVN) found in the
seeds of Psoralea corylifolia L. ethanolic extract (PCSEE) were investigated for
their human MAO-A and MAO-B (hMAO-A and hMAO-B) inhibition. Both PCSEE and BNN
effectively reduced hMAO-B activity more than hMAO-A while BVN had activating
effects. BNN showed selective hMAO-B inhibition (IC50 ~ 8.82 MUM) more than hMAO
A (IC502009;~ 189.28 MUM). BNN in the crude extract was determined by HPLC, also
validated by TLC showing a yield of 0.21% PCSEE dry weight. BNN competitively
inhibited hMAO-A and hMAO-B, with a lower hMAO-B K i than hMAO-A K i by 10.33
fold, and reduced hMAO-B K m /V max efficiency ratio to be comparable to the
standard selegiline. Molecular docking examination of BNN and BVN predicted an
indirect role of BNN C7-methoxy group for its higher affinity, selectivity, and
reversibility as an MAO-BI. These findings suggest that BNN, which is known to be
a potent PPAR-gamma agonist, is a selective and competitive hMAO-B inhibitor and
could be used in the management of PD.
PMID- 26557868
TI - Yiqihuoxuejiedu Formula Restrains Vascular Remodeling by Reducing the
Inflammation Reaction and Cx43 Expression in the Adventitia after Balloon Injury.
AB - Vascular remodeling is closely related to hypertension, atherosclerosis, and
restenosis after PCI. Considerable evidence indicates that the activation and
proliferation of adventitial fibroblasts play key roles in vessel injury. The
inflammatory response and high expression of connexins contribute to adventitial
remodeling. Therefore, reducing inflammation reaction and connexins expression in
adventitia may become a new target to prevent vascular remodeling.
Yiqihuoxuejiedu formula, composed of TCM therapeutic principle of supplementing
qi, activating blood and detoxification, can inhibit restenosis after intimal
injury. To further investigate the effect of Yiqihuoxuejiedu formula on
inflammation and connexins, we established a carotid artery injury model. In
model rats, hyperplasia in the intima was mild but obvious in the adventitia; CRP
heightened; expressions of MCP-1, CD68, and Cx43 increased. Yiqihuoxuejiedu
formula relieved intimal hyperplasia and adventitial area, obviously diminished
the expressions of CD68 and Cx43 in the adventitia, and reduced CRP but did not
lower MCP-1. These results indicated that Yiqihuoxuejiedu formula inhibited
vascular remodeling especially adventitial hyperplasia by reducing the
inflammation reaction including lowering macrophages infiltration and systemic
nonspecific inflammatory response and also restraining gap junction connexins
leading to less communication among cells. This study provides new ideas and
methods for the prevention and treatment of vascular remodeling.
PMID- 26557869
TI - Recent Advance in Applications of Proteomics Technologies on Traditional Chinese
Medicine Research.
AB - Proteomics technology, a major component of system biology, has gained
comprehensive attention in the area of medical diagnosis, drug development, and
mechanism research. On the holistic and systemic theory, proteomics has a
convergence with traditional Chinese medicine (TCM). In this review, we discussed
the applications of proteomic technologies in diseases-TCM syndrome combination
researches. We also introduced the proteomic studies on the in vivo and in vitro
effects and underlying mechanisms of TCM treatments using Chinese herbal medicine
(CHM), Chinese herbal formula (CHF), and acupuncture. Furthermore, the combined
studies of proteomics with other "-omics" technologies in TCM were also
discussed. In summary, this report presents an overview of the recent advances in
the application of proteomic technologies in TCM studies and sheds a light on the
future global and further research on TCM.
PMID- 26557870
TI - Young male mating success is associated with sperm number but not with male sex
pheromone titres.
AB - BACKGROUND: Intraspecific communication is of crucial importance throughout the
animal kingdom and may involve a combination of visual, gustatory, olfactory and
acoustic cues. Variation in male sex pheromone amount and composition may convey
important information to female conspecifics, for instance on species identity or
age. However, whether increased male pheromone titres are associated with fitness
benefits for the female, thus indicating a role as an honest signal, is under
debate. RESULTS: Against this background, we tested in the butterfly Bicyclus
anynana (1) whether young males being successful or unsuccessful in gaining a
mating differed in sex pheromone titres and (2) for associations between male
pheromone titres and spermatophore mass, eupyrene sperm number, and a variety of
female and offspring life-history traits. Successful and unsuccessful males did
not differ in pheromone titres, however eupyrene sperm number was much higher in
successful males. Pheromone titres were not associated with any fitness-related
female or offspring trait measured in our study, though correlation analyses
yielded evidence for trade-offs among specific traits. Patterns did not differ
among control and olfaction-blocked females. CONCLUSION: Therefore, we suggest
that in young B. anynana pheromone titres do not indicate male quality.
PMID- 26557871
TI - The Nonsubsampled Contourlet Transform Based Statistical Medical Image Fusion
Using Generalized Gaussian Density.
AB - We propose a novel medical image fusion scheme based on the statistical
dependencies between coefficients in the nonsubsampled contourlet transform
(NSCT) domain, in which the probability density function of the NSCT coefficients
is concisely fitted using generalized Gaussian density (GGD), as well as the
similarity measurement of two subbands is accurately computed by Jensen-Shannon
divergence of two GGDs. To preserve more useful information from source images,
the new fusion rules are developed to combine the subbands with the varied
frequencies. That is, the low frequency subbands are fused by utilizing two
activity measures based on the regional standard deviation and Shannon entropy
and the high frequency subbands are merged together via weight maps which are
determined by the saliency values of pixels. The experimental results demonstrate
that the proposed method significantly outperforms the conventional NSCT based
medical image fusion approaches in both visual perception and evaluation indices.
PMID- 26557872
TI - International dissemination of evidence-based practice, open access and the
IACAPAP textbook of child and adolescent mental health.
AB - Dramatic changes have occurred in both publishing and teaching in the last 20
years stemming from the digital and Internet revolutions. Such changes are likely
to grow exponentially in the near future aided by the trend to open access
publishing. This revolution has challenged traditional publishing and teaching
methods that-largely but not exclusively due to cost-are particularly relevant to
professionals in low and middle income countries. The digital medium and the
Internet offer boundless opportunities for teaching and training to people in
disadvantaged regions. This article describes the development of the IACAPAP
eTextbook of child and adolescent mental health, its use, accessibility, and
potential impact on the international dissemination of evidence-based practice.
PMID- 26557873
TI - Oncology pharmacy units: a safety policy for handling hazardous drugs and related
waste in low- and middle-income African countries-Angolan experience.
AB - In African countries, higher rates of late-stage cancers at the time of first
diagnosis are a reality. In this context, hazardous drugs (HDs), such as
chemotherapy, play an important role and have immense benefits for patients'
treatment. HDs should be handled under specific conditions. At least a class 5
environment primary engineering control (PEC), physically located in an
appropriate buffer area, is mandatory for sterile HDs compounding, as well as
administrative control, personal protective equipment, work practices and other
engineering and environmental controls, in order to protect the environment,
patient, and worker. The aim of this study is to describe the Angolan experience
regarding the development of oncology pharmacy units and discuss international
evidence-based guidelines on handling HDs and related waste. Measures to
incorporate modern and economical solutions to upgrade or build adequate and safe
facilities and staff training, in order to comply with international guidelines
in this area, are crucial tasks for African countries of low and middle income.
PMID- 26557874
TI - Endoscopic inguinal lymphadenectomy in penile cancer: case report and literature
review.
AB - OBJECTIVES: The objective was to submit our first experience in endoscopic
inguinal lymphadenectomy (EIL), evaluate the feasibility of the procedure and
carry out a review of the literature. MATERIAL AND METHODS: A 41-year-old patient
was diagnosed with penile cancer with squamous cell carcinoma pT2G1 pathology,
with no palpable inguinal lymph nodes. A bilateral inguinal lymphadenectomy was
performed with preservation of the saphenous vein, conventional left and
endoscopic right procedures. The perioperative data is presented and that
obtained is discussed in the literature. RESULTS: The total time was 270 minutes,
180 for endoscopic and 90 for conventional procedures. Blood loss was minimal in
both cases. Fifteen lymph nodes were dissected on the endoscopic side, and 17 in
the conventional side, the latter with more pain and devitalised skin flap.
CONCLUSIONS: EIL for penile cancer is feasible and there is less morbidity with
an early recovery. The literature is not conclusive on the indication of EIL.
PMID- 26557875
TI - Epidemiology of cervical cancer in Latin America.
AB - The basic aspects of the descriptive epidemiology of cervical cancer in Latin
America are presented. A decrease in the incidence and mortality rates has been
observed in the period from 2000 to 2012 in all countries across the region, this
has not occurred at the same proportions, and in many countries, observed figures
of incidence and mortality are among the highest levels in the world. In Latin
America, calculating a mean measure of the numbers from the GLOBOCAN data from
2000 to 2012, we can observe a difference of up to fivefold of the incidence
(Puerto Rico 9,73 Vs Bolivia 50,73) and almost seven times for mortality (Puerto
Rico 3,3 Vs Nicaragua 21,67). A report of the epidemiology, risk factors, and
evaluation of screening procedures regarding the possible impact of the human
papillomavirus (HPV) vaccine I in the prevention of cervical cancer is presented.
PMID- 26557876
TI - The cervical cancer prevention programme in Costa Rica.
AB - Cervical and uterine cancer continues to be an important issue for women around
the world, although neoplasia has the greatest demonstrated potential for
prevention. Costa Rica has achieved important advances in the reduction of the
incidence and mortality of these cancers since the last century. This is the
result of a series of policies, programmes, and plans, not only at the level of
the health care system, but also in other areas. Increased access for women to
care in health centres, fundamentally at the primary level, has been vital, as
has ensuring the quality of cytology readings and access to diagnosis and
treatment for precursor lesions for in situ and invasive cancers. Despite all of
these achievements, there are still challenges to be overcome, which are
widespread in many countries in Latin America and the Caribbean. It is important
to learn from the experiences of other countries in order to improve women's
health not only as a health objective, but also as an ethical imperative to
promote the exercise of women's rights to life and health.
PMID- 26557877
TI - Persistent infection with high-risk human papilloma viruses: cohort study,
Merida, Venezuela.
AB - Cervical lesions have been associated with infection by high-risk human papilloma
virus (high-risk HPV). In 409 women aged >15 years high-risk HPV lesions were
identified. In a cohort of this population persistent infection was compared with
cytological, colposcopic, and histological lesions. Cervical scrapes were taken
and DNA was isolated. HPV was detected by PCR in the E6/E7 region. Genotyping was
performed by PCR nested multiple E6/E7. HPV was detected in a 37.40% (153/409),
high-risk HPV in 86% (153/178), HPV18 46.64% (83/178), HPV16 34.28% (61/178).
Among these 53.93% (96/178) were multiple infections, and HPV18/16 (30/96) was
the most frequent 31.25%. The cytology showed changes in 15% of positive
patients. A 49.67% in women positive for HPV infection showed abnormalities in
the colposcopic study, a relationship that turned out to be statistically
significant ( p < 0.0019 test chi(2)). Among all 85% of the women were younger
than 45 years of age. Fifty-seven patients were evaluated 15 months after the
base study, with initial prevalence of morbidity 49.12% (28/57) and at the end
10.53% (6/57), showing in 89.29% (25/28) negative for HR-HPV infection, 10.34%
(3/28) showed persistence of infection, 17.54% (10/57) presented cytological
alterations, with 80% of positivity for HPV, and a regression of 100% (10/10) of
the previously identified lesions. With colposcopy, 50% (14/28) presented
alterations related to HPV, of these 85.71% (12/14) showed regression of such an
alteration. The cumulative incidence for HPV was 10.34% (3/29). The incidence
rate was 4.23% (3/71), which is equal to 4.23 new cases of HPV infection per 100
people, per year of follow-up. In conclusion, the present work shows a high
frequency of infection by high-risk HPV, with predominance of HPV18 and 16 and in
general for multiple infections. Colposcopy was better predictor than the Pap
smear for infection. The follow-up study revealed a low percentage of persistent
infection, and a high frequency of negativity for viral infection, high
regression of cytological and colposcopic lesions, a low cumulative and incidence
rate similar to that reported by other Latin American countries and higher than
the European countries.
PMID- 26557878
TI - Training in the prevention of cervical cancer: advantages of e-learning.
AB - Cervical cancer remains the second most common cancer for women worldwide and is
the cancer priority in most low- and middle-income countries (LMIC). The
development of vaccines against the human papilloma virus (HPV) and the impact of
technology both for the detection of HPV and cervical cancer represent milestones
and new opportunities in prevention. New internet-based technologies are
generating mass access to training programmes. This article presents the
methodology for developing an online training programme for the prevention of
cervical cancer as well as the results obtained during the four year period
wherein the same programme was delivered in Latin America.
PMID- 26557879
TI - Aspirin in the 21st century-common mechanisms of disease and their modulation by
aspirin: a report from the 2015 scientific conference of the international
aspirin foundation, 28 August, London, UK.
AB - Professor Peter Rothwell of Oxford University chaired the annual Scientific
Conference of the International Aspirin Foundation in London on 28 August 2015.
It took the form of four sessions. Aspirin has more than one action in its
effects on disease. Its acetylation of cyclooxygenase 2 (COX-2) in platelets
leads to the blockade of pro-inflammatory chemicals and generation of anti
inflammatory mediators and increase in nitrous oxide (NO) production, which helps
to preserve arterial endothelium. But platelets are not its only target. There is
now evidence that aspirin has a direct antitumour effect on intestinal mucosal
cells that block their potential transformation into cancer cells. Randomised
placebo-controlled trials (RCTs) in people with histories of colorectal neoplasia
have shown that aspirin reduces the risk of recurrent adenomas and reduces long
term cancer incidence in patients with Lynch syndrome. Among women given aspirin
for cardiovascular disease, there were fewer cancers than in those given placebo.
Epidemiological evidence has suggested that aspirin treatment after cancer is
diagnosed reduces the incidence of metastases and prolongs survival, and long
term studies of anticancer treatment with aspirin are under way to confirm this.
Apart from cancer studies, aspirin use is now firmly established as treatment for
antiphospholipid syndrome (Hughes syndrome) and is being used to prevent and
treat the heightened risk of cardiovascular disease in diabetes mellitus and in
patients with HIV.
PMID- 26557881
TI - Characteristics of salivary gland tumours in the United Arab Emirates.
AB - Salivary gland tumours (SGT) are relatively rare cancers characterised by
striking morphological diversity and wide variation in the global distribution of
SGT incidence. Given the proximity to the head and neck structures, management of
SGT has been clinically difficult. To the best of our knowledge, there are no
epidemiological studies on SGT from the United Arab Emirates (UAE) or the Gulf
Cooperation Council Countries (GCC). Patient charts (N = 314) and associated
pathological records were systematically reviewed between the years 1998-2014.
Predominance of benign (74%) compared with malignant (26%) SGT was observed.
Among the 83 malignant SGT identified, frequency was higher in males (61%) than
in females (39%) and peak occurrence was in the fifth decade of life.
Mucoepidermoid carcinoma was the most common type of tumour (35%) followed by
adenoid cystic carcinoma (18.1%) and acinar cell carcinoma (10.8%). A similar
pattern of tumour distribution was seen in patients from GCC, Asian, and Middle
East countries. This is the first report to address the distribution of salivary
gland tumours in a multiethnic, multicultural population of the Gulf. The results
suggest that the development of an SGT registry will help clinicians and
researchers to better understand, manage, and treat this rare disease.
PMID- 26557880
TI - Effectiveness and safety of monoclonal antibodies for metastatic colorectal
cancer treatment: systematic review and meta-analysis.
AB - BACKGROUND: The effectiveness of chemotherapy (CT) for select cases of metastatic
colorectal cancer (MCRC) has been well established in the literature, however, it
provides limited benefits and in many cases constitutes a treatment with high
toxicity. The use of specific molecular biological treatments with monoclonal
antibodies (MA) has been shown to be relevant, particularly for its potential for
increasing the response rate of the host to the tumour, as these have molecular
targets present in the cancerous cells and their microenvironment thereby
blocking their development. The combination of MA and CT can bring a significant
increase in the rate of resectability of metastases, the progression-free
survival (PFS), and the global survival (GS) in MCRC patients. OBJECTIVE: To
assess the effectiveness and safety of MA in the treatment of MCRC. METHODS: A
systematic review was carried out with a meta-analysis of randomised clinical
trials comparing the use of cetuximab, bevacizumab, and panitumumab in the
treatment of MCRC. RESULTS: Sixteen randomised clinical trials were selected. The
quality of the evidence on the question was considered moderate and data from
eight randomised clinical trials were included in this meta-analysis. The GS and
PFS were greater in the groups which received the MA associated with CT, however,
the differences were not statistically significant between the groups (mean of
17.7 months versus 17.1 months; mean difference of 1.09 (CI: 0.10-2.07); p =
0.84; and 7.4 versus 6.9 months. mean difference of 0.76 (CI: 0.08-1.44); p =
0.14 respectively). The meta-analysis was not done for any of the secondary
outcomes. CONCLUSION: The addition of MA to CT for patients with metastatic
colorectal cancer does not prolong GS and PFS.
PMID- 26557882
TI - Medical treatment of early stage and rare histological variants of epithelial
ovarian cancer.
AB - Epithelial ovarian cancer is often considered a single pathological entity, but
increasing evidence suggests that it is rather a group of different neoplasms,
each with unique pathological characteristics, molecular features, and clinical
behaviours. This heterogeneity accounts for the different sensitivity to
antineoplastic drugs and makes the treatment of ovarian tumours a challenge. For
early-stage disease, as well as for heavily pre-treated patients with recurrent
ovarian cancer, the benefit of chemotherapy remains uncertain. Clear-cell,
mucinous, low-grade serous, and endometrioid carcinomas show different molecular
characteristics, which require different therapeutic approaches. In the era of
personalised cancer medicine, understanding the pathogenesis and the genetic
background of each subtype of epithelial ovarian tumour may lead to a tailored
therapy, maximising the benefits of specific treatments and possibly reducing the
side effects. Furthermore, personal factors, such as the patient's performance
status, should be taken into account in the management of ovarian cancer, with
the aim of safeguarding the patients' quality of life.
PMID- 26557883
TI - Anti-androgenic effects of flavonols in prostate cancer.
AB - Dietary-derived agents, such as the flavonoids, are of particular interest for
prostate cancer (PCa) chemoprevention as they may offer a favourable safety and
side-effect profile. An agent that demonstrates action on the androgen receptor
(AR) axis may have value for preventing or treating castrate-resistant PCa. Four
main flavonols - quercetin, myricetin, kaempferol, and fisetin - have been
demonstrated in laboratory studies to have chemopreventive action in both
castrate-resistant and castrate-sensitive PCa models. Mechanisms of flavonol
action on the AR axis in PCa have been proposed to be inhibition of the 5alpha
reductase enzymes, direct androgen competition, suppression of the AR complex and
transactivation by coregulators such as c-Jun, Sp1, and the PI3K/Akt pathway. It
is, however, still unclear with current levels of evidence whether AR axis
mediated effects can fully account for the flavonols' chemopreventive action.
PMID- 26557884
TI - Safety and efficacy of the combination of T-DM1 with radiotherapy of the central
nervous system in a patient with HER2-positive metastatic breast cancer: case
study and review of the literature.
AB - Approximately 35% of patients with confirmed HER2 breast cancer progress to
metastases of the central nervous system (CNS). Total cerebral radiotherapy is
considered as standard treatment for these cases; however, studies have shown
that some chemotherapy drugs can be used during radiotherapy without
significantly increasing its toxicity. In this article, we report the case of a
patient with HER2-positive breast cancer who showed isolated progression of the
illness in the CNS, which was observed during the treatment period using T-DM1
concomitantly with radiotherapy of the CNS without apparent toxicity of the
combination and keeping the illness controlled. Through a review of the
literature on the use of radiotherapy and chemotherapy with T-DM1 for the
treatment of cerebral metastases in HER2-positive breast cancer, we describe the
efficacy and tolerance of the concomitant application of these treatments.
PMID- 26557885
TI - Costs of breast cancer care in Mexico: analysis of two insurance coverage
scenarios.
AB - BACKGROUND: Breast cancer (BC) is a major cause of disease and death worldwide.
In addition to its contribution to mortality and disability, it is a major
economic burden both public and private. OBJECTIVE: To estimate the average
direct medical cost/year of care for the diagnosis and treatment of BC in two
coverage scenarios in Mexico: What is 'ideal' based on service usage patterns
according to international guidelines and what is 'current' using the service
usage patterns of suppliers in Mexico. MATERIAL AND METHODS: The pattern and
intensity of use of procedures for the care of BC in the Mexican Social Security
Institute (IMSS) for 2009 were identified and prices were associated using the
guidelines from the System of Social Protection in Health (SPSS) and the IMSS for
the current scenario and the ideal scenario, international patterns (Breast
Health Global Initiative BHGI after its acronym in English) were used and prices
were associated from the SPSS guidelines. RESULTS: The annual average direct
medical cost per patient in the 'current' scenario was 8557 US$, while the cost
in the 'ideal' scenario was 4554 US$. There are differences in costs between
'what we do' and 'what should be done', due to differences in the implementation
of the interventions for the treatment of the different stages of the disease. A
proportional increase in the average cost was also identified as the diagnosis
stage advanced (from I to III). CONCLUSIONS: Given that in Mexico there is
universal insurance coverage for the treatment of BC, it is necessary to use
economic resources more efficiently. It is necessary to continue to examine this
topic in more depth and the next step will be to assess the effectiveness of both
scenarios in order to provide enough evidence for the decision-making process.
PMID- 26557886
TI - Cancer, obesity, and legitimation of suggested lifestyles: a libertarian
paternalism approach.
AB - We know that around 30% of all cancers are preventable. We also know that there
is clear evidence of the causal relations between obesity and cancer. This means
that there could be lifestyles that could prevent obesity and, thus, cancer. Yet,
who legitimises these lifestyles and on which ground? Should citizens be free to
accept or not to accept policies concerning them? This is a problem faced within
what has been named libertarian paternalism. We discuss it, also proposing a
version that we call deliberative libertarian paternalism, showing how important
this problem is for a proper framing of the lifestyle policies concerning obesity
and, thus, cancer prevention.
PMID- 26557887
TI - The wisdom of crowds and the repurposing of artesunate as an anticancer drug.
AB - Artesunate, a semi-synthetic and water-soluble artemisinin-derivative used as an
anti-malarial agent, has attracted the attention of cancer researchers due to a
broad range of anti-cancer activity including anti-angiogenic, immunomodulatory
and treatment-sensitisation effects. In addition to pre-clinical evidence in a
range of cancers, a recently completed randomised blinded trial in colorectal
cancer has provided a positive signal for further clinical investigation. Used
perioperatively artesunate appears to reduce the rate of disease recurrence - and
the Neo-Art trial, a larger Phase II RCT, is seeking to confirm this positive
effect. However, artesunate is a generic medication, and as with other trials of
repurposed drugs, the Neo-Art trial does not have commercial sponsorship. In an
innovative move, the trial is seeking funds directly from members of the public
via a crowd-funding strategy that may have resonance beyond this single trial.
PMID- 26557888
TI - Daytime intragastric acid control: post hoc analyses of esomeprazole 20 mg and
over-the-counter proton-pump inhibitors.
AB - OBJECTIVES: In mild gastroesophageal reflux disease, which accounts for the great
majority of cases, the major burden of reflux occurs during daytime hours, after
food intake. The aim of these analyses was to evaluate intragastric pH control
during the typical 14-hour daytime awake period by proton-pump inhibitors (PPIs)
given at over-the-counter (OTC) dosages. METHODS: In one double-blind and three
open-label, randomized, crossover studies, intragastric pH was monitored for 24
hours on day 5 of treatment. The 24-hour data have been reported previously. Post
hoc analyses reassessed these studies for the 14-hour daytime period, comparing
esomeprazole 20 mg with currently available OTC PPIs omeprazole, pantoprazole
(not available in the US) and lansoprazole. RESULTS: Subjects maintained
intragastric pH >4 for a significantly greater mean percentage of the 14-hour
daytime period with esomeprazole 20 mg compared with any of the PPI comparators
at OTC dosages. Geometric mean ratios (95% confidence intervals) for esomeprazole
20 mg versus the comparators were: 1.45 (1.14-1.85; p = 0.003) versus omeprazole
20 mg; 2.50 (2.01-3.11; p < 0.0001) versus pantoprazole 20 mg; and 1.69 (1.46
1.97; p < 0.0001) and 1.89 (1.05-3.37; p = 0.03) versus lansoprazole 15 mg. A
greater proportion of subjects had better pH control with esomeprazole than with
the other PPIs (range: 69-97%). CONCLUSIONS: Across the 14-hour daytime period,
esomeprazole 20 mg once daily given 30 minutes before breakfast for 5 days
provided acid control for a significantly greater average proportion of time
versus the PPI comparators omeprazole, pantoprazole and lansoprazole at currently
available OTC dosages.
PMID- 26557889
TI - Management of inflammatory bowel disease with oral serum-derived bovine
immunoglobulin.
AB - INTRODUCTION: The clinical effect of oral serum-derived bovine
immunoglobulin/protein isolate (SBI) on symptom and disease management in
patients with inflammatory bowel disease (IBD) is reported in this retrospective
case series. METHODS: A single-center, retrospective chart review of IBD patients
[N = 45; Crohn's disease (CD), n = 38 and ulcerative colitis (UC), n = 7] with
limited to no response to traditional pharmaceutical therapies in controlling
symptoms was performed after providing SBI (5 g/day) for nutritional support.
Patients were contacted at least monthly to assess response to SBI for symptom
management measured by a Likert scale (0 = none; 1 = minimal; 2 = moderate; 3 =
significant; 4 = complete). Analysis of variance (ANOVA) was performed on
response to therapy based on patient characteristics (age, gender, race) and IBD
diagnosis. A multivariate ordered logistical regression model was performed to
determine the odds ratio in overall disease management between week 1 and week
12. Finally, the overall group response and percent improvement to SBI was
determined over 12 weeks. RESULTS: The odds ratio from the regression model
demonstrated that IBD patients were 2.8 times more likely to report clinical
improvement in symptom scores with the addition of SBI to their therapeutic
regimens [95% confidence interval (CI) 1.266-6.016, p = 0.011]. Disease
management was not significantly associated with age, gender, race or disease
state. The percentage of patients reporting a response to SBI therapy at week 1
was 49% which increased to 76% after 12 weeks with the fraction of responders
gaining significant symptom improvement doubling during the same time period (9%
versus 20%). Overall, this group of IBD patients showed increased, steady
response to SBI therapy between week 1 and 12 with no reported side effects.
CONCLUSION: These results suggest that SBI improves clinical management of IBD
patients who are not fully managed on traditional therapies. SBI should be
considered for the nutritional support of IBD regardless of disease activity,
location, phenotype, duration, or complexity.
PMID- 26557890
TI - Combined radiological-endoscopic management of difficult bile duct stones: 18
year single center experience.
AB - OBJECTIVES: Clinical evidence regarding radiological-endoscopic management of
intrahepatic bile duct stones is currently lacking. Our aim is to report our 18
year experience in combined radiological-endoscopic management of intrahepatic
difficult bile duct stones. METHODS: From June 1994 to June 2012, 299 symptomatic
patients with difficult bile duct stones were admitted to our institution.
Percutaneous transhepatic cholangiography (PTC)/biliary drainage/s was performed,
dilating the PTC track to 10 or 16 French within 3-7 days. Afterward we carried
out percutaneous transhepatic cholangioscopy (PTCS) with electrohydraulic
lithotripsy (EHL) and/or interventional radiology techniques. Follow up was made
with clinical/laboratory tests and ultrasound (US). We retrospectively analyzed
our radiological-endoscopic approach and reported our technical and clinical
outcomes. RESULTS: Complete stone clearance was achieved in 298 patients after a
maximum of 4 consecutive sessions. Most patients (64.6%) were treated with
PTCS/EHL alone, while the remaining with radiological techniques alone (26%) or a
combination of both techniques (13.3%). Recurrence of stones occurred in 45 cases
(15%, Tsunoda class III and class IV) within 2 years and were successfully
retreated. Major adverse events were: 5 (1.6%) cases of massive bleeding that
required embolisation, 2 (0.66%) perforations of the common bile duct and 31
cases (10.3%) of acute cholangitis managed with medical therapy or intervention.
CONCLUSION: After 18 years of experience we demonstrated that our combined
radiological-endoscopic approach to 'difficult bile duct stones' may result in
both immediate and long-term clearance of stones with a low rate of adverse
events.
PMID- 26557891
TI - Crohn's disease: a clinical update.
AB - Crohn's disease is increasing in prevalence worldwide. It arises from a complex
interplay between both genetic predisposition and environmental influence. A
search of databases and clinical practice guidelines was performed to provide the
most up-to-date evidence-based approach for diagnosing and managing patients with
Crohn's disease. No single gold standard investigation exists. Whilst full
ileocolonoscopy with biopsies remains the mainstay for diagnosis, other less
invasive imaging modalities are being actively considered in the workup, as well
as the use of serological markers. Management should incorporate dietary and
lifestyle modifications where necessary, the use of medications in induction and
remission of disease, and consideration of surgical intervention where medical
therapy has failed.
PMID- 26557893
TI - Ramucirumab for advanced gastric cancer or gastro-oesophageal junction
adenocarcinoma.
AB - Ramucirumab, a fully humanized monoclonal antibody directed against vascular
endothelial growth factor receptor 2, is the first targeted agent to have
demonstrated an improvement in survival, as a single agent or in combination, in
a molecularly unselected population in gastro-oesophageal cancer. Now that second
line treatment is routinely considered for patients with advanced gastro
oesophageal cancer, ramucirumab, with its favourable toxicity profile compared
with cytotoxic treatment, provides a valuable additional treatment option.
PMID- 26557892
TI - Evolving paradigms in the treatment of opioid-induced bowel dysfunction.
AB - In recent years prescription of opioids has increased significantly. Although
effective in pain management, bothersome gastrointestinal adverse effects are
experienced by a substantial proportion of opioid-treated patients. This can lead
to difficulties with therapy and subsequently inadequate pain relief.
Collectively referred to as opioid-induced bowel dysfunction, these adverse
effects are the result of binding of exogenous opioids to opioid receptors in the
gastrointestinal tract. This leads to disturbance of three important
gastrointestinal functions: motility, coordination of sphincter function and
secretion. In the clinic this manifests in a wide range of symptoms such as
reflux, bloating, abdominal cramping, hard, dry stools, and incomplete
evacuation, although the most known adverse effect is opioid-induced
constipation. Traditional treatment with laxatives is often insufficient, but in
recent years a number of novel pharmacological approaches have been introduced.
In this review the pathophysiology, symptomatology and prevalence of opioid
induced bowel dysfunction is presented along with the benefits and caveats of a
suggested consensus definition for opioid-induced constipation. Finally,
traditional treatment is appraised and compared with the latest pharmacological
developments. In conclusion, opioid antagonists restricted to the periphery show
promising results, but use of different definitions and outcome measures
complicate comparison. However, an international working group has recently
suggested a consensus definition for opioid-induced constipation and relevant
outcome measures have also been proposed. If investigators within this field
adapt the suggested consensus and include symptoms related to dysfunction of the
upper gut, it will ease comparison and be a step forward in future research.
PMID- 26557894
TI - Therapeutic potential of ultrasound microbubbles in gastrointestinal oncology:
recent advances and future prospects.
AB - Microbubbles were initially invented as contrast agents for ultrasound imaging.
However, lately more and more therapeutic applications of microbubbles are
emerging, mostly related to drug and gene delivery. Ultrasound is a safe and
noninvasive therapeutic modality which has the unique ability to interact with
microbubbles and release their payload in situ in addition to permeabilizing the
target tissues. The combination of drug-loaded microbubbles and ultrasound has
been used in preclinical studies on blood-brain barrier opening, drug and gene
delivery to solid tumors, and ablation of blood vessels. This review covers the
basic principles of ultrasound-microbubble interaction, the types of microbubbles
and the effect they have on tissue, and the preclinical and clinical experience
with this approach to date in the field of gastrointestinal oncology.
PMID- 26557896
TI - The effect of disease-modifying therapies on brain atrophy in patients with
clinically isolated syndrome: a systematic review and meta-analysis.
AB - OBJECTIVES: Brain atrophy is associated with cognitive deficits in patients with
clinically isolated syndrome (CIS) and can predict conversion to clinical
definite multiple sclerosis. The aim of the present meta-analysis was to evaluate
the effect of disease-modifying drugs (DMDs) on brain atrophy in patients with
CIS. METHODS: Eligible placebo-control randomized clinical trials of patients
with CIS that had reported changes in brain volume during the study period were
identified by searching the MEDLINE, SCOPUS, and Cochrane Central Register of
Controlled Trials (CENTRAL) databases. This meta-analysis adopted the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses (PRISMA) guidelines for
systematic reviews and meta-analyses. RESULTS: Three eligible studies were
identified, comprising 1362 patients. The mean percentage change in brain volume
was found to be significantly lower in DMD-treated patients versus placebo
treated subgroups (standardized mean difference [SMD]: = -0.13, 95% confidence
interval [CI]: -0.25, 0.01; p = 0.04). In the subgroup analysis of the two
studies that provided data on brain-volume changes for the first (0-12 months)
and second (13-24 months) year of treatment, DMD attenuated brain-volume loss in
comparison with placebo during the second year (SMD = -0.25; 95% CI: -0.43,
0.07; p < 0.001), but not during the first year of treatment (SMD = -0.01; 95%
CI: -0.27, 0.24; p = 0.93). No evidence of heterogeneity was found between
estimates, while funnel-plot inspection revealed no evidence of publication bias.
CONCLUSIONS: DMDs appear to attenuate brain atrophy over time in patients with
CIS. The effect of DMDs on brain-volume loss is evident after the first year of
treatment.
PMID- 26557898
TI - Tumefactive multiple sclerosis lesions in two patients after cessation of
fingolimod treatment.
AB - BACKGROUND: Fingolimod (FTY) is the first oral medication approved for multiple
sclerosis therapy. Until now, little has been known about the effects of FTY
withdrawal regarding disease activity and development of tumefactive
demyelinating lesions (TDLs), as already described in patients who discontinue
natalizumab. METHODS: In this study we present the clinical and radiological
findings of two patients who had a severe rebound after FTY withdrawal and
compare these with patients identified by a PubMed data bank analysis using the
search term 'fingolimod rebound'. In total, 10 patients, of whom three developed
TDLs, are presented. RESULTS: Patients suffering from TDLs were free of clinical
and radiological signs of disease activity under FTY therapy (100% versus 57%,
compared with patients without TDLs) and had rebounds after a mean of 14.6 weeks
(standard deviation 11.5) [patients without TDLs 11.7 (standard deviation 3.4)].
CONCLUSION: We propose that a good therapeutic response to FTY might be
predisposing for a severe rebound after withdrawal. Consequently, therapy
switches should be planned carefully with a short therapy free interval.
PMID- 26557897
TI - Optimizing the initial choice and timing of therapy in relapsing-remitting
multiple sclerosis.
AB - With 12 available US Food and Drug Administration approved medications for the
treatment of relapsing multiple sclerosis (MS), choosing an initial therapy is no
longer a straightforward task. Each disease-modifying therapy (DMT) has a
distinct risk-benefit profile and each patient is an individual. Therefore, the
development of a simple algorithm to apply in selecting initial therapy is not
feasible. Instead, the prescribing physician must consider many factors related
to the treatments themselves, such as efficacy, safety, and tolerability, while
also taking into account a particular patient's disease characteristics, personal
preferences, comorbid illnesses and reproductive plans. The efficacy of each drug
may be assessed through clinical trial data, although these data are limited by
scarcity of direct comparisons among the different agents and lack of
availability of biomarkers to predict an individual patient's response.
Differences in safety profiles help to distinguish the various DMTs and influence
selection of agent; both the known safety concerns, which can be addressed with
risk mitigation and monitoring strategies, and the potential for yet undiscovered
safety issues must be assessed, and an individual patient's comfort level with
the risks and ability to comply with monitoring must be determined. Potential
issues related to tolerability, which largely relate to matters of patient
personal preference and lifestyle, should also be factored into the decision
making process. With regard to the timing of therapy initiation, it must be
acknowledged that long-term benefits of early DMT have not yet been definitively
demonstrated. Nonetheless, starting DMT early in the MS disease course has been
shown to have a beneficial effect on relapse prevention, and appears to curtail
the atrophy and neurodegenerative changes that are now known to begin at disease
onset. Although under certain circumstances there are acceptable reasons for
deferring treatment, it is generally recommended that DMT is initiated early in
the disease course.
PMID- 26557899
TI - Optimal management of hormone receptor positive metastatic breast cancer in 2016.
AB - Hormone receptor positive tumors represent the most common form of breast cancer
and account for most of the deaths from the disease. Endocrine therapy represents
the main initial therapeutic strategy for these patients and has been associated
with significant clinical benefits in a majority of patients. While in early
stages endocrine therapy is administered as part of a curative approach once
clinical metastases develop, the disease is considered incurable and the main
management objectives are tumor control and quality of life. The two major
clinical paradigms of always indicating endocrine therapy in the absence of
visceral crises and sequencing endocrine treatments have been guiding our
therapeutic approach to these patients. However, for many decades, we have
delivered endocrine therapy with a 'one size fits all' approach by applying
agents that interfere with hormone receptor signaling equally in every clinical
patient scenario. We have been unable to incorporate the well-known biologic
principle of different degrees of hormone receptor dependency in our therapeutic
recommendations. Recent developments in the understanding of molecular
interactions of hormone signaling with other important growth factor, metabolic
and cell division pathways have opened the possibility of improving results by
modulating hormone signaling and interfering with resistance mechanisms yet to be
fully understood. Unfortunately, limitations in the design of trials conducted in
this area have made it difficult to develop predictive biomarkers and most of the
new combinations with targeted agents, even though showing improvements in
clinical endpoints, have been directed to an unselected population of patients.
In this review we explore some of the current and most relevant literature in the
management of hormone receptor positive advance breast cancer.
PMID- 26557900
TI - Human epidermal growth factor receptor 2 positive (HER2+) metastatic breast
cancer: how the latest results are improving therapeutic options.
AB - Human epidermal growth factor receptor 2 positive (HER2+) metastatic breast
cancer (MBC) remains an incurable disease, and approximately 25% of patients with
HER2+ early breast cancer still relapse after adjuvant trastuzumab-based
treatment. HER2 is a validated therapeutic target that remains relevant
throughout the disease process. Recently, a number of novel HER2 targeted agents
have become available, including lapatinib (a small molecule tyrosine kinase
inhibitor of both HER2 and the epidermal growth factor receptor), pertuzumab (a
new anti-HER2 monoclonal antibody) and ado-trastuzumab emtansine (T-DM1, a novel
antibody-drug conjugate), which provide additional treatment options for patients
with HER2+ MBC. The latest clinical trials have demonstrated improved outcome
with treatment including pertuzumab or T-DM1 compared with standard HER2 targeted
therapy. Here we review the clinical development of approved and investigational
targeted agents for the treatment of HER2+ MBC, summarize the latest results of
important clinical trials supporting use of these agents in the treatment of
HER2+ MBC, and discuss how these results impact therapeutic options in clinical
practice.
PMID- 26557901
TI - Therapeutic potential of TAS-102 in the treatment of gastrointestinal
malignancies.
AB - Fluoropyrimidines form the mainstay in treatment of gastrointestinal
malignancies. For decades 5-fluorouracil (5FU), was the major fluoropyrimidine.
Currently it is usually given in a combination with leucovorin and oxaliplatin,
i.e. FOLFOX, or irinotecan, i.e. FOLFIRI, or all three, i.e. FOLFIRINOX, but
gradually it has been replaced by oral fluoropyrimidine prodrug formulations,
such as tegafur-uracil and S-1 (both contain ftorafur), and capecitabine
(Xeloda(r)). Novel drugs such as the antivascular endothelial growth factor
antibody, bevacizumab, and the anti-epidermal growth factor receptor antibody,
cetuximab, are often combined with one of these treatment options. However, when
resistance emerged, no alternatives were available. TAS-102, a combination of
trifluorothymidine and the thymidine phosphorylase inhibitor TPI in a 1:0.5
ratio, is a novel oral formulation, which is active in 5FU-resistant models, both
in vitro and in xenograft models. In addition to inhibition of thymidylate
synthase, the major mechanism of action of classical fluoropyrimidines, TAS-102's
major mechanism of action is incorporation into DNA, thereby causing DNA damage.
TAS-102 also follows an alternative activation pathway via thymidine kinase, and
is not a substrate for dihydropyrimidine dehydrogenase. All together this
explains the efficacy in 5FU-resistant models. In early clinical studies, the
twice-daily schedule (5 days on, 2 days rest) for 2 weeks every 4 weeks, led to a
significant disease control rate in various malignancies. This schedule showed
consistent activity in two randomized trials on fluoropyrimidine refractory
colorectal cancer patients, reflected by an increase of 2-3 months in overall
survival in the TAS-102 group compared with placebo. Considering the impressive
preclinical potential of various combinations TAS-102 has the promise to become
an alternative for 5FU-resistant cancer.
PMID- 26557903
TI - Prognosis and segment-specific nodal spread of primary lung cancer in the right
lower lobe.
AB - BACKGROUND: Although lobe-specific nodal spread of primary lung cancer has been
recently described, segment-specific nodal spread remains unclear. We
investigated the frequency of hailer and mediastinal lymph node involvement and
survival in patients with tumors located in the superior segment (SS) and basal
segment (BS) in the right lower lobe. METHODS: Two hundred and sixty-three
patients with primary lung cancer originating in the right lower lobe underwent
lobectomy with systematic mediastinal lymph node dissection. Patients were
categorized into two groups: SS (n = 114) or BS (n = 149). RESULTS: Frequencies
of metastasis to station 11s and 11i were significantly higher in the SS (P <
0.0001) and BS groups (P = 0.022), respectively. Both the SS and BS groups showed
a high frequency of subcarinal mediastinal zone (station 7) metastasis (96.9% and
90.6%, respectively; P = 0.271). The frequencies of superior mediastinal zone
(station 2R and 4R) metastasis were 37.5% in the SS and 35.8% in the BS group (P
= 0.878). In patients with pN2 disease, three-year disease-free survival was
significantly shorter in the SS (22.6%) than the BS group (42.1%; P = 0.020). In
the BS group, the independent predictive factors of a poor or good prognosis were
metastasis to station 11i or skip metastasis, respectively; however, we did not
detect an independent prognostic factor in the SS group. In the right lower lung
lobe, there was no segment-specific nodal spread. CONCLUSION: When segmentectomy
is undertaken, mediastinal lymph node dissection should be performed in
proportion to lobectomy.
PMID- 26557902
TI - Translational progress on tumor biomarkers.
AB - There is an urgent need to apply basic research achievements to the clinic. In
particular, mechanistic studies should be developed by bench researchers,
depending upon clinical demands, in order to improve the survival and quality of
life of cancer patients. To date, translational medicine has been addressed in
cancer biology, particularly in the identification and characterization of novel
tumor biomarkers. This review focuses on the recent achievements and clinical
application prospects in tumor biomarkers based on translational medicine.
PMID- 26557905
TI - Usefulness of positron emission tomography-computed tomography in pre-operative
evaluation of intra-thoracic esophageal cancer.
AB - BACKGROUND: The purpose of the study was to clarify the usefulness of positron
emission tomography-computed tomography (PET-CT) for pre-operative evaluation of
intra-thoracic esophageal cancer, especially in terms of regional lymph node
status. METHODS: Medical records of 93 consecutive cases from July 2007 to
October 2012 were retrospectively reviewed. All patients underwent curative and
complete esophagectomies for intra-thoracic esophageal cancer. We compared pre
operative maximum standard uptake values (SUVmax) of esophageal tumors and
regional lymph nodes (LN) with other variables (chronic obstructive pulmonary
disease, history of previous other primary cancer, gender, differentiation, and
neoadjuvant therapy). In addition, the SUVmax of tumors and LNs were analyzed
with pathologic findings. RESULTS: There was no significant difference of each
tumor and LN SUVmax according to factors including chronic lung disease, age,
history of previous other cancer, differentiation, and gender. Pre-operative
evaluations by PET-CT were not accurate (tumor sensitivity 76.4%, specificity
25%; LN sensitivity 45.2%, specificity 54.8%). Receiver operating characteristic
analysis showed that LN metastasis could not be appropriately diagnosed with
SUVmax (P = 0.871). There was no difference in SUVmax between pathologically
positive and negative LN subgroups. Tumor SUVmax correlated with the progression
of esophageal cancer in patients without neoadjuvant therapy (P < 0.001).
However, LN SUVmax had no correlation with overall pathologic stage. After
neoadjuvant therapy, there were significant decreases in SUVmax in both
pathologically positive and negative LN subgroups (P = 0.043, P = 0.008).
CONCLUSION: Surgery should not be withheld in N-stage according to PET-CT
findings and carefully considered in conjunction with other conditions.
PMID- 26557904
TI - Subsequent treatment of epidermal growth factor receptor-tyrosine kinase
inhibitor failure in patients with advanced lung adenocarcinoma.
AB - BACKGROUND: Epidermal growth factor receptor-tyrosine kinase inhibitors (EGFR
TKIs) effectively treat advanced non-small cell lung cancer with EGFR-mutation.
However, most patients develop acquired resistance without effective therapy
subsequent to EGFR-TKI failure. We evaluated the efficacy of subsequent treatment
strategies for EGFR-TKI resistance. METHODS: We retrospectively analyzed 240
patients with advanced lung adenocarcinoma with EGFR-TKI failure and following
subsequent treatment. According to the first subsequent strategies after EGFR-TKI
failure, patients were divided into groups of EGFR-TKI continuation (21 cases),
EGFR-TKI continuation with chemotherapy (23 cases), chemotherapy alone (143
cases), and best supportive care (BSC) (53 cases). RESULTS: Except for 53 cases
of BSC, the disease control rates (DCR) of the remaining 187 patients in the EGFR
TKI continuation, EGFR-TKI continuation with chemotherapy, and chemotherapy alone
groups were 66.7%, 73.9%, and 44.8%, respectively. The median post-progression
progression-free survival (PFS) for the three groups was 3.0, 3.3, and 2.0
months, respectively. The DCR for the EGFR-TKI continuation with chemotherapy
group was significantly higher than the chemotherapy alone group (P = 0.006). The
post-progression PFS of the EGFR-TKI continuation with chemotherapy group was
significantly longer than the chemotherapy alone group (P = 0.037). The median
overall survival in the EGFR-TKI continuation, EGFR-TKI continuation with
chemotherapy, chemotherapy alone, and BSC groups were 6.9, 11.6, 8.8, and 0.9
months, respectively. Compared to the BSC group, all groups achieved a survival
benefit (P < 0.001). CONCLUSIONS: EGFR-TKI continuation with chemotherapy could
provide benefits for patients with acquired resistance to EGFR-TKI.
PMID- 26557907
TI - Analysis of lymph node impact on conversion of complete thoracoscopic lobectomy
to open thoracotomy.
AB - BACKGROUND: The aim of the study was to analyze the influence of lymph nodes in
conversion thoracotomy and its measurements. METHOD: Between September 2006 to
April 2013, 1006 patients (545 men, 461 women, median age 60 years, range: 13 to
86 years) received a complete thoracoscopic lobectomy. The main procedure was
complete video-assisted anatomical lobectomy with mediastinal lymphadenectomy.
RESULTS: All procedures were carried out smoothly without serious complication.
Eighty-three cases were converted to thoracotomy (8.2%), including 70 cases of
initiative conversion and 13 of passive conversion, in which 59 cases had
interference by doornail lymph nodes. The average operative time was
significantly longer (272.7 +/- 67.2 vs. 186.9 +/- 58.1 minutes, P = 0.001); the
average blood loss was significantly increased (564.2 +/- 507.7 ml vs. 158.0 +/-
121.0 ml, P = 0.001); and the drainage time and postoperative hospital stay were
significantly longer (8.9 +/- 5.0 vs. 6.6 +/- 3.5 days, P = 0.001; 12.5 +/- 7.7
vs. 9.2 +/- 5.8 days, P = 0.001, respectively) in the conversion thoracotomy
compared with the complete endoscopic surgery group. CONCLUSION: Interference of
the lymph nodes was the main reason for conversion to thoracotomy on video
assisted thoracoscopic lobectomy, which prolonged operative time, increased the
blood loss during surgery, and delayed postoperative recovery. Selecting the
proper indication of conversion thoracotomy may reduce the negative effects.
PMID- 26557906
TI - Activated estrogen receptor-mitogen-activated protein kinases cross talk confer
acquired resistance to lapatinib.
AB - BACKGROUND: The efficacy of lapatinib is limited by the development of acquired
resistance. The aim of this study was to investigate the role of estrogen
receptor (ER) signaling compensatory activation in acquired resistance to
lapatinib in breast cancer cells BT474 and the related mechanism. METHODS:
Acquired resistant cell model resistant (r)BT474 was generated with an increasing
concentration of lapatinib. Real-time polymerase chain reaction and Western
blotting were used to determine the changes of human epidermal growth factor
receptor (HER)2 and ER pathways in breast cancer cell BT474 after treatment with
lapatinib and the distinction between BT474 and rBT474. Methyl thiazolyl
tetrazolium and colony formation assays were employed to detect the proliferation
of rBT474 and BT474 cells treated with lapatinib and/or an ER inhibitor,
fulvestrant, respectively. RESULTS: Lapatinib could inhibit phosphorylation of
HER2 and induce expression of forkhead-box protein O3a and progesterone receptor.
Acquired resistant cell model rBT474 could grow in the presence of 5 MUM
lapatinib, with an apoptosis rate of only 5%. Significant inhibition of
phosphatidylinositol-4,5-bisphosphate 3-kinase (PI3K)/protein kinase B (AKT)
pathway and the activation of the mitogen-activated protein kinases (MAPK) and ER
pathways were detected in rBT474, compared with BT474. Furthermore, the
expressions of Src phosphorylation and caveolin-1 were also upregulated. The
viability of rBT474 was markedly suppressed by the lapatinib/fulvestrant
combination in vitro, confirmed by the BT474 xenograft model. CONCLUSION: ER
signaling compensatory activation may partly contribute to lapatinib acquired
resistance in HER2-overexpressing/ERalpha-positive breast cancer cells, which
might be related to PI3K/AKT inhibition and MAPK pathway activation.
PMID- 26557908
TI - Efficacy of bronchoscopic biopsy for the detection of epidermal growth factor
receptor mutations and anaplastic lymphoma kinase gene rearrangement in lung
adenocarcinoma.
AB - BACKGROUND: To explore the efficacy of bronchoscopic biopsy for the detection of
epidermal growth factor receptor (EGFR) mutations and anaplastic lymphoma kinase
(ALK) gene rearrangement in lung adenocarcinoma. METHODS: All patients with
bronchoscopic biopsy-proven lung adenocarcinoma at the Peking Union Medical
College Hospital from January 2009 to November 2011 were enrolled. Scorpion
amplification refractory mutation system (ARMS) was used to detect EGFR gene
mutations and fluorescence in situ hybridization (FISH) to detect ALK
rearrangement. The correlation of immunohistochemistry (IHC) results with
standard methods for EGFR mutation status and ALK rearrangement were checked.
RESULTS: Bronchoscopic specimens were successfully used to detect EGFR mutation
and ALK rearrangement with success rates of 85.2% and 71.3%, respectively, in non
small cell lung cancer patients. EGFR analysis by ARMS yielded a positive result
in 35.8% (33/92) and positive ALK rearrangement was detected by FISH in 7.8%
(6/77) of cases. It was more likely to be unsuccessful in patients with tumor
cells less than 100/high power field and the ratio tumor numbers in 0-10%. In
EGFR-IHC, the sensitivity and specificity of E746-A750 deletions were 73.3%
(11/15) and 93.3% (70/75), respectively, and those of L858R were 93.3% (14/15)
and 93.2% (69/74), respectively. In ALK-IHC, the sensitivity and specificity were
50% (3/6) and 100% (71/71), respectively. CONCLUSIONS: Small bronchoscopic
specimens could achieve higher successful detection rates via EGFR mutation and
ALK gene rearrangement.
PMID- 26557909
TI - Decreased expression of 14-3-3 sigma, an early event of malignant transformation
of respiratory epithelium, also facilitates progression of squamous cell lung
cancer.
AB - BACKGROUND: It has been shown that 14-3-3 sigma serves as a tumor suppressor
gene, and is downregulated in various tumor tissues. However, the role of 14-3-3
sigma during the initiation and progression of lung squamous cell carcinoma
(SqCC) is not well understood. METHODS: The expression status of 14-3-3 sigma in
archival tissue samples from 40 lung SqCC patients (36 with normal bronchia, 19
squamous metaplasia, and 17 dysplasia/carcinoma in situ, in their tissue samples)
was examined by immunohistochemical analysis. The proliferation rate and tumor
formation ability of the H520 cell transfected with 14-3-3 sigma was tested with
methyl thiazolyl tetrazolium assay and nude mice subcutaneous injection,
respectively. RESULTS: In the normal bronchial epithelia, 14-3-3 sigma was highly
expressed, whereas it was significantly decreased in precancerous and cancerous
tissues. Compared with matched invasive cancer tissues, the expression level of
14-3-3 sigma in squamous metaplasia was significantly higher (P = 0.049), while
that in dysplasia/carcinoma in situ showed no significant changes (P = 0.135).
Statistical analysis showed that the expression level of 14-3-3 sigma in tumor
tissue was associated with the differentiation grade of the tumor (P = 0.001) and
the prognosis of the patient (P = 0.003). The overexpression of 14-3-3 sigma
significantly suppressed the proliferation of H520 cells in vitro and in vivo.
CONCLUSION: The inactivation of 14-3-3 sigma may be a very early event in
tumorigenesis and could facilitate the initiation and progression of lung SqCC in
a sustainable way.
PMID- 26557910
TI - Bronchoscopic debulking for endobronchial malignancy: Predictors of
recanalization and recurrence.
AB - BACKGROUND: Central airway obstruction related to endobronchial malignancy is one
of the most difficult oncological complications and requires efficient palliative
intervention. METHODS: Fifty-three consecutive patients with unresectable
endobronchial malignancy receiving bronchoscopic cryotherapy as palliative
treatment were retrospectively reviewed. Efficiency was evaluated by the
improvement of performance status (PS), and the best achievement of tumor removal
was assessed as complete or partial removal. RESULT: Patients' PS after
cryotherapeutic tumor removal improved from the baseline PS (P = 0.006). In
multivariate logistic regression analysis, the compression part of the tumor
(odds ratio [OR] 0.42; 95% confidence interval [CI] 0.23~0.75, P = 0.004) and the
thin tumor stalk (OR 87.86; 95% CI 2.31~3337.37, P = 0.016) were independent
predictors of complete tumor removal. Tumors larger than 9.3 cm, including
compression and invasion parts, had the highest odds of being only partially
removed (positive predictive value [PPV]: 88.2%, likelihood ratio [LR]+: 10.49);
tumors smaller than 9.3 cm were likely to be completely removed (negative
predictive value [NPV]: 80.6%, LR-: 0.34). After cryotherapy, re-obstruction was
significantly associated with non-squamous cell carcinoma (65.7 vs. 16.7%, P =
0.001) and patients who had longer overall survival (11.7 vs. 1.5 months, P <
0.001). Odds of tumor re-obstruction increased 2.28-fold (PPV: 81.6%, LR+: 2.28)
beyond two months; the odds decreased by 81% (NPV: 73.3%, LR-: 0.19) within two
months. CONCLUSION: Debulking of a tumor using cryotherapy is a useful palliative
treatment for endobronchial obstruction secondary to a variety of malignancies.
PMID- 26557911
TI - Evolution of induction chemotherapy for non-small cell lung cancer over the last
30 years: A surgical appraisal.
AB - BACKGROUND: Induction chemotherapy (ICT) is supposed to reduce the risk of
micrometastatic progression and improve resectability of non-small cell lung
cancer (NSCLC). However, best indications for ICT strategy remain unclear in
published meta-analyses. Based on this observation, an evaluation of daily
practice is of importance. Therefore, we reviewed indications and efficacy time
trends in our 30-year series. METHODS: A database including all patients with
NSCLC who underwent surgical resection in two French centers from 1980 to 2009 (n
= 5563) was prospectively set and retrospectively reviewed. The indications,
clinical and pathologic response rates, and overall survival of ICT patients (n =
732) were analyzed during three successive time-periods: P1 from 1980 to 1989, P2
from 1990 to 1999, and P3 from 2000 to 2009. RESULTS: The proportion of patients
who benefited from ICT increased over time, from 2.8% (n = 35) in P1 to 12.5% (n
= 274) in P2, and 20.2% (n = 423) in P3. Indications evolved over time with more
N2 patients (n = 211; 49.8%) and less initially unresectable patients (n = 72;
17%) in P3. The clinical response rate between P1 and P2 increased. Five and 10
year survival rates of ICT patients were 35.2% and 21.5%, respectively. In
multivariate analysis, time-period, age, type of resection, histology, and
pathologic response to chemotherapy were significant prognostic factors.
CONCLUSIONS: Our report on the off-trial use of induction therapy during the last
30 years demonstrates an increased use of ICT, a progressive focus on N2 disease,
and improved response rates.
PMID- 26557912
TI - DNA repair gene ERCC1 C118T polymorphism predicts sensitivity of recurrent
esophageal cancer to radiochemotherapy in a Chinese population.
AB - BACKGROUND: DNA repair gene polymorphisms could alter DNA repair capacity and
therefore associate with tumor sensitivity to radiochemotherapy. This study
assessed excision repair cross-complementing group 1 (ERCC1) C118T and X-ray
cross-complementing group 1 (XRCC1) G399A single-nucleotide polymorphisms in
esophageal patients for an association with sensitivity to radiation and
chemotherapy. METHODS: Esophageal squamous cell carcinoma patients (n = 118) who
relapsed after surgery were enrolled for assessment of ERCC1 C118T and XRCC1
G399A polymorphisms by direct DNA sequencing. RESULTS: The response rate of
treatments was 48.30%: 14 complete response (CR, 11.86%), 43 partial response
(PR, 36.44%), 49 stable disease (SD, 41.53%), and 12 progressive disease (PD,
10.17%). ERCC1 C118T was significantly associated with treatment response (C/T
vs. C/C + T/T, odds ratio [OR] = 6.035, 95% confidence interval [CI]: 2.114
17.226, P = 0.001) after adjusting for other clinicopathological factors.
Patients carrying the C/T genotype had significantly prolonged overall survival
(OS) compared with C/C and T/T (median OS 43.00 vs. 27.00, P = 0.027).
Multivariate Cox regression showed that a response was only an independent
prognostic factor for OS (CR + PR vs. SD+PD, HR = 0.471 95% CI 0.269-0.826, P =
0.009). Grade III and IV adverse events occurred in 12 of 118 patients (10.17%).
Only concurrent radiochemotherapy significantly increased these adverse events
(OR = 26.529, 95% CI 2.312-304.389, P = 0.008). CONCLUSION: ERCC1 C118T could be
a predictive factor for the response to radiotherapy and chemotherapy, but not a
prognostic factor for OS in esophageal cancer patients after surgery.
PMID- 26557913
TI - Loss of heterozygosity at the human leukocyte antigen locus in thymic epithelial
tumors.
AB - BACKGROUND: To study the relationship between loss of heterozygosity (LOH) at the
human leukocyte antigen (HLA) locus and the pathogenicity and clinicopathological
features of thymic epithelial tumors (TET). METHODS: Tumor and adjacent normal
tissues were isolated from 36 TET patients. Five microsatellite loci (D6S1666,
D6S265, D6S273, DS6276, and D6S291) within the HLA locus were amplified by
polymerase chain reaction. DNA sequencing was used to measure the frequency of
microsatellite LOH. RESULTS: LOH was identified in at least one locus in 83.6% of
TET patients. LOH frequency at D6S1666, D6S265, D6S273, D6S276, and D6S291 was
44.4%, 16.7%, 30.5%, 38.9%, and 36.1% respectively. There was no significant
association between LOH frequency in TET with tumor severity, or in the presence
or absence of myasthenia gravis. CONCLUSIONS: D6S1666, D6S265, D6S273, DS6S276,
and D6S29 are sensitive loci for studying microsatellite LOH in TET. LOH within
the HLA complex is implicated in the occurrence and development of TET, with the
HLA-DQA1 gene likely involved. However, an understanding of the relationship
between LOH and the clinicopathological features of TET requires a larger sample
size than that of the present study.
PMID- 26557915
TI - Radiofrequency ablation (RFA) for palliative treatment of painful non-small cell
lung cancer (NSCLC) rib metastasis: Experience in 12 patients.
AB - BACKGROUND: Painful rib metastasis is common in non-small cell lung cancer
(NSCLC). Pain is often partially or totally refractory to analgesic medications
or the side effects of medication are unacceptable. We report the safety and
efficacy of a new method: radiofrequency ablation (RFA) in treating painful NSCLC
rib metastasis. METHODS: RFA procedures were completed in 12 patients with
painful rib metastasis. Patient age ranged from 66-83 years (mean 74.8 years,
standard deviation (SD) = 5.3). There were four cases of squamous-carcinoma,
seven adenocarcinomas, and one case of large cell carcinoma. Pain caused by
neoplasm size, pain levels pre-procedure and post-procedure (as assessed using
the visual analog scale, VAS), time length, and target temperature of RFA
treatments were documented. RESULTS: RFA procedures were performed with 100%
technical success. The mean pre-procedure and post-procedure pain, as measured by
the VAS, was 7.9 (SD = 0.90) and 3.4 (SD = 0.99), respectively. No symptomatic
complications occurred. Non-symptomatic complications included one case of
pneumothorax and one case of hemoptysis. CONCLUSION: RFA appears to be a safe,
practical, and effective method for the palliative treatment of painful NSCLC
chest wall metastasis.
PMID- 26557914
TI - Expression of calcium sensing receptor and E-cadherin correlated with survival of
lung adenocarcinoma.
AB - BACKGROUND: It has been reported that the calcium sensing receptor (CaSR), a
widely expressed G protein-coupled receptor, can stimulate cell differentiation
and proliferation. However, in malignant tumors, loss of CaSR expression has been
associated with tumorigenesis, metastasis, and progression. Recent studies have
indicated that the CaSR could promote the expression of E-cadherin, which was
considered a tumor suppressor. However, in human lung adenocarcinoma, the
importance of the CaSR and E-cadherin has not been sufficiently investigated.
METHODS: Expression levels of CaSR and E-cadherin in paraffin sections from 117
resected lung adenocarcinoma patients were evaluated by immunohistochemistry. We
analyzed the correlation between our target proteins and clinical variables.
Clinical significance was analyzed by multivariate Cox regression analysis,
Kaplan-Meier curve, and log-rank test. RESULTS: Expression of the CaSR in lung
adenocarcinoma tissue was significantly lower than in the normal sample (P =
0.003). Kendall tau-b analysis showed that, in a lung adenocarcinoma sample, the
expression of CaSR positively correlated with a high level of E-cadherin (P <
0.001). Lung adenocarcinoma patients with a strong expression of CaSR (P = 0.034)
or E-cadherin (P = 0.001) had longer overall survival. Multivariate Cox
proportional hazards model analysis showed that the combined marker was an
independent prognostic indicator of overall survival (hazard ratio = 0.440,
confidence interval = 0.249-0.779, P = 0.005). CONCLUSIONS: We identified the
CaSR as a new prognostic biomarker in lung adenocarcinoma. These results also
suggested that the CaSR may become a new therapeutic target of lung
adenocarcinoma.
PMID- 26557916
TI - Prognostic significance of the pN classification supplemented by body mass index
for esophageal squamous cell carcinoma.
AB - BACKGROUND: Body mass index (BMI) has been associated with the risk of esophageal
cancer. But the influence of BMI on postoperative complications and prognosis has
always been controversial. METHODS: Between 2000 and 2007, 424 patients with
esophageal squamous cell carcinoma (ESCC) underwent R0 esophagectomy at our
center without neoadjuvant therapy. We performed univariate and multivariate
analyses to identify prognostic factors for survival. RESULTS: Patients were
divided into three groups according to Asian-specific BMI cut-off value:
underweight (n = 45), normal weight (n = 228), and overweight and obese (n =
151). Mean follow-up time was 39 months. The five-year overall survival (OS) rate
was 19%, 34%, and 42% for underweight, normal weight, and overweight and obese,
respectively (P < 0.001). The five-year disease-free survival (DFS) rate was 24%,
41%, and 74% for underweight, normal weight, and overweight and obese,
respectively (P < 0.001). Multivariate analysis showed that pT, pN, and BMI were
independent prognostic factors for DFS and OS. The C-index to the combined model
showed improved predictive ability when compared to the pN classification (0.779
vs. 0.734). CONCLUSION: Preoperative BMI was an independent prognostic factor for
OS and DFS. The proposed new prognostic model with the pN classification
supplemented by BMI might improve the ability to discriminate ESCC patients'
outcome.
PMID- 26557917
TI - Efficacy and safety of chemotherapy for newly diagnosed advanced non-small cell
lung cancer with venous thromboembolism.
AB - BACKGROUND: Venous thromboembolism (VTE) is a serious complication in patients
with lung cancer. The benefit of chemotherapy for lung cancer patients with VTE
remains unknown. This study was conducted to elucidate the efficacy and safety of
chemotherapy for advanced non-small cell lung cancer (NSCLC) in patients with
VTE. METHODS: Newly diagnosed patients with advanced (i.e. stage IIIB and IV)
NSCLC with VTE who received systemic chemotherapy were studied. Response rates,
progression-free survival (PFS), overall survival (OS), and toxicity were
retrospectively analyzed. RESULTS: In this study, 21 patients who received
chemotherapy plus anticoagulation therapy between December 2009 and February 2011
were included. The objective response and disease control rates within the first
regimen were 14.29% (3/21) and 76.19 %(16/21), respectively. The median PFS, one
year survival rate, and median OS were 5.50 months, 33.30%, and 8.70 months,
respectively. The main grade 3/4 toxicities observed included neutropenia
(28.57%), nausea 4 (19.05%), and anemia 2 (9.52%). Major bleeding was not
observed. CONCLUSION: Chemotherapy for newly diagnosed patients with advanced
NSCLC and VTE was feasible and had acceptable toxicity; however, the survival of
these patients remained inferior to that of patients without VTE.
PMID- 26557918
TI - Micro ribonucleic acid (RNA)-101 inhibits cell proliferation and invasion of lung
cancer by regulating cyclooxygenase-2.
AB - BACKGROUND: Micro ribonucleic acid (miR-101) can regulate the expression of
cyclooxygenase-2 (COX-2) and participate in the pathogenesis of malignant tumors.
This study investigates the effects of miRNA-101 and COX-2 in lung cancer and the
impact of miR-101 on the proliferation and invasion of human lung cancer A549
cell line. METHODS: The expression of miR-101 in 20 separate lung cancer tissues
was detected by real time polymerase chain reaction; COX-2 expression was also
detected. A549 cells were transfected with miR-101 or negative control
oligonucleotide duplex mimic (miR-NC). In vivo tumorigenesis abilities were
detected in localized human lung cancer xeno-transplant models in BALB/c nude
mice. RESULTS: MiR-101 expression was significantly lower and the level of COX-2
significantly higher in lung cancer tissues than in adjacent parenchyma (2.918 +/
1.006 vs. 5.953 +/- 1.976, P = 0.001; 0.887 +/- 0.260 vs. 0.355 +/- 0.156, P =
0.001, respectively). Correlation analysis revealed that miR-101 negatively
correlated with COX-2 in lung cancer tissues (R = -0.596, P = 0.002). Compared
with A549-miR-NC cells, the expression of COX-2 was significantly decreased in
A549 cells transfected with miR-101 (P < 0.001). The proliferation of A549 cells
was markedly inhibited after transfection of miR-101. The in vivo tumor growth of
A549 cells transfected with miR-101 was significantly slower than wide type A549
cells. CONCLUSION: MiR-101 expression is decreased in lung cancer, inducing an
increase in COX-2 level. Enforced expression of miR-101 can remarkably reduce the
cell proliferation and invasion ability of lung cancer cells.
PMID- 26557919
TI - Cisplatin combined with irinotecan or etoposide for untreated extensive-stage
small cell lung cancer: A multicenter randomized controlled clinical trial.
AB - BACKGROUND: This study evaluated the efficacy and safety of irinotecan/cisplatin
(IP) and etoposide/cisplatin (EP) in extensive-stage small cell lung cancer (ES
SCLC) and the distribution of uridine diphosphate glucuronosyltransferase
(UGT1A1). The relationship between UGT1A1 genotypes and patient outcomes was also
assessed. METHOD: Patients with untreated ES-SCLC were randomly assigned to
receive either IP or EP, and blood specimens were collected to test the genotypes
of UGT1A1*28 and UGT1A1*6. The association of efficacy and toxicity of an IP
regimen with UGT1A1 genotype was analyzed. RESULTS: Of the 62 patients enrolled
from three institutions, 30 patients were in the IP and 32 patients were in the
EP arms, respectively. Disease control rates with IP and EP were 83.3% and 71.9%,
respectively (P = 0.043). Median progression-free survival for IP and EP were
both six months. Median overall survival for IP and EP were 18.1 and 15.8 months
respectively, without significant difference. Grade 3-4 thrombocytopenia was more
common with EP (18.8% vs. 6.7%; P = 0.035), while the incidence of diarrhea was
higher with IP (70% vs. 15.6%; P = 0.008). The incidence of grade 1-4 late-onset
diarrhea of wild-type, heterozygous, and homozygous UGT1A1*28 were 65.0%, 85.7%,
and 66.7%, respectively (P = 0.037). UGT1A1*28 polymorphisms, Eastern Cooperative
Oncology Group performance status, and chemotherapy cycles were essential factors
affecting grade 1-4 late-onset diarrhea in logistic regression analysis.
CONCLUSIONS: The efficacy of the IP regimen was similar to the EP regimen for
untreated ES-SCLC. UGT1A1 polymorphisms were associated with late-onset diarrhea;
however, there was no influence on efficacy.
PMID- 26557920
TI - Expression of Pax8 is decreased and bortezomib does not increase the iodine
uptake in thyroid carcinoma cells.
AB - Fundamental treatment for papillary thyroid carcinoma (PTC) involves total or
subtotal thyroidectomy. Iodine-131 ((131)I) is routinely utilized to target
remnant thyroid cancer and metastasis after thyroidectomy. The effectiveness of
other therapeutic modalities remains unsatisfactory; thus, these patients have a
poor prognosis. The manner in which the ability of (131)I uptake can be improved
is vital for their prognosis. Bortezomib has been used as a re-differentiation
agent for the treatment of patients with multiple myeloma; however, little is
reported about the role of bortezomib in thyroid cancer. To evaluate the
therapeutic potential of bortezomib in a human PTC cell line, expression of
paired-box 8 (Pax8) protein was determined using Western blot in PTC, normal
thyroid, and anaplastic/undifferentiated thyroid carcinoma (ATC) cells. The
expression of Pax8 protein in PTC cells pretreated with bortezomib was determined
using the same method. Iodine uptake was determined using (131)I radioactivity
assay. The level of Pax8 protein in normal thyroid cells was significantly higher
than in PTC (P < 0.05) and ATC cells (P < 0.05); its expression in PTC cells was
also significantly higher than in ATC cells (P < 0.05). The PTC cells in the
bortezomib-treated group showed a higher expression of Pax8 protein than the
control group (P < 0.05). These findings indicate that bortezomib can increase
the expression of Pax8, but does not significantly increase the iodine uptake of
PTC cells.
PMID- 26557921
TI - Pulmonary artery sarcoma: a rare thoracic tumor frequently misdiagnosed at
presentation.
AB - This case illustrates a rare but important differential diagnosis of pulmonary
emboli in the field of thoracic oncology, that of pulmonary artery sarcoma. It
describes particular clinical features that may raise suspicion of this tumor in
cases of suspected pulmonary emboli, and highlights novel radiological modalities
and tissue sampling techniques in such cases. Surgical resection, as part of
multi-modality therapy, is the cornerstone of treatment that has seen survival
dramatically improve in recent years for patients with this rare cancer.
PMID- 26557922
TI - Histological transformation from non-small cell to small cell lung carcinoma
after treatment with epidermal growth factor receptor-tyrosine kinase inhibitor.
AB - Several cases of acquired resistance in patients with activating epidermal growth
factor receptor (EGFR) mutation have been reported. However, rare clinical cases
exist of a transformation to small cell lung cancer (SCLC) following treatment
with EGFR-tyrosine kinase inhibitors (TKIs). We report a case of non-small cell
lung cancer (NSCLC) with L858R mutation at the time of diagnosis. After failure
of EGFR-TKI therapy, we performed additional histopathologic examinations. We
confirmed that the patient had a histological transformation from NSCLC to SCLC.
We performed chemotherapy with etoposide and cisplatin against the SCLC and
radiologic findings were improved.
PMID- 26557924
TI - Giant mediastinal thymolipoma in a patient with Gardner's syndrome.
AB - Gardner's syndrome is a hereditary disorder inherited as an autosomal dominant
with high penetrance and variable expression that is caused by a mutation of the
adenomatous polyposis coli gene. It is characterized by gastrointestinal polyps
associated with multiple osteomas, dental anomalies, and skin and soft tissue
tumors. We present a case of 30-year-old female patient with Gardner's syndrome
who presented with a giant mediastinal thymolipoma. The tumor was completely
excised through a bilateral posterolateral thoracotomy. There was no recurrence
after 20 months of follow-up. We therefore suggest that physicians who regularly
treat patients with Gardner's syndrome carefully examine for thoracic
manifestations.
PMID- 26557923
TI - Spontaneous regression of non-small cell lung cancer that progressed after
multiple chemotherapies: A case report.
AB - Spontaneous regression (SR) of cancer is defined as a complete or partial,
temporary or permanent disappearance of all or at least some relevant parameters
of malignant disease with inadequate or no treatment. SR of cancer is an
extremely rare phenomenon. We report a case of a 67-year-old man who experienced
SR of non-small-cell lung cancer (NSCLC), which progressed after fifth-line
chemotherapy and regressed after chemotherapy ceased. Surprisingly, the primary
tumor size continued to decrease for more than 13 months and his general
condition markedly improved after discontinuation of the chemotherapy. To our
knowledge, this is the first report of SR in a patient with NSCLC that was not
responsive to a fifth round of chemotherapy.
PMID- 26557926
TI - Survey on granularity clustering.
AB - With the rapid development of uncertain artificial intelligent and the arrival of
big data era, conventional clustering analysis and granular computing fail to
satisfy the requirements of intelligent information processing in this new case.
There is the essential relationship between granular computing and clustering
analysis, so some researchers try to combine granular computing with clustering
analysis. In the idea of granularity, the researchers expand the researches in
clustering analysis and look for the best clustering results with the help of the
basic theories and methods of granular computing. Granularity clustering method
which is proposed and studied has attracted more and more attention. This paper
firstly summarizes the background of granularity clustering and the intrinsic
connection between granular computing and clustering analysis, and then mainly
reviews the research status and various methods of granularity clustering.
Finally, we analyze existing problem and propose further research.
PMID- 26557925
TI - China national lung cancer screening guideline with low-dose computed tomography
(2015 version).
AB - BACKGROUND: Lung cancer is the leading cause of cancer-related death in China.
Results from a randomized controlled trial using annual low-dose computed
tomography (LDCT) in specific high-risk groups demonstrated a 20% reduction in
lung cancer mortality. METHODS: A China national lung cancer screening guideline
was developed by lung cancer early detection and treatment expert group appointed
by the National Health and Family Planning Commission, based on results of the
National Lung Screening Trial, systematic review of evidence related to LDCT
screening, and protocol of lung cancer screening program conducted in rural
China. RESULTS: Annual lung cancer screening with LDCT is recommended for high
risk individuals aged 50-74 years who have at least a 20 pack-year smoking
history and who currently smoke or have quit within the past five years.
Individualized decision making should be conducted before LDCT screening. LDCT
screening also represents an opportunity to educate patients as to the health
risks of smoking; thus, education should be integrated into the screening process
in order to assist smoking cessation. CONCLUSIONS: A lung cancer screening
guideline is provided for the high-risk population in China.
PMID- 26557927
TI - Dynamical analysis of periodic bursting in piece-wise linear planar neuron model.
AB - A piece-wise linear planar neuron model, namely, two-dimensional McKean model
with periodic drive is investigated in this paper. Periodical bursting phenomenon
can be observed in the numerical simulations. By assuming the formal solutions
associated with different intervals of this non-autonomous system and introducing
the generalized Jacobian matrix at the non-smooth boundaries, the bifurcation
mechanism for the bursting solution induced by the slowly varying periodic drive
is presented. It is shown that, the discontinuous Hopf bifurcation occurring at
the non-smooth boundaries, i.e., the bifurcation taking place at the thresholds
of the stimulation, leads the alternation between the rest state and spiking
state. That is, different oscillation modes of this non-autonomous system convert
periodically due to the non-smoothness of the vector field and the slow variation
of the periodic drive as well.
PMID- 26557928
TI - Cortical activities of heat-sensitization responses in suspended moxibustion: an
EEG source analysis with sLORETA.
AB - Moxibustion is under active research as a complementary and alternative treatment
for various diseases such as pain. "Heat-sensitization" responses have been
reported during suspended moxibustion, whose occurrence is associated with
significantly better therapeutic effects. The present study aimed to investigate
the cortical activities of this interesting phenomenon by a standardized low
resolution brain electromagnetic tomography. We performed electroencephalography
recording in a group of patients with chronic low back pain before, during, and
after moxibustion treatment at Yaoyangguan (DU3) areas. 11 out of 21 subjects
experienced strong heat-sensitization during moxibustion, which were accompanied
with significant decreases of current densities in the beta frequency bands in
prefrontal, primary and second somatosensory, and cingulate cortices, as well as
increased current densities in the alpha2 band in the left insula. No changes
were detected in patients without sensitization responses, or in the post
moxibustion phase of either group. These data indicated widespread activity
changes across different frequency bands during heat-sensitization. Cortical
oscillatory activities could be used to evaluate the "heat-sensitization"
responses during suspended moxibustion.
PMID- 26557929
TI - Single-trial detection for intraoperative somatosensory evoked potentials
monitoring.
AB - Abnormalities of somatosensory evoked potentials (SEPs) provide effective
evidence for impairment of the somatosensory system, so that SEPs have been
widely used in both clinical diagnosis and intraoperative neurophysiological
monitoring. However, due to their low signal-to-noise ratio (SNR), SEPs are
generally measured using ensemble averaging across hundreds of trials, thus
unavoidably producing a tardiness of SEPs to the potential damages caused by
surgical maneuvers and a loss of dynamical information of cortical processing
related to somatosensory inputs. Here, we aimed to enhance the SNR of single
trial SEPs using Kalman filtering and time-frequency multiple linear regression
(TF-MLR) and measure their single-trial parameters, both in the time domain and
in the time-frequency domain. We first showed that, Kalman filtering and TF-MLR
can effectively capture the single-trial SEP responses and provide accurate
estimates of single-trial SEP parameters in the time domain and time-frequency
domain, respectively. Furthermore, we identified significant correlations between
the stimulus intensity and a set of indicative single-trial SEP parameters,
including the correlation coefficient (between each single-trial SEPs and their
average), P37 amplitude, N45 amplitude, P37-N45 amplitude, and phase value (at
the zero-crossing points between P37 and N45). Finally, based on each indicative
single-trial SEP parameter, we investigated the minimum number of trials required
on a single-trial basis to suggest the existence of SEP responses, thus providing
important information for fast SEP extraction in intraoperative monitoring.
PMID- 26557930
TI - Function projective synchronization of memristor-based Cohen-Grossberg neural
networks with time-varying delays.
AB - This paper deals with the problem of function projective synchronization for a
class of memristor-based Cohen-Grossberg neural networks with time-varying
delays. Based on the theory of differential equations with discontinuous right
hand side, some novel criteria are obtained to realize the function projective
synchronization of addressed networks by combining open loop control and linear
feedback control. As some special cases, several control strategies are given to
ensure the realization of complete synchronization, anti-synchronization and the
stabilization of the considered memristor-based Cohen-Grossberg neural network.
Finally, a numerical example and its simulations are provided to demonstrate the
effectiveness of the obtained results.
PMID- 26557932
TI - Integrating new data balancing technique with committee networks for imbalanced
data: GRSOM approach.
AB - To deal with imbalanced data in a classification problem, this paper proposes a
data balancing technique to be used in conjunction with a committee network. The
proposed data balancing technique is based on the concept of the growing ring
self-organizing map (GRSOM) which is an unsupervised learning algorithm. GRSOM
balances the data through growing new data on a well-defined ring structure,
which is iteratively developed based on the winning node nearby the samples.
Accordingly, the new balanced data still preserve the topology of the original
data. The performance of our proposed method is evaluated using four real data
sets from the UCI Machine Learning Repository and the classification performance
is measured using the fivefold cross validation method. Classifiers with most
common data balancing techniques, namely the Minority Over-Sampling Technique
(SMOTE) and the Random under-sampling Technique (RT), are used as the baseline
methods in this study. The results reveal that a committee of classifiers
constructed using GRSOM performs at least as well as the baseline methods. The
results also suggest that classifiers constructed using neural networks with the
backpropagation algorithm are more robust than those using the support vector
machine.
PMID- 26557931
TI - A cerebral blood flow evaluation during cognitive tasks following a cervical
spinal cord injury: a case study using transcranial Doppler recordings.
AB - A spinal cord injury (SCI) is one of the most common neurological disorders. In
this paper, we examined the consequences of upper SCI in a male participant on
the cerebral blood flow velocity. In particular, transcranial Doppler was used to
study these effects through middle cerebral arteries (MCA) during resting-state
periods and during cognitive challenges (non-verbal word-generation tasks and
geometric-rotation tasks). Signal characteristics were analyzed from raw signals
and envelope signals (maximum velocity) in the time domain, the frequency domain
and the time-frequency domain. The frequency features highlighted an increase of
the peak frequency in L-MCA and R-MCA raw signals, which revealed stronger
cerebral blood flow during geometric/verbal processes respectively. This
underlined a slight dominance of the right hemisphere during word-generation
periods and a slight dominance of the left hemisphere during geometric processes.
This finding was confirmed by cross-correlation in the time domain and by the
entropy rate in information-theoretic domain. A comparison of our results to
other neurological disorders (Alzheimer's disease, Parkinson's disease, autism,
epilepsy, traumatic brain injury) showed that the SCI had similar effects such as
general decreased cerebral blood flow and similar regular hemispheric dominance
in a few cases.
PMID- 26557933
TI - Event-related potentials elicited by social commerce and electronic-commerce
reviews.
AB - There is an increasing interest regarding the use of electroencephalography (EEG)
in social commerce and electronic commerce (e-commerce) research. There are
several reviews in the field of social commerce or e-commerce; these have great
potential value and mining them is fundamental and significant. To our knowledge,
EEG is rarely applied to study these. In this study, we examined the neural
correlates of social commerce reviews (SCRs) and e-commerce reviews (ECRs) by
using them as stimuli to evoke event-related potentials. All SCRs were from
friends through a social media platform, whereas ECRs were from strangers through
an e-commerce platform. The experimental design was similar to that of a priming
paradigm, and included 40 pairs of stimuli consisting of product information
(prime stimulus) and reviews (target stimulus). The results showed that the P300
component was successfully evoked by SCR and ECR stimuli. Moreover, the P300
components elicited by SCRs had higher amplitudes than those elicited by ECRs.
These findings indicate that participants paid more attention to SCRs than to
ECRs. In addition, the associations between neural responses and reviews in
social commerce have the potential to assist companies in studying consumer
behaviors, thus permitting them to enhance their social commerce strategies.
PMID- 26557934
TI - New pharmaceuticals in inflammatory bowel disease.
AB - This paper complements the previously published Guidelines of the Working Group
of the Polish Society of Gastroenterology and former National Consultant in
Gastroenterology regarding the management of patients with Crohn's disease and
ulcerative colitis. Attention was focused on the new pharmaceutical recently
registered for inflammatory bowel disease treatment.
PMID- 26557935
TI - Cytokeratins in gastroenterology. Systematic review.
AB - Keratins are proteins that form intermediate filaments of epithelial cell
cytoskeleton. The utility of keratin expression determination is based on the
fact that epithelial cells acquire a specific pattern of keratin expression
during differentiation and maturation, which reflects the specificity of the
tissue and the degree of maturation, and generally remains stable during
carcinogenesis. Determination of the pattern makes it possible to identify the
origin of cells in diagnosing neoplastic lesions as well as in research on
pathophysiology or the possibility to apply keratin-positive cell detection in
the process of cancer staging and treatment planning. As keratins undergo
degradation during apoptosis as caspase substrate the identification of the
caspase-derived K18 fragment by the use of specific monoclonal antibody allows us
to estimate the apoptosis/necrosis ratio, especially in liver pathology, e.g.
nonalcoholic steatohepatitis, chronic hepatitis or graft-versus-host disease or
in assessing response to antiviral or antitumour therapy.
PMID- 26557936
TI - Enteral feeding and its impact on the gut immune system and intestinal mucosal
barrier.
AB - Enteral feeding is the preferred method of nutritional therapy. Mucosal lack of
contact with nutrients leads do lymphoid tissue atrophy, immune system functional
decline, and intensification in bacterial translocation. Currently, it is assumed
that microbiome is one of the body organs that has a significant impact on
health. The composition of microbiome is not affected by age, sex, or place of
residence, although it changes rapidly after diet modification. The composition
of the microbiome is determined by enterotype, which is specific for each
organism. It has a significant impact on the risk of diabetes, cancer,
atherosclerosis, and other diseases. This review gathers data on interaction
between gut-associated lymphoid tissue, mucosa-associated lymphoid tissue,
microbiome, and the intestinal mucosal barrier. Usually, the information on the
aforementioned is scattered in specialist-subject magazines such as
gastroenterology, microbiology, genetics, biochemistry, and others.
PMID- 26557937
TI - Haemophagocytic lymphohistiocytosis in inflammatory bowel disease with virus
infection.
AB - Patients with inflammatory bowel disease (IBD) are at risk of developing
haemophagocytic lymphohistiocytosis (HLH) because of chronic systemic
inflammation as well as exposure to immunosuppressive medications. The two main
causes of HLH in IBD patients are infection with cytomegalovirus and Epstein-Barr
virus. Patients with Crohn's disease are more susceptible to HLH than those with
ulcerative colitis. The majority of cases are seen in people receiving an
immunosuppressive regimen that included thiopurines.
PMID- 26557938
TI - Current management of anal fistulas in Crohn's disease.
AB - Anal fistulas occurring in Crohn's disease (CD) comprise a risk factor of severe
course of inflammation. They are frequently intractable due to various factors
such as penetration of the anal canal or rectal wall, impaired wound healing, and
immunosuppression, among others. Anal fistulas typical to CD develop from
fissures or ulcers of the anal canal or rectum. Accurate identification of the
type of fistula, such as low and simple or high and complex, is crucial for
prognosis as well as for the choice of treatment. If fistulotomy remains the gold
standard in the surgical treatment of the former, it is contraindicated in high
and complex fistulas due to possible risk of damage to the anal sphincter with
subsequent faecal incontinence. Therefore, the latter require a conservative and
palliative approach, such as an incision and drainage of abscesses accompanying
fistulas or prolonged non-cutting seton placement. Currently, conservative,
sphincter-preserving, and definitive procedures such as mucosal advancement or
dermal island flaps, the use of plugs or glue, video assisted anal fistula
treatment, ligation of the intersphincteric track, and vacuum assisted closure
are gaining a great deal of interest. Attempting to close the internal opening
without injuring the sphincter is a major advantage of those methods. However,
both the palliative and the definitive procedures require adjuvant therapy with
medical measures.
PMID- 26557939
TI - Evaluation of familial aggregation, vegetable consumption, legumes consumption,
and physical activity on functional constipation in families of children with
functional constipation versus children without constipation.
AB - INTRODUCTION: Constipation is a frequent complication in paediatrics. Most of the
constipation is functional. Functional constipation constitutes 25% of visits in
paediatric gastroenterology clinics. Two studies were published regarding
aggregation or clustering of functional constipation. Only one of these research
projects was about a paediatric population. AIM: To elucidate the cluster pattern
of constipation among the families of children with constipation. MATERIAL AND
METHODS: This case-control study was carried out on the families of 37 children <
18 years old with chronic functional constipation and the families of 37 healthy
children as controls. Cases were enrolled in the study according to Rome III
criteria for constipation. The control group was selected from children < 18
years old who visited the well baby clinic of the university. Parents and
siblings were evaluated regarding constipation. Rome II and III were used for
evaluation of constipation for adults and children, respectively. Data was
analysed using SPSS (Chicago, IL, USA). The chi(2) and t-test were used for
comparison. RESULTS: Physical activity and vegetable consumption were seen more
frequently in the control group compared to the cases, but these differences were
statistically insignificant. Constipation in mothers was significantly higher in
the case group compared to the control group (p = 0.015). There was no
significant difference between the two groups regarding exercise and vegetable
consumption. CONCLUSIONS: The frequency of constipation among mothers was
significantly higher in the case group compared to the control group. Another
study is recommended in a larger population for evaluation of genetic background,
diet, physical activity, and familial clustering among mothers of children with
constipation.
PMID- 26557940
TI - Comparing the efficacy of four different protocols for eradicating of
Helicobacter pylori infection in Ahvaz, southwest Iran.
AB - INTRODUCTION: Helicobacter pylori (H. pylori) is the common cause of many
gastrointestinal diseases, especially peptic ulcer. Therefore, a successful
treatment of this infection decreases the financial burden on health systems.
AIM: Different combinations of antibiotics are used for the eradication of this
bacterium worldwide. The goal of this study is to compare the efficacy of four
different protocols used for this purpose in Ahvaz. MATERIAL AND METHODS: A total
number of 400 patients with H. pylori infection were randomly divided into four
groups (100 in each): (1) OAC: omeprazole (20 mg/b.i.d.), amoxicillin (1000
mg/b.i.d.), clarithromycin (500 mg/b.i.d.) for 10 days. (2) OCF: omeprazole (20
mg/b.i.d.), ciprofloxacin (500 mg/b.i.d.), furazolidone (100 mg/b.i.d.) for 10
days. (3) OBAM: omeprazole (20 mg/b.i.d.), bismuth subcitrate (240 mg/b.i.d.),
amoxicillin (1000 mg/b.i.d.), metronidazol (500 mg/b.i.d.) for 14 days. (4) OBTM:
omeprazole (20 mg/b.i.d.), bismuth subcitrate (240 mg/b.i.d.), tetracycline (500
mg/b.i.d.), metronidazol (500 mg/b.i.d.) for 14 days. At the end the viability of
the bacterium was assessed by C(14) urea breath test. RESULTS: The rate of H.
pylori eradication was 92%, 59%, 73%, and 76% in OAC, OCF, OBAM, and OBTM groups,
respectively (based on intention to treat analysis). The eradication rate was
93.9%, 62.1%, 77.7%, and 84.4% in OAC, OCF, OBAM, and OBTM groups, respectively
(based on per protocol analysis). There was a statistically significant increase
in eradication rate in the OAC group in comparison with the others (p < 0.001).
CONCLUSIONS: Standard triple therapy (omeprazole, amoxicillin, clarithromycin)
remains the most effective regimen for H. pylori eradication in Ahvaz.
PMID- 26557941
TI - Leisure time physical activity and health-related behaviours after liver
transplantation: a prospective, single-centre study.
AB - INTRODUCTION: Leisure time physical activity is of proven significance in surveys
of fitness levels in various patient groups. Low physical functioning may affect
recovery after liver transplantation (LTx). AIM: To assess patients' leisure time
activity and health-related habits after transplantation. MATERIAL AND METHODS:
One hundred and seven patients after LTx were included. They were divided into
groups depending on aetiology of liver problem and the period after LTx.
Minnesota Leisure Time Physical Activity Questionnaire (MILTPAQ) and Health
Behaviour Inventory (HBI) were applied. RESULTS: Neither the primary indication
for the procedure nor the period after surgery had a significant relationship
with physical activity assessed with MILTPAQ; however, activity was lower in
females than males (1804.3 +/-1848.9 vs. 2619.9 +/-2067; p = 0.03). Age at
survey/surgery was inversely associated with higher activity (p = 0.02 and p =
0.03, respectively). Health Behaviour Inventory analysis showed a correlation
between all four of its domains and age at transplantation/survey (p < 0.001 for
both). There was a negative correlation between positive mental attitude and body
mass index (BMI). CONCLUSIONS: The primary indications for grafting and,
surprisingly, the period after surgery did not seem to be related to the
patients' physical activity in leisure time. Younger and leaner patients appeared
to understand the standards of healthy behaviour better and implement them in
their daily activities. As higher BMI are associated with a negative mental
attitude in patients after LTx, a particular emphasis should be placed on proper
counselling in this subgroup of patients.
PMID- 26557942
TI - Rectal prolapse in children: a study of 71 cases.
AB - INTRODUCTION: Prolapse of the rectum is the herniation of the rectum through the
anus, which may be categorised as mucosal or complete. AIM: To evaluate the
clinical manifestation, treatment, and surgical complications of children with
rectal prolapse over a 6-year period. MATERIAL AND METHODS: This study was
carried out on children aged < 14 years who were admitted or referred for rectal
prolapse that failed to respond after medical treatment in Imam Khomeini and
Abouzar Children's Hospital. Duration of the study was 6 years starting in March
2002. These cases were referred after failure of medical and conservative
treatment. Age, sex, clinical manifestation, and type of procedure were recorded.
Analysis was done using SPSS version 11.0 (SPSS Inc, Chicago, IL, USA). The
chi(2) test was used for comparison. RESULTS: Seventy-one cases were included in
this study. Of these cases, 50 (70.4%) were male and 21 (29.6%) were female (p <
0.0001). Mean age of cases was 4.97 +/-3.42 years (range: 2 days to 13 years). Of
the male cases, 38% were in the age range of 3-6 years. In female cases, 57.1%
were in the range of 1.5-3 years. Of all 71 cases, injection sclerotherapy was
done for 50 (70.43%) for the first time. Twenty-one cases had history of
injection sclerotherapy and 16 (22.53%) were treated by perineal surgery, and 5
(7.04%) had abdominal surgery. One case experienced recurrent rectal prolapse
(1.40%) following injection sclerotherapy. CONCLUSIONS: In girls, more than half
of the cases were in the age range 1.5-3 years. Among male cases, 38% were in the
age range of 1.5-3 years. The results of treatment of rectal prolapse in our
hospitals was similar to that seen in developed countries.
PMID- 26557943
TI - Diabetes mellitus and the risk of cholangiocarcinoma: an updated meta-analysis.
AB - INTRODUCTION: A number of studies have shown that diabetes mellitus is implicated
in susceptibility to several cancers. However, the relationship between diabetes
and cholangiocarcinoma remain unclear. AIM: To quantitatively assess the
relationship between diabetes and incidence of cholangiocarcinoma in cohort and
case-control studies. MATERIAL AND METHODS: A literature search was performed for
entries from 1996 to 2014 using the PubMed and EMBASE databases. Studies were
included if they reported odds ratios (OR) and corresponding 95% CI of
cholangiocarcinoma with respect to diabetes mellitus. RESULTS: Twenty studies met
the inclusion criteria, which included fifteen case-control studies and five
cohort studies from Asia (n = 11), the United States (n = 5), and Europe (n = 4).
Compared with individuals without diabetes, the pooled OR of cholangiocarcinoma
was 1.74 (95% CI: 1.62-1.87, p = 0.568 for heterogeneity) for patients with
diabetes, ICC (summary RR, 1.93; 95% CI: 1.65-2.25; p = 0.037 for heterogeneity),
and ECC (summary RR, 1.66; 95% CI: 1.39-1.98; p = 0.001 for heterogeneity). The
funnel plot revealed no evidence for publication bias concerning diabetes and the
risk of CC (including ICC and ECC). CONCLUSIONS: The findings from this meta
analysis suggest that diabetes may increase the risk of cholangiocarcinoma. This
relationship needs to be confirmed by further follow-up studies.
PMID- 26557944
TI - Mesenteric lymphadenitis caused by Yersinia enterocolitica.
AB - Yersiniosis is an acute or chronic, zoonotic disease caused by infection of Gram
negative rods Yersinia enterocolitica. It can be transmitted by the consumption
of originally contaminated food products (pork, unpasteurized milk) or
secondarily contaminated with animal or vegetable products. The clinical picture
of infection may have a variable course is related to the age and physical
condition of the patient, or pathogenic properties of microorganisms. Infection
caused by Y. enterocolitica can occur in different clinical forms: food
poisoning, colitis, mesentric lymphadenitis, erythema nodosum, arthritis,
pharyngitis, pneumonia, meningitis, sepsis. The aim of this study was to present
a rare case of infection with Y. enterocolitica mesenteric lymph nodes coexistent
with appendicitis.
PMID- 26557945
TI - Endoscopic removal of a battery that was lodged in the oesophagus of a two-year
old boy for an extremely long time.
AB - In the present work we describe a 2-year-old boy whose battery ingestion was
overlooked, and who had the battery endoscopically removed from the upper part of
his oesophagus after several months. This is the only described case of such a
long impaction of a lithium battery in the oesophagus, without development of
severe complications. We stress the necessity to take into account ingestion of a
dangerous foreign body by children demonstrating unspecific clinical signs.
PMID- 26557946
TI - Total Scalp Excision and Reconstruction Using a Free Omental Flap.
PMID- 26557947
TI - Autografted Electrical Burn Complicated by Cutaneous Chromoblastomycosis.
PMID- 26557948
TI - Clinical and biological significance of precursor lesions of intrahepatic
cholangiocarcinoma.
AB - Cholangiocarcinoma (CC) is primarily a malignant tumor of older adults most
prevalent in Southeast Asia, where liver fluke infestation is high. However the
etiology in western countries is unknown. Although the incidence of extrahepatic
cholangiocarcinoma has remained constant, incidence of intrahepatic CC (ICC)
which differs in morphology, pathogenesis, risk factors, treatment and prognosis
is increasing. While this increase is associated with hepatitis C virus
infection, chronic nonalcoholic liver disease, obesity, and smoking, the
pathogenesis of ICC and molecular alterations underlying the carcinogenesis are
not completely elucidated. Benign biliary lesions such as biliary intraepithelial
neoplasia, intraductal papillary neoplasm of the bile duct, von Meyenburg complex
or bile duct hamartoma, and bile duct adenoma have been associated with ICC. For
each of these entities, evidence suggests or supports a role as premalignant
lesions. This article summarized the important biological significance of the
precursor lesions of ICC and the molecular mechanisms that may be involved in
intrahepatic cholangiocarcinogenesis.
PMID- 26557949
TI - Defining acute-on-chronic liver failure: East, West or Middle ground?
AB - Acute-on-chronic liver failure (ACLF), a newly recognized clinical entity seen in
hospitalized patients with chronic liver disease including cirrhosis, is
associated with high short- and medium term morbidity and mortality. None of the
definitions of ACLF proposed so far have been universally accepted, the two most
commonly used being those proposed by the Asia-Pacific Association for the Study
of Liver (APASL) and the European Association for the Study of Liver - Chronic
Liver Failure (EASL-CLIF) consortium. On paper both definitions and diagnostic
criteria appear to be different from each other, reflecting the differences in
cut-off values for individual parameters used in diagnosis, the acute insult or
precipitating event and the underlying chronic liver disease. Data directly
comparing these two criteria are limited, and available studies reveal different
outcomes when the two are applied to the same set of patients. However a review
of the literature suggests that both definitions do not seem to identify the same
set of patients. The definition given by the APASL consortium is easier to apply
in day-to-day practice but the EASL-CLIF criteria appear to better predict
mortality in ACLF. The World Gastroenterology Organization working party have
proposed a working definition of ACLF which will identify patients from whom
relevant data can be collected so that the similarities and the differences
between the two regions, if any, can be clearly defined.
PMID- 26557950
TI - Microwave ablation of hepatocellular carcinoma.
AB - Although surgical resection is still the optimal treatment option for early-stage
hepatocellular carcinoma (HCC) in patients with well compensated cirrhosis,
thermal ablation techniques provide a valid non-surgical treatment alternative,
thanks to their minimal invasiveness, excellent tolerability and safety profile,
proven efficacy in local disease control, virtually unlimited repeatability and
cost-effectiveness. Different energy sources are currently employed in clinics as
physical agents for percutaneous or intra-surgical thermal ablation of HCC
nodules. Among them, radiofrequency (RF) currents are the most used, while
microwave ablations (MWA) are becoming increasingly popular. Starting from the
90s', RF ablation (RFA) rapidly became the standard of care in ablation,
especially in the treatment of small HCC nodules; however, RFA exhibits
substantial performance limitations in the treatment of large lesions and/or
tumors located near major heat sinks. MWA, first introduced in the Far Eastern
clinical practice in the 80s', showing promising results but also severe
limitations in the controllability of the emitted field and in the high amount of
power employed for the ablation of large tumors, resulting in a poor coagulative
performance and a relatively high complication rate, nowadays shows better
results both in terms of treatment controllability and of overall coagulative
performance, thanks to the improvement of technology. In this review we provide
an extensive and detailed overview of the key physical and technical aspects of
MWA and of the currently available systems, and we want to discuss the most
relevant published data on MWA treatments of HCC nodules in regard to clinical
results and to the type and rate of complications, both in absolute terms and in
comparison with RFA.
PMID- 26557951
TI - Ribavirin contributes to eradicate hepatitis C virus through polarization of T
helper 1/2 cell balance into T helper 1 dominance.
AB - The mechanism of action of ribavirin (RBV) as an immunomodulatory and antiviral
agent and its clinical significance in the future treatment of patients with
hepatitis C virus (HCV) infection are reviewed. RBV up-regulates type 1 and/or 2
cytokines to modulate the T helper (Th) 1/2 cell balance to Th1 dominance.
Examination of co-stimulatory signaling indicated that RBV down-modulates
inducible co-stimulator on Th cells, which contributes to differentiating naive
Th cells into Th2 cells while reducing their interleukin-10 production. The
effects on T-regulatory (Treg) cells were also investigated, and RBV inhibited
the differentiation of naive Th cells into adaptive Treg cells by down-modulating
forkhead box-P3. These findings indicate that RBV mainly down-regulates the
activity of Th2 cells, resulting in the maintenance of Th1 activity that
contributes to abrogating HCV-infected hepatocytes. Although an interferon-free
treatment regimen exhibits almost the same efficacy without serious
complications, regimens with RBV will be still be used because of their ability
to facilitate the cellular immune response, which may contribute to reducing the
development of hepatocellular carcinogenesis in patients infected with HCV.
PMID- 26557952
TI - Dietary supplements and pediatric non-alcoholic fatty liver disease: Present and
the future.
AB - Non-alcoholic fatty liver disease (NAFLD) is the most common chronic liver
disease in children. High prevalence of pediatric obesity and sedentary lifestyle
has augmented the incidence of NAFLD in children. Obesity is associated with an
increased risk of NAFLD through various mechanisms such as intensification of
insulin resistance and increased levels of inflammatory markers. There is no
approved medical intervention for treatment of pediatric NAFLD; the only proven
strategy in management of pediatric NAFLD is lifestyle modification. Recently,
the effects of nutritional supplements have been examined in the management of
pediatric NAFLD. The purpose of this review is to summarize the studies
evaluating the effects of nutritional supplements on pediatric NAFLD and explain
the future direction in this field.
PMID- 26557953
TI - Hepatocellular adenoma: An update.
AB - Hepatocellular adenomas (HCA) are rare benign liver tumors. Recent technological
advancements have helped in the early identification of such lesions. However,
precise diagnosis of hepatocellular incidentalomas remains challenging. Studies
at the molecular level have provided new insights into the genetics and
pathophysiology of these lesions. These in turn have raised questions over their
existing management modalities. However, the rarity of the tumor still restricts
the quality of evidence available for current recommendations and guidelines.
This article provides a comprehensive review on the etiology, molecular biology,
patho-physiology, clinical manifestations, and complications associated with HCA.
It also elaborates on the genetic advancements, existing diagnostic tools and
current guidelines for management for such lesions.
PMID- 26557954
TI - Histopathological differences utilizing the nonalcoholic fatty liver disease
activity score criteria in diabetic (type 2 diabetes mellitus) and non-diabetic
patients with nonalcoholic fatty liver disease.
AB - AIM: To study clinical and histopathological features of nonalcoholic fatty liver
disease (NAFLD) in patients with and without type 2 diabetes mellitus (T2DM)
using updated nonalcoholic steatohepatitis clinical research network (NASH-CRN)
grading system. METHODS: We retrospectively analyzed data of 235 patients with
biopsy proven NAFLD with and without T2DM. This database was utilized in the
previously published study comparing ethnicity outcomes in NAFLD by the same
corresponding author. The pathology database from University of Chicago was
utilized for enrolling consecutive patients who met the criteria for NAFLD and
their detailed clinical and histopathology findings were obtained for comparison.
The relevant clinical profile of patients was collected from the Electronic
Medical Records around the time of liver biopsy and the histology was read by a
single well-trained histopathologist. The updated criteria for type 2 diabetes
have been utilized for analysis. Background data of patients with NASH and NAFLD
has been included. The mean differences were compared using chi(2) and t-test
along with regression analysis to evaluate the predictors of NASH and advanced
fibrosis. RESULTS: Patients with NAFLD and T2DM were significantly older (49.9 vs
43.0, P < 0.01), predominantly female (71.4 vs 56.3, P < 0.02), had higher rate
of metabolic syndrome (88.7 vs 36.4, P < 0.01), had significantly higher
aspartate transaminase (AST)/alanine transaminase (ALT) ratio (0.94 vs 0.78, P <
0.01) and Fib-4 index (1.65 vs 1.06, P < 0.01) as markers of NASH, showed higher
mean NAFLD activity score (3.5 vs 3.0, P = 0.03) and higher mean fibrosis score
(1.2 vs 0.52, P < 0.01) compared to patients with NAFLD without T2DM.
Furthermore, advanced fibrosis (32.5 vs 12.0, P < 0.01) and ballooning (27.3 vs
13.3, P < 0.01) was significantly higher among patients with NAFLD and T2DM
compared to patients with NAFLD without T2DM. On multivariate analysis, T2DM was
independently associated with NASH (OR = 3.27, 95%CI: 1.43-7.50, P < 0.01) and
advanced fibrosis (OR = 3.45, 95%CI: 1.53-7.77, P < 0.01) in all patients with
NAFLD. There was a higher rate of T2DM (38.1 vs 19.4, P < 0.01) and cirrhosis
(8.3 vs 0.0, P = 0.01) along with significantly higher mean Bilirubin (0.71 vs
0.56, P = 0.01) and AST (54.2 vs 38.3, P < 0.01) and ALT (78.7 vs 57.0, P = 0.01)
level among patients with NASH when compared to patients with steatosis alone.
The mean platelet count (247 vs 283, P < 0.01) and high-density lipoprotein
cholesterol level (42.7 vs 48.1, P = 0.01) was lower among patients with NASH
compared to patients with steatosis. CONCLUSION: Patients with NAFLD and T2DM
tend to have more advanced stages of NAFLD, particularly advanced fibrosis and
higher rate of ballooning than patients with NAFLD without T2DM.
PMID- 26557955
TI - Human immunodeficiency virus and viral hepatitis among high-risk groups:
Understanding the knowledge gap in the Middle East and North Africa Region.
AB - AIM: To identify gaps in the existing knowledge on single, dual and triple
infections of human immunodeficiency virus (HIV), hepatitis B virus (HBV) and
hepatitis C virus (HCV) in the Middle East and North Africa (MENA) region among
men who have sex with men (MSMs), female sex workers (FSWs), injecting drug users
(IDUs) and prisoners. METHODS: We performed an extensive literature search on
articles published on the topic in the 25 countries of the MENA region. PubMed
database was used as the main search engine. Case reports, case series,
qualitative studies, editorials, commentaries, authors' replies and animal
studies were excluded. Original articles and reviews dealing with the prevalence
of HIV, HBV and HCV and their co-infection were included. Data on population
type, sample size, age and markers of infections were extracted from the relevant
studies. RESULTS: HIV, HBV and HCV are blood-borne viruses with similar modes of
transmission. The categories of people at high risk of acquiring HIV-1, HBV and
HCV commonly include: MSMs, FSW and IDUs. It is well established that HIV
positive individuals co-infected with HBV or HCV suffer from liver pathology
associated with morbidity and mortality. Moreover, HIV-infected individuals do
not respond well to treatment for HBV or HCV and hence are at increased risk of
hepatic toxicity. Consequently, co-infection of HIV-positive individuals with HBV
and/or HCV is a global health problem of significant magnitude. Our review
reveals the paucity of epidemiological data for key populations in many countries
of the region. Limited number of studies exists in the MENA region on the status
of HIV, HBV and HCV and their co-infections among prisoners, MSMs and FSWs.
Evidence support the continued increase of the HIV epidemic among MSMs. In
addition to the lack of studies on MSMs and FSWs in the MENA region, our review
highlights the lack of data on the practices, characteristics, or the status of
HIV infection and viral hepatitis among male sex workers selling or exchanging
sex for money. CONCLUSION: The MENA countries are in urgent need of advanced
research and strengthening of the data collection systems and reporting practices
of these infections among key populations.
PMID- 26557957
TI - Role of adiponectin and some other factors linking type 2 diabetes mellitus and
obesity.
AB - Because of the intimate association of obesity with type 2 diabetes mellitus
(T2DM), during the last two decades, extensive research work is being conducted
to find out whether the coexistence of the two is a simple association or there
is a positive correlating link between the two. In this article, an attempt has
been made to collect and analyse the recent developments in this field and to
arrive at a conclusion on the subject. The possible role of several important
factors (obtained from adipocytes/not of adipocyte origin) in linking the two has
been discussed in detail. Some of the agents, specifically adiponectin, are
beneficial (i.e., reduce the incidence of both), while others are harmful (i.e.,
increase their incidence). From the analysis, it appears that obesity and T2DM
are intimately linked.
PMID- 26557956
TI - New perspectives on exploitation of incretin peptides for the treatment of
diabetes and related disorders.
AB - The applicability of stable gut hormones for the treatment of obesity-related
diabetes is now undisputable. This is based predominantly on prominent and
sustained glucose-lowering actions, plus evidence that these peptides can augment
insulin secretion and pancreatic islet function over time. This review highlights
the therapeutic potential of glucagon-like peptide-1 (GLP-1), glucose-dependent
insulinotropic polypeptide (GIP), oxyntomodulin (OXM) and cholecystokinin (CCK)
for obesity-related diabetes. Stable GLP-1 mimetics have already been
successfully adopted into the diabetic clinic, whereas GIP, CCK and OXM molecules
offer promise as potential new classes of antidiabetic drugs. Moreover, recent
studies have shown improved therapeutic effects following simultaneous modulation
of multiple receptor signalling pathways by combination therapy or use of
dual/triple agonist peptides. However, timing and composition of injections may
be important to permit interludes of beta-cell rest. The review also addresses
the possible perils of incretin based drugs for treatment of prediabetes.
Finally, the unanticipated utility of stable gut peptides as effective treatments
for complications of diabetes, bone disorders, cognitive impairment and
cardiovascular dysfunction is considered.
PMID- 26557959
TI - Avicenna's contribution to mechanisms of cardiovascular drugs.
PMID- 26557958
TI - Integrative Modeling of Macromolecular Assemblies from Low to Near-Atomic
Resolution.
AB - While conventional high-resolution techniques in structural biology are
challenged by the size and flexibility of many biological assemblies, recent
advances in low-resolution techniques such as cryo-electron microscopy (cryo-EM)
and small angle X-ray scattering (SAXS) have opened up new avenues to define the
structures of such assemblies. By systematically combining various sources of
structural, biochemical and biophysical information, integrative modeling
approaches aim to provide a unified structural description of such assemblies,
starting from high-resolution structures of the individual components and
integrating all available information from low-resolution experimental methods.
In this review, we describe integrative modeling approaches, which use
complementary data from either cryo-EM or SAXS. Specifically, we focus on the
popular molecular dynamics flexible fitting (MDFF) method, which has been widely
used for flexible fitting into cryo-EM maps. Second, we describe hybrid molecular
dynamics, Rosetta Monte-Carlo and minimum ensemble search (MES) methods that can
be used to incorporate SAXS into pseudoatomic structural models. We present
concise descriptions of the two methods and their most popular alternatives,
along with select illustrative applications to protein/nucleic acid assemblies
involved in DNA replication and repair.
PMID- 26557961
TI - Electrophysiologic and clinico-pathologic characteristics of statin-induced
muscle injury.
AB - OBJECTIVES: In this study, we aimed at evaluation of electrophysiological and
histopathalogical characteristics of statin-induced muscle injury as well as
clinical features of patients who develop this condition in terms of frequency
and pattern of evolution. MATERIALS AND METHODS: Forty patients (age 39-74 years)
including 25 subjects with type 2 diabetes mellitus, 9 with cardiovascular
diseases and 6 with hyperlipidemia, who were receiving atrovastatin 40 mg/day for
variable period, were studied. Thirty three healthy subjects (age 31-74 years)
served as control group. Creatine phosphokinease level, thyroid function, motor
unit potential parameters and muscle fiber conduction velocity of biceps brachii
and tibialis anterior muscles were measured. RESULTS: Creatine phosphokinase
level was elevated in statin users, particularly in those with diabetes mellitus.
Less than 50% of statin users experienced symptoms related to muscle injury.
Muscle fiber conduction velocity of the biceps brachii muscle was significantly
reduced. Statin users with diabetes mellitus showed significant changes in
electrophysiological parameters as compared to those with cardiovascular diseases
and hyperlipidemia. Muscle biopsies showed muscle fiber variation in size,
fibrosis and mild inflammatory cell infiltration. Immunohistochemical evaluation
of muscle biopsies showed positive expression of Bcl-2 and one patient showed
positive P53 immunohistochemical expression with elevated level of creatine
phosphokinase. CONCLUSION: Atorvastatin increased average creatine kinase,
statins produce mild muscle injury even in asymptomatic subjects. Diabetic statin
users were more prone to develop muscle injury than others. Muscle fiber
conduction velocity evaluation is recommended as a simple and reliable test to
diagnose statin-induced myopathy instead of invasive muscle biopsy.
PMID- 26557962
TI - Antidiabetic effect of honey feeding in noise induced hyperglycemic rat:
involvement of oxidative stress.
AB - OBJECTIVES: In this study the effect of oral administration of honey on serum
glucose, lipids, stress oxidative markers, and morphology of langerhans islets in
noise induced hyperglycemic rats was investigated. MATERIALS AND METHODS: Male
Wistar rats were divided into control, hyperglycemic, honey treated control, and
honey treated hyperglycemic groups. For induction of hyperglycemia, noise stress
was used. Serum glucose, triglyceride (TG), total cholesterol, low density
lipoprotein (LDL), and high density lipoprotein (HDL)-cholesterol levels were
determined before the study and at 4(th) and 8(th) weeks after the study. Markers
of oxidative stress in brain were also measured. Morphology of langerhans islets
in four groups was evaluated using Gomori staining method. RESULTS: Treatment of
noise induced hyperglycemic rats with honey produced a hypoglycemic effect and
appropriate changes regarding serum lipids in treated diabetic group at 4(th) and
8(th) weeks as compared to the control group. Meanwhile, honey treatment
significantly ameliorated the increased malondialdehyde (MDA) content and reduced
the activity of superoxide dismutase (SOD) in brain. Histology of langerhans
islets in hyperglycemic group showed a lower number and granularity of beta
cells; honey treatment produced beneficial change in this respect. CONCLUSION:
Oral administration of honey in experimental model of diabetes showed a
significant hypoglycemic effect and led to appropriate changes in serum lipid
profiles.
PMID- 26557963
TI - Antinociceptive effects of maprotiline in a rat model of peripheral neuropathic
pain: possible involvement of opioid system.
AB - OBJECTIVES: Neuropathic pain remains a clinical problem and is poorly relieved by
conventional analgesics. This study was designed to determine whether maprotiline
administration was effective in alleviating symptoms of neuropathic pain and
whether the antinociceptive effect of maprotiline mediated through the opioid
system. MATERIALS AND METHODS: Neuropathic pain was induced by chronic
constriction injury (CCI) of the sciatic nerve in rats, which resulted in thermal
hyperalgesia, and mechanical and cold allodynia. Maprotiline (10, 20 and 40
mg/kg, IP) was administered on the 7(th) and 14(th) days after surgery. To study
the role of the opioid system in the antinociceptive effects of maprotiline,
maprotiline (20 mg/kg, IP) was administered in combination with naloxone (1
mg/kg, SC) on the 7(th) post-surgery day. Behavioral tests were done at 45 min
after drug injections on the 7(th) and 14(th) days after surgery. RESULTS:
Systemic administration of maprotiline blocked heat hyperalgesia, cold allodynia
and reduced mechanical allodynia. Also antihyperalgesic effect of maprotiline was
reversed by pretreatment with naloxone. CONCLUSION: Our results suggest that
maprotiline can be considered a potential therapeutic for the treatment of
neuropathic pain, and the opioid system may be involved in the antihyperalgesic
effects of maprotiline.
PMID- 26557960
TI - Epigenetic: A missing paradigm in cellular and molecular pathways of sulfur
mustard lung: a prospective and comparative study.
AB - Sulfur mustard (SM, bis- (2-chloroethyl) sulphide) is a chemical warfare agent
that causes DNA alkylation, protein modification and membrane damage. SM can
trigger several molecular pathways involved in inflammation and oxidative stress,
which cause cell necrosis and apoptosis, and loss of cells integrity and
function. Epigenetic regulation of gene expression is a growing research topic
and is addressed by DNA methylation, histone modification, chromatin remodeling,
and noncoding RNAs expression. It seems SM can induce the epigenetic
modifications that are translated into change in gene expression. Classification
of epigenetic modifications long after exposure to SM would clarify its mechanism
and paves a better strategy for the treatment of SM-affected patients. In this
study, we review the key aberrant epigenetic modifications that have important
roles in chronic obstructive pulmonary disease (COPD) and compared with mustard
lung.
PMID- 26557964
TI - Inhibition of Pseudomonas aeruginosa biofilm formation by 2,2'-bipyridyl, lipoic,
kojic and picolinic acids.
AB - OBJECTIVES: The inhibitory effects of iron chelators, and FeCl3 chelation on
biofilm formation and swarming motility were investigated against an
opportunistic human pathogen Pseudomonas aeruginosa. MATERIALS AND METHODS: The
inhibitory activity of 2,2'-bipyridyl, lipoic acid, kojic acid and picolinic acid
on biofilm formation of P. aeruginosa strain PAO1 and three clinical isolates (P.
aeruginosa PAK01, P. aeruginosa PAK02 and P. aeruginosa PAK03) were investigated,
based on crystal violet assay, and swarming motility test. RESULTS: The kojic,
lipoic and picolinic acid inhibited biofilm formation by 5-33% in all tested P.
aeruginosa isolates. When chelated iron was added, biofilm inhibition rates were
determined to be 39-57%. Among the tested chelators against P. aeruginosa, lipoic
acid (84%) and kojic acid (68%) presented the highest inhibition of swarming
motility. This is the first study to report the inhibitory effect of lipoic acid
on biofilm formation and swarming motility of P. aeruginosa. CONCLUSION: It is
considered that lipoic and picolinic acids can serve as alternatives for the
treatment of the P. aeruginosa infections by inhibiting biofilm formation.
PMID- 26557965
TI - Improved viability of random pattern skin flaps with the use of bone marrow
mesenchymal-derived stem cells and chicken embryo extract.
AB - OBJECTIVES: Covering tissue defects using skin flaps is a basic surgical strategy
for plastic and reconstructive surgery. The aim of this study was to evaluate the
effects of chicken embryo extract (CEE) and bone marrow derived mesenchymal stem
cells (BM-MSCs) on random skin flap survival (RSF) in rats. Using chicken embryo
extract can be an ideal environment for the growth and proliferation of
transplanted cells. MATERIALS AND METHODS: Forty albino male Wistar rats were
divided into 4 groups; each group consisted of 10 rats. BM-MSCs and CEE were
transplanted into subcutaneous tissue in the area, where the flap would be
examined. On the 7(th) postoperative day, the survival areas of the flaps were
measured by using digital imaging with software assistance, and tissue was
collected for evaluation. RESULTS: Survival area was 19.54+/-2 in the CEE group
and 17.90+/-2 in the CEE/BM-MSC group when compared to the rates of the total
skin flaps, which were significantly higher than the control group (13.47+/-2)
(P<0.05). The biomechanical assessment showed a slight difference, although there
was no statistically significant difference between the experimental groups and
the control group (P>0.05). CONCLUSION: The findings from this study demonstrated
that in operative treatment with BM-MSCs and CEE transplantation could promote
flap survival, but the biomechanical parameters were not contrasted with a saline
injection.
PMID- 26557966
TI - N-myc downstream regulated gene 2 overexpression reduces matrix metalloproteinase
2 and -9 activities and cell invasion of A549 lung cancer cell line in vitro.
AB - OBJECTIVES: N-myc downstream regulated gene 2 (NDRG2) is a candidate gene for
tumor suppression. The expression of NDRG2 is down-regulated in several tumors
including lung cancer. The aim of this study was to explore the effect of NDRG2
overexpression on invasion, migration, and enzymatic activity of matrix
metalloproteinase-2 (MMP-2) and -9 (MMP-9) in human lung adenocarcinoma A549
cells. MATERIALS AND METHODS: A recombinant plasmid encoding green fluorescent
protein (GFP)-tagged NDRG2 (pCMV6-AC-NDRG2-GFP) was used to overexpress GFP
tagged NDRG2 in A549 cells. The cells in the experimental group and those in the
control group were transfected with pCMV6-AC-NDRG2-GFP and a control plasmid
without NDRG2 (pCMV6-AC-GFP), respectively. Fluorescent microscopy and
flowcytometry analysis of GFP expression were used to evaluate the cellular
expression of GFP-tagged NDRG2 and the efficiency of transfection. The effects of
NDRG2 expression on cell invasion and migration were evaluated using transwell
filter migration assay. The gelatinase activity of secreted MMP-2 and MMP-9 was
measured by gelatin zymography. RESULTS: Our results demonstrated the expression
of GFP-tagged NDRG2 in the cytoplasm and nucleus of A549 cells. The findings of
transwell assay showed that NDRG2 overexpression reduced migration and invasion
of A549 cells compared to control cells. Gelatin zymography analyses revealed
that NDRG2 overexpression decreased the gelatinase activity of secreted MMP-2 and
MMP-9. CONCLUSION: These findings suggest that NDRG2 may be a new anti-invasion
factor in lung cancer that inhibits MMPs activities.
PMID- 26557967
TI - Construction of expressing vectors including melanoma differentiation-associated
gene-7 (mda-7) fused with the RGD sequences for better tumor targeting.
AB - OBJECTIVES: Up to now, many researches have been performed to improve the
antitumoral effect of melanoma differentiation-associated gene-7 (mda-7) protein.
The purpose of our research was to construct 3 expression vectors producing mda-7
in fusion with RGD (Arginine-Glycine-Aspartic acid) peptide and evaluate their
expression. MATERIALS AND METHODS: mda-7 gene with two different RGD sequences
was amplified by PCR then was cloned by TA-cloning system. The colonies including
these genes were selected by blue-white screening, colony PCR, and sequencing,
respectively. Afterward, the genes were sub-cloned into the expression vector
following confirmation by colony PCR and sequencing. In addition, these
constructs were transfected into 293 and Huh-7 cells for further expression
analysis. The mda-7 gene expression was evaluated by RT-PCR and IF
(immunofluorescence assay). DNA laddering test and trypan blue exclusion assays
were performed to screen cytotoxicity of prepared plasmids. RESULTS: Three
different mda-7 genes with terminal RGD peptide were cloned correctly into the
expression vectors and their expression was confirmed to be suitable by RT-PCR
and IF assay. It was shown that expressions were limited to those transfected,
GFP shining cells. No significant cytotoxicity was observed by simple assays in
all plasmid treated cells. In expressing cells, all forms of mda-7 protein were
localized mainly around ER prenuclear compartment while GFP protein was
distributed evenly among them. CONCLUSION: Theoretically RGD tagged mda-7 would
be able to induce apoptosis with more specificity and stronger than the standard
one, therefore, these new constructs may have the potential for further
researches.
PMID- 26557968
TI - Decellularized kidney in the presence of chondroitin sulfate as a natural 3D
scaffold for stem cells.
AB - OBJECTIVES: Use of biological scaffolds and automating the cells directing
process with materials such as growth factors and glycosaminoglycans (GAGs) in a
certain path may have beneficial effects in tissue engineering and regenerative
medicine in future. In this research, chondroitin sulfate sodium was used for
impregnation of the scaffolds. It is a critical component in extracellular matrix
and plays an important role in signaling pathway; however, little is known about
its role within mammalian development and cell linage specification. MATERIALS
AND METHODS: Due to its porous and appropriate structure and for putting cells in
3D space, the kidney of BALB/c mouse was selected and decellulalized using
physical and chemical methods. After decellularization, the scaffold was
impregnated in chondroitin sulfate solution (CS) for 24 hr. Then, 60*10(5) human
adipose-derived mesenchymal stem cells were seeded on the scaffold to assess
their behavior on day 5, 10, 15, 20, and 25. RESULTS: After 48 hr, DAPI staining
approved completed decellularized kidney by 1% SDS (sodium dodecyl sulfate).
Migration and establishment of a number of cells to the remaining area of the
glomerulus was observed. In addition, cell accumulation on the scaffold surface
as well as cells migration to the depth of kidney formed an epithelium-like
structure. Up to the day 15, microscopic study of different days of seeding
showed the gradual adhesion of large number of cells to the scaffold. CONCLUSION:
Glycosaminoglycan could be a right option for impregnation. It is used for
smartification and strengthening of natural scaffolds and induction of some
behaviors in stem cells.
PMID- 26557969
TI - Estrogen treatment enhances neurogenic differentiation of human adipose derived
stem cells in vitro.
AB - OBJECTIVES: Estrogen is a sexual hormone that has prominent effects on
reproductive and non-reproductive tissues. The aim of this study is to evaluate
the effects of estrogen on the proliferation and neural differentiation of human
adipose derived stem cells (ADSCs) during neurogenic differentiation. MATERIALS
AND METHODS: Isolated human ADSCs were trans-differentiated in neural induction
medium containing neurobasal medium, N2 and B27 with or without 17beta-estradiol
(E2) treatment. Proliferation rate and neural differentiation of human ADSCs were
assessed using MTT assay, immunostaining and real time RT- PCR analysis,
respectively. RESULTS: Analysis of data show that estradiol treatment can
significantly increase proliferation rate of differentiated cells (P<0.05).
Immunocytochemical and real time RT-PCR analysis revealed that the expression of
precursor and mature neuronal markers (nestin and MAP2) was significantly higher
in the E2 treated cell cultures when compared to the untreated cell cultures
(P<0.05). CONCLUSION: According to our findings, estrogen can promote
proliferation and neuronal differentiation of human ADSCs.
PMID- 26557970
TI - Chronic effects of aerobic exercise on gene expression of LOX-1 receptor in the
heart of rats fed with high fat diet.
AB - OBJECTIVES: Lectin-like low density lipoprotein receptor (LOX-1) has pivot role
in vascular complications, which is upregulated in numerous pathological
conditions. Since exercise has beneficial effects in prevention of hyperlipidemic
complications, present study examined protective effects of aerobic exercise
through reduction of LOX-1 expression in heart during dyslipidemia. MATERIALS AND
METHODS: Four groups of rats were used (N=25): Normal, Normal and exercise, High
fat and High fat and exercise. High fat diet (HFD) was made by adding 10% animal
oil, 2% cholesterol and 0.5% colic acid to standard rodent chow. Exercise
protocol consisted of swimming 1 hr/day, and 5 days/week for 8 weeks. Plasma
lipids were evaluated at the end of experiment, 48 hr after final session of
exercise. At the end, rats were sacrificed and heart was removed for
determination of malondialdehyde (MDA) content, and LOX-1 expression. RESULTS:
HFD meaningfully changed lipid profile (>50%), but chronic exercise had no
significant effects on lipid profile. LOX-1 expression was significantly
increased in heart of rats fed with HFD, while swimming exercise considerably
reduced gene expression of LOX-1. MDA content was significantly enhanced in rats
fed with HFD (4.37+/-0.6 nmol/mg, P<0.01) compared to normal group (1.56+/-0.48
nmol/mg), whereas swimming exercise decreased MDA level of heart in rats fed with
HFD (2.28+/-0.32, P<0.01). CONCLUSION: Findings indicated that swimming exercise
is able to diminish heart expression of LOX-1 receptor concomitant reduction of
oxidative stress. Since these parameters are involved in generation of
dyslipidemic complications, swimming exercise is a good candidate to reduce these
complications.
PMID- 26557971
TI - Sphingosine 1-phosphate interacts with Survivin pathway to enhance tumorigenesis
in cancer cells.
AB - OBJECTIVES: Degradation of sphingosine 1-phosphate (S1P), as a bioactive lipid,
or deregulation of its production involves in tumor progression, metastasis and
chemoresistance. Since the tumor progression effects of S1P and its mechanism in
chronic lymphoblastic leukemia and non-small cell lung cancer is not fully
understood, we investigated the role and one of the mechanisms of S1P in tumor
progression of SKW3 and H1299 cells. MATERIALS AND METHODS: The effects of S1P on
proliferation, invasion and migration was studied using MTT assay, soft-agar
colony forming assay and trans-well migration assay, respectively. In order to
find out the mechanisms of S1P action, the role of S1P on expression of Survivin
gene was assessed by real-time RT-PCR. RESULTS: Our results demonstrated that
although invasion was shown only in H1299 cells, low concentration of S1P,
especially at 1 MUM, mediated proliferation and migration in both cell lines. In
addition, these effects of S1P in tumor progression are S1P receptor-dependent,
and Survivin plays a key role in S1P tumorigenesis. CONCLUSION: Our results
confirmed the involvement of S1P and its receptors in tumor progression of SKW3
and H1299. We also investigated another mechanism of S1P involved in cell
survival, tumor progression, and Survivin signaling. In conclusion, data
demonstrated the importance of this molecule as a target for designing new
anticancer drugs such as anti-S1P monoclonal antibody for inhibiting major
downstream signaling, which plays significant role in tumorigenesis.
PMID- 26557972
TI - Propofol differentially inhibits the release of glutamate, gamma-aminobutyric
acid and glycine in the spinal dorsal horn of rats.
AB - OBJECTIVES: Propofol (2, 6-diisopropylphenol) is an intravenous anesthetic that
is commonly used for the general anesthesia. It is well known that the spinal
cord is one of the working targets of general anesthesia including propofol.
However, there is a lack of investigation of the effects of propofol on spinal
dorsal horn which is important for the sensory transmission of nociceptive
signals. The objective of this study was to investigate the effects of increasing
dosage of propofol on the release of glutamate (Glu), gamma-aminobutyric acid
(GABA) and glycine (Gly) in the spinal dorsal horn. MATERIALS AND METHODS: The
efflux of Glu, GABA or Gly in the spinal dorsal horn of rats was detected using
transverse spinal microdialysis under an awake condition and various depths of
propofol anesthesia. The infusion rates of propofol were, in order, 400
ug/(kg.min), 600 ug/(kg.min) and 800 ug/(kg.min), with a 20 min infusion period
being maintained at each infusion rate. RESULTS: Propofol decreased the glutamate
efflux within spinal dorsal horn in a dose-dependent manner, and the maximum
decrease was 56.8 +/- 6.0% at high-dose propofol infusion producing immobility.
The inhibitory GABA and Gly efflux was also decreased about 15-20% at low-dose
propofol infusion only producing sedation, but did not continue to drop with
higher doses of propofol. CONCLUSION: Propofol decreased both excitatory and
inhibitory amino acids efflux in spinal dorsal horn, and the preferential
suppression of the excitatory amino acid might be associated with the analgesic
effect of propofol.
PMID- 26557973
TI - Squid ink polysaccharide reduces cyclophosphamide-induced testicular damage via
Nrf2/ARE activation pathway in mice.
AB - OBJECTIVES: Cyclophosphamide (CP) toxicity on testis was hampered by squid ink
polysaccharide (SIP) via restoration of antioxidant ability in our previous
investigations. This study investigated roles of Nrf2/ARE signal pathway in
testis of treated mice. MATERIALS AND METHODS: Male Kunming mice were employed to
undergo treatment with SIP and/or CP. Protein levels of Nrf2, keap-1, histone
deacetylase 2 (HDAC2), quinone oxidoreductase 1 (NQO-1), and heme oxygenase 1 (HO
1) and phosphorylation level of protein kinase C (PKC) in testis were evaluated
by Western blotting. RESULTS: Data showed that SIP elevated expressions of NQO-1
and HO-1 genes, two downstream target molecules of Nrf2, via activating Nrf2 to
play preventive roles on CP-treated testis, and further discovered that upstream
regulators of Nrf2, keap-1, HDAC2, and PKC, were concerned with the regulation of
Nrf2. CONCLUSION: These results suggest that SIP could effectively weaken CP
associated testicular damage via Nrf2/ARE signal pathway.
PMID- 26557974
TI - Effect of pioglitazone, quercetin and hydroxy citric acid on extracellular matrix
components in experimentally induced non-alcoholic steatohepatitis.
AB - OBJECTIVES: Non-alcoholic steatohepatitis (NASH), is an important component of
Non-alcoholic fatty liver disease (NAFLD) spectrum, which progresses to the end
stage liver disease, if not diagnosed and treated properly. The disproportionate
production of pro- and anti-inflammatory adipokines secreted from fat contributes
to the pathogenesis of NASH. In this study, the comparative effect of
pioglitazone, quercetin and hydroxy citric acid on extracellular matrix (ECM)
component levels were studied in experimentally induced NASH. MATERIALS AND
METHODS: The experimental protocol consists of using 48 male Wister rats, which
were divided into 8 groups. The levels of hyaluronic acid, leptin and adiponectin
were monitored in experimental NASH. RESULTS: The experimental NASH rats treated
with pioglitazone showed significant decrease in the levels of hyaluronic acid
and significant increase in adiponectin levels when compared to experimentally
induced NASH group, but did not show any effect on the levels of leptin. Contrary
to these two drugs, viz. pioglitazone and hydroxy citric acid, the group treated
with quercetin showed significant decrease in the levels of hyaluronic acid and
leptin and significant decrease in adiponectin levels compared with that of
experimentally induced NASH NASH group, offering maximum protection against NASH.
CONCLUSION: Considering our findings, it could be concluded that quercetin may
offer maximum protection against NASH by significantly increasing the levels of
adiponectin, when compared to pioglitazone and hydroxy citric acid.
PMID- 26557975
TI - The autism inpatient collection: methods and preliminary sample description.
AB - BACKGROUND: Individuals severely affected by autism spectrum disorder (ASD),
including those with intellectual disability, expressive language impairment,
and/or self-injurious behavior (SIB), are underrepresented in the ASD literature
and extant collections of phenotypic and biological data. An understanding of
ASD's etiology and subtypes can only be as complete as the studied samples are
representative. METHODS: The Autism Inpatient Collection (AIC) is a multi-site
study enrolling children and adolescents with ASD aged 4-20 years admitted to six
specialized inpatient psychiatry units. Enrollment began March, 2014, and
continues at a rate of over 400 children annually. Measures characterizing
adaptive and cognitive functioning, communication, externalizing behaviors,
emotion regulation, psychiatric co-morbidity, self-injurious behavior, parent
stress, and parent self-efficacy are collected. ASD diagnosis is confirmed by the
Autism Diagnostic Observation Schedule - 2 (ADOS-2) and extensive inpatient
observation. Biological samples from probands and their biological parents are
banked and processed for DNA extraction and creation of lymphoblastoid cell
lines. RESULTS: Sixty-one percent of eligible subjects were enrolled. The first
147 subjects were an average of 12.6 years old (SD 3.42, range 4-20); 26.5 %
female; 74.8 % Caucasian, and 81.6 % non-Hispanic/non-Latino. Mean non-verbal
intelligence quotient IQ = 70.9 (SD 29.16, range 30-137) and mean adaptive
behavior composite score = 55.6 (SD 12.9, range 27-96). A majority of subjects
(52.4 %) were non- or minimally verbal. The average Aberrant Behavior Checklist -
Irritability Subscale score was 28.6, well above the typical threshold for
clinically concerning externalizing behaviors, and 26.5 % of the sample engaged
in SIB. Females had more frequent and severe SIB than males. CONCLUSIONS:
Preliminary data indicate that the AIC has a rich representation of the portion
of the autism spectrum that is understudied and underrepresented in extant data
collections. More than half of the sample is non- or minimally verbal, over 40 %
have intellectual disability, and over one quarter exhibit SIB. The AIC is a
substantial new resource for study of the full autism spectrum, which will
augment existing data on higher-functioning cohorts and facilitate the
identification of genetic subtypes and novel treatment targets. The AIC
investigators welcome collaborations with other investigators, and access to the
AIC phenotypic data and biosamples may be requested through the Simons Foundation
(www.sfari.org).
PMID- 26557976
TI - Are females more variable than males in gene expression? Meta-analysis of
microarray datasets.
AB - BACKGROUND: The majority of preclinical biomedical research involves studies of
males rather than females. It is thought that researchers have avoided females
based on the idea that female traits are more variable than those of males
because of cyclic variation in effects of ovarian hormones. METHODS: To test the
assumption of inherently greater female variability, we analyzed 293 microarray
datasets measuring gene expression in various tissues of mice and humans,
comprising analysis of more than 5 million probes. RESULTS: Meta-analysis showed
that on average, male gene expression is slightly more variable than that of
females although the difference is small. We also tested if the X chromosome of
humans shows greater variability in gene expression in males than in females, as
might be expected because of hemizygous exposure of polymorphic X alleles but
again found little sex difference. CONCLUSION: Our analysis supports and extends
previous studies reporting no overall greater phenotypic variability in females.
PMID- 26557977
TI - Sex differences in substance use, health, and social functioning among opioid
users receiving methadone treatment: a multicenter cohort study.
AB - BACKGROUND: Despite the growing numbers of men and women with opioid use disorder
in Canada, sex-specific issues in treatment have not been re-examined in the
current population of patients with opioid addiction. We aimed to evaluate sex
differences in substance use, health, and social functioning among men and women
currently receiving methadone treatment for opioid use disorder in Ontario,
Canada. METHODS: We recruited 503 participants with opioid dependence disorder
receiving methadone maintenance treatment. We collected data on demographics,
treatment characteristics, psychiatric history, addiction severity, and drug use
patterns through urinalysis. We performed adjusted univariate analyses and
logistic regression to identify distinct factors affecting men and women.
RESULTS: Among our sample of 54 % (n = 266) men and 46 % women (n = 226) with
mean age 38.3 years, less than half of participants were employed (35.6 %) and
married (31.8 %) and had completed a high school education (27.9 %). Compared to
men, women had frequent physical and psychological health problems, family
history of psychiatric illness, and childcare responsibilities and began using
opioids through a physician prescription. Men had higher rates of employment,
cigarette smoking, and cannabis use compared to women. CONCLUSIONS: Our results
have revealed different patterns of substance use, health, and social functioning
among men and women currently receiving methadone treatment for opioid addiction
in Ontario, Canada. This information can be used to develop an integrative
treatment regimen that caters to the individual needs of men and women, as well
as to inform methadone treatment protocols to include specialized services
(including vocational counseling, childcare and parenting assistance, medical
assistance, relationship or domestic violence counseling, etc.) and increase
their availability and accessibility on a larger scale.
PMID- 26557978
TI - Sexual dimorphism in the hypophysiotropic tyrosine hydroxylase-positive neurons
in the preoptic area of the teleost, Clarias batrachus.
AB - BACKGROUND: Dopamine (DA) neurons in the anteroventral periventricular nucleus
(AVPV) in the preoptic area (POA) of mammals express estrogen receptors, regulate
luteinizing hormone (LH) secretion, and show distinct sexual dimorphism. In
teleosts, hypophysiotropic DA neurons of the nucleus preopticus periventricularis
(NPP), located in the anteroventral POA, express estrogen receptors, innervate LH
cells, and emerged as a neuroanatomical substrate for inhibiting LH cells.
Interestingly, the NPP and AVPV seem to share several similarities. Whether
DAergic neurons in the NPP show sexual dimorphism is, however, not known. Based
on the proposed homology to AVPV and previous studies showing greater tyrosine
hydroxylase (TH) mRNA and enzyme activity levels in the brain of female catfish,
we hypothesize that females have greater number of DAergic neurons in the NPP and
correspondingly more TH-immunoreactive fiber innervation of the pituitary.
METHODS: Adult, male and female Clarias batrachus collected during the
prespawning phase of their reproductive cycle were used. Fish were anesthetized
and perfused transcardially with phosphate-buffered saline (pH 7.4) and 4 %
paraformaldehyde in phosphate buffer. Sections through the rostro-caudal extent
of the POA and pituitary were processed for TH immunofluorescence. Using double
immunofluorescence, the association between TH-immunoreactive fibers and LH cells
in the pituitary was explored. Sections were analyzed using semiquantitative
analysis. RESULTS: NPP in POA of C. batrachus has two distinct subdivisions, viz,
anterior (NPPa) and posterior (NPPp), and TH neurons were observed in both the
subdivisions. Compared to that in the males, a significantly higher (P < 0.05)
number of TH neurons was consistently observed in the NPPa of females. TH neurons
in NPPp, however, showed no difference in the number or immunoreactivity. Since
DA neurons in NPPa are hypophysiotropic, we compared TH-fiber innervation of the
pituitary in both sexes. Compared to males, proximal pars distalis and LH cells
in this region of the pituitary in females were densely innervated by TH fibers.
CONCLUSIONS: Neurons of NPPa and their innervation to the pituitary seem to be a
distinct sexually dimorphic DAergic system in C. batrachus. The DAergic system
may serve as a component of the neural mechanisms controlling the sexually
dimorphic LH surge in teleosts. Given the similarities shared by NPPa and AVPV,
homology between these two nuclei is suggested.
PMID- 26557980
TI - Editorial.
PMID- 26557981
TI - Factors predicting use of laxatives in outpatients stabilized on clozapine.
AB - Constipation is a common and sometimes fatal side effect of clozapine treatment.
In this study, we aimed to identify factors associated with clozapine-induced
constipation. Data on 202 outpatients stabilized on clozapine treatment were
collected. Of these, 71 patients (35%) had a current prescription for laxatives
(a proxy for the presence of constipation). Mean clozapine dose was 400.4 mg/day
in those prescribed laxatives and 390.1 mg/day in those not prescribed laxatives
(p = 0.67), while mean clozapine plasma concentration was 0.53 mg/l and 0.49
mg/l, respectively (p = 0.29). Patients using laxatives had on average 29% higher
norclozapine concentrations (mean = 0.34 mg/l) than those who did not use
laxatives (mean = 0.27 mg/l; p = 0.046). Laxative use was more common in female
patients (49.1%) than male patients (29.1%; p < 0.01). Prescribers should be
vigilant for constipation at any dose or plasma concentration of clozapine and
should be mindful that male patients may be undertreated. Norclozapine
concentrations may predict clozapine-induced constipation.
PMID- 26557979
TI - Sex differences in protein expression in the mouse brain and their perturbations
in a model of Down syndrome.
AB - BACKGROUND: While many sex differences in structure and function of the mammalian
brain have been described, the molecular correlates of these differences are not
broadly known. Also unknown is how sex differences at the protein level are
perturbed by mutations that lead to intellectual disability (ID). Down syndrome
(DS) is the most common genetic cause of ID and is due to trisomy of human
chromosome 21 (Hsa21) and the resulting increased expression of Hsa21-encoded
genes. The Dp(10)1Yey mouse model (Dp10) of DS is trisomic for orthologs of 39
Hsa21 protein-coding genes that map to mouse chromosome 10 (Mmu10), including
four genes with known sex differences in functional properties. How these genes
contribute to the DS cognitive phenotype is not known. METHODS: Using reverse
phase protein arrays, levels of ~100 proteins/protein modifications were measured
in the hippocampus, cerebellum, and cortex of female and male controls and their
trisomic Dp10 littermates. Proteins were chosen for their known roles in
learning/memory and synaptic plasticity and include components of the MAPK, MTOR,
and apoptosis pathways, immediate early genes, and subunits of ionotropic
glutamate receptors. Protein levels were compared between genotypes, sexes, and
brain regions using a three-level mixed effects model and the Benjamini-Hochberg
correction for multiple testing. RESULTS: In control mice, levels of
approximately one half of the proteins differ significantly between females and
males in at least one brain region; in the hippocampus alone, levels of 40 % of
the proteins are significantly higher in females. Trisomy of the Mmu10 segment
differentially affects female and male profiles, perturbing protein levels most
in the cerebellum of female Dp10 and most in the hippocampus of male Dp10. Cortex
is minimally affected by sex and genotype. Diverse pathways and processes are
implicated in both sex and genotype differences. CONCLUSIONS: The extensive sex
differences in control mice in levels of proteins involved in learning/memory
illustrate the molecular complexity underlying sex differences in normal
neurological processes. The sex-specific abnormalities in the Dp10 suggest the
possibility of sex-specific phenotypic features in DS and reinforce the need to
use female as well as male mice, in particular in preclinical evaluations of drug
responses.
PMID- 26557982
TI - Amelioration of deficit syndrome of schizophrenia by norepinephrine reuptake
inhibitor.
AB - OBJECTIVE: Negative symptoms are a significant barrier to successful functional
outcome and recovery in individuals with schizophrenia and their management is
not unproblematic. Reboxetine is a norepinephrine reuptake inhibitor (NRI).
Previous studies regarding the useful effects of reboxetine on deficit symptoms
of schizophrenia have resulted in inconsistent results. The present study
therefore evaluated the effectiveness of reboxetine as an adjunctive treatment in
a group of schizophrenic patients with prominent negative symptoms. METHOD: A
total of 50 male inpatients meeting diagnosis of schizophrenia entered into a 12
week parallel group, double-blind study for random assignment to reboxetine (n =
25 patients) or placebo (n = 25 patients). The inclusion criterion, in addition
to the diagnosis of schizophrenia, was the existence of obvious negative symptoms
for a duration of at least 2 years. The Scale for Assessment of Negative Symptoms
(SANS) was used as the primary outcome measure. The Scale for Assessment of
Positive Symptoms (SAPS), Simpson Angus Scale (SAS), Hamilton Rating Scale for
Depression (HAM-D) and Mini-Mental Status Examination (MMSE) were used for
comparison of the intervening parameters in this study. RESULTS: According to the
findings, 76% of patients in the target group showed some positive response to
reboxetine compared with 24% in the control group (p < 0.01). The mean total
score of SANS in the reboxetine group decreased significantly from 79.94 +/- 1.20
to 74.23 +/- 4.07 (p < 0.0001) at the end of the study; such an improvement was
not significant in the placebo group with a decrease from 80.42 +/- 2.46 to 79.08
+/- 5.83 (p < 0.29). Changes of SAPS were insignificant in both groups. Effect
size analysis for changes of SANS at the end of assessment indicated a large
improvement with reboxetine (Cohen's d = 2.91). CONCLUSION: Reboxetine, as an
adjuvant to haloperidol, may have a helpful effect on the deficit syndrome of
schizophrenia.
PMID- 26557983
TI - Metabolic status and resistin in chronic schizophrenia over a 2-year period with
continuous atypical antipsychotics.
AB - BACKGROUND: Common adverse effects of atypical antipsychotic treatments for
schizophrenia are weight gain and lipid metabolism abnormality. We aimed to
identify the signs of metabolic problems with continuous atypical antipsychotic
treatment for schizophrenia over a 2-year period. METHODS: The participants were
68 schizophrenic patients (29 males, 39 females; ages 53.4 +/- 13.5 years old).
Changes in carbohydrate metabolism and changes in physical characteristics were
studied over a 2-year period. In addition, functional single nucleotide
polymorphisms in the transcriptional regulatory region of the resistin gene were
examined. RESULTS: We found no changes in the mental state of the participants
over a 2-year period. Patients did show a significant decrease in total
cholesterol and hemoglobin A1c levels, although physical changes such as body
mass index and abdominal girth, were not observed. The amount of resistin may not
be associated with mental states and physical parameters. CONCLUSIONS: We could
not find physical factors related to metabolic changes of antipsychotics in this
2-year study. However, several psychological factors, such as health-related
thoughts and behaviors, should be studied in the future.
PMID- 26557984
TI - Enhancing adherence, subjective well-being and quality of life in patients with
schizophrenia: which role for long-acting risperidone?
AB - AIM: This study evaluated adherence to treatment, quality of life and subjective
well-being in patients with psychosis treated with long-acting injectable
risperidone. Subjects enrolled were part of a larger study where patients were
observed in an adherence to treatment program of the University of Rome Tor
Vergata. MATERIALS AND METHODS: A total of 27 nonadherent patients (21 men, six
women; mean age: 36.1 years; range: 23-63 years) were enrolled. Maximum
observational period was 30 months. RESULTS: A total of 12 patients were under
treatment for 30 months (44.44%) but only nine had a valid 30-month follow up,
while the remaining three patients initially treated at our unit continued long
acting risperidone at their local centre. Reductions of monthly mean values of
Scale for the Assessment of Positive Symptoms (SAPS) [repeated measures analysis
of variance (rm-ANOVA): p < 0.0001] and Scale for Assessment of Negative Symptoms
(SANS) (p < 0.0001), increase of monthly mean values of Subjective Well-Being
Under Neuroleptic Treatment Scale (SWN) (p < 0.0001) and Schizophrenia Quality of
Life Scale (S-QoL) (p < 0.01) were observed. Significant differences with respect
to SAPS baseline values from the sixth month, SANS baseline values from the
seventh month, SWN baseline values from the eighth month, S-QoL baseline values
from the eighteenth month were shown in post hoc tests. Reduction of SAPS mean
values was associated with increase of SWN (p < 0.0001) and S-QoL (p < 0.0001)
mean values as demonstrated by correlation analysis. The same inverse correlation
was found between reduction of SANS mean values and increases of SWN (p < 0.0001)
and S-QoL (p = 0.0001) mean values. CONCLUSIONS: Long-term treatment with long
acting risperidone may be associated with improvement to adherence to therapy and
quality of life. Patients may show improvement in psychopathological symptoms,
subjective well-being and quality of life.
PMID- 26557985
TI - Development of the My Medicines and Me (M3Q) side effect questionnaire for mental
health patients: a qualitative study.
AB - OBJECTIVE: The objective of this study was to assess the acceptability, content
validity and usability of the My Medicines and Me (M3Q) self-report side effect
questionnaire. METHODS: Eight focus groups consisting of mental health patients,
carers, general practitioners, psychiatrists, mental health nurses and
pharmacists were conducted, involving 78 participants. Two researchers
independently examined the transcriptions and analysed the data thematically
using an inductive method. RESULTS: The findings supported changes to the
formatting, length and phrasing of questions in the original version of the
questionnaire. Although the groups provided differing views on the usability of
the M3Q in clinical practice, the patient and carer groups were unconditionally
in favour of such a tool to be used systematically to describe patients'
subjective experiences with side effects. CONCLUSION: The differing contribution
made by all groups involved in the administration and completion of the M3Q
assisted with content validity of the questionnaire. The acceptability and
usability of this novel side effect questionnaire was also explored, with many
participants agreeing it was a necessary tool for a patient centred approach to
treatment. Following implementation of the changes to the current format of the
questionnaire, investigation into the uptake and use in clinical practice should
be carried out.
PMID- 26557986
TI - Two cases of long-acting paliperidone in adolescence.
AB - Paliperidone palmitate long-acting injection (PPLAI) is an atypical antipsychotic
agent currently approved by the European Medicine Agency for the acute and
maintenance treatment of schizophrenia in adults. However, there is no
information so far on safety and effectiveness in patients under 18 years of age.
We report on two clinical cases of adolescents with a psychotic spectrum disorder
treated with PPLAI in an inpatient setting. The cases illustrate that PPLAI may
hold potential as an effective and acceptably tolerated antipsychotic drug in
adolescents with psychotic spectrum disorders. Given the lack of approved long
acting injectable antipsychotics in patients under 18 years of age, reports on
the effectiveness and safety of such medications in children and adolescent
patients are of importance.
PMID- 26557987
TI - Mirtazapine for antipsychotic-induced acute akathisia: a systematic review and
meta-analysis of randomized placebo-controlled trials.
AB - OBJECTIVE: To conduct a systematic review and meta-analysis of randomized placebo
controlled trials of mirtazapine for the treatment of antipsychotic-induced acute
akathisia (AIAA). METHODS: Studies were identified using online searches of
PUBMED/MEDLINE and Cochrane database (CENTRAL), along with websites recording
trial information such as www.clinicaltrials.gov, www.controlled-trials.com, and
www.clinicalstudyresults.org. The study eligibility criteria were randomized,
double-blind clinical trials comparing mirtazapine with placebo for AIAA with
standardized rating for akathisia as outcome measure. The methodological quality
of included trials was assessed using the Jadad Scale. Separate meta-analyses
were undertaken for each outcome (response rate and complete remission) and
treatment effects were expressed as Mantel-Haenszel risk ratio (RR). Fixed-effect
meta-analysis was performed as heterogeneity was not significant. Number need to
treat (NNT) as a measure of relative treatment effectiveness was calculated.
RESULTS: A systematic review of the literature revealed six studies that had
assessed mirtazapine for the treatment of AIAA. Of these, two studies (n = 86)
met the review inclusion criteria and were included in the final analysis. A meta
analysis was performed to see the effect size of response rate and complete
remission. For response rate, RR was 6.67 [95% confidence interval (CI) 2.14
20.78], favoring mirtazapine compared with placebo, and the overall effect was
significant (p = 0.001, NNT 4, 95% CI 2.6-8.6). For complete remission, RR was
6.20 (95% CI 1.74-22.08), favoring mirtazapine compared with placebo, and the
overall effect was significant (p = 0.005, NNT 5, 95% CI 2.9-11.6). CONCLUSIONS:
Although limited to only two studies and small sample, existing data support the
efficacy of mirtazapine for the treatment of AIAA, with one in four patients
showing partial response and one in five patients showing complete remission.
PMID- 26557988
TI - Paradoxical worsening of tics with haloperidol.
PMID- 26557989
TI - Do elderly patients have the most to gain from laparoscopic surgery?
AB - Populations are aging worldwide, people are living longer, and the surgical needs
of elderly patients are rising. Laparoscopic techniques have become more common
with improved training, surgeon skill and evidence of improved outcomes. Benefits
of laparoscopy include decreased blood loss, postoperative pain, and hospital
length of stay; improved mobilization, quicker return to normal activity; and
fewer pulmonary, thrombotic, and abdominal wall complications. Indeed, for many
common pathologies laparoscopy has become the gold standard, unless
contraindicated. It has been questioned as to whether elderly patients can reap
the same benefits from laparoscopic surgery. The concern in elderly patients is
that physiologic demands may outweigh the benefit seen in younger patients. This
question stems from concerns related to longer operative times, increased
technical challenge, as well as the impact of physiologic demands of
pneumoperitoneum and patient positioning. However, with anesthesia and adequate
perioperative cardiac care, there is no evidence that these factors lead to worse
clinical outcomes in elderly patients. In contrast, perhaps elderly patients -
with increased prevalence of multi-morbidity, geriatric syndromes and diminished
physiologic reserve - have the most to gain from a laparoscopic approach.
PMID- 26557990
TI - Clinical presentation of eating disorders in young males at a tertiary setting.
AB - BACKGROUND: Young males with eating disorders are a neglected study population in
eating disorders. The aim of this study was to provide knowledge about the
clinical presentation of eating disorders in young males. METHODS: The data
source was the Helping to Outline Paediatric Eating Disorders (HOPE) Project (N ~
1000), a prospective, ongoing registry comprising consecutive paediatric (<18
years) tertiary eating disorder referrals. Young males with DSM-5 eating
disorders (n = 53) were compared with young females with eating disorders (n =
704). RESULTS: There was no significant difference in the prevalence of diagnosis
of bulimia nervosa (2 % vs 11 %, p = 0.26) among sexes. Males had comparable
duration of illness (9 months; p = 0.28) and a significantly earlier age of onset
(M = 12 years; p <0.001). Shape concern (2.39 vs 3.57, p <0.001) and weight
concern (1.97 vs 3.09, p <0.001) were lower in males, and body mass index z score
(-1.61 vs -1.42, p = 0.29) and medical compromise (odds ratio [OR] = 0.64, 95 %
CI: 0.36, 1.12) were comparable. Males had a two-folder higher odds of being
diagnosed with unspecified feeding or eating disorders (40 % vs 22 % for females,
p = 0.004). Driven exercise to control weight and shape was common and comparable
in prevalence among males and females (51 % vs 47 %, p = 0.79) and males were
less likely to present with self-induced vomiting (OR = 0.23, 95 % CI: 0.09,
0.59). CONCLUSION: Boys with eating disorders are an understudied group with
similarities and differences in clinical presentation from girls with eating
disorders. Parents and physicians are encouraged to consider changes in weight,
disturbed vital signs, and driven, frequent exercise for the purposes of
controlling weight or shape, as possible signs of eating disorders among male
children. Diagnostic classification, assessment instruments, conceptualisation,
and treatment methods need to be refined to improve application to young males.
PMID- 26557991
TI - Depression in military medicine cadets: a cross-sectional study.
AB - BACKGROUND: Military medicine cadets undergo strenuous military training
alongside demanding medical studies. This stressful and complex educational
environment can lead to the emergence of depressive symptoms. We investigated the
prevalence of depressive symptoms in a cohort of military medicine cadets.
METHODS: We conducted a descriptive questionnaire-based cross-sectional study
among Greek military medicine cadets in the undergraduate program of the Hellenic
Military School of Combat Support Officers. The Greek translation of the Zung
self-rating depression scale questionnaire was used to screen for the presence of
depressive symptoms. In addition, demographic, academic and dietary information
was collected. The Shapiro-Wilk test of normality, Pearson correlation test, Chi
square test, t-test and Mann Whitney U test were employed for statistical
analysis. RESULTS: We enrolled 55 female and 91 male military medicine cadets
with a mean age of 19.84 years (SD = 0.99). The mean Zung crude score was 43.32
(SD = 4.55): 42.8 (SD = 4.43) for female cadets and 43.64 (SD = 4.6) for male
cadets. Cadets were further subdivided into low and high risk groups for the
presence of depressive symptoms. We identified 57 (39 %) cadets with a total Zung
crude score of 45 or above: 21 females and 36 males. Statistical analysis did not
reveal any significant differences between the two groups based on gender, year
of training, academic performance, alcohol consumption, smoking status, vitamin
supplementation, dietary habits or BMI. CONCLUSIONS: We report a high prevalence
of depressive symptoms in a cohort of military medicine cadets that underscores
the need for effective screening and appropriate and timely interventions. We did
not identify any related risk factors. Military medicine cadets are exposed to a
challenging military and medical training environment, and thus represent a group
at risk for development of depression.
PMID- 26557992
TI - Accidental invisible intrathoracic disseminated pT4-M1a: a distinct lung cancer
with favorable prognosis.
AB - OBJECTIVE: In the 7(th) edition of the TNM classification of malignant tumors,
the prognosis for pT4-M1a stage IV lung cancer is better than for stage pIIIB.
Subgroups of lung cancer patients who underwent incomplete resection (R1/R2) have
a favorable prognosis. This study compares the prognosis between cases of
invisible local residual disease and intrathoracic disseminated pT4-M1aIV.
METHODS: Patient characteristics and histological and molecular profiles were
retrospectively collected for lung cancer patients who underwent resection
intended to be curative but were accidentally incomplete. All patients were
divided into either a local residual group or an intrathoracic disseminated
pT4M1a group. Progression-free survival (PFS) and overall survival (OS) were
evaluated by Kaplan-Meier and Cox regression models. RESULTS: In total, 1,483
consecutive lung cancer patients receiving thoracotomies at Guangdong Lung Cancer
Institute were retrospectively analyzed. Fifty-eight patients receiving
incomplete resections (R1/R2) were enrolled, including 38 patients with local
residual cancer (2.6% of all patients) and 20 patients with disseminated pM1a
(1.3%). Patient characteristics, and histological and molecular profiles of the
two groups were different. Compared to the local residual group, the disseminated
pT4-M1a group contained more females (P=0.002), more patients younger than 60
years of age (P=0.028), more non-smokers (P=0.037), more adenocarcinomas (20/20
vs. 20/38, P<0.001), more adenocarcinomas with lepidic pattern (11/20 vs. 4/38,
P<0.001), higher carcinoembryonic antigen (CEA) levels (P=0.06), higher epidermal
growth factor receptor (EGFR) mutation rates (16/20 vs. 7/38, P<0.001), a higher
R2/R1 resection ratio (P=0.013), a higher advanced stage IV/IIIB ratio (P<0.001),
but fewer lymph node metastases (P=0.013). Median PFS for the local residual and
disseminated pT4-M1a groups was 9.0 and 18.0 months, respectively [95% confidence
interval (CI), 5.285-16.715; P =0.099]. Median OS was 15.0 and 45.0 months,
respectively (95% CI, 18.972-39.028; P=0.001). Cox regression analysis revealed
that group (local residual vs. disseminated pT4-M1a) was the only independent
prognostic factor (P=0.044) for OS. CONCLUSIONS: Accidental invisible
intrathoracic disseminated pT4-M1a may be a distinct lung cancer subtype with a
favorable prognosis. The prolonged PFS and OS might reflect the natural history
of this distinct subtype, together with a favorable response to EGFR tyrosine
kinase inhibitors (EGFR-TKI). For asymptomatic and slow-growing accidental pT4
M1a disease, the role of a wait-and-see strategy and the appropriate timing of
systemic treatment require further investigation.
PMID- 26557994
TI - Single-Incision Single-Instrument Adnexal Surgery in Pediatric Patients.
AB - Introduction. Pediatric surgeons often practice pediatric gynecology. The single
incision single-instrument (SISI) technique used for appendectomy is applicable
in gynecologic surgery. Methods. We retrospectively analyzed the records of
patients undergoing pelvic surgery from 2008 to 2013. SISI utilized a 12 mm
transumbilical trocar and an operating endoscope. The adnexa can be detorsed
intracorporeally or extracorporealized via the umbilicus for lesion removal.
Results. We performed 271 ovarian or paraovarian surgeries in 258 patients. In
147 (54%), the initial approach was SISI; 75 cases (51%) were completed in
patients aged from 1 day to 19.9 years and weighing 4.7 to 117 kg. Conversion to
standard laparoscopy was due to contralateral oophoropexy, solid mass, inability
to mobilize the adnexa, large mass, bleeding, adhesions, or better visualization.
When SISI surgery was converted to Pfannenstiel, the principal reason was a solid
mass. SISI surgery was significantly shorter than standard laparoscopy. There
were no major complications and the overall cohort had an 11% minor complication
rate. Conclusion. SISI adnexal surgery is safe, quick, inexpensive, and effective
in pediatric patients. SISI was successful in over half the patients in whom it
was attempted and offers a scarless result. If unsuccessful, the majority of
cases can be completed with standard multiport laparoscopy.
PMID- 26557993
TI - No Association of BDNF, COMT, MAOA, SLC6A3, and SLC6A4 Genes and Depressive
Symptoms in a Sample of Healthy Colombian Subjects.
AB - Background. Major depressive disorder (MDD) is the second cause of years lived
with disability around the world. A large number of studies have been carried out
to identify genetic risk factors for MDD and related endophenotypes, mainly in
populations of European and Asian descent, with conflicting results. The main aim
of the current study was to analyze the possible association of five candidate
genes and depressive symptoms in a Colombian sample of healthy subjects. Methods
and Materials. The Spanish adaptation of the Hospital Anxiety and Depression
Scale (HADS) was applied to one hundred eighty-eight healthy Colombian subjects.
Five functional polymorphisms were genotyped using PCR-based assays: BDNF
Val66Met (rs6265), COMT-Val158Met (rs4680), SLC6A4-HTTLPR (rs4795541), MAOA
uVNTR, and SLC6A3-VNTR (rs28363170). Result. We did not find significant
associations with scores of depressive symptoms, derived from the HADS, for any
of the five candidate genes (nominal p values >0.05). In addition, we did not
find evidence of significant gene-gene interactions. Conclusion. This work is one
of the first studies of candidate genes for depressive symptoms in a Latin
American sample. Study of additional genetic and epigenetic variants, taking into
account other pathophysiological theories, will help to identify novel candidates
for MDD in populations around the world.
PMID- 26557995
TI - Phenolic Extract from Moringa oleifera Leaves Inhibits Key Enzymes Linked to
Erectile Dysfunction and Oxidative Stress in Rats' Penile Tissues.
AB - This study was designed to determine the antioxidant properties and inhibitory
effects of extract from Moringa oleifera leaves on angiotensin-I-converting
enzyme (ACE) and arginase activities in vitro. The extract was prepared and
phenolic (total phenols and flavonoid) contents, radical (nitric oxide (NO),
hydroxyl (OH)) scavenging abilities, and Fe(2+)-chelating ability were assessed.
Characterization of the phenolic constituents was done via high performance
liquid chromatography-diode array detection (HPLC-DAD) analysis. Furthermore, the
effects of the extract on Fe(2+)-induced MDA production in rats' penile tissue
homogenate as well as its action on ACE and arginase activities were also
determined. The extract scavenged NO (*) , OH (*) , chelated Fe(2+), and
inhibited MDA production in a dose-dependent pattern with IC50 values of 1.36,
0.52, and 0.38 mg/mL and 194.23 ug/mL, respectively. Gallic acid, chlorogenic
acid, quercetin, and kaempferol were the most abundant phenolic compounds
identified in the leaf extract. The extract also inhibited ACE and arginase
activities in a dose-dependent pattern and their IC50 values were 303.03 and
159.59 ug/mL, respectively. The phenolic contents, inhibition of ACE, arginase,
and Fe(2+)-induced MDA production, and radical (OH (*) , NO (*) ) scavenging and
Fe(2+)-chelating abilities could be some of the possible mechanisms by which M.
oleifera leaves could be used in the treatment and/or management of erectile
dysfunction.
PMID- 26557997
TI - A welcome from the Editor-in-Chief.
PMID- 26557996
TI - The Accordion Maneuver: A Noninvasive Strategy for Absent or Delayed Callus
Formation in Cases of Limb Lengthening.
AB - The distraction osteogenesis (DO) technique has been used worldwide to treat many
orthopaedic conditions. Although successful, absent or delayed callus formation
in the distraction gap can lead to significant morbidities. An alternate cycle of
distraction-compression (accordion maneuver) is one approach to accelerate bone
regeneration. The primary aim of our study is to report our experience with the
accordion maneuver during DO and to provide a detailed description of this
technique, as performed in our center. The secondary aim is to present a review
of the literature regarding the use of accordion maneuver. We reviewed the
database of all patients undergoing limb lengthening from the year of 1997 to
2012. Four patients (6.15%) out of 65 showed poor bone regenerate in their tibiae
and therefore accordion maneuver was applied for a mean of 6.75 weeks. Of these,
three patients have had successful outcome with this technique. The literature
showed that this technique is successful approach to trigger bone healing.
However, details of how and when to apply this combination of distraction
compression forces were lacking. In conclusion, the accordion technique is safe
noninvasive approach to promote bone formation, thus avoiding more invasive
surgical procedures in cases of poor callus formation in limb lengthening.
PMID- 26557998
TI - Robotic partial nephrectomy: The new horizon.
AB - BACKGROUND: There has been an exponential growth in the reporting of series of
robotic partial nephrectomy (RPN). We review the technique of RPN and the
outcomes from large single-centre series of RPN. METHODS: We searched databases
to identify original articles related to RPN. For the technical aspects, we
describe our technique and provide a general review of previous work. For
outcomes, we reviewed previous reports using more rigid criteria, including only
single-institution studies with at least 50 patients undergoing RPN. RESULTS: We
found seven retrospective studies that met our criteria, with a total of 701
patients. Mean tumour size was 2.8 cm, with an average R.E.N.A.L. score (Radius,
tumour size as maximum diameter; Exophytic/endophytic properties of the tumour,
Nearness of tumour deepest portion to the collecting system or sinus, Anterior,
a/posterior, p, descriptor, and the Location relative to the polar line) of 6.8.
The mean warm ischaemia time was 21 min and mean operative duration was 196 min.
The mean estimated blood loss was 182 mL, with a 7.4% transfusion rate. The
conversion rate was 1.7% and the postoperative complication rate was 14%. The
mean length of stay was 3.6 days. There were positive surgical margins in 1.7% of
patients. The mean decrease in renal function was 5.4% and the mean follow-up was
8.4 months. CONCLUSIONS: RPN is feasible and safe for different levels of
complexity of renal tumours. Perioperative outcomes are comparable to those found
with more established techniques. Future studies should compare different
approaches and prioritise prospective and randomised designs.
PMID- 26557999
TI - The development of robotic surgery in the Middle East.
AB - OBJECTIVES: We provide an overview of the development of robotic surgery in the
Middle East since its first introduction in April 2003 in the Kingdom of Saudi
Arabia (KSA). METHODS: We searched MEDLINE using 20 keywords/phrases and
identified 44 reports, of which only 15 were relevant. Five of these articles
were duplicated when using two different keywords. Therefore, no more than 10
articles were found that were relevant to the scope of this review. RESULTS:
After completing the MEDLINE search to identify articles related to robotic
surgery in the Middle East, we noted that all of the nine case series (Level of
evidence 3a) reported took place in the KSA, with no other reported series from
other Middle-Eastern countries. To the best of our knowledge, there are no
operating robotic surgery systems (da Vinci, Intuitive Surgical, CA, USA) in the
Middle East other than in the KSA, Qatar and Egypt. The number of robotic surgery
cases and newly adapted robotic procedures is increasing. Two major institutions
in the KSA have expanded to robotic-assisted pyeloplasty in all of their cases
since January 2005. CONCLUSION: There are 10 da Vinci robots in the KSA, with
over 35 trained surgeons, yet very few index cases. The cancer incidence rate,
lack of practitioners' referrals, and demographic age distribution are all
factors that contribute significantly to the few index cases reported. By
consolidating the robotic surgery procedures in high-volume speciality centres,
hospitals can increase their case loads by promoting the multidisciplinary use of
the robotic system. Even though growth is relatively slow, we believe that
robotic surgery is gaining momentum, and its benefits and innovation will soon be
grasped in other countries in the Middle East.
PMID- 26558000
TI - Robot-assisted urological surgery: Current status and future perspectives.
AB - OBJECTIVES: To discuss the current status of robot-assisted urological surgery.
METHODS: We searched PubMed for articles published from 2008 using the search
terms 'advances', 'robotic surgery equipment' and 'instrumentation'. We also
searched PubMed for articles describing the latest developments in reconstructive
techniques for lower and upper urinary tract procedures. Finally, we searched
PubMed for original articles containing the terms 'robotic surgery training' and
'credentialing'. RESULTS: With each release of hardware or ancillary
instrumentation, the reconstructive abilities of the da Vinci surgical system
(Intuitive Surgical, Sunnyvale, CA, USA) improve. Recent developments in
reconstructive capabilities of robotic urological surgery include posterior
reconstruction during robot-assisted radical prostatectomy, barbed sutures for
urethrovesical anastomosis, sliding-clip renorrhaphy for robot-assisted partial
nephrectomy, and repair of pelvic organ prolapse. The safe implementation of
robotic surgery is aided by new guidelines in credentialing and proctoring, and
the introduction of virtual reality simulators for training. CONCLUSION: Robotic
urological surgery is rapidly developing and expanding globally. To achieve the
highest levels of safety for patients, surgeons must ensure that the
implementation of robotic surgery is an integrative and effective process.
PMID- 26558001
TI - Robotic radical prostatectomy: The new gold standard.
AB - OBJECTIVES: Open radical prostatectomy (RP) has been the standard and primary
treatment for focal prostate cancer. However, in recent years this view has
changed, as robot-assisted laparoscopic RP has gained acceptance among
urologists. In this review we evaluate the importance and place of robotics in
laparoscopic urological surgery, discussing several techniques that are currently
being used and potentially new techniques that might be used in the future.
METHODS: We systematically reviewed papers published between 1998 and 2011 using
the keywords 'robotic prostatectomy' 'gold standard' and the Medline database. In
addition, after selecting relevant reports we searched 'related citations' of the
documents to find further supporting published papers. RESULTS: In all, 50
original papers were identified using the search criteria; we also found 28
through 'related citations' browsing. Papers were selected according to their
relevance to the current topic (i.e. RP, original articles) and incorporated into
this review. These papers were used for their information on the advantages of
using robotics, as well as innovative ideas being used in the field of robotic
urological surgery. CONCLUSION: Almost a decade after the first robotic RP many
reports show the benefits and advantages of incorporating robotics into
urological surgery. Robotic surgery decreases the learning curve necessary for
surgeons when compared with laparoscopic techniques. In addition, patients prefer
robotics, as the procedure is less invasive, diminishes the duration of
hospitalisation and speeds the return to function.
PMID- 26558002
TI - Laparoscopic and robot-assisted surgery in the management of urinary lithiasis.
AB - OBJECTIVES: To review the current role of laparoscopy and robot-assisted
laparoscopy for managing urinary lithiasis. RESULTS: The contemporary indications
for laparoscopic stone management are: anatomical variations in location or shape
of the kidney (pelvic kidney, horseshoe kidney and malrotated kidney); coexisting
pathologies, e.g. pelvi-ureteric junction obstruction; and stones in a renal unit
with lower ureteric obstruction. The laparoscopic approach allows the
simultaneous management of both the pathologies. Symptomatic stones in
diverticula not amenable to endourological intervention can be treated with
laparoscopy. Large impacted pelvic and ureteric calculi with a functioning renal
unit are an indication for laparoscopic ureterolithotomy or pyelolithotomy. This
review of current reports suggests that in a selected group of patients with
complex stone disease the laparoscopic approach offers good success rates with
minimal complications. There are few reports of robotic procedures in stone
disease but existing data suggest that it is feasible. CONCLUSION: Laparoscopic
surgery is effective for complex renal stones and offers excellent stone
clearance rates with minimal morbidity. Laparoscopic surgery is complementary in
managing these stones. Robot-assisted laparoscopic technique of urinary tract
stone management is in its early stage of implementation and randomised trials
that compare robot assisted outcomes with other minimally invasive techniques are
needed.
PMID- 26558003
TI - Laparoscopic radical cystectomy.
AB - OBJECTIVE: Laparoscopic radical cystectomy (LRC) has emerged as a minimally
invasive alternative to open radical cystectomy (ORC). This review focuses on
patient selection criteria, technical aspects and postoperative outcomes of LRC.
METHODS: Material for the review was obtained by a PubMed search over the last 10
years, using the keywords 'laparoscopic radical cystectomy' and 'laparoscopic
bladder cancer' in human subjects. RESULTS: Twenty-two publications selected for
relevance and content were used for this review from the total search yield. The
level of evidence was IIb and III. LRC results in comparable short- and
intermediate-range oncological outcomes to ORC, with generally longer operative
times but decreased blood loss, postoperative pain and hospital stay. Overall
operative and postoperative morbidity are equivalent. CONCLUSION: In experienced
hands, LRC is an acceptable minimally invasive alternative to ORC in selected
patients, with the main advantage of decreased blood loss and postoperative pain,
as well as a shorter hospital stay and recovery.
PMID- 26558004
TI - Laparoscopic donor nephrectomy: The Middle East experience.
AB - OBJECTIVES: To summarize the experience of the Middle East in laparoscopic donor
nephrectomy (LDN), to discuss the associated advantages and salient problems, to
examine the learning curve encountered compared with that of the pioneering
centres in the West, and the contribution of the regional centres to the
worldwide experience. METHODS: We searched Medline and PubMed for all centres
performing LDN in the Middle East. Questionnaires were e-mailed to the regional
transplantation centres, and programme directors, and leading urological and
transplant surgeons were contacted by telephone. RESULTS: LDN in the Middle East
was first introduced in 2000; this approach has been pioneered and practised at
seven transplant centres within five countries in the region, and was restricted
to only three Arab countries, i.e. Lebanon, Egypt and Kuwait. Data collection
yielded a total of 888 procedures over one decade, representing only 2% of the
total of ~50,000 transplants during the same period. Despite variability of
accurate reporting the overall outcomes were similar to those of open DN. The
spectrum of complications was comparable to that from major centres in the USA
during their learning curve. CONCLUSIONS: The introduction of LDN in the Middle
East has been gratifying. The relative hesitancy in introducing LDN in the rest
of the Arab Middle East is multifaceted. The advantages conferred to the donor
underscore the need for further expansion of this approach for kidney retrieval.
PMID- 26558005
TI - Laparoscopic adrenalectomy: An update.
AB - OBJECTIVE: To review the current role and outcome of laparoscopic adrenalectomy
(LA) in the management of adrenal tumours. METHODS: A Medline search using the
keywords (adrenalectomy, laparoscopy, adrenal masses/tumours) was done for
reports published between 1990 and 2011. Key articles were used to find more
relevant references on the evaluation and laparoscopic management of adrenal
masses. RESULTS: The hormonal evaluation is not standardised, but initial
screening tests are recommended and followed with confirmatory ones when
positive, equivocal or the clinical presentation suggest adrenal hyperfunction.
The imaging studies had, and continued to, advance, especially computed
tomography (CT), magnetic resonance imaging and positron-emission tomography/CT.
These advances have increased the accuracy of the diagnosis of adrenal masses,
with a reported high sensitivity and specificity of 95-100%. The introduction of
laparoscopy has resulted in more adrenal lesions being removed, especially
incidental lesions smaller than the 5-6 cm that was previously the indication for
surgical excision. The technique has developed and larger lesions of >6 cm are
now considered for LA in the proper setting. The transperitoneal and
retroperitoneal approaches are currently widely practised, with minor differences
in the outcome. The reported outcome, although mostly retrospective, is excellent
and with fewer complications. The role of LA for adrenal malignancy should be
considered cautiously. Preoperative imaging signs of invasion into surrounding
structures should be considered a contraindication for LA. CONCLUSION: LA is the
standard procedure for most adrenal lesions of appropriate size and no signs of
surrounding tissue invasion, giving an excellent outcome.
PMID- 26558006
TI - Laparoscopic retroperitoneal lymph node dissection for testicular cancer.
AB - OBJECTIVES: Laparoscopic retroperitoneal lymph node dissection (L-RPLND) was
introduced over 20 years ago as a less invasive alternative to open node
dissection. In this review we summarise the indications, surgical technique and
outcomes of L-RPLND in the treatment of testicular cancer. METHODS: We searched
MEDLINE using the terms 'laparoscopy', 'laparoscopic', 'retroperitoneal lymph
node dissection', 'RPLND' and 'testicular neoplasms'. Articles were selected on
the basis of their relevance, study design and content, with an emphasis on more
recent data. RESULTS: We found 14 pertinent studies, which included >1300
patients who received either L-RPLND (515) or open RPLND (788). L-RPLND was
associated with longer mean operative times (204 vs. 186 min), but shorter
hospital stays (3.3 vs. 6.6 days) and lower complication rates (15.6% vs. 33%).
Oncological outcomes were similar between L-RPLND and open RPLND, with local
relapse rates of 1.3% and 1.4%, incidence of distal progression of 3.3% and 6.1%,
biochemical failure in 0.9% and 1.1% and cure rates of 100% and 99.6%,
respectively. CONCLUSION: There are no randomised controlled studies comparing L
RPLND with open RPLND. A review of case and comparative series showed similar
perioperative and oncological outcomes. Patients undergoing L-RPLND on average
have shorter hospital stays, a quicker return to normal activity and improved
cosmesis.
PMID- 26558007
TI - The evolving role of laparoscopic surgery in paediatric urology.
AB - OBJECTIVES: We review the various applications of laparoscopic and robotic
assisted laparoscopy in paediatric urology, as the laparoscopic and robotic
approach in this population is gradually being recognised. METHODS: We searched
PubMed for human studies in English that were published between 1990 and the
present, focusing on laparoscopic nephrectomies and partial nephrectomies,
laparoscopic and robotic pyeloplasties and ureteric reimplantation, laparoscopic
orchidopexy and varicocelectomy. We also reviewed robotic-assisted laparoscopic
urological major reconstructions. Key articles were reviewed, extracting the
indications, techniques, and the advantages and disadvantages. RESULTS AND
CONCLUSIONS: Laparoscopy has a defined place in modern paediatric urological
surgery. Laparoscopic nephrectomies, pyeloplasties and abdominal exploration for
the evaluation and management of impalpable undescended testicles have become the
standard of care. Robotic-assisted laparoscopic surgery is developing as a safe
and effective option even for infant patients.
PMID- 26558008
TI - Surgical complications of laparoscopic urological surgery.
AB - OBJECTIVES: To describe the incidence, identification and management of common
intraoperative complications, including vascular, urological, bowel and visceral
complications, of laparoscopic urological surgery. METHODS: We searched the
databases of PubMed and Medline for relevant English language reports, using the
keywords 'laparoscopy', 'urologic' and 'complication'. RESULTS: The search
yielded 967 papers in all, and a review of these yielded a total of 42 relevant
papers. CONCLUSION: Despite its advantages, laparoscopic urological surgery is
associated with complications having rates as high as 22%. As surgical volumes
increase, the incidence and magnitude of complications have increased
progressively. Meticulous surgical technique, surgeon experience, and a high
degree of suspicion are necessary throughout the surgical endeavour. The
intraoperative recognition and management of complications is mandatory.
PMID- 26558009
TI - Laparoendoscopic single-site surgery in urology: Evaluation of complications.
AB - OBJECTIVE: To comprehensively review current reports on the complications of
laparoendoscopic single-site surgery (LESS), introduced recently into urology as
an option for treating various urological pathologies. METHODS: We reviewed
previous reports to August 2011 using Medline, focusing on LESS in urology, with
special interest in the complications, evaluating those during and after surgery,
as well as conversions to reduced-port laparoscopy, conventional laparoscopy and
open surgery. RESULTS: There are increasing reports of LESS in urology, with
expanding indications. Complication rates both during and after surgery are low
and related mostly to the technical difficulty and dexterity with the currently
available instruments. Overall, intraoperative complications were reported by 11
published studies, while postoperative complications were reported by 15.
Although the overall conversion rates to open surgery and conventional
laparoscopy were low, the incidence of reduced-port laparoscopy was significantly
higher. CONCLUSIONS: Although there are expanding indications for LESS in
urology, the risk of complications is low. This might be related to the fact that
LESS is still restricted to experienced laparoscopic surgeons, and to the
criteria for selecting patients.
PMID- 26558010
TI - Erectile dysfunction in Arab countries. Part I: Prevalence and correlates.
AB - OBJECTIVE: To review the contemporary knowledge of local investigations in the
Arab countries that were conducted to identify the magnitude of erectile
dysfunction (ED) and its correlates among men in this region. METHODS: MEDLINE
was reviewed for English-language reports from 2000 to 2011 for Arab countries,
using the terms 'erectile dysfunction' and 'prevalence'. RESULTS: In all, 102
articles were found to be relevant to the review. Unfortunately only a few had a
high level of evidence and the remaining studies were not controlled in their
design. Several local studies showed that the prevalence of ED was >40% among
Arab men. Risk factors and medical comorbidities that negatively affect the
cardiovascular system, endothelial function and ultimately erectile function were
common in men in Arab countries. For instance, at least five Arab countries are
included in the top 10 countries worldwide with a high prevalence of diabetes
mellitus. The global statistics showed that other risk factors such as obesity,
smoking, hypertension and dyslipidaemia are also very prevalent in Arab
countries. This fact can explain the high incidence of both cardiovascular
disease and ED among Arab men. CONCLUSION: ED is very prevalent among Arab men.
Arab countries are among those with the highest prevalence of endothelial
dysfunction risk factors, which could explain this high prevalence of ED.
PMID- 26558011
TI - Erectile dysfunction in Arab countries. Part II: Diagnosis and treatment.
AB - OBJECTIVE: To review local published data on the diagnosis and treatment of
erectile dysfunction (ED) in Arab countries. METHODS: MEDLINE was searched for
English-language articles published from 2000 to 2011, using the search terms
'Arab countries', 'sexual dysfunction', 'diagnosis' and 'treatment'. RESULTS: In
all, 86 articles were found to be relevant to this review; only a few had a high
level of evidence and the remaining studies used an uncontrolled design. Several
local studies were consistent with previous reports showing that a customised
diagnostic pathway, with full consideration of the patient's goals, is adopted by
most clinicians to treat ED. For an effective treatment, the evaluation methods
should answer important questions about the aetiology and severity of ED, as well
as the patient's and partner's goals and expectations. As ED is known to be
associated with many common medical comorbidities and medications, careful
questioning can yield information about peripheral vascular disease, coronary
artery disease, diabetes, hypertension, dyslipidaemia, and tobacco and alcohol
use. The presence of psychological, neurological or chronic debilitating diseases
can direct further evaluation. CONCLUSION: The methods used for the diagnosis and
treatment of ED need more investigation, especially in Arab countries. Only a few
studies addressed the results of different methods of investigating and treating
ED among Arab men.
PMID- 26558012
TI - Prognostic markers in renal cell carcinoma: A focus on the 'mammalian target of
rapamycin' pathway.
AB - OBJECTIVES: Increased knowledge about the molecular pathways involved in
tumorigenesis has led to the discovery of new prognostic molecular markers and
development of novel targeted therapies for renal cell carcinoma (RCC). In this
review we describe the prognostic markers of RCC and highlight the areas of
recent discovery with a focus on the mammalian target of rapamycin (mTOR)
pathway. METHODS: We reviewed previous reports, using PubMed with the search
terms 'renal cell carcinoma', 'molecular markers', 'prognosis', 'outcomes' and
'mammalian target of rapamycin pathway' published in the last two decades. We
created a library of 100 references and focused on presenting the recent advances
in the field. RESULTS: Growing evidence suggests that mTOR deregulation is
associated with many types of human cancer, including RCC. Consequently,
temsirolimus and everolimus, which target mTOR, are approved for treating
advanced RCC. There is a demand to integrate clinical, pathological and molecular
markers into accurate prognostic models to provide patients with the most
personalised cancer care possible. CONCLUSIONS: The mTOR pathway is highly
implicated in RCC tumorigenesis and progression, and its constituents might
represent a promising prognostic tool and target for treating RCC. Combining
newly discovered molecular markers with classic clinicopathological prognostics
might potentially improve the management of RCC.
PMID- 26558013
TI - Versatility of the ventral approach in bulbar urethroplasty using dorsal, ventral
or dorsal plus ventral oral grafts.
AB - OBJECTIVES: To investigate the versatility of the ventral urethrotomy approach in
bulbar reconstruction with buccal mucosa (BM) grafts placed on the dorsal,
ventral or dorsal plus ventral urethral surface. PATIENTS AND METHODS: Between
1999 and 2008, 216 patients with bulbar strictures underwent BM graft
urethroplasty using the ventral-sagittal urethrotomy approach. Of these patients,
32 (14.8%; mean stricture 3.2 cm, range 1.5-5) had a dorsal graft urethroplasty
(DGU), 121 (56%; mean stricture 3.7, range 1.5-8) a ventral graft urethroplasty
(VGU), and 63 (29.2%; mean stricture 3.4, range 1.5-10) a dorsal plus ventral
graft urethroplasty (DVGU). The strictured urethra was opened by a ventral
sagittal urethrotomy and BM graft was inserted dorsally or ventrally or dorsal
plus ventral to augment the urethral plate. RESULTS: The median follow-up was 37
months. The overall 5-year actuarial success rate was 91.4%. The 5-year actuarial
success rates were 87.8%, 95.5% and 86.3% for the DGU, VGU and DVGU,
respectively. There were no statistically significant differences among the three
groups. Success rates decreased significantly only with a stricture length of >4
cm. CONCLUSIONS: In BM graft bulbar urethroplasties the ventral urethrotomy
access is simple and versatile, allowing an intraoperative choice of dorsal,
ventral or combined dorsal and ventral grafting, with comparable success rates.
PMID- 26558014
TI - Does the afferent tubular segment in an orthotopic bladder substitution
compromise ureteric antireflux properties? An experimental study in dogs.
AB - OBJECTIVE: To study the effects of a short ureter on renal function and histology
in an orthotopic bladder substitution model using a long afferent limb, in a
canine model. MATERIALS AND METHODS: The study included nine adult mongrel dogs.
A 40-cm segment of ileum was isolated, the distal half detubularized, configured
into a U-shape and sutured to form a flat plate; this was then used to augment
the bladder. The proximal half of the isolated ileum remained in continuity with
the enterocystoplasty to form an isoperistaltic ileal 'chimney'. The left ureter
was divided at its lumbar part and anastomosed to the chimney using a refluxing
end-to-side Nesbit technique. The contralateral ureter was divided at its lower
end and then anastomosed directly to the augmented segment of the bladder in a
similarly refluxing manner to act as a control. The assessment after surgery
included biochemical studies, ascending cystography, intravenous urography (IVU)
and radioisotope renography at 6 weeks. The last two methods were repeated at
intervals of 3 and 6 months after surgery. Urine culture was obtained and both
kidneys were examined histopathologically at 6 months. RESULTS: The biochemical
values assessed in all dogs were comparable to those before surgery. The urine
culture obtained from the augmented bladders showed significant bacterial growth
in all dogs. IVU at all follow-up sample times showed a normal configuration of
both kidneys. Ascending cystography showed reflux in four of nine dogs on the
right and six on the left side. There was a progressive decrease in the mean
selective renographic clearance values of each of the right and left kidneys at
intervals of 6 weeks, 3 and 6 months. The mean percentage reduction of
renographic clearance was significantly higher in the left kidneys at 6 weeks and
3 months. Histopathological examination showed evidence of interstitial nephritis
in all nine dogs and pyelonephritis in four of the left kidneys, while none of
the right kidneys showed evidence of inflammation. CONCLUSION: Adequate
peristalsis in a healthy long ureter is superior to the ileal segment
substitution for protecting the kidney tissue against inflammation in the absence
of an anatomical antireflux mechanism.
PMID- 26558015
TI - Recurrence pattern in patients with locally advanced renal cell carcinoma: The
implications of clinicopathological variables.
AB - OBJECTIVES: Recurrence rates for patients with locally advanced renal cell
carcinoma (LARCC) remain high. To date the predictors of recurrence in those
patients remain controversial. The aim of the present study was to assess the
relapse pattern in those patients and identify predictors for recurrence.
PATIENTS AND METHODS: We evaluated retrospectively 112 consecutive patients who
underwent surgery for LARCC (T3-T4N0M0) between January 2000 and December 2010.
Clinical and pathological data were collected from hospital medical records and
compiled into a computerized database. Studied variables were age, mode of
presentation, Tumour-Node-Metastasis (TNM) stage, Fuhrman nuclear grade,
histological subtype, tumour size, venous thrombus level, collecting-system
invasion and sarcomatoid differentiation. Recurrence-free survival (RFS) was
estimated using the Kaplan-Meier method. Univariate and multivariate analyses
were conducted. RESULTS: Patients were followed for a mean and median follow-up
of 33 and 24 months, respectively, after surgery. During the follow-up,
recurrences (distant and/or local) were recorded in 58 patients, representing 52%
of the cohort. The mean and median times to recurrence were 25 and 13 months,
respectively. Sites of recurrence were multiple in 36 patients (62%), lung only
in 14 (24%), and local in eight (14%). RFS rates at 1, 2, and 5 years were 50%,
43% and 34%, respectively, while the median RFS was 23.7 months. Using univariate
analysis, RFS after nephrectomy was significantly shorter in patients aged <70
years, symptomatic at presentation, with larger tumours, higher nuclear grade,
collecting-system invasion, and/or sarcomatoid differentiation. After
multivariate analysis, T-stage, nuclear grade and sarcomatoid differentiation
retained their power as independent predictors of RFS (P = 0.032, <0.001 and
0.003, respectively). CONCLUSIONS: For patients with LARCC, T-stage, grade and
sarcomatoid differentiation independently dictate the risk of tumour recurrence.
Considering these variables in the postoperative surveillance protocols and in
the need for a multimodal therapeutic approach is highly recommended.
PMID- 26558016
TI - Accuracy of photodynamic diagnosis in the detection and follow-up of patients
with upper urinary tract lesions: Initial 3-year experience.
AB - OBJECTIVE: To assess the diagnostic accuracy of photodynamic diagnostic (PDD)
ureterorenoscopy for detecting upper-urinary tract transitional cell carcinoma
(UUT-TCC) in our initial 3 years, and compare the results with that of white
light ureterorenoscopy (WLU). PATIENTS AND METHODS: Between August 2007 and March
2010, 32 patients underwent PDD flexible ureterorenoscopy for UUT-TCC. Oral 5
aminolaevulinic acid (5-ALA) was used as the photosensitiser. The sensitivity,
specificity and detection rate of PDD were calculated. RESULTS: The sensitivity,
specificity, positive and negative predictive values of PDD for detecting
abnormal tissue were 96%, 100%, 100% and 88%, compared to the results of WLU of
80%, 86%, 95% and 55%, respectively. PDD was able to detect 96% (24/25) of the
abnormal tissue and 96% (21/22) of cancerous tissue, while WLU detected 80%
(20/25) of abnormal tissue and 91% (20/22) of the tumour tissue. Three patients
of the 32 (10%) developed side-effects related to 5-ALA; two patients developed a
facial-skin photosensitive reaction and one developed hypotension. All were
treated conservatively, with no long-term effects. CONCLUSION: PDD can be used
safely in the UUT, and with a higher sensitivity, specificity and detection rate
than standard WLU for detecting UUT tumours.
PMID- 26558017
TI - Flank free modified supine position: A new modification for supine percutaneous
nephrolithotomy.
AB - OBJECTIVES: Percutaneous nephrolithotomy (PCNL) is the standard management for
large and/or complex urolithiasis, but the standard patient position for PCNL is
undecided. With the patient prone PCNL has several drawbacks, while when supine,
as described previously, PCNL has mechanical limitations. We describe a
modification that aims to overcome these limitations and provide easy access
comparable to that in the prone position. PATIENTS AND METHODS: This prospective
study was carried out at the Urology Department, Zagazig University, Egypt, from
October 2008 to March 2011, and included 78 patients (48 men and 30 women). First
the patient was placed supine and then in the 'flank-free modified' supine
position. The distance between the last rib and the iliac crest in the posterior
axillary line was measured in both positions. RESULTS: The mean age of the
patients was 40.8 years, the mean (SD) stone diameter was 3.4 (0.7) cm, the
number of right/left stones was 34/44, and mean body mass index was 28.8 kg/m(2).
The mean (SD) increase in the distance between the last rib and the iliac crest
in the posterior axillary line in the flank free modified supine position vs. the
previous supine position was 12 (0.8) mm. CONCLUSION: The flank-free modified
supine position increases the distance between the last rib and the iliac crest,
and, together with the absence of a cushion under the flank, provides ample space
for puncture, dilatation, multiple tracts and manoeuvrability of the system with
the nephroscope.
PMID- 26558018
TI - Has the significance of incidental findings on unenhanced computed tomography for
urolithiasis been overestimated? A retrospective review of over 800 patients.
AB - OBJECTIVES: To evaluate the detection of clinically unsuspected pathologies using
64-slice multidetector computed tomography (CT) of the abdomen in patients with
flank pain. The presence of significant incidental findings (those warranting
immediate management) was also correlated with that of urolithiasis, to assess
potential changes of management. PATIENTS AND METHODS: The study included 899
patients undergoing CT in a 6-month period between June and December 2008.
Patients who were referred from outside, with no medical record in the hospital
where the study was conducted, and those who were lost to follow-up, were
excluded. All of the CT examinations were reported after a radiology resident and
a consultant radiologist with >4 years of experience evaluated the CT.
Genitourinary and extra-genitourinary findings were assessed and divided into
clinically significant or not. RESULTS: The overall incidence of additional and
incidental findings was 14%. Besides urolithiasis and obstruction there were 34
(28%) genitourinary findings and 87 (72%) extra-genitourinary findings; most of
the former were insignificant. Of the extra-genitourinary findings, significant
diagnoses were documented in 34 cases. CONCLUSIONS: Abdominal multidetector CT
detects more incidental findings which are clinically significant.
PMID- 26558019
TI - Vascular and haemorrhagic complications of adult and paediatric live-donor renal
transplantation: A single-centre study with a long-term follow-up.
AB - OBJECTIVES: To compare the haemorrhagic and vascular complications between
paediatric and adult renal transplant recipients with a long-term follow-up.
PATIENTS AND METHODS: Between March 1976 and December 2006, in all, 1865 live
donor renal transplants were carried out. Patients were stratified according to
their ages into two groups; paediatric (?18 years; 259) and adult (>18 years;
1606). Variables assessed included incidence, risk factors, management and
sequelae of vascular and haemorrhagic complications. The effect of these
complications on patient and graft survival was compared. RESULTS: Haemorrhage
requiring active intervention (percutaneous drainage or surgical exploration) was
reported in seven children (2.7%) and 29 adults (1.8%), while thrombotic or
stenotic complications were recorded in two children (0.77%) and 19 adults
(1.18%; P < 0.05). Female gender, delayed onset of diuresis and acute tubular
necrosis were significant predictors of vascular complications on univariate
analysis, but none remained significant on multivariate analysis. In adults,
vascular complications had a significant negative effect on mean (SD) 10-year
graft survival compared to patients with no complications, at 19.8 (7.63)% vs.
55.7 (1.66)% (P = 0.01). Children who developed vascular complications had a
significantly higher 5- and 10-year graft survival rate than adults (P = 0.01).
CONCLUSION: The incidence of vascular complications is comparable in paediatric
and adult transplants. Vascular complications had a significant negative
influence on graft survival in adult recipients. Children who developed vascular
complications appear to tolerate its effects and have a better graft survival
than have adults.
PMID- 26558020
TI - Urinary tract infection in renal transplantation.
AB - INTRODUCTION: Urinary tract infection (UTI), especially recurrent UTI, is a
common problem, occurring in >75% of kidney transplant (KTX) recipients. UTI
degrades the health-related quality of life and can impair graft function,
potentially reducing graft and patient survival. As urologists are often involved
in treating UTI after KTX, previous reports were searched to elucidate underlying
causes, risk factors and treatment options, as well as recommendations for
prophylaxis of UTI after KTX. METHODS: Pubmed/Medline was searched and
international guidelines and recommendations for prevention and treatment of UTI
after KTX were also assessed. RESULTS: Most studies on UTI after KTX have a small
sample, and are descriptive and retrospective. Many transplant- and recipient
related risk factors have been identified. While asymptomatic bacteriuria is
often treated, even though some studies advise against it, symptomatic UTI should
be treated empirically after collecting urine for microbiological analysis, to
avoid the development of transplant pyelonephritis with a high chance of
urosepsis. The duration of treatment has not been determined in studies and
recommendations refer to the treatment of complicated UTI in the non-transplant
population. Prophylaxis has not been the focus of studies either. CONCLUSION: UTI
after KTX is still largely an under-represented field of study, despite many
recipients developing UTI after KTX. Prospective studies on this topic are
urgently needed.
PMID- 26558021
TI - The over-exaggerated chronic nephrotoxicity of calcineurin inhibitors.
AB - BACKGROUND: Late kidney allograft failure remains a major problem in kidney
transplantation. While there is no doubt that acute nephrotoxicity from
calcineurin inhibitors (CNIs) exists, chronic CNI nephrotoxicity has been the
subject of much debate in the transplant community. METHODS: We identified
original articles related to the use of CNIs in renal and extra-renal solid-organ
transplantation, to examine the available evidence about their chronic
nephrotoxicity. RESULTS: There is clearly a lack of firm evidence for the role of
CNIs as a major injurious agent causing chronic renal dysfunction and allograft
failure. Moreover, recent evidence shows that the pathological lesions typically
linked to chronic CNI use are not specific. A growing body of evidence shows that
alloimmunity is a much more important cause of late renal allograft failure.
CONCLUSIONS: More research should focus on addressing the true causes of chronic
graft dysfunction rather than continuing to propagate the exaggerated
contribution of CNIs to late graft loss.
PMID- 26558022
TI - Pregnancy in renal transplantation: Recipient and donor aspects in the Arab
world.
AB - OBJECTIVE: There are many kidney transplant recipients and living donors of
reproductive age, and the prevalence of pregnancies in kidney transplant
recipients can reach 55% in the Middle Eastern countries. Living kidney donation
is predominant in this region. As the risks and outcomes of pregnancy should be a
part of counselling for both recipients and donors, we reviewed available reports
on maternal and foetal outcomes in these particular populations. METHODS:
Information was obtained from retrospective analyses of a large database, and
from single-centre reports indexed in PubMed on pregnancy in donors and kidney
transplant recipients. The keywords used for the search included 'fertility',
'kidney disease', 'pregnancy', 'maternal/foetal outcomes', 'kidney transplant
recipient', 'immunosuppression side-effects', 'living donor' and 'Arab
countries'. RESULTS: Pregnancies in kidney transplant recipients are most
successful in those with adequate kidney function and controlled comorbidities.
Similarly to other regions, pregnant recipients in the Middle East had a higher
risk of pre-eclampsia (26%) and gestational diabetes (7%) than in the general
population. Caesarean section was quite common, with an incidence rate of 61%,
and the incidence of pre-term birth reached 46%. CONCLUSIONS: Most living donors
can have successful pregnancies and should not be routinely discouraged. Women
who had pregnancies before and after donation were more likely to have adverse
maternal outcomes (gestational diabetes, hypertension, proteinuria, and pre
eclampsia) in the latter, but no adverse foetal outcomes were found after
donation. The evaluation before donation should include a gestational history and
counselling about the potential risks.
PMID- 26558023
TI - Transobturator vaginal tape (inside-out) for stress urinary incontinence after
radical cystectomy and orthotopic reconstruction in women.
AB - OBJECTIVES: To evaluate the safety and clinical efficacy of the transobturator
vaginal tape 'inside-out' (TVT-O) procedure for managing new-onset stress urinary
incontinence (SUI) after radical cystectomy (RC) and orthotopic W-neobladder
construction in women. PATIENTS AND METHODS: Between January 2004 and June 2010,
57 women were treated with RC and orthotopic ileal neobladder reconstruction. Six
of these patients (median age 44 years, range 39-62; grade 2 muscle-invasive
squamous cell carcinoma in four and transitional cell carcinoma in two) developed
de novo SUI that was moderate in four and severe in two. The median (range)
duration of SUI was 11 (9-18) months. All six patients underwent TVT-O for
control of their SUI. RESULTS: Four patients were completely dry day and night
(three of them can initiate voiding and one cannot, and uses intermittent
catheterization). One patient improved, as assessed by using fewer pads (from 5-7
pads to 1 pad/day and night). She can initiate voiding but has minimal leakage
only on moderate exertion. One patient who had severe SUI showed no improvement.
Patients were followed for a mean (range) of 18 (17-32) months, with no
deterioration in the continence status. CONCLUSION: These encouraging results
confirm the safety and clinical efficacy of TVT-O for managing new-onset SUI
after RC and ileal neobladder construction, although a larger survey and a longer
follow-up are needed.
PMID- 26558024
TI - The value of measuring the prostatic resistive index vs. pressure-flow studies in
the diagnosis of bladder outlet obstruction caused by benign prostatic
hyperplasia.
AB - OBJECTIVE: To compare the prostatic resistive index (RI) and measurements from
pressure-flow studies (PFS) for the diagnosis and follow-up of bladder outlet
obstruction (BOO) in patients with benign prostatic hyperplasia (BPH). PATIENTS
AND METHODS: In all, 338 men (aged 55-82 years) presenting with lower urinary
tract symptoms were evaluated prospectively for BOO secondary to BPH. In all
patients, the prostatic RI was measured by transrectal power Doppler
ultrasonography. PFS were assessed in all patients and depending on the results
the patients were divided into an obstructive and an unobstructive group. The
receiver operating characteristic (ROC) curve was used to determine the prostatic
RI threshold value for predicting BOO secondary to BPH. Patients who were
confirmed to have BOO secondary to BPH received either medical or surgical
treatment, and they were re-evaluated after 3 and 6 months with prostatic RI
measurements. RESULTS: According to the PFS the obstructive group included 158
patients and the unobstructive group 180 patients. The mean (SD) prostatic RI was
significantly higher in the obstructive group, at 0.73 (0.04), than in the
unobstructive group, 0.65 (0.05) (P < 0.001). Using the ROC curve a prostatic RI
of ? 0.71 predicted BOO secondary to BPH, with 84.6% sensitivity, 78.4%
specificity and 83.8% overall predictability. After management, the prostatic RI
of the obstructive group, 0.69 (0.08), was significantly lower than before
treatment, 0.73 (0.04) (P < 0.05). CONCLUSIONS: The prostatic RI can predict BOO
with high specificity and sensitivity. We believe that the prostatic RI could be
a useful variable for the diagnosis and follow-up of patients with BPH.
PMID- 26558025
TI - Cell-seeded tubular acellular matrix for replacing a long circumferential
urethral defect in a canine model: Is it clinically applicable?
AB - OBJECTIVE: To evaluate the feasibility of replacing a relatively long segment of
the canine urethra by a tube of cell-seeded acellular collagen bladder matrix.
MATERIALS AND METHODS: The study included 14 female mongrel dogs in which a 3-cm
segment of the whole urethral circumference was excised and replaced by a tube of
acellular matrix seeded with autologous urothelial cells. The acellular matrix
was obtained from the excised bladder of female donor dogs that were not included
in the study. Autologous cells were obtained from the study dogs by open bladder
biopsy, with subsequent in vitro expansion and cultivation. Urethroplasty was
performed over a 16 F urethral catheter that was kept for 4 weeks. The dogs were
killed humanely (one every week for 4 weeks and then one monthly for 10 months).
After stent removal, retrograde urethrography was used each month in the living
dogs. If retention occurred a urethrogram was taken and then the dog was killed
humanely. All grafts from dogs were harvested and sent for histopathological
examination. RESULTS: Exploration at 1, 2, 3 and 4 weeks showed progressive
shrinkage in length, together with relative narrowing of the lumen. Three dogs
developed retention within a week after stent removal and the other seven
developed retention within 4 months. Retrograde urethrograms showed evidence of
stricture and/or fistula at the graft site in all dogs. On exploration, grafts
showed marked shrinkage (0.6-1.2 cm in length) with complete obliteration of
their lumens. Histopathological examination showed extensive fibrosis of the
matrix with no evident urothelial architecture. CONCLUSION: Cell-seeded acellular
matrix tube is insufficient to replace a 3-cm circumferential urethral defect in
dogs.
PMID- 26558026
TI - Renoprotection against complete unilateral ureteric obstruction: Is there an
ultimate choice?
AB - OBJECTIVES: To evaluate and compare the relative contribution of different
therapeutic agents for renoprotection against complete unilateral ureteric
obstruction (UUO), using a rabbit model sampled at different times. MATERIALS AND
METHODS: Eighty-four male New Zealand White rabbits were divided into seven
groups of 12 rabbits; a sham group, a control (left UUO + no medication) or left
UUO and treated with either enalapril, losartan, verapamil, l-arginine or
antioxidant (vitamin E and selenium mixture). Rabbits in the control and treated
groups were subjected to 3, 10 and 21 days of complete ureteric ligation and then
killed humanely. The control and treated groups were evaluated at baseline and at
the end of the experiment, by measuring split effective renal plasma flow (ERPF)
using diuretic renography, and the split glomerular filtration rate (GFR) using
selective creatinine clearance. Renal histopathology was evaluated using a tubulo
interstitial damage score. RESULTS: In the sham group there was no significant
effect on any of the evaluated variables. For split ERPF, losartan showed the
highest renoprotective effect, saving 44% and 77% of ERPF at 3 and 21 days after
UUO, respectively. Losartan was also the best renoprotective agent for GFR. For
renal histopathology, enalapril showed the earliest and greatest improvement as
assessed by the damage score, reaching 60% at 21 days after UUO. l-Arginine was
the next best effect to blockade the renin-angiotensin system for renoprotection.
CONCLUSION: We suggest that blockade of the renin-angiotensin system provides the
best renoprotection against the effects of complete UUO.
PMID- 26558028
TI - Urinary stone disease: Great progress with promise for the future.
PMID- 26558027
TI - Inhibitory effects of the ATP-sensitive potassium channel openers cromakalim,
pinacidil and minoxidil on the carbachol-response curve in porcine detrusor
muscle.
AB - AIMS: ATP-sensitive potassium channels represent promising drug targets for
treating specific bladder diseases. The inhibitory effects of ATP-selective
potassium channel openers (PCOs) on the carbachol-response curve in porcine
detrusor muscle were examined. MATERIALS AND METHODS: Each of the three
substances used in the study represent one prototype of a different class of PCO:
cromakalim belongs to the benzopyran series, pinacidil is a cyanoguanidine
derivative, and minoxidil represents a pyrimidine derivative. The porcine
detrusor muscle represents one of the best models for human detrusor. Experiments
were conducted on muscle strips of porcine detrusor muscle suspended in a tissue
bath. Concentration-response curves of carbachol were constructed after
pretreatment with cromakalim at 10(-7), 10(-6) and 10(-5) M, and with pinacidil
and minoxidil at 10(-6), 10(-5.5) and 10(-5) M, respectively. Each muscle strip
was only used to examine one concentration of one substance. RESULTS: Cromakalim
had the greatest inhibitory effect, significantly suppressing the carbachol
response curve at 10(-6) and 10(-5) M. Pinacidil showed a significant inhibitory
effect at 10(-5.5) and 10(-5) M, which was smaller than that of cromakalim.
Minoxidil did not significantly inhibit the contractions at all examined
concentrations. CONCLUSIONS: The examined ATP-sensitive PCOs belonging to the
benzopyrans and cyanoguanidines significantly suppressed detrusor contractions.
The development of derivatives of these prototypes could open new possibilities
for the pharmacological treatment of selected bladder diseases.
PMID- 26558029
TI - It is time to look at stone disease in a holistic way.
PMID- 26558030
TI - The training of a 'stone doctor'.
AB - OBJECTIVE: To propose alternative models of training for doctors treating
patients with stones, and to identify their relative value, as such doctors are
trained through urology programmes which sometimes cannot be expanded to meet the
need, are short of teachers, too comprehensive and lengthy. This review explores
new pathways for training to provide competence in the care of patients with
stones. METHODS: Previous reports were identified and existing training models
collectively categorised as Model 1. Three alternative models were constructed
and compared in the context of advantages, acceptability, feasibility,
educational impact and applicability in different geosocio-political contexts.
RESULTS: In Model 2, urological and stone training diverge as options after
common basic courses and experience. In Model 3, individuals access training
through a common educational matrix (EM) for nurses, physicians, etc., according
to the match between their capacities, entry requirements, personal desires and
willingness for further responsibility. Stone doctors with no urological
background cannot fulfil other service and educational commitments, and might
create unwelcome dependence on other colleagues for complex situations.
Programmes involving a common EM affect professional boundaries and are not
easily acceptable. There is a lack of clarity on methods for medical
certification and re-certification. However, the lack of technically competent
stone experts in developing worlds requires an exploration of alternative models
of training and practice. CONCLUSIONS: The ability to provide exemplary care
after abbreviated training makes alternative models attractive. Worldwide debate,
further exploration and pilot implementation are required, perhaps first in the
developing world, in which much of the 'stone belt' exists.
PMID- 26558031
TI - Stones in cats and dogs: What can be learnt from them?
AB - OBJECTIVE: To review the clinical features of stone disease in dogs and cats for
a non-veterinary audience. METHODS: Relevant peer-reviewed scientific reports
were reviewed. RESULTS: Lower urinary tract stones are more common in dogs and
cats than they are in humans. In addition to struvite stones, calcium oxalate,
urate and cystine stones are all commonly found in the bladder and the urethra.
The genetic basis for stone disease in some breeds of dog has been elucidated.
The small size of cats creates technical challenges when managing
ureterolithiasis. CONCLUSIONS: Naturally occurring stone disease in companion
animals is a valuable area for further study. The structure of the canine genome
might facilitate the identification of novel disease loci in breeds of dog
predisposed to stone formation.
PMID- 26558032
TI - Metaphylaxis, diet and lifestyle in stone disease.
AB - OBJECTIVE: The most common urinary stones (calcium salts, uric acid) form due to
genetic factors and lifestyle. This review describes why, if and how medication
and lifestyle changes can reduce the risk of formation. METHODS: Previous reports
were reviewed to obtain information on three aspects of urolithiasis, i.e.
epidemiology, mechanisms linking lifestyle and urolithiasis and lifestyle
intervention for preventing urolithiasis. RESULTS: Epidemiological evidence links
the prevalence of urinary stone formation to general lifestyle factors. Detailed
analysis has identified individual lifestyle elements that affect the risk of
urinary stone formation. Currently there are several concepts that explain the
mechanism of stone formation. Urinary markers like calcium, oxalate, phosphate,
uric acid and urinary pH are involved in all these concepts. Many studies show
that changing (combinations of) specific lifestyle elements has a favourable
effect on these urinary markers. Based on this evidence, protocols have been
developed that use a combination of these lifestyle changes and medication to
prevent stone formation. In well-controlled studies where patients are optimally
informed and continuously motivated, these protocols clearly reduce the stone
formation rate. In general practice the result is less clear, because the time
and tools are insufficient to maintain long-term patient compliance in the use of
medication and lifestyle advice. CONCLUSION: The risk of stone formation can be
reduced in general practice when the patient's compliance is optimised by
providing individualised advice, continuous information, and feedback and
incorporation of the advice into a regular lifestyle. The use of 'e-tools' might
enable this without increasing the time required from the physician.
PMID- 26558033
TI - Methods for diagnosing the risk factors of stone formation.
AB - OBJECTIVE: To compare various systems for assessing the risk of recurrent stones,
based on the composition of urine. METHODS: The relative supersaturation (RSS) of
urine, the Tiselius Indices, the Robertson Risk Factor Algorithms (RRFA) and the
BONN-Risk Index were compared in terms of the numbers of variables required to be
measured, the ease of use of the system and the value of the information
obtained. RESULTS: The RSS methods require up to 14 analyses in every urine
sample but measure the RSS of all the main constituents of kidney stones. The
Tiselius Indices and the RRFA require only seven analyses. The Tiselius Indices
yield information on the crystallisation potentials (CP) of calcium oxalate and
calcium phosphate; the RRFA also provide information on the CP of uric acid. Both
methods provide details on the particular urinary abnormalities that lead to the
abnormal CP of that urine. The BONN-Risk Index requires two measurements in each
urine sample but only provides information on the CP of calcium oxalate.
Additional measurements in urine have to be made to identify the cause of any
abnormality. CONCLUSIONS: The methods that are based on measuring RSS are work
intensive and unsuitable for the routine screening of patients. The Tiselius
Indices and the RRFA are equally good at predicting the risk of a patient forming
further stones. The BONN-Risk Index provides no additional information about the
causative factors for any abnormality detected.
PMID- 26558034
TI - Metabolic syndrome, obesity and kidney stones.
AB - OBJECTIVES: To give a comprehensive and focused overview on the current knowledge
of the causal relations of metabolic syndrome and/or central obesity with kidney
stone formation. METHODS: Previous reports were reviewed using PubMed, with a
strict focus on the keywords (single or combinations thereof): urolithiasis,
nephrolithiasis, kidney stones, obesity, metabolic syndrome, bariatric surgery,
calcium oxalate stones, hyperoxaluria, insulin resistance, uric acid stones, acid
base metabolism. RESULTS: Obesity (a body mass index, BMI, of >30 kg/m(2))
affects 10-27% of men and up to 38% of women in European countries. Worldwide,
>300 million people are estimated to be obese. Epidemiologically, a greater BMI,
greater weight, larger waist circumference and major weight gain are
independently associated with an increased risk of renal stone formation, both
for calcium oxalate and uric acid stone disease. CONCLUSIONS: There are two
distinct metabolic conditions accounting for kidney stone formation in patients
with metabolic syndrome/central obesity. (i) Abdominal obesity predisposes to
insulin resistance, which at the renal level causes reduced urinary ammonium
excretion and thus a low urinary pH; the consequence is a greater risk of uric
acid stone formation. (ii) Bariatric surgery, the only intervention that
facilitates significant weight loss in morbidly obese people, carries a greater
risk of calcium oxalate nephrolithiasis. The underlying pathophysiological
mechanisms are profound enteric hyperoxaluria due to intestinal binding of
calcium by malabsorbed fatty acids, and severe hypocitraturia due to soft or
watery stools, which lead to chronic bicarbonate losses and intracellular
metabolic acidosis.
PMID- 26558035
TI - Stone formation in the Middle Eastern Gulf States: A review.
AB - OBJECTIVES: To review the possible causes of the high incidence of urolithiasis
in the oil-rich Gulf States. METHODS: Data were extracted from published reports
on the incidence of urolithiasis, affluence and diet in the Gulf States, various
Western countries and China. RESULTS: There are strong relationships: (a) between
the life-expectancy of stones in men and the Gross National Income (GNI) per
capita of these countries; and (b) between the daily consumption of animal
protein and GNI per capita. Together these data suggest that the occurrence of
stones is proportional to the intake of animal protein, although they also
indicate that there are additional factors that further increase the risk of
urolithiasis in the populations of the Gulf. The consumption of oxalate in the
Gulf is three times higher and that of calcium a half of what it is in Western
countries. Thus, the average oxalate/calcium ratio in the intestines of the Gulf
populations is five to six times higher than that in Western populations, leading
to enteric hyperoxaluria and an increased risk of calcium-oxalate stone
formation. The risk is further accentuated by the lower urine volumes, due to the
hot, dry climate of the region, and lower excretions of citrate, from the highly
acidic urine resulting from the high intake of animal protein. There is a high
incidence of uric acid-containing stones from the acidic urine and the
hyperuricosuria caused by the high intake of purine. CONCLUSIONS: The high
incidence of urolithiasis in the Gulf is due to an adverse combination of dietary
and environmental factors.
PMID- 26558036
TI - Economics of stone disease/treatment.
AB - OBJECTIVES: Urolithiasis is a considerable economic burden for health systems,
especially in industrialised countries where the incidence of stone disease has
increased during the last few decades, and probably will further increase for
several reasons. METHODS: The survey was based on investigations in collaboration
with a German health insurance company and on a literature search (PubMed, and
the author's collection of proceedings of urolithiasis conferences: The keywords
included economics, cost, urolithiasis, nephrolithiasis, renal stone disease,
metaphylaxis, recurrence) during 1999-2011. In all, 1221 articles were found but
only those cited here were sufficient for the purpose of the study. Due to the
nature of the subject it is not possible to give levels of evidence, as economic
data on stone treatment cannot be obtained with randomised studies. RESULTS: The
costs for the treatment and diagnosis of stones vary tremendously among different
healthcare systems. Several calculation models showed that metaphylaxis is
medically and economically effective when used rationally. Rational metaphylaxis
is restricted to patients with a high risk of recurrence (brushite, uric acid,
cystine and infected stones, patients with residual fragments after stone
treatment and recurrent calcium oxalate stone formers). CONCLUSIONS: For the
groups identified, metaphylaxis is cost-effective in almost all healthcare
systems, but the cost saved differs. The savings increase even more when adding
the economic loss avoided from days off work due to treatment of recurrent
stones. In most countries, stone frequency must exceed one stone per patient per
year before medical therapy is more cost-effective than dietary measures.
PMID- 26558037
TI - Low-dose unenhanced computed tomography for diagnosing stone disease in obese
patients.
AB - OBJECTIVE: To evaluate the detectability, size, location and density of urinary
stones with unenhanced computed tomography (CT), using the half-radiation (low)
dose (LDCT) technique, compared with the standard-dose CT (SDCT), in obese
patients. PATIENTS AND METHODS: The study included 50 patients with a body mass
index of >30 kg/m(2) and bilateral renal stones diagnosed with SDCT, and managed
on one side. All the patients had LDCT during the follow-up and SDCT was used as
a reference for comparison. RESULTS: Of the 50 patients, the right side was
affected in 27 and the left side in 23. In all, 35 patients had a single stone
while the remaining 15 had multiple stones. With SDCT, 95 stones were detected;
there were 45 of ?5 mm, 46 of 6-15 mm and only four of >15 mm. LDCT barely
detected three stones of <3 mm, compared with SDCT, while larger stones had the
same appearance at both scans. The site of stone in the kidney or the ureter did
not affect its detection on LDCT vs. SDCT. The mean stone diameter was identical
in both techniques. At LDCT, all stones were detected with no difference in their
number, location or density vs. SDCT. However, the tube current and radiation
dose were significantly lower with LDCT. CONCLUSIONS: In obese patients with
stone disease, LDCT is as accurate as SDCT, while avoiding exposure of the
patient to high-dose radiation.
PMID- 26558038
TI - The role of open stone surgery.
AB - OBJECTIVE: To highlight the role of open stone surgery in the management of
urolithiasis in the current era of minimally invasive therapies. The introduction
and continuous development of extracorporeal shockwave lithotripsy (ESWL),
ureterorenoscopy and percutaneous nephrolithotomy (PCNL) over the past 30 years
have led to a significant change in the current management of urolithiasis, where
the indications for open stone surgery have been narrowed significantly, making
it a second- or third-line treatment option. METHODS: We reviewed the most recent
guidelines published by the European Association of Urology and the American
Urological Association, and reviewed reports through a MEDLINE search to identify
the indications and current role of open stone surgery. RESULTS: From the MEDLINE
search, it was obvious that the number of papers published on open renal stone
surgery has decreased during the last three decades, soon after the introduction
of ESWL and PCNL. CONCLUSION: Although currently most patients with stones can be
managed by minimally invasive therapy, we believe that open surgery still has a
role, and therefore it is of great importance to recognise that a small group of
patients with complex stone disease, and those with anatomical and physiological
anomalies, will benefit from this treatment option.
PMID- 26558039
TI - Extracorporeal shock wave lithotripsy: What is new?
AB - OBJECTIVES: Thirty years after its introduction, extracorporeal shockwave
lithotripsy (ESWL) is still first-line treatment for more than half of all
urinary tract stones, but machines and treatment strategies have significantly
developed over time. In this review, we summarise the latest knowledge about the
clinically important aspects of ESWL. METHODS: We searched PubMed to identify
relevant reports and the latest European Association of Urology guidelines, and
standard urological textbooks were consulted. RESULTS: New technical developments
include: Twin-head and tandem-pulse shock-wave generators; wide-focus, low
pressure systems; optimised coupling; and automated location and acoustic
tracking systems. Indications have been refined, making possible the
identification of patients in whom ESWL treatment is likely to fail. By lowering
the shock-wave rate, improving coupling, applying abdominal compression, power
'ramping' and postoperative medical expulsion therapy, treatment protocols have
been optimised. CONCLUSIONS: Promising new technical developments are under
development, with the potential to increase the stone-free rate after ESWL. For
optimal results, the refined indications need to be respected and optimised
treatment protocols should be applied.
PMID- 26558040
TI - Ureteroscopic treatment of larger renal calculi (>2 cm).
AB - OBJECTIVES: To evaluate the current status of ureteroscopic lithotripsy (UL) for
treating renal calculi of >2 cm, as advances in flexible ureteroscope design,
accessory instrumentation and lithotrites have revolutionised the treatment of
urinary calculi. While previously reserved for ureteric and small renal calculi,
UL has gained an increasing role in the selective management of larger renal
stone burdens. METHODS: We searched the available databases, including PubMed,
Google Scholar, and Scopus, for relevant reports in English, and the article
bibliographies to identify additional relevant articles. Keywords included
ureteroscopy, lithotripsy, renal calculi, and calculi >2 cm. Retrieved articles
were reviewed to consider the number of patients, mean stone size, success rates,
indications and complications. RESULTS: In all, nine studies (417 patients) were
eligible for inclusion. After one, two or three procedures the mean (range)
success rates were 68.2 (23-84)%, 87.1 (79-91)% and 94.4 (90.1-96.7)%,
respectively. Overall, the success rate was >90% with a mean of 1.2-2.3
procedures per patient. The overall complication rate was 10.3%, including six
(1.4%) intraoperative and 37 (8.9%) postoperative complications, most of which
were minor. The most common indications for UL were a failed previous treatment
(46%), comorbidities (18.2%), and technical and anatomical factors (12.3%).
CONCLUSIONS: UL is safe and effective for treating large renal calculi. While
several procedures might be required for total stone clearance, UL should be
considered a standard approach in the urologist's options treating renal calculi
of >2 cm.
PMID- 26558041
TI - Intracorporeal laser lithotripsy.
AB - OBJECTIVES: To review the current literature on intracorporeal laser lithotripsy.
METHODS: We searched PubMed for relevant reports up to January 2012, using the
keywords 'laser', 'lithotripsy' and 'intracorporeal'. RESULTS: We studied 125
relevant reports of studies with various levels of evidence. Efficient
lithotripsy depends on the laser variables (wavelength, pulse duration and pulse
energy) and the physical properties of the stones (optical, mechanical and
chemical). The most efficient laser for stones in all locations and of all
mineral compositions is the holmium yttrium-aluminium-garnet laser (Ho:YAG). The
frequency-doubled double-pulse Nd:YAG laser functions through the generation of a
plasma bubble. New laser systems, such as the erbium:YAG and the thulium laser,
are under evaluation. Laser protection systems have also been developed for the
novel digital flexible ureteroscopes. Although complications are rare, a high
relevant clinical suspicion is necessary. CONCLUSIONS: Laser lithotripsy
technology is continuously developing, while the Ho:YAG laser remains the
reference standard for intracorporeal lithotripsy.
PMID- 26558042
TI - Positions for percutaneous nephrolithotomy: Thirty-five years of evolution.
AB - OBJECTIVES: To present the chronological development of the different positions
described for percutaneous nephrolithotomy (PCNL), in an attempt to identify the
reasons for their development and to highlight their specific advantages and
disadvantages. METHODS: Previous reports were identified by a non-systematic
search of Medline and Scopus. RESULTS: The classic prone position for PCNL was
first described in 1976. The technique was gradually standardised and PCNL with
the patient prone became the generally accepted standard approach. In the next 35
years many other positions were described, with the patient placed prone, lateral
or supine in various modifications. Modifications of the classic prone position
in the early 1990s aimed to provide the option of a simultaneous retrograde
approach during the procedure. As PCNL became more popular the lateral position
was first described in 1994, to allow the application of PCNL to patients who
were unable to tolerate being prone because of their body habitus. The supine
position for percutaneous access was originally described even before 1990, but
become more popular after 2007 when the Galdakao modification was reported.
Several other modifications of the supine position have been described, with the
latest being the flank-free modified supine position, which allows the best
exposure of the flank among the supine positions. Each position has its specific
advantages and disadvantages. CONCLUSION: Urologists who perform PCNL should be
familiar with the differences in the positions and be able to use the method
appropriate to each case.
PMID- 26558043
TI - What's new in percutaneous nephrolithotomy.
AB - INTRODUCTION: The goal of percutaneous nephrolithotomy (PCNL) is to ensure
complete stone clearance with minimal morbidity. The key to complete clearance is
accurate technique, expertise and instrumentation. METHODS: We systematically
reviewed available reports that were identified using a PubMed/Medline search.
The keywords used were 'PCNL' and 'advances in PCNL'. The findings were reviewed
under the topics of newer developments in imaging, techniques and a review of
outcomes with an emphasis on stone clearance and complications. CONCLUSION: The
newer developments in imaging methods, such as cone-beam computed tomography and
staghorn morphometry, help the surgeon to plan the procedure for a safe and
better outcome. The highlight of these newer developments is the miniaturisation
of instruments. This has translated into developing newer techniques such as the
'microperc' and 'miniperc'. The data-collection initiative by the Clinical
Research Office of the Endourological Society has helped in obtaining a high
level of evidence.
PMID- 26558044
TI - Percutaneous nephrolithotomy for treating staghorn stones: 10 years of experience
of a tertiary-care centre.
AB - OBJECTIVE: To present the results of percutaneous nephrolithotomy (PCNL) for
treating staghorn stones. PATIENTS AND METHODS: A database was compiled from the
computerised files of patients who underwent PCNL for staghorn stones between
1999 and 2009. The study included 238 patients (128 males and 110 females) with a
mean (SD) age of 48.9 (14) years, who underwent 242 PCNLs, and included staghorn
stones that were present in the renal pelvis and branched into two or more major
calyces. PCNL was performed or supervised by an experienced endourologist. All
perioperative complications were recorded. The stone-free status was evaluated
after PCNL and again after 3 months. RESULTS: Multiple tracts were needed in
35.5% of the procedures, and several sessions of PCNL were needed in 30% of
patients. There were perioperative complications in 54 procedures (22%); blood
transfusion was needed in 34 patients (14%). The stone-free rate for PCNL
monotherapy was 56.6% (137 patients). Secondary procedures were required for 51
patients (21%), and included shock-wave lithotripsy for 49 and ureteroscopy for
two. The 3-month stone-free rate was 72.7% (176 patients). Multiple tracts
resulted in an insignificantly higher overall complication rate than with a
single tract (P = 0.219), but the reduction in the haemoglobin level was
statistically significant with multiple tracts (P = 0.001). CONCLUSIONS: PCNL for
staghorn stones must be done by an experienced endourologist in a specialised
centre with all the facilities for stone management and treatment of possible
complications. The patients must be informed about the range of stone-free and
complication rates, and the possibility of multiple sessions or secondary
procedures.
PMID- 26558045
TI - The management of staghorn calculi in children.
AB - OBJECTIVES: To review reports focusing on the surgical treatment of staghorn
stones in children, as despite all the improvements in the surgical treatment of
paediatric urolithiasis the management of staghorn calculi still represents a
challenging problem in urology practice. METHODS: To evaluate current knowledge
about treating staghorn calculi in children, we searched PubMed for relevant
articles published between 1991 and 2011, using a combination of related
keywords, i.e. staghorn stone, child, kidney calculi, surgical treatment,
electrohydraulic shockwave therapy (ESWL), percutaneous nephrolithotomy (PCNL),
and open surgery. Reports relating to the treatment of paediatric stone disease
in general (open surgery, PCNL, ESWL) were also searched with the same method.
Additional references were obtained from the reference list of full-text reports.
RESULTS: Although open surgery had been widely used in the past for treating such
stones in children, currently it has only limited indications in highly selected
patients. Current published data clearly indicate that, in experienced hands,
both PCNL and ESWL are now effective methods for treating staghorn calculi in
children. CONCLUSIONS: Due to advanced techniques and instrumentation, it is now
possible to successfully treat staghorn calculi in children, with very limited
safety concerns. Currently, while PCNL is recommended as the first-line surgical
treatment, ESWL, open surgery and/or combined methods are valuable but secondary
options in the treatment of paediatric staghorn calculi.
PMID- 26558047
TI - Improving the compliance of the recurrent stone-former.
AB - OBJECTIVE: To provide an overview of aspects of compliance by the recurrent stone
former, and to give recommendations for its improvement. About half of all stone
formers have one recurrence during their lifetime. To avoid recurrent stone
formation it is necessary to use metaphylaxis, based on individual risks.
However, all general and specific efforts are meaningless if patients are not
willing or are incapable of following the proposed therapy in the long-term.
METHODS: PubMed was searched for articles on urolithiasis, metaphylaxis,
compliance and adherence, and relevant papers were reviewed. RESULTS: Compliance
is a multidimensional phenomenon which is determined by the interaction of
different factors, i.e. social and economic, therapy-related, patient-related,
condition-related, and healthcare team and system-related factors. Subsequently
there are several different interventional possibilities at the urologist's
disposal to effect better compliance by the patient. CONCLUSIONS: The treatment
and metaphylaxis of the recurrent stone-former present a particularly pertinent
challenge. Patient compliance has an immense influence on the success of the
treatment with respect to metaphylaxis, which is the decisive factor for
preventing stone recurrence.
PMID- 26558046
TI - Extracorporeal shockwave lithotripsy vs. percutaneous nephrolithotomy vs.
flexible ureterorenoscopy for lower-pole stones.
AB - OBJECTIVES: To review previous reports and discuss current trends in
extracorporeal shockwave lithotripsy (ESWL), percutaneous nephrolithotomy (PCNL)
and ureterorenoscopy (URS). ESWL was recommended as the first-line treatment for
small and intermediate-sized stones in the lower pole, while it is the standard
treatment for large stones. However, the stone clearance rate after ESWL seems to
be lower than that of stones in other locations. This seems to result from a
lower rate of fragment passage, due to anatomical factors. METHODS: Reports on
urinary stone disease were reviewed, assessing only publications in peer
reviewed, Medline-listed journals in the English language (publication years 1990
2011). RESULTS: Recent experience with flexible URS (fURS) for intrarenal stones
showed that excellent stone-free rates can be achieved. With increasing
experience and technically improved equipment, fURS has become an alternative to
ESWL for small and intermediate-sized renal stones. Furthermore, several authors
reported successful retrograde treatment for large renal stones, proposing fURS
as an alternative to PCNL. However, the major drawbacks are long operating times
and commonly, staged procedures, which is why PCNL remains the method of choice
for such stones. CONCLUSIONS: Considering the currents trends and evidence, the
2012 update of the European Association of Urology Guidelines on Urolithiasis has
upgraded the endourological treatment of kidney stones. Individual factors such
as body habitus, renal anatomy, costs and patient preference must be considered.
PMID- 26558048
TI - Extraperitoneal laparoscopic radical prostatectomy: A prospective 2-year single
surgeon experience with 171 cases.
AB - OBJECTIVE: To assess the safety and the oncological and functional efficacy of a
prospective series of extraperitoneal laparoscopic radical prostatectomy (ELRP).
PATIENTS AND METHODS: This prospective study included 171 consecutive patients
(mean age 62.9 years, SD 6.5) who underwent ELRP by one surgeon between January
2008 and December 2009. The variables analysed were operative duration, blood
loss, conversion rate, complications, hospital stay, duration of catheterisation,
and the oncological results. We also assessed the rates of continence and
erectile function. RESULTS: There were no conversions to open surgery. The mean
(SD) operative duration was 112.7 (19.4) min, the blood loss was 372.1 (219.1)
mL, the hospital stay was 6.8 (2.0) days, and the duration of catheterisation 6.7
(1.5) days. Collectively, 23.4% (40/171) of patients had positive surgical
margins. Urinary continence at 1, 3, 6 and 12 months was achieved in 63.3%
(95/150), 88.6% (78/88), in 90.3% (121/134) and 92.1% (117/127) of patients,
respectively. The respective percentages for physiological erections after nerve
sparing ELRP at the same times were 11.8% (13/110), 11.8% (13/110), 18.2%
(20/110) and 25.5% (28/110). The overall potency recovery rates (including
patients on pharmacotherapy) were, respectively, 26.4% (29/110), 35.5% (39/110),
52.7% (58/110) and 69.1% (76/110), for the nerve-sparing procedure. CONCLUSION:
ELRP gave good oncological and functional results, especially in terms of urinary
continence.
PMID- 26558049
TI - Retrograde upper-pole calyceal access for percutaneous nephrolithotripsy of
stones in the lower-pole calyx.
AB - OBJECTIVE: To present the results of upper calyceal access during percutaneous
nephrolithotripsy (PCNL) for stones in the lower calyx, as PCNL is considered the
most effective minimally invasive surgery for managing lower calyceal stones,
with percutaneous access either directly to the lower calyx or through an upper
or middle calyx. PATIENTS AND METHODS: The study included 76 patients with single
(51) and multiple (25) stones in the lower calyx, and stones in the lower calyx
plus renal pelvis (six) and associated pelvi-ureteric junction obstruction (PUJO,
five). They were managed by PCNL using retrograde access through the upper-pole
calyx in addition to laser endopyelotomy for the PUJO. RESULTS: The mean duration
required for establishing the retrograde nephrostomy tract was 14.4 min, and for
completing the procedure was 40 min. The mean fluoroscopy exposure time was 3.2
min. Access from the upper calyx allowed easy and rapid advancement of the
nephroscope to the lower calyx. The stones varied in size, at 10-25 mm. Stones
were cleared completely in 70 of the 76 patients (92%); the stone-free rate was
100%. The residual stone fragments (2-4 mm) in the remaining six patients (8%)
were considered insignificant. Complications were minor in four patients (5%),
and included pleural effusion in two, bleeding in one and an arteriovenous
fistula in one. CONCLUSIONS: Upper-pole calyceal access for PCNL provides easy
and effective clearance of stones in the lower calyx. This access should be
considered for PCNL of single or multiple stones in the lower calyx.
PMID- 26558050
TI - A supracostal approach for percutaneous nephrolithotomy of staghorn calculi: A
prospective study and review of previous reports.
AB - OBJECTIVES: To evaluate a supracostal approach for percutaneous nephrolithotomy
(PCNL) of staghorn calculi through a prospective study and review of previously
reported cases. METHODS: From June 2009 to November 2011, 40 patients with
staghorn calculi were scheduled for supracostal S-PCNL in a prospective study. Of
the 40 renal units, 16 (40%) had a complete staghorn and 24 (60%) had a partial
staghorn calculus. Perioperative complications were stratified according to the
modified Clavien system. Univariate and multiple logistic regression analyses
were used to determine statistically significant variables affecting the stone
free rate and development of complications. RESULTS: In all, 57 tracts were
established in the 40 renal units; 23 (58%) renal units were approached through
one supracostal upper pole calyx, while 13 (33%) and four (10%) required a second
middle- or lower-pole puncture, respectively. Overall, 78% of patients were
rendered stone-free or had clinically insignificant residual fragments with PCNL
monotherapy, and this increased to 88% with auxiliary procedures. In the logistic
regression analysis, a complete staghorn stone was the only independent variable
for residual stones (P = 0.005). There was an overall complication rate of 38%.
Independent variables with an influence on complications were staghorn stone
burden (P = 0.007), and operative duration (P = 0.045). CONCLUSIONS: The
supracostal upper calyceal approach provides optimum access for the percutaneous
removal of staghorn stones. Appropriate attention to the technique and to
monitoring before and after surgery can detect thoracic complications, and these
can be managed easily with intercostal chest tube drainage, with no serious
morbidity.
PMID- 26558051
TI - Is an overnight stay after percutaneous nephrolithotomy safe?
AB - OBJECTIVES: To establish a clinical care pathway that plans for hospital
discharge the day after percutaneous nephrolithotomy (PCNL), to evaluate the
safety, effectiveness and feasibility of this pathway, and to identify factors
associated with a postoperative length of hospital stay (LOS) of >1 day. PCNL is
the treatment of choice for patients with large kidney stones and those in whom
extracorporeal shockwave lithotripsy has failed, and the mean LOS is typically 2
5 days. PATIENTS AND METHODS: We retrospectively reviewed the charts of 109
patients (mean age 57.4 years; 58 men, 53%) who had PCNL between 2006 and 2009.
All had nephrostomy tubes placed after surgery. The patients' demographics, LOS,
incidence of complications, clinical outcomes, stone-free rates, number of early
postoperative emergency-room visits, need for subsequent admission and/or other
procedures, were noted and analysed. The modified Clavien classification was used
to describe the postoperative complications. Bivariate analyses were used to test
for associations between LOS and other variables. RESULTS: The mean (range) stone
size was 2.2 (0.9-5.9) cm, and the mean (SEM) LOS was 1.7 (0.13) days. Of the 109
patients, 20% had a LOS of >1 day for surgical, 3% for medical and 5% for social
reasons. The stone-free rate was 89%. There was no difference in the number of
subsequent hospital visits or ancillary procedures for patients discharged after
one or more postoperative nights. No variables were associated with a longer LOS.
CONCLUSIONS: An overnight hospital stay after PCNL is safe and represents an
effective strategy for improved bed use in selected patients. A longer LOS was
not affected by patient age or body mass index, stone size or operative time. We
continue to use our clinical care pathway, as supported by these data.
PMID- 26558052
TI - Complications and outcomes of JJ stenting of the ureter in urological practice: A
single-centre experience.
AB - OBJECTIVE: To determine the factors affecting the development of complications
and the outcomes of JJ stenting. PATIENTS AND METHODS: The study included 220
patients (133 males and 87 females, mean age 39.5 years, SD 15.4) who had self
retaining JJ ureteric stents placed while in the authors' institution. Univariate
and multivariate analyses were used to identify the significant variables
affecting the development of complications and outcome of stenting (condition
'improved' or 'not improved'). RESULTS: Using a modified Clavien classification,
there were grade I, II, IIIa, IIIb complications in 67 (30.4%), 39 (17.7%), two
(0.9%) and 23 (10.5%) patients, respectively, and none of grades IVa, IVb and V.
Loin pain (10.9%) and urinary tract infection (10.9%) were the most common
complications, followed by dysuria (7.7%). There were significant complications
requiring treatment in 29% of patients, and 71.4% of patients improved after
stenting. On multivariate analysis the significant independent factor affecting
the complication rate was the stent length (P = 0.016), and the significant
independent factor affecting the 'improved' outcome was age (P = 0.014).
CONCLUSION: Longer stents are associated with increased complication rates, and
the older the patient the more likely they are to have a poor outcome after
stenting. Future prospective multicentre studies with more patients are needed to
confirm the present conclusions.
PMID- 26558053
TI - 'Minipatch' penile skin graft urethroplasty in the era of buccal mucosal
grafting.
AB - OBJECTIVES: To describe our experience with 'minipatch' penile skin graft (PSG)
urethroplasty, as at our institution we prefer excision and primary anastomosis
(EPA) urethroplasty whenever feasible, as it gives better outcomes than
substitution urethroplasty. However, despite careful preoperative planning, the
unanticipated need for a small graft is occasionally recognised intra
operatively, and in such cases we have found that harvesting a minipatch is an
efficient alternative to harvesting a buccal mucosal graft. PATIENTS AND METHODS:
Bulbar urethroplasty using a <3 cm PSG was performed via either a ventral onlay
or augmented anastomotic technique. In each case the PSG was required to repair
an unanticipated urethral defect recognised intra-operatively during various
scenarios of challenging urethroplasty. We retrospectively reviewed our
experience with this technique. RESULTS: Among a total of 425 urethral
reconstructions over a 4-year period at our institution, four patients (1%)
underwent minipatch PSG urethroplasty to repair either urethral strictures that
were discovered intra-operatively to be too complex for EPA (two patients) or for
intra-operatively identified, unanticipated synchronous strictures (two
patients). The mean (range) stricture length was 2.4 (2-3) cm and the mean graft
length was 2.1 (1.5-2.5) cm. At a mean follow-up of 18 months all repairs were
patent with no need for further procedures or instrumentation. CONCLUSION:
Minipatch PSG urethroplasty is an efficient alternative to a buccal mucosal graft
repair, especially when the unanticipated need for short-segment tissue transfer
arises during complex urethral reconstruction.
PMID- 26558054
TI - Phalloplasty for an amputated phallus in intersex patients.
AB - OBJECTIVE: To report our experience of phalloplasty using a radial forearm free
flap (RFF) and insertion of a penile prosthesis for the amputated phallus.
PATIENTS AND METHODS: Phalloplasty was carried out in nine intersex patients with
ambiguous genitalia as a result of female circumcision, with a consequent partial
or complete excision of their microphallus. Six months later a penile prosthesis
was inserted in the periostium of the lower border of the symphysis pubis in the
first six patients. RESULTS: All patients were fully satisfied with the size and
cosmetic appearance of their penis. One patient had a urinary fistula that was
closed surgically. All of the patients are continent. The penile prosthesis was
implanted successfully with no complications. CONCLUSIONS: Phalloplasty using a
RFF and insertion of a penile prosthesis for the amputated phallus are
technically feasible, with good clinical and functional outcomes.
PMID- 26558055
TI - Does intravenous cefuroxime improve the efficacy of ciprofloxacin for preventing
infectious complications after transrectal prostate biopsy? A prospective
comparative study.
AB - OBJECTIVES: To compare the frequency of infection after transrectal
ultrasonography (TRUS)-guided biopsy of the prostate (TRUSBP) using prophylactic
ciprofloxacin with or without adding cefuroxime. PATIENTS AND METHODS: Between
June 2008 and October 2009, 205 consecutive patients had TRUSBP with the use of
oral 500 mg ciprofloxacin twice per day, 2 days before and 3 days after the
biopsy (defined as group A). Starting from November 2009 and onwards, 250
consecutive patients had TRUSBP using the same previous protocol of antibiotic
prophylaxis but with the addition of intravenous 1.5 g cefuroxime given 30 min
before the procedure (defined as group B). The incidence of sepsis after TRUSBP,
together with the results of urine and blood cultures and antibiotic sensitivity,
were compared between the groups. RESULTS: Fever after TRUSBP was recorded in 18
of 205 patients in group A (8.8%) and in nine of 250 in group B (3.6%); the
difference was significant (P = 0.018). Urine culture was positive in 14 and five
of patients in groups A and B, respectively, with extended-spectrum beta
lactamase-producing (ESBL) Escherichia coli as the most common organism. The
blood culture was positive in seven and three patients in groups A and B,
respectively, with ESBL E. coli as the most common organism. All patients who had
sepsis after TRUSBP sepsis were treated successfully. CONCLUSION: Adding a single
intravenous injection with 1.5 g of cefuroxime to oral ciprofloxacin
significantly reduced the frequency of infectious complications after TRUSBP.
PMID- 26558057
TI - Editorial comment to "The value of percentage free prostate-specific antigen
(PSA) in the detection of prostate cancer among patients with intermediate levels
of total PSA (4.0-10.0 ng/mL) in Nigeria" and Reply by authors.
PMID- 26558056
TI - The value of percentage free prostate specific antigen (PSA) in the detection of
prostate cancer among patients with intermediate levels of total PSA (4.0-10.0
ng/mL) in Nigeria.
AB - OBJECTIVES: To assess the value of percentage free prostate-specific antigen
(%fPSA) in the detection of prostate cancer among Nigerian men with an
intermediate total PSA level (4-10 ng/mL), and to show if the optimum threshold
for biopsy is different from Caucasian values when the widely used (six-core,
digitally directed) prostate biopsy protocol in Nigerians is applied. PATIENTS
AND METHODS: The study included 105 patients aged >50 years, with a palpably
benign prostate gland and intermediate levels of total PSA (4-10 ng/mL). These
patients had a free PSA assay and a transrectal digitally directed six-core
biopsy of the prostate. The %fPSA was calculated and the optimum threshold value
for detecting prostate cancer was determined. RESULTS: The mean (SD) age of the
patients was 64.4 (6.6) years and their mean (SD) total PSA level was 6.6 (1.7)
ng/mL. Of these men 14 (13.3%) had cancer of the prostate detected by the
prostate biopsy. The %fPSA level related directly to sensitivity values but
inversely to the specificity and the positive predictive values. The best
threshold of %fPSA for detecting cancer in these men was <40%, with a sensitivity
of 100%, specificity of 93.4% and a positive predictive value of 70% (P < 0.05).
CONCLUSIONS: In evaluating Nigerian patients with a palpably benign prostate
gland and within the intermediate total PSA range, when digitally directed biopsy
protocol is adopted, a %fPSA threshold of <40% will detect significant percentage
of those with prostate cancer, with a minimal number of unnecessary biopsies.
This value differs from that reported in western studies in which transrectal
ultrasonography-directed biopsy was used.
PMID- 26558058
TI - Factors that predict the spontaneous passage of ureteric stones in children.
AB - OBJECTIVE: To study the natural history of stone passage in children with
ureterolithiasis and to define factors predictive of spontaneous passage.
PATIENTS AND METHODS: In all, 72 children with ureteric stones were evaluated;
patients with ureteric calculi of >10 mm were excluded, as were those with
absolute indications for surgical stone removal. Stone size, location, side,
presence of hydronephrosis, perinephric stranding and degree of the tissue-rim
sign were estimated by unenhanced helical computed tomography (UHCT). All
patients were sent home with no administration of an alpha-blocker. The stone
status was evaluated by a plain abdominal film or CT at ~6 weeks after the
initial diagnostic evaluation. The time from the initial complaint to the passage
of the stone was recorded for each patient. RESULTS: In all, 54 (75%) children
with ureteric stones of ?6 mm eventually passed their stones spontaneously.
However, stones of <4 mm and those in the distal ureter had a significantly
higher spontaneous passage rate and shorter time to stone passage (P < 0.05). The
UHCT findings of a higher degree of the tissue-rim sign, hydronephrosis and
perinephric fat stranding were associated with a lower likelihood of stone
passage. CONCLUSIONS: The rate of spontaneous passage of ureteric stones in
children varies with stone location, and perinephric stranding on UHCT seems to
be useful for predicting the possibility of spontaneous passage. In cases with
unfavourable signs an early intervention might have better outcomes than
conservative therapy.
PMID- 26558059
TI - Single vs. double dartos layers for preventing fistula in a tubularised incised
plate repair of distal hypospadias.
AB - OBJECTIVE: To compare the outcome of a single vs. a double-layer dartos
interposition for preventing a fistula after tubularised incised-plate (TIP)
distal hypospadias repair. PATIENTS AND METHODS: We retrospectively reviewed the
records of patients with primary distal hypospadias who had the hypospadias
repaired between February 2009 and June 2011, operated by one surgeon (S.A.K.).
In all of the children a standard TIP urethroplasty was performed, which was
covered by a dartos fascial flap fashioned using one of two techniques, i.e. in
Group I (48 patients) double dartos preputial flaps were used, and in Group II
(52 patients) a single dorsal dartos flap was used and transposed ventrally via a
'buttonhole'. The fistula rate and other complications related to each group were
recorded. RESULTS: The mean (range) follow-up was 12 (6-22) months for Group I
and 14 (6-24) months for Group II. The result was considered a success in 96% of
Group I and 92% of Group II. In Group I there were no fistulae, while in Group II
there were four fistulae (8%) detected; this difference was statistically
insignificant (P = 0.1). Meatal stenosis was associated with a fistula in one
patient in Group II but not in the other three. In Group I a meatal stenosis
developed late after complete healing of the urethroplasty, with no associated
fistula. The repair broke down in one patient in Group I (2%). CONCLUSION: A
double-dartos neourethral cover in TIP hypospadias repair seems to be more
effective than a single layer for preventing a fistula, despite there being no
statistically significant difference between the groups. However, the protective
effect of double-dartos flaps must be appropriately evaluated in a prospective,
randomised and controlled study in more patients.
PMID- 26558060
TI - Minimally invasive treatment of ureterovaginal fistula: A review and report of a
new technique.
AB - BACKGROUND: An iatrogenic ureterovaginal fistula (UVF) can be a consequence of
difficult pelvic surgery. The patient must endure a long wait before having major
surgery to reconstruct the injured ureter. Reports that address the minimally
invasive treatment of UVF are limited, and are reviewed here. We introduce the
concept of using a MemokathTM 051 stent (PNN A/S, Hornbaek, Denmark) as a
promising minimally invasive approach for UVF. METHODS: We used PubMed, Science
Direct, Google and the Cochrane Library to assemble appropriate evidence-based
reference reports. The keywords used for the search were: 'Memokath', 'stent';
'ureterovaginal fistula' and 'ureteral injury'. The review showed 42 relevant
articles published up to September 2011. RESULTS: Ureteric stenting consistently
stopped the vaginal leak of urine. The long-term results were not encouraging
after removing the JJ stents at 3 months after insertion. Most patients had a
recurrence of the vaginal leak of urine. The outcome was different with the
Memokath stent, that remained in situ for a duration far exceeding that of the JJ
stent. The Memokath stopped the vaginal leak of urine with no episodes of urinary
tract infection and no evidence of stent migration. CONCLUSION: Long-term
ureteric stenting has two advantages, in that it facilitates urine flow through
the ureteric strictured segment down to the bladder, and it stops urine leakage
along the fistula. It further promotes the resolution of the ureteric stricture
and healing of the fistula. A duration of 3 months was inadequate when a JJ stent
was used, whereas longer periods are possible with the Memokath stent. The
optimum stenting period required for complete healing of a UVF remains to be
defined. Long-term Memokath ureteric stenting can be an effective alternative and
minimally invasive approach to conventional surgical repair in selected cases.
PMID- 26558061
TI - Protection against renal ischaemia/reperfusion injury: A comparative experimental
study of the effect of ischaemic preconditioning vs. postconditioning.
AB - OBJECTIVE: To compare the effect of ischaemic preconditioning (Ipre) vs.
ischaemic postconditioning (Ipost) on renal ischaemia/reperfusion (I/R) injury in
rats. MATERIALS AND METHODS: In all, 120 male Sprague-Dawley rats were classified
into four groups of 30 rats each, designated sham, control, Ipre and Ipost. Renal
function, including serum creatinine, blood urea nitrogen (BUN), creatinine
clearance (CrCl), fractional Na excretion (FENa) and renal histopathology were
measured at 2, 24 and 48 h after ischaemia. Markers of lipid peroxidation
(malondialdehyde, MDA), superoxide dismutase (SOD) and reduced glutathione (GSH)
were measured in kidney tissues during the same intervals. RESULTS: Ipre caused a
significant improvement in renal function, as indicated by a significant decrease
in serum creatinine, BUN and FENa, with a significant increase in CrCl. However,
Ipost caused no significant improvement in renal function. Morphologically Ipre
caused a marked significant improvement in the renal tubular damage score
compared to Ipost. Also, Ipre caused a significant decrease in MDA, and
significant increase in GSH and SOD when compared to Ipost. CONCLUSION: Ipre is
more potent than Ipost for improving the renal injury induced by I/R. Ipre caused
a marked improvement in renal function and morphology, while Ipost caused a
minimal improvement in morphology only. Moreover, Ipre caused a marked and
significant reduction in oxidative stress in kidney tissues, while Ipost caused a
minimal reduction.
PMID- 26558062
TI - Does pentoxifylline enhance the recovery of erectile function after a T-shunt
procedure for prolonged ischaemic priapism? A prospective randomised controlled
trial.
AB - OBJECTIVE: To evaluate the role of oral pentoxifylline for enhancing the recovery
of erectile function (EF) in patients who had a T-shunt, a technically simple
procedure for treating prolonged ischaemic priapism, as the recovery of EF has
been reported in many patients treated by this procedure. PATIENTS AND METHODS:
This prospective randomised study was conducted on 40 patients with prolonged
ischaemic priapism treated with a T-shunt. Patients were randomly divided into
two groups; group A received oral pentoxifylline from the second day after
surgery for 3 months, and group B received placebo. Patients were followed for 18
months. RESULTS: The pain resolved in all patients, and EF recovered in 15
patients in group A and 10 in group B within 3 months. All patients but three had
recovery of EF within the 18-month follow-up. Six patients had recovery of EF by
using on-demand 50 mg sildenafil. The three patients who did not recover EF had a
penile prosthesis implanted after the end of the study. CONCLUSION:
Pentoxifylline had no significant effect on the recovery of EF after a T-shunt
procedure, but a larger study (double-blinded) is required for a more accurate
assessment of any beneficial effect of pentoxifylline after a T-shunt procedure.
PMID- 26558063
TI - Congenital penile curvature presenting as unconsummated marriage. Repair by 16
dot plication with subjectively reported patient and partner satisfaction.
AB - OBJECTIVE: To evaluate self-reported patient and partner satisfaction in cases of
unconsummated marriage due to congenital penile curvature that was treated by the
16-dot plication procedure. PATIENTS AND METHODS: From March 2008 to March 2012,
24 couples presented to our institute with an unconsummated marriage due to
congenital penile curvature. All patients were treated using the 16-dot plication
operation. Patients were followed up for 3 months and were asked, with their
partners, to complete a specific questionnaire to evaluate their satisfaction
with the outcome of the operation. RESULTS: The duration of unconsummated
marriage was 2-12 weeks. The mean (SD, range) angle of penile curvature was 57.4
(20.3, 30-110) degrees . All patients were able to consummate their marriage
within a mean (SD, range) of 5.3 (1.3, 4-8) weeks after surgery. The overall
satisfaction rate with the outcome of the operation among patients was nearly
100% for 'moderately satisfied' or greater, and among their partners was nearly
96% for 'moderately satisfied' or greater, at 3 months. CONCLUSION: The 16-dot
plication technique is an easy procedure for the emergent correction of
congenital penile curvature presenting as an unconsummated marriage. Most
patients and their partners were pleased with the outcome of the procedure.
PMID- 26558064
TI - The effect of magnified bilateral varicocele ligation on semen quality and the
natural paternity rate in subfertile men, based on the sum of varicocele grading.
AB - OBJECTIVE: To evaluate the effect of magnified bilateral varicocele ligation on
the semen quality and natural paternity rate in subfertile men, based on the sum
of varicocele grading. PATIENTS AND METHODS: In a prospective longitudinal study,
78 patients (mean age 36.5 years, range 21-56) with a total of 156 varicoceles
underwent bilateral varicocele ligation. Patients included had bilateral, primary
and clinically palpable varicoceles, with a normal hormonal profile and abnormal
semen; patients excluded had unilateral varicocele, or were recurrent cases, had
infraclinical varicocele or had a genetic abnormality. Patients were classified
into five groups (A-E), based on the findings of a physical examination; A
included 13 patients with grade sum II (I + I), B included 21 with grade sum III
(II + I), C included 25 with grade sum IV (II + II) or (III + I), D included 11
with grade sum V (III + II) and E included eight with grade sum VI (III + III).
The follow-up was scheduled at 3, 6 and 12 months to assess semen variables and
the natural paternity rate; 16 patients continued to a 36-month follow-up. Data
were analysed statistically using a paired t-test to compare the mean sperm
variables before and after surgery, with P < 0.05 considered to indicate
significant differences. RESULTS: Of the 78 patients, 74 completed the follow-up
at 3 months and only seven missed the 6-month follow-up. Overall, the mean sperm
density, total sperm motility and sperm morphology increased from 13.44 to 26.48
* 10(6)/mL, 27.4% to 53% and 41.4% to 65.0%, respectively; the differences were
statistically significant for all variables (P < 0.001). For each group
separately there were significant improvements for both sperm density and
motility, but for sperm morphology there were significant improvements in all
groups except E, where the improvement was not correlated with those in the other
groups. The paternity rate (by natural pregnancy) at 2 years was 26.9%, and this
increased to 41% within 3 years. CONCLUSION: Magnified bilateral varicocele
ligation based on the sum of varicocele grading significantly improved semen
quality and the natural paternity rate in subfertile men.
PMID- 26558065
TI - Increase in fracture of the penis in south-west Nigeria.
AB - OBJECTIVE: To present our recent experience in the management of penile fracture.
PATIENTS AND METHODS: We present 21 cases of penile fracture managed at the two
Federal-owned tertiary hospitals in two neighbouring states in south-west Nigeria
between 2001 and 2011. The diagnosis was based mainly on a clinical evaluation.
The treatment was surgical in patients who presented within 2 weeks of the
fracture. The emphasis during the follow-up was on erectile function and
micturition. RESULTS: Seventeen patients presented within 48 h, two presented
after a week, while two reported months later with penile deviation. The mean age
of the patients was 26.4 years. The cause of fracture was sexual intercourse in
11 (52%) patients and forceful manipulation of the erect penis in 10 (48%).
Thirteen (62%) of all injuries occurred in the last 2 years of the study, of
which eight men were injured during rear entry with the woman on top (reverse
coital) position. Six of the patients with reverse coital injuries reported
trying the position after watching it on the Internet, specifically on their
cellular phones. Eighteen patients had surgical treatment, with a satisfactory
outcome. Two of the other three patients had penile deviation during erection.
CONCLUSIONS: The incidence and causes of penile fracture appear to have changed
drastically over the last 2 years in our environment. The rapid demographic
changes in south-west Nigeria are probably responsible. There appears to be a
relationship between the cause of fracture and the use of the Internet, although
that might be coincidental. Surgical repair, irrespective of the delay before
intervention, usually offers a satisfactory outcome.
PMID- 26558066
TI - A visit to Mansoura Urology & Nephrology Center, Mansoura, Egypt.
PMID- 26558067
TI - Establishing the subspecialty of female pelvic medicine and reconstructive
surgery in the United States of America.
AB - OBJECTIVE: In this review I describe the history leading to the creation of the
subspecialty of female pelvic medicine and reconstructive surgery and its
fellowships, the process involved in the current requirements for subspecialty
certification and fellowship applications, and the implications for urological
training. RESULTS AND CONCLUSIONS: The route to subspecialty certification and
fellowships for female urology in the USA is a lesson in politics, education,
medical rivalries and perseverance, with the goal of improving care for women.
This decade-long journey culminated in the recognition of a separate subspecialty
by the American Board of Medical Specialties in 2011, accreditation by the
American Council for Graduate Medical Education in 2012, and certification to be
awarded by the Boards of Obstetrics and Gynecology and Urology in 2013. It
remains to be seen whether this effort will improve resident education and
patient care, or represent a marketing tool in the competitive USA healthcare
environment. While many of the details and regulatory issues are specific to the
USA, elements of the curriculum and procedures should be relevant to other
countries.
PMID- 26558068
TI - The fate of synthetic mid-urethral slings in 2013: A turning point.
AB - INTRODUCTION: Since the introduction of the first retropubic tension-free
synthetic sling to treat stress urinary incontinence (SUI), newer approaches,
different techniques and new devices have been created. Transobturator and single
incision sling (SIS) techniquespara-were developed with the goal of diminishing
the rate of complications andspeeding the recovery phase. METHODS: For this
review we searched Medline for relevant papers, with an emphasis on meta-analysis
and randomised controlled trials (RCTs). Specially selected reports were
identified to address both 'index patients' (defined as those with genuine SUI
and no previous anti-incontinence procedure or other genitourinary sign or
symptom that might affect her SUI) and, briefly, non-index patients. Two authors
independently reviewed papers for eligibility. RESULTS: Level 1 evidence from a
Cochrane review and two meta-analyses indicated that subjective outcomes with the
mid-urethral sling (MUS) were similar to those from colposuspension. However, the
MUS was better than colposuspension when assessing objective outcomes (Level 1).
MUS are equally effective as autologous pubovaginal slings (Level1). Two meta
analyses suggest that retropubic MUS (RMUS) might be better than transobturator
MUS when assessing objective outcomes. Five more recent RCTs with longer term
outcomes showed high success rates and only one reported a significant advantage
for the RMUS in women with intrinsic sphincteric deficiency. One meta-analysis
addressing the SIS showed inferior outcomes to the MUS (Level 1). New and
improved SIS techniques have been used, but long-term outcomes are limited and
results are still controversial when compared to the MUS. CONCLUSION: MUS are
still the standard to treat the index patient as previously stated by the
American and European Associations of Urology. Currently data are lacking to
define which sling and what approach works best. Complications are significantly
different between sling types and are dependent on technique.
PMID- 26558069
TI - Different urodynamic patterns in female bladder outlet obstruction: Can
urodynamics alone reach the diagnosis?
AB - OBJECTIVE: To define the different urodynamic patterns in female bladder outlet
obstruction (BOO) and to assess whether urodynamics alone can be relied on for
the diagnosis. PATIENTS AND METHODS: This prospective study included 60
clinically obstructed women and 27 with stress urinary incontinence as a control
group. All patients had pressure-flow studies and were divided into four groups.
Group A (control group, 27 patients) and group B (22) had a maximum urinary flow
rate (Q max) of >15 mL/s and a detrusor pressure at Q max (P det Q max) of <30 or
>30 cm H2O, respectively. Group C (20 patients) and group D (18) had a Q max of
<15 mL/s and a P det Q max of >30 or <30 cm H2O, respectively. RESULTS: The mean
Q max for groups A, B, C, and D were 21.8, 21.9, 10.8 and 9.9 mL/s, respectively,
while the mean P det Q max was 20.8, 40.4, 48.7, and 18.7 cm H2O, respectively.
The residual urine volume was <100 mL in groups A and B but >100 mL in groups C
and D. When compared with group A, groups B-D had a significant difference in
vesical pressure, groups B and C had a significant difference in P det Q max,
while Q max, the maximum voided volume and residual urine volume were
significantly different in groups C and D. Group A was obviously unobstructed,
group B might have early obstruction, group C had compensated obstruction, while
group D can be considered to have late de-compensated obstruction. CONCLUSIONS:
BOO in females has three different urodynamic patterns, i.e. early, compensated
and late obstruction. However, urodynamics should be combined with the clinical
presentation and residual urine volume for an accurate diagnosis.
PMID- 26558070
TI - Posterior tibial nerve stimulation as treatment for the overactive bladder.
AB - OBJECTIVE: To evaluate the efficacy of posterior tibial nerve stimulation (PTNS)
as a treatment for the overactive bladder (OAB) resistant to medical treatment.
PATIENTS AND METHODS: The study included 60 patients, comprising 55 women (92%)
and five men (8%) with a mean (SD) age of 41.4 (10.8) years, who presented to the
Urology Department of Benha University Hospital from June 2010 to October 2012.
All patients were assessed initially by taking a history, a physical examination,
urine analysis, routine laboratory investigations, and a urodynamic evaluation in
the form of flowmetry, cystometry, and a pressure-flow study in some cases. A
voiding diary (daytime and night-time frequency, voiding volume, and leakage
episodes) was completed by all patients, and all underwent 12 sessions of PTNS
using a personal computer-based system, and were reassessed after the sixth
session, at the end of the course, and at 3 and 6 months after the last session,
using the same methods as in the baseline visit. RESULTS: There was a
statistically significant improvement in all the variables assessed. No infection
or failure of the PTNS mechanism was detected while using the technique, but
there were rare instances of minor bleeding and a temporary painful feeling at
the insertion site. CONCLUSION: PTNS is safe, and gives statistically significant
improvements in the patient's assessment of OAB symptoms.
PMID- 26558071
TI - Semi-rigid ureteroscopy for ureteric and renal pelvic calculi: Predictive factors
for complications and success.
AB - OBJECTIVE: To analyse and compare the effect of stone site and size, method of
lithotripsy, and level of experience on the results and complications of semi
rigid ureteroscopy for ureteric and renal pelvic stones. PATIENTS AND METHODS:
Between April 2010 and May 2011, 90 patients underwent 95 ureteroscopies, using
7.5- and 9-F semi-rigid ureteroscopes, with or without pneumatic or laser
lithotripsy. The peri-operative findings were analysed and compared. RESULTS: The
mean (SD) longest diameter of the stones was 11.8 (4.5) mm. Laser lithotripsy was
used in 32 cases and pneumatic lithotripsy in 26. There were complications in 35
procedures in the form of colicky pain (2%), haematuria (1%), stone migration
(7%), equipment failure (5%), access failure (8%), mucosal injury (7%), fever
(2%) and extravasation (3%).The calculi were successfully retrieved in 75
patients (83%). The success rate was 95%, 77%, 85%, and 53% in the lower, middle,
upper ureter and renal pelvis, respectively. CONCLUSIONS: Upper ureteric stones
can be managed safely with the semi-rigid ureteroscope. Renal pelvic stones are
associated with a lower success rate, and thus they were not a primary indication
for ureteroscopic intervention. The secondary ureteroscopic management of renal
pelvic stones improved the results of subsequent alkalinisation or shock-wave
lithotripsy if they could not be eradicated completely. The failure rate was
significantly small in lower ureteric stones and stones of <10 mm. Less
experience, a stone size of >15 mm and patients ?2 years old were associated with
more complications or a lower success rate. There was no significant difference
in the success or complication rate between laser and pneumatic lithotripsy.
PMID- 26558072
TI - Efficacy and safety of tamsulosin vs. alfuzosin as medical expulsive therapy for
ureteric stones.
AB - OBJECTIVE: To evaluate and compare the efficacy of tamsulosin and alfuzosin as
medical expulsive therapy for ureteric stones. PATIENTS AND METHODS: In all, 112
patients with ureteric stones of ?10 mm, located along the ureter, were randomly
divided into three groups. In group I, 32 patients received no alpha-blockers
(controls), in group II 40 patients received tamsulosin 0.4 mg daily, and in
group III 40 patients received alfuzosin 10 mg daily. All patients were given
analgesia and antibiotics when indicated. The follow-up was weekly for 4 weeks.
RESULTS: The mean stone size and age were comparable in the three groups. The
stone expulsion rate was 44%, 85% and 75% in groups I, II and III, respectively.
Half of the stones in group II passed within 2 weeks, half in group III passed
within 3 weeks, while more than half of the stones in group I did not pass even
after 4 weeks. The mean number of painful episodes was 2.45, 1.38 and 1.64 in
groups I, II and III, respectively. The drug-related side-effects reported by
patients were mild and transient. CONCLUSION: The use of tamsulosin or alfuzosin
as medical expulsive therapy for ureteric stones in the three sections of the
ureter (upper, middle and lower) was safe and effective, as shown by the
increased overall stone expulsion rate, reduced stone expulsion time and fewer
pain episodes. Tamsulosin was associated with a greater rate of stone expulsion
than was alfuzosin.
PMID- 26558073
TI - Double-J ureteric stenting in pregnancy: A single-centre experience from Iraq.
AB - OBJECTIVES: To evaluate the safety and effectiveness of ureteric stenting with a
JJ stent in pregnant women, to relieve renal obstruction and intractable flank
pain. PATIENTS AND METHODS: All pregnant patients presenting with intractable
flank pain, with or without complications, to a tertiary national teaching
hospital in Kurdistan/Iraq, and necessitating ureteric stenting with a JJ stent,
were prospectively assessed for this study between March 2008 and March 2010.
RESULTS: In all, 30 pregnant patients presented with intractable flank pain
necessitating JJ ureteric stenting during the 25 months. Intractable flank pain
(23 patients, 77%) was the most common indication for ureteric stenting, followed
by flank pain with clinical sepsis (six, 20%). All pregnant women had
hydronephrosis on ultrasonography (US), and 12 (40%) had evidence of coexisting
renal stones on US. All ureteric stents were inserted successfully. The mean
(range) indwelling time was 47.4 (3-224) days. Radiologically, 14 (47%) and 15
(50%) had complete resolution of the hydronephrosis on follow-up US in late
pregnancy and in the early postnatal period, respectively. Two-thirds of patients
had a clinical improvement immediately (15, 50%) and soon after (five, 17%)
surgery. Stent encrustation (three, 10%), stent migration (three, 10%) and stent
irritation (five, 17%) were reported as complications. The post-natal evaluation
confirmed that half the patients had urinary calculus disease. CONCLUSION:
Ureteric stenting during pregnancy can be safe, with no intraoperative imaging
and even under local anaesthesia. It provides good symptom relief and has a low
complication rate. We therefore advocate it as a first-line treatment in pregnant
women with therapy-resistant flank pain.
PMID- 26558074
TI - The effect of preoperative clinical variables on the 30- and 90-day morbidity and
mortality after radical cystectomy: A single-centre study.
AB - OBJECTIVE: To analyse the effect of preoperative clinical variables and
comorbidity on the early, late and cumulative 90-day morbidity and mortality
rates, as well as hospital re-admissions, after radical cystectomy (RC), in one
centre. PATIENTS AND METHODS: All patients undergoing RC over a period of 3
months were included. Preoperative investigations included measurements of serum
albumin, a complete blood analysis, body mass index (BMI), Charlson comorbidity
index (CCI) and the age-adjusted CCI (ACCI). We recorded the length of hospital
stay (LOS) and all postoperative events for 90 days, and graded them according to
the five-grade modification of the original Clavien system. RESULTS: In all there
were 31 patients undergoing RC (mean age 58.4 years). The mean preoperative serum
albumin and haemoglobin level, BMI, CCI and ACCI were 3.82 g/dL, 12.53 g/dL,
29.29 kg/m(2), 3.0 and 4.58, respectively. The mean LOS was 20.03 days; seven
patients needed re-admission and three died within the 90 days. There were
postoperative complications in 20 patients. The age, CCI and ACCI were
significantly associated with complications (P = 0.009, 0.001 and < 0.001,
respectively). Preoperative haemoglobin, BMI and smoking had no effect on the
morbidity or mortality rate. The LOS increased in older patients (P = 0.031) and
those with a higher ACCI (P = 0.042). Postoperative mortality increased among
patients with a lower serum albumin level (P = 0.048). CONCLUSIONS: Age, CCI and
ACCI are related to early postoperative complications. Older patients and
patients with a higher ACCI have a longer LOS. A low preoperative albumin level
needs to be evaluated more thoroughly.
PMID- 26558075
TI - Leiomyosarcoma of the urinary bladder: A review and a report of two further
cases.
AB - OBJECTIVES: To review the published cases of leiomyosarcoma of the urinary
bladder and to report two further cases. METHODS: The databases Pubmed and Hinari
were searched using the keywords 'bladder', 'leiomyosarcoma' and 'smooth muscle
neoplasm'. The 14 articles identified were reviewed, and we present a further two
cases. RESULTS: Of more than 100 cases reported, 77 were reviewed. There is a
lack of consensus about the standard treatment, and little is known about the
natural history and prognosis of the tumour, due to its very low incidence. These
tumours occur in older adults of either sex and are characterised by an
aggressive behaviour. There is usually an unfavourable outcome, with the lungs
being the most common site of metastasis. The two further cases we report had a
different presentation and outcome. CONCLUSIONS: Because of the limited
experience with this rare tumour, there are insufficient data to suggest the
optimum management strategy and prognosis.
PMID- 26558076
TI - Evaluation of grade and stage in patients with bladder cancer among smokers and
non-smokers.
AB - OBJECTIVES: To evaluate the role of smoking as a risk factor for higher stages
and grades of bladder cancer, for although smoking is considered to be one of the
most important risk factors for bladder cancer, its relationship to grade and
stage is not clear. PATIENTS AND METHODS: In all, 300 patients diagnosed with
bladder cancer were studied to compare the grade and stage and bladder cancer
between non-smokers, low-dose, moderate-dose and high-dose smokers. RESULTS: The
smokers and non-smokers had no significant difference in tumour grade or stage (P
= 0.702 for grade and 0.166 for stage) but the high-dose group had significantly
higher grades and stages than the other groups (P = 0.026, odds ratio 4.8, 95%
confidence interval 1.2-19.1 for grade, and 0.037, 10.91 and 1.16-102.6,
respectively, for stage). CONCLUSION: Smoking has a potential dose-dependent
effect on the grade and stage of bladder cancer, with high-dose smokers having
more aggressive disease. The equality in the aggressiveness of the cancer between
smokers in general and non-smokers might be a result of the hazardous effect of
passive smoking in countries where smoking is a common habit.
PMID- 26558077
TI - The use of an acellular collagen matrix in penile augmentation: A pilot study in
Saudi Arabia.
AB - OBJECTIVES: To assess the use of an acellular collagen matrix (Pelvicol, Bard
Medical, Covington, GA, USA), a successful agent for reconstructive surgery, for
enhancing penile girth. PATIENTS AND METHODS: Between June and December 2011, 18
patients (mean age 24 years, range 19-38) had their penis augmented with
Pelvicol; the mean (range) penile circumference was 9.2 (7-13) cm before
treatment. They were divided into two groups; the first (10 patients) had a
Pelvicol sheet of 8 * 12 cm inserted through a V-Y suprapubic incision and
wrapped around the shaft in a bilayer under the dartos fascia, but not covering
the urethra, with division of the suspensory ligament. The second group of eight
patients had the Pelvicol inserted through a subcoronal degloving incision and
placed in one layer. The penile circumference was measured at 6 and 12 months
after surgery. Patient satisfaction at 1 year after surgery was assessed as
'poor', 'unsatisfied', 'moderately satisfied', 'highly satisfied', or
'excellent'. RESULTS: The mean (range) increase in girth (circumference) was 2.8
(2-3.2) cm in group 1 and 1.7 (1.2-2) cm in group 2. In group 1, two patients
were highly satisfied, four moderately satisfied and four unsatisfied; in group
2, three were moderately satisfied and five unsatisfied. Complications were
common in both groups, with five patients in group 1 and three in group 2
developing severe penile oedema and ischaemic shaft ulcers. Removal of the graft
was required in two patients in each group. CONCLUSION: This pilot study shows
that Pelvicol is not an ideal option for enhancing penile girth, and the method
of placement did not apparently influence the result.
PMID- 26558078
TI - Single- vs. multi-stage repair of proximal hypospadias: The dilemma continues.
AB - INTRODUCTION: The surgical reconstruction of distal penile hypospadias in a
single stage is the standard practice for managing anterior hypospadias.
Unfortunately, it is not simple to extrapolate the same principle to proximal
hypospadias. There is no consensus among hypospadiologists about whether a single
or multi-stage operation is the optimal treatment for proximal hypospadias. In
this review, we assess the currently reported outcomes and complications of both
techniques in proximal hypospadias repair. METHODS: We searched Medline, Pubmed,
Scopus and Ovid for publications in the last 10 years (2002-2012) for relevant
articles, using the terms 'proximal hypospadias', 'posterior hypospadias' 'single
stage', 'multiple stage', and 'complications'. Articles retrieved were analysed
according to the technique of repair, follow-up, complications, success rate,
number of included children, and re-operative rate. RESULTS AND CONCLUSIONS: The
reported complications in both techniques were similar, including mostly minor
complications in the form of fistula, meatal stenosis, partial glans dehiscence,
and urethral diverticulum, with their easy surgical repair. The outcomes of
single- and multistage repairs of proximal hypospadias are comparable; no
technique can be considered better than any other. Thus, it is more judicious for
a hypospadiologist to master a few of these procedures to achieve the best
results, regardless of the technique used.
PMID- 26558079
TI - Down-regulated claudin-7 immunoexpression in urothelial carcinoma of the urinary
bladder.
AB - OBJECTIVES: To analyse the gene-expression level of claudin-7 in urothelial
carcinoma (UC) of the urinary bladder, and its relationship with
clinicopathological variables. MATERIALS AND METHODS: This study included 68
specimens of UC of the bladder, comprising 35 with non-muscle-invasive (NMI),
stage Ta-T1, and 33 with muscle-invasive (MI) tumours, T2-T4, and 26 of normal
urothelium (NU). Total RNA was extracted and 1 MUg was reverse transcribed using
a cDNA kit. RT-PCR was conducted using SYBR Green I dye to examine the expression
levels of the target gene (claudin-7) and the housekeeping gene glyceraldehyde-3
phosphate dehydrogenase. Using confocal-laser scanning light microscopy,
immunohistochemistry (IHC) was used to validate the RT-PCR data. The correlation
between claudin-7 and the clinicopathological variables was assessed. RESULTS:
Claudin-7 was down-regulated in UC samples compared to NU samples (P < 0.001).
NMI (Ta-T1) tumours had significantly higher claudin-7 expression than MI (?pT2)
tumours (P = 0.012). There was no significant difference between patients with G1
2 tumours and those with G3 tumours (P = 0.19). There was no significant
difference between patients with recurrent NMI UC and those with no recurrence (P
= 0.61). IHC showed a lower expression of claudin-7 in the UC samples than NU
samples, and in MI UC than in NMI UC. CONCLUSIONS: These results indicate that a
reduced expression of claudin-7 correlates with the invasiveness and progression
of UC of the urinary bladder. Further studies are needed to validate claudin-7 as
a marker for UC.
PMID- 26558080
TI - Inhibition of calcium oxalate crystallisation in vitro by an extract of Bergenia
ciliata.
AB - OBJECTIVE: To evaluate the effectiveness of an extract obtained from the rhizomes
of Bergenia ciliata (Saxifragaceae) on the inhibition of calcium oxalate (CaOx)
crystallisation in vitro. MATERIALS AND METHODS: A hydro-alcoholic extract
(30:70, v/v) of rhizomes of B. ciliata was prepared at different concentrations
(1-10 mg/mL). The crystallisation of CaOx monohydrate (COM) was induced in a
synthetic urine system. The nucleation and aggregation of COM crystals were
measured using spectrophotometric methods. The rates of nucleation and
aggregation were evaluated by comparing the slope of the turbidity of a control
system with that of one exposed to the extract. The results were compared with a
parallel study conducted with a marketed poly-herbal combination, Cystone, under
identical concentrations. Crystals generated in the urine were also analysed by
light microscopy. Statistical differences and percentage inhibitions were
calculated and assessed. RESULTS: The extract of B. ciliata was significantly
more effective in inhibiting the nucleation and aggregation of COM crystals in a
dose-dependent manner than was Cystone. Moreover, the extract induced more CaOx
dihydrate crystals, with a significant reduction in the number and size of COM
crystals. CONCLUSION: An extract of the traditional herb B. ciliata has an
excellent inhibitory activity on crystalluria and therefore might be beneficial
in dissolving urinary stones. However, further study in animal models of
urolithiasis is needed to evaluate its potential anti-urolithiatic activity.
PMID- 26558081
TI - [Not Available].
PMID- 26558082
TI - Sexual dysfunction in 2013: Advances in epidemiology, diagnosis and treatment.
AB - OBJECTIVES: To provide a contemporary review of the epidemiology, diagnosis and
treatment of premature ejaculation (PE) and erectile dysfunction (ED). METHODS:
We searched for English-language articles published in the past 12 months using
the PubMed database. Relevant articles on the subjects of sexual dysfunction, ED
and PE were selected for review. CONCLUSIONS: Recent studies on male sexual
dysfunction have provided new therapeutic possibilities. Tramadol, a well-used
analgesic, has a new role in the treatment of PE. Super-selective targeting of
dorsal penile nerves by surgery or cryoablative technologies might become a
viable treatment option for refractory PE in the future. The role of ED as a
harbinger of important comorbidities allows for the early detection and
intervention of these conditions, which can optimise therapeutic outcomes. The
long-term effect of chronic phosphodiesterase-5 inhibitors on endothelial
dysfunction, the angiogenic potential of low-intensity extracorporeal shock wave
therapy, and further advances in drug-eluting endovascular stents might in future
allow clinicians to treat ED more definitively.
PMID- 26558084
TI - Erectile dysfunction and cardiovascular disease.
AB - The link between erectile dysfunction (ED) and cardiovascular disease (CVD) is
reviewed by assessing original papers, current consensus, previous reviews and
meta-analyses. The link between these conditions is confirmed, and the evaluation
and assessment summarised with a new evidence-based algorithm. ED, especially in
younger men, is a marker of an increased risk of CVD, and ED needs to be
incorporated into all risk-screening programmes.
PMID- 26558083
TI - Is erectile dysfunction a reliable indicator of general health status in men?
AB - INTRODUCTION: Erectile dysfunction (ED) is a common risk factor in men and its
incidence increases with age. Ageing and older men frequently have comorbidities
such as cardiovascular diseases (CVD), diabetes mellitus (DM), hypertension,
chronic obstructive pulmonary disease and dyslipidaemia; likewise, they
concurrently refer to a clinician for impairments in sexual function, mostly for
ED. The association of ED and other organic, multi-organic or even systemic
diseases is widely described, with a specific emphasis on the fact that they
often share common pathophysiological factors and mechanisms. Thus we reviewed
previous reports assessing the role of ED as a sentinel marker of overall men's
health. DISCUSSION: ED is considered an important sentinel marker for CVD.
Numerous studies have highlighted the predictive role of ED for subsequent CV
events in patients with a silent history of coronary artery disease. Indeed, ED
might be considered as a clinical manifestation of a generalised vascular
disease, and it should provoke clinicians to check for CVDs in those patients
complaining of impaired erectile function. This concept appears to be even more
important for men with DM, where ED has already been shown to have a significant
predictive ability for major vascular complications. Moreover, data from large
population-based studies showed that ED is a significant predictor of all-cause
mortality, in addition to CV outcomes. The severity of erectile function is
assessed with the International Index of Erectile Function-Erectile Function
domain score, and this has emerged as a proxy for men's general health status, as
assessed with the Charlson Comorbidity Index score. CONCLUSIONS: Patients
complaining of ED should be evaluated with a comprehensive medical and sexual
history, and a thorough physical examination, regardless of their age,
considering ED as an opportunity to screen for the presence of health-threatening
concomitant comorbidities.
PMID- 26558085
TI - Psychological and interpersonal dimensions of sexual function and dysfunction.
AB - INTRODUCTION: Sex therapy techniques comprise behavioural and cognitive as well
as psychodynamic and educational interventions, like reading ('bibliotherapy'),
videotapes and illustrations of anatomical models. Contemporary approaches focus
on desire, pleasure and satisfaction. DISCUSSION: It is important to assess
medical and biological factors involved in the genesis of sexual dysfunctions.
Sex therapy techniques were developed by Masters and Johnson, and their premise
was to eliminate 'performance anxiety' by emphasising the undemanding nature of
the sexual relation. New methods were introduced, like Internet-administered
techniques, and 'mindfulness therapy', and they proved to be effective.
CONCLUSIONS: Psychological treatments have some relieving effects on sexual
dysfunction, but for studies of the outcomes it is difficult to meet the
requirements of evidence-based medicine.
PMID- 26558086
TI - Phosphodiesterase type 5 inhibitors as a treatment for erectile dysfunction:
Current information and new horizons.
AB - INTRODUCTION: Over the past 15 years, the discovery and development of oral
medications that selectively inhibit the enzyme phosphodiesterase type 5 (PDE5)
have revolutionised the treatment of erectile dysfunction (ED). Currently, three
PDE5 inhibitors are widely available clinically, i.e., sildenafil, vardenafil and
tadalafil. New PDE5 inhibitors, including avanafil and udenafil, are now in
clinical use in a few countries, and other compounds are under development.
METHODS: We describe the current use and future direction of PDE5 inhibitors in
the treatment of ED. RESULTS AND CONCLUSION: Each PDE5 inhibitor has an excellent
and comparable efficacy and tolerability. These drugs are highly effective for ED
of various causes, and are effective in preventing ED after radical
prostatectomy. However, whilst being at least 60% effective, PDE5 inhibitors are
still ineffective in at least 30% of patients, prompting current research into
other pharmacological targets for ED.
PMID- 26558087
TI - Current penile-rehabilitation strategies: Clinical evidence.
AB - We review the current strategies used for penile rehabilitation (PR) after a
radical prostatectomy, where PR is defined as the attempt to restore spontaneous
erectile function so that the patient can generate erections with no need for
erectile aids. We searched PubMed for relevant reports, using the keywords
'radical prostatectomy', 'penile rehabilitation', 'phosphodiesterase inhibitors',
'vacuum erection device', 'injection therapy', 'urethral suppository', and
'erectile dysfunction'. In all, 155 articles were identified and reviewed, and
had a level of evidence ranging from 1b-4. The use of PR strategies should be
based on the patient's goals after a thorough explanation of realistic
expectations, and the risks and consequences of the various treatment options.
While a multitude of studies suggest a benefit with PR strategies, there are no
established, proven regimens. Further research is needed to establish the optimal
approaches to PR.
PMID- 26558088
TI - Stem-cell therapy for erectile dysfunction.
AB - INTRODUCTION: Erectile dysfunction (ED) is the most common sexual disorder that
men report to healthcare providers, and is the male sexual dysfunction that has
been most investigated. Current treatments for ED focus on relieving the symptoms
of ED and therefore tend to provide a temporary solution rather than a cure or
reversing the cause. Recently, therapies based on stem cells (SCs) have had an
increasing attention for their potential to restore erectile function.
Preclinical studies showed that these cells might reverse the pathophysiological
changes leading to ED, rather than treating the symptoms of ED. This review is
intended to provide an overview of contemporary reports on the use of SCs to
treat ED. METHODS: We made an extensive search for reports on SC-based therapy
for the management of ED, published in English between 1966 and 2013, using the
search engines SciVerse-sciencedirect, SciVerse-scopus, Google Scholar and
Pubmed, with the search terms 'erectile dysfunction', 'stem cells', 'multipotent
stromal cells', 'adipose (tissue) derived stem cells', 'bone-marrow derived stem
cells', 'animal model', 'diabetes', 'ageing', 'Peyronie's Disease' and 'cavernous
nerve injury'. RESULTS: Fifty-four papers were identified and contributed, either
as an original research report or review thereof, to this review. Several
preclinical studies addressed SC-based therapies for the recovery of erectile
function caused by a variety of both chronic and acute conditions. Overall, these
studies showed beneficial effects of SC therapy, while evidence on the mechanisms
of action of SC therapy varied between studies. One clinical trial investigated
the short-term effects of SC therapy in diabetic patients with ED. Two more
clinical trials are currently recruiting patients. CONCLUSIONS: The rapidly
expanding and highly promising body of preclinical work on SC-based medicine
providing a potential cure for ED, rather than merely symptom relief, is
indicative of the increasing interest in regenerative options for sexual medicine
over the past decade. Clinical trials are currently recruiting patients to test
the preclinical results in men with ED.
PMID- 26558089
TI - Penile prosthesis surgery in the management of erectile dysfunction.
AB - INTRODUCTION: We reviewed retrospectively the use of penile prostheses, including
the indications and complications of penile prosthesis surgery. METHODS: We
identified publications and the reported advances in penile prosthesis surgery
between 1987 and 2012 in Pub-Med, and published information from American Medical
Systems, Inc. (Minnetonka, MN, USA) and Coloplast Corporation (Humlebaek,
Denmark), using the keywords 'penile prosthesis', 'erectile dysfunction',
'mechanical reliability', 'complications' and 'infection'. RESULTS: We describe
the novel indications for the use of penile prostheses, the significant advances
in implant designs with improved mechanical reliability, the changing landscape
of device infection, and the current management of complications. Sixty-eight
publications with a grade A, B and C level of evidence are cited. CONCLUSION: The
clinical indications to implant a penile prosthesis have expanded beyond organic
erectile dysfunction. With the many different devices currently available, the
choice of which device to implant can be tailored based on an individual's unique
medical conditions, manual dexterity and expectations, and surgeon preference.
There must be a conscious effort to prevent device infection, in the light of the
development of increasingly virulent organisms. Penile prosthesis surgery is an
integral part of the treatment of erectile dysfunction when non-surgical options
fail or are contraindicated.
PMID- 26558090
TI - Penile vascular surgery for treating erectile dysfunction: Current role and
future direction.
AB - Penile vascular surgery for treating erectile dysfunction (ED) is still regarded
cautiously. Thus we reviewed relevant publications from the last decade,
summarising evidence-based reports consistent with the pessimistic consensus and,
by contrast, the optimistically viable options for vascular reconstruction for ED
published after 2003. Recent studies support a revised model of the tunica
albuginea of the corpora cavernosa as a bi-layered structure with a 360 degrees
complete inner circular layer and a 300 degrees incomplete outer longitudinal
coat. Additional studies show a more sophisticated venous drainage system than
previously understood, and most significantly, that the emissary veins can be
easily occluded by the shearing action elicited by the inner and outer layers of
the tunica albuginea. Pascal's law has been shown to be a significant, if not the
major, factor in erectile mechanics, with recent haemodynamic studies on fresh
and defrosted human cadavers showing rigid erections despite the lack of
endothelial activity. Reports on revascularisation surgery support its utility in
treating arterial trauma in young males, and with localised arterial occlusive
disease in the older man. Penile venous stripping surgery has been shown to be
beneficial in correcting veno-occlusive dysfunction, with outstanding results.
The traditional complications of irreversible penile numbness and deformity have
been virtually eliminated, with the venous ligation technique superseding venous
cautery. Penile vascular reconstructive surgery is viable if, and only if, the
surgical handling is appropriate using a sound method. It should be a promising
option in the near future.
PMID- 26558091
TI - Penile reconstruction in the male.
AB - We describe and review the most recent techniques of male genital reconstruction,
identifying relevant material with an unstructured PubMed-based search of
previous reports, using the keywords 'reconstruction', 'glans', 'shaft',
'lymphoedema', 'skin graft', 'scrotoplasty', 'urethroplasty', and 'penile
prosthesis'. This search produced 22 reports that were analysed in this review.
Split-thickness skin grafts are ideal for glans reconstruction, while full
thickness skin grafts should be used to cover defects on the shaft penis, as they
tend to heal with less contracture. The radial artery-based free-flap
phalloplasty is the technique of total phallic reconstruction associated with the
highest satisfaction rates. Further research is required to identify an ideal
reconstructive technique that would guarantee superior cosmetic and functional
results, minimising donor site morbidity.
PMID- 26558092
TI - The pathophysiology of Peyronie's disease.
AB - OBJECTIVE: To review the contemporary knowledge of the pathophysiology of
Peyronie's disease (PD). METHODS: Medline was searched for papers published in
English from 2000 to March 2013, using the keywords 'Peyronie's disease' and
'pathophysiology'. RESULTS: More than 300 relevant articles were identified for
the purpose of this review. Unfortunately only a few studies had a high level of
evidence, and the remaining studies were not controlled in their design. Many
theories have been proposed to explain the cause of PD, but the true pathogenesis
of PD remains an enigma. Identifying particular growth factors and the specific
genes responsible for the induction of PD have been the ultimate goal of research
over the past several decades. This would provide the means to devise a possible
gene therapy for this devastating condition. We discuss present controversies and
new discoveries related to the pathophysiology of this condition. CONCLUSION: PD
is one of the most puzzling diseases in urology. The pathogenesis remains
uncertain and there is still controversy about the best management. The
pathogenesis of PD has been explored in animal models, cell cultures and clinical
trials, but the results have led to further questions. New research on the
aetiology and pathogenesis of PD is needed, and which will hopefully improve the
understanding and management for patients with this frustrating disease.
PMID- 26558093
TI - Peyronie's disease: A contemporary review of non-surgical treatment.
AB - In this review I discuss the current non-surgical treatment options for
Peyronie's disease (PD), which remains a therapeutic dilemma for the treating
physician. This is despite a large array of treatments that have been used since
the time of de la Peyronie in the mid-18th century. Part of the problem with
finding an effective treatment is the incomplete understanding of the
aetiopathophysiology of this scarring disorder. Published articles in peer
reviewed journals were assessed, recognising that most of the reported trials are
compromised by being single-centre studies with no placebo control. Various
treatment options have emerged, most with limited and unreliable benefit, but a
few treatments have shown a consistent, albeit incomplete, response rate.
Currently the only scientifically sensible oral agents appear to be
pentoxifylline, l-arginine, and possibly the phosphodiesterase type-5 inhibitors.
The current intralesional injection treatment options include verapamil and
interferon, with a reported benefit in reducing deformity and improving sexual
function. Intralesional clostridial collagenase is in the midst of phase-3 trial
analysis by the USA Food and Drug Administration. External mechanical traction
therapy has recently emerged as a technique to reduce the curvature, recover lost
length, and possibly obviate surgery. Currently there is no clear, reliable and
effective non-surgical treatment for PD, but it appears that several of the
available treatments can reduce the deformity and improve sexual function, and
might at least stabilise the disease process.
PMID- 26558094
TI - A critical analysis of the surgical outcomes for the treatment of Peyronie's
disease.
AB - Peyronie's disease (PD) is a relatively common condition, which can impair sexual
function and result in emotional and psychological distress. Despite an abundance
of minimally invasive treatments, few have confirmed efficacy for improving
penile curvature and function. Surgical therapies include many different
techniques and are reserved for patients with stable disease of ?12 months'
duration. We searched PubMed for all articles from 1990 to the present relating
to the surgical management of PD. Preference was given to recent articles, larger
series, and those comparing various techniques and/or materials. Outcomes were
subsequently analysed and organised by surgical technique and the graft material
used. Available surgical techniques include plication/corporoplasty procedures,
incision and grafting (I&G), and placing a penile prosthesis with or without
adjunctive procedures. Although several surgical algorithms have been reported,
in general, plication/corporoplasty procedures are reserved for patients with
adequate erectile function, simple curvatures of <60 degrees , and with no
deformities (hour-glass, hinge). I&G are reserved for complex curvatures of >60
degrees and those with deformities. Penile prostheses are indicated for combined
erectile dysfunction and PD. Overall outcomes show high rates of improved
curvature and patient satisfaction, with mildly decreased erectile function with
both plication and the I&G procedure (I&G >plication) and decreases in penile
length (plication >I&G). Surgical management of PD remains an excellent treatment
option for patients with penile curvature precluding or impairing sexual
activity. Surgical algorithms are available to assist treating clinicians in
appropriately stratifying surgical candidates. Additional research is needed to
identify optimal surgical techniques and materials based on patient and disease
characteristics.
PMID- 26558095
TI - Treatments for fibrosis of the corpora cavernosa.
AB - INTRODUCTION: Corporal fibrosis usually occurs after explantation of an infected
penile prosthesis, severe penile trauma, refractory low-flow priapism, Peyronie's
disease, or the chronic intracavernous injection of vasoactive drugs. METHODS: We
analysed current treatmentss for penile fibrosis. We searched PubMed using the
keywords 'penile corporal fibrosis', 'treatment' and 'penile fibrosis', resulting
in 63 matches, of which 19 articles met the inclusion criteria. RESULTS AND
CONCLUSIONS: This review covers conservative medical therapy for corporal
fibrosis and surgical therapeutic methods. The roles of phosphodiesterase- 5
inhibitors and pentoxifylline are analysed. Surgical therapy includes
implantation of a penile prosthesis and corporal reconstruction, and these are
reviewed. Corporal fibrosis is a major problem for patients, and is associated
with severe erectile dysfunction. Conservative treatment options can be
applicable in the early phase, but simultaneous corporal reconstruction
procedures with concomitant implantation of a penile prosthesis should be
attempted in severe cases of corporal fibrosis.
PMID- 26558096
TI - Psychological and interpersonal dimensions of sexual function and dysfunction in
women: An update.
AB - INTRODUCTION: We reviewed the psychological and interpersonal dimensions of
female sexual function and dysfunction. METHODS: We identified articles published
in 1970-2013 using the keywords 'female sexual dysfunction', 'sexual desire',
'sexual arousal', 'female orgasmic disorder', 'sex therapy', 'psychotherapy',
'behaviour therapy' and 'Internet therapy'. Over 200 articles were reviewed
(Level of evidence 2b). RESULTS AND CONCLUSIONS: We identified the major
psychological variables affecting female sexual function. The outcomes of
psychological treatment interventions are reported. A collaboration between
healthcare practitioners from different disciplines is necessary in the
evaluation, treatment and education of female patients with sexual dysfunction.
The assessment of female and couples' sexual dysfunction should ideally include
an enquiry about the predisposing, precipitating, maintaining and contextual
factors.
PMID- 26558097
TI - Integrating psychotherapy and pharmacotherapy in the treatment of premature
ejaculation.
AB - OBJECTIVES: To describe the different approaches to the treatment of premature
ejaculation (PE), with a final focus on integrated treatment, as conventional
theories and therapies for PE are based on an organic or psychogenic dichotomy.
METHODS: We list the principal hypotheses of the causes and therapy of PE on the
basis of psychological and medical perspectives, after identifying all relevant
studies available on Medline up to 2012. RESULTS: The cognitive feedback from PE
can lead to a 'performance anxiety', which can combine with other conditions to
further impair ejaculatory control. For these reasons, a psychological approach
is always useful in treating PE, the most useful of which are sex therapy and
behavioural therapy. For pharmacological treatment, reports suggest that
dapoxetine (60 mg) significantly improves the control of the ejaculatory reflex,
and it thus represents the first-line officially approved pharmacotherapy for PE.
CONCLUSIONS: A holistic approach which considers the biological, psychological
and relational aspects is the advised treatment for PE. Integrated medical and
psycho-sexological therapy requires a mutual understanding of and respect for the
different disciplines involved in sexology. In this aspect two very important
roles are those of the physician and the psychologist.
PMID- 26558098
TI - Overactive bladder syndrome: Current pathophysiological concepts and therapeutic
approaches.
AB - OBJECTIVES: The overactive bladder syndrome (OAB) is a highly prevalent and
bothersome symptom complex. We review contemporary reports to provide an update
of the key aspects of its pathogenesis and the therapeutic approaches. METHODS:
The PUBMED database was searched for relevant publications in the period from 1
January 1985 to 1 May 2013, using the keywords 'overactive bladder', 'anti
muscarinics', 'beta-3 agonists', 'intravesical botulinum toxin', 'tibial nerve
stimulation and 'sacral neuromodulation'. RESULTS: In all, 33 articles were
selected for this review. OAB is very common, affecting 10-20% of the population.
It is often bothersome and frequently affects the quality of life. The current
definition of OAB remains a source of controversy. Anti-muscarinic agents remain
the mainstay of pharmacotherapy. The new beta-3 agonists have some efficacy
whilst avoiding anti-cholinergic effects, and so might benefit patients who are
unable to tolerate anti-muscarinic agents. Intravesical botulinum toxin is
recommended for patients in whom oral pharmacotherapy fails, although the optimal
parameters in terms of dosing, number of injections and injection site are yet to
be fully established. Sacral neuromodulation is another option that has a good
response in about half of patients. CONCLUSIONS: OAB remains an incompletely
understood problem that presents a significant management challenge. A range of
therapeutic options is now available for clinicians managing this problem.
PMID- 26558099
TI - Voiding dysfunction in women: How to manage it correctly.
AB - INTRODUCTION: Of women aged >40 years, 6% have voiding dysfunction (VD), but the
definition for VD in women with respect to detrusor underactivity (DU) and
bladder outlet obstruction (BOO) is not yet clear. In this review we address the
current literature to define the diagnosis and treatment of VD more accurately.
METHODS: We used the PubMed database (1975-2012) and searched for original
English-language studies using the keywords 'female voiding dysfunction',
'detrusor underactivity', 'acontractile detrusor' and 'bladder outlet obstruction
and urinary retention in women'. We sought studies including the prevalence,
aetiology, pathogenesis, diagnosis and treatment of female VD. RESULTS: In all,
20 original studies were identified using the selected search criteria, and
another 45 were extracted from the reference lists of the original papers. All
studies were selected according to their relevance to the current topic and the
most pertinent reports were incorporated into this review. CONCLUSION: Female VD
might be related to DU or/and BOO. Voiding and storage symptoms can coexist,
making the diagnosis challenging, with the need for a targeted clinical
investigation, and further evaluation by imaging and urodynamics. To date there
is no universally accepted precise diagnostic criterion to diagnose and quantify
DU and BOO in women. For therapy, a complete cure might not be possible for
patients with VD, therefore relieving the symptoms and minimising the long-term
complications associated with it should be the goal. Treatment options are
numerous and must be applied primarily according to the underlying
pathophysiology, but also considering disease-specific considerations and the
abilities and needs of the individual patient. The treatment options range from
behavioural therapy, intermittent (self-)catheterisation, and electrical
neuromodulation and neurostimulation, and up to urinary diversion in rare cases.
PMID- 26558100
TI - Male incontinence and the transobturator approach: An analysis of current
outcomes.
AB - OBJECTIVES: To provide an analysis of the outcomes in patients who have a
suburethral sling placed using the transobturator approach for the treatment of
stress urinary incontinence after radical prostatectomy, because data in this
specific area remain limited, and recent changes in male sling surgery might
improve the efficacy in men with moderate or mild incontinence. METHODS: We
evaluated the results of transobturator non-adjustable and re-adjustable sling
systems after reviewing previous reports identified using the Medline and PubMed
databases for original articles, from 2002 to 2012, using the terms
'postoperative male incontinence', 'transobturator' and 'male sling'. Of a total
of 31 articles, we reviewed the 22 related to the outcomes of the suburethral
sling with positioning by the transobturator approach. RESULTS: Currently the
only results of the transobturator approach are those relating to the AdVanceTM
device (American Medical Systems, Minnetonka, MN, USA), for which the cure rate
is ~ 60% at 20 months. The remaining devices, although innovative, were assessed
in studies for which the follow-up was too short to make a judgement.
CONCLUSIONS: It would be advisable to reserve the transobturator approach for
patients with mild and moderate incontinence, and refer those with severe
incontinence, with or without adjuvant radiotherapy after radical prostatectomy,
for treatment with an artificial urinary sphincter. More results and a long-term
follow-up are needed to evaluate the effectiveness of these devices.
PMID- 26558101
TI - Detrusor after contractions in men with lower urinary tract symptoms: Myth or
reality?
AB - OBJECTIVES: To study after contractions in men with lower urinary tract symptoms
(LUTS) related to bladder outlet obstruction (BOO), in the absence of neuropathy,
and to verify whether it is associated with the severity of symptoms or certain
filling and voiding variables. PATIENTS AND METHODS: Of 380 patients with LUTS
and who were assessed using urodynamic studies, we retrospectively analysed those
who had after contractions (ACs). Bladder overactivity was diagnosed as any
increase in the detrusor pressure of <2-s duration during the filling phase, and
an AC was diagnosed as any increase in the detrusor pressure of ?2 s after the
end of the voiding phase and complete cessation of flow. The presence of ACs was
then assessed in relation to different components of the International Prostate
Symptom Score (IPSS), using a two-tailed Levene's test, and to filling and
voiding cystometry variables, using Mann-Whitney-Wilcoxon Rank test. RESULTS: In
all, 373 of the 380 patients were included (seven had invalid voiding
cystometry); ACs were detected in 51 (13.9%). There was no statistical
significance for associations between AC and any of the variables assessed,
including individual questions of the IPSS, detrusor overactivity, cystometric
capacity, compliance, maximum urinary flow rate (Qmax), detrusor pressure at Qmax
or the maximum detrusor voiding pressure. CONCLUSION: ACs detected on voiding
cystometry of men with LUTS attributed to BOO do not seem to be related to
symptoms, or filling and voiding variables.
PMID- 26558102
TI - A quantitative comparison between free uroflow variables and urodynamic data, and
the effect of the size of urodynamic catheters on its interpretation.
AB - OBJECTIVE: To assess the effect of the urodynamic catheter on the urinary flow
rate and residual volume in various urodynamic diagnoses, and compare the outcome
when using a smaller catheter, as the effect of this catheter on free uroflow
variables is mostly studied in patients with bladder outlet obstruction (BOO) and
little is known about its effect in other urodynamic diagnoses. PATIENTS AND
METHODS: In all, 319 men undergoing a pressure-flow study (PFS) with a 5 F
filling and 5 F measuring bladder catheter were subdivided into three groups
based on a urodynamic diagnosis, i.e. normal PFS (group 1), BOO (group 2) and
detrusor underactivity (DU, group 3). Another group (4) comprised 61 patients who
had a PFS with the filling catheter removed before the voiding phase. The effect
of the catheters on the maximum urinary flow rate (Qmax) and the postvoid
residual volume (PVR) was analysed statistically and compared among the groups.
We also compared the free-flow variables with the clinical and urodynamic
variables. RESULTS: Groups 1-3 (with two catheters) had a significantly lower
Qmax and higher PVR than those voiding with one catheter (group 4). The reduction
in Qmax was highest in group 3 (41.9%) and least in group 2 (21%). Group 4 showed
no significant change in Qmax in cases with BOO and a normal PFS but a
significant decline in those with DU (19.6%). The PVR was positively associated
with the bladder capacity and negatively with detrusor contractility, but no
association with a urodynamic diagnosis of BOO or any specific symptom.
CONCLUSION: Detrusor contractility was the strongest predictor of the obstructive
effect caused by the catheter. This study justifies the use of a single 5 F
catheter at the time of voiding, although that can also cause a reduction in flow
in patients with DU.
PMID- 26558103
TI - The satisfaction of patients with refractory idiopathic overactive bladder with
onabotulinumtoxinA and augmentation cystoplasty.
AB - OBJECTIVE: To assess the satisfaction of patients with refractory idiopathic
overactive bladder (OAB) with two treatment methods, onabotulinumtoxinA (oBTX)
and augmentation ileocystoplasty (AC). PATIENTS AND METHODS: This prospective
study included patients with refractory idiopathic OAB for >6 months and a
urodynamic diagnosis of OAB. Oral pharmacotherapy had failed in all patients.
Patients with any suspected neurological disorder were excluded. Before the
procedure, patients completed the Urogenital Distress Inventory (UDI-6) and
modified Incontinence Impact Questionnaire (IIQ-7), a neurological evaluation, a
urodynamic study and their postvoid residual urine volume was measured. Patients
were assigned to receive oBTX or AC, depending on patient's preference. Follow-up
visits were at 6 weeks and 3 and 6 months after the procedure. The OAB
Satisfaction questionnaire (OAB-SAT-q) was used to assess satisfaction after the
procedure. RESULTS: In all, 31 patients with refractory OAB were included, 16 in
the oBTX group and 15 in the AC group. There was no significant difference
between the groups in mean age, baseline OAB symptoms and urodynamic values.
There were significant improvements in urinary symptoms (UDI-6) and quality of
life (IIQ-7) after both procedures (except in the domain enquiring about
difficulty, which significantly worsened after AC). Of the 16 patients, 15/16 and
seven of 15 were completely dry after AC and oBTX, respectively. The overall and
individual scores of the OAB-SAT-q were significantly higher among patients
treated with AC than with oBTX. The incidence of the de novo need to use clean
intermittent catheterisation after oBTX and AC was two of 16 and four of 15,
respectively. CONCLUSIONS: Both procedures are effective in improving the
symptoms of OAB and of quality of life, but patients were more satisfied with AC
than oBTX therapy.
PMID- 26558104
TI - The advantages of the ventral approach to bulbar urethroplasty.
AB - BACKGROUND: Several surgical techniques have been described for the treatment of
bulbar urethral strictures, and the main goal of modern surgery is to reduce
morbidity and obtain the best outcome with the fewest complications. Currently,
the superiority of one surgical technique over another has not yet been clearly
defined. METHODS: We analysed the historical background, advantages and
disadvantages of several urethral approaches and graft placements, with the aim
of focusing on the advantages of the ventral approach. CONCLUSIONS: For short
bulbar strictures (<2 cm) the traditionally advocated method is excision and end
to-end anastomosis, whilst for longer strictures, in the last decade, the patch
graft urethroplasty has been used, with buccal mucosa advocated as the standard
material of substitution. Our analysis showed that the approach (dorsal vs.
ventral) to the bulbar urethral lumen and the location of the patch (dorsal vs.
ventral) are contentious issues. Overall, surgeons tend to use techniques that
are easy, quick and give excellent outcomes with few complications. The graft
urethroplasty using the ventral approach fulfils these requirements.
PMID- 26558106
TI - Statistical superiority sometimes makes little clinical sense.
PMID- 26558105
TI - The role of an abnormal prostate-specific antigen level and an abnormal digital
rectal examination in the diagnosis of prostate cancer: A cross-sectional study
in Qatar.
AB - OBJECTIVE: To investigate the role of an abnormal prostate-specific antigen (PSA)
level and abnormal findings on a digital rectal examination (DRE) in the
detection of prostate cancer in men in Qatar. PATIENTS AND METHODS: Between June
2008 and September 2012, 651 patients had a transrectal ultrasonography-guided
biopsy of the prostate (TRUSBP) at our centre. The indications for a biopsy were
a high PSA level (>4 ng/mL), or an abnormal DRE result. Patients were assessed by
a thorough history, clinical examination and routine laboratory investigations.
Data, including age, DRE findings, TRUS findings, total PSA level, prostate
volume and the pathology results, were evaluated. RESULTS: The mean (SD) age of
the 651 patients was 64.1 (7.4) years. Prostate cancer was detected in 181 men
(27.8%), benign prostatic hyperplasia in 275 (42.2%) and prostatitis in 236
(36.4%). The sensitivity and specificity for detecting prostate cancer were 93.9%
and 8.5% for an abnormal PSA level (>4 ng/mL), 46.1% and 84.7% for abnormal DRE
findings, and 95% and 30.2% for the two combined. Using a receiver operating
characteristics curve, a PSA threshold of 7.9 ng/mL had a sensitivity of 56.6%
and specificity of 52.8%. When a PSA threshold of 7.9 ng/mL was used in
combination with abnormal DRE findings, the overall accuracy was 76.9%.
CONCLUSION: The PSA threshold level of 7.9 ng/mL, determined by this analysis,
has a higher likelihood of detecting prostate cancer in men in Qatar. However, it
failed to detect cancer in substantially many men with statistically significant
disease.
PMID- 26558107
TI - Transvesical open prostatectomy for benign prostatic hyperplasia in the era of
minimally invasive surgery: Perioperative outcomes of a contemporary series.
AB - OBJECTIVE: To assess the perioperative morbidity of transvesical open
prostatectomy (OP) and its predictors as a treatment for benign prostatic
hyperplasia (BPH), and to update knowledge about the morbidity of OP using a
standardised morbidity scale (Clavien), thus providing a platform for comparison
with the newly developed techniques. PATIENTS AND METHODS: We retrospectively
review men with BPH who were treated with transvesical OP between April 2002 and
December 2012. Preoperative patients' data were reviewed for relevant variables.
Operative details, the postoperative course, and 30-day relevant data were
assessed. The study cohort was stratified based on the resected prostate weight,
with group 1 having a resected weight of ?120 g and group 2 >120 g. RESULTS: The
review identified 163 patients. The mean (SD, range) duration of catheterisation
after OP was 7.9 (2.2, 5-20) days and the duration of hospitalisation after OP
was 8.1 (1.8, 5-15) days; both were significantly longer in group 2. All patients
were able to void spontaneously by the first follow-up visit. Of 163 OP
procedures, there were 106 perioperative complications in 69 (42.3%). Low-grade
complications (grade ?2) included 38 (45.2%) and 53 (67%) in groups 1 and 2,
respectively (P = 0.8). High-grade complications (?3) included 3 (3.5%) and 12
(15.1%) in groups 1 and 2, respectively (P = 0.02). The blood transfusion rate
was 24.5%, the perioperative mortality rate was 1.2% and the re-admission rate
within the first 30 days after OP was 1.2%. High-grade complications were
significantly associated with a greater resected prostate weight (odds ratio
1.08, 95% CI 1.001-1.17, P = 0.046). CONCLUSION: The OP procedure is associated
with a significant perioperative morbidity that correlated significantly with the
resected prostate weight, especially for high-grade complications.
PMID- 26558108
TI - Reconstructive surgery for idealising penile shape and restoring erectile
function in patients with penile dysmorphology and erectile dysfunction.
AB - OBJECTIVE: To report an innovative combination of two surgical procedures to
treat patients with erectile dysfunction and penile deviation, arising from
advances in penile anatomy. PATIENTS AND METHODS: From October 1998 to October
2011, 132 men (aged 23-39 years) underwent penile venous stripping and
corporoplasty. Of these, 37 were allocated to a transverse and 95 to a
longitudinal group, with an infrapubic transverse or pubic median longitudinal
approach, respectively. The abridged five-item version of the International Index
of Erectile Function (IIEF-5) and cavernosography were used for assessment, as
necessary. Under acupuncture-aided local anaesthesia, and after a circumferential
incision, the deep dorsal vein and cavernous veins were completely stripped, with
6-0 Nylon sutures for ligation, followed by tunical surgery for correcting the
penile shape. RESULTS: In the transverse and longitudinal groups the mean (SD)
duration of surgery was 4.6 (0.2) and 4.8 (0.3) h, respectively. Before surgery
the mean (SD) IIEF-5 score was 9.4 (2.3) and 9.6 (2.1), which increased to 20.6
(2.4) and 20.8 (2.7), respectively, after surgery. The penile shape (<15 degrees
) was deemed satisfactory in 92% (34/37) and 96% (91/95) of patients in the
transverse and longitudinal groups, respectively. The cavernosograms consistently
showed a good penile shape. There were significant differences in the mean (SD)
duration of penile oedema, at 3.2 (1.6) vs. 11.9 (2.1) days, the overall
satisfaction rate and the prevalence of hypertrophied scarring (all P < 0.001).
CONCLUSION: This combination of unique penile venous stripping with a pubic
median longitudinal approach and an anatomy-based corporoplasty is ideally suited
to the simultaneous restoration of penile erectile function and morphological
reconstruction.
PMID- 26558109
TI - The venous drainage of the corpora cavernosa in the human penis.
AB - OBJECTIVE: To study the drainage proportions from the corpora cavernosa in
defrosted human cadavers, as the veins related to penile erection were recently
depicted to comprise the deep dorsal vein (DDV), a pair of cavernous veins (CVs)
and two pairs of para-arterial veins (PAVs), as opposed to a single DDV between
Buck's fascia and the tunica albuginea of the human penis. MATERIALS AND METHODS:
With no formalin fixation, 10 defrosted male human cadavers were used for this
study. After injecting a 10% solution of colloid, and with the intracavernous
pressure (ICP) fixed at 90 mmHg, the perfusion rate was recorded before and after
the DDV, CVs and PAVs were removed, respectively. Finally, measurements were
again recorded after penile arterial ligation. Cavernosography was used if
required. RESULTS: The mean (range) perfusion rate for maintaining the ICP at 90
mmHg was 30.2 (15.5-90.8) mL/min, whereas the arterial perfusion rate was 2.8
(0.3-3.9) mL/min. The mean (range) drainage proportion of the corpora cavernosa
was 60.5 (50.3-69.7)%, 11.9 (5.8-22.9)% and 11.4 (5.2-15.0)% via the DDV, CVs and
PAVs, respectively. The remaining drainage proportion was 15.6 (14.1-18.1)%. This
study shows the separate drainage contributions of the DDV, CVs and PAVs to the
corpora cavernosa of the human penis. CONCLUSION: We conclude that the venous
drainage system of the corpora cavernosa is much more complex than the previous
depictions of it, and the consequent focus on a single DDV. This also shows the
independent role of each venous system.
PMID- 26558110
TI - On-demand use of tramadol, sildenafil, paroxetine and local anaesthetics for the
management of premature ejaculation: A randomised placebo-controlled clinical
trial.
AB - OBJECTIVES: To compare the clinical efficacy of the on-demand use of four drugs
in the management of patients with premature ejaculation (PE), as the off-label
use of selective serotonin-reuptake inhibitors and topical penile anaesthetics is
frequently indicated for the management of patients with PE, and tramadol HCl and
sildenafil citrate were also tried for managing this disorder, but with
recommendations based on weak evidence. PATIENTS AND METHODS: This was a single
centre, single-blind, placebo-controlled clinical trial conducted on 150 patients
who had PE for >1 year. Patients were randomised equally into five groups. On
demand tramadol, sildenafil, paroxetine, local lidocaine gel or placebo was given
for patients in groups 1-5, respectively. During the month before treatment, the
intravaginal ejaculation latency time (IELT) and sexual satisfaction scores (on a
0-5-point scale) were measured and compared to the mean IELT and sexual
satisfaction scores recorded during 4 weeks of on-demand drug administration,
with monitoring of any possible side-effects. RESULTS: Tramadol-treated patients
had a significantly longer mean (SD) IELT, of 351 (119) s, than the other groups.
Local anaesthetic was significantly better than paroxetine in prolonging the
IELT, at 278 (111) vs. 186 (65) s, respectively. The improvement in sexual
satisfaction was significantly better in the sildenafil group, with a mean (SD)
improvement of 2.9 (1) points, than in the paroxetine and local anaesthetic
groups, at 2.2 (0.9) and 1.9 (0.9) points, respectively. CONCLUSIONS: The four
drugs significantly improved IELT values over placebo. Tramadol was associated
with significantly longer IELT values, whilst sildenafil induced significantly
better sexual satisfaction than the other drugs. The four drugs had tolerable
side-effects.
PMID- 26558111
TI - The efficacy of tamsulosin therapy after extracorporeal shock-wave lithotripsy
for ureteric calculi: A prospective randomised, controlled study.
AB - OBJECTIVE: To evaluate whether tamsulosin hydrochloride is effective as an
adjunctive medical therapy to increase the effectiveness of extracorporeal shock
wave lithotripsy (ESWL) for treating ureteric stones, and minimises the use of
analgesic drugs after the procedure. PATIENTS AND METHODS: To treat single
ureteric stones of 5-15 mm in diameter, 130 patients were treated with ESWL.
After treatment, equal numbers of patients were randomly assigned to receive
either the standard medical therapy alone (controls) or combined with 0.4 mg
tamsulosin daily for ?12 weeks. All patients were followed up for 3 months or
until an alternative treatment was offered. RESULTS: At 3 months the treatment
was considered to be clinically successful in 55/65 (85%) of those receiving
tamsulosin and in 58/65 (89%) of the controls (P = 0.34). When patients were
classified according to stone size the success rate was similar in both groups (P
= 0.22) for those with a stone of >10 mm. However, ureteric colic was reported in
12% of patients treated with standard therapy but in only 5% of those treated
with tamsulosin (P = 0.006). The mean cumulative diclofenac dose was 380
mg/patient in the tamsulosin group and 750 mg/patient in the control group (P =
0.004). CONCLUSIONS: This study showed the effectiveness of tamsulosin as an
adjunctive medical therapy after ESWL for ureteric stones, but it did not improve
stone clearance when treating ureteric stones. However, it decreased the use of
analgesics and reduced the complication rate, especially for steinstrasse.
PMID- 26558112
TI - The efficacy of tamsulosin vs. nifedipine for the medical expulsive therapy of
distal ureteric stones: A randomised clinical trial.
AB - OBJECTIVES: To assess and compare, in a randomised clinical trial, the efficacy
of tamsulosin and nifedipine as medical expulsive therapy for distal
ureterolithiasis. PATIENTS AND METHODS: In all, 128 symptomatic patients with
stones in the juxtavesical tract of the ureter were randomly divided into group 1
(64 patients) receiving oral nifedipine sustained-release 30 mg/day, and group 2
(64 patients) receiving tamsulosin 0.4 mg/day. Both groups received oral
prednisolone 30 mg/day for 10 days and diclofenac 75 mg intramuscularly on
demand. Patients were assessed by weekly ultrasonography with or with no
abdominal computed tomography, during a follow-up of 4 weeks. The stone passage
rate and time, analgesic use, hospitalisation and endoscopic interventions were
evaluated. The results were analysed statistically using appropriate tests.
RESULTS: The stone expulsion rate was 55% for group 1 and 80% for group 2 (P =
0.004). The mean stone size was 8.59 and 8.85 mm in groups 1 and 2, respectively.
The mean expulsion time was 23 days for group 1 and 9 days for group 2 (P <
0.001). The mean number of diclofenac injections was 1.19 for group 1 and 0.42
for group 2 (P < 0.001). Eleven patients in group 1 vs. two in group 2 were
hospitalised (P = 0.001). Twenty-six patients in group 1 and 13 in group 2
underwent ureteroscopy (P < 0.001). CONCLUSIONS: Medical expulsive therapy with
tamsulosin should be considered as a first-line treatment for index cases of
distal ureterolithiasis with no complications. The use of tamsulosin provides
better stone expulsion than does nifedipine.
PMID- 26558113
TI - The long-term results of laparoscopic retroperitoneal pyeloplasty in adults.
AB - OBJECTIVES: To report the long-term outcome of laparoscopic retroperitoneal
pyeloplasty (LRP) in adults. PATIENTS AND METHODS: Thirty patients underwent LRP
for primary pelvi-ureteric junction obstruction (PUJO). Anderson-Hynes
dismembered pyeloplasty was used in 28 patients and a Foley Y-V pyeloplasty in
two. A JJ stent was inserted antegradely during the procedure. Patients were
reviewed at 1 month after LRP for stent removal, and then at 6 and 12 months
routinely, using excretory urography. RESULTS: The mean patient age was 29.7
years, with a female predominance of 60%. Conversion to open surgery was mandated
by dense adhesions secondary to previous pyelonephritis in three patients, and
difficulty in suturing in one. The mean (range) operative duration was 228 (190
280) min. There was a crossing vessel in 11 patients and it was not transposed in
any. The mean hospital stay after LRP was 4.2 days. The mean (range) follow-up
was 60 (29-106) months. Of the 26 patients who had complete laparoscopic
procedures, 23 had no evidence of obstruction on long-term postoperative
intravenous urography and/or diuretic renography. CONCLUSION: LRP combines the
high functional success rate of open pyeloplasty in the long term and the
minimally invasive morbidity of laparoscopy.
PMID- 26558114
TI - The use of small intestinal submucosa graft for hypospadias repair: Pilot study.
AB - OBJECTIVE: To evaluate the outcome of using commercially available (SIS) grafts
for repairing hypospadias. Collagen-based acellular matrices, including SIS and
bladder submucosa matrix, have been used to repair urethral strictures, with
varying success, and patients with hypospadias and with inadequate or no genital
skin need a substitute tissue for urethroplasty. PATIENTS AND METHODS: This pilot
study included 12 patients (mean age 8 years, range 1.5-15) with hypospadias
(distal in six, mid-shaft in four and proximal in two). They underwent a repair
with four layers of prefabricated SIS as an onlay graft. The outcome was assessed
for cosmetic appearance, urinary stream and the postvoid residual volume. The chi
squared and Mann-Whitney U-tests were used to assess the relationship between
preoperative factors and the outcome of the repair with SIS grafting. RESULTS:
The mean (range) follow-up was 23 (6-36) months. Nine patients ultimately voided
normally, with a good cosmetic appearance and no postvoid residual urine. Six
patients had a successful repair with no further intervention, whilst three had
small fistulae that were treated by simple closure. In three patients the graft
failed, by complete disruption or stricture. Graft infection adversely affected
the outcome of SIS grafting. CONCLUSIONS: The prefabricated SIS graft can be used
as an alternative substitute for urethral reconstruction when genital skin is
insufficient or lacking, as in circumcised patients or a repeat hypospadias
repair. Graft infection is the chief reason for graft failure and should be
prevented. Further studies with more patients are needed to confirm these
preliminary results.
PMID- 26558115
TI - Corrigendum to "Acute Amiodarone Pulmonary Toxicity after Drug Holiday: A Case
Report and Review of the Literature".
AB - [This corrects the article DOI: 10.1155/2015/927438.].
PMID- 26558116
TI - Nonclassical Congenital Adrenal Hyperplasia and Pregnancy.
AB - Objective. The most common form of congenital adrenal hyperplasia (CAH) is 21
hydroxylase (21-OH) deficiency due to mutation of the CYP21A2 gene. Patients with
nonclassical CAH (NC-CAH) are usually asymptomatic at birth and typically present
in late childhood, adolescence, or adulthood with symptoms of excessive androgen
secretion. Subfertility is relative in NC-CAH, but the incidence of spontaneous
miscarriage is higher. Here, we report a previously undiagnosed female who gave
birth to a normal male child and is planning to become pregnant again. Case
Report. A 32-year-old female was referred to our clinic for obesity. Her medical
history revealed that she had had three pregnancies. She was planning to become
pregnant again. Her laboratory results revealed that she had NC-CAH. Since her
husband is the son of her aunt and she had miscarriages and intrauterin exitus in
her history, their genetic analyses were performed. Conclusion. Since most
patients with NC-CAH have a severe mutation, these patients may give birth to a
child with the classical CAH (C-CAH) if their partner is also carrying a severe
mutation. Females with NC-CAH who desire pregnancy must be aware of the risk of
having an infant with C-CAH.
PMID- 26558117
TI - Successful Treatment of Leukemic Mature B-Cell Lymphoid Neoplasm with Similar
Features to Splenic Marginal Zone Lymphoma Possessing Aberrant Myeloid Markers.
AB - In splenic marginal zone lymphoma (SMZL), there are cases that cannot accurately
be classified as such because of overlapping morphologic and/or immunophenotypic
features. We report here a 76-year-old Japanese female, who showed leukemic B
cell lymphoproliferative disease possessing characteristic features identified
for SMZL. The patient was leukemic with white blood cell counts 49,400/uL
(abnormal cells, 78.5%) and neoplastic cells were characterized by aberrant
expression of myeloid markers with CD19(+)CD13(+) (64.2%) and CD20(+)CD11c(+)
(25.1%). Considering her history of previous chemotherapy and systemic leukemic
phase of the disease, we treated the patient without performing splenectomy, with
successful use of a combination of rituximab/bendamustine hydrochloride and of
rituximab/cladribine. The patient has been in a complete remission longer than 44
months, with no detectable M-protein.
PMID- 26558118
TI - Listeria monocytogenes Meningitis in an Immunosuppressed Patient with Autoimmune
Hepatitis and IgG4 Subclass Deficiency.
AB - A 51-year-old Caucasian woman with Listeria monocytogenes meningitis was treated
and discharged after an uncomplicated course. Her medical history included
immunosuppressive treatment with prednisolone and azathioprine for autoimmune
hepatitis. A diagnostic work-up after the meningitis episode revealed that she
had low levels of the IgG4 subclass. To our knowledge, this is the first case
report describing a possible association between autoimmune hepatitis and the
occurrence of Listeria monocytogenes meningitis, describing a possible
association between Listeria monocytogenes meningitis and deficiency of the IgG4
subclass and finally describing a possible association between Listeria
monocytogenes meningitis and immunosuppressive therapy with prednisolone and
azathioprine.
PMID- 26558119
TI - Recurrent Candida albicans Ventriculitis Treated with Intraventricular Liposomal
Amphotericin B.
AB - Central nervous system (CNS) infection with Candida is rare but significant
because of its high morbidity and mortality. When present, it is commonly seen
among immunocompromised and hospitalized patients. Herein, we describe a case of
a four-year-old boy with acute lymphoblastic leukemia (ALL) who experienced
recurrent Candida albicans meningitis. The patient was treated successfully with
intravenous liposomal amphotericin B at first attack, but 25 days after discharge
he was readmitted to hospital with symptoms of meningitis. Candida albicans was
grown in CFS culture again and cranial magnetic resonance imaging (MRI) showed
ventriculitis. We administered liposomal amphotericin B both intravenously and
intraventricularly and favorable result was achieved without any adverse effects.
Intraventricular amphotericin B may be considered for the treatment of recurrent
CNS Candida infections in addition to intravenous administration.
PMID- 26558120
TI - Pauci-Immune Necrotizing and Crescentic Glomerulonephritis with Membranous Lupus
Nephritis, Fifteen Years after Initial Diagnosis of Secondary Membranous
Nephropathy.
AB - Renal involvement in systemic lupus erythematosus (SLE) is usually immune complex
mediated and may have multiple different presentations. Pauci-immune necrotizing
and crescentic glomerulonephritis (NCGN) refers to extensive glomerular
inflammation with few or no immune deposits that may result in rapid decline in
renal function. We report a case of a 79-year-old Hispanic male with a history of
secondary membranous nephropathy (diagnosed by renal biopsy 15 years previously)
who was admitted with acute kidney injury and active urinary sediment. P-ANCA
titers and anti-myeloperoxidase antibodies were positive. The renal biopsy was
diagnostic for NCGN superimposed on a secondary membranous nephropathy. A
previous diagnosis of SLE based on American College of Rheumatology criteria was
discovered via Veteran's Administration records review after the completion of
treatment for pauci-immune NCGN. ANCAs are detected in 20-31% of patients with
SLE. There may be an association between SLE and ANCA seropositivity. In patients
with lupus nephritis and biopsy findings of necrotizing and crescentic
glomerulonephritis, without significant immune complex deposition, ANCA testing
should be performed. In patients with secondary membranous nephropathy SLE should
be excluded.
PMID- 26558121
TI - Prenatal Diagnosis of Cardiac Diverticulum with Pericardial Effusion in the First
Trimester of Pregnancy with Resolution after Early Pericardiocentesis.
AB - Cardiac diverticulum is a rare anomaly, which may present in association with
pericardial effusion. Only few cases diagnosed during fetal life have been
published and only in 12 cases pericardiocentesis was made with good postnatal
outcomes in 83% of the cases. In the first trimester of pregnancy only 6 cases
were reported. We described the largest series of cases published. We describe a
case of cardiac diverticulum complicated with pericardial effusion during the
first trimester of pregnancy and resolved by intrauterine pericardiocentesis at
17 weeks of pregnancy. We made a systematic review of the literature with the
cases reported of cardiac diverticulum, management, and outcomes.
PMID- 26558122
TI - Abdominal Sarcoidosis May Mimic Peritoneal Carcinomatosis.
AB - Sarcoidosis is a multisystem inflammatory disorder of unknown etiology. It shows
a great variety of clinical presentation, organ involvement, and disease
progression. Lungs and lymphoid system are the most common sites involved with a
frequency of 90% and 30%, respectively. Extrapulmonary involvement of sarcoidosis
is reported in 30% of patients and abdomen is the most frequent site.
Furthermore, peritoneal involvement is extremely rare in sarcoidosis. The case
presented here described peritoneal manifestations of sarcoidosis without
involvement of lungs. A 78-year-old woman possessing signs of malignancy on blood
test and abdominal magnetic resonance imaging underwent laparatomy with a
suspicion of ovarian malignancy. The macroscopic interpretation during surgery
was peritoneal carcinomatosis. Total abdominal hysterectomy, bilateral salpingo
oophorectomy, peritoneal biopsies, total omentectomy, and appendectomy were
performed. Final histopathological result revealed the diagnosis of sarcoidosis.
Clinicians must keep in mind that peritoneal sarcoidosis can mimic intra
abdominal malignancies.
PMID- 26558123
TI - Endometrioid Paraovarian Borderline Cystic Tumor in an Infant with Proteus
Syndrome.
AB - Ovarian and paraovarian neoplasms are uncommon in children, mainly originating
from germ cell tumors and, least frequently, epithelial tumors. There is an
association between genital tract tumors and Proteus syndrome, a rare, sporadic,
and progressive entity, characterized by a postnatal overgrowth in several
tissues caused by a mosaic mutation in the AKT1 gene. We describe a 20-month-old
asymptomatic infant with Proteus syndrome who developed an endometrioid
paraovarian borderline cystic tumor. This is the youngest patient so far reported
in the literature with this rare syndrome and an adnexal tumor of borderline
malignancy. A total of nine patients have been described with female tract tumors
and associated Proteus syndrome, which includes bilateral ovarian cystadenomas
and other benign masses. A paraovarian neoplasm is extremely rare in children and
could be considered a criterion for Proteus syndrome. Standardized staging and
treatment of these tumors are not well established; however, most authors
conclude that these neoplasms must be treated as their ovarian counterparts.
PMID- 26558125
TI - Secondary Radial Nerve Palsy after Minimally Invasive Plate Osteosynthesis of a
Distal Humeral Shaft Fracture.
AB - Minimally invasive plate osteosynthesis is a widely used procedure for the
treatment of fractures of the femur and the tibia. For a short time it is also
used for the treatment of humeral shaft fractures. Among other advantages, the
ambassadors of this technique emphasize the lower risk of nerve injuries when
compared to open reduction and internal fixation. We report the case of secondary
radial nerve palsy caused by percutaneous fixation of a plate above the
antecubital fold. The nerve did not recover and the patient needed a tendon
transfer to regain active extension of the fingers. This case points to the
importance of adequate exposure of the bone and plate if a humeral shaft fracture
extends far distally.
PMID- 26558124
TI - Migrating Polyarthritis as a Feature of Occult Malignancy: 2 Case Reports and a
Review of the Literature.
AB - Malignant disease may be associated with a wide variety of musculoskeletal
syndromes. Rarely the musculoskeletal system can be indirectly affected by
paraneoplastic phenomena, such as carcinomatous polyarthritis (CP). The
differential diagnosis for CP is broad and is often a diagnosis of exclusion. CP
often presents similarly to other forms of inflammatory arthritis, and a detailed
history and physical examination can often distinguish CP from other more common
causes of polyarticular arthritis. However serological tests such as rheumatoid
factor (RF) and anti-citrullinated peptide (anti-CCP) antibody positivity, while
rare, can be misleading. Clinical awareness and suspicion are paramount in
achieving an accurate diagnosis and early detection of an occult neoplasm is
critical for prompt management and therapy. We report two cases presenting with
this unique clinical phenotype associated with paraneoplastic polyarthropathy and
review the literature.
PMID- 26558126
TI - A Rare Differential Diagnosis of a Nasal Tumor: Case Report and Literature
Review.
AB - Vascular leiomyomas or angioleiomyomas are rare tumors that can be found in the
nasal cavity. The etiology of angioleiomyoma remains poorly understood and there
are several hypotheses to explain the origin of sinonasal leiomyoma. We here
describe the clinical and histological findings in a case study along with the
feasibility of surgical treatment using a radiofrequency instrument. In
particular, we describe the case of an adult patient with recurrent epistaxis
because of a nasal angioleiomyoma and the performed treatment in the form of
complete surgical excision. Radiological imaging is a helpful tool to give an
indication of the extension of the tumor, as well as for the proper planning of
the surgical approach. Either MRI or CT scans are found to be best suited for
this purpose. This case report recommends the complete surgical excision of the
angioleiomyoma, by either an endoscopic or an open procedure. This can be safely
performed using a radiofrequency instrument as shown in this case with no
recurrence during a follow-up of 12 months.
PMID- 26558127
TI - Postdural Puncture Superior Sagittal Sinus Thrombosis in a Juvenile Case of
Clinically Isolated Syndrome.
AB - Background. The causes of cerebral venous thrombosis (CVT) are manifold as is its
clinical presentation. Case. We report the case of a CVT following lumbar
puncture and intravenous glucocorticosteroid therapy in a female adolescent with
a clinically isolated syndrome and risk factors for thrombosis. Conclusion. In
adolescent patients with acute inflammatory disease undergoing lumbar puncture
followed by intravenous high-dose glucocorticosteroid therapy, one should be
aware of the elevated risk for thrombosis. A persistent headache with change in
the headache pattern and loss of a postural component might be a sign for CVT,
requiring emergency imaging of the brain.
PMID- 26558128
TI - Corrigendum to "Two Mutations in Surfactant Protein C Gene Associated with
Neonatal Respiratory Distress".
AB - [This corrects the article DOI: 10.1155/2015/591783.].
PMID- 26558129
TI - Posttraumatic Intradiploic Leptomeningeal Cyst: A Rare Complication of Head
Trauma.
AB - Posttraumatic intradiploic leptomeningeal cyst is an exceedingly uncommon
complication of skull fracture in childhood with only about twenty-one cases
described in literature till now. We report 2 such cases of intradiploic
leptomeningeal cyst of occipital bone in two 17- and 21-year-old males presenting
with headache with history of occipital bone fracture in childhood and briefly
discuss its pathogenesis and differential diagnosis.
PMID- 26558130
TI - Ileocaecal Intussusception with a Lead Point: Unusual MDCT Findings of Active
Crohn's Disease Involving the Appendix.
AB - Adult intussusception is a rare entity accounting for 1% of all bowel
obstructions. Unlike intussusceptions in children, which are idiopathic in 90% of
cases, adult intussusceptions have an identifiable cause (lead point) in the
majority of cases. Crohn's disease (CD) may affect any part of the
gastrointestinal tract, including the appendix. It was shown to be a predisposing
factor for intussusception. Here, we report a rare case of adult intussusception
with a lead point, emphasizing diagnostic input of multidetector computed
tomography (MDCT) in a patient with active CD that involves the appendix.
PMID- 26558131
TI - Insulinoma-Induced Hypoglycemia in a Patient with Insulinoma after
Gastrojejunostomy for Prepyloric Ulcer.
AB - Hyperinsulinism due to dumping syndrome following gastric surgery is an uncommon
condition. It is specified with hypoglycemic attacks. However, linking symptoms
to dumping syndrome in each patient to whom gastric surgery was performed leads
to inappropriate diagnosis and therapy. Insulinoma and other causes that give
rise to hyperinsulinemia should not be ignored and these diagnoses should be
excluded. In this paper, 71-year-old male patient who was followed up for 2 years
with a false conclusion of dumping syndrome and operated on due to insulinoma
diagnosed at endoscopic ultrasonography is presented in the light of the
literature.
PMID- 26558132
TI - Treatment with Aortic Stent Graft Placement for Stanford B-Type Aortic Dissection
in a Patient with an Aberrant Right Subclavian Artery.
AB - A 71-year-old man visited our hospital with the chief complaint of back pain and
was diagnosed with acute aortic dissection (Debakey type III, Stanford type B).
He was found to have a variant branching pattern in which the right subclavian
artery was the fourth branch of the aorta. We performed conservative management
for uncomplicated Stanford type B aortic dissection, and the patient was
discharged. An ulcer-like projection (ULP) was discovered during outpatient
follow-up. Complicated type B aortic dissection was suspected, and we performed
thoracic endovascular aortic repair (TEVAR). The aim of operative treatment was
ULP closure; thus we placed two stent grafts in the descending aorta from the
distal portion of the right subclavian artery. The patient was released without
complications on postoperative day 5. Deliberate sizing and examination of
placement location were necessary when placing the stent graft, but operative
techniques allowed the procedure to be safely completed.
PMID- 26558133
TI - The Association between the PR Interval and Left Ventricular Measurements in the
Multiethnic Study of Atherosclerosis.
AB - Introduction. Few studies have examined the association between the PR interval
(PRi) and subclinical cardiovascular disease measures. Methods and Results. The
Multiethnic Study of Atherosclerosis (MESA) is a population-based study of 6814
men and women aged 45-84 years without clinical cardiovascular disease and 4962
had complete baseline data on cardiac magnetic resonance imaging measures of LV
dimension and ejection fraction and surface electrocardiogram. Linear regression
models were constructed to determine the adjusted association between the PRi and
measures of LV stroke volume, LV mass, LV end-systolic and end-diastolic volumes,
and ejection fraction. Overall, mean age was 61.5 years, and 47.6% were male and
race/ethnicity was white in 39.1%, Chinese in 13.1%, African-American in 25.7%,
and Hispanic in 22.2%. The PRi ranged from 88 to 308 ms with a median value of
162 ms. As a continuous variable, every standard deviation unit (25 ms) increment
in PRi was associated with a 2.00 mL (95% CI 1.52, 2.48) higher stroke volume, a
3.08 g (95% CI 2.30, 3.86) higher LV mass, a 1.36 g/m(2) (95% CI 0.96, 1.76)
higher LV mass index, and 1.31 mL (95% CI 0.88, 1.73) higher end-systolic and
3.31 mL (95% CI 2.58, 4.03) higher end-diastolic volumes after adjustment for all
covariates. No significant association was noted between the PRi and LV ejection
fraction. Conclusions. A prolonged PRi is associated with LV measures and may in
part explain the link between a prolonged PRi and cardiovascular outcomes.
PMID- 26558134
TI - Articulatory Changes in Vowel Production following STN DBS and Levodopa Intake in
Parkinson's Disease.
AB - Purpose. To investigate the impact of deep brain stimulation of the subthalamic
nucleus (STN DBS) and levodopa intake on vowel articulation in dysarthric
speakers with Parkinson's disease (PD). Methods. Vowel articulation was assessed
in seven Quebec French speakers diagnosed with idiopathic PD who underwent STN
DBS. Assessments were conducted on- and off-medication, first prior to surgery
and then 1 year later. All recordings were made on-stimulation. Vowel
articulation was measured using acoustic vowel space and formant centralization
ratio. Results. Compared to the period before surgery, vowel articulation was
reduced after surgery when patients were off-medication, while it was better on
medication. The impact of levodopa intake on vowel articulation changed with STN
DBS: before surgery, levodopa impaired articulation, while it no longer had a
negative effect after surgery. Conclusions. These results indicate that while STN
DBS could lead to a direct deterioration in articulation, it may indirectly
improve it by reducing the levodopa dose required to manage motor symptoms. These
findings suggest that, with respect to speech production, STN DBS and levodopa
intake cannot be investigated separately because the two are intrinsically
linked. Along with motor symptoms, speech production should be considered when
optimizing therapeutic management of patients with PD.
PMID- 26558135
TI - Antiamnesic Effects of a Hydroethanolic Extract of Crinum macowanii on
Scopolamine-Induced Memory Impairment in Mice.
AB - Crinum macowanii has been found to contain alkaloids that have activity against
acetylcholinesterase enzyme in vitro. The present study was undertaken to
investigate the in vivo ability of hydroethanolic crude extract of Crinum
macowanii to ameliorate memory impairment induced by scopolamine. Thirty-six male
Balb/c mice weighing around 25-35 g were employed in the present investigation. Y
maze and novel object recognition apparatus served as the exteroceptive
behavioural models, and scopolamine-induced amnesia served as the interoceptive
behavioural model. C. macowanii (10, 20, and 40 mg/kg p.o.) was administered in
single doses to the mice. Donepezil (3 mg/kg p.o.) was used as a positive control
agent. C. macowanii extract reversed the amnesia induced by scopolamine as
indicated by a dose-dependent increase in spontaneous alternation performance in
the Y-maze task. C. macowanii 40 mg/kg showed significant activity (p < 0.05
versus negative control), comparable to that of the positive control. C.
macowanii also showed memory-enhancing activity against scopolamine-induced
memory deficits in the long-term memory novel object recognition performance as
indicated by a dose-dependent increase in the discrimination index. The results
indicate that the hydroethanolic extract of C. macowanii may be a useful memory
restorative mediator in the treatment of cognitive disorders such as Alzheimer's
disease.
PMID- 26558136
TI - Evaluation of Podoplanin in Oral Leukoplakia and Oral Squamous Cell Carcinoma.
AB - Background. Recent studies have demonstrated that podoplanin was expressed in
some dysplastic lesions adjacent to primary oral cancers suggesting that
podoplanin expression may occur in early oral tumorigenesis and lymphangiogenesis
and therefore is related to tumor growth. The purpose of this study is to
determine the role of podoplanin as a biomarker for cancer risk assessment in
oral leukoplakia and correlation of podoplanin expression with grades of oral
squamous cell carcinoma (OSCC). Materials and Methods. In the present
retrospective study, podoplanin expression was investigated immunohistochemically
in 40 patients each of oral leukoplakia and OSCC. The scores were analyzed
statistically using one-way ANOVA test followed by Tukey HSD. Results. By
applying one-way ANOVA test, there was a highly significant increase of the
podoplanin expression from mild to severe dysplasia and from well to poorly
differentiated OSCC (P < 0.01). Statistically highly significant difference was
present between scores of mild to moderate dysplasia, moderate to severe
dysplasia, well to poorly differentiated OSCC, and moderately to poorly
differentiated OSCC (Tukey HSD test, P < 0.01). Conclusion. Podoplanin can be
used as a biomarker for early oral tumorigenesis and for malignant transformation
risk assessment of premalignant lesions and as a tumor progression biomarker for
advanced grades of OSCC.
PMID- 26558137
TI - Knowledge, transfer, and innovation in physical literacy curricula.
AB - Literate individuals possess knowledge and skill and can apply these to perform
tasks in novel settings. Knowledge is at the heart of physical literacy and
provides the foundation for knowing what to do and how and when to perform. In
this paper I argue that physical literacy includes not only knowledge for
performance but also the ability to apply knowledge and use knowledge for
innovation. Scholars since the 1930s have addressed the role of knowledge in
physical literacy designing curricula centered on transmitting knowledge through
a range of interdisciplinary approaches to physical education. This emphasis on
physical literacy curricula continues today in the Science, PE, & Me! and The
Science of Healthful Living interdisciplinary curricula.
PMID- 26558138
TI - Juxtacrine interaction of macrophages and bone marrow stromal cells induce
interleukin-6 signals and promote cell migration.
AB - The bone marrow contains a heterogeneous milieu of cells, including macrophages,
which are key cellular mediators for resolving infection and inflammation.
Macrophages are most well known for their ability to phagocytose foreign bodies
or apoptotic cells to maintain homeostasis; however, little is known about their
function in the bone microenvironment. In the current study, we investigated the
in vitro interaction of murine macrophages and bone marrow stromal cells (BMSCs),
with focus on the juxtacrine induction of IL-6 signaling and the resultant effect
on BMSC migration and growth. The juxtacrine interaction of primary mouse
macrophages and BMSCs activated IL-6 signaling in the co-cultures, which
subsequently enhanced BMSC migration and increased BMSC numbers. BMSCs and
macrophages harvested from IL-6 knockout mice revealed that IL-6 signaling was
essential for enhancement of BMSC migration and increased BMSC numbers via
juxtacrine interactions. BMSCs were the main contributor of IL-6 signaling, and
hence activation of the IL-6/gp130/STAT3 pathway. Meanwhile, macrophage derived
IL-6 remained important for the overall production of IL-6 protein in the co
cultures. Taken together, these findings show the function of macrophages as co
inducers of migration and growth of BMSCs, which could directly influence bone
formation and turnover.
PMID- 26558139
TI - Dopaminergic effects on in vitro osteogenesis.
AB - Multiple growth factors (e.g., BMP2, TGF-beta1, FGF2) and isolated genes have
been shown to improve osteoblastic proliferation and mineralization, advancing
bone tissue engineering. Among these factors, both polydopamine (PDA) and
dopamine (DA) monomer have recently been reported to increase osteoblast
proliferation and mineralization in vitro. Although a well-characterized
neurotransmitter, DA's role in the bone is unknown. We hypothesize that DA can
directly act on osteoblasts, and examined whether osteoblasts express DA
receptors that respond to exogenous DA. mRNAs and protein cell lysates were
obtained from MC3T3-E1 cells during osteogenic differentiation phase. Reverse
transcription polymerase chain reaction and western blot analysis were used to
examine the expression of DA receptors, D1-D5. Dose-response effect and time
course of DA treatment on cell proliferation, mineralization, and osteogenic
differentiation were investigated at pre-determined days. Real-time PCR was
performed to investigate whether DA affects osteogenic gene expression (ALP, BSP,
OC, OSX, RUNX2, and Collagen1a2) with or without receptor antagonists (SCH233390
and GR103691). Two-way ANOVA was used for statistical analysis. All five DA
receptors (D1, D2, D3, D4, and D5) mRNAs and proteins were expressed in MC3T3-E1
cells. DA treatment increased cell proliferation for up to 7 days (P < 0.05).
Osteogenic mineralization was significantly greater in the DA-treated group than
control group (P < 0.05). Finally, expression of all the osteogenic genes was
inhibited by DA receptor antagonists for D1, D3, and D5. Our findings suggest
that MC3T3-E1 osteoblasts express functional DA receptors that enhance
proliferation and mineralization. PDA is not biologically inert and has important
implications in orthopedic applications. Furthermore, osteoblast differentiation
might be regulated by the nervous system, presumably during bone development,
remodeling, or repair.
PMID- 26558140
TI - Notch signaling controls chondrocyte hypertrophy via indirect regulation of Sox9.
AB - RBPjk-dependent Notch signaling regulates both the onset of chondrocyte
hypertrophy and the progression to terminal chondrocyte maturation during
endochondral ossification. It has been suggested that Notch signaling can
regulate Sox9 transcription, although how this occurs at the molecular level in
chondrocytes and whether this transcriptional regulation mediates Notch control
of chondrocyte hypertrophy and cartilage development is unknown or controversial.
Here we have provided conclusive genetic evidence linking RBPjk-dependent Notch
signaling to the regulation of Sox9 expression and chondrocyte hypertrophy by
examining tissue-specific Rbpjk mutant (Prx1Cre;Rbpjk(f/f) ), Rbpjk mutant/Sox9
haploinsufficient (Prx1Cre;Rbpjk(f/f);Sox9(f/+) ), and control embryos for
alterations in SOX9 expression and chondrocyte hypertrophy during cartilage
development. These studies demonstrate that Notch signaling regulates the onset
of chondrocyte maturation in a SOX9-dependent manner, while Notch-mediated
regulation of terminal chondrocyte maturation likely functions independently of
SOX9. Furthermore, our in vitro molecular analyses of the Sox9 promoter and Notch
mediated regulation of Sox9 gene expression in chondrogenic cells identified the
ability of Notch to induce Sox9 expression directly in the acute setting, but
suppresses Sox9 transcription with prolonged Notch signaling that requires
protein synthesis of secondary effectors.
PMID- 26558141
TI - Nanomaterials and bone regeneration.
AB - The worldwide incidence of bone disorders and conditions has been increasing.
Bone is a nanomaterials composed of organic (mainly collagen) and inorganic
(mainly nano-hydroxyapatite) components, with a hierarchical structure ranging
from nanoscale to macroscale. In consideration of the serious limitation in
traditional therapies, nanomaterials provide some new strategy in bone
regeneration. Nanostructured scaffolds provide a closer structural support
approximation to native bone architecture for the cells and regulate cell
proliferation, differentiation, and migration, which results in the formation of
functional tissues. In this article, we focused on reviewing the classification
and design of nanostructured materials and nanocarrier materials for bone
regeneration, their cell interaction properties, and their application in bone
tissue engineering and regeneration. Furthermore, some new challenges about the
future research on the application of nanomaterials for bone regeneration are
described in the conclusion and perspectives part.
PMID- 26558142
TI - Present state and future challenges in pediatric abdominal pain therapeutics
research: Looking beyond the forest.
AB - At the present time, it is nearly impossible to treat pediatric functional
gastrointestinal disorders associated with pain in an evidence based fashion.
This is due to the overall lack of controlled studies and, even more importantly,
the complexity of the contributors to disease phenotype which are not controlled
or accounted for in most therapeutic trials. In this manuscript, we review the
challenges of defining entry criteria, controlling for the large number of
biopsychosocial factors which may effect outcomes, and understanding
pharmacokinetic and pharmacodynamic factors when designing therapeutic trials for
abdominal pain in children. We also review the current state of pediatric
abdominal pain therapeutics and discuss trial design considerations as we move
forward.
PMID- 26558143
TI - Pharmaceutical management of hepatitis B and C in liver and kidney transplant
recipients.
AB - The combination of hepatitis B immune globulin with entecavir or tenofovir (at
least for a certain period of time) seems to be the most reasonable prophylaxis
against recurrent hepatitis B after liver transplantation. Entecavir represents
an attractive option for treatment of naive kidney transplant recipients, because
of its high efficacy and the low rates of resistance. However antiviral treatment
should be individualized in the view of kidney function and the previous
resistance. To date, new captivating therapeutic strategies could make interferon
free regimens viable for treatment of hepatitis C virus positive liver transplant
recipients. The recent combinations of sofosbuvir with simeprevir or daclatasvir
or ledipasvir plus/minus ribavirin have boosted the on treatment and sustained
virological response to rates approaching 100% within liver transplant recipients
with recurrent chronic hepatitis C (CHC). Preliminary data showed that the second
generation direct oral antivirals could result to high treatment rates of
recurrent CHC in kidney transplant recipients as well. Ongoing studies will
clarify the optimal treatment of recurrent CHC in kidney transplant recipients.
PMID- 26558144
TI - Flatography: Detection of gastrointestinal diseases by faecal gas analysis.
AB - Patients presenting with gastro-intestinal symptoms might suffer from a range of
possible underlying diseases. An unmet need exists for novel cost-effective,
reproducible, easy-to-perform and non-invasive tests. Hippocrates used body
odours to diagnose diseases circa 460 before Christ. The art of diagnostic
smelling is making a promising high-tech come-back with portable "electronic
diagnostic noses". Analysis of faecal volatile organic compounds is a novel field
in metabolomics with considerable potential to improve the diagnosis, phenotyping
and monitoring of gastro-intestinal disease. Challenges will be to mature over
the coming years by development of a standardized methodology for stool sample
collection, storage, handling and analysis. Furthermore, key volatiles need to be
identified to improve test accuracy and sensitivity by development of sensors
tailored toward the accurate identification of disease specific volatiles. If
these challenges are adequately faced, analysis of faecal volatiles has realistic
potential to considerably improve screening, diagnosis and disease monitoring for
gastro-intestinal diseases.
PMID- 26558145
TI - Direct antiviral agent treatment of decompensated hepatitis C virus-induced liver
cirrhosis.
AB - Recently, direct antiviral agents (DAAs) have been increasingly used for the
treatment of chronic hepatitis C virus (HCV) infections, replacing interferon
based regimens that have severe adverse effects and low tolerability. The
constant supply of new DAAs makes shorter treatment periods with enhanced safety
possible. The efficacy of DAAs for treatment of compensated liver cirrhosis (LC)
is not less than that for treatment of non-cirrhotic conditions. These clinical
advantages have been useful in pre- and post-liver transplantation (LT) settings.
Moreover, DAAs can be used to treat decompensated HCV-induced LC in elderly
patients or those with severe complications otherwise having poor prognosis.
Although encouraging clinical data are beginning to appear, the actual efficacy
of DAAs for suppressing disease progression, allowing delisting for LT and, most
importantly, improving prognosis of patients with decompensated HCV-LC remains
unknown. Case-control studies to examine the short- or long-term effects of DAAs
for treatment of decompensated HCV-LC are urgently need.
PMID- 26558146
TI - Role of peroxisome proliferator-activated receptors alpha and gamma in gastric
ulcer: An overview of experimental evidences.
AB - Peroxisome proliferator-activated receptors (PPARs) are ligand-activated
transcription factors belonging to the nuclear hormone receptor superfamily.
Three subtypes, PPARalpha, PPARbeta/delta, and PPARgamma, have been identified so
far. PPARalpha is expressed in the liver, kidney, small intestine, heart, and
muscle, where it activates the fatty acid catabolism and control lipoprotein
assembly in response to long-chain unsaturated fatty acids, eicosanoids, and
hypolipidemic drugs (e.g., fenofibrate). PPARbeta/delta is more broadly expressed
and is implicated in fatty acid oxidation, keratinocyte differentiation, wound
healing, and macrophage response to very low density lipoprotein metabolism. This
isoform has been implicated in transcriptional-repression functions and has been
shown to repress the activity of PPARalpha or PPARgamma target genes. PPARgamma1
and gamma2 are generated from a single-gene peroxisome proliferator-activated
receptors gamma by differential promoter usage and alternative splicing.
PPARgamma1 is expressed in colon, immune system (e.g., monocytes and
macrophages), and other tissues where it participates in the modulation of
inflammation, cell proliferation, and differentiation. PPARs regulate gene
expression through distinct mechanisms: Ligand-dependent transactivation, ligand
independent repression, and ligand-dependent transrepression. Studies in animals
have demonstrated the gastric antisecretory activity of PPARalpha agonists like
ciprofibrate, bezafibrate and clofibrate. Study by Pathak et al also demonstrated
the effect of PPARalpha agonist, bezafibrate, on gastric secretion and gastric
cytoprotection in various gastric ulcer models in rats. The majority of the
experimental studies is on pioglitazone and rosiglitazone, which are PPARgamma
activators. In all the studies, both the PPARgamma activators showed protection
against the gastric ulcer and also accelerate the ulcer healing in gastric ulcer
model in rats. Therefore, PPARalpha and PPARgamma may be a target for gastric
ulcer therapy. Finally, more studies are also needed to confirm the involvement
of PPARs alpha and gamma in gastric ulcer.
PMID- 26558147
TI - Helicobacter pylori: Effect of coexisting diseases and update on treatment
regimens.
AB - The presence of concomitant diseases is an independent predictive factor for non
Helicobacter pylori (H. pylori) peptic ulcers. Patients contracting concomitant
diseases have an increased risk of developing ulcer disease through pathogenic
mechanisms distinct from those of H. pylori infections. Factors other than H.
pylori seem critical in peptic ulcer recurrence in end stage renal disease (ESRD)
and cirrhotic patients. However, early H. pylori eradication is associated with a
reduced risk of recurrent complicated peptic ulcers in patients with ESRD and
liver cirrhosis. Resistances to triple therapy are currently detected using
culture-based and molecular methods. Culture susceptibility testing before first-
or second-line therapy is unadvisable. Using highly effective empiric first-line
and rescue regimens can yield acceptable results. Sequential therapy has been
included in a recent consensus report as a valid first-line option for
eradicating H. pylori in geographic regions with high clarithromycin resistance.
Two novel eradication regimens, namely concomitant and hybrid therapy, have
proven more effective in patients with dual- (clarithromycin- and metronidazole-)
resistant H. pylori strains. We aim to review the prevalence of and eradication
therapy for H. pylori infection in patients with ESRD and cirrhosis. Moreover, we
summarized the updated H. pylori eradication regimens.
PMID- 26558148
TI - Mesalazine preparations for the treatment of ulcerative colitis: Are all created
equal?
AB - Oral mesalazine (also known as mesalamine) is a 5-aminosalicylic acid compound
used in the treatment of mild to moderate ulcerative colitis, with high rates of
efficacy in induction and maintenance of remission. The therapeutic effect of
mesalazine occurs topically at the site of diseased colonic mucosa. A myriad of
oral mesalazine preparations have been formulated with various drug delivery
methods to minimize systemic absorption and maximise drug availability at the
inflamed colonic epithelium. It remains unclear whether different oral mesalazine
formulations are bioequivalent. This review aims to evaluate the differences
between mesalazine formulations based on the currently available literature and
explore factors which may influence the selection of one agent above another.
PMID- 26558149
TI - Pharmacotherapy for the management of achalasia: Current status, challenges and
future directions.
AB - This article reviews currently available pharmacological options available for
the treatment of achalasia, with a special focus on the role of botulinum toxin
(BT) injection due to its superior therapeutic effect and side effect profile.
The discussion on BT includes the role of different BT serotypes, better
pharmacological formulations, improved BT injection techniques, the use of
sprouting inhibitors, designer recombinant BT formulations and alternative
substances used in endoscopic injections. The large body of ongoing research into
achalasia and BT may provide a stronger role for BT injection as a form of
minimally invasive, cost effective and efficacious form of therapy for patients
with achalasia. The article also explores current issues and future research
avenues that may prove beneficial in improving the efficacy of pharmacological
treatment approaches in patients with achalasia.
PMID- 26558150
TI - Pregnancy and inflammatory bowel diseases: Current perspectives, risks and
patient management.
AB - Inflammatory bowel diseases (IBD) are chronic idiopathic inflammatory conditions
characterized by relapsing and remitting episodes of inflammation which can
affect several different regions of the gastrointestinal tract, but also shows
extra-intestinal manifestations. IBD is most frequently diagnosed during peak
female reproductive years, with 25% of women with IBD conceiving after their
diagnosis. While IBD therapy has improved dramatically with enhanced surveillance
and more abundant and powerful treatment options, IBD disease can have important
effects on pregnancy and presents several challenges for maintaining optimal
outcomes for mothers with IBD and the developing fetus/neonate. Women with IBD,
the medical team treating them (both gastroenterologists and
obstetricians/gynecologists) must often make highly complicated choices regarding
conception, pregnancy, and post-natal care (particularly breastfeeding) related
to their choice of treatment options at different phases of pregnancy as well as
post-partum. This current review discusses current concerns and recommendations
for pregnancy during IBD and is intended for gastroenterologists, general
practitioners and IBD patients intending to become, (or already) pregnant, and
their families. We have addressed patterns of IBD inheritance, effects of IBD on
fertility and conception (in both men and women), the effects of IBD disease
activity on maintenance of pregnancy and outcomes, risks of diagnostic procedures
during pregnancy and potential risks and complications associated with different
classes of IBD therapeutics. We also have evaluated the clinical experience using
"top-down" care with biologics, which is currently the standard care at our
institution. Post-partum care and breastfeeding recommendations are also
addressed.
PMID- 26558151
TI - Diagnosis and therapy of non-variceal upper gastrointestinal bleeding.
AB - Non-variceal upper gastrointestinal bleeding (UGIB) is defined as bleeding
proximal to the ligament of Treitz in the absence of oesophageal, gastric or
duodenal varices. The clinical presentation varies according to the intensity of
bleeding from occult bleeding to melena or haematemesis and haemorrhagic shock.
Causes of UGIB are peptic ulcers, Mallory-Weiss lesions, erosive gastritis,
reflux oesophagitis, Dieulafoy lesions or angiodysplasia. After admission to the
hospital a structured approach to the patient with acute UGIB that includes
haemodynamic resuscitation and stabilization as well as pre-endoscopic risk
stratification has to be done. Endoscopy offers not only the localisation of the
bleeding site but also a variety of therapeutic measures like injection therapy,
thermocoagulation or endoclips. Endoscopic therapy is facilitated by acid
suppression with proton pump inhibitor (PPI) therapy. These drugs are highly
effective but the best route of application (oral vs intravenous) and the
adequate dosage are still subjects of discussion. Patients with ulcer disease are
tested for Helicobacter pylori and eradication therapy should be given if it is
present. Non-steroidal anti-inflammatory drugs have to be discontinued if
possible. If discontinuation is not possible, cyclooxygenase-2 inhibitors in
combination with PPI have the lowest bleeding risk but the incidence of
cardiovascular events is increased.
PMID- 26558153
TI - Autoimmune pancreatitis and cholangitis.
AB - Autoimmune pancreatitis (AIP) is part of a systemic fibrosclerotic process
characterized by lymphoplasmacytic infiltrate with immunoglobulin G subtype-4
(IgG4) positive cells. It characteristically presents with biliary obstruction
due to mass-like swelling of the pancreas. Frequently AIP is accompanied by extra
pancreatic manifestations including retroperitoneal fibrosis, thyroid disease,
and salivary gland involvement. Auto-antibodies, hypergammaglobulemia, and prompt
resolution of pancreatic and extrapancreatic findings with steroids signify its
autoimmune nature. Refractory cases are responsive to immunomodulators and
rituximab. Involvement of the biliary tree, termed IgG4 associated
cholangiopathy, mimics primary sclerosing cholangitis and is challenging to
manage. High IgG4 levels and swelling of the pancreas with a diminutive
pancreatic duct are suggestive of autoimmune pancreatitis. Given similarities in
presentation but radical differences in management and outcome, differentiation
from pancreatic malignancy is of paramount importance. There is controversy
regarding the optimal diagnostic criterion and steroid trials to make the
diagnosis. Additionally, the retroperitoneal location of the pancreas and
requirement for histologic sampling, makes tissue acquisition challenging.
Recently, a second type of autoimmune pancreatitis has been recognized with
similar clinical presentation and steroid response though different histology,
serologic, and extrapancreatic findings.
PMID- 26558154
TI - Age-related differences in celiac disease: Specific characteristics of adult
presentation.
AB - Celiac disease may appear both in early childhood and in elderly subjects.
Current knowledge of the disease has revealed some differences associated to the
age of presentation. Furthermore, monitoring and prognosis of celiac subjects can
vary depending on the pediatric or adult stage. The main objective of this review
is to provide guidance for the adult diagnostic and follow-up processes, which
must be tailored specifically for adults and be different from pediatric
patients.
PMID- 26558152
TI - Antibiotic treatment for Helicobacter pylori: Is the end coming?
AB - Infection with the Gram-negative pathogen Helicobacter pylori (H. pylori) has
been associated with gastro-duodenal disease and the importance of H. pylori
eradication is underscored by its designation as a group I carcinogen. The
standard triple therapy consists of a proton pump inhibitor, amoxicillin and
clarithromycin, although many other regimens are used, including quadruple,
sequential and concomitant therapy regimens supplemented with metronidazole,
clarithromycin and levofloxacin. Despite these efforts, current therapeutic
regimens lack efficacy in eradication due to antibiotic resistance, drug
compliance and antibiotic degradation by the acidic stomach environment.
Antibiotic resistance to clarithromycin and metronidazole is particularly
problematic and several approaches have been proposed to overcome this issue,
such as complementary probiotic therapy with Lactobacillus. Other studies have
identified novel molecules with an anti-H. pylori effect, as well as tailored
therapy and nanotechnology as viable alternative eradication strategies. This
review discusses current antibiotic therapy for H. pylori infections, limitations
of this type of therapy and predicts the availability of newly developed
therapies for H. pylori eradication.
PMID- 26558155
TI - Plecanatide and dolcanatide, novel guanylate cyclase-C agonists, ameliorate
gastrointestinal inflammation in experimental models of murine colitis.
AB - AIM: To evaluate the effect of orally administered plecanatide or dolcanatide,
analogs of uroguanylin, on amelioration of colitis in murine models. METHODS: The
cyclic guanosine monophosphate (cGMP) stimulatory potency of plecanatide and
dolcanatide was measured using a human colon carcinoma T84 cell-based assay. For
animal studies all test agents were formulated in phosphate buffered saline.
Sulfasalazine or 5-amino salicylic acid (5-ASA) served as positive controls.
Effect of oral treatment with test agents on amelioration of acute colitis
induced either by dextran sulfate sodium (DSS) in drinking water or by rectal
instillation of trinitrobenzene sulfonic (TNBS) acid, was examined in BALB/c
and/or BDF1 mice. Additionally, the effect of orally administered plecanatide on
the spontaneous colitis in T-cell receptor alpha knockout (TCRalpha(-/-)) mice
was also examined. Amelioration of colitis was assessed by monitoring severity of
colitis, disease activity index and by histopathology. Frozen colon tissues were
used to measure myeloperoxidase activity. RESULTS: Plecanatide and dolcanatide
are structurally related analogs of uroguanylin, which is an endogenous ligand of
guanylate cyclase-C (GC-C). As expected from the agonists of GC-C, both
plecanatide and dolcanatide exhibited potent cGMP-stimulatory activity in T84
cells. Once-daily treatment by oral gavage with either of these analogs (0.05-0.5
mg/kg) ameliorated colitis in both DSS and TNBS-induced models of acute colitis,
as assessed by body weight, reduction in colitis severity (P < 0.05) and disease
activity index (P < 0.05). Amelioration of colitis by either of the drug
candidates was comparable to that achieved by orally administered sulfasalazine
or 5-ASA. Plecanatide also effectively ameliorated colitis in TCRalpha(-/-) mice,
a model of spontaneous colitis. As dolcanatide exhibited higher resistance to
proteolysis in simulated gastric and intestinal juices, it was selected for
further studies. CONCLUSION: This is the first-ever study reporting the
therapeutic utility of GC-C agonists as a new class of orally delivered and
mucosally active drug candidates for the treatment of inflammatory bowel
diseases.
PMID- 26558157
TI - Typical and atypical symptoms of gastro esophageal reflux disease: Does
Helicobacter pylori infection matter?
AB - AIM: To analyze whether the presence of Helicobacter pylori (H. pylori) infection
could affect the quality of symptoms in gastro-esophageal reflux disease (GERD)
patients. METHODS: one hundred and forty-four consecutive patients referred to
our Unit for suspected GERD were recruited for the study. All patients underwent
esophageal pH-metric recording. For those with a positive test, C13 urea breath
test was then performed to assess the H. pylori status. GERD patients were
stratified according to the quality of their symptoms and classified as typical,
if affected by heartburn and regurgitation, and atypical if complaining of chest
pain, respiratory and ears, nose, and throat features. H. pylori-negative
patients were also asked whether they had a previous diagnosis of H. pylori
infection. If a positive response was given, on the basis of the time period
after successful eradication, patients were considered as "eradicated" (E) if H.
pylori eradication occurred more than six months earlier or "recently eradicated"
if the therapy had been administered within the last six months. Patients without
history of infection were identified as "negative" (N). chi (2) test was
performed by combining the clinical aspects with the H. pylori status. RESULTS:
one hundred and twenty-nine of the 144 patients, including 44 H. pylori-positive
and 85 H. pylori-negative (41 negative, 21 recently eradicated, 23 eradicated
more than 6 mo before), were eligible for the analysis. No difference has been
found between H. pylori status and either the number of reflux episodes (138 +/-
23 vs 146 +/- 36, respectively, P = 0.2, not significant) or the percentage of
time with pH values < 4 (6.8 +/- 1.2 vs 7.4 +/- 2.1, respectively, P = 0.3, not
significant). The distribution of symptoms was as follows: 13 typical (30%) and
31 atypical (70%) among the 44 H. pylori-positive cases; 44 typical (52%) and 41
atypical (48%) among the 85 H. pylori-negative cases, (P = 0.017 vs H. pylori+;
OR = 2.55, 95%CI: 1.17-5.55). Furthermore, clinical signs in patients with recent
H. pylori eradication were similar to those of H. pylori-positive (P = 0.49; OR =
1.46, 95%CI: 0.49-4.37); on the other hand, patients with ancient H. pylori
eradication showed a clinical behavior similar to that of H. pylori-negative
subjects (P = 0.13; OR = 0.89, 95%CI: 0.77-6.51) but different as compared to the
H. pylori-positive group (P < 0.05; OR = 3.71, 95%CI: 0.83-16.47). CONCLUSION:
Atypical symptoms of GERD occur more frequently in H. pylori-positive patients
than in H. pylori-negative subjects. In addition, atypical symptoms tend to
decrease after H. pylori eradication.
PMID- 26558158
TI - Prevalence of eosinophilic oesophagitis in adults presenting with oesophageal
food bolus obstruction.
AB - AIM: To look at the relationship between eosinophilic oesophagitis (EO) and food
bolus impaction in adults. METHODS: We retrospectively analysed medical records
of 100 consecutive patients who presented to our hospital with oesophageal food
bolus obstruction (FBO) between 2012 and 2014. In this cohort, 96 were adults
(64% male), and 4 paediatric patients were excluded from the analysis as our
centre did not have paediatric gastroenterologists. Eighty-five adult patients
underwent emergency gastroscopy. The food bolus was either advanced into the
stomach using the push technique or retrieved using a standard retrieval net.
Biopsies were obtained in 51 patients from the proximal and distal parts of the
oesophagus at initial gastroscopy. All biopsy specimens were assessed and
reviewed by dedicated gastrointestinal pathologists at the Department of
Pathology, University Hospital Geelong. The diagnosis of EO was defined and
established by the presence of the following histological features: (1) peak
eosinophil counts > 20/hpf; (2) eosinophil microabscess; (3) superficial layering
of eosinophils; (4) extracellular eosinophil granules; (5) basal cell
hyperplasia; (6) dilated intercellular spaces; and (7) subepithelial or lamina
propria fibrosis. The histology results of the biopsy specimens were accessed
from the pathology database of the hospital and recorded for analysis. RESULTS:
Our cohort had a median age of 60. Seventeen/51 (33%) patients had evidence of EO
on biopsy findings. The majority of patients with EO were male (71%). Classical
endoscopic features of oesophageal rings, furrows or white plaques and exudates
were found in 59% of patients with EO. Previous episodes of FBO were present in
12/17 patients and 41% had a history of eczema, hay fever or asthma. Reflux
oesophagitis and benign strictures were found in 20/34 patients who did not have
biopsies. CONCLUSION: EO is present in approximately one third of patients who
are admitted with FBO. Biopsies should be performed routinely at index endoscopy
in order to pursue this treatable cause of long term morbidity.
PMID- 26558156
TI - Orally administered extract from Prunella vulgaris attenuates spontaneous colitis
in mdr1a(-/-) mice.
AB - AIM: To investigate the ability of a Prunella vulgaris (P. vulgaris) ethanolic
extract to attenuate spontaneous typhlocolitis in mdr1a(-/-) mice. METHODS:
Vehicle (5% ethanol) or P. vulgaris ethanolic extract (2.4 mg/d) were
administered daily by oral gavage to mdr1a(-/-) or wild type FVB(WT) mice from 6
wk of age up to 20 wk of age. Clinical signs of disease were noted by monitoring
weight loss. Mice experiencing weight loss in excess of 15% were removed from the
study. At the time mice were removed from the study, blood and colon tissue were
collected for analyses that included histological evaluation of lesions,
inflammatory cytokine levels, and myeloperoxidase activity. RESULTS:
Administration of P. vulgaris extracts to mdr1a(-/-) mice delayed onset of
colitis and reduced severity of mucosal inflammation when compared to vehicle
treated mdr1a(-/-) mice. Oral administration of the P. vulgaris extract resulted
in reduced (P < 0.05) serum levels of IL-10 (4.6 +/- 2 vs 19.4 +/- 4), CXCL9
(1319.0 +/- 277 vs 3901.0 +/- 858), and TNFalpha (9.9 +/- 3 vs 14.8 +/- 1) as
well as reduced gene expression by more than two-fold for Ccl2, Ccl20, Cxcl1,
Cxcl9, IL-1alpha, Mmp10, VCAM-1, ICAM, IL-2, and TNFalpha in the colonic mucosa
of mdr1a(-/-) mice compared to vehicle-treated mdr1a(-/-) mice. Histologically,
several microscopic parameters were reduced (P < 0.05) in P. vulgaris-treated
mdr1a(-/-) mice, as was myeloperoxidase activity in the colon (2.49 +/- 0.16 vs
3.36 +/- 0.06, P < 0.05). The numbers of CD4(+) T cells (2031.9 +/- 412.1 vs
5054.5 +/- 809.5) and germinal center B cells (2749.6 +/- 473.7 vs 4934.0 +/-
645.9) observed in the cecal tonsils of P. vulgaris-treated mdr1a(-/-) were
significantly reduced (P < 0.05) from vehicle-treated mdr1a(-/-) mice. Vehicle
treated mdr1a(-/-) mice were found to produce serum antibodies to antigens
derived from members of the intestinal microbiota, indicative of severe colitis
and a loss of adaptive tolerance to the members of the microbiota. These serum
antibodies were greatly reduced or absent in P. vulgaris-treated mdr1a(-/-) mice.
CONCLUSION: The anti-inflammatory activity of P. vulgaris ethanolic extract
effectively attenuated the severity of intestinal inflammation in mdr1a(-/-)
mice.
PMID- 26558159
TI - Massive duodenal variceal bleed; complication of extra hepatic portal
hypertension: Endoscopic management and literature review.
AB - Bleeding from duodenal varices is reported to be a catastrophic and often fatal
event. Most of the cases in the literature involve patients with underlying
cirrhosis. However, approximately one quarter of duodenal variceal bleeds is
caused by extrahepatic portal hypertension and they represent a unique population
given their lack of liver dysfunction. The authors present a case where a 61-year
old male with history of remote crush injury presented with bright red blood per
rectum and was found to have bleeding from massive duodenal varices. Injection
sclerotherapy with ethanolamine was performed and the patient experienced a
favorable outcome with near resolution of his varices on endoscopic follow-up.
The authors conclude that sclerotherapy is a reasonable first line therapy and
review the literature surrounding the treatment of duodenal varices secondary to
extrahepatic portal hypertension.
PMID- 26558160
TI - Preoperative detection of intrahepatic venovenous shunt treated by microwave
precoagulation during right hepatectomy.
AB - A 53-year-old woman underwent a 2-stage right hepatectomy for bilobar metastasis
of an ileal neuroendocrine carcinoma. Preoperative three-dimensional computed
tomography reconstruction helped to diagnose an intrahepatic venovenous shunts
from the right and middle hepatic veins to the left hepatic vein, which could
cause a intraoperative bleeding. Hemostasis was performed by means of
precoagulation with microwave-assisted coagulation.
PMID- 26558161
TI - Predicting Prognosis of Early-Stage Non-Small Cell Lung Cancer Using the
GeneFx(r) Lung Signature.
AB - Use of adjuvant chemotherapy remains a complex decision in the treatment of early
stage non-small cell lung cancer (NSCLC), with risk of recurrence being the
primary indicator (i.e. adjuvant chemotherapy is considered for patients at high
risk of recurrence but may not be beneficial for patients at low risk). However,
although several clinical and pathological factors are typically considered when
assessing the risk of recurrence, none are significantly associated with clinical
outcome with the exception of tumor size. GeneFx(r) Lung (HelomicsTM Corporation,
Pittsburgh, PA) is a multi-gene RNA expression signature that classifies early
stage NSCLC patients as high-risk or low-risk for disease recurrence. GeneFx Lung
risk category has been shown to be significantly associated with overall survival
in several independent clinical studies. The published literature regarding the
analytical validity, clinical validity and clinical utility of GeneFx Lung is
summarized herein.
PMID- 26558162
TI - An improved hybrid multi-criteria/multidimensional model for strategic industrial
location selection: Casablanca industrial zones as a case study.
AB - In this paper, we examine the issue of strategic industrial location selection in
uncertain decision making environments for implanting new industrial corporation.
In fact, the industrial location issue is typically considered as a crucial
factor in business research field which is related to many calculations about
natural resources, distributors, suppliers, customers, and most other things.
Based on the integration of environmental, economic and social decisive elements
of sustainable development, this paper presents a hybrid decision making model
combining fuzzy multi-criteria analysis with analytical capabilities that OLAP
systems can provide for successful and optimal industrial location selection. The
proposed model mainly consists in three stages. In the first stage, a decision
making committee has been established to identify the evaluation criteria
impacting the location selection process. In the second stage, we develop fuzzy
AHP software based on the extent analysis method to assign the importance weights
to the selected criteria, which allows us to model the linguistic vagueness,
ambiguity, and incomplete knowledge. In the last stage, OLAP analysis integrated
with multi-criteria analysis employs these weighted criteria as inputs to
evaluate, rank and select the strategic industrial location for implanting new
business corporation in the region of Casablanca, Morocco. Finally, a sensitivity
analysis is performed to evaluate the impact of criteria weights and the
preferences given by decision makers on the final rankings of strategic
industrial locations.
PMID- 26558163
TI - A chemical energy approach of avascular tumor growth: multiscale modeling and
qualitative results.
AB - In the present manuscript we propose a lattice free multiscale model for
avascular tumor growth that takes into account the biochemical environment,
mitosis, necrosis, cellular signaling and cellular mechanics. This model extends
analogous approaches by assuming a function that incorporates the biochemical
energy level of the tumor cells and a mechanism that simulates the behavior of
cancer stem cells. Numerical simulations of the model are used to investigate the
morphology of the tumor at the avascular phase. The obtained results show similar
characteristics with those observed in clinical data in the case of the Ductal
Carcinoma In Situ (DCIS) of the breast.
PMID- 26558164
TI - Diversity of culturable bacterial endophytes of saffron in Kashmir, India.
AB - Saffron (Crocus sativus) is a medicinally important plant. The Kashmir valley
(J&K, India) emblematizes one of the major and quality saffron producing areas in
the world. Nonetheless, the area has been experiencing a declining trend in the
production of saffron during the last decade. Poor disease management is one of
the major reasons for declining saffron production in the area. Endophytes are
known to offer control against many diseases of host plant. During the present
study, culturable bacterial endophytes were isolated from saffron plant,
identified and assessed for plant growth promoting activities. Molecular and
phylogenetic analysis grouped the fifty-four bacterial isolates into eleven
different taxa, viz. Bacillus licheniformis, B. subtilis, B. cereus, B. humi, B.
pumilus, Paenibacillus elgii, B. safensis, Brevibacillus sp., Pseudomonas putida,
Staphylococcus hominis and Enterobacter cloacae. The results were also supported
with the identification based on BIOLOG system. B. licheniformis was the dominant
endophyte in both leaves and corms of saffron. 81 % isolates showed lipase
activity, 57 % cellulase, 48 % protease, 38 % amylase, 33 % chitinase and 29 %
showed pectinase activity. 24 % of the isolates were phosphate solublizers, 86 %
showed siderophore production and 80 % phytohormone production potential. The
present repository of well characterized bacterial endophytes of saffron, have
plant growth promoting potential which can be explored further for their
respective roles in the biology of the saffron plant.
PMID- 26558165
TI - Bilateral corticosteroid-induced osteonecrosis of the femoral head detected at a
6-week interval.
AB - INTRODUCTION: Corticosteroid-induced osteonecrosis of the femoral head (ONFH)
often affects both femoral heads. Such bilateral ONFH cases are generally
detected concurrently on magnetic resonance imaging (MRI). On the other hand, in
unilateral cases, it is rare that contralateral ONFH is subsequently detected. We
herein report a case in which bilateral ONFH was detected in both femoral heads
by repeated MRI examination at an interval of 6 weeks. CASE DESCRIPTION: A 34
year-old man with purpura nephritis was started on corticosteroid therapy with
prednisolone at 30 mg/day. Eight months after the initiation of corticosteroid
therapy, he complained of left hip pain with no antecedent triggering activity.
MRI obtained 8.5 months after the initiation of corticosteroid therapy showed the
findings of osteonecrosis of the left femoral head, while no abnormalities were
detected in the right femoral head. On the second MRI obtained 10 months after
the initiation of corticosteroid treatment, however, osteonecrosis of the right
femoral head was newly detected without an increase of the corticosteroid dose.
CONCLUSIONS: This case may indicate that corticosteroid-induced bilateral ONFH do
not always develop at the same time.
PMID- 26558166
TI - Review of the tactical evaluation tools for youth players, assessing the tactics
in team sports: football.
AB - For sports assessment to be comprehensive, it must address all variables of
sports development, such as psychological, social-emotional, physical and
physiological, technical and tactical. Tactical assessment has been a neglected
variable until the 1980s or 1990s. In the last two decades (1995-2015), the
evolution of tactical assessment has grown considerably, given its importance in
game performance. The aim of this paper is to compile and analyze different
tactical measuring tools in team sports, particularly in soccer, through a
bibliographical review. Six tools have been selected on five different criteria:
(1) Instruments which assess tactics, (2) The studies have an evolution approach
related to the tactical principles, (3) With a valid and reliable method, (4) The
existence of publications mentioning the tool in the method, v. Applicable in
different sports contexts. All six tools are structured around seven headings:
introduction, objective(s), tactical principles, materials, procedures,
instructions/rules of the game and published studies. In conclusion, the teaching
learning processes more tactical oriented have useful tactical assessment
instrument in the literature. The selection of one or another depends some
context information, like age and level of expertise of the players.
PMID- 26558167
TI - Erratum to: Comparison of the trifecta outcomes of robotic and open nephron
sparing surgeries performed in the robotic era of a single institution.
AB - [This corrects the article DOI: 10.1186/s40064-015-1274-2.].
PMID- 26558169
TI - Improved Bat algorithm for the detection of myocardial infarction.
AB - The medical practitioners study the electrical activity of the human heart in
order to detect heart diseases from the electrocardiogram (ECG) of the heart
patients. A myocardial infarction (MI) or heart attack is a heart disease, that
occurs when there is a block (blood clot) in the pathway of one or more coronary
blood vessels (arteries) that supply blood to the heart muscle. The abnormalities
in the heart can be identified by the changes in the ECG signal. The first step
in the detection of MI is Preprocessing of ECGs which removes noise by using
filters. Feature extraction is the next key process in detecting the changes in
the ECG signals. This paper presents a method for extracting key features from
each cardiac beat using Improved Bat algorithm. Using this algorithm best
features are extracted, then these best (reduced) features are applied to the
input of the neural network classifier. It has been observed that the performance
of the classifier is improved with the help of the optimized features.
PMID- 26558168
TI - Dengue death with evidence of hemophagocytic syndrome and dengue virus infection
in the bone marrow.
AB - INTRODUCTION: HPS is a potentially life-threatening histiocytic disorder that has
been described in various viral infections including dengue. Its involvement in
severe and fatal dengue is probably more common but is presently under
recognized. CASE DESCRIPTION: A 38-year-old female was admitted after 5 days of
fever. She was deeply jaundiced, leukopenic and thrombocytopenic. Marked
elevation of transaminases, hyperbilirubinemia and hypoalbuminemia were observed.
She had deranged INR values and prolonged aPTT accompanied with
hypofibrinogenemia. She also had splenomegaly. She was positive for dengue IgM.
Five days later she became polyuric and CT brain image showed gross generalized
cerebral edema. Her conditions deteriorated by day 9, became confused with GCS of
9/15. Her BMAT showed minimal histiocytes. Her serum ferritin level peaked at
13,670.00 ug/mL and her sCD163 and sCD25 values were markedly elevated at 4750.00
ng/mL and 4191.00 pg/mL, respectively. She succumbed to the disease on day 10 and
examination of her tissues showed the presence of dengue virus genome in the bone
marrow. DISCUSSION AND EVALUATION: It is described here, a case of fatal dengue
with clinical features of HPS. Though BMAT results did not show the presence of
macrophage hemophagocytosis, other laboratory features were consistent with HPS
especially marked elevation of ferritin, sCD163 and sCD25. Detection of dengue
virus in the patient's bone marrow, fifteen days after the onset of fever was
also consistent with the suggestion that the HPS is associated with dengue virus
infection. CONCLUSIONS: The findings highlight HPS as a possible complication
leading to severe dengue and revealed persistent dengue virus infection of the
bone marrow. Detection of HPS markers; ferritin, sCD163 and sCD25, therefore,
should be considered for early recognition of HPS-associated dengue.
PMID- 26558170
TI - Improving the optimized shea butter quality: a great potential of utilization for
common consumers and industrials.
AB - Industrials interest in fats as raw material, resides in their exceptional
quality and potentialities of exploitation in several fields. This study aimed to
exalt the optimized shea butter quality and present its wide potentialities of
utilization. Hence, the characteristics of beige and yellow optimized shea
butters were determined. Both samples recorded very weak acid (0.280 +/- 0.001
and 0.140 +/- 0.001 mgKOH/g) and peroxide (0.960 +/- 0.001 and 1.010 +/- 0.001
mEgO2/kg) indexes, when the iodine indexes (52.64 +/- 0.20 and 53.06 +/- 0.20
gI2/100 g) and the unsaponifiable matters (17.61 +/- 0.01 and 17.27 +/- 0.01 %)
were considerable. The refractive indexes (1.454 +/- 0.00 and 1.453 +/- 0.00) and
the pH (6.50 +/- 0.30 and 6.78 +/- 0.30) were statistically similar; but the
specific gravity (0.915 +/- 0.01-0.79 +/- 0.01 and 0.94 +/- 0.01-0.83 +/- 0.01)
and the viscosity (90.41 +/- 0.20-20.02 +/- 0.20 and 125.37 +/- 0.20-23.55 +/-
0.20 MPas) differed and decreased exponentially with the temperature increasing
(35-65 degrees C), except for the specific gravity of the yellow butter which
decreased linearly. The UV-Vis spectrum showed a high peak at 300 nm and a rapid
decrease from 300 to 500 nm when the near infra-red one, revealed peaks at 450,
1200, 1400, 1725 and 2150 nm for all the samples. The chromatographic profile
identified palmitic (16.42 and 26.36 %), stearic (32.39 and 36.36 %), oleic
(38.12 and 29.09 %), linoleic (9.72 and 5.92 %) and arachidic (1.84 and 1.59 %)
acids, and also exaltolide compound (1.51 and 0.68 %). The samples also contained
essential minerals (Calcium, magnesium, zinc, iron, etc.) carotene (550 +/- 50
and 544 +/- 50 ppm), vitamins A (0.065 +/- 0.001 and 0.032 +/- 0.001 ug/g) and E
(2992.09 +/- 1.90 and 3788.44 +/- 1.90 ppm) in relatively important amounts;
neither microbiological germs nor heavy were detected. All these valorizing
characteristics would confer to the optimized shea butters good aptitude for
exportation and exploitation in food, cosmetic and pharmaceutical industries.
PMID- 26558171
TI - The dipeptide conformations of all twenty amino acid types in the context of
biosynthesis.
AB - There have been many studies of dipeptide structure at a high level of accuracy
using quantum chemical methods. Such calculations are resource-consuming (in
terms of memory, CPU and other computational imperatives) which is the reason why
most previous studies were restricted to the two simplest amino-acid residue
types, glycine and alanine. We improve on this by extending the scope of residue
types to include all 20 naturally occurring residue types. Our results reveal
differences in secondary structure preferences for the all residue types. There
are in most cases very deep energy troughs corresponding either to the
polyproline II (collagen) helix and the alpha-helix or both. The beta-strand was
not strongly favoured energetically although the extent of this depression in the
energy surface is, while not "deeper" (energetically), has a wider extent than
the other two types of secondary structure. There is currently great interest in
the question of cotranslational folding, the extent to which the nascent
polypeptide begins to fold prior to emerging from the ribosome exit tunnel.
Accordingly, while most previous quantum studies of dipeptides were carried out
in the (simulated) gas or aqueous phase, we wished to consider the first step in
polypeptide biosynthesis on the ribosome where neither gas nor aqueous conditions
apply. We used a dielectric constant that would be compatible with the water-poor
macromolecular (ribosome) environment.
PMID- 26558172
TI - A far-field radio-frequency experimental exposure system with unrestrained mice.
AB - Many studies have been performed on exploring the effects of radio-frequency (RF)
energy on biological function in vivo. In particular, gene expression results
have been inconclusive due, in part, to a lack of a standardized experimental
procedure. This research describes a new far field RF exposure system for
unrestrained murine models that reduces experimental error. The experimental
procedure includes the materials used, the creation of a patch antenna, the
uncertainty analysis of the equipment, characterization of the test room,
experimental equipment used and setup, power density and specific absorption rate
experiment, and discussion. The result of this research is an experimental
exposure system to be applied to future biological studies.
PMID- 26558173
TI - Does amputation side influence sprint performances in athletes using running
specific prostheses?
AB - BACKGROUND: For athletes using running-specific prostheses (RSPs), current
Paralympic guidelines for track events are generally based on level of
amputation, not side of amputation. Although 200- and 400-m sprint races are
performed in a counterclockwise direction, little is known about the effects of
amputation side on race performance in athletes with unilateral lower limb
amputation. The study aim was to test whether athletes using RSPs on their left
side have slower race times than those using RSPs on their right side. FINDINGS:
Athletes with unilateral lower limb amputation (N = 59 in total) participating in
elite-level 200-m races were analyzed from publicly available Internet
broadcasts. These races included the 2008 Beijing and 2012 London Paralympics,
and the International Paralympic Committee Athletics World Championships in 2011
and 2013. For each athlete the official race time and amputation side were
determined. There was no significant difference in number of participants and
race time between left and right side amputees in T42 men, T44 men, and T44
women. CONCLUSION: The results of the present study suggest that sprint
performance of athletes using RSPs is not affected by amputation side on a
standard 400-m track.
PMID- 26558174
TI - Use of botulinum toxin injections to treat peripheral stimulator induced facial
muscle twitching: a case report.
AB - BACKGROUND: Facial pain can be a management challenge. Peripheral nerve/field
stimulation may be an effective option for refractory cases, but direct muscle
stimulation with facial twitching may result. Botulinum toxin injections have
been used for blepharospasm and may be effective when facial stimulation results
in unacceptable facial muscle twitching due to peripheral stimulation. CASE
PRESENTATION: A 53-year old female suffered with chronic, refractory facial pain
and migraines. Her facial pain began after a root canal of a left upper molar.
She was trialed and then permanently implanted with a 1 * 8 sub-compact
percutaneous stimulator lead, resulting in improved pain control and reduced
medication use. However, she experienced blepharospasm whenever the amplitude was
above 2.75 A. Therefore, she was treated with botulinum toxin injections into her
bilateral cheek, face, temple and occiput. This treatment provided excellent
relief of the facial spasms, allowing her to use her stimulator at high
amplitudes, and thereby maximizing her pain relief. She received two subsequent
treatments of botulinum toxin injections at 5-month intervals with similar
results. CONCLUSION: Peripheral nerve/field stimulation is being used for
headaches and facial pain. An undesirable side effect of this emerging therapy is
direct muscle stimulation. Botulinum toxin injections may be an effective
treatment modality when stimulation techniques provide pain relief but also
causes muscle twitching.
PMID- 26558176
TI - Tribological properties of nanolamellar tungsten disulfide doped with zinc oxide
nanoparticles.
AB - Tribological properties of nanolamellar tungsten disulfide doped with zinc oxide
nanoparticles were studied. Nanolamellar tungsten disulfide and ZnO nanoparticles
produced by electrospark erosion of metal granules in an H2O2 solution were
analyzed using the XRD, SEM and TEM techniques. According to the tribological
measurements, ZnO nanoparticles did not significantly change the friction
coefficient of nanolamellar WS2 at 25 degrees C in air, whereas they positively
impact on wear resistance of nanolamellar WS2 at 400 degrees C.
PMID- 26558175
TI - Alteration of Zeta potential and membrane permeability in bacteria: a study with
cationic agents.
AB - In the present study, we have tried to establish the correlation between changes
in Zeta potential with that of cell surface permeability using bacteria
(Escherichia coli and Staphylococcus aureus). An effort has been made to
establish Zeta potential as a possible marker for the assessment of membrane
damage, with a scope for predicting alteration of cell viability. Cationic agents
like, cetyl trimethyl ammonium bromide and polymyxin B were used for inducing
alteration of Zeta potential, and the changes occurring in the membrane
permeability were studied. In addition, assessment of poly-dispersity index
(PDI), cell viability along with confocal microscopic analysis were performed.
Based on our results, it can be suggested that alteration of Zeta potential may
be correlated to the enhancement of membrane permeability and PDI, and it was
observed that beyond a critical point, it leads to cell death (both Gram-positive
and Gram-negative bacteria). The present findings can not only be used for
studying membrane active molecules but also for understanding the surface
potential versus permeability relationship.
PMID- 26558177
TI - Patients' preferences and willingness-to-pay for postmenopausal hormone receptor
positive, HER2-negative advanced breast cancer treatments after failure of
standard treatments.
AB - Patients' preferences increasingly play roles in cancer treatments. The objective
of this study is to examine breast cancer patients' preferences and willingness
to-pay (WTP) for postmenopausal hormone receptor-positive, HER2-negative advanced
breast cancer treatments after failure of standard treatments. Four attributes,
i.e. progression free survival (PFS), anemia, pneumonitis, and cost, and their
levels of exemestane and exemestane plus everolimus from literature and patient
interviews were used to develop a discrete choice experiment questionnaire. Each
questionnaire was composed of seven choice sets and each choice set contained
those four attributes with different levels. Breast cancer patients were asked to
choose one treatment alternative in each choice set. Multinomial logit model was
used to determine relative preferences of each attribute and the WTP for all
attributes and treatments were calculated. A total of 146 patients were included
in study analyses. Results showed that the patients preferred treatments with
higher PFS and lower side effects. The patients were willing to pay US$151.6,
US$69.8, and US$278.3 per month in exchange for every 1 month increase in PFS and
every 1 % decreased risk of anemia and pneumonitis, respectively. The patients
were willing to pay for exemestane and exemestane plus everolimus US$551.8 and
US$414.2 per month, respectively. In conclusion, patients weighted importance on
PFS, anemia, and pneumonitis, when they needed to choose an aromatase inhibitor
plus mammalian target of rapamycin (mTOR) inhibitor for advanced breast cancer
treatments after failure of standard treatments. They valued exemestane alone
more than exemestane plus everolimus.
PMID- 26558178
TI - A comparative study between intravenous and oral alendronate administration for
the treatment of osteoporosis.
AB - It has recently been reported that bisphosphonates are the most common treatment
for osteoporotic patients. However, they are many problems, including poor
bioavailability and adherence, as well as adverse drug reactions. Therefore,
intravenous administration of bisphosphonates has been developed to resolve these
problems. In Japan today, alendronate and ibandronate have been approved for
intravenous administration, and they have advantages, such as good adherence and
better gastrointestinal tolerability, compared to oral administration. We
attempted to confirm the effects of administration of intravenous alendronate,
which is not inferior to oral administration, for osteoporotic patients in
earlier research. 200 consecutive Japanese over 70 years-old postmenopausal women
who visited the first author's orthopedic clinic and had femoral neck or lumbar
spine bone mineral density (BMD) values more than 2.5 SD lower than the reference
values were randomly enrolled in this study. 100 subjects were recruited for
administration of intravenous alendronates because of their poor adherence, no
respond of treatment status, and gastrointestinal adverse effects. Furthermore,
10 of these subjects were excluded due to discontinuation, and a total of 90
subjects were eligible for the intravenous group. The remaining 50 patients
received oral alendronate. The present study also showed no significant
difference between intravenous and oral administration with respect to BMD,
biochemical bone turnover markers, and the incidence of fractures. These results
show that intravenous administration of alendronate is not inferior to oral
alendronate for the treatment of osteoporosis. Therefore, intravenous
administration of alendronate can be recommended if patients do not tolerate or
adhere to oral bisphosphonates.
PMID- 26558179
TI - Influence of burnout and sleep difficulties on the quality of life among medical
students.
AB - This study assessed the influence of burnout dimensions and sleep difficulties on
the quality of life among preclinical-phase medical school students. Data were
collected from 193 students through their completion of the World Health
Organization Quality of Life Instrument, the Maslach Burnout Inventory-Student
Survey, the Mini-Sleep Questionnaire, the Social Readjustment Rating Scale, and
the Beck Depression Inventory. This survey performed hierarchical multiple
regressions to quantify the effects of emotional exhaustion, cynicism, academic
efficacy, and sleep difficulties on the physical, psychological, social, and
environmental components of an individual's quality of life. The influence of
confounding variables, such as gender, stress load, and depressive symptoms, were
controlled in the statistical analyses. Physical health decreased when emotional
exhaustion and sleep difficulties increased. Psychological well-being also
decreased when cynicism and sleep difficulties increased. Burnout and sleep
difficulties together explained 22 and 21 % of the variance in the physical and
psychological well-being, respectively. On the other hand, physical health,
psychological well-being, and social relationships increased when the sense of
academic efficacy increased. Physical and psychological well-being are negatively
associated with emotional exhaustion, cynicism, and sleep difficulties in
students in the early phase of medical school. To improve the quality of life of
these students, a significant effort should be directed towards burnout and sleep
difficulties.
PMID- 26558180
TI - Towards a simple mathematical theory of citation distributions.
AB - The paper is written with the assumption that the purpose of a mathematical
theory of citation is to explain bibliometric regularities at the level of
mathematical formalism. A mathematical formalism is proposed for the appearance
of power law distributions in social citation systems. The principal
contributions of this paper are an axiomatic characterization of citation
distributions in terms of the Ekeland variational principle and a mathematical
exploration of the power law nature of citation distributions. Apart from its
inherent value in providing a better understanding of the mathematical
underpinnings of bibliometric models, such an approach can be used to derive a
citation distribution from first principles.
PMID- 26558181
TI - Step by step approach to rare breast lesions containing spindle cells.
AB - Differential diagnosis of spindle cell lesions of breast is challenging for
certain reasons. The most important reason is the presence of cytological atypia
and mitosis in all three conditions: reactive, benign, and malignant. Patients
diagnosed with benign and malignant tumor/tumor-like lesions that had spindle
cell components following the histopathological examination were included in the
study. The patients' medical records were accessed to obtain the clinical
history, follow-up notes, and radiological findings. Following histopathological,
immunohistochemical, and clinical evaluations, the patients were diagnosed as
follows: pseudoangiomatous stromal hyperplasia (PASH), bilateral desmoid-type
fibromatosis (FM), adenomyoepithelioma (AME), myofibroblastoma (MFB), malignant
phyllodes tumor (MF), high-grade AS, post-chemotherapy osteosarcoma (OS) +
Paget's disease, and metaplastic carcinoma (MC). An algorithmic approach should
be used in the diagnosis; cellular structure, presence and grade of atypia,
growth pattern, mitotic activity, immunohistochemical staining, and clinical and
radiological features should be evaluated together. Detection of some molecular
changes can be useful in differential diagnosis.
PMID- 26558182
TI - Mathematical modeling of a multi-product EMQ model with an enhanced end items
issuing policy and failures in rework.
AB - This study uses mathematical modeling to examine a multi-product economic
manufacturing quantity (EMQ) model with an enhanced end items issuing policy and
rework failures. We assume that a multi-product EMQ model randomly generates
nonconforming items. All of the defective are reworked, but a certain portion
fails and becomes scraps. When rework process ends and the entire lot of each
product is quality assured, a cost reduction n + 1 end items issuing policy is
used to transport finished items of each product. As a result, a closed-form
optimal production cycle time is obtained. A numerical example demonstrates the
practical usage of our result and confirms a significant savings in stock holding
and overall production costs as compared to that of a prior work (Chiu et al. in
J Sci Ind Res India, 72:435-440 2013) in the literature.
PMID- 26558183
TI - Efficacy of sub lethal concentration of entomopathogenic fungi on the feeding and
reproduction of Spodoptera litura.
AB - In the present investigation, impact of sub lethal concentrations of
entomopathogenic fungi, namely Isaria fumosorosea, Beauveria bassiana and
Paecilomyces variotii, secondary metabolite on feeding, growth, fecundity and
hatchability of Spodoptera litura was performed. The S. litura treated with I.
fumosorosea and B. bassiana metabolites exhibited renounced food consumption. The
growth rate of treated S. litura with metabolite of I. fumosorosea had drastic
reduction. In the case of approximate digestibility (AD), maximum impact was
established by the I. fumosorosea isolate, which significantly reduced the
approximate digestibility of the IV and V instar larvae. The III instar larvae of
S. litura treated with I. fumosorosea metabolite showed significantly lower
efficiency of conversion of digested food (ECD) and efficiency of conversion of
ingested food (ECI) values than IV and V instars. However the performance of
metabolites on fecundity and hatchability of S. litura was immense. Therefore,
metabolites of I. fumosorosea could be reliable biocontrol agent, which has been
highly recommended for S. litura management in commercial crops.
PMID- 26558184
TI - Changing picture of renal cortical necrosis in acute kidney injury in developing
country.
AB - Renal cortical necrosis (RCN) is characterized by patchy or diffuse ischemic
destruction of all the elements of renal cortex resulting from significantly
diminished renal arterial perfusion due to vascular spasm and microvascular
injury. In addition, direct endothelial injury particularly in setting of sepsis,
eclampsia, haemolytic uremic syndrome (HUS) and snake bite may lead to
endovascular thrombosis with subsequent renal ischemia. Progression to end stage
renal disease is a rule in diffuse cortical necrosis. It is a rare cause of acute
kidney injury (AKI) in developed countries with frequency of 1.9%-2% of all
patients with AKI. In contrast, RCN incidence is higher in developing countries
ranging between 6%-7% of all causes of AKI. Obstetric complications (septic
abortion, puerperal sepsis, abruptio placentae, postpartum haemorrhage and
eclampsia) are the main (60%-70%) causes of RCN in developing countries. The
remaining 30%-40% cases of RCN are caused by non-obstetrical causes, mostly due
to sepsis and HUS. The incidence of RCN ranges from 10% to 30% of all cases of
obstetric AKI compared with only 5% in non-gravid patients. In the developed
countries, RCN accounts for 2% of all cases of AKI in adults and more than 20% of
AKI during the third trimester of pregnancy. The reported incidence of RCN in
obstetrical AKI varies between 18%-42.8% in different Indian studies. However,
the overall incidence of RCN in pregnancy related AKI has decreased from 20%-30%
to 5% in the past two decades in India. Currently RCN accounts for 3% of all
causes of AKI. The incidence of RCN in obstetrical AKI was 1.44% in our recent
study. HUS is most common cause of RCN in non-obstetrical group, while puerperal
sepsis is leading cause of RCN in obstetric group. Because of the catastrophic
sequelae of RCN, its prevention and aggressive management should always be
important for the better renal outcome and prognosis of the patients.
PMID- 26558185
TI - Biomarkers in kidney transplantation: From bench to bedside.
AB - Immunosuppressive drug level monitoring and serum creatinine are widely used for
kidney transplantation (KT) monitoring. Monitoring of drug level is not the
direct measurement of the immune response while the rising of creatinine is too
late for detection of allograft injury. Kidney biopsy, the gold standard for KT
monitoring, is invasive and may lead to complications. Many biomarkers have been
discovered for direct monitoring of the immune system in KT and the benefit of
some biomarkers has reached clinical level. In order to use biomarkers for KT
monitoring, physicians have to understand the biology including kinetics of each
marker. This can guide biomarker selection for specific condition. Herein, we
summarize the recent findings of donor specific anti-human leukocyte antigen
antibody, B lymphocyte stimulator, interferon-gamma induced protein of 10 kDa,
and intracellular adenosine triphosphate monitoring, all of which have very
strong evidence support for the clinical use in KT.
PMID- 26558186
TI - Medical and alternative therapies in urinary tract stone disease.
AB - Nephrolithiasis is a serious problem for both patients and the health system.
Recurrence stands out as a significant problem in urinary system stone disease,
the prevalence of which is increasing gradually. If recurrence is not prevented,
patients may go through recurrent operations due to nephrolithiasis. While
classical therapeutic options are available for all stone types, the number of
randomized controlled studies and extensive meta-analyses focusing on their
efficiency are inadequate. Various alternative therapeutic options to these
medical therapies also stand out in recent years. The etiology of urolithiasis is
multifactorial and not always related to nutritional factors. Nutrition therapy
seems to be useful, either along with pharmacological therapy or as a
monotherapy. General nutrition guidelines are useful in promoting public health
and developing nutrition plans that reduce the risk or attenuate the effects of
diseases affected by nutrition. Nutrition therapy involves the evaluation of a
patient's nutritional state and intake, the diagnosis of nutrition risk factors,
and the organization and application of a nutrition program. The main target is
the reduction or prevention of calculus formation and growth via decreasing
lithogenic risk factors and increasing lithogenic inhibitors in urine. This
review focuses briefly on classical medical therapy, along with alternative
options, related diets, and medical expulsive therapy.
PMID- 26558187
TI - Pre-treatment considerations in childhood hypertension due to chronic kidney
disease.
AB - Hypertension (HTN) develops very early in childhood chronic kidney disease (CKD).
It is linked with rapid progression of kidney disease, increased morbidity and
mortality hence the imperative to start anti-hypertensive medication when blood
pressure (BP) is persistently > 90(th) percentile for age, gender, and height in
non-dialyzing hypertensive children with CKD. HTN pathomechanism in CKD is
multifactorial and complexly interwoven. The patient with CKD-associated HTN
needs to be carefully evaluated for co-morbidities that frequently alter the
course of the disease as successful treatment of HTN in CKD goes beyond life
style modification and anti-hypertensive therapy alone. Chronic anaemia, volume
overload, endothelial dysfunction, arterial media calcification, and metabolic
derangements like secondary hyperparathyroidism, hyperphosphataemia, and
calcitriol deficiency are a few co-morbidities that may cause or worsen HTN in
CKD. It is important to know if the HTN is caused or made worse by the toxic
effects of medications like erythropoietin, cyclosporine, tacrolimus,
corticosteroids and non-steroidal anti-inflammatory drugs. Poor treatment
response may be due to any of these co-morbidities and medications. A
satisfactory hypertensive CKD outcome, therefore, depends very much on
identifying and managing these co-morbid conditions and HTN promoting medications
promptly and appropriately. This review attempts to point attention to factors
that may affect successful treatment of the hypertensive CKD child and how to
attain the desired therapeutic BP target.
PMID- 26558190
TI - Lupus-associated thrombotic thrombocytopenic purpura-like microangiopathy.
AB - Recently reported cases of lupus complicated by a thrombotic thrombocytopenic
purpura (TTP)-like syndrome suggest a survival benefit to early treatment with
plasma exchange. The following is a report of the eighth such case in the last
ten years. A 44-year-old lady known for lupus presented with the nephrotic
syndrome and a renal biopsy was consistent with class 4G lupus nephritis. She was
given high-dose steroids and cytotoxic therapy, but her induction therapy was
complicated by the classic pentad of TTP. She was subsequently treated with
another course of high-dose steroids, a different cytotoxic agent, and plasma
exchange, with clinical resolution shortly thereafter. Similar to seven recently
reported cases of microangiopathy in lupus, this lady's TTP-like syndrome
improved dramatically after initiation of plasma exchange, despite not having a
severely deficient ADAMTS13. This has implications on both current clinical
practice and on the pathogenesis of TTP-like syndromes in lupus.
PMID- 26558189
TI - When to initiate renal replacement therapy: The trend of dialysis initiation.
AB - The timing of renal replacement therapy for patients with end-stage renal disease
has been subject to considerable variation. The United States Renal Data System
shows an ascending trend of early dialysis initiation until 2010, at which point
it decreased slightly for the following 2 years. In the 1990s, nephrologists
believed that early initiation of dialysis could improve patient survival. Based
on the Canadian-United States Peritoneal Dialysis study, the National Kidney
Foundation Dialysis Outcomes Quality Initiative recommended that dialysis should
be initiated early. Since 2001, several observational studies and 1 randomized
controlled trial have found no beneficial effect when patients were placed on
dialysis early. In contrast, they found that an increase in mortality was
associated with early dialysis initiation. The most recent dialysis initiation
guidelines recommend that dialysis should be initiated at an estimated glomerular
filtration rate (eGFR) of greater than or equal to 6 mL/min per 1.73 m(2).
Nevertheless, the decision to start dialysis is mainly based on a predefined eGFR
value, and no convincing evidence has demonstrated that patients would benefit
from early dialysis initiation as indicated by the eGFR. Even today, the optimal
dialysis initiation time remains unknown. The decision of when to start dialysis
should be based on careful clinical evaluation.
PMID- 26558188
TI - Hepatorenal syndrome: Update on diagnosis and treatment.
AB - Acute kidney injury (AKI) is a common complication in patients with end-stage
liver disease and advanced cirrhosis regardless of the underlying cause.
Hepatorenal syndrome (HRS), a functional form of kidney failure, is one of the
many possible causes of AKI. HRS is potentially reversible but involves highly
complex pathogenetic mechanisms and equally complex clinical and therapeutic
management. Once HRS has developed, it has a very poor prognosis. This review
focuses on the diagnostic approach to HRS and discusses the therapeutic protocols
currently adopted in clinical practice.
PMID- 26558191
TI - A novel FBN1 missense mutation (p.C102Y) associated with ectopia lentis syndrome
in a Chinese family.
AB - AIM: To characterize the disease-causing mutations in a Chinese family with
ectopia lentis syndrome (ELS). METHODS: Patients and their family members were
given complete physical, ophthalmic, and cardiovascular examinations. Genomic DNA
samples were extracted from the peripheral blood of the pedigree members and 100
healthy controls. Mutation screening was performed in the fibrillin-1 (FBN1) gene
by bi-directional sequencing of the amplified products. The mutation was analyzed
using two bioinformatics methods. RESULTS: A novel heterozygous c.305G>A mutation
in exon 3 of FBN1 was detected. As a result of this change, a highly conserved
cysteine residue was replaced by a tyrosine residue (p.C102Y). Another mutation
was found in the same exon (c.303T>C), which did not change the amino acid
sequence. Both mutations were discovered in each affected individual, but not in
the unaffected family members, or in 100 ethnically matched controls. A
bioinformatics analysis predicted that mutation p.C102Y would affect protein
function. CONCLUSION: In the first epidermal growth factor-like module, we
identified a novel FBN1 mutation (p.C102Y), which caused ELS in the family. Our
study presented a unique phenotype, including some distinct ophthalmic findings,
such as hypoplasia of the iris and anisometropia. Our results expanded the
mutation spectrum of FBN1 and enriched the overall knowledge of genotype
phenotype correlations due to FBN1 mutations.
PMID- 26558192
TI - Efficacy of the nucleotide-binding oligomerzation domain 1 inhibitor Nodinhibit-1
on corneal alkali burns in rats.
AB - AIM: To evaluate the therapeutic effect of Nodinhibit-1 on alkali-burn-induced
corneal neovascularization (CNV) and inflammation. The nucleotide-binding
oligomerzation domain 1 (NOD1) is a potent angiogenic gene. METHODS: The alkali
burned rat corneas (32 right eyes) were treated with eye drops containing
Nodinhibit-1 or phosphate buffered solution (PBS, PH 7.4) only, four times per
day. CNV and inflammation were monitored using slit lamp microscopy, and the area
of CNV was measured by formula. Vascular endothelial growth factor (VEGF) and
pigment epithelium-derived factor (PEDF) was determined by Western blot analysis.
The TUNEL assay was used to assess the corneal apoptosis cells. RESULTS: Alkali
burn-induced progressive CNV and inflammation in the cornea. After treatment for
7d and 14d, there were statistically significant differences in the CNV areas and
inflammatory index on that between two group(P<0.05, respectively). Epithelial
defect quantification showed a significant difference between the two groups at
days 4 and 7 after the alkali burns (P<0.05). The apoptotic cells on days 1, 4,
and 7 between the two groups showed significant differences at all time points
(P<0.05, respectively). Compared to that in control group, the protein level of
VEGF expression was significantly reduced whereas the PEDF expression was
increase in the Nodinhibit-1 groups on day 14 (P<0.05, respectively). CONCLUSION:
Topical application of 10.0 ug/mL Nodinhibit-1 may have potential effect for the
alkali burn-induced CNV and inflammation. The effect of Nodinhibit-1 on CNV may
be by regulation the equilibrium of VEGF and PEDF in the wounded cornea.
PMID- 26558193
TI - Expression of vitamin D receptor and cathelicidin in human corneal epithelium
cells during fusarium solani infection.
AB - AIM: To observe the expression of vitamin D receptor (VDR) in human specimen and
immortalized human corneal epithelium cells (HCEC) when challenged with fusarium
solani. Moreover, we decided to discover the pathway of VDR expression. Also, we
would like to detect the expression of cathelicidin antimicrobial peptide (CAMP)
in the downstream pathway of VDR. METHODS: Immunohistochemistry was used to
examine the VDR expression in HCEC from healthy and fungal keratitis patients.
Real time quantitative polymerase chain reaction (qPCR) was performed to observe
the messenger ribonucleic acid (mRNA) change of VDR when immortalized HCEC were
challenged with fusarium solani for different hours. CAMP was detected at both
mRNA and protein levels. RESULTS: We found out that the VDR expression in
fusarium solani keratitis patients' specimen was much more than that in healthy
people. The mRNA and protein expression of VDR increased when we stimulated HCEC
with fusarium solani antigen (P<0.01) and it could be inhibited by toll like
receptor 2 (TLR2) monoclonal antibody. The CAMP expression was decreased because
of fusarium solani antigen stimulation (P<0.01). CONCLUSION: The VDR expression
can be increased via TLR2/1-VDR pathway while the CAMP expression is decreased by
the stimulation of fusarium solani antigen.
PMID- 26558194
TI - Expression of transcription factors Slug in the lens epithelial cells undergoing
epithelial-mesenchymal transition induced by connective tissue growth factor.
AB - AIM: To investigate the expression of transcription factors Slug in human lens
epithelial cells (HLECs) undergoing epithelial-mesenchymal transition (EMT)
induced by connective tissue growth factor (CTGF). METHODS: HLECs were treated
with CTGF of different concentrations (20, 50 and 100 ng/mL) or without CTGF
(control) for 24h. The morphological changes of HLECs were analysed by
microscopy. The expression and cellular localization of Slug was evaluated by
immumo-fluorescence. Expressions of Slug, E-cadherin and alpha smooth muscle
actin (alpha-SMA) were further determined by Western blot analysis. RESULTS:
HLECs showed spidle fibrolasts-like characteristics and loosely connected each
other after CTGF treatment. The immuno-fluorescence staining indicated that Slug
was localized in the nuclei and its expression was induced by CTGF. The relative
expressions of Slug protein were 1.64+/-0.11, 1.96 +/-0.03, 3.12 +/-0.10, and
4.08+/-0.14, respectively, in response to control group and treatment with CTGF
of 20, 50 and 100 ng/mL (F=443.86, P<0.01). The increased Slug protein levels
were correlated well with up-expression of alpha-SMA (0.78+/-0.05, 0.85+/-0.06,
2.17+/-0.15, 2.86+/-0.10; F=449.85, P<0.01) and down-expression of E-cadherin
(2.50+/-0.11, 1.79+/-0.26, 1.05+/-0.14, 0.63+/-0.08; F=101.55, P<0.01).
CONCLUSION: Transcription factor Slug may be involved in EMT of HLECs induced by
CTGF in vitro.
PMID- 26558195
TI - Small interfering RNA targeting PGC-1alpha inhibits VEGF expression and tube
formation in human retinal vascular endothelial cells.
AB - AIM: To determine whether small interfering RNA (siRNA) of PGC-1alpha could
inhibit vascular endothelial growth factor (VEGF) expression and tube formation
in human retinal vascular endothelial cells (hRVECs). METHODS: hRVECs transfected
with peroxisome proliferator-activated receptor-gamma coactivator-1alpha (PGC
1alpha) siRNA were incubated for 24h and then placed into a normoxic (20%, O2) or
hypoxic (1%, O2) environment for another 16h. PGC-1alpha mRNA and protein levels
were detected by real-time PCR and Western blot. VEGF mRNA and protein levels
were detected by real-time PCR and ELISA. Cell proliferation was evaluated by
BrdU incorporation assay. Forty-eight hours after siRNA transfection, hRVECs were
planted into Matrigel-coated plates and cultured under normoxic (20%, O2) or
hypoxic (1%, O2) conditions for another 48h. The tube formation of hRVECs was
observed under an optical microscope and quantified by counting the number of
branch points and calculating the total tube length. RESULTS: PGC-1alpha mRNA and
protein levels were significantly reduced by PGC-1alpha siRNA, and VEGF mRNA and
protein levels also decreased significantly. The percentage of BrdU-labeled cells
in siPGC-1alpha groups were significantly decreased compared with control siRNA
groups under normoxia and hypoxia in cell proliferation assay. In the tube
formation assay, PGC-1alpha siRNA treated cells formed significantly fewer tubes.
CONCLUSION: Blocking PGC-1alpha expression can inhibit VEGF expression in hRVECs
and inhibit their ability to form tubes under both normoxic and hypoxic
conditions.
PMID- 26558196
TI - The protective role of tacrine and donepezil in the retina of
acetylcholinesterase knockout mice.
AB - AIM: To determine the effect of different concentrations of the
acetylcholinesterase (AChE) inhibitors tacrine and donepezil on retinal
protection in AChE(+/-) mice (AChE knockout mice) of various ages. METHODS:
Cultured ARPE-19 cells were treated with hydrogen peroxide (H2O2) at
concentrations of 0, 250, 500, 1000 and 2000 umol/L and protein levels were
measured using Western blot. Intraperitoneal injections of tacrine and donepezil
(0.1 mg/mL, 0.2 mg/mL and 0.4 mg/mL) were respectively given to AChE(+/-) mice
aged 2mo and 4mo and wild-type S129 mice for 7d; phosphate buffered saline (PBS)
was administered to the control group. The mice were sacrificed after 30d by in
vitro cardiac perfusion and retinal samples were taken. AChE-deficient mice were
identified by polymerase chain reaction (PCR) analysis using specific genotyping
protocols obtained from the Jackson Laboratory website. H&E staining,
immunofluorescence and Western blot were performed to observe AChE protein
expression changes in the retinal pigment epithelial (RPE) cell layer. RESULTS:
Different concentrations of H2O2 induced AChE expression during RPE cell
apoptosis. AChE(+/-) mice retina were thinner than those in wild-type mice
(P<0.05); the retinal structure was still intact at 2mo but became thinner with
increasing age (P<0.05); furthermore, AChE(+/-) mice developed more slowly than
wild-type mice (P<0.05). Increased concentrations of tacrine and donepezil did
not significantly improve the protection of the retina function and morphology
(P>0.05). CONCLUSION: In vivo, tacrine and donepezil can inhibit the expression
of AChE; the decrease of AChE expression in the retina is beneficial for the
development of the retina.
PMID- 26558197
TI - Protein tyrosine phosphatase 1B regulates migration of ARPE-19 cells through
EGFR/ERK signaling pathway.
AB - AIM: To evaluate whether protein tyrosine phosphatase 1B (PTP1B) contributed to
initiate human retinal pigment epithelium cells (A)-19 migration and investigate
the signaling pathways involved in this process. METHODS: ARPE-19 cells were
cultured and treated with the siRNA-PTP1B. Expression of PTP1B was confirmed by
quantitative reverse transcriptase-polymerase chain reaction (qRT-PCR). AG1478 [a
selective inhibitor of epidermal growth factor receptor (EGFR)] and PD98059 (a
specific inhibitor of the activation of mitogen-activated protein kinase) were
used to help to determine the PTP1B signaling mechanism. Western blot analysis
verified expression of EGFR and extracellular signal-regulated kinase (ERK) in
ARPE-19 cells. The effect of siRNA-PTP1B on cell differentiation was confirmed by
immunostaining for alpha-smooth muscle actin (alpha-SMA) and qRT-PCR. Cell
migration ability was analyzed by transwell chamber assay. RESULTS: The mRNA
levels of PTP1B were reduced by siRNA-PTP1B as determined by qRT-PCR assay. SiRNA
PTP1B activated EGFR and ERK phosphorylation. alpha-SMA staining and qRT-PCR
assay demonstrated that siRNA-PTP1B induced retinal pigment epithelium (RPE)
cells to differentiate toward better contractility and motility. Transwell
chamber assay proved that PTP1B inhibition improved migration activity of RPE
cells. Treatment with AG1478 and PD98059 abolished siRNA-PTP1B-induced activation
of EGFR and ERK, alpha-SMA expression and cell migration. CONCLUSION: PTP1B
inhibition promoted myofibroblast differentiation and migration of ARPE-19 cells,
and EGFR/ERK signaling pathway played important role in migration process.
PMID- 26558198
TI - Comparison of electroretinographic responses between two different age groups of
adult Dark Agouti rats.
AB - AIM: To describe and compare the differences in electroretinographic responses
between two different age groups of adult Dark Agouti (DA) rats and to better
understand the effect of age on retinal histology and function. METHODS: The
electroretinographic responses of two different age groups of adult DA rats were
compared. Animals were divided into younger adult DA rats 10-12wk (n=8) and older
adult DA rats 17-19wk (n=8). Full field electroretinography (ERG) was recorded
simultaneously from both eyes after dark adaption and light adaption and
parameters including the positive scotopic threshold response (pSTR), negative
scotopic threshold response (nSTR), scotopic a-wave, b-wave, photopic a-wave, b
wave and photopic negative response (PhNR) were compared between groups. RESULTS:
The older adult rats displayed lower stimulation thresholds of the STRs (pSTR and
nSTR) and higher amplitudes of pSTR, scotopic a-wave and b-wave, photopic b-wave
and PhNR amplitudes, with shorter implicit times. Photopic a-wave amplitudes were
however higher in the younger adult rats. CONCLUSION: In summary, for the rod
system, photoreceptor, bipolar cell and RGC activity was enhanced in the older
adult rats. For the cone system, RGC and bipolar cell activity was enhanced,
while photoreceptor activity was depressed in the older adult rats. Such age
related selective modification of retinal cell function needs to be considered
when conducting ophthalmic research in adult rats.
PMID- 26558199
TI - Effects of 530 nm monochromatic light on basic fibroblast growth factor and
transforming growth factor-beta1 expression in Muller cells.
AB - AIM: To expose rat retinal Muller cells to 530 nm monochromatic light and
investigate the influence of varying light illumination times on basic fibroblast
growth factor (bFGF) and transforming growth factor-beta1 (TGF-beta1) expression.
METHODS: Three groups of rat retinal Muller cells cultured in vitro under a 530
nm monochromatic light were divided into 6, 12 and 24h experimental groups, while
cells incubated under dark conditions served as the control group. The bFGF and
TGF-beta1 mRNA expression, protein levels and fluorescence intensity of the
Muller cells were analyzed. RESULTS: The bFGF mRNA expression and protein levels
were significantly upregulated in Muller cells in all three experimental groups
compared with the control group (P<0.05), while that of TGF-beta1 was
downregulated (P<0.05). Also, bFGF expression was positively correlated, but TGF
beta1 expression was negatively correlated with illumination time. The largest
changes for both cytokines were seen in the 24h group. The changes in bFGF and
TGF-beta1 fluorescence intensity were highest in the 24h group, and significant
differences were observed among the experimental groups (P<0.05). CONCLUSION: The
expressions of bFGF and TGF-beta1 changed in a time-dependent manner in Muller
cells exposed to 530 nm monochromatic light with 250 lx illumination intensity.
Muller cells might play a role in the development of myopia by increasing bFGF
expression or decreasing TGF-beta1 expression. Changes in cytokine expression in
retinal Muller cells may affect monochromatic light-induced myopia.
PMID- 26558200
TI - Achromatopsia caused by novel missense mutations in the CNGA3 gene.
AB - AIM: To identify the genetic defects in a Chinese family with achromatopsia.
METHODS: A 2.5-year-old boy, who displayed nystagmus, photophobia, and hyperopia
since early infancy, was clinically evaluated. To further confirm and localize
the causative mutations in this family, targeted region capture and next
generation sequencing of candidate genes, such as CNGA3, CNGB3, GNAT2, PDE6C, and
PDE6H were performed using a custom-made capture array. RESULTS: Slit-lamp
examination showed no specific findings in the anterior segments. The optic discs
and maculae were normal on fundoscopy. The unaffected family members reported no
ocular complaints. Clinical signs and symptoms were consistent with a clinical
impression of autosomal recessive achromatopsia. The results of sequence analysis
revealed two novel missense mutations in CNGA3, c.633T>A (p.D211E) and c.1006G>T
(p.V336F), with an autosomal recessive mode of inheritance. CONCLUSION: Genetic
analysis of a Chinese family confirmed the clinical diagnosis of achromatopsia.
Two novel mutations were identified in CNGA3, which extended the mutation
spectrum of this disorder.
PMID- 26558201
TI - Combined pars plana vitrectomy and Baerveldt glaucoma implant placement for
refractory glaucoma.
AB - AIM: To evaluate outcomes of combined pars plana vitrectomy and Baerveldt
glaucoma implant (PPV-BGI) placement for refractory glaucoma. METHODS: The
medical records of 92 eyes (89 patients) that underwent PPV-BGI were
retrospectively reviewed, including 43 eyes with neovascular glaucoma (NVG) and
49 eyes with other types of glaucoma (non-NVG). RESULTS: Outcome measures were
visual acuity (VA), intraocular pressure (IOP), glaucoma medical therapy,
complications, and success [VA>hand motions (HM), IOP>=6 mm Hg and <=21 mm Hg, no
subsequent glaucoma surgery]. Cumulative success rates for the non-NVG group and
NVG group were 79% and 40% at 1y, respectively (P=0.038). No difference in the
rates of surgical success were found between pars plana and anterior chamber tube
placement. Preoperative IOP (mean+/-SD) was 30.3+/-11.7 mm Hg in the Non-NVG
group and 40.0+/-10.6 mm Hg in the NVG group, and IOP was reduced to 15+/-9.5 mm
Hg in the non-NVG group and 15+/-10.5 mm Hg in the NVG at 1y. Number of glaucoma
medications (mean+/-SD) decreased from 2.7+/-1.3 in the non-NVG group and 2.8+/
1.3 in the NVG group preoperatively to 0.76+/-1.18 in the non-NVG group and
0.51+/-1.00 in the NVG group at 1y. Improvement in VA of >=2 Snellen lines was
observed in 25 (27%) eyes, although only 33% of non-NVG eyes and 2.3% of NVG eyes
maintained VA better than 20/200 at 1y. Nonclearing vitreous hemorrhage was the
most common postoperative complication occurring in 16 (17%) eyes, and
postoperative suprachoroidal hemorrhages developed in 5 (5.4%) eyes. CONCLUSION:
PPV-BGI is a viable surgical option for eyes with refractory glaucoma, but visual
outcomes are frequently poor because of ocular comorbidities, especially in eyes
with NVG. The location of tube placement does not influence surgical outcome and
should be left to the discretion of the surgeon.
PMID- 26558202
TI - Presence of Fleischer ring and prominent corneal nerves in keratoconus relatives
and normal controls.
AB - AIM: To examine the occurrence of commonly known clinical signs of keratoconus
(KC), i.e. Fleischer ring, prominent corneal nerves and thinning, among
unaffected family members of KC patients and healthy control individuals.
METHODS: Data of both eyes of 117 relatives of KC patients having no manifest
disease based on videokeratography indices (KC relatives), and 142 controls were
used for Pearson correlation and t-test statistics. Correlation of Fleischer
ring, prominent corneal nerves and central pachymetry data were tested with each
other and with videokeratography indices (KSI, KISA, 3 and 6 mm Fourier
asymmetry, and I-S). RESULTS: A moderate correlation was found between Fleischer
ring and all examined topographical indices. Most important correlation was
present with 6 mm Fourier asymmetry, and corneal pachymetry (r=0.272, P<0.001; r=
0.234, P=0.027, respectively). Similar correlations were found with prominent
corneal nerves (r=0.234, P<0.001 for 6 mm Fourier asymmetry and r=-0.235,
P=0.0265 for pachymetry). KC family members who exhibited Fleischer ring or
prominent nerves had thinner and more asymmetric corneas than those without
Fleischer ring or prominent corneal nerves (P<0.05 for pachymetry and topographic
indices with t-test and Mann-Whitney rank sum test). Though rarely, Fleischer
ring and prominent corneal nerves occurred among normal controls, indicating the
existence of forme fruste cases in the normal population. Control subjects, who
had corneal Fleischer ring or prominent nerves had corneas more similar to KC
than other controls (t-test: increased KSI and KISA, P=0.048 and 0.012,
respectively). CONCLUSION: In KC family members and healthy individuals,
Fleischer ring and prominent corneal nerves are associated with features of KC
and may suggest a possibility of forme fruste KC. Searching for the possible
presence of Fleischer ring or prominent nerves on the cornea may help in the
decision whether or not to diagnose subclinical KC in a borderline case.
PMID- 26558203
TI - A new multiple noncontinuous puncture (pointage) technique for corneal tattooing.
AB - AIM: To assess the safety and cosmetic efficacy of a new multiple noncontinuous
transepithelial puncture technique for tattooing a decompensated cornea. METHODS:
It was a non-comparative clinical case series study. The study examines 33 eyes
in 33 patients with total corneal opacity due to corneal decompensation, which
developed following intraocular surgery. Corneal tattooing was performed using
the multiple noncontinuous transepithelial puncture technique (i.e. pointage).
The safety of this new surgical strategy was assessed by occurrence of adverse
events for the follow-up period. The cosmetic efficacy was determined by the
patient's cosmetic satisfaction and independent observer's opinion about patient
appearance. RESULTS: Seven women and 26 men were included in the study. The mean
age was 46.4+/-17.5y (range: 7-67). In total, 30 of 33 patients (91%) reported
cosmetic satisfaction within the follow-up period. Only 3 patients (9%) required
additional tattooing due to cosmetic unsatisfaction. Cosmetic outcomes were
analyzed and classified as excellent or good in 13 (39%) and 17 (52%) patients,
respectively. No serious adverse events developed, except delayed epithelial
healing in 3 cases. CONCLUSION: The cosmetic outcomes of the multiple
noncontinuous transepithelial puncture technique for corneal tattooing were good.
The safety of this method is higher than conventional procedures. This new
procedure also provides improved cost-effectiveness and safety over current
corneal tattooing techniques.
PMID- 26558204
TI - Risk factors of regression and undercorrection in photorefractive keratectomy: a
case-control study.
AB - AIM: To determine risk factors of regression and undercorrection following
photorefractive keratectomy (PRK) in myopia or myopic astigmatism. METHODS: A
case-control study was designed in which eyes with an indication for re-treatment
(RT) were defined as cases; primary criteria for RT indication, as assessed at
least 9mo postoperatively, included an uncorrected distance visual acuity (UDVA)
of 20/30 or worse and a stable refraction for more than 3mo. Additional
considerations included optical quality symptoms and significant higher order
aberrations (HOAs). Controls were chosen from the same cohort of operated eyes
which had complete post-operative follow up data beyond 9mo and did not need RT.
The cohort included patients who had undergone PRK by the Tissue-Saving (TS)
ablation profile of Technolas 217z100 excimer laser (Bausch & Lomb, Rochester,
NY, USA). Mitomycin C had been used in all of the primary procedures. RESULTS: We
had 70 case eyes and 158 control eyes, and they were comparable in terms of age,
sex and follow-up time (P values: 0.58, 1.00 and 0.89, respectively). Pre
operative spherical equivalent of more than -5.00 diopter (D), intended optical
zone (OZ) diameter of less than 6.00 mm and ocular fixation instability during
laser ablation were associated with RT indications (all P values <0.001). These
factors maintained their significance in the multiple logistic regression model
with odd ratios of 6.12, 6.71 and 7.89, respectively. CONCLUSION: Higher
refractive correction (>-5.00 D), smaller OZ (<6.00 mm) and unstable fixation
during laser ablation of PRK for myopia and myopic astigmatism were found to be
strong predictors of undercorrection and regression.
PMID- 26558205
TI - Toxic keratopathy associated with abuse of topical anesthetics and amniotic
membrane transplantation for treatment.
AB - AIM: To evaluate the clinical findings of toxic keratopathy associated with abuse
of topical anesthetics and the treatment efficacy of amniotic membrane
transplantation (AMT). METHODS: Fifteen eyes of 10 patients with abuse of topical
anesthetics were included in this study. The data collected included patients'
demographic information, initial best corrected visual acuity (BCVA), clinical
findings, time to AMT, duration of epithelization, additional treatments,
posttreatment BCVA, and the results of psychiatric examination. RESULTS: All
patients were male, with the mean age of 37.9+/-5.4y. The patients had received
0.5% proparacaine hydrochloride due to pain resulting from foreign bodies, such
as welding flash burns and car battery explosions, for a mean of 18.2+/-12.4d.
The mean initial BCVA of the patients was 0.069+/-0.100. All patients had
persistent epithelial defects, stromal infiltration, ring ulcer, and corneal
edema. Initially, medical treatment was attempted in all cases. Because of severe
pain, persistent epithelial defects and progressing stromal thinning did not
improve with medical treatment, thus, the patients underwent AMT. The mean
posttreatment BCVA of the patients was 0.33+/-0.27. All of them, except for two
patients, showed improved visual acuity. One patient underwent evisceration for
corneal melting and endophthalmitis in another center, and one patient underwent
evisceration for severe pain of unknown origin. All 5 patients who consented to a
psychiatric examination had depression, had personality disorder, and used
tobacco. CONCLUSION: AMT appears to be an effective method for pain relief, rapid
epithelial and stromal healing; however, visual prognosis is still poor despite
medical and surgical interventions.
PMID- 26558206
TI - Comparison of brimonidine-timolol and dorzolamide-timolol in the management of
intraocular pressure increase after phacoemulsification.
AB - AIM: To compare the effectiveness of brimonidine/timolol fixed combination (BTFC)
and dorzolamide/timolol fixed combination (DTFC) in the management of short-term
intraocular pressure (IOP) increase after phacoemulsification surgery. METHODS:
Eighty eyes of 80 patients undergoing phacoemulsification and intraocular lens
(IOL) implantation were randomly assigned into three groups. Group 1 consisted of
28 eyes and represented the control group. Group 2 consisted of 25 eyes
undergoing phacoemulsification surgery and BTFC was instilled at the end of
surgery. Group 3 consisted of 27 eyes undergoing phacoemulsification surgery and
DTFC was instilled at the end of surgery. IOP was measured preoperatively and 6,
24h and 1wk postoperatively. RESULTS: There was no statistically significant
difference in preoperative baseline IOP among the three groups (P=0.84). However,
IOP was significantly lower in groups 2 and 3 compared to the control group
(P<0.05 for all comparisons) at all postoperative visits. There was no
significant difference between groups 2 and 3 at any visit. Eight eyes (28.6%) in
the control group, two (8%) in Group 2 and one (3.7%) in Group 3 had IOP >25 mm
Hg at 6h after surgery (P=0.008). However, IOP decreased and was >25 mm Hg in
only one eye in each group at 24h after surgery. CONCLUSION: BTFC and DTFC have
similar effects in reducing increases in IOP after phacoemulsification surgery
and can both be recommended for preventing IOP spikes after such surgery.
PMID- 26558207
TI - Management of hypotony and flat anterior chamber associated with glaucoma
filtration surgery.
AB - AIM: To determine the effectiveness of pharmacological and interventional
treatment of hypotony and flat anterior chamber (FAC) resulting from glaucoma
filtration surgery. METHODS: We retrospectively examined the medical records of
fifty-two trabeculectomy patients (52 eyes) who developed postoperative hypotony
and FAC. The management and associated complications of hypotony, changing
intraocular pressure (IOP) and best corrected visual acuity (BCVA) were
evaluated. RESULT: Of the 52 patients with hypotony, 29 (56%) had a grade 1 FAC,
21 (40%) had a grade 2 FAC, and only 2 had a grade 3 FAC. There was no
significant difference between the mean preoperative IOP and the mean IOP at
three and six months after surgery. Thirteen eyes (25%) required antiglaucomatous
medication three months after surgery. The mean BCVA at 6mo after surgery was
significantly reduced as compared with the mean preoperative BCVA. CONCLUSION:
Hypotonia and FAC following trabeculectomy are associated with troublesome
complications that require pharmacological and/or surgical treatment. Thus, close
follow-up is essential for affected patients.
PMID- 26558208
TI - Effects of primary selective laser trabeculoplasty on anterior segment
parameters.
AB - AIM: To investigate the effects of selective laser trabeculoplasty (SLT) on the
main numerical parameters of anterior segment with Pentacam rotating Scheimpflug
camera in patients with ocular hypertension (OHT) and primary open angle glaucoma
(POAG). METHODS: Pentacam measurements of 45 eyes of 25 (15 females and 10 males)
patients (12 with OHT, 13 with POAG) before and after SLT were obtained.
Measurements were taken before and 1 and 3mo after SLT. Pentacam parameters were
compared between OHT and POAG patients, and age groups (60y and older, and
younger than 60y). RESULTS: The mean age of the patients was 57.8+/-13.9 (range
20-77y). Twelve patients (48%) were younger than 60y, while 13 patients (52%)
were 60y and older. Measurements of pre-SLT and post-SLT 1mo were significantly
different for the parameters of central corneal thickness (CCT) and anterior
chamber volume (ACV) (P<0.05). These parameters returned back to pre-SLT values
at post-SLT 3mo. Decrease of ACV at post-SLT 1mo was significantly higher in
younger than 60y group than 60y and older group. There was no statistically
significant difference in Pentacam parameters between OHT and POAG patients at
pre- and post-treatment measurements (P>0.05). CONCLUSION: SLT leads to
significant increase in CCT and decrease in ACV at the 1(st) month of the
procedure. Effects of SLT on these anterior segment parameters, especially for
CCT that interferes IOP measurement, should be considered to ensure accurate
clinical interpretation.
PMID- 26558209
TI - Long-term outcomes in patients initially responsive to selective laser
trabeculoplasty.
AB - AIM: To determine the long-term effects of selective laser trabeculoplasty (SLT)
on intraocular pressure (IOP) and the number of medications used up to 5y
following treatment in glaucoma patients receiving maximally tolerated medical
therapy (MTMT). METHODS: The Wills Eye Hospital Glaucoma Research Center
retrospectively reviewed the charts of glaucoma patients who underwent SLT after
receiving MTMT. Eyes that did not achieve their target pressure within 3mo
following SLT were excluded from the study. Changes in mean IOP and number of
glaucoma medications used were analyzed at 1, 3, and 5y following SLT. RESULTS:
Seventy-five eyes of 67 patients were included in the study. Fifteen eyes that
received SLT failed to achieve their target pressure within 3mo and were excluded
from the study. The average follow-up time was 37.4mo (+/-14.4). Mean IOP was
significantly reduced 1y after treatment (P=0.005). It was also reduced 3, 5y
after treatment without reaching statistical significance (P=0.20 and P=0.072,
respectively). There was a significant decrease in mean number of medications
used 1, 3, 5y after treatment (P<0.001, P<0.001, and P=0.039, respectively). In
the span of 5y, 2 eyes (2.7%) underwent repeat SLT, 7 eyes (9.3%) underwent
glaucoma surgery and an additional 3 eyes (4.0%) underwent both. CONCLUSION: SLT
significantly reduced the number of glaucoma medications used 5y following
treatment in glaucoma patients receiving MTMT. SLT may delay operating-room
surgery.
PMID- 26558210
TI - Phacoemulsification versus small incision cataract surgery in patients with
uveitis.
AB - AIM: To compare the safety and efficacy of phacoemulsification and small incision
cataract surgery (SICS) in patients with uveitic cataract. METHODS: In a
prospective, randomized multi-centric study, consecutive patients with uveitic
cataract were randomized to receive phacoemulsification or manual SICS by either
of two surgeons well versed with both the techniques. A minimum inflammation free
period of 3mo (defined as less than 5 cells per high power field in anterior
chamber) was a pre-requisite for eligibility for surgery. Superior scleral tunnel
incisions were used for both techniques. Improvement in visual acuity post
operatively was the primary outcome measure and the rate of post-operative
complications and surgical time were secondary outcome measures, respectively.
Means of groups were compared using t-tests. One way analysis of variance (ANOVA)
was used when there were more than two groups. Chi-square tests were used for
proportions. Kaplan Meyer survival analysis was done and means for survival time
was estimated at 95% confidence interval (CI). A P value of <0.05 was considered
statistically significant. RESULTS: One hundred and twenty-six of 139 patients
(90.6%) completed the 6-month follow-up. Seven patients were lost in follow up
and another six excluded due to either follow-up less than six months (n=1) or
inability implant an intraocular lens (IOL) because of insufficient capsular
support following posterior capsule rupture (n=5). There was significant
improvement in vision after both the procedures (paired t-test; P<0.001). On
first postoperative day, uncorrected distance visual acuity (UDVA) was 20/63 or
better in 31 (47%) patients in Phaco group and 26 (43.3%) patients in SICS group
(P=0.384). The mean surgically induced astigmatism (SIA) was 0.86+/-0.34 dioptres
(D) in the phacoemulsification group and 1.16+/-0.28 D in SICS group. The
difference between the groups was significant (t-test, P=0.002). At 6mo,
corrected distance visual acuity (CDVA) was 20/60 or better in 60 (90.9%)
patients in Phaco group and 53 (88.3%) in the manual SICS group (P=0.478). The
mean surgical time was significantly shorter in the manual SICS group (10.8+/-2.9
versus 13.2+/-2.6min) (P<0.001). Oral prednisolone, 1 mg/kg body weight was given
7d prior to surgery, continued post-operatively and tapered according to the
inflammatory response over 4-6wk in patients with previously documented macular
edema, recurrent uveitis, chronic anterior uveitis and intermediate uveitis. Rate
of complications like macular edema (Chi-square, P=0.459), persistent uveitis
(Chi-square, P=0.289) and posterior capsule opacification (Chi-square, P=0.474)
were comparable between both the groups. CONCLUSION: Manual SICS and
phacoemulsification do not differ significantly in complication rates and final
CDVA outcomes. However, manual SICS is significantly faster. It may be the
preferred technique in settings where surgical volume is high and access to
phacoemulsification is limited, such as in eye camps. It may also be the
appropriate technique for uveitic cataract under such circumstances.
PMID- 26558211
TI - Results of cataract surgery in renal transplantation and hemodialysis patients.
AB - AIM: To compare the effect of cataract surgery in renal transplantation and
hemodialysis patients. METHODS: We evaluated 51 eyes of 31 renal transplantation
patients, 41 eyes of 29 hemodialysis patients and 45 eyes of 32 normal control
patients who received phacoemulsification and intraocular lens (IOL) implantation
from January, 2000 to August, 2014 in the Beijing Friendship Hospital. Each
individual underwent a blood routine and a kidney function examination. Routine
ophthalmologic examination included best-corrected visual acuity (BCVA), a slit
lamp examination to detect cataract type, determination of intraocular pressure,
a corneal endothelial count, and fundus examination. All patients received
phacoemulsification and an IOL implantation. RESULTS: For the types of cataract
in the three groups, transplantation group was significantly different from
normal control group (P=0.04), the most kind is posterior subcapsular cataract
(PSC) in transplantation group 33 (64.7%), hemodialysis group had no
significantly difference from normal control group (P=0.43), and the difference
between transplantation group and hemodialysis group also had significantly
difference (P=0.02). For postoperative BCVA in the three groups, transplantation
group had significantly difference from normal control group (P=0.03),
hemodialysis group was significantly different from normal control group
(P=0.00), and the difference between transplantation group and hemodialysis group
also had significantly difference (P=0.00). The multiple linear regression
equation is Y=0.007 hemoglobin (Hb)-0.000233 serum creatinine (Cr), R(2)=0.898.
Postoperative fundus examination showed that hemorrhage, exudation, and macular
degeneration were greater in the hemodialysis group. CONCLUSION: This study
showed that the PSC was more in the renal transplantation patients. BCVA was
better and fundus lesions were less frequent in the renal transplantation group
than in the hemodialysis group after cataract surgery. The multiple linear
regression was showed that the Hb was positively correlated with postoperative
BCVA, while Cr was negatively correlated with postoperative BCVA. These results
may act as indicators in predicting visual acuity for the renal transplantation
and hemodialysis patients.
PMID- 26558212
TI - Diffusion tensor imaging of optic nerve and optic radiation in primary chronic
angle-closure glaucoma using 3T magnetic resonance imaging.
AB - AIM: To evaluate the value of quantitative diffusion tensor imaging (DTI) in
assessing the axonal and myelin damage of the optic nerves and optic radiations
in patients with chronic primary angle-closure glaucoma (PACG) by using high
field magnetic resonance (MR) imaging (3T). METHODS: Twenty patients with
bilateral chronic PACG and twenty age- and sex matched disease-free control
subjects were enrolled. Conventional MRI and DTI were performed on all subjects
using 3T MR scanner. Mean diffusivity (MD), fractional anisotropy (FA), axial
diffusivities (AD) and radial diffusivities (RD) of each optic nerve and each
optic radiation were measured by using post-processing software of DTI studio
2.3, and then compared between left eyes and right eyes and between patients
group and control group. The paired-sample t- test were used. RESULTS: There was
no abnormality in the shape and signal intensity of the optic nerves and optic
radiations in patients group and control group on the conventional MRI. No
significant differences were observed in the FA, MD, AD and RD between the right
and left optic nerves and optic radiations within patients group and control
group (P>0.05). The optic nerves and optic radiations of patients with chronic
PACG, as compared with control subjects, had significantly higher MD, AD, RD and
significantly lower FA (P<0.05). CONCLUSION: The diffusivity of optic nerves and
optic radiations in chronic PACG group showed abnormal and diffusivity parameters
could be used markers of axonal and myelin injury in glaucoma.
PMID- 26558213
TI - Anterior segment changes after pharmacologic mydriasis using Pentacam and optical
coherence tomography in angle closure suspects.
AB - AIM: To compare the dynamic changes of anterior segment parameters especially
iris morphology induced by pharmacologic mydriasis between angle closure suspects
and normal controls. METHODS: The study group comprised 19 eyes of 19 angle
closure suspects and 19 eyes of 19 age- and sex-matched normal open-angle eyes.
Pentacam and optical coherence tomography measurements before and 30min after
instillation of compound tropicamide eye drop were performed and compared.
Biometric evaluations of iris tomography and anterior chamber angle were
estimated by a customized image-processing software. RESULTS: Baseline axial
length, iris cross sectional area and volume did not differ significantly between
angle closure suspects and normal controls. Angle closure suspects had smaller
pupil size, narrower anterior segment dimension and axial length, thinner iris
with greater curve in comparison with normal controls. Pharmacologic mydriasis
led to significant increments in iris thickness at 750 um, anterior chamber depth
and volume, whereas significant decrements in iris curve, cross sectional area
and volume in both groups. Angle opening distance at 500 um was increased
significantly in normal controls (from 0.465+/-0.115 mm to 0.539+/-0.167 mm,
P=0.009), but not in angle closure suspects (from 0.125+/-0.100 mm to 0.145+/
0.131 mm, P=0.326). Iris volume change per millimeter of pupil dilation
(DeltaIV/DeltaPD) decreased significantly less in angle closure suspects than
normal controls (-2.47+/-1.33 mm(2) vs -3.63+/-1.58 mm(2), P=0.019). Linear
regression analysis showed that the change of angle opening distance at 500 um
was associated most with the change of central anterior chamber depth
(beta=0.841, P=0.002) and DeltaIV/DeltaPD (beta=0.028, P=0.002), followed by
gender (beta=0.062, P=0.032). CONCLUSION: Smaller iris volume decrement per
millimeter of pupil dilation is related significantly with the less anterior
angle opening in angle closure suspects after pharmacologic mydriasis. Dynamic
iris change may be as a prospective indicator of iris compressibility and angle
closure glaucoma.
PMID- 26558214
TI - Total oxidative stress, paraoxonase and arylesterase levels at patients with
pseudoexfoliation syndrome and pseudoexfoliative glaucoma.
AB - AIM: To investigate the oxidative stress status of the aqueous humor and serum of
patients with pseudoexfoliation (PEX) syndrome and pseudoexfoliative glaucoma
(PEG) and to measure paraoxonase (PON) and arylesterase (ARE) levels. METHODS: A
total of 78 patients were enrolled in the study, with 26 patients in each
separate group. The patients were divided into three groups: the first group
entailed PEX syndrome patients, while the second group consisted of patients with
PEG and the third group involved patients with no additional systemic diseases,
other than the diagnosis of cataract as control. Total oxidative stress (TOS),
total antioxidant capacity (TAC), PON, and ARE levels in aqueous humor and serum
were measured. RESULTS: TAC, PON and arylesterase levels in aqueous humor and
serum of the PEX syndrome and PEG patients were significantly decreased compared
with control group (P<0.05). TOS values were higher in patients with PEX syndrome
and PEG than controls (P<0.05). TAC, PON and ARE levels of aqueous humor did not
differ significantly between the PEX syndrome and PEG groups. CONCLUSION: These
findings are potentially of significance and add to the growing body of evidence
for oxidative stress in PEX syndrome and PEG. Decreased antioxidant defense and
increased oxidative stress system may play an important role in the pathogenesis
of PEX syndrome and PEG.
PMID- 26558215
TI - Brain-derived neurotrophic factor in patients with advanced age-related macular
degeneration.
AB - AIM: To investigate the serum level of the brain-derived neurotrophic factor
(BDNF) in age-related macular degeneration (AMD) and healthy control subjects.
The disruption in the tight balance of neuroinflammatory and neuroprotective
processes in an immune-privileged site like retina is proposed to contribute to
the pathogenesis of AMD. One of the main neuroprotective mediators in the central
nervous system is BDNF with its serum level notably affected in several
neurodegenerative disorders. METHODS: Thirty-six patients with AMD and 36 age
matched controls were enrolled in this study. The serum level of BDNF was
measured using the enzyme-linked immunosorbent assay method. Results were
analyzed to compare case and control values. Comparisons were also made between
the BDNF level of wet- vs dry-AMD, and male vs female patients and controls.
Analysis of variance (ANOVA) and Student's t-test were employed to analyze the
data. RESULTS: The mean BDNF levels in AMD group were significantly higher than
the control group. Furthermore, our analysis revealed greater BDNF values in all
AMD subgroups compared to controls (P=0.004, 0.005, 0.001 and 0.02 for male wet
AMD, male dry-AMD, female wet-AMD and female dry-AMD vs controls, respectively).
The BDNF level however did not vary between wet- and dry-AMD patients (P=0.74).
While within-group comparisons in males and females of AMD and control groups did
not show any difference in BDNF (P=0.16, 0.64 and 0.85 for wet-AMD, dry-AMD and
control groups, respectively), between-group data showed a higher mean BDNF in
both male and female AMD subjects than their peer controls. CONCLUSION: This
study demonstrated that the serum BDNF level is different in patients with AMD as
compared to subjects without AMD. Future attempts should be done to unravel
beneficial or deleterious effect of this neurotrophin in the pathogenesis of AMD.
PMID- 26558216
TI - Characterization of human retinal vessel arborisation in normal and amblyopic
eyes using multifractal analysis.
AB - AIM: To characterize the human retinal vessel arborisation in normal and
amblyopic eyes using multifractal geometry and lacunarity parameters. METHODS:
Multifractal analysis using a box counting algorithm was carried out for a set of
12 segmented and skeletonized human retinal images, corresponding to both normal
(6 images) and amblyopia states of the retina (6 images). RESULTS: It was found
that the microvascular geometry of the human retina network represents
geometrical multifractals, characterized through subsets of regions having
different scaling properties that are not evident in the fractal analysis.
Multifractal analysis of the amblyopia images (segmented and skeletonized
versions) show a higher average of the generalized dimensions (Dq ) for q=0, 1, 2
indicating a higher degree of the tree-dimensional complexity associated with the
human retinal microvasculature network whereas images of healthy subjects show a
lower value of generalized dimensions indicating normal complexity of
biostructure. On the other hand, the lacunarity analysis of the amblyopia images
(segmented and skeletonized versions) show a lower average of the lacunarity
parameter Lambda than the corresponding values for normal images (segmented and
skeletonized versions). CONCLUSION: The multifractal and lacunarity analysis may
be used as a non-invasive predictive complementary tool to distinguish amblyopic
subjects from healthy subjects and hence this technique could be used for an
early diagnosis of patients with amblyopia.
PMID- 26558217
TI - Fundus autofluorescence in central serous chorioretinopathy: association with
spectral-domain optical coherence tomography and fluorescein angiography.
AB - AIM: To evaluate the correlation among changes in fundus autofluorescence (AF)
measured using infrared fundus AF (IR-AF) and short-wave length fundus AF (SW-AF)
with changes in spectral-domain optical coherence tomography (SD-OCT) and
fluorescein angiography (FA) in central serous chorioretinopathy (CSC). METHODS:
Two hundred and twenty consecutive patients with CSC were included. In addition
to AF, patients were assessed by means of SD-OCT and FA. Abnormalities in images
of IR-AF, SW-AF, FA were analyzed and correlated with the corresponding outer
retinal alterations in SD-OCT findings. RESULTS: Eyes with abnormalities on
either IR-AF or SW-AF were found in 256 eyes (58.18%), among them 256 eyes (100%)
showed abnormal IR-AF, but SW-AF abnormalities were present only in 213 eyes
(83.20%). The hypo-IR-AF corresponded to accumulation of sub-retinal liquid,
collapse of retinal pigment epithelium (RPE) or detachment of RPE with or without
RPE leakage point in the corresponding area. The hyper-IR-AF corresponded to the
area with loss of the ellipsoid portion of the inner segments and sub-sensory
retinal deposits or focal melanogenesis under sensory retina. The hypo-SW-AF
corresponded to accumulation of sub-retinal liquid or atrophy of RPE. The hyper
SW-AF associated with sub-sensory retinal deposits, detachment of RPE and focal
melanogenesis. CONCLUSION: IR-AF was more sensitive than SW-AF and FA for
identifying pathological abnormalities in CSC. The characteristics of IR-AF in
CSC were attributable to the modification of melanin in the RPE. IR-AF should be
used as a common diagnostic tool for identifying pathological lesion in CSC.
PMID- 26558218
TI - Helvacioglu reproducibility index: a new algorithm to evaluate the effects of
misalignments on the measurements of retinal nerve fiber layer by spectral-domain
OCT.
AB - AIM: To evaluate the effect of misalignment on the measurements of retinal nerve
fiber layer (RNFL) by spectral-domain optical coherence tomography (OCT).
METHODS: A total of 42 eyes from 21 healthy young subjects underwent RNFL
measurements with RTVue spectral-domain OCT (Optovue Inc., Fremont, California,
USA). Two baseline measurements with perfectly aligned central circle to the
borders of the optic nerve and four misaligned measurements which were misaligned
towards to four quadrants were taken. The differences in RNFL between the
baseline and misaligned measurements were analyzed with a new algorithm called
Helvacioglu reproducibility index (HRI) which is designed to measure the
reproducibility of the scans by evaluating the RNFL changes in the four main
quadrants. RESULTS: The average RNFL scores of the first two baseline
measurements have good correlation (c=0.930) and good reproducibility scores
(0.15+/-0.07). Superior misaligned measurements had significantly lower superior
quadrant score and higher inferior quadrant score, similar nasal and little
higher temporal scores (P1, P2<0.001, P3=0.553, P4=0.001). Inferior misaligned
measurements had significantly higher superior quadrant score and lower inferior
quadrant score with similar temporal and little lower nasal scores (P1, P2<0.001,
P3=0.315, P4=0.016). Nasal misaligned measurements had significantly higher
temporal quadrant score and lower nasal quadrant score with little lower superior
and inferior scores (P1, P2, P4<0.001, P3=0.005). Temporal misaligned
measurements had significantly higher nasal quadrant score and lower temporal
quadrant score with similar superior and little higher inferior scores (P1,
P2<0.001, P3=0.943, P4=0.001). CONCLUSION: Good alignment of the central circle
to the borders of optic nerve is crucial to have correct and repeatable RNFL
measurements. Misalignment to a quadrant resulted in falsely low readings at that
quadrant and falsely high readings at the opposite quadrant.
PMID- 26558219
TI - Relationship between short-wavelength automatic perimetry and Heidelberg retina
tomograph parameters in eyes with ocular hypertension.
AB - AIM: To compare and correlate optic nerve head parameters obtained by Heidelberg
retina tomograph (HRT) with short-wavelength automatic perimetry (SWAP) indices
in eyes with ocular hypertension (OHT). METHODS: One hundred and forty-six
patients with OHT included in the present study. All subjects had reliable SWAP
and HRT measurements performed within a 2wk period. The eyes were classified as
normal/abnormal according to visual field criteria and Moorfields regression
analysis (MRA). Correlations between visual field indices and HRT parameters were
analyzed using Pearson correlation coefficient (r). RESULTS: Twenty-nine eyes
(19.9%) had SWAP defects. Twenty-nine eyes (19.9%) were classified as abnormal
according to global MRA. Six eyes (4.1%) had abnormal global MRA and SWAP
defects. The k statistic is 0.116 (P=0.12) indicating a very poor agreement
between the methods. No statistical significant correlation between HRT and SWAP
parameters was detected. CONCLUSION: SWAP defects may coexist with abnormalities
of optic disc detected by HRT in eyes with OHT. In most eyes, however, the two
methods detect different glaucoma properties.
PMID- 26558220
TI - Orbital metastases in Italy.
AB - AIM: To describe a series of Italian patients with orbital metastasis focusing on
the outcomes in relation to the different primary site of malignancy. METHODS:
Retrospective chart review of 93 patients with orbital metastasis collected in a
tertiary referral centre in a period of 38y and review of literature. RESULTS:
Out of 93 patients, 52 were females and 41 were males. Median age at diagnosis
was 51y (range 1 to 88y). The patients have been divided into four groups on the
basis of the year of diagnosis. The frequency of recorded cases had decreased
significantly (P<0.05) during the last 9.5y. Primary tumor site was breast in 36
cases (39%), kidney in 10 (11%), lung in 8 (9%), skin in 6 (6%); other sites were
less frequent. In 16 case (17%) the primary tumor remained unknown. The most
frequent clinical findings were proptosis (73%), limited ocular motility (55%),
blepharoptosis (46%) and blurred vision (43%). The diagnosis were established by
history, ocular and systemic evaluation, orbital imaging studies and open biopsy
or fine needle aspiration biopsy (FNAB). Treatment included surgical excision,
irradiation, chemotherapy, hormone therapy, or observation. Ninety-one percent of
patients died of metastasis with an overall mean survival time (OMST) after the
orbital diagnosis of 13.5mo. CONCLUSION: Breast, kidney and lung are the most
frequent primary sites of cancer leading to an orbital metastasis. When the
primary site is unknown, gastrointestinal tract should be carefully investigated.
In the last decade a decrease in the frequency of orbital metastasis has been
observed. Surgery provides a local palliation. Prognosis remains poor with a OMST
of 13.5mo ranging from the 3mo in the lung cancer to 24mo in the kidney tumor.
PMID- 26558221
TI - Removal of the eye in a tertiary care center of China: a retrospective study on
573 cases in 20 years.
AB - AIM: To investigate the original protopathy, direct indications, clinical
characteristics, complications of orbit plants and visual conditions of eye
enucleation/evisceration. METHODS: A retrospective study of 573 eyes removed (573
inpatients) at Ophthalmology Department in a tertiary care center of China from
January 1993 to December 2012 was completed. RESULTS: Cases underwent removal of
the eye accounted for 2.15% of total ophthalmology inpatients, whose annual
frequency declined from 3.80% to 0.52%. There were 167 eyes (29.14%) being
enucleated and 406 (70.86%) eviscerated. Annual proportion of evisceration rose
from 16.67% in 1993 to 90.48% in later years. Trauma was the top one (65.62%) in
original protopathies followed by neoplasm (13.44%) and ocular infections
(5.76%). Phthisis bulbi (45.20%) was the most common direct indication, succeeded
by malignant tumor (12.57%), loss/unreconstructed of intraocular tissues due to
trauma (11.00%), untreatable inflammation (9.60%), intractable glaucoma (8.55%)
and sclerocorneal staphyloma (5.24%). Exenteration was underwent in 20 (25.97%)
cases (40% for recurrent carcinoma). Following evisceration, secondary prosthesis
implantation was more and earlier, implant exposure occurred in less but earlier
and infection and extraction/exchange of implants were more than those following
enucleation. Male, phthisis bulbi, evisceration and secondary implantation meant
lower risk of implant exposure; eyes removed within 24h following trauma was an
independent risk factor. There were 14.37% of eyes with vision of light
perception at least as been removed. In the residual contralateral eyes, low
vision accounted 5.58% and blindness 3.14%. CONCLUSION: Ocular trauma, tumor and
infections were great threats to eyeball preservation. Early and effective
controlling of any original protopathies was vital. Generally evisceration
presented more superior and safe outcomes than enucleation did. Visual conditions
of the sufferers should be focused on.
PMID- 26558222
TI - Evaluation of patient visual comfort and repeatability of refractive values in
non-presbyopic healthy eyes.
AB - AIM: To evaluate the intra-operator repeatability in healthy subjects using the
WAM-5500 auto-kerato/refractometer and the iTrace aberrometer, to compare the
refractive values and the subjective refraction obtained with both devices and to
determine which of these three spherocylindrical corrections allows the subject
to achieve the best visual comfort. METHODS: Forty-two non-presbyopic healthy
eyes of 42 subjects were enrolled in this prospective study. Refractive values
were compared, evaluating the repeatability, the relationship between the methods
and the best visual comfort obtained. RESULTS: Sphere, cylinder and axis results
showed good intraclass correlation coefficients (ICC); the highest ICC was
obtained using the spherical refraction with the autorefractometer and the
aberrometer, achieving levels of 0.999 and 0.998, respectively. The power vector
(PV) was calculated for each refraction method, and the results indicated that
there were no statistically significant differences between them (P>0.05). Direct
comparison of PV measurements using the three methods showed that aberrometer
refraction gave the highest values, followed by the subjective values; the
autorefractometer gave the lowest values. The subjective method correction was
most frequently chosen as the first selection. Equal values were found for the
autorefractometer and the aberrometer as the second selection. CONCLUSION: The
iTrace aberrometer and the WAM-5500 auto-kerato/refractometer showed high levels
of repeatability in healthy eyes. Refractive corrections with the aberrometer,
the autorefractometer and subjective methods presented similar results, but
spherocylindrical subjective correction was the most frequently selected option.
These technologies can be used as complements in refractive evaluation, but they
should not replace subjective refraction.
PMID- 26558223
TI - Oxygen permeability of soft contact lenses in different pH, osmolality and
buffering solution.
AB - AIM: To determine the effect of pH, osmolality, and buffering system on the
oxygen permeability (Dk) of soft contact lenses. METHODS: Two hydrogel lenses
(nelfilcon A and etafilcon A) and 2 silicone hydrogel lenses (lotrafilcon A and
balafilcon A) were used in the study. These lenses were incubated in phosphate
buffered saline (PBS) and borate-buffered saline (BBS) solutions adjusted by 0.8
pH increments to a pH in the range of 5.8-9.0 or in hypotonic (280 mOsmol/kg),
isotonic (310 mOsmol/kg) and hypertonic (380 mOsmol/kg) PBS solutions.
Polarographic method was used for measuring the Dk and lenses were stacked as 4
layers to correct the boundary effect. RESULTS: Dk values of all contact lenses
measured in BBS solutions were more stable than those in PBS solutions.
Especially the etafilcon A lens showed a relative big change compared with other
types of contact lenses at the same conditions. When the osmolality of PBS
solution increased from hypotonic to hypertonic, Dk of all contact lenses
decreased. Variations in Dk existed depending on lens materials, etafilcon A lens
was the most affected and nelfilcon A was the least affected by osmolality.
CONCLUSION: From the result obtained, it is revealed that Dk of contact lenses is
changed by the pH, osmolality, and buffering condition of tear. Thus, Dk of
contact lens can be varied by the lens wearers' physiological and/or pathological
conditions.
PMID- 26558224
TI - Reproducibility of optical quality parameters measured at objective and
subjective best focuses in a double-pass system.
AB - AIM: To evaluate intra-session repeatability and reproducibility of optical
quality parameters measured at objective and subjective best focuses in a double
pass system. METHODS: Thirty Chinese healthy adults (19 to 40 years old) meeting
our inclusion criterion were enrolled in the study. After a basic eye
examination, two methods of optical quality measurement, based on subjective and
objective best focuses were performed using the Optical Quality Analysis System
(OQAS) with an artificial pupil diameter of 4.0 mm. RESULTS: With each method,
three consecutive measurements of the following parameters: the modulation
transfer function cutoff frequency (MTFcutoff), the Strehl(2D) ratio, the OQAS
values (OVs) at contrasts of 100%, 20%, 9% and the objective scatter index (OSI)
were performed by an experienced examiner. The repeatability of each method was
evaluated by the repeatability limit (RL) and the coefficient of repeatability
(COR). Reproducibility of the two methods was evaluated by intra-class
correlation coefficient (ICC) and the 95% limits of agreement (Bland and Altman
analysis). Thirty subjects, seven females and twenty three males, of whom 15
right eyes and 15 left eyes were selected randomly for recruitment in the study.
The RLs (percentage) for the six parameters measured at objective focus and
subjective focus ranged from 8.44% to 15.13% and 10.85% to 16.26%, respectively.
The CORs for the two measurement methods ranged from 8.27% to 14.83% and 10.63%
to 15.93%, respectively. With regard to reproducibility, the ICCs for the six
parameters of OQAS ranged from 0.024 to 0.276. The 95% limits of agreement
obtained for the six parameters (in comparison of the two methods) ranged from
0.57 to 42.18 (MTFcutoff), -0.01 to 0.23 (Strehl(2D) ratio), -0.02 to 1.40
(OV100%), -0.10 to 1.75 (OV20%), -0.14 to 1.80 (OV9%) and -1.46 to 0.18 (OSI).
CONCLUSION: Measurements provided by OQAS with either method showed a good
repeatability. However, the results obtained from the two different measurement
methods showed a poor reproducibility. These findings suggest that it might be
best to evaluate patients' optical quality by OQAS using the best focus as chosen
automatically by the instrument.
PMID- 26558225
TI - Application of traditional indexes and adverse events in the ophthalmologic
perioperative medical quality evaluation during 2010-2012.
AB - AIM: To evaluate the medical quality of ophthalmologic perioperative period
during 2010-2012 in our hospital. METHODS: The relevant data of perioperative
period were collected in our hospital during 2010-2012, and the medical quality
of perioperative period was evaluated by using the traditional evaluation indexes
and adverse events. Whereby, the traditional indicators include vision changes,
improving of intraocular pressure, diagnostic accordance rate before and after
operation, cure improvement rate, successful rescue rate, and incidence of
surgical complications, etc. Adverse events are associated with ophthalmologic
perioperative events including pressure sores, postoperative wound infection,
drug adverse events, and equipment related adverse events. RESULTS: There were
1483, 1662 and 1931 ophthalmic operations in our hospital in the year 2010, 2011
and 2012, respectively. From traditional index analysis, the proportions of
vision improvement for each year were 96.43%, 96.76% and 97.32%, respectively;
the rates of intraocular pressure improvement were 87.50%, 85.72% and 90.17%,
respectively (P <0.05); the diagnostic accordance rates before and after
operation were 99.86%, 99.94% and 99.90%, respectively; cure improvement rates
were 99.73%, 99.93% and 99.84%, respectively; the successful rescue rates were
82.98%, 81.46% and 76.66%, respectively; the complications incidence rates were
18.44%, 17.52% and 17.97%, respectively. The negative factor analysis results
showed that: among all the patients of ophthalmic surgeries in our hospital
during 2010 and 2012, only one case of postoperative wound infection was found in
2011, and also only one case of tumbling in 2010. The adverse drug events for
each year were 1 case (0.07%), 2 cases (0.12%), and 4 cases (0.21%),
respectively; the medical device adverse events for each year were 3 cases
(0.20%), 5 cases (0.30%), and 6 cases (0.31%), respectively. Noticeably, only one
case with postoperative infection of endophthalmitis was found in 2011. Moreover,
no pulmonary infection or pulmonary embolism occurred during the three years. The
perioperative adverse event rates for each year were 0.34% (5/1483), 0.48%
(8/1662) and 0.52% (10/1931), respectively. Though incidence was rising during
the three years period, no statistical significance was observed (P>0.05). It is
the same case with drugs and medical devices adverse events (P >0.05).
CONCLUSION: Traditional indicators reflect an excellent operation of the
perioperative ophthalmologic quality, whereas adverse events analysis indicates
some underlying problems. Compared with the traditional indexes for medical
quality evaluation, the index of adverse events is more reasonable and easier to
make an objective evaluation for medical quality of ophthalmologic perioperation,
facilitating further refine analysis. Reasonable application of the adverse
events indicators helps hospital to make the detailed quality control measures.
PMID- 26558226
TI - Ranibizumab alone or in combination with photodynamic therapy vs photodynamic
therapy for polypoidal choroidal vasculopathy: a systematic review and Meta
analysis.
AB - AIM: To compare the efficacy of intravitreal ranibizumab (IVR) alone or in
combination with photodynamic therapy (PDT) vs PDT in patients with symptomatic
polypoidal choroidal vasculopathy (PCV). METHODS: A systematic search of a wide
range of databases (including PubMed, EMBASE, Cochrane Library and Web of
Science) was searched to identify relevant studies. Both randomized controlled
trials (RCTs) and non-RCT studies were included. Methodological quality of
included literatures was evaluated according to the Newcastle-Ottawa Scale.
RevMan 5.2.7 software was used to do the Meta-analysis. RESULTS: Three RCTs and 6
retrospective studies were included. The results showed that PDT monotherapy had
a significantly higher proportion in patients who achieved complete regression of
polyps than IVR monotherapy at months 3, 6, and 12 (All P<=0.01), respectively.
However, IVR had a tendency to be more effective in improving vision on the basis
of RCTs. The proportion of patients who gained complete regression of polyps
revealed that there was no significant difference between the combination
treatment and PDT monotherapy. The mean change of best-corrected visual acuity
(BCVA) from baseline showed that the combination treatment had significant
superiority in improving vision vs PDT monotherapy at months 3, 6 and 24 (All
P<0.05), respectively. In the mean time, this comparison result was also
significant at month 12 (P<0.01) after removal of a heterogeneous study.
CONCLUSION: IVR has non-inferiority compare with PDT either in stabilizing or in
improving vision, although it can hardly promote the regression of polyps. The
combination treatment of PDT and IVR can exert a synergistic effect on regressing
polyps and on maintaining or improving visual acuity. Thus, it can be the first
line therapy for PCV.
PMID- 26558227
TI - Bare sclera resection followed by mitomycin C and/or autograft limbus conjunctiva
in the surgery for pterygium: a Meta-analysis.
AB - AIM: To evaluate the recurrence and complications after bare sclera resection
(BSR) combined with mitomycin C (MMC) treatment and/or autograft limbus
conjunctiva (ALC) in the surgery for pterygium. METHODS: Meta-analysis was used
to evaluate the differences in patient outcomes between BSR of pterygium with or
without MMC and/or ALC. All included studies were randomized trials of patients
with pterygium who received BSR followed by MMC and/or ALC in the surgery. The
recurrence of pterygium and other complications resulting from different
treatments were extracted for analysis. RESULTS: Thirteen studies met the
inclusion criteria. The recurrence of pterygium with intraoperative (IO) MMC was
higher than that with ALC (OR=2.38, 95% confidence interval 1.45-3.91, I
(2)=29%). Postoperative MMC resulted in an incidence of recurrence similar to
that of ALC (OR=0.66, 95% confidence interval 0.30-1.42, I (2)=0%), and IO MMC
treatment in combination with ALC produced similar patient outcomes to ALC alone
(OR=0.41, 95% confidence interval 0.16-1.01, I (2)=16%). Other complications such
as punctate epitheliopathy, scleral thinning and ischemia, irritation and
persistent epithelium defect, were more common in patients in the MMC group as
compared to those treated with ALC. CONCLUSION: The recurrence of pterygium with
BSR followed by ALC is lower than that of BSR followed by MMC, and the incidence
of other complications is lower. While ALC is a more effective strategy for
treating pterygium, the quality of the ALC transplant should be considered when
the patient has a history of glaucoma.
PMID- 26558228
TI - Contact lens impact on quality of life in keratoconus patients: rigid gas
permeable versus soft silicone-hydrogel keratoconus lenses.
AB - AIM: To determine the impact of rigid gas permeable (RGP) and silicone-hydrogel
keratoconus lenses on the quality of life (QoL) in keratoconus (KCN) patients
using the self-reported results from the Contact Lens Impact on Quality of Life
(CLIQ) Questionnaire. METHODS: From January 2013 to April 2013, 27 consecutive
KCN patients who wore RGP contact lenses (conflexair100 UV KE Zeiss-Wohlk) or
soft silicone-hydrogel contact lenses (SHCLs) for KCN (KeraSoft IC- Bausch&Lomb
or Hydrocone Toris K-Swiss lens) completed the CLIQ questionnaire. RESULTS: The
mean age of 27 patients was 29.6+/-8.0y. Fifteen patients were RGP user. The
groups were comparable with respect to the mean patient age, sex, and mean K
values (P=0.1, P=0.8 and P=0.1, respectively). The mean CLIQperson measure was
42.8+/-5.5 in RGP group and 39.6+/-5.5 in SHCLs for KCN group (P=0.06).
CLIQperson measure was positively correlated with steep K value (r=0.301,
P=0.04). When eyes were stratified by visual acuity with contact lenses, the mean
CLIQperson measure was 42.01+/-5.6 in eyes with a visual acuity of 20/20-20/25
(n=44) and 38.4+/-5.26 in eyes with a visual acuity of 20/32 or less (n=10;
P=0.097). CONCLUSION: RGP lenses and SHCLs for KCN have similar impact on QoL.
PMID- 26558229
TI - Novel in-frame deletion mutation c.177_179delTAC of neurofibromatosis type 1 in a
Chinese 4-year-old boy with binocular blindness.
PMID- 26558230
TI - Mobile lens-induced angle closure glaucoma and rubeosis iridis in Sturge Weber
syndrome.
PMID- 26558231
TI - Guidance in Subclinical Hyperthyroidism and Subclinical Hypothyroidism: Are We
Making Progress?
PMID- 26558233
TI - Thyroid Growth and Cancer.
AB - It is proposed that most papillary thyroid cancers originate in infancy and
childhood, based on the early rise in sporadic thyroid carcinoma incidence, the
pattern of radiation-induced risk (highest in those exposed as infants), and the
high prevalence of sporadic papillary thyroid cancers in children and adolescents
(ultrasound screening after the Fukushima accident). The early origin can be
linked to the growth pattern of follicular cells, with a high mitotic rate in
infancy falling to very low replacement levels in adult life. The cell of origin
of thyroid cancers, the differentiated follicular cell, has a limited growth
potential. Unlike cancers originating in stem cells, loss of the usually tight
link between differentiation and replicative senescence is required for
immortalisation. It is suggested that this loss distinguishes larger clinically
significant papillary thyroid cancers from micro-papillary thyroid cancers of
little clinical significance. Papillary carcinogenesis can then be divided into 3
stages: (1) initiation, the first mutation in the carcinogenic cascade, for
radiation-induced papillary thyroid cancers usually a RET rearrangement, (2)
progression, acquisition of the additional mutations needed for low-grade
malignancy, and (3) escape, further mutations giving immortality and a higher net
growth rate. Most papillary thyroid cancers will not have achieved full
immortality by adulthood, and remain as so-called micro-carcinomas with a very
low growth rate. The use of the term 'cancer' to describe micro-papillary thyroid
cancers in older patients encourages overtreatment and alarms patients. Invasive
papillary thyroid tumours show a spectrum of malignancy, which at its lowest
poses no threat to life. The treatment protocols and nomenclature for small
papillary carcinomas need to be reconsidered in the light of the new evidence
available, the continuing discovery of smaller lesions, and the model of thyroid
carcinogenesis proposed.
PMID- 26558232
TI - The 2015 European Thyroid Association Guidelines on Diagnosis and Treatment of
Endogenous Subclinical Hyperthyroidism.
AB - Endogenous subclinical hyperthyroidism (SHyper) is caused by Graves' disease,
autonomously functioning thyroid nodules and multinodular goitre. Its diagnosis
is based on a persistently subnormal serum thyroid-stimulating hormone (TSH)
level with free thyroid hormone levels within their respective reference
intervals. In 2014 the European Thyroid Association Executive Committee, given
the controversies regarding the treatment of Endo SHyper, formed a task force to
develop clinical practice guidelines based on the principles of evidence-based
medicine. The task force recognized that recent meta-analyses, including those
based on large prospective cohort studies, indicate that SHyper is associated
with increased risk of coronary heart disease mortality, incident atrial
fibrillation, heart failure, fractures and excess mortality in patients with
serum TSH levels <0.1 mIU/l (grade 2 SHyper). Therefore, despite the absence of
randomized prospective trials, there is evidence that treatment is indicated in
patients older than 65 years with grade 2 SHyper to potentially avoid these
serious cardiovascular events, fractures and the risk of progression to overt
hyperthyroidism. Treatment could be considered in patients older than 65 years
with TSH levels 0.1-0.39 mIU/l (grade 1 SHyper) because of their increased risk
of atrial fibrillation, and might also be reasonable in younger (<65 years)
symptomatic patients with grade 2 SHyper because of the risk of progression,
especially in the presence of symptoms and/or underlying risk factors or co
morbidity. Finally, the task force concluded that there are no data to support
treating SHyper in younger asymptomatic patients with grade 1 SHyper. These
patients should be followed without treatment due to the low risk of progression
to overt hyperthyroidism and the weaker evidence for adverse health outcomes.
PMID- 26558234
TI - Treating Hypothyroidism with Thyroxine/Triiodothyronine Combination Therapy in
Denmark: Following Guidelines or Following Trends?
AB - BACKGROUND: Five to ten percent of patients with hypothyroidism describe
persistent symptoms despite being biochemically well regulated on levothyroxine
(L-T4). Thyroxine (T4)/triiodothyronine (T3) combination therapy [L
T4/liothyronine (L-T3) or desiccated thyroid] are still regarded as experimental
with no evidence of superior effect on persistent symptoms according to meta
analyses. However, some randomized controlled trials have demonstrated patients'
preference for T4/T3 combination therapy as compared to L-T4 monotherapy. In
2013, attention to combination therapy increased in Denmark after a patient
published a book describing her experiences with hypothyroidism and treatment.
OBJECTIVE: To investigate current Danish trends in the use of T4/T3 combination
therapy. METHODS: We used an Internet-based questionnaire, distributed as a link
via two Danish patient fora. Further, information was obtained from the Division
of Pharmacies and Reimbursement at the Danish Health and Medicines Authority and
from the only pharmacy in Denmark producing desiccated thyroid and L-T3 tablets.
RESULTS: A total of 384 patients answered the questionnaire, and 293 responders
were included. Sixty-nine percent of the responders had six or more symptoms, and
84% reported a treatment effect. Forty-four percent of the responders received
their prescriptions from general practitioners; 50% received desiccated thyroid
and 28% reported that they adjust their dose themselves. Responders followed by
general practitioners more frequently received desiccated thyroid and adjusted
their dose themselves. CONCLUSIONS: Increased media focus has changed the
prescription pattern of thyroid hormones; European guidelines on T4/T3
combination therapy are not always followed in Denmark and many patients adjust
their medication themselves and may therefore be at risk of overtreatment.
PMID- 26558235
TI - Metformin Is Associated with a Favorable Outcome in Diabetic Patients with
Cervical Lymph Node Metastasis of Differentiated Thyroid Cancer.
AB - BACKGROUND AND OBJECTIVE: Type 2 diabetes is known to increase the risk and
progression of certain types of cancer. Metformin treatment of diabetic patients
is reported to have beneficial effects on some cancers. We evaluated the clinical
outcome of diabetic patients with differentiated thyroid cancer (DTC) according
to metformin treatment. METHODS: We reviewed 943 patients diagnosed with DTC
after total thyroidectomy between 1995 and 2005 in a tertiary hospital. The study
involved 60 diabetic patients and 210 control patients matched for age, sex, body
mass index (BMI), and tumor size. RESULTS: There were no differences in the
clinicopathological features and disease-free survival (DFS) between diabetic
patients and the control group over 8.9 years of follow-up. Of the diabetic
patients with DTC, 35 patients (58%) were treated with metformin. There were no
differences in age, sex, BMI, tumor size, antidiabetic medication, glycated
hemoglobin, or C-peptide levels in metformin and nonmetformin groups. However,
cervical lymph node (LN) metastasis was more prevalent in the metformin group
than in the nonmetformin group (OR 3.52, p = 0.035). Among diabetic patients with
cervical LN metastasis of DTC, the metformin subgroup (17.1 years) was associated
with longer DFS than the nonmetformin subgroup (8.6 years) (HR 0.16, p = 0.021);
metformin treatment was also associated with longer DFS in this subgroup in
multivariate analysis after adjusting age, BMI, duration of diabetes, presence of
tumor at resection margin, and serum thyroglobulin level at ablation (HR 0.03, p
= 0.035). CONCLUSIONS: Metformin treatment is associated with low recurrence in
diabetic patients with cervical LN metastasis of DTC.
PMID- 26558236
TI - Role of Core Needle Biopsy in the Management of Atypia/Follicular Lesion of
Undetermined Significance Thyroid Nodules: Comparison with Repeat Fine-Needle
Aspiration in Subcategory Nodules.
AB - BACKGROUND: The role of repeat fine-needle aspiration (RFNA) or core needle
biopsy (CNB) has not been established in nodules categorized as atypia/follicular
lesion of undetermined significance (AUS/FLUS). OBJECTIVE: The purpose of this
study was to retrospectively determine whether CNB is more useful for management
decisions than RFNA at each subcategory of AUS/FLUS nodules. METHODS: This study
included 158 AUS/FLUS nodules (>=1 cm) from 153 consecutive patients who
underwent both RFNA and CNB. The AUS/FLUS nodules were subcategorized into
nuclear atypia (NA) and follicular lesions with other atypia (FOA). The
diagnostic results and rate of determined management by RFNA and CNB were
compared at each subcategory. The diagnostic values of RFNA and CNB for
malignancy were evaluated in nodules with final diagnoses. RESULTS: CNB showed a
lower rate of AUS/FLUS diagnosis, higher rates of benign and follicular neoplasm
or suspicious for a follicular neoplasm (FN/SFN) diagnoses (p <= 0.038), and
marginally higher rates of malignant diagnosis than RFNA in the NA subcategory.
CNB showed a higher rate of FN/SFN (p = 0.007) than RFNA in the FOA subcategory.
CNB also demonstrated a higher rate of surgery decision than RFNA in both the NA
subcategory (20.2 vs. 9.6%, p < 0.001) and FOA subcategory (20.8 vs. 5.6%, p =
0.007), and a higher rate of observation decision only in the NA subcategory
(48.1 vs. 35.6%, p = 0.035). CNB demonstrated a higher diagnostic performance for
malignancy overall in the nodules compared with RFNA. CONCLUSION: CNB may be more
useful for management decisions than RFNA in both the NA and FOA subcategories,
and has the potential to be a first-line alternative diagnostic tool in initially
diagnosed AUS/FLUS nodules.
PMID- 26558237
TI - Presence of Thyroid-Stimulating Hormone Receptor Antibodies in a Patient with
Subacute Thyroiditis followed by Hypothyroidism and Later Graves' Disease with
Ophthalmopathy: A Case Report.
AB - BACKGROUND: The development of Graves' disease (GD) after subacute thyroiditis
(SAT) is very rare and only a limited number of cases have been reported.
OBJECTIVES: Here, we report a patient with SAT followed by hypothyroidism and
later GD, with ophthalmopathy, occurring 11 years after SAT. CONCLUSION: This
case illustrates the appearance of thyroid-stimulating hormone (TSH) receptor
antibodies in a female 1 year after SAT, the development of hypothyroidism
requiring thyroxine, and later the occurrence of GD with severe ophthalmopathy,
11 years after SAT. The occurrence of SAT and GD may be coincidental but SAT may
have induced the appearance of TSH-receptor antibodies, with the bioactivity
changing from blocking, leading to hypothyroidism, and later to a stimulating
activity that led to GD in a genetically susceptible patient.
PMID- 26558238
TI - Comorbid Latent Adrenal Insufficiency with Autoimmune Thyroid Disease.
AB - BACKGROUND: Autoimmune thyroid disease (ATD) has been occasionally observed in
patients with primary adrenal insufficiency (PAI). In contrast, less than 20
cases of comorbid PAI with ATD have been found in the English literature. One
conceivable reason is difficulty in detecting latent PAI. OBJECTIVE: Information
of clinical presentation and diagnostics is sought to facilitate diagnosis of
latent PAI. METHODS: Latent PAI was pursued in 11 patients among 159 ATD
patients. All of them were maintained in a euthyroid state. Except for one
patient with nonrheumatic musculoskeletal symptoms, the other patients, who were
asymptomatic in their daily lives, presented with recurrent nonspecific
gastrointestinal symptoms or fatigue in stress-associated circumstances. Morning
cortisol level <303 nmol/l was used as an inclusion criterion. Their basal
adrenocorticotropic hormone levels were normal. The adrenal status was examined
by a provocation test, either an insulin-induced hypoglycemia test or a 1-MUg
intravenous corticotrophin test. Eleven patients showed subnormal cortisol
response. They were supplemented with hydrocortisone of doses <=15 mg/day. After
a few months of supplementation, PAI was confirmed by another provocation test.
Three patients were excluded because of dissociation of two provocation tests.
RESULTS: Comorbid latent PAI with ATD was pursued from the symptoms stated above
and proven by two provocation tests; it was found in 5% (8/159) of the patients.
CONCLUSION: When patients with ATD are troubled by recurrent stress-associated
gastrointestinal or constitutional symptoms or nonrheumatic musculoskeletal
symptoms which have remained unrelieved by adjustment of thyroid medication,
these symptoms may be a manifestation of comorbid latent PAI. It is worth
investigating such patients for latent PAI.
PMID- 26558239
TI - Unusual Manifestation of Graves' Disease: Ventricular Fibrillation.
AB - BACKGROUND: It is well known that thyrotoxicosis causes rhythm disorders
including sinus tachycardia, atrial fibrillation, and atrial flutter. Atrial
fibrillation is the most common arrhythmia in thyrotoxicosis, occurring in 5-15%
of patients over 60 years of age, whereas ventricular arrhythmia is an unusual
manifestation. CASE REPORT: An 18-year-old Japanese woman was admitted to our
emergency department because of loss of consciousness caused by ventricular
fibrillation. She had been diagnosed with Graves' disease only 5 days earlier and
had no other past medical history. Blood examination showed no obvious
abnormality except thyrotoxicosis, and coronary angiography revealed patent
coronary arteries. She was diagnosed with thyroid storm due to Graves' disease
and is currently healthy during outpatient follow-up. CONCLUSION: This case
highlights that thyrotoxicosis can, albeit extremely rarely, cause ventricular
fibrillation even in the absence of hypokalemia or underlying cardiovascular
disease.
PMID- 26558240
TI - The pedagogical value of autopsy.
PMID- 26558241
TI - Chronic Chagas cardiomyopathy.
PMID- 26558242
TI - Lemierre's syndrome due to intratumoral abscess of the uvula.
AB - Lemierre's syndrome (LS), described in detail in 1936, used to be a life
threatening entity until the advent of antibiotics. Tonsillitis or pharyngitis
are the main primary infections and oropharyngeal anaerobic flora is the
predominant etiology. However, other primary site infections, as well as other
microbiological agents have been reported since the first description.
Inflammatory symptoms in the neck and marked findings on physical examination
predominate the majority of cases. Nonetheless, the authors report the case of a
54-year-old man with a history of dysphagia followed by cough, purulent
expectoration, and fever. The bad condition of his dentition was noteworthy.
During the diagnostic work-up, an ulcerated lesion in the uvula and a middle lobe
pneumonia were disclosed. Streptococcus viridans was isolated from blood culture.
On the fifth day of hospitalization, the patient died after a copious episode of
hemoptysis. The autopsy findings depicted an abscess within a squamous cell
carcinoma of the uvula, pharyngitis with carotid sheath spreading accompanied by
pylephlebitis and thrombosis of the internal jugular vein up to the innominate
vein, surrounded by an abscess in the mediastinum. Alveolar hemorrhage and
pneumonia were also present. We conclude that the ulcerated carcinoma of the
uvula housed an abscess, facilitated by the poor oral hygiene, which triggered LS
and the descending mediastinitis. Pulmonary involvement was due to the septic
embolism from the internal jugular vein. We would like to highlight the uvula
abscess as the primary site of infection in this case of LS with S. viridans as
the causative agent.
PMID- 26558243
TI - Congenital cystic adenomatoid malformation type I.
AB - Congenital cystic adenomatoid malformation (CCAM) is an hamartomatous congenital
pulmonary airway malformation with incidence ranging between 1:10,000 and
1:35,000 newborns. Currently CCAM is classified into five groups according to
clinical and pathological features. The clinical outcome varies depending on the
subtype and the extent of involvement. The authors report the case of a premature
male newborn with the prenatal diagnosis of CCAM Type 1 associated with cardiac
right axis deviation, who died 67 hours after birth due to respiratory failure.
In addition to the autopsy report of this rare entity, the authors present its
classification and prognosis.
PMID- 26558244
TI - Membranous nephropathy PLA2R+ associated with Chagas disease.
AB - Chagas disease (CD) - a tropical parasitic disease caused by the protozoan
Trypanosoma cruzi - is a major health problem in Latin America. The immune
response against the parasite is responsible for chronic CD lesions. Currently,
there are no reports of an association between CD and membranous nephropathy
(MN). The detection of the phospholipase A2 receptor (PLA2R) as a target antigen
in idiopathic MN can improve the differential diagnosis of primary and secondary
forms of MN. The authors report the case of a male patient with positive serology
for CD who presented sudden death and underwent autopsy. Histological sections of
the heart showed multifocal inflammatory infiltrate composed mainly of
mononuclear cells, leading to myocardiocytes necrosis and interstitial fibrosis.
The kidneys showed a MN with positive expression for PLA2R. As far as we know,
this is the first report of a case of primary MN in a patient with CD, with
severe chronic cardiomyopathy and heart failure.
PMID- 26558245
TI - Dengue fever as a cause of hemophagocytic lymphohistiocytosis.
AB - Dengue is endemic in more than 100 countries in Southeast Asia, the Americas, the
western Pacific, Africa and the eastern Mediterranean regions. The virus is
transmitted by Aedes mosquitoes. Dengue disease is the most prevalent arthropod
borne viral disease in humans and is a global and national public health concern
in several countries. A seasonal pattern of dengue disease is consistently
observed. The highest incidences usually correspond to the period of highest
rainfall and humidity, providing suitable conditions for Aedes aegypti breeding
and survival. In Brazil for instance it is from January to June. Dengue may cause
marked changes in bone marrow that result in hypocellularity and, consequently,
thrombocytopenia and leucopenia, along with an increase in hematocrit, which is
secondary to capillary leakage. However, those abnormalities are usually self
limited, and do not warrant further investigations, such as a marrow biopsy or a
myelogram. The occurrence of persistent reactive hemophagocytosis is uncommon and
usually leads to serious adverse outcomes. The authors report the case of an 8
year old girl complaining of high-grade fever, malaise, headache, abdominal pain
and a cutaneous rash. Laboratory examination revealed atypical lymphocytosis on
peripheral blood count, hyperbilirrunemia, abnormal liver enzymes and clotting
tests. Serology was positive for dengue. Because of the persistence of fever and
laboratory examinations were consistent with hemophagocytic lymphohistiocytosis
(HLH) a bone marrow aspiration was performed, which confirmed the presence of
hemophagocytosis. Hence we report a rare presentation of dengue accompanied by
self-limited HLH that hopefully evolve to favorable outcome.
PMID- 26558246
TI - Acute Hemorrhagic Edema of Infancy: an unusual diagnosis for the general
pediatrician.
AB - Acute Hemorrhagic Edema of Infancy (AHEI) is a rare leukocytoclastic vasculitis,
clinically characterized by the classical triad: palpable purpuric skin lesions,
edema and fever, and is commonly misdiagnosed as Henoch-Schonlein purpura. In
addition to its sudden onset, AHEI is also characterized by its self-limited
course with complete and spontaneous recovery occurring between 1 and 3 weeks.
Because of the scarcity of studies on therapy with corticosteroids, the
conservative approach is usually recommended. The authors report an unusual case
of an one-year-old boy who presented with typical cutaneous rash of AHEI and
orchitis, the latter showing complete resolution after less than 24 hours of
prednisolone therapy. The authors call attention to this entity mainly as a
differential diagnosis of Henoch-Schonlein purpura and to the importance of new
studies to establish the benefits of corticosteroid therapy for AHEI.
PMID- 26558247
TI - Atypical behavioral and psychiatric symptoms: Neurosyphilis should always be
considered.
AB - Syphilis still remains a major health concern worldwide because of the
possibility of serious medical and psychological consequences, long-term
disability, and death. Neurosyphilis (NS) may occur at any stage of infection.
Its clinical presentation has been changing over recent years including-
psychiatric and neurocognitive symptoms. Several recent studies have described
cases with these symptoms as the principal signs of NS. We present the case of
neurosyphilis with a psychiatric presentation characterized by mood disturbance
and auditory and visual hallucinations.
PMID- 26558248
TI - Gastric metastasis as the first manifestation of an invasive lobular carcinoma of
the breast.
AB - Gastrointestinal metastases from breast cancer are rare and generally occur
several years after the diagnosis of the primary lesion. The diagnosis of gastric
metastasis as the initial presentation of breast cancer is even rarer and can
potentially mimic gastric carcinoma. We report the case of a 66-year-old female
patient submitted to a total gastrectomy because of the histological diagnosis of
undifferentiated gastric carcinoma. During the surgical procedure, biopsies of
the peritoneum and the liver were performed, which were consistent with
metastatic breast invasive lobular carcinoma (ILC). The primary lesion of the
breast was detected during the post-operative period, when a 4-cm-long lesion was
detected on physical examination and mammography. The revision of the gastric
biopsy confirmed the diagnosis of ILC. The authors call attention to the rarity
of gastrointestinal metastases as the initial presentation of breast ILC.
PMID- 26558250
TI - Erratum: missing competing interests in editorial.
AB - [This corrects the article DOI: 10.4322/acr.2015.010.].
PMID- 26558249
TI - Bilateral mandibular osteomyelitis mimicking periapical cysts in a patient with
sickle cell anemia.
AB - Sickle cell anemia (SCA) is a hemoglobin disorder that occurs more commonly among
Afro-descendants. The authors report the case of a 28-year-old Afro-descendent
male patient with the diagnosis of homozygotic sickle cell disease (SCD) referred
for evaluation of mandibular lesions. The patient's main complaints included pain
and bilateral teeth mobility. An intraoral examination revealed gingiva recession
affecting the lower molars with extensive root exposure. A panoramic x-ray showed
two radiolucent symmetrical periapical lesions evolving both the first and the
second lower molars, bilaterally. The diagnostic hypotheses comprised odontogenic
infection, among others. Besides antimicrobial therapy, the two molars of both
sides were extracted and bone was collected for histopathological and
microbiological analyses. Osteomyelitis was diagnosed, and Streptococcus viridans
was recovered from the culture media. Mandibular osteomyelitis should be
considered as a diagnosis in patients with SCD. The present case offers an alert
to clinicians about the importance of knowing jaw lesions related to SCA.
PMID- 26558251
TI - Progression of Monoclonal Gammopathy with Undetermined Significance to Multiple
Myeloma Diagnosed by Kidney Biopsy: A Case Report.
AB - Monoclonal gammopathy with undetermined significance (MGUS) carries a risk of
progression to multiple myeloma, and progression is usually diagnosed with
changes in M-protein or bone marrow biopsy. We report a case of 62-year-old
female patient showing MGUS progression to multiple myeloma without significant
changes in M-protein but diagnosed by kidney biopsy. During the follow-ups,
azotemia and tubular proteinuria were aggravated without elevation of M-protein.
Kidney biopsy showed intratubular and glomerular inclusions associated with
plasma cell dysplasia. The progression of MGUS to multiple myeloma was diagnosed
by this kidney biopsy. The patient's renal function and tubular proteinuria were
markedly improved after chemotherapy.
PMID- 26558252
TI - Reversible Ceftriaxone-Induced Pseudolithiasis in an Adult Patient with
Maintenance Hemodialysis.
AB - Ceftriaxone (CTRX) is a third-generation cephalosporin widely used for the
treatment of bacterial infections in patients with renal disease because of its
excretion by both renal and hepatic mechanisms. Biliary pseudolithiasis is a
known CTRX-associated complication; however, there have been no studies of this
adverse event in adult patients receiving maintenance hemodialysis. Here we
report the case of a 79-year-old Japanese woman with end-stage renal disease
(ESRD) receiving maintenance hemodialysis who developed CTRX-induced
pseudolithiasis. The patient received CTRX for bronchial pneumonia. Fifteen days
following CTRX initiation, the patient presented with stomachache. Because of the
presence of one gallstone and increased gallbladder wall thickness on computed
tomography scans, not detected at the onset of pneumonia, the patient was
diagnosed with CTRX-induced gallbladder pseudolithiasis. CTRX was discontinued
immediately. At 48 days following CTRX withdrawal, the gallstone and thickening
of the gallbladder wall had completely resolved. ESRD may be a risk factor for
CTRX-induced pseudolithiasis as hepatic excretion of CTRX is the predominant
clearance mechanism in patients with ESRD. More attention should be paid to CTRX
induced pseudolithiasis following the use of CTRX in ESRD patients.
PMID- 26558254
TI - Evaluating the Cassandra NoSQL Database Approach for Genomic Data Persistency.
AB - Rapid advances in high-throughput sequencing techniques have created interesting
computational challenges in bioinformatics. One of them refers to management of
massive amounts of data generated by automatic sequencers. We need to deal with
the persistency of genomic data, particularly storing and analyzing these large
scale processed data. To find an alternative to the frequently considered
relational database model becomes a compelling task. Other data models may be
more effective when dealing with a very large amount of nonconventional data,
especially for writing and retrieving operations. In this paper, we discuss the
Cassandra NoSQL database approach for storing genomic data. We perform an
analysis of persistency and I/O operations with real data, using the Cassandra
database system. We also compare the results obtained with a classical relational
database system and another NoSQL database approach, MongoDB.
PMID- 26558253
TI - Two Types of Renovascular Lesions in Lupus Nephritis with Clinical Thrombotic
Thrombocytopenic Purpura.
AB - Renovascular lesions of lupus nephritis (LN) were classified into five categories
by D'Agati in Heptinstall's Pathology of the Kidney, with thrombotic
microangiopathy (TMA) and clinical thrombotic thrombocytopenic purpura (TTP)
being combined. We encountered 2 cases with histological LN (class III and lass
V), and they presented with clinical features of TTP, such as acute renal
failure, microangiopathic hemolytic anemia, thrombocytopenia, fever, and central
neurologic symptoms. Immunosuppressive therapy with plasmapheresis was performed
in both patients. Case 1 progressed to end-stage renal failure requiring dialysis
and died, while case 2 responded to treatment. In case 1, small renal arteries
showed positive mural staining for IgG and C3, while intraluminal material was
negative for IgG and C3 [although it was positive for phosphotungstic acid
hematoxylin (PTAH), indicating fibrin deposition]. In case 2, small renal
arteries showed mural staining for IgG, C1q, and C3, with the intraluminal
material also being positive for these immunoglobulins, but negative for PTAH.
These cases suggest that immunosuppressive therapy with plasmapheresis can
control LN when intravascular thrombosis is related to immune complexes
associated with activation of the early complement components C1q and C3. In
contrast, immunosuppressive therapy with plasmapheresis may not be effective when
intravascular thrombosis is unrelated to these factors and involves fibrin
deposition. Accordingly, in LN patients with clinical features of TTP, we report
two types of renovascular lesions, in addition to typical vascular change of TMA
with no immune deposits seen in nonlupus patients.
PMID- 26558255
TI - RECORD: Reference-Assisted Genome Assembly for Closely Related Genomes.
AB - Background. Next-generation sequencing technologies are now producing multiple
times the genome size in total reads from a single experiment. This is enough
information to reconstruct at least some of the differences between the
individual genome studied in the experiment and the reference genome of the
species. However, in most typical protocols, this information is disregarded and
the reference genome is used. Results. We provide a new approach that allows
researchers to reconstruct genomes very closely related to the reference genome
(e.g., mutants of the same species) directly from the reads used in the
experiment. Our approach applies de novo assembly software to experimental reads
and so-called pseudoreads and uses the resulting contigs to generate a modified
reference sequence. In this way, it can very quickly, and at no additional
sequencing cost, generate new, modified reference sequence that is closer to the
actual sequenced genome and has a full coverage. In this paper, we describe our
approach and test its implementation called RECORD. We evaluate RECORD on both
simulated and real data. We made our software publicly available on sourceforge.
Conclusion. Our tests show that on closely related sequences RECORD outperforms
more general assisted-assembly software.
PMID- 26558256
TI - A Clinical Picture of the Visual Outcome in Adamantiades-Behcet's Disease.
AB - Adamantiades-Behcet's disease is a multisystemic vasculitis with multiorgan
involvement. Ocular disorders occur often in this syndrome typically in the form
of a relapsing-remitting panuveitis and vasculitis and can lead to blindness as
one of its most disabling complications if left untreated. There are known risk
factors related with the worst visual prognosis, which require early and
intensive treatment in order to obtain a rapid suppression of inflammation and to
prevent future relapses. The management strategy to avoid vision loss and
blindness currently involves the use of local and systemic drugs including
steroids and immunosuppressive and biologic agents. This review aims to
demonstrate how the introduction and the use of biologic agents improves the
visual outcome of patients with Adamantiades-Behcet's disease.
PMID- 26558257
TI - Identification and Analysis of the SET-Domain Family in Silkworm, Bombyx mori.
AB - As an important economic insect, Bombyx mori is also a useful model organism for
lepidopteran insect. SET-domain-containing proteins belong to a group of enzymes
named after a common domain that utilizes the cofactor S-adenosyl-L-methionine
(SAM) to achieve methylation of its substrates. Many SET-domain-containing
proteins have been shown to display catalytic activity towards particular lysine
residues on histones, but emerging evidence also indicates that various
nonhistone proteins are specifically targeted by this clade of enzymes. To
explore their diverse functions of SET-domain superfamily in insect, we
identified, cloned, and analyzed the SET-domains proteins in silkworm, Bombyx
mori. Firstly, 24 genes containing SET domain from silkworm genome were
characterized and 17 of them belonged to six subfamilies of SUV39, SET1, SET2,
SUV4-20, EZ, and SMYD. Secondly, SET domains of silkworm SET-domain family were
intraspecifically and interspecifically conserved, especially for the catalytic
core "NHSC" motif, substrate binding site, and catalytic site in the SET domain.
Lastly, further analyses indicated that silkworm SET-domain gene BmSu(var)3-9
owned different characterization and expression profiles compared to other
invertebrates. Overall, our results provide a new insight into the functional and
evolutionary features of SET-domain family.
PMID- 26558258
TI - Role and Function of MicroRNAs in Extracellular Vesicles in Cardiovascular
Biology.
AB - Intercellular communication mediated by extracellular vesicles is crucial for
preserving vascular integrity and in the development of cardiovascular disease.
Extracellular vesicles consist of apoptotic bodies, microvesicles, and exosomes
that can be found in almost every fluid compartment of the body like blood,
saliva, and urine. In the recent years, a lot of reports came up suggesting that
major cardiovascular and metabolic pathologies like atherogenesis, heart failure,
or diabetes are highly influenced by transfer of microRNAs via extracellular
vesicles leading to altered protein expression and phenotypes of recipient cells.
The following review will summarize the fast developing field of intercellular
signaling in cardiovascular biology by microRNA-containing extracellular
vesicles.
PMID- 26558259
TI - Different Persistence of the Cellular Effects Promoted by Protein Kinase CK2
Inhibitors CX-4945 and TDB.
AB - We compare the cellular efficacy of two selective and cell permeable inhibitors
of the antiapoptotic kinase CK2. One inhibitor, CX-4945, is already in clinical
trials as antitumor drug, while the other, TDB, has been recently successfully
employed to demonstrate the implication of CK2 in cellular (dis)regulation. We
found that, upon treatment of cancer cells with these compounds, the extent of
inhibition of endocellular CK2 is initially comparable but becomes significantly
different after the inhibitors are removed from the cellular medium: while in CX
4945 treated cells CK2 activity is restored to control level after 24 h, in the
case of TDB it is still strongly reduced after 4 days from removal. The
biological effects of the two inhibitors have been analyzed by performing
clonogenic, spheroid formation, and wound-healing assays: we observed a permanent
inhibition of cell survival and migration in TDB-treated cells even after the
inhibitor removal, while in the case of CX-4945 only its maintenance for the
whole duration of the assay insured a persisting effect. We suggest that the
superiority of TDB in maintaining kinase activity inhibited and perpetuating the
consequent effects is an added value to be considered when planning new therapies
based on CK2 targeting.
PMID- 26558260
TI - The Clinical Characteristics and Treatment of Cerebral Microarteriovenous
Malformation Presenting with Intracerebral Hemorrhage: A Series of 13 Cases.
AB - OBJECT: The aim of this report was to explore the clinical presentation,
radiological features, treatment methods, and outcome of micro-AVMs presenting
with intracerebral hemorrhage. METHODS: The clinical data, radiological features,
treatment, and follow-up results for a consecutive series of 13 cases with micro
AVMs were retrospectively analyzed. RESULTS: All 13 patients presented with
intracerebral hemorrhage. Ten cases were confirmed by enhanced thin layer CT
scanning and CTA, and the other 3 cases were confirmed by DSA. Treatment
consisted of surgical removal in 10 cases, endovascular embolization in 1, and
radiosurgery in 2. The modified GOS score was achieved in the third month after
discharge: 10 cases were rated with 5 points (good recovery), 1 case was rated
with 4 points (mild disability), and 2 cases were rated with 3 points (severe
disability). During follow-up, No case of rebleeding was reported. CONCLUSIONS:
Intracerebral hemorrhage is the main clinical manifestation of micro-AVMs. It is
beneficial to find a tiny nidus of dense vessels located on hematoma wall on
enhanced thin layer CT scanning for a clear diagnosis and to detect any abnormal
feeding artery or venous drainage for an indirect diagnostic evidence. Resection
is the main method of treatment for micro-AVMs.
PMID- 26558261
TI - Short Duration Combined Mild Hypothermia Improves Resuscitation Outcomes in a
Porcine Model of Prolonged Cardiac Arrest.
AB - OBJECTIVE: In this study, our aim was to investigate the effects of combined
hypothermia with short duration maintenance on the resuscitation outcomes in a
porcine model of ventricular fibrillation (VF). METHODS: Fourteen porcine models
were electrically induced with VF and untreated for 11 mins. All animals were
successfully resuscitated manually and then randomized into two groups: combined
mild hypothermia (CH group) and normothermia group (NT group). A combined
hypothermia of ice cold saline infusion and surface cooling was implemented in
the animals of the CH group and maintained for 4 hours. The survival outcomes and
neurological function were evaluated every 24 hours until a maximum of 96 hours.
Neuron apoptosis in hippocampus was analyzed. RESULTS: There were no significant
differences in baseline physiologies and primary resuscitation outcomes between
both groups. Obvious improvements of cardiac output were observed in the CH group
at 120, 180, and 240 mins following resuscitation. The animals demonstrated
better survival at 96 hours in the CH group when compared to the NT group. In
comparison with the NT group, favorable neurological functions were observed in
the CH group. CONCLUSION: Short duration combined cooling initiated after
resuscitation improves survival and neurological outcomes in a porcine model of
prolonged VF.
PMID- 26558262
TI - Ocular Manifestations and Therapeutic Options in Patients with Familial Amyloid
Polyneuropathy: A Systematic Review.
AB - PURPOSE: This paper aims to review the morphological and functional
characteristics of patients affected by familial amyloid polyneuropathy (FAP),
with greater focus on type I and its progression after liver transplantation. We
also analyse therapeutic options for the ophthalmic manifestations. METHODS: The
literature from 2002 through 2015 was reviewed, with a total of 45 articles
studied, using the key terms related to amyloidosis and its therapeutic
approaches. Information was collated, evaluated, critically assessed, and then
summarised in its present form. PATHOPHYSIOLOGY AND TREATMENT: FAP results from
mutation of the transthyretin gene, with Val30Met being the most frequent
substitution. The symptoms are those typical of a sensorimotor autonomic
neuropathy and can be halted with liver transplantation. Nowadays there are new
medical therapies that delay the progression of the systemic neuropathy. However,
there are still no options to avoid ocular disease. CONCLUSION: The main ocular
manifestations in patients with FAP type I are amyloid deposition in the
vitreous, dry eye, and secondary glaucoma. Despite liver transplantation, eye
synthesis of amyloid persists and is associated with progressive ocular
manifestations, which require continued ophthalmologic follow-up. New therapeutic
strategies are therefore needed, particularly to target the ocular synthesis of
the abnormal protein.
PMID- 26558264
TI - Corrigendum to "Genetic Diagnosis of Charcot-Marie-Tooth Disease in a Population
by Next-Generation Sequencing".
PMID- 26558263
TI - Ocular Blood Flow and Normal Tension Glaucoma.
AB - Normal tension glaucoma (NTG) is known as a multifactorial optic neuropathy
characterized by progressive retinal ganglion cell death and glaucomatous visual
field loss, even though the intraocular pressure (IOP) does not exceed the normal
range. The pathophysiology of NTG remains largely undetermined. It is
hypothesized that the abnormal ocular blood flow is involved in the pathogenesis
of this disease. A number of evidences suggested that the vascular factors played
a significant role in the development of NTG. In recent years, the new imaging
techniques, fluorescein angiography, color Doppler imaging (CDI), magnetic
resonance imaging (MRI), and laser speckle flowgraphy (LSFG), have been used to
evaluate the ocular blood flow and blood vessels, and the impaired vascular
autoregulation was found in patients with NTG. Previous studies showed that NTG
was associated with a variety of systemic diseases, including migraine,
Alzheimer's disease, primary vascular dysregulation, and Flammer syndrome. The
vascular factors were involved in these diseases. The mechanisms underlying the
abnormal ocular blood flow in NTG are still not clear, but the risk factors for
glaucomatous optic neuropathy likely included oxidative stress, vasospasm, and
endothelial dysfunction.
PMID- 26558265
TI - Ocular Blood Flow and Visual Function.
PMID- 26558266
TI - In Vitro Behavior of Human Adipose Tissue-Derived Stem Cells on Poly(epsilon
caprolactone) Film for Bone Tissue Engineering Applications.
AB - Bone tissue engineering is an emerging field, representing one of the most
exciting challenges for scientists and clinicians. The possibility of combining
mesenchymal stem cells and scaffolds to create engineered tissues has brought
attention to a large variety of biomaterials in combination with osteoprogenitor
cells able to promote and regenerate bone tissue. Human adipose tissue is
officially recognized as an easily accessible source of mesenchymal stem cells
(AMSCs), a significant factor for use in tissue regenerative medicine. In this
study, we analyze the behavior of a clonal finite cell line derived from human
adipose tissue seeded on poly(epsilon-caprolactone) (PCL) film, prepared by
solvent casting. PCL polymer is chosen for its good biocompatibility,
biodegradability, and mechanical properties. We observe that AMSCs are able to
adhere to the biomaterial and remain viable for the entire experimental period.
Moreover, we show that the proliferation process and osteogenic activity of AMSCs
are maintained on the biofilm, demonstrating that the selected biomaterial
ensures cell colonization and the development of an extracellular mineralized
matrix. The results of this study highlight that AMSCs and PCL film can be used
as a suitable model to support regeneration of new bone for future tissue
engineering strategies.
PMID- 26558267
TI - 3D Surface Profile and Color Stability of Tooth Colored Filling Materials after
Bleaching.
AB - This study aims to evaluate the effects of vital tooth bleaching with carbamide
peroxide home bleaching and in-office bleaching on the color stability and 3D
surface profile of dental restorative filling materials. Thirty discs (n = 30)
measure 6 mm in diameter and 2 mm thick for each of three restorative materials.
These are nanofilled composite Filtek Z350 XT, the submicron composite Estelite
Sigma Quick, and nanofilled glass ionomer Ketac N100 nanoionomer and were
fabricated in shade A2. Each group was further divided into three subgroups (n =
10): subgroup A (Opalescence PF), subgroup B (Opalescence Boost in-office
bleaching), and subgroup C (distilled water) serving as control. Samples were
bleached according to the manufacturer's instructions for a period of two weeks.
The Commission Internationale de L'Eclairage (CIE L(*), a(*), b(*)) system was
chosen for image processing, while 3D surface profile was tested with atomic
force microscopy (AFM). Statistical analyses were performed with the Mann-Whitney
tests and Krusal-Wallis with a P value of <= 0.05. The three restorative
materials showed significant color changes (DeltaE); P <= 0.05. In diminishing
order, the mean color changes recorded were Estelite Sigma (3.82 +/- 1.6) > Ketac
Nano (2.97 +/- 1.2) > Filtek Z350 XT (2.25 +/- 1.0). However, none of the tested
materials showed statistically significant changes in surface roughness; P >
0.05.
PMID- 26558268
TI - Atrial Fibrillation and Coronary Artery Disease as Risk Factors of Retinal Artery
Occlusion: A Nationwide Population-Based Study.
AB - We use Taiwanese national health insurance research database (NHIRD) to
investigate whether thrombolism (carotid artery disease (CAD) as a surrogate) or
embolism (atrial fibrillation (AF) as a surrogate) plays roles in later retinal
artery occlusion (RAO) development and examine their relative weights. The
relative risks of RAO between AF and CAD patients and controls were compared by
estimating the crude hazard ratio with logistic regression. Kaplan-Meier analysis
was used to calculate the cumulative incidence rates of developing RAO, and a log
rank test was used to analyze the differences between the survival curves.
Separate Cox proportional hazard regressions were done to compute the RAO-free
rate after adjusting for possible confounding factors such as age and sex. The
crude hazard ratios were 7.98 for the AF group and 5.27 for the CAD group, and
the adjusted hazard ratios were 8.32 and 5.34 for the AF and CAD groups,
respectively. The observation time with RAO-free was shorter for AF compared with
CAD group (1490 versus 1819 days). AF and CAD were both risk factors for RAO with
different hazard ratios. To tackle both AF and CAD is crucial for curbing RAO.
PMID- 26558269
TI - Trying to Put the Puzzle Together: Age and Performance Level Modulate the Neural
Response to Increasing Task Load within Left Rostral Prefrontal Cortex.
AB - Age-related working memory decline is associated with functional cerebral changes
within prefrontal cortex (PFC). Kind and meaning of these changes are heavily
discussed since they depend on performance level and task load. Hence, we
investigated the effects of age, performance level, and load on spatial working
memory retrieval-related brain activation in different subregions of the PFC. 19
younger (Y) and 21 older (O) adults who were further subdivided into high
performers (HP) and low performers (LP) performed a modified version of the Corsi
Block-Tapping test during fMRI. Brain data was analyzed by a 4 (groups: YHP, OHP,
YLP, and OLP) * 3 (load levels: loads 4, 5, and 6) ANOVA. Results revealed
significant group * load interaction effects within rostral dorsolateral and
ventrolateral PFC. YHP showed a flexible neural upregulation with increasing
load, whereas YLP reached a resource ceiling at a moderate load level. OHP showed
a similar (though less intense) pattern as YHP and may have compensated age
effects at high task load. OLP showed neural inefficiency at low and no
upregulation at higher load. Our findings highlight the relevance of age and
performance level for load-dependent activation within rostral PFC. Results are
discussed in the context of the compensation-related utilization of neural
circuits hypothesis (CRUNCH) and functional PFC organization.
PMID- 26558270
TI - Genetic Polymorphisms of IL-17F and TRAF3IP2 Could Be Predictive Factors of the
Long-Term Effect of Infliximab against Crohn's Disease.
AB - BACKGROUND: We aimed to identify certain genes related to response to infliximab
(IFX) and biomarkers to predict the IFX effect for Japanese Crohn's disease (CD)
patients by performing an association study of single nucleotide polymorphisms
(SNPs) in candidate genes in the interleukin- (IL-) 17 signaling pathway with
response to IFX after 1 year of treatment. METHODS: A total of 103 patients were
divided into two groups, responders and nonresponders. Twenty-eight tag SNPs in 5
genes were genotyped. The frequencies of alleles and genotypes of each SNP were
compared between responders and nonresponders in three different inheritance
models. A genetic test was performed using a combination of the associated SNPs
as biomarkers. RESULTS: Multivariate logistic regression analysis indicated that
the four variable factors, concomitant use of immunomodulators, penetrating
disease, a G/G genotype of rs766748 in IL-17F, and a C/C or C/A genotype of
rs1883136 in TRAF3IP2, independently contributed to response to IFX after 1 year
of treatment. Genetic test using the polymorphisms of these genes perfectly
predicted the responder and nonresponder CD patients with both concomitant use of
immunomodulators and penetrating disease. CONCLUSION: IL17F and TRAF3IP2 are one
of IFX-related genes, useful as biomarkers of IFX response, and may be target
molecules for new therapeutic drugs.
PMID- 26558272
TI - Targeting Cellular Metabolism Chemosensitizes the Doxorubicin-Resistant Human
Breast Adenocarcinoma Cells.
AB - Metabolic energy preferentially produced by glycolysis was an advantageous
metabolic phenotype of cancer cells. It is also an essential contributor to the
progression of multidrug resistance in cancer cells. By developing human breast
cancer MCF-7 cells resistant to doxorubicin (DOX) (MCF-7/MDR cells), the effects
and mechanisms of 2-deoxy-D-glucose (2DG), a glucose analogue, on reversing
multidrug resistance were investigated. 2DG significantly inhibited the viability
of MCF-7/MDR cells and enhanced DOX-induced apoptosis by upregulating protein
expression of AMPKalpha, P53, and caspase-3. The study demonstrated that energy
restriction induced by 2DG was relevant to the synergistic effect of 2DG and DOX.
The proteins of multidrug gene (the MDR-related protein, MRP1) and P-glycoprotein
(P-gp) in MCF-7/MDR cells were downregulated after exposure to 2DG, accompanied
with the suppression of the activity of ATP-dependent drug-efflux pump and
transmembrane transporter, increasing the intracellular accumulation of DOX to
reverse the chemoresistance in multidrug cancer cells.
PMID- 26558273
TI - The Impact of Pain Assessment on Critically Ill Patients' Outcomes: A Systematic
Review.
AB - In critically ill patients, pain is a major problem. Efficient pain management
depends on a systematic, comprehensive assessment of pain. We aimed to review and
synthesize current evidence on the impact of a systematic approach to pain
assessment on critically ill patients' outcomes. A systematic review of published
studies (CINAHL, PUBMED, SCOPUS, EMBASE, and COCHRANE databases) with
predetermined eligibility criteria was undertaken. Methodological quality was
assessed by the EPHPP quality assessment tool. A total of 10 eligible studies
were identified. Due to big heterogeneity, quantitative synthesis was not
feasible. Most studies indicated the frequency, duration of pain assessment, and
types of pain assessment tools. Methodological quality assessment yielded
"strong" ratings for 5/10 and "weak" ratings for 3/10 studies. Implementation of
systematic approaches to pain assessment appears to associate with more frequent
documented reports of pain and more efficient decisions for pain management.
There was evidence of favorable effects on pain intensity, duration of mechanical
ventilation, length of ICU stay, mortality, adverse events, and complications.
This systematic review demonstrates a link between systematic pain assessment and
outcome in critical illness. However, the current level of evidence is
insufficient to draw firm conclusions. More high quality randomized clinical
studies are needed.
PMID- 26558271
TI - Heparan Sulfate Proteoglycans May Promote or Inhibit Cancer Progression by
Interacting with Integrins and Affecting Cell Migration.
AB - The metastatic disease is one of the main consequences of tumor progression,
being responsible for most cancer-related deaths worldwide. This review intends
to present and discuss data on the relationship between integrins and heparan
sulfate proteoglycans in health and cancer progression. Integrins are a family of
cell surface transmembrane receptors, responsible for cell-matrix and cell-cell
adhesion. Integrins' main functions include cell adhesion, migration, and
survival. Heparan sulfate proteoglycans (HSPGs) are cell surface molecules that
play important roles as cell receptors, cofactors, and overall direct or indirect
contributors to cell organization. Both molecules can act in conjunction to
modulate cell behavior and affect malignancy. In this review, we will discuss the
different contexts in which various integrins, such as alpha5, alphaV, beta1, and
beta3, interact with HSPGs species, such as syndecans and perlecans, affecting
tissue homeostasis.
PMID- 26558274
TI - 1,213 Cases of Treatment of Facial Acne Using Indocyanine Green and Intense
Pulsed Light in Asian Skin.
AB - BACKGROUND: Photodynamic therapy (PDT) has been used for acne, with various
combinations of photosensitizers and light sources. OBJECTIVE: We evaluated the
effectiveness and safety of indocyanine green (ICG) and intense pulsed light
(IPL) in the treatment of acne. MATERIALS AND METHODS: A total of 1,213 patients
with facial acne were retrospectively reviewed. Patients received three or five
treatments of ICG and IPL at two-week intervals. Clinical response to treatment
was assessed by comparing pre- and posttreatment clinical photographs and patient
satisfaction scores. RESULTS: Marked to excellent improvement was noted in 483 of
1,213 (39.8%) patients, while minimal to moderate improvement was achieved in the
remaining 730 (60.2%) patients. Patient satisfaction scores revealed that 197
(16.3%) of 1,213 patients were highly satisfied, 887 (73.1%) were somewhat
satisfied, and 129 (10.6%) were unsatisfied. There were no significant side
effects. CONCLUSION: These results suggest that PDT with ICG and IPL can be
effectively and safely used in the treatment of acne.
PMID- 26558275
TI - No Evidence for Retinal Damage Evolving from Reduced Retinal Blood Flow in
Carotid Artery Disease.
AB - INTRODUCTION: Carotid artery disease (CAD) comprising high-grade internal carotid
artery stenosis (CAS) or carotid artery occlusion (CAO) may lead to ipsilateral
impaired cerebral blood flow and reduced retinal blood supply. OBJECTIVE: To
examine the influence of chronic CAD on retinal blood flow, retinal morphology,
and visual function. METHODS: Patients with unilateral CAS >= 50% (ECST criteria)
or CAO were grouped according to the grade of the stenosis and to the flow
direction of the ophthalmic artery (OA). Retinal perfusion was measured by
transorbital duplex ultrasound, assessing central retinal artery (CRA) blood flow
velocities. In addition, optic nerve and optic nerve sheath diameter were
measured. Optical coherence tomography (OCT) was performed to study retinal
morphology. Visual function was assessed using high- and low-contrast visual
paradigms. RESULTS: Twenty-seven patients were enrolled. Eyes with CAS >= 80%/CAO
and retrograde OA blood flow showed a significant reduction in CRA peak systolic
velocity (no-CAD side: 0.130 +/- 0.035 m/s, CAS/CAO side: 0.098 +/- 0.028; p =
0.005; n = 12). OCT, optic nerve thicknesses, and visual functional parameters
did not show a significant difference. CONCLUSION: Despite assessable hemodynamic
effects, chronic high-grade CAD does not lead to gaugeable morphological or
functional changes of the retina.
PMID- 26558276
TI - The Relationship of On-Call Work with Fatigue, Work-Home Interference, and
Perceived Performance Difficulties.
AB - OBJECTIVES: This study examined the relationship between on-call duty exposure
(active and total on-call hours a month, number of calls per duty) and employees'
experiences of being on-call (stress due to unpredictability, ability to relax
during inactive on-call periods, restrictions during on-call duties, on-call work
demands, and satisfaction with compensation for on-call duties) on the one hand
and fatigue, strain-based and time-based work-home interference (WHI), and
perceived on-call performance difficulties (PPD) on the other hand. METHODS:
Cross-sectional survey data were collected among a large heterogeneous sample of
Dutch employees (N = 5437). The final sample consisted of 157 on-call workers (23
69 years, 71% males). Data were analyzed by means of hierarchical regression
analyses (controlling for age and job characteristics). RESULTS: Differences in
on-call work exposure were not systematically related to fatigue, WHI, and PPD
(all p's >0.50). The experience of being on-call explained a medium proportion of
the variation in fatigue and strain-based WHI and a medium to large proportion of
the variation in time-based WHI and PPD over and above the control variables.
CONCLUSIONS: Our results suggest that it is employees' experience of being on
call, especially the experience of stress due to the unpredictability, rather
than the amount of exposure, that is related to fatigue, WHI, and perceived on
call performance difficulties.
PMID- 26558277
TI - Auditing of Monitoring and Respiratory Support Equipment in a Level III-C
Neonatal Intensive Care Unit.
AB - BACKGROUND: Random safety audits (RSAs) are a safety tool but have not been
widely used in hospitals. OBJECTIVES: To determine the frequency of proper use of
equipment safety mechanisms in relation to monitoring and mechanical ventilation
by performing RSAs. The study also determined whether factors related to the
patient, time period, or characteristics of the area of admission influenced how
the device safety systems were used. METHODS: A prospective observational study
was conducted in a level III-C Neonatal Intensive Care Unit (NICU) during 2012.
87 days were randomly selected. Appropriate overall use was defined when all
evaluated variables were correctly programmed in the audited device. RESULTS: A
total of 383 monitor and ventilator audits were performed. The Kappa coefficient
of interobserver agreement was 0.93. The rate of appropriate overall use of the
monitors and respiratory support equipment was 33.68%. Significant differences
were found with improved usage during weekends, OR 1.85 (1.12-3.06, p = 0.01),
and during the late shift (3 pm to 10 pm), OR 1.59 (1.03-2.4, p = 0.03).
CONCLUSIONS: Equipment safety systems of monitors and ventilators are not
properly used. To improve patient safety, we should identify which alarms are
really needed and where the difficulties lie for the correct alarm programming.
PMID- 26558278
TI - The Selectivity of CK2 Inhibitor Quinalizarin: A Reevaluation.
AB - Many polyphenolic compounds have been reported to inhibit protein kinases, with
special reference to CK2, a pleiotropic serine/threonine kinase, implicated in
neoplasia, neurodegenerative disease, and viral infections. In general however
these compounds are not endowed with stringent selectivity. Among them
quinalizarin (1,2,5,8-tetrahydroxyanthraquinone) turned out to be particularly
potent (Ki = 0.058 MUM) and quite selective as judged by profiling it on a small
panel of 70 protein kinases. Here, by profiling quinalizarin on a larger panel of
140 kinases we reach the conclusion that quinalizarin is one of the most
selective inhibitors of CK2, superior to the first-in-class CK2 inhibitor, CX
4945, now in clinical trials for the treatment of cancer. Moreover here we show
that quinalizarin is able to discriminate between the isolated CK2 catalytic
subunit (CK2alpha) and CK2 holoenzyme (CK2alpha2 beta2), consistent with in
silico and in vitro analyses.
PMID- 26558279
TI - New Onset Diplopia in Patients with Nasopharyngeal Carcinoma following Concurrent
Chemoradiotherapy: Clinical Features and Etiology.
AB - PURPOSE: To investigate the clinical features and etiology of nasopharyngeal
carcinoma (NPC) patients with new onset diplopia after concurrent
chemoradiotherapy. METHODS: We retrospectively reviewed the medical records of
NPC patients with new onset diplopia after concurrent chemoradiotherapy from 1998
to 2012 in a cancer center. Their clinical manifestations of ocular motor
dysfunction in relation to etiology were investigated. RESULTS: Twenty-three NPC
patients with diplopia after concurrent chemoradiotherapy were enrolled in this
study. Unilateral cranial VI palsy (91%) was the most common ocular motor
dysfunction in these patients. The new onset diplopia in these patients was
secondary to tumor recurrence in 12 cases (52%), radiation neuropathy in 8 cases
(35%), and skull base osteoradionecrosis in 3 cases (13%). Patients with tumor
recurrence and skull base osteoradionecrosis tended to present a rapid
progression of the nerve palsy or severe ocular duction deficit. Patients with
radiation neuropathy were often manifested by incomplete nerve palsy with
insidious onset and slow progression. Patients with osteoradionecrosis were
associated with poor prognosis. CONCLUSIONS: A new onset diplopia in NPC patients
could be caused by tumor recurrence or treatment complications such as radiation
neuropathy and osteoradionecrosis, and they show diverse clinical symptoms,
course, and outcome.
PMID- 26558280
TI - Current Approach in the Diagnosis and Management of Uveitic Glaucoma.
AB - Uveitic glaucoma (UG) typically is associated with very high intraocular pressure
(IOP) and more intense optic nerve damage than other glaucoma types. This
secondary glaucoma requires an early diagnosis and adequate management of both
uveitis and glaucoma. It is mandatory to identify the mechanisms of IOP elevation
that in many eyes have multiple combined mechanisms. Management of these patients
commonly requires an interdisciplinary approach that includes a glaucoma
specialist and rheumatologist to control the inflammation and IOP. Glaucoma
surgery is required early in these patients due to the high IOP usually present
and is less successful than in primary open-angle glaucoma. Recurrent uveitic
episodes, multiple mechanism, and the complications associated with uveitis make
surgical management of UG challenging. In this review, the management and
treatment of UG are updated to clarify the pathogenesis and prevent optic nerve
damage.
PMID- 26558281
TI - Analysis of the Oxidative Stress Status in Nonspecific Vaginitis and Its Role in
Vaginal Epithelial Cells Apoptosis.
AB - Nonspecific vaginitis (NSV), also named bacterial vaginosis, is one of the most
common genital system diseases in women during their reproductive years. The
specific pathogenic mechanism of NSV is not clear yet. Upon the balance
alteration, large amount of reactive oxidant species (ROS) is generated and
accumulated in the genital tract, and thus resulting in oxidative stress, which
has been reported to be an important trigger of mitochondrial pathway cell
apoptosis. In this study, the antioxidant secretion level and antioxidant enzyme
activity in the vaginal discharge were evaluated to analyze the oxidative status
in the vaginal tract of NSV patients. The effect of oxidative stress on the
vaginal mucosa epithelial cell apoptosis was then studied. The role of oxidative
stress on NSV development was uncovered; thus open new direction for the
prevention and treatment of NSV by providing antiradical agents was revealed.
PMID- 26558282
TI - Psychosocial Work Factors and Musculoskeletal Pain: A Cross-Sectional Study among
Swedish Flight Baggage Handlers.
AB - OBJECTIVE: Flight baggage handlers sort and load luggage to airplanes. This study
aimed at investigating associations between psychosocial exposures and low back
and shoulder musculoskeletal disorders (MSDs) among Swedish flight baggage
handlers. METHODS: A questionnaire addressing MSDs (Standardized Nordic
Questionnaire) and psychosocial factors (Copenhagen Psychosocial Questionnaire,
COPSOQ) was answered by 525 baggage handlers in six Swedish airports. RESULTS:
Low back (LBP) and shoulder pain (SP) were reported by 70% and 60%, respectively.
Pain was reported to interfere with work (PIW) by 30% (low back) and 18%
(shoulders), and intense pain (PINT) occurred in 34% and 28% of the population.
Quality of leadership was the most dissatisfying psychosocial factor, while the
most positive was social community at work. Low ratings in the combined domain
Work organization and job content were significantly associated with PIW in both
low back and shoulders (Adjusted Hazard Ratios 3.65 (95% CI 1.67-7.99) and 2.68
(1.09-6.61)) while lower ratings in the domain Interpersonal relations and
leadership were associated with PIW LBP (HR 2.18 (1.06-4.49)) and PINT LBP and SP
(HRs 1.95 (1.05-3.65) and 2.11 (1.08-4.12)). CONCLUSION: Severity of pain among
flight baggage handlers was associated with psychosocial factors at work,
suggesting that they may be a relevant target for intervention in this
occupation.
PMID- 26558283
TI - The Quantitative Assessment of Imaging Features for the Study of Hirayama Disease
Progression.
AB - OBJECTIVE: To evaluate the forward shifting of cervical spinal cords in different
segments of patients with Hirayama disease to determine whether the disease is
self-limiting. METHODS: This study was performed on 11 healthy subjects and 64
patients. According to the duration, the patients were divided into 5 groups (<=1
year, 1-2 years, 2-3 years, 3-4 years, and >= 4 years). Cervical magnetic
resonance imaging (MRI) of flexion and conventional position was performed. The
distances between the posterior edge of the spinal cord and the cervical spinal
canal (X), the anterior and posterior wall of the cervical spinal canal (Y), and
the anterior-posterior (A) and the transverse diameter (B) of spinal cord cross
sections were measured at different cervical spinal segments (C4 to T1). RESULTS:
In cervical flexion position, a significant increase in X/Y of C4-5 segments was
found in groups 2-5, the C5-6 and C6-7 segments in groups 1-5, and the C7-T1
segments in group 5 (P < 0.05). The degree of the increased X/Y and cervical
flexion X/Y of C5-6 segments were different among the 5 groups (P < 0.05), which
was likely due to rapid increases in X/Y during the course of Hirayama's disease.
CONCLUSION: The X/Y change progression indicates that Hirayama disease may not be
self-limiting.
PMID- 26558284
TI - Quercetin Increases Hepatic Homocysteine Remethylation and Transsulfuration in
Rats Fed a Methionine-Enriched Diet.
AB - This study was aimed at investigating the effects of quercetin on mRNA expression
and activity of critical enzymes in homocysteine metabolism in rats fed a
methionine-enriched diet. Rats were fed for 6 weeks the following diets, that is,
control, 0.5% quercetin, 1.0% methionine, and 1.0% methionine plus 0.5% quercetin
diets. Serum homocysteine was significantly increased after methionine treatment
and decreased after the addition of quercetin. The mRNA expression of methionine
synthase was significantly increased after methionine or methionine plus
quercetin supplementation, while its enzymatic activity was significantly
increased after methionine plus quercetin supplementation. The mRNA expression
and enzymatic activity of cystathionine beta-synthase and cystathionine gamma
lyase were upregulated after quercetin, methionine, or quercetin plus methionine
treatment and a more significant increase was observed for hepatic cystathionine
beta-synthase in the methionine plus quercetin treated rats, suggesting an
interaction between methionine and quercetin. Meanwhile, hepatic ratio of S
adenosylmethionine to S-adenosylhomocysteine was significantly decreased in
response to methionine supplementation and normalized after the addition of
quercetin. It is concluded that quercetin reduces serum homocysteine by
increasing remethylation and transsulfuration of homocysteine in rats exposed to
a methionine-enriched diet.
PMID- 26558285
TI - CMP-Neu5Ac Hydroxylase Null Mice as a Model for Studying Metabolic Disorders
Caused by the Evolutionary Loss of Neu5Gc in Humans.
AB - The purpose of this study was to identify the modification/turnover of gene
products that are altered in humans due to evolutionary loss of Neu5Gc. CMP
Neu5Ac hydroxylase- (Cmah-) deficient mice show the infiltration of Kupffer cells
within liver sinusoids, whereas body and liver weight develop normally. Pathway
analysis by use of Illumina MouseRef-8 v2 Expression BeadChip provided evidence
that a number of biological pathways, including the glycolysis, gluconeogenesis,
TCA cycle, and pentose phosphate pathways, as well as glycogen metabolism-related
gene expression, were significantly upregulated in Cmah-null mice. The
intracellular glucose supply in Cmah-null mice resulted in mitochondrial
dysfunction, oxidative stress, and the advanced glycation end products
accumulation that could further induce oxidative stress. Finally, low sirtuin-1
and sirtuin-3 gene expressions due to higher NADH/NAD in Cmah-null mice decreased
Foxo-1 and MnSOD gene expression, suggesting that oxidative stress may result in
mitochondrial dysfunction in Cmah-null mouse. The present study suggests that
mice with CMAH deficiency can be taken as an important model for studying
metabolic disorders in humans.
PMID- 26558287
TI - Automatic Prosodic Analysis to Identify Mild Dementia.
AB - This paper describes an exploratory technique to identify mild dementia by
assessing the degree of speech deficits. A total of twenty participants were used
for this experiment, ten patients with a diagnosis of mild dementia and ten
participants like healthy control. The audio session for each subject was
recorded following a methodology developed for the present study. Prosodic
features in patients with mild dementia and healthy elderly controls were
measured using automatic prosodic analysis on a reading task. A novel method was
carried out to gather twelve prosodic features over speech samples. The best
classification rate achieved was of 85% accuracy using four prosodic features.
The results attained show that the proposed computational speech analysis offers
a viable alternative for automatic identification of dementia features in elderly
adults.
PMID- 26558286
TI - An Integrative Literature Review of Organisational Factors Associated with
Admission and Discharge Delays in Critical Care.
AB - The literature shows that delayed admission to the intensive care unit (ICU) and
discharge delays from the ICU are associated with increased adverse events and
higher costs. Identifying factors related to delays will provide information to
practice improvements, which contribute to better patient outcomes. The aim of
this integrative review was to explore the incidence of patients' admission and
discharge delays in critical care and to identify organisational factors
associated with these delays. Seven studies were included. The major findings are
as follows: (1) explanatory research about discharge delays is scarce and one
study on admission delays was found, (2) delays are a common problem mostly due
to organisational factors, occurring in 38% of admissions and 22-67% of
discharges, and (3) redesigning care processes by improving information
management and coordination between units and interdisciplinary teams could
reduce discharge delays. In conclusion, patient outcomes can be improved through
efficient and safe care processes. More exploratory research is needed to
identify factors that contribute to admission and discharge delays to provide
evidence for clinical practice improvements. Shortening delays requires an
interdisciplinary and multifaceted approach to the whole patient flow process.
Conclusions should be made with caution due to the limited number of articles
included in this review.
PMID- 26558289
TI - An Asynchronous Low Power and High Performance VLSI Architecture for Viterbi
Decoder Implemented with Quasi Delay Insensitive Templates.
AB - Convolutional codes are comprehensively used as Forward Error Correction (FEC)
codes in digital communication systems. For decoding of convolutional codes at
the receiver end, Viterbi decoder is often used to have high priority. This
decoder meets the demand of high speed and low power. At present, the design of a
competent system in Very Large Scale Integration (VLSI) technology requires these
VLSI parameters to be finely defined. The proposed asynchronous method focuses on
reducing the power consumption of Viterbi decoder for various constraint lengths
using asynchronous modules. The asynchronous designs are based on commonly used
Quasi Delay Insensitive (QDI) templates, namely, Precharge Half Buffer (PCHB) and
Weak Conditioned Half Buffer (WCHB). The functionality of the proposed
asynchronous design is simulated and verified using Tanner Spice (TSPICE) in 0.25
um, 65 nm, and 180 nm technologies of Taiwan Semiconductor Manufacture Company
(TSMC). The simulation result illustrates that the asynchronous design techniques
have 25.21% of power reduction compared to synchronous design and work at a speed
of 475 MHz.
PMID- 26558288
TI - Transcriptome Analysis of Gelatin Seed Treatment as a Biostimulant of Cucumber
Plant Growth.
AB - The beneficial effects of gelatin capsule seed treatment on enhanced plant growth
and tolerance to abiotic stress have been reported in a number of crops, but the
molecular mechanisms underlying such effects are poorly understood. Using mRNA
sequencing based approach, transcriptomes of one- and two-week-old cucumber
plants from gelatin capsule treated and nontreated seeds were characterized. The
gelatin treated plants had greater total leaf area, fresh weight, frozen weight,
and nitrogen content. Pairwise comparisons of the RNA-seq data identified 620
differentially expressed genes between treated and control two-week-old plants,
consistent with the timing when the growth related measurements also showed the
largest differences. Using weighted gene coexpression network analysis,
significant coexpression gene network module of 208 of the 620 differentially
expressed genes was identified, which included 16 hub genes in the blue module, a
NAC transcription factor, a MYB transcription factor, an amino acid transporter,
an ammonium transporter, a xenobiotic detoxifier-glutathione S-transferase, and
others. Based on the putative functions of these genes, the identification of the
significant WGCNA module and the hub genes provided important insights into the
molecular mechanisms of gelatin seed treatment as a biostimulant to enhance plant
growth.
PMID- 26558290
TI - A Push on Job Anxiety for Employees on Managing Recent Difficult to Understand
Computing Equipment in the Modern Issues in Indian Banking Quarter.
AB - Stress management can be defined as intervention planned to decrease the force of
stressors in the administrative center. These can have a human being focus, aimed
at raising an individual's ability to cope with stressors and the implementation
of the CRM is essential to establish a better performance of the banking sector.
Since managing stress and customer relationship management are becoming crucial
in the field of management the work has forecasted them in a wide range of
dimensions. This paper organizes few preliminary concepts of stress and
critically analyzes the CRM strategy implemented by banking sector. Hence the
employees of the Banking Industry have been asked to give their opinion about the
CRM strategy adopted by banks. In order to provide the background of the
employees, the profile of the employees has been discussed initially. The profile
of the employees along with their opinion on the CRM practices adopted at Banking
Industries has been discussed. In our work progresses we have been taken of two
main parameters for consideration and it detriment in which area stress are
mainly responds, and also the paper envelopes certain valuable stress management
tactics and techniques that are particularly compassionate for people who have
been working in the banking sector. Also an attempt to diagnose the impact of
underside stress of day to day life in mounting a bigger level stress upon the
employees has been made. Further development has been made with a detailed
parametric analysis of employee stress conducted with the wide range of key
parameters and several rounds of experiments have been conducted with techniques
as Kolmogorov-Smirnov test, Garrett ranking, and ANOVA; the work ensures to pave
way for an accurate measure in customer handling. The questionnaire is planned to
be distributed to 175 employees in the Madurai district banks.
PMID- 26558291
TI - Immunosensing of Atrazine with Antibody-Functionalized Cu-MOF Conducting Thin
Films.
AB - This work reports the assembly of thin films of a silica (SiO2)-modified copper
metal organic framework, Cu3(BTC)2 [Cu3(BTC)2@SiO2, BTC = benzene-1,3,5
tricarboxylic acid] on a conducting substrate of NH2-BDC [NH2-BDC = 2
aminobenzene-1,4-dicarboxylic acid] doped polyaniline (PANI). Assembled
Cu3(BTC)2@SiO2/BDC-PANI thin films displayed electrical conductivity in the range
of 35 MUA. These thin films were conjugated with antiatrazine antibodies to
create a novel immunosensing platform. Various structural and spectral
characteristics of the synthesized material and its bioconjugate were
investigated. The developed immunosensor was used for the conductometric sensing
of atrazine. The detection of atrazine was achieved with a high sensor
sensitivity (limit of detection = 0.01 nM) and specificity in the presence of
diverse pesticides (e.g., endosulfan, parathion, paraoxon, malathion, and
monochrotophos).
PMID- 26558292
TI - Achievement of Bulky Homochirality in Zeolitic Imidazolate-Related Frameworks.
AB - Before this work, adding chiral C centers into zeolitic imidazolate frameworks
(ZIFs) has never been realized. Presented here are the first examples on
achieving bulky homochirality in ZIF systems, and three homochiral zeolitic
imidazolate-related frameworks with sodalite and dia topologies are successfully
synthesized by employing enantiopure imidazolate derivatives. The results open a
new blueprint on the synthetic design of homochiral ZIFs for future applications.
PMID- 26558294
TI - A case of rheumatoid arthritis with methotrexate related lymphoproliferative
diseases of the knee.
AB - Methotrexate (MTX) is the first choice disease-modifying antirheumatic drug
(DMARD) for rheumatoid arthritis (RA) and is referred to as an "anchor drug"; its
use has been steadily increasing annually. However, MTX-related
lymphoproliferative diseases (MTX-LPDs) have emerged as important complications
in the patients with RA. There have been no reports of intra-articular MTX-LPDs
of the patients with RA. Atypical cells were found in the patient's joint fluid
by cytological examinations, and MTX-LPDs were suspected. The patient
discontinued MTX and open synovectomy was performed. The histological findings
and immunohistochemical staining of the specimens confirmed the diagnosis of
diffuse large B-cell lymphoma (DLBCL) of MTX-LPDs. After the operation of the
patient's left knee joint, pains and swollen joint disappeared with no relapse.
The cytological examinations of the synovial fluid followed by knee operation
were effective for early diagnosis of MTX-LPD. MTX discontinuation with no
chemotherapy followed up with a knee operation improved the recovery of the MTX
LPD.
PMID- 26558293
TI - Stem cells therapy for ALS.
AB - INTRODUCTION: Despite knowledge on the molecular basis of amyotrophic lateral
sclerosis (ALS) having quickly progressed over the last few years, such
discoveries have not yet translated into new therapeutics. With the advancement
of stem cell technologies there is hope for stem cell therapeutics as novel
treatments for ALS. AREAS COVERED: We discuss in detail the therapeutic potential
of different types of stem cells in preclinical and clinical works. Moreover, we
address many open questions in clinical translation. EXPERT OPINION: SC therapy
is a potentially promising new treatment for ALS and the need to better
understand how to develop cell-based experimental treatments, and how to
implement them in clinical trials, becomes more pressing. Mesenchymal stem cells
and neural fetal stem cells have emerged as safe and potentially effective cell
types, but there is a need to carry out appropriately designed experimental
studies to verify their long-term safety and possibly efficacy. Moreover, the
cost-benefit analysis of the results must take into account the quality of life
of the patients as a major end point. It is our opinion that a multicenter
international clinical program aime d at fine-tuning and coordinating
transplantation procedures and protocols is mandatory.
PMID- 26558295
TI - Marine phospholipids: The current understanding of their oxidation mechanisms and
potential uses for food fortification.
AB - There is a growing interest in using marine phospholipids (PL) as ingredient for
food fortification due to their numerous health benefits. However, the use of
marine PL for food fortification is a challenge due to the complex nature of the
degradation products that are formed during the handling and storage of marine
PL. For example, nonenzymatic browning reactions may occur between lipid
oxidation products and primary amine group from phosphatidylethanolamine or amino
acid residues that are present in marine PL. Therefore, marine PL contain
products from nonenzymatic browning and lipid oxidation reactions, namely,
Strecker aldehydes, pyrroles, oxypolymers, and other impurities that may
positively or negatively affect the oxidative stability and quality of marine PL.
This review was undertaken to provide the industry and academia with an overview
of the current understanding of the quality changes taking place in PL during
their production and their storage as well as with regards to their utilization
for food fortification.
PMID- 26558296
TI - Improvement of SWL Efficacy: Reduction of the Respiration-Induced Kidney Motion
by Using an Abdominal Compression Plate.
AB - BACKGROUND AND PURPOSE: In extracorporeal shockwave lithotripsy (SWL),
respiratory-induced motion of the upper urinary tract may hamper stone targeting
and disintegration. The objective of this study is to analyze the effect of
abdominal compression (AC) to kidney motion and to shock wave efficacy. SUBJECTS
AND METHODS: The study included 10 volunteers and 10 kidney stone patients. AC
was achieved by a wedge-shaped compression plate. Patients underwent a routine
ultrasound-guided SWL. For analgosedation, remifentanil (~0.1 MUg/kg/min) was
administered. The respiratory-induced kidney motion, as well as with free
breathing and AC, was quantitatively evaluated on basis of recorded ultrasound
videos. By definition, shock wave efficacy was 100%, if the stone center was
aligned with the shock wave focus. Its decrease depending on off-focus distance
was obtained by model stone tests. On this basis, a mean shock wave efficacy
value was attributed to the displacement function resulting from each measured
kidney motion. RESULTS: In volunteers, the amplitude of the displacement function
with AC (mean: 8 mm; range: 3-11 mm) was significantly lower than with free
breathing (mean: 12 mm; range: 5-19 mm) (paired samples t-test, p < 0.001).
Correspondingly, the mean efficacy improved to 91% (range: 78%-99%) from 79%
(range: 59%-94%) (p < 0.01). In the patient cohort, the amplitudes were similar
and the efficacy even higher because of the respiratory depressant effect of
remifentanil. By AC, the efficacy improved to 93% (range: 85%-98%) compared with
87% (range: 77%-96%) (p < 0.01). CONCLUSIONS: AC with a compression plate is easy
to perform and well tolerated by patients. It significantly reduces respiratory
induced kidney motion and improves shock wave efficacy.
PMID- 26558297
TI - Cosolvent Effects on Solute-Solvent Hydrogen-Bond Dynamics: Ultrafast 2D IR
Investigations.
AB - Cosolvents strongly influence the solute-solvent interactions of biomolecules in
aqueous environments and have profound effects on the stability and activity of
several proteins and enzymes. Experimental studies have previously reported on
the hydrogen-bond dynamics of water molecules in the presence of a cosolvent, but
understanding the effects from a solute's perspective could provide greater
insight into protein stability. Because carbonyl groups are abundant in
biomolecules, the current study used 2D IR spectroscopy and molecular dynamics
simulations to compare the hydrogen-bond dynamics of the solute's carbonyl group
in aqueous solution, with and without the presence of DMSO as a cosolvent. 2D IR
spectroscopy was used to quantitatively estimate the time scales of the hydrogen
bond dynamics of the carbonyl group in neat water and 1:1 DMSO/water solution.
The 2D IR results show spectral signatures of a chemical exchange process: The
presence of the cosolvent was found to lower the hydrogen-bond exchange rate by a
factor of 5. The measured exchange rates were 7.50 * 10(11) and 1.48 * 10(11) s(
1) in neat water and 1:1 DMSO/water, respectively. Molecular dynamics simulations
predict a significantly shorter carbonyl hydrogen-bond lifetime in neat water
than in 1:1 DMSO/water and provide molecular insights into the exchange
mechanism. The binding of the cosolvent to the solute was found to be accompanied
by the release of hydrogen-bonded water molecules to the bulk. The widely
different hydrogen-bond lifetimes and exchange rates with and without DMSO
indicate a significant change in the ultrafast hydrogen-bond dynamics in the
presence of a cosolvent, which, in turn, might play an important role in the
stability and activity of biomolecules.
PMID- 26558298
TI - Emerging drugs for common conditions of sleepiness: obstructive sleep apnea and
narcolepsy.
AB - INTRODUCTION: Obstructive sleep apnea (OSA) and narcolepsy are sleep disorders
associated with high prevalence and high symptomatic burden including prominent
sleepiness, daytime dysfunction and poor nocturnal sleep. Both have elevated risk
of poor health outcomes. Current therapies are often underutilized, cumbersome,
costly or associated with residual symptoms. AREAS COVERED: This review covers
current available therapies for OSA and narcolepsy as well as discusses areas for
potential drug development, and agents in the therapeutic pipeline, including the
cannabinoid dronabinol (OSA), the histamine inverse agonist/ antagonist
pitolisant (narcolepsy), and stimulants with uncertain and/or multiple activities
such as JZP-110 and JZP-386 (narcolepsy, possibly OSA). Finally it addresses new
approaches and uses for therapies currently on the market such as the carbonic
anhydrase inhibitor acetazolamide (OSA). EXPERT OPINION: Both OSA and narcolepsy
are conditions of sleepiness for which lifelong treatments are likely to be
required. In OSA, while continuous positive airway pressure will likely remain
the gold standard therapy for the foreseeable future, there is plenty of room for
integrating phenotypes and variants of OSA into therapeutic strategies to lead to
better, more personalized disease modification. In narcolepsy, unlike OSA, drug
therapy is the current mainstay of treatment. Advances using novel mechanisms to
treat targeted symptoms such as sleepiness and/or novel agents that can treat
more than one symptom of narcolepsy, hold promise. However, cost, convenience and
side effects remain challenges.
PMID- 26558299
TI - A permutation based simulated annealing algorithm to predict pseudoknotted RNA
secondary structures.
AB - Pseudoknots are RNA tertiary structures which perform essential biological
functions. This paper discusses SARNA-Predict-pk, a RNA pseudoknotted secondary
structure prediction algorithm based on Simulated Annealing (SA). The research
presented here extends previous work of SARNA-Predict and further examines the
effect of the new algorithm to include prediction of RNA secondary structure with
pseudoknots. An evaluation of the performance of SARNA-Predict-pk in terms of
prediction accuracy is made via comparison with several state-of-the-art
prediction algorithms using 20 individual known structures from seven RNA
classes. We measured the sensitivity and specificity of nine prediction
algorithms. Three of these are dynamic programming algorithms: Pseudoknot
(pknotsRE), NUPACK, and pknotsRG-mfe. One is using the statistical clustering
approach: Sfold and the other five are heuristic algorithms: SARNA-Predict-pk,
ILM, STAR, IPknot and HotKnots algorithms. The results presented in this paper
demonstrate that SARNA-Predict-pk can out-perform other state-of-the-art
algorithms in terms of prediction accuracy. This supports the use of the proposed
method on pseudoknotted RNA secondary structure prediction of other known
structures.
PMID- 26558300
TI - A comprehensive evaluation of machine learning techniques for cancer class
prediction based on microarray data.
AB - Prostate cancer is among the most common cancer in males and its heterogeneity is
well known. The genomic level changes can be detected in gene expression data and
those changes may serve as standard model for any random cancer data for class
prediction. Various techniques were implied on prostate cancer data set in order
to accurately predict cancer class including machine learning techniques. Large
number of attributes but few numbers of samples in microarray data leads to poor
training; therefore, the most challenging part is attribute reduction or non
significant gene reduction. In this work, a combination of interquartile range
and t-test is used for attribute reduction. Further, a comprehensive evaluation
of ten state-of-the-art machine learning techniques for their accuracy in class
prediction of prostate cancer is done. Out of these techniques, Bayes Network
outperformed with an accuracy of 94.11% followed by Naive Bayes with an accuracy
of 91.17%.
PMID- 26558301
TI - Acoustic analysis of speech under stress.
AB - When a person is emotionally charged, stress could be discerned in his voice.
This paper presents a simplified and a non-invasive approach to detect psycho
physiological stress by monitoring the acoustic modifications during a stressful
conversation. Voice database consists of audio clips from eight different popular
FM broadcasts wherein the host of the show vexes the subjects who are otherwise
unaware of the charade. The audio clips are obtained from real-life stressful
conversations (no simulated emotions). Analysis is done using PRAAT software to
evaluate mean fundamental frequency (F0) and formant frequencies (F1, F2, F3, F4)
both in neutral and stressed state. Results suggest that F0 increases with
stress; however, formant frequency decreases with stress. Comparison of Fourier
and chirp spectra of short vowel segment shows that for relaxed speech, the two
spectra are similar; however, for stressed speech, they differ in the high
frequency range due to increased pitch modulation.
PMID- 26558302
TI - A combination of dual-tree discrete wavelet transform and minimum redundancy
maximum relevance method for diagnosis of Alzheimer's disease.
AB - In this paper, we propose a three-phased method for diagnosis of Alzheimer's
disease using the structural magnetic resonance imaging (MRI). In first phase,
gray matter tissue probability map is obtained from every brain MRI volume.
Further, five regions of interest (ROIs) are extracted as per prior knowledge. In
second phase, features are extracted from each ROI using 3D dual-tree discrete
wavelet transform. In third phase, relevant features are selected using minimum
redundancy maximum relevance features selection technique. The decision model is
built with features so obtained, using a classifier. To evaluate the
effectiveness of the proposed method, experiments are performed with four well
known classifiers on four data sets, built from a publicly available OASIS
database. The performance is evaluated in terms of sensitivity, specificity and
classification accuracy. It was observed that the proposed method outperforms
existing methods in terms of all three performance measures. This is further
validated with statistical tests.
PMID- 26558303
TI - Bioinformatics: promises and progress.
AB - Bioinformatics is a multidisciplinary science that solves and analyzes biological
problems. With the quantum explosion in biomedical data, the demand of
bioinformatics has increased gradually. Present paper provides an overview of
various ways through which the biologists or biological researchers in the domain
of neurology, structural and functional biology, evolutionary biology, clinical
science, etc., use bioinformatics applications for data analysis to summarise
their research. A new perspective is used to classify the knowledge available in
the field thus will help general audience to understand the application of
bioinformatics.
PMID- 26558304
TI - Advances in targeted therapy for the treatment of patients with
relapsed/refractory multiple myeloma.
AB - The development of proteasome inhibitors (PIs) and immunomodulatory drugs has
significantly improved outcomes for patients with relapsed/refractory multiple
myeloma (RRMM); however, not all patients benefit from treatment with these
agents and some patients can become drug refractory over time. Due to the largely
incurable nature of multiple myeloma, the development of newer agents is ongoing
and includes new oral PIs (ixazomib), immunotherapies (e.g., CD38- or SLAMF7
targeted antibodies), and small molecules. This review provides an overview of
the advances in targeted therapy for patients with RRMM, including recently
approved agents, with a focus on monotherapy and combined targeted therapies.
PMID- 26558305
TI - Dual sofosbuvir and ribavirin therapy for chronic hepatitis C infection.
AB - Sofosbuvir is the first pan-genotypic direct acting antiviral agent to be
approved. This article provides an overview of the pharmacology of sofosbuvir and
ribavirin and a comprehensive summary of the phase 2 and 3 studies supporting
dual sofosbuvir and ribavirin therapy for chronic hepatitis C infection. With the
production of generic formulations of sofosbuvir, we anticipate this regimen
leading the first wave for widespread, IFN-free treatment and becoming first line
for all genotypes (including genotype 1) for much of the world-in particular in
developing and middle income countries. We discuss the continued challenges with
this regimen including among patients with decompensated liver disease and post
liver transplant, and renal failure. We address concerns of emerging resistance.
We also discuss the future prospects including the global uptake of sofosbuvir
and ribavirin for the treatment of all genotypes.
PMID- 26558306
TI - Red Blood Cell Distribution Width and the Platelet Count in Iron-deficient
Children Aged 0.5-3 Years.
AB - Early detection of iron deficiency (ID) and iron deficiency anemia (IDA) in young
children is important to prevent impaired neurodevelopment. Unfortunately, many
biomarkers of ID are influenced by infection, thus limiting their usefulness. The
aim of this study was to investigate the value of red blood cell distribution
width (RDW) and the platelet count for detecting ID(A) among otherwise healthy
children. A multicenter prospective observational study was conducted in the
Netherlands to investigate the prevalence of ID(A) in 400 healthy children aged
0.5-3 years. ID was defined as serum ferritin (SF) <12 MUg/L in the absence of
infection (C-reactive protein [CRP] <5 mg/L) and IDA as hemoglobin <110 g/L
combined with ID. RDW (%) and the platelet count were determined in the complete
blood cell count. RDW was inversely correlated with SF and not associated with
CRP. Calculated cutoff values for RDW to detect ID and IDA gave a relatively low
sensitivity (53.1% and 57.1%, respectively) and specificity (64.7% and 69.9%,
respectively). Anemic children with a RDW >14.3% had a 2.7 higher odds (95%
confidence interval [CI]: 1.2-6.3) to be iron deficient, compared with anemic
children with a RDW <14.3%. The platelet count showed a large range in both ID
and non-ID children. In conclusion, RDW can be helpful for identifying ID as the
cause of anemia in 0.5- to 3-year-old children, but not as primary biomarker of
ID(A). RDW values are not influenced by the presence of infection. There appears
to be no role for the platelet count in diagnosing ID(A) in this group of
children.
PMID- 26558307
TI - [A novel biomarker for diagnosis of bladder cancer].
PMID- 26558308
TI - [Biomarkers for generalized pustular psoriasis and related diseases].
PMID- 26558309
TI - [Supplemental biomarker for differentiating cardioembolic stroke from the other
ischemic stroke].
PMID- 26558310
TI - [A biomarker set relation to the efficacy of allergen-specific immunotherapy].
PMID- 26558311
TI - [Early childhood stress and neuropsychiatric disease].
PMID- 26558312
TI - [Industry-government - academia cooperation center for medical innovation in
Hokkaido University].
PMID- 26558313
TI - [Pharmacological and clinical profiles of a novel potassium-competitive acid
blocker, vonoprazan fumarate (Takecab((r)) 10 mg and 20 mg)].
PMID- 26558314
TI - [Preclinical and clinical researches of lenvatinib mesylate (Lenvima capsule), a
novel antitumor agent approved for thyroid cancer treatment].
PMID- 26558315
TI - Bioactive ent-Pimarane and ent-Abietane Diterpenoids from the Whole Plants of
Chloranthus henryi.
AB - Two new ent-pimarane (1 and 2), eight new ent-abietane (3-10) diterpenoids, and
eight known analogues (11-18) were isolated from the whole plants of Chloranthus
henryi. The absolute configuration of 1 was determined on the basis of single
crystal X-ray diffraction data. Compound 8 represents a class of rare naturally
occurring C-14 norabietanes, and compounds 9 and 10 feature rare 13,14-seco
abietane skeletons. Compounds 5, 12, 13, and 15 inhibited the yeast-to-hyphae
transition of Candida albicans with IC50 values between 97.3 and 738.7 MUM.
PMID- 26558316
TI - Optimism and well-being: a prospective multi-method and multi-dimensional
examination of optimism as a resilience factor following the occurrence of
stressful life events.
AB - Optimism has been conceptualised variously as positive expectations (PE) for the
future , optimistic attributions , illusion of control , and self-enhancing
biases. Relatively little research has examined these multiple dimensions of
optimism in relation to psychological and physical health. The current study
assessed the multi-dimensional nature of optimism within a prospective
vulnerability-stress framework. Initial principal component analyses revealed the
following dimensions: PEs, Inferential Style (IS), Sense of Invulnerability (SI),
and Overconfidence (O). Prospective follow-up analyses demonstrated that PE was
associated with fewer depressive episodes and moderated the effect of stressful
life events on depressive symptoms. SI also moderated the effect of life stress
on anxiety symptoms. Generally, our findings indicated that optimism is a
multifaceted construct and not all forms of optimism have the same effects on
well-being. Specifically, our findings indicted that PE may be the most relevant
to depression, whereas SI may be the most relevant to anxiety.
PMID- 26558317
TI - Bioinspired pH and magnetic responsive catechol-functionalized chitosan hydrogels
with tunable elastic properties.
AB - We have developed pH- and magnetic-responsive hydrogels that are stabilized by
both covalent bonding and catechol/Fe(3+) ligands. The viscoelastic properties of
the gels are regulated by the complexation valence and can be used to tune drug
release profiles. The stable incorporation of magnetic nanoparticles further
expands control over the mechanical response and drug release, in addition to
providing magnetic stimuli-responsivity to the gels.
PMID- 26558319
TI - Diastereoselective Synthesis of alpha-Quaternary Aziridine-2-carboxylates via Aza
Corey-Chaykovsky Aziridination of N-tert-Butanesulfinyl Ketimino Esters.
AB - A general, scalable, and highly diastereoselective aziridination of N-tert
butanesulfinyl ketimino esters is described. The methodology has been utilized to
provide straightforward access to previously unobtainable, biologically relevant
alpha-quaternary amino esters and derivatives starting from readily available
precursors.
PMID- 26558320
TI - Hypoallergenic molecules for subcutaneous immunotherapy.
AB - Although a large part of the population suffers from allergies, a cure is not yet
available. Allergen-specific immunotherapy (AIT) offers promise for these
patients. AIT has proven successful in insect and venom allergies; however, for
food allergy this is still unclear. In this editorial we focus on the recent
advances in a proof of concept study in food allergy, FAST (Food allergy specific
immunotherapy), which may increase interest within the biomolecular and
pharmaceutical industry to embark on similar projects of immunology driven
precision medicine within the allergy field.
PMID- 26558318
TI - The multiple faces of RAGE--opportunities for therapeutic intervention in aging
and chronic disease.
AB - INTRODUCTION: This review focuses on the multi-ligand receptor of the
immunoglobulin superfamily--receptor for advanced glycation endproducts (RAGE).
The accumulation of the multiple ligands of RAGE in cellular stress milieux links
RAGE to the pathobiology of chronic disease and natural aging. AREAS COVERED: In
this review, we present a discussion on the ligands of RAGE and the implications
of these ligand families in disease. We review the recent literature on the role
of ligand-RAGE interaction in the consequences of natural aging; the macro- and
microvascular complications of diabetes; obesity and insulin resistance;
autoimmune disorders and chronic inflammation; and tumors and Alzheimer's
disease. We discuss the mechanisms of RAGE signaling through its intracellular
binding effector molecule--the formin DIAPH1. Physicochemical evidence of how the
RAGE cytoplasmic domain binds to the FH1 (formin homology 1) domain of DIAPH1,
and the consequences thereof, are also reviewed. EXPERT OPINION: We discuss the
modalities of RAGE antagonism currently in preclinical and clinical studies.
Finally, we present the rationale behind potentially targeting the RAGE
cytoplasmic domain-DIAPH1 interaction as a logical strategy for therapeutic
intervention in the pathological settings of chronic diseases and aging wherein
RAGE ligands accumulate and signal.
PMID- 26558321
TI - Disease Recurrence and Second Tumors in Long-term Survivors of Lung Cancer.
AB - INTRODUCTION AND OBJECTIVES: As cancer survival improves, the appearance of
multiple tumors in a single patient is becoming more common. The aim of this
study was to analyze long-term evolution, focusing particularly on disease
recurrence and second primary tumors, in patients with lung cancer (LC) and >= 3
years overall survival. MATERIAL AND METHODS: Retrospective study of 1,769
patients with LC. A total of 218 (136 treated with surgery and 82 with other
treatments), followed up for between 5 and 23 years were enrolled. LC progress
and intercurrent diseases were recorded. RESULTS: A total of 65 patients
presented tumor relapse, of which 60.9% occurred in the first 3 years; 26
patients developed secondary primary tumors (84.6% after 5 years) and 24
developed 2 or more second extrapulmonary tumors (66.6% after 5 years), most of
which were smoking-related. The incidence of second primaries was greater than
the expected incidence of cancer in the general population matched for age and
sex. CONCLUSION: The multiple carcinogenic effect of smoking persists and
manifests in various organs, more than 5 years after the diagnosis of LC, even in
patients with long survival. After 5 years, a second tumor is more likely than a
relapse of the primary disease, and the lung is the most common site of
development of a second tumor.
PMID- 26558322
TI - Study of contact characteristics between a respirator and a headform.
AB - This article presents a computational study on contact characteristics of contact
pressure and resultant deformation between an N95 filtering facepiece respirator
and a newly developed digital headform. The geometry of the headform model is
obtained based on computed tomography scanning of a volunteer. The segmentation
and reconstruction of the headform model is performed by Mimics v16.0
(Materialise, Leuven, Belgium), which is a medical image processing software. The
respirator model is obtained by scanning the surface of a 3M 8210 N95 respirator
using a 3D digitizer and then the model is transformed by Geomagic Studio v12.0
(3D system, Rock Hill, SC), a reverse engineering software. The headform model
contains a soft tissue layer, a skull layer, and a separate nose. The respirator
model contains two layers (an inner face sealing layer and an outer layer) and a
nose clip. Both the headform and respirator are modeled as solid elements and are
deformable. The commercial software, LS-DYNA (LSTC, Livermore, CA), is used to
simulate the contact between the respirator and headform. Contact pressures and
resultant deformation of the headform are investigated. Effects of respirator
stiffness on contact characteristics are also studied. A Matlab (MathWorks,
Natick, MA) program is developed to calculate local gaps between the headform and
respirator in the stable wearing state.
PMID- 26558324
TI - Protein electron transfer: is biology (thermo)dynamic?
AB - Simple physical mechanisms are behind the flow of energy in all forms of life.
Energy comes to living systems through electrons occupying high-energy states,
either from food (respiratory chains) or from light (photosynthesis). This energy
is transformed into the cross-membrane proton-motive force that eventually drives
all biochemistry of the cell. Life's ability to transfer electrons over large
distances with nearly zero loss of free energy is puzzling and has not been
accomplished in synthetic systems. The focus of this review is on how this
energetic efficiency is realized. General physical mechanisms and interactions
that allow proteins to fold into compact water-soluble structures are also
responsible for a rugged landscape of energy states and a broad distribution of
relaxation times. Specific to a protein as a fluctuating thermal bath is the
protein-water interface, which is heterogeneous both dynamically and
structurally. The spectrum of interfacial fluctuations is a consequence of
protein's elastic flexibility combined with a high density of surface charges
polarizing water dipoles into surface nanodomains. Electrostatics is critical to
the protein function and the relevant questions are: (i) What is the spectrum of
interfacial electrostatic fluctuations? (ii) Does the interfacial biological
water produce electrostatic signatures specific to proteins? (iii) How is protein
mediated chemistry affected by electrostatics? These questions connect the
fluctuation spectrum to the dynamical control of chemical reactivity, i.e. the
dependence of the activation free energy of the reaction on the dynamics of the
bath. Ergodicity is often broken in protein-driven reactions and thermodynamic
free energies become irrelevant. Continuous ergodicity breaking in a dense
spectrum of relaxation times requires using dynamically restricted ensembles to
calculate statistical averages. When applied to the calculation of the rates,
this formalism leads to the nonergodic activated kinetics, which extends the
transition-state theory to dynamically dispersive media. Releasing the grip of
thermodynamics in kinetic calculations through nonergodicity provides the
mechanism for an efficient optimization between reaction rates and the spectrum
of relaxation times of the protein-water thermal bath. Bath dynamics, it appears,
play as important role as the free energy in optimizing biology's performance.
PMID- 26558323
TI - DIRECT-ID: An automated method to identify and quantify conformational variations
-application to beta2 -adrenergic GPCR.
AB - The conformational dynamics of a macromolecule can be modulated by a number of
factors, including changes in environment, ligand binding, and interactions with
other macromolecules, among others. We present a method that quantifies the
differences in macromolecular conformational dynamics and automatically extracts
the structural features responsible for these changes. Given a set of molecular
dynamics (MD) simulations of a macromolecule, the norms of the differences in
covariance matrices are calculated for each pair of trajectories. A matrix of
these norms thus quantifies the differences in conformational dynamics across the
set of simulations. For each pair of trajectories, covariance difference matrices
are parsed to extract structural elements that undergo changes in conformational
properties. As a demonstration of its applicability to biomacromolecular systems,
the method, referred to as DIRECT-ID, was used to identify relevant ligand
modulated structural variations in the beta2 -adrenergic (beta2 AR) G-protein
coupled receptor. Micro-second MD simulations of the beta2 AR in an explicit
lipid bilayer were run in the apo state and complexed with the ligands: BI-167107
(agonist), epinephrine (agonist), salbutamol (long-acting partial agonist), or
carazolol (inverse agonist). Each ligand modulated the conformational dynamics of
beta2 AR differently and DIRECT-ID analysis of the inverse-agonist vs. agonist
modulated beta2 AR identified residues known through previous studies to
selectively propagate deactivation/activation information, along with some
previously unidentified ligand-specific microswitches across the GPCR. This study
demonstrates the utility of DIRECT-ID to rapidly extract functionally relevant
conformational dynamics information from extended MD simulations of large and
complex macromolecular systems.
PMID- 26558325
TI - Nanostructured gold microelectrodes for SERS and EIS measurements by
incorporating ZnO nanorod growth with electroplating.
AB - In this paper, a fine gold nanostructure synthesized on selective planar
microelectrodes in micro-chip is realized by using an advanced hybrid fabrication
approach incorporating growth of nanorods (NRs) with gold electroplating. By this
developed nanostructure, integration of in-situ surface-enhanced Raman
spectroscopy (SERS) detection with electrochemical impedance spectroscopy (EIS)
measurement for label-free, nondestructive, real-time and rapid monitoring on a
single cell has been achieved. Moreover, parameters of Au nanostructures such as
size of nanoholes/nanogaps can be controllably adjusted in the fabrication. We
have demonstrated a SERS enhancement factor of up to ~2.24 * 10(6) and double
layer impedance decrease ratio of 90% ~ 95% at low frequency range below 200 kHz
by using nanostructured microelectrodes. SERS detection and in-situ EIS
measurement of a trapped single cell by using planar microelectrodes are realized
to demonstrate the compatibility, multi-functions, high-sensitivity and
simplicity of the micro-chip system. This dual function platform integrating SERS
and EIS is of great significance in biological, biochemical and biomedical
applications.
PMID- 26558326
TI - [Not Available].
PMID- 26558327
TI - The gut microbiota keeps enteric glial cells on the move; prospective roles of
the gut epithelium and immune system.
AB - The enteric nervous system (ENS) coordinates the major functions of the
gastrointestinal tract. Its development takes place within a constantly changing
environment which, after birth, culminates in the establishment of a complex gut
microbiota. How such changes affect ENS development and its subsequent function
throughout life is an emerging field of study that holds great interest but which
is inadequately explored thus far. In this addendum, we discuss our recent
findings showing that a component of the ENS, the enteric glial cell network that
resides in the gut lamina propria, develops after birth and parallels the
evolution of the gut microbiota. Importantly, this network was found to be
malleable throughout life by incorporating new cells that arrive from the area of
the gut wall in a process of directional movement which was controlled by the
lumen gut microbiota. Finally, we postulate on the roles of the intestinal
epithelium and the immune system as potential intermediaries between gut
microbiota and ENS responses.
PMID- 26558328
TI - Disease-free survival after salvage therapy for recurrent oropharyngeal squamous
cell carcinoma.
AB - BACKGROUND: Factors associated with disease-free survival (DFS) after salvage
therapy for recurrent oropharyngeal squamous cell carcinoma (SCC) in the context
of human papillomavirus (HPV) are poorly understood. METHODS: A retrospective
cohort analysis was conducted of patients with recurrent oropharyngeal SCC with
known HPV tumor status who received salvage therapy. RESULTS: Eighty-six patients
were eligible for analysis. Sixty-four patients (74%) were HPV-positive. In
multivariable analysis, HPV-positive tumor status (hazard ratio [HR] = 0.30; 95%
confidence interval [CI] = 0.13-0.71; p = .007), clinical response to any salvage
therapy (HR = 0.29; 95% CI = 0.11-0.77; p = .01), and surgical salvage (HR =
0.38; 95% CI = 0.16-0.88; p = .02) were associated with improved overall survival
(OS). Positive surgical margin was associated with worse DFS after salvage (HR =
8.43; 95% CI = 1.99-35.70; p = .004). CONCLUSION: For recurrent oropharyngeal
SCC, HPV-positive tumor status, surgical salvage, and clinical response to
salvage therapy are independently associated with improved OS, but not DFS after
salvage. Surgical margin is the only independent predictor of DFS. (c) 2015 Wiley
Periodicals, Inc. Head Neck 38: E1501-E1509, 2016.
PMID- 26558329
TI - Nitroglycerin for management of retained placenta.
AB - BACKGROUND: Retained placenta affects 0.5% to 3% of women following delivery,
with considerable morbidity if left untreated. Use of nitroglycerin (NTG), either
alone or in combination with uterotonics, may be of value to minimise the need
for manual removal of the placenta in theatre under anaesthesia. OBJECTIVES: To
evaluate the benefits and harms of NTG as a tocolytic, either alone or in
addition to uterotonics, in the management of retained placenta. SEARCH METHODS:
We searched the Cochrane Pregnancy and Childbirth Group's Trials Register (14
January 2015), reference lists of retrieved studies and contacted experts in the
field. SELECTION CRITERIA: Any adequately randomised controlled trial (RCT)
comparing the use of NTG, either alone or in combination with uterotonics, with
no intervention or with other interventions in the management of retained
placenta. All women having a vaginal delivery with a retained placenta,
regardless of the management of the third stage of labour (expectant or active).
We included all trials with haemodynamically stable women in whom the placenta
was not delivered at least within 15 minutes after delivery of the baby. DATA
COLLECTION AND ANALYSIS: Two review authors independently assessed trials for
inclusion and risk of bias, extracted data and checked them for accuracy. MAIN
RESULTS: We included three randomised controlled trials (RCTs) with 175 women.
The three published RCTs compared NTG alone versus placebo. The detachment status
of retained placenta was unknown in all three RCTs. Collectively, among the three
included trials, two were judged to be at low risk of bias and the third trial
was judged to be at high risk of bias for two domains: incomplete outcome data
and selective reporting. The three trials reported seven out of 23 of the
review's pre-specified outcomes.The primary outcome "manual removal of the
placenta" was reported in all three studies. No differences were seen between NTG
and placebo for manual removal of the placenta (average risk ratio (RR) 0.83, 95%
confidence interval (CI) 0.47 to 1.46; women = 175; I2 = 81%). A random-effects
model was used because of evidence of substantial heterogeneity in the analysis.
There were also no differences between groups for risk of severe postpartum
haemorrhage (RR 0.93, 95% CI 0.62 to 1.39; women = 150; studies = two; I2 = 0%).
Blood transfusion was only reported in one study (40 women) and again there was
no difference between groups (RR 1.00, 95% CI 0.07 to 14.90; women = 40; I2 =
0%). Mean blood loss (mL) was reported in the three studies and no differences
were observed (mean difference (MD) -115.31, 95% CI -306.25 to 75.63; women =
169; I2 = 83%). Nitroglycerin administration was not associated with an increase
in headaches (RR 1.09, 95% CI 0.80 to 1.47; women = 174; studies = three; I2 =
0%). However, nitroglycerin administration was associated with a significant,
though mild, decrease in systolic and diastolic blood pressure and a significant
increase in pulse rate (MD -3.75, 95% CI -7.47 to -0.03) for systolic blood
pressure, and (MD 6.00, 95% CI 3.07 to 8.93) for pulse rate (beats per minute)
respectively (reported by only one study including 24 participants). Maternal
mortality and addition of therapeutic uterotonics were not reported in any study.
AUTHORS' CONCLUSIONS: In cases of retained placenta, currently available data
showed that the use of NTG alone did not reduce the need for manual removal of
placenta. This intervention did not increase the incidence of severe postpartum
haemorrhage nor the need for blood transfusion. Haemodynamically, NTG had a
significant though mild effect on both pulse rate and blood pressure.
PMID- 26558330
TI - Genital Trichomonas vaginalis is rare among female attendees at a Sydney
metropolitan sexual health clinic.
PMID- 26558331
TI - Cardiovascular risk in pulmonary alveolar proteinosis.
AB - We hypothesized that cardiovascular events and/or indices of cardiac dysfunction
may be increased in pulmonary alveolar proteinosis (PAP). Systemic and pulmonary
arterial hypertension, arrhythmias, pulmonary embolism, stroke and ischemic heart
attack were reported. Patients underwent serum anti-GM-CSF antibodies, disease
severity score (DSS), Doppler transthoracic echocardiograph, glucose, thyroid
hormones, lipids, troponin and pro-Brain natriuretic peptide (BNP) examination.
Thirteen patients (8 female) were studied, median age of 47. Pro-BNP inversely
related to DLCO% and TLC%; troponin directly related to DSS, age, P(A-a)O2, left
atrium-, left ventricle-end-diastole diameter and BMI. On multiple regression
analysis DSS was the only parameter significantly and strongly related with
troponin (R(2) = 0.776, p = 0.007). No cardiovascular event was reported during
follow-up. In PAP cardiovascular risk indices relate to lung disease severity.
Therefore, PAP patients could be at increased risk for cardiovascular events.
Quantitation of its magnitude and potential links to lungs' physiologic
derangement will be addressed in future studies.
PMID- 26558332
TI - Inflammation in tissue engineering: The Janus between engraftment and rejection.
AB - Tissue engineering (TE) for tissue and organ regeneration or replacement is
generally performed with scaffold implants, which provide structural and
molecular support to in vitro seeded or in vivo recruited cells. TE implants
elicit the host immune response, often resulting in engraftment impediment or
rejection. Besides this negative effect, however, the immune system components
also yield a positive influence on stem cell recruitment and differentiation,
allowing tissue regeneration and healing. Thus, a balanced cooperation between
proinflammatory and proresolution players of the immune response is an essential
element of implant success. In this context, macrophage plasticity plays a
fundamental role. Therefore modulating the immune response, instead of immune
suppressing the host, might be the best way to successfully implant TE tissues or
organs. In particular, it is becoming evident that the scaffold, immune, and stem
cells are linked by a three-way interaction, and many efforts are being made for
scaffold-appropriate design and functionalization in order to drive the
inflammation process toward regeneration, vascularization, and implant success.
This review discusses current and potential strategies for inflammation
modulation to aid engraftment and regeneration, supporting the concept that
quality, and not quantity, of inflammation might influence implant success.
PMID- 26558333
TI - [The coordination betwen health and social services in the care of people with
severe mental disorders].
AB - Coordination between health and social services is a key point in caring for an
increasing number of people affected by different types of health problems. The
change in demographic and epidemiological patterns in our societies evidences the
need of this coordination, usually not covered by our care systems. A sector in
which the coordination is particularly important is the care of people with
disabilities related to the suffering from severe mental disorders. This is a
field that has been too long on the sidelines of the general health and social
care systems as a result of the social stigma and traditional psychiatric
institutions, setting in motion a vicious circle that must be broken in order to
identify and to respond to the needs of such persons. In fact, the processes of
change towards community care, with targets for recovery and not mere palliative
or marginalizing care, necessarily incorporate this coordination as a cornerstone
strategy for social inclusion and citizenship. Although there are still
significant gaps in this regard, especially in Spain. However, there are
experiences of change, such as that of Andalusia, which set the tone for the
development of a strategy for integrated care, whose foundations and main
elements we try to summarize in the present article.
PMID- 26558334
TI - [Predictors of cognitive impairment in population over 64 years institutionalized
and non-institutionalized].
AB - AIM: Describe the factors which can be associated with cognitive impairment in
institutionalized and non-institutionalized elderly. METHOD: Cross-sectional
study of 200 people aged over 64 in Huelva (Spain) in 2014. Of these, 100 people
were institutionalized in a residential facility and 100 were not. Cognitive
impairment was assessed using the Mini-Mental State Examination (MMSE-35), basic
activities of daily living by Barthel index, general health through the Goldberg
GHQ-28 and social, clinical and behavioural variables were contemplated in the
study. The association of cognitive impairment with all the variables was
analysed using Chi-square test. Finally, a multivariate analysis was performed
using logistic regression to identify possible joint influence of variables to
study on the cognitive impairment. RESULTS: The prevalence of cognitive
impairment in those institutionalized was 47%, higher than that of non
institutionalized group which was only 8% (p<.001). The dependence for basic
activities for daily living and learning activities were the only variables in
both groups which were associated with the cognitive impairment.
Institutionalization (OR=5.368), age (OR=1.066) and dependence for basic
activities (OR=5.036) were negatively associated with CI, while learning
activities (OR=.227) were associated in a positive way. CONCLUSIONS: Conducting
learning activities and the promotion of personal autonomy can delay cognitive
impairment in older people. It is important to include cognitive stimulation
programs aimed at the old population, especially in residential institutions.
PMID- 26558335
TI - In vitro comparison of the effect of two factor XI (FXI) concentrates on thrombin
generation in major FXI deficiency.
AB - INTRODUCTION: Bleeding risk in factor XI (FXI) deficiency following surgery may
be reduced by treatment with either of two FXI concentrates, but indications for
their use are unclear and treatment has been associated with thrombosis. AIM: To
quantify and compare the effects of two different FXI concentrates on thrombin
generation (TG) in major FXI deficiency (FXI:C < 15 IU dL(-1) ). METHODS:
Thrombin generation was measured in controls (n = 50), FXI-deficient individuals
pre and post in vitro spiking with FXI concentrates (n = 10), and in ex vivo
samples following treatment with FXI concentrate (n = 3). RESULTS: Thrombin
generation was significantly impaired in FXI deficiency but improved following
FXI replacement in vitro and in vivo. LFB Hemoleven((r)) had greater effect on TG
than BPL FXI concentrate in vitro (equivalent in vivo doses 10, 20 and 30 U kg(
1) ): higher endogenous thrombin potential (ETP) (P < 0.0001), peak height (P <
0.01) velocity (P < 0.0002) and shorter lag time and time to peak (both P <
0.003). Some measurements with LFB Hemoleven((r)) exceeded the reference range.
At lower dose (5 U kg(-1) ), BPL FXI concentrate normalized all TG parameters and
LFB Hemoleven((r)) normalized the ETP but exceeded the reference range with other
parameters. CONCLUSION: Both FXI concentrates improve TG in vitro in major FXI
deficiency but differ in dose response, and for both products, doses lower than
previously recommended normalized TG in vitro. Comparison of in vitro spiked and
ex vivo samples suggest that in vitro results could be used to estimate an
expected in vivo response to FXI replacement.
PMID- 26558336
TI - In Situ Analysis of Small Populations of Adherent Mammalian Cells Using Laser
Ablation Electrospray Ionization Mass Spectrometry in Transmission Geometry.
AB - Most cultured cells used for biomedical research are cultured adherently, and the
requisite detachment prior to biochemical analysis might induce chemical changes.
This is especially crucial if accurate metabolic measurements are desired, given
the rapid turnover of metabolites in living organisms. There are only a few
methods available for the nontargeted in situ analysis of small adherent cell
populations. Here we show that laser ablation electrospray ionization (LAESI)
mass spectrometry (MS) can be used to analyze adherent cells directly, while
still attached to the culture surface. To reduce the size of the analyzed cell
population, the spot size constraints of conventional focusing in reflection
geometry (rg) LAESI had to be eliminated. By introducing transmission geometry
(tg) LAESI and incorporating an objective with a high numerical aperture, spot
sizes of 10-20 MUm were readily achieved. As few as five adherent cells could be
specifically selected for analysis in their culturing environment. The importance
of in situ analysis was highlighted by comparing the metabolite composition of
adherent versus suspended cells. For example, we observed that cells analyzed
adherently yielded higher values for the adenylate energy charge (0.90 +/- 0.09
for adherent cells vs 0.09 +/- 0.03 for suspended cells). Additionally, due to
the smaller focal spot size, tg-LAESI enabled the analysis of ~20 times smaller
cell populations compared to rg-LAESI.
PMID- 26558337
TI - Enhanced Photoelectrochemical Oxygen Evolution Reaction Ability of Iron-Derived
Hematite Photoanode with Titanium Modification.
AB - A facile fabrication route towards a titanium-modified hematite photoanode has
been developed, and the photoelectrochemical properties of this anode have been
evaluated. Compared to pristine hematite, the activity of the modified photoanode
in this work delivered almost twofold higher photocurrent under Air Mass 1.5G
illumination. Further research revealed that the enhanced performance of the
hematite photoanode with a titanium-modified surface resulted from the dominant
impact of heterojunction formation and suppressed surface recombination,
supplemented by a slightly improved light-harnessing ability.
PMID- 26558339
TI - What is the most possible cause of the side branch occlusion after bioabsorbable
everolimus-eluting stent implantation?: Thickness of the scaffolds or large
plaque burden?
PMID- 26558340
TI - Prevention of preterm birth with vaginal progesterone or 17-alpha
hydroxyprogesterone caproate: a critical examination of efficacy and safety.
AB - Progestogens are the first drugs to demonstrate reproducibly a reduction in the
rate of early preterm birth. The efficacy and safety of progestogens are related
to individual pharmacologic properties of each drug within this class of
medication and characteristics of the population that is treated. The synthetic
17-hydroxyprogesterone caproate and natural progesterone have been studied with
the use of a prophylactic strategy in women with a history of preterm birth and
in women with a multiple gestation. Evidence from a single large comparative
efficacy trial suggests that vaginal natural progesterone is superior to 17
hydroxyprogesterone caproate as a prophylactic treatment in women with a history
of mid-trimester preterm birth. Progestogen therapy is indicated for women with
this highest risk profile based on evidence from 2 trials. A therapeutic approach
based on the identification of a sonographic short cervix has been studied in
several phase III trials. Independent phase III trials and an individual patient
metaanalysis suggest that vaginal progesterone is efficacious and safe in women
with a singleton and a short cervix. Two trials that tested 17
hydroxyprogesterone caproate in women with a short cervix showed no benefit. No
consistent benefit for the prophylactic or therapeutic use of progestogens has
been demonstrated in larger trials of women whose pregnancies were complicated by
a multiple gestation (twins or triplets), preterm labor, or preterm rupture of
membranes. Unfortunately, several large randomized trials in multiple gestations
have identified harm related to 17-hydroxyprogesterone caproate exposure, and the
synthetic drug is contraindicated in this population. The current body of
evidence is evaluated by the Grading of Recommendations Assessment, Development,
and Evaluation guidelines to derive the strength of recommendation in each of
these populations. A large confirmatory trial that is testing 17
hydroxyprogesterone caproate exposure in women with a singleton pregnancy and a
history of preterm birth is near completion. Additional study of the efficacy and
safety of progestogens is suggested in well-selected populations based on the
presence of biomarkers.
PMID- 26558341
TI - Rapid whole genome sequencing of Miyazaki-Bali/2007 Pteropine orthoreovirus by
modified rolling circular amplification with adaptor ligation - next generation
sequencing.
AB - The emergence of orthoreoviruses as the causative agent of human respiratory
illness over the past few years has led to a demand to determine their viral
genome sequences. The whole genome sequencing of such RNA viruses using
traditional methods, such as Sanger dideoxy sequencing following rapid
amplification of cDNA ends presents a laborious challenge due to the numerous
preparatory steps required before sequencing can commence. We developed a
practical, time-efficient novel combination method capable of reducing the total
time required from months to less than a week in the determination of whole
genome sequence of Pteropine orthoreoviruses (PRV); through a combination of
viral RNA purification and enrichment, adaptor ligation, reverse transcription,
cDNA circularization and amplification, and next generation sequencing. We
propose to call the method "modified rolling circular amplification with adaptor
ligation - next generation sequencing (mRCA-NGS)". Here, we describe the
technological focus and advantage of mRCA-NGS and its expansive application,
exemplified through the phylogenetic understanding of the Miyazaki-Bali/2007 PRV.
PMID- 26558342
TI - Arterial Injury as a Result of Mowing Clover.
PMID- 26558343
TI - Endovascular Treatment of Double Hepatic Arterial Aneurysm.
PMID- 26558344
TI - System for measuring oxygen consumption rates of mammalian cells in static
culture under hypoxic conditions.
AB - Estimating the oxygen consumption rates (OCRs) of mammalian cells in hypoxic
environments is essential for designing and developing a three-dimensional (3-D)
cell culture system. However, OCR measurements under hypoxic conditions are
infrequently reported in the literature. Here, we developed a system for
measuring OCRs at low oxygen levels. The system injects nitrogen gas into the
environment and measures the oxygen concentration by an optical oxygen
microsensor that consumes no oxygen. The developed system was applied to HepG2
cells in static culture. Specifically, we measured the spatial profiles of the
local dissolved oxygen concentration in the medium, then estimated the OCRs of
the cells. The OCRs, and also the pericellular oxygen concentrations, decreased
nonlinearly as the oxygen partial pressure in the environment decreased from 19%
to 1%. The OCRs also depended on the culture period and the matrix used for
coating the dish surface. Using this system, we can precisely estimate the OCRs
of various cell types under environments that mimic 3-D culture conditions,
contributing crucial data for an efficient 3-D culture system design.
PMID- 26558345
TI - Statistical approaches to account for false-positive errors in environmental DNA
samples.
AB - Environmental DNA (eDNA) sampling is prone to both false-positive and false
negative errors. We review statistical methods to account for such errors in the
analysis of eDNA data and use simulations to compare the performance of different
modelling approaches. Our simulations illustrate that even low false-positive
rates can produce biased estimates of occupancy and detectability. We further
show that removing or classifying single PCR detections in an ad hoc manner under
the suspicion that such records represent false positives, as sometimes advocated
in the eDNA literature, also results in biased estimation of occupancy,
detectability and false-positive rates. We advocate alternative approaches to
account for false-positive errors that rely on prior information, or the
collection of ancillary detection data at a subset of sites using a sampling
method that is not prone to false-positive errors. We illustrate the advantages
of these approaches over ad hoc classifications of detections and provide
practical advice and code for fitting these models in maximum likelihood and
Bayesian frameworks. Given the severe bias induced by false-negative and false
positive errors, the methods presented here should be more routinely adopted in
eDNA studies.
PMID- 26558346
TI - Guanine nucleotide binding to the Bateman domain mediates the allosteric
inhibition of eukaryotic IMP dehydrogenases.
AB - Inosine-5'-monophosphate dehydrogenase (IMPDH) plays key roles in purine
nucleotide metabolism and cell proliferation. Although IMPDH is a widely studied
therapeutic target, there is limited information about its physiological
regulation. Using Ashbya gossypii as a model, we describe the molecular mechanism
and the structural basis for the allosteric regulation of IMPDH by guanine
nucleotides. We report that GTP and GDP bind to the regulatory Bateman domain,
inducing octamers with compromised catalytic activity. Our data suggest that
eukaryotic and prokaryotic IMPDHs might have developed different regulatory
mechanisms, with GTP/GDP inhibiting only eukaryotic IMPDHs. Interestingly,
mutations associated with human retinopathies map into the guanine nucleotide
binding sites including a previously undescribed non-canonical site and disrupt
allosteric inhibition. Together, our results shed light on the mechanisms of the
allosteric regulation of enzymes mediated by Bateman domains and provide a
molecular basis for certain retinopathies, opening the door to new therapeutic
approaches.
PMID- 26558347
TI - Effect of health education on trainee teachers' knowledge, attitudes, and first
aid management of epilepsy: An interventional study.
AB - PURPOSE: High rates of poor knowledge of, and negative attitudes towards people
with epilepsy (PWE) are generally found among school teachers. Their first aid
epilepsy management skills are poor. It remains unknown if this is different
among trainee teachers and whether educational intervention might reduce these
rates. We examined the effect of health education on the knowledge, attitudes,
and first aid management of epilepsy on trainee teachers in Nigeria. METHODS:
Baseline data and socio-demographic determinants were collected from 226 randomly
selected trainee teachers, at the Federal College of Education, Lagos, Nigeria,
with self-administered questionnaires. They received a health intervention
comprising an hour and half epilepsy lecture followed by a discussion. Baseline
knowledge of, and attitudes towards PWE and their first aid epilepsy management
skills were compared to post-interventional follow-up data collected twelve weeks
later with similar questionnaires. RESULTS: At baseline the majority (61.9%) and
largest proportion (44.2%) of respondents had negative attitudes and poor
knowledge of epilepsy, respectively. The knowledge of, and attitudes towards
epilepsy, and the first aid management skill increased in most respondents, post
intervention. The proportion of respondents with poor knowledge and negative
attitudes dropped by 15.5% (p<0.0001) and 16.4% (p<0.0001) respectively. Correct
knowledge concomitantly increased by 29.6% (p<0.0001) and good first aid
management skills increased by 25.0% (p<0.0001) from baseline. CONCLUSION:
Epilepsy health education could increase trainee teachers' knowledge of, and
attitudes towards epilepsy and facilitate correct first aid management. This
emphasizes the potential benefit of incorporating an epilepsy tailored
intervention programme into teachers' training curricula.
PMID- 26558349
TI - Pilot study to evaluate a novel three-dimensional wound measurement device.
AB - As the burden of diabetes continues to grow and treatment standards require
careful tracking of wound progress, clinicians increasingly need to rely on
technological improvements in wound measurement technologies to track the
progress of their treatments. This study aims to determine the accuracy of a new
three-dimensional wound measurement (3DWM) device against laser-assisted wound
measurement (LAWM) devices and traditional methods of wound measurement. Using
several wound models, we demonstrate that the 3DWM device measures wound area,
depth and volume similarly to the other methods tested. This is especially
apparent when changes in wound measurements were compared between the two
devices. Differences between the two technologies were apparent when analysing
wound measurement time and measurement repeatability. There was a significantly
lower incidence of error in measurements between the 3DWM device and the LAWM
device. Finally, the measurement time was significantly faster with the 3DWM
device compared to the LAWM device. Together, these data demonstrate that the
3DWM device provides an accurate and reproducible method for measuring changes in
wound healing similar to other available technologies. Further, the use of the
3DWM device provides a faster and more consistent measurement, which is critical
for clinical application and use.
PMID- 26558350
TI - Integration of coal gasification and waste heat recovery from high temperature
steel slags: an emerging strategy to emission reduction.
AB - With the continuous urbanization and industrialization in the world, energy
saving and greenhouse gas (GHG) emission reduction have been serious issues to be
addressed, for which heat recovery from traditional energy-intensive industries
makes up a significant strategy. Here we report a novel approach to extract the
waste heat and iron from high temperature steel slags (1450-1650 (o)C) produced
in the steel industry, i.e., integration of coal gasification and steel slag
treatment. Both the thermodynamics and kinetics of the pertinent reactions were
identified. It was clarified that the kinetic mechanism for gasification varied
from A2 model to A4 model (Avrami-Erofeev) in the presence of slags. Most
importantly, the steel slags acted not only as good heat carriers but also as
effective catalysts where the apparent activation energy for char gasification
got remarkably reduced from 95.7 kJ/mol to 12.1 kJ/mol (A2 model). Furthermore,
the FeO in the slags was found to be oxidized into Fe3O4, with an extra energy
release, which offered a potential for magnetic separation. Moreover, based on
the present research results, an emerging concept, composed of multiple
industrial sectors, was proposed, which could serve as an important route to deal
with the severe environmental problems in modern society.
PMID- 26558348
TI - Alcohol-induced alterations in dopamine modulation of prefrontal activity.
AB - Long-term alcohol use leads to persistent cognitive deficits that may be
associated with maladaptive changes in the neurocircuitry that mediates executive
functions. Impairments caused by these changes can persist well into abstinence
and have a negative impact on quality of life and job performance, and can
increase the probability of relapse. Many of the changes that affect cognitive
function appear to involve dysregulation of the mesocortical dopamine system.
This includes changes in dopamine release and alterations in dopamine receptor
expression and function in the medial prefrontal cortex (PFC). This review
summarizes the cellular effects of acute and chronic ethanol exposure on dopamine
release and dopamine receptor function in the PFC with the goal of providing
greater understanding of the effects of alcohol-use disorders on the dopamine
system and how this relates to deficits in the executive function of the PFC.
PMID- 26558351
TI - Incidence of Suicide Among Persons Who Had a Parent Who Died During Their
Childhood: A Population-Based Cohort Study.
AB - IMPORTANCE: Parental death from suicide is associated with increased risk of
suicide in the bereaved child, but little is known about the long-term risks of
suicide after parental death from other causes. A better understanding of this
association may improve suicide prevention efforts. OBJECTIVE: To examine the
long-term risks of suicide after parental death and how the risk trajectories
differed by cause of parental death while accounting for major potential
confounding variables. DESIGN, SETTING, AND PARTICIPANTS: A population-based
matched cohort study was performed using information from nationwide registers
(data from 1968 to 2008) in 3 Scandinavian countries (for a total of 7,302,033
persons). We identified 189,094 children (2.6%) who had a parent who died before
the child reached 18 years of age (ie, the bereaved cohort). Each bereaved child
was matched by sex and age to 10 children who did not have a parent who died
before they reached 18 years of age (for a total of 1,890,940 children) (ie, the
reference cohort). Both cohorts were followed for up to 40 years. Poisson
regression was used to calculate the incidence rate ratio (IRR), while accounting
for age at parental death, sex, time since bereavement, maternal/paternal death,
birth order, family history of psychiatric illness, and socioeconomic status.
Data analyses were finalized June 24, 2015. EXPOSURE: The main exposure was death
of a parent within the first 18 years of life. MAIN OUTCOMES AND MEASURES:
Incidence of suicide among persons who had a parent who died during their
childhood. RESULTS: During follow-up, 265 bereaved persons (0.14%) and 1342
nonbereaved persons (0.07%) died of suicide (IRR = 2.02 [95% CI, 1.75-2.34]); IRR
= 3.44 (95% CI, 2.61-4.52) for children who had a parent who died of suicide, and
IRR = 1.76 (95% CI, 1.49-2.09) for children who had a parent who died of other
causes. The IRR tended to be higher for children who had a parent who died before
they reached 6 years of age, and the IRR remained high for at least 25 years.
During 25 years of follow-up, the absolute risk of suicide was 4 in 1000 persons
for boys who experienced parental death and 2 in 1000 persons for girls who
experienced parental death. CONCLUSIONS AND RELEVANCE: Parental death in
childhood is, irrespective of cause, associated with an increased long-term risk
of suicide. The consequences of parental death in childhood are far-reaching, and
suicide risk trajectories may be influenced by early-life conditions. Future
public health efforts should consider helping highly distressed children to cope
with bereavement.
PMID- 26558352
TI - LPL gene expression is associated with poor prognosis in CLL and closely related
to NOTCH1 mutations.
AB - INTRODUCTION: Chronic lymphocytic leukemia is a heterogeneous yet incurable
disease. Whole-genome and whole-exome sequencing studies have revealed
recurrently occurring somatic mutations in some genes. Several other prognostic
markers have previously been tested for their prognostic value in CLL. LPL is
among these markers. AIM: To evaluate LPL gene expression together with the well
established prognostic markers of CLL and investigate correlations with more
recently identified prognostic markers, NOTCH1 and TP53 mutations. METHODS: On
149 patients, LPL gene expression was analyzed by real-time RT-PCR. Exon 34 of
NOTCH1 was PCR-amplified and directly sequenced. RESULTS: LPL gene expression
could be measured as a categorical variable (LPL+/LPL-) and was associated with
time to treatment (P < 0.001) and overall survival (P = 0.007). In patients
otherwise classified as having a good prognosis according to established and new
prognostic markers, 3 of 4 patients, who received treatment within 24 months
after diagnosis, were LPL+ (P = 0.03). There was a strong correlation between
NOTCH1 mutation and LPL+ (P = 0.005). The unfavorable prognosis of LPL+ was
maintained in CLL with wild-type NOTCH1. CONCLUSIONS: NOTCH1 mutations are
tightly associated with LPL gene expression. LPL expression is independently
associated with poor outcome in CLL and can be measured as a categorical
variable.
PMID- 26558353
TI - Body weight gain during adulthood and uterine myomas: Pro-Saude Study.
AB - This study intended to investigate whether body weight gain during adulthood is
associated with uterine myomas. 1,560 subjects were evaluated in a Pro-Saude
Study. Weight gain was evaluated in a continuous fashion and also in quintiles.
Odds ratios and 95% confidence intervals were estimated through logistic
regression models that were adjusted for education levels, color/race, body mass
indices at age 20, age of menarche, parity, use of oral contraceptive methods,
smoking, health insurance, and the Papanicolaou tests. No relevant differences
were observed regarding the presence of uterine myomas among weight gain
quintiles in that studied population.
PMID- 26558354
TI - Evaluating genomic divergence and parallelism in replicate ecomorphs from young
and old cichlid adaptive radiations.
AB - Comparative genomic studies of closely related species typically focus on single
species pairs at one given stage of divergence. That makes it difficult to infer
the continuum of evolutionary process during speciation and beyond. Here, we use
whole-genome resequencing to examine genomic patterns of divergence in three
sympatric cichlid species pairs with very similar functional and ecological
differentiation, but different ages. We find a strong signature of increasing
genomic divergence with time in both the mitochondrial genome and the nuclear
genome. In contrast to many other systems, we find that in these cichlids,
regions of elevated relative differentiation also exhibit increased absolute
differentiation. We detect a signature of convergent evolution in a comparison of
outlier regions across all three species pair comparisons, but the extent of it
is modest, and regions that are strongly divergent in any one pair tend to be
only slightly elevated in the other pairs, consistent with a repeatable but
polygenic basis of traits that characterize the ecomorphs. Our results suggest
that strong functional phenotypic differentiation, as seen in all three species
pairs, is generally associated with a clear signature of genomic divergence, even
in the youngest species pair.
PMID- 26558356
TI - Patient-controlled Analgesia With Propacetamol-Fentanyl Mixture for Prevention of
Postoperative Nausea and Vomiting in High-risk Patients Undergoing Spine Surgery:
A Randomized Controlled Trial.
AB - BACKGROUND: This randomized trial evaluated the effect of intravenous patient
controlled analgesia (IV-PCA) based on fentanyl mixed with either propacetamol or
an equivalent volume of normal saline on postoperative nausea and vomiting (PONV)
in highly susceptible patients undergoing spinal surgery. MATERIALS AND METHODS:
One hundred eight nonsmoking female patients were randomly and evenly allocated
to receive IV-PCA with either propacetamol (4 g) or normal saline mixed to
fentanyl (20 MUg/kg). Primary study outcome was PONV incidence at 24 hours
postsurgery. Secondary outcomes were nausea severity, pain intensity (100-mm
visual analog scale), use of rescue antiemetics and analgesics, patient
satisfaction, and adverse events at 6, 12, and 24 hours postsurgery. RESULTS:
Postsurgery, the propacetamol versus normal saline group had lower PONV incidence
at 24 hours (41% vs. 66%, P=0.011); pain intensity at rest and rescue analgesic
requirements at 6 to 12 hours (30+/-15 vs. 41+/-19, P=0.008; and 25% vs. 49%,
P=0.036, respectively) and at 12 to 24 hours (25+/-15 vs. 35+/-17, P=0.008; and
19% vs. 42%, P=0.044, respectively); and higher patient satisfaction score (6.4+/
1.4 vs. 5.7+/-1.8, P=0.028). CONCLUSIONS: In patients undergoing spinal surgery
and at risk of developing PONV, continuous IV-PCA based on propacetamol mixed to
fentanyl, relative to fentanyl alone, effectively reduced the incidence of PONV,
pain intensity at rest, and additional use of rescue analgesics with higher
patient satisfaction.
PMID- 26558357
TI - Phosphorylation of CaMKII in the rat dorsal raphe nucleus plays an important role
in sleep-wake regulation.
AB - The Ca(2+) modulation in the dorsal raphe nucleus (DRN) plays an important role
in sleep-wake regulation. Calmodulin-dependent kinase II (CaMKII) is an important
signal-transducing molecule that is activated by Ca(2+) . This study investigated
the effects of intracellular Ca(2+) /CaMKII signaling in the DRN on sleep-wake
states in rats. Maximum and minimum CaMKII phosphorylation was detected at
Zeitgeber time 21 (ZT 21; wakefulness state) and ZT 3 (sleep state),
respectively, across the light-dark rhythm in the DRN in rats. Six-hour sleep
deprivation significantly reduced CaMKII phosphorylation in the DRN.
Microinjection of the CAMKII activation inhibitor KN-93 (5 or 10 nmol) into the
DRN suppressed wakefulness and enhanced rapid-eye-movement sleep (REMS) and non
REM sleep (NREMS). Application of a high dose of KN-93 (10 nmol) increased slow
wave sleep (SWS) time, SWS bouts, the mean duration of SWS, the percentage of SWS
relative to total sleep, and delta power density during NREMS. Microinjection of
CaCl2 (50 nmol) in the DRN increased CaMKII phosphorylation and decreased NREMS,
SWS, and REMS. KN-93 abolished the inhibitory effects of CaCl2 on NREMS, SWS, and
REMS. These data indicate a novel wake-promoting and sleep-suppressing role for
the Ca(2+) /CaMKII signaling pathway in DRN neurons. We propose that the
intracellular Ca(2+) /CaMKII signaling in the dorsal raphe nucleus (DRN) plays
wake-promoting and sleep-suppressing role in rats. Intra-DRN application of KN-93
(CaMKII activation inhibitor) suppressed wakefulness and enhanced rapid-eye
movement sleep (REMS) and non-REMS (NREMS). Intra-DRN application of CaCl2
attenuated REMS and NREMS. We think these findings should provide a novel
cellular and molecular mechanism of sleep-wake regulation.
PMID- 26558358
TI - Advance care planning for nursing home residents with dementia: policy vs.
practice.
AB - AIMS: The aims of this study were: to evaluate the advance care planning policy
for people with dementia in nursing homes; to gain insight in the involvement of
residents with dementia and their families in advance care planning, and in the
relationship between the policy and the actual practice of advance care planning.
BACKGROUND: Through advance care planning, nursing home residents with dementia
are involved in care decisions, anticipating their reduced decision-making
capacity. However, advance care planning is rarely realized for this group.
Prevalence and outcomes have been researched, but hardly any research has focused
on the involvement of residents/families in advance care planning. DESIGN:
Observational cross-sectional study in 20 nursing homes. METHODS: The ACP audit
assessed the views of the nursing homes' staff on the advance care planning
policy. In addition, individual conversations were analysed with 'ACP criteria'
(realization of advance care planning) and the 'OPTION' instrument (involvement
of residents/families). DATA COLLECTION: June 2013-September 2013. RESULTS:
Nursing homes generally met three quarters of the pre-defined criteria for
advance care planning policy. In almost half of the conversations, advance care
planning was explained and discussed substantively. Generally, healthcare
professionals only managed to involve residents/families on a baseline skill
level. There were no statistically significant correlations between policy and
practice. CONCLUSION: The evaluations of the policy were promising, but the
actual practice needs improvement. Future assessment of both policy and practice
is recommended. Further research should focus on communication interventions for
implementing advance care planning in the daily practice.
PMID- 26558359
TI - A novel genetic- and cell-based tool for assessing the efficacy and toxicity of
anticancer drugs in vitro.
AB - AIMS: To develop an in vitro tool for assessing the efficacy and toxicity of
anticancer drugs using mixed culture containing both tumor and non-tumor cells.
Such in vitro tool should have high application potential in drug-screening and
personalized cancer care. METHODS: Fibroblasts were spiked as non-tumor cells
into tumor cells of an established line. The mixed culture was treated with a
test drug at various concentrations. After the treatment, DNA was prepared
directly from the survived adhesive cells in the wells of the 96-well plates
using a simple and inexpensive method, and subjected to digital PCR for measuring
relative copy numbers of a target gene NF1 to that of a reference gene RPP30. The
NF1 gene is known to be heterozygously deleted in these tumor cells while the
RPP30 gene has two copies in both tumor and non-tumor cells. Using the NF1/ RPP30
ratios resulting from the dual digital PCR assay, the proportions of tumor cells
were calculated for each drug concentration. RESULTS: Digital PCR confirmed that
the tumor cells have only one copy of the NF1 gene while the non-tumor
fibroblasts have two copies. By contrast, both types of cells have two copies of
the reference gene RPP30. Using the ratio of the two genes, we successfully
calculated the proportion of tumor cells which decreased as the dose of the test
drug increased up to a certain concentration, indicating that the drug is more
effective for the tumor cells than for the non-tumor cells in this dose-range. At
the highest dose, we observed a slight increase in the proportion of tumor cells,
likely reflecting the toxic effect of the drug on both tumor and non-tumor cells.
CONCLUSION: This pilot study demonstrated the feasibility of a genetic- and cell
based tool for testing efficacy and toxicity of anticancer drugs in vitro. The
promising results suggest that additional efforts are merited, for further
development since such a tool will likely have high application potential (1) in
drug discovery where it enables simultaneously assessing therapeutic effect on
target cells and toxic effect on non-target cells, and (2) in personalized
adjuvant chemotherapy where multiple drugs can be tested in primary cultures
derived from surgically removed tumor.
PMID- 26558360
TI - Renal cell carcinoma: Review of etiology, pathophysiology and risk factors.
AB - BACKGROUND AND AIMS: The global incidence of renal cell cancer is increasing
annually and the causes are multifactorial. Early diagnosis and successful
urological procedures with partial or total nephrectomy can be life-saving.
However, only up to 10% of RCC patients present with characteristic clinical
symptoms. Over 60% are detected incidentally in routine ultrasound examination.
The question of screening and preventive measures greatly depends on the cause of
the tumor development. For the latter reason, this review focuses on etiology,
pathophysiology and risk factors for renal neoplasm. METHODS: A literature search
using the databases Medscape, Pubmed, UpToDate and EBSCO from 1945 to 2015.
RESULTS AND CONCLUSIONS: Genetic predisposition/hereditary disorders, obesity,
smoking, various nephrotoxic industrial chemicals, drugs and natural/manmade
radioactivity all contribute and enviromental risks are a serious concern in
terms of prevention and the need to screen populations at risk. Apropos
treatment, current oncological research is directed to blocking cancer cell
division and inhibiting angiogenesis based on a knowledge of molecular pathways.
PMID- 26558361
TI - The critical points in induction of experimental autoimmune uveitis.
AB - BACKGROUND: Autoimmune uveitis is a leading cause of visual impairment in
developed countries in patients of working age. Animal models of experimental
autoimmune uveitis (EAU) have been established to serve as a useful template for
novel therapeutic approaches. METHODS: Experimental autoimmune uveitis is induced
in C57BL/6 mice by subcutaneous application of interphotoreceptor retinoid
binding protein in complete Freund's adjuvant and pertussis toxin. Clinical and
histological grading is used to assess the inflammation intensity of EAU.
RESULTS: The protocol of induction of EAU in mice hides several important
aspects, which are crucial for developing the disease. These details have to be
addressed to ensure reproducible disease induction. We describe our experience in
establishing the model by pointing out the critical steps in EAU protocol which
we found important. CONCLUSION: The mouse model of EAU has practical value for
preclinical studies, is robust and well established. However, the induction of
inflammation of the eye can be quite challenging when important details of the
protocol are not recognized and adhered to.
PMID- 26558362
TI - An Autopsy Case of Rapidly Progressing Spindle Cell Carcinoma of the Lung
Accompanied with Intratumor Hemorrhage.
AB - BACKGROUND: Spindle cell carcinoma (SPCC) of the lung is a subset of sarcomatoid
carcinoma. Its clinical features are unclear because of its rarity. Here, we
report an autopsy case of SPCC and review CT findings and chemotherapeutic
regimens based on previous reports of this disease. To our knowledge, this is the
first reported case of pemetrexed used to treat SPCC. CASE REPORT: A 74-year-old
Japanese male presented with dyspnea and contrast-enhanced computed tomography
(CT) showed abundant left pleural effusion and a mass in lower lobe of the left
lung. By the tumor biopsy, he was diagnosed for SPCC of the lung, cT3N0M1a, stage
IV. The tumor was resistant to chemotherapy with carboplatin and pemetrexed, and
rapidly progressed. Autopsy revealed abundant hemorrhage within the tumor, which
apparently reflects a low-density area in CT. CONCLUSIONS: Present case and the
accumulation of cases indicate that low-density areas in CT and rapid tumor
progression may be common SPCC findings.
PMID- 26558363
TI - Incidence, prevalence and complications of Budd-Chiari syndrome in South Korea: a
nationwide, population-based study.
AB - BACKGROUND & AIMS: The population-based epidemiology of Budd-Chiari syndrome
(BCS), a rare disease of hepatic venous outflow obstruction, is largely unknown.
This study aimed to elucidate the nationwide population-based incidence,
prevalence, complications, case fatalities and direct medical cost of BCS in
South Korea from 2009 to 2013. METHODS: Using two large data sources, the Health
Insurance Review and Assessment Service Claims database and Rare Intractable
Disease registration program database in Korea, we identified all patients with
BCS who were registered under International Classification of Diseases 10 (code
I82.0). The age- and sex-adjusted incidence and prevalence of BCS were calculated
with analysis of complications and direct medical costs. RESULTS: A total of 424
patients with BCS were identified in 2009-2013, with a female-to-male ratio of
1.8 and a median age of 51 years old. The average age- and sex-adjusted incidence
from 2011 to 2013 was 0.87 per million per year, and the average age- and sex
adjusted prevalence from 2009 to 2013 was 5.29 per million population. Among
them, 10.3% accompanied liver cancer and 3.3% underwent liver transplantation.
Annual case-fatality rate was 2.8%. Direct medical costs excluding uninsured
services for BCS increased by year from 385 720 USD in 2009 to 765 983 USD in
2013. CONCLUSIONS: This is the first population-based study on the epidemiology
of BCS in an Asian country, which presented a higher prevalence than in Western
studies. It suggests early diagnosis or improved prognosis of BCS in recent
years, and clinical features of BCS that differ by geography.
PMID- 26558364
TI - Metamorphic thyroid autoimmunity in Down Syndrome: from Hashimoto's thyroiditis
to Graves' disease and beyond.
AB - BACKGROUND: It is known that Hashimoto's thyroiditis (HT) may progress to Graves'
disease (GD) and that this phenomenon may be more frequent in the patients with
Down syndrome (DS). AIMS: To shed light on the relationships between Down
syndrome (DS) and metamorphic thyroid autoimmunity. PATIENTS AND METHODS: We
reconstructed the conversion process from HT to GD in 12 DS children. All the
data recorded at HT diagnosis and throughout the time interval from entry to GD
presentation were retrospectively taken from patients' files, as well as those
recorded at GD diagnosis and during the subsequent evolution. From GD diagnosis
all patients underwent methimazole treatment, at a dose that was adjusted on the
basis of clinical findings and thyroid tests. RESULTS: Time interval between HT
and GD was not different in the seven patients who received during that time a L
thyroxine (L-T4) treatment than in those who were not treated. After methimazole
onset all patients exhibited a prolonged remission of hyperthyroidism. In 8/12
patients this treatment is still being continued 2-7 years after its initiation.
The mean methimazole dosage needed to maintain euthyroidism in these eight
patients was 0.12 +/- 0.02 mg/kg/day. In the remaining four patients methimazole
was withdrawn from 1.9 to 7 years after its initiation and no relapses were
recorded 2.0-2.1 years after its withdrawal. These patients developed, 0.1-0.3
years after methimazole withdrawal, a picture of overt hypothyroidism and needed
treatment with L-T4, that is now being continued. No patients needed non
pharmacological therapies. CONCLUSIONS: 1) DS children might be incline to
manifest over time a phenotypic metamorphosis from HT to GD and to subsequently
fluctuate from hyperthyroidism to hypothyroidism; 2) in DS GD may have a mild
biochemical and clinical course.
PMID- 26558365
TI - Larval ecology of Anopheles coluzzii in Cape Coast, Ghana: water quality, nature
of habitat and implication for larval control.
AB - BACKGROUND: There is a growing interest in larval control intervention to
supplement existing malaria control strategies, particularly in urban areas.
However, effective implementation requires a good understanding of habitat
ecology of Anopheles mosquitoes. Clean water bodies have long been reported by
several studies as a preferred breeding habitat for Anopheles gambiae. Other
studies have also reported the breeding of An. gambiae in polluted water bodies.
However, the term clean or polluted is mostly based on visual examination and is
not well defined. This study was conducted with the aim of assessing water
quality in Anopheles breeding habitats and the practicability of larval control
in Cape Coast, Ghana. METHODS: A larval survey was conducted for 15 months in
Cape Coast. In individual breeding habitats, habitat characteristics,
physicochemical parameters and bacterial fauna were measured in both Anopheles
positive breeding (APL) habitats and habitats colonized by only Culex species.
The sibling species of An. gambiae were identified using PCR assay. RESULTS:
Anopheles coluzzii dominated in almost all the APL habitats found in this study.
The habitats had high levels of salinity and ammonium ions. However, ammonium
ions were significantly higher (p = 0.001) in habitats colonized by only Culex
larvae compared to APL habitats. About 47 % of the habitats that were colonized
by only Culex larvae had no measurable dissolved oxygen while An. coluzzii was
absent in such habitats. High concentration of faecal bacteria confirmed faecal
contamination in both groups of breeding habitats. CONCLUSIONS: From the results,
it was evident that larval stages of An. coluzzii have tolerance to high levels
of salinity and organic pollution in breeding habitats. However, its level of
tolerance to organic pollution is probably lower than Culex larvae. The nature of
breeding habitats found in the city demonstrates the opportunistic behaviour of
An. coluzzii and how its breeding requirements are so intimately intertwined with
the haphazard and uncontrolled human activities in the urban area. Considering
the nature of APL habitats, larval control intervention could greatly reduce
Anopheles population. However, improving basic hygiene and sanitation in the city
could even make larval control intervention more practical and cost effective.
PMID- 26558366
TI - A Multiplex Real-Time PCR Assay to Diagnose and Separate Helicoverpa armigera and
H. zea (Lepidoptera: Noctuidae) in the New World.
AB - The Old World bollworm, Helicoverpa armigera (Hubner), and the corn earworm, H.
zea (Boddie), are two of the most important agricultural pests in the world.
Diagnosing these two species is difficult-adults can only be separated with a
complex dissection, and larvae cannot be identified to species using morphology,
necessitating the use of geographic origin for identification in most instances.
With the discovery of H. armigera in the New World, identification of immature
Helicoverpa based on origin is no longer possible because H. zea also occurs in
all of the geographic regions where H. armigera has been discovered. DNA
barcoding and restriction fragment length polymorphism (RFLP) analyses have been
reported in publications to distinguish these species, but these methods both
require post-PCR processing (i.e., DNA sequencing or restriction digestion) to
complete. We report the first real-time PCR assay to distinguish these pests
based on two hydrolysis probes that bind to a segment of the internal transcribed
spacer region 2 (ITS2) amplified using a single primer pair. One probe targets H.
armigera, the second probe targets H. zea, and a third probe that targets a
conserved segment of 18S rDNA is used as a control of DNA quality. The assay can
be completed in 50 minutes when using isolated DNA and is successfully tested on
larvae intercepted at ports of entry and adults captured during domestic surveys.
We demonstrate that the assay can be run in triplex with no negative effects on
sensitivity, can be run using alternative real-time PCR reagents and instruments,
and does not cross react with other New World Heliothinae.
PMID- 26558368
TI - Is the new GFR equation using inulin clearance a more accurate method for Asian
patients?
AB - Recently, a new glomerular filtration rate (GFR) equation for the Japanese
population was proposed using measured inulin clearance. To expand its
applicability to other Asian populations, we performed a comparative study in the
Korean population. Inulin clearance was measured in 166 patients from seven
participating medical centers in Korea. Patient's sera and urine were collected,
and baseline clinical characteristics were measured to provide an estimated GFR
(eGFR) by the Japanese GFR equation using inulin clearance (Japanese-GFR
equation), the Modification of Diet in Renal Disease (MDRD) study equation, and
the Chronic Kidney Disease - Epidemiology Collaboration (CKD-EPI) equation. We
compared the results to determine which equation best estimated the measured GFR
(mGFR). Accuracy (95% CI) within 30% of mGFR by the Japanese-GFR equation, the
CKD-EPI equation and the MDRD study equation were 66 (58 - 72), 51 (43 - 58), and
55 (47 - 62)%, respectively. Bias (mGFR minus eGFR) were 3.4 +/- 22.4, -12.0 +/-
22.1, and -9.7 +/- 23.8 mL/min/1.73 m2, respectively. The accuracy of the
Japanese-GFR equation was significantly better than MDRD study equation in
subjects with mGFR < 60 mL/min/1.73 m2 and in total subjects. The bias of the
Japanese-GFR equation was significantly smaller compared with other two equations
in total subjects. The Japanese-GFR equation has a higher accuracy with less bias
than the other equations in estimating GFR in Korean populations. Further studies
are required to determine if the current Japanese-GFR equation could represent
the standard eGFR for other Asian populations.
PMID- 26558367
TI - Reduced beta-amyloid pathology in an APP transgenic mouse model of Alzheimer's
disease lacking functional B and T cells.
AB - INTRODUCTION: In Alzheimer's disease, accumulation and pathological aggregation
of amyloid beta-peptide is accompanied by the induction of complex immune
responses, which have been attributed both beneficial and detrimental properties.
Such responses implicate various cell types of the innate and adaptive arm of the
immunesystem, both inside the central nervous system, and in the periphery. To
investigate the role of the adaptive immune system in brain beta-amyloidosis,
PSAPP transgenic mice, an established mouse model of Alzheimer's disease, were
crossbred with the recombination activating gene-2 knockout (Rag2 ko) mice
lacking functional B and T cells. In a second experimental paradigm, aged PSAPP
mice were reconstituted with bone marrow cells from either Rag2 ko or wildtype
control mice. RESULTS: Analyses from both experimental approaches revealed
reduced beta-amyloid pathology and decreased brain amyloid beta-peptide levels in
PSAPP mice lacking functional adaptive immune cells. The decrease in brain beta
amyloid pathology was associated with enhanced microgliosis and increased
phagocytosis of amyloid beta-peptide aggregates. CONCLUSION: The results of this
study demonstrate an impact of the adaptive immunity on cerebral beta-amyloid
pathology in vivo and suggest an influence on microglia-mediated amyloid beta
peptide clearance as a possible underlying mechanism.
PMID- 26558370
TI - Ertapenem-induced acute reversible peripheral neuropathy in chronic kidney
disease: 3 case reports.
AB - BACKGROUND: Ertapenem is a broad-spectrum and long-acting carbapenem which is
predominantly eliminated by the kidneys, and it requires dose adjustment in renal
failure. Although it is known that excessive doses of ertapenem can cause
neurotoxicity, there are very few case reports of ertapenem-induced reversible
peripheral neuropathy in the literature when used with renal adjusted doses.
STUDY DESIGN AND METHODS: We report 3 patients with a history of stage 4 or 5
chronic kidney disease (CKD) who developed acute reversible peripheral neuropathy
proven with electroencephalography (EEG) and electromyography (EMG). All patients
received renal adjusted doses of ertapenem for complicated urinary tract
infection (UTI). We also discuss the incidence of carbapenem-related
neurotoxicity, mechanisms, and risk factors with a review of the literature.
RESULTS: All patients developed acute peripheral, and additionally one acute
central nervous system, neuropathy within 1 week of treatment with ertapenem,
which was confirmed by EMG. Complete clinical recovery was obtained in all
patients within 2 weeks of cessation of ertapenem treatment, and electromyography
was confirmatory in all patients. CONCLUSION: Ertapenem is potentially neurotoxic
in patients with CKD even when it is given with renal adjusted doses according to
recommendations. Although carbapenem-related neurotoxicity most commonly
manifests as seizures, our series indicates that acute and reversible peripheral
neuropathy can also develop. Clinicians administering ertapenem for patients with
a GFR of < 30 mL/min/1.73 m2 should be cautious.
PMID- 26558371
TI - JASMS Transition.
PMID- 26558369
TI - Associations of kidney injury markers with subclinical cardiovascular disease:
the Multi-Ethnic Study of Atherosclerosis.
PMID- 26558372
TI - Giant Gastric Liposarcoma: A Fatal Exceptional Location.
PMID- 26558373
TI - Chemosensitization of Prostate Carcinoma Cells with a Receptor-directed Smac
Conjugate.
AB - BACKGROUND: Second mitochondrial activator of caspase (Smac) is a short
mitochondrial peptide. When released from the mitochondria into the cytoplasm, it
binds to inhibitor of apoptotic proteins (IAPs) within the cytoplasm and prevents
them from inhibiting apoptosis. OBJECTIVE: Delivery of external synthetic Smac
peptide into the cytoplasm of malignant cells could greatly improve the
efficiency of apoptosis-inducing chemotherapeutic agents. METHOD: In our study
different conjugates based on the seven N-terminal amino acids AVPIAQK of Smac
(SmacN7) were produced to obtain a cytoplasm-directed Smac variant. SmacN7 and a
point mutant (AVPKAQK) were coupled either to rhodamine alone or to both
rhodamine and undecylic aldehyde, which is an antagonist of the Lily-of-the
valley fragrance receptor. The fifth conjugate consisted of rhodamine coupled
only to undecylic aldehyde, without SmacN7. The uptake of these five conjugates
into three different human cell lines was characterized and quantified by
confocal laser scanning microscopy and flow cytometry. A caspase apoptosis assay
was performed for cells incubated with the five different conjugates after
induction of apoptosis. RESULTS: The coupling of undecylic aldehyde to SmacN7
increased the cellular uptake of the correct and mutant conjugates. CONCLUSION:
Caspase 3/7 apoptosis tests after induction of apoptosis with staurosporine or UV
irradiation showed that the coupling of SmacN7 with undecylic aldehyde resulted
in a greatly increased adjuvant pro-apoptotic effect compared to the separate
components and a mutant SmacN7 peptide sequence in the LNCaP prostate carcinoma
cells compared to the benign prostate hyperplasia (BPH) cells and the human
embryonal kidney (HEK) cells.
PMID- 26558374
TI - Synthesis, Structural Characterization of a Novel Ferrocene Derivative and
Preliminarily Anticancer Activity.
AB - A novel structure of ferrocene derivative 1 was synthesized with cyanuric
chloride and ferrocenemethanol as starting materials. The synthesized compound
was fully characterized using 1H NMR, 13C NMR, MS and XRD. Subsequently, the in
vitro anticancer effect against A549, HCT116 and MCF-7 cell lines was
preliminarily evaluated by the MTT method. The result showed that this compound
exhibits good cytotoxic effect on A549, HCT116 and MCF-7 cell lines.
PMID- 26558375
TI - Synthesis, Molecular Docking Study, and Cytotoxic Activity of 3,4-diaryl-5-(4
pyridinyl)-1,2,4-oxadiazole.
AB - BACKGROUND: Triaryl oxadiazoles have been proven to be useful agents against
various types of cancer cell lines. Nevertheless, their mechanism of action is
not fully understood. OBJECTIVE: Synthesis and cytotoxic activity of a new group
of triaryl oxadiazoles; 3,4-diaryl-5-(4- pyridinyl)-1,2,4-oxadiazole derivatives,
will be discussed in this study. Their cytotoxic activity has been examined in 4
different cell lines by MTT method. METHOD: 3,4-Diaryl-5-(4-pyridinyl)-1,2,4
oxadiazole derivatives were prepared from condensation of different imines with 4
substituted benzohydroxyiminoyl chlorides. The antiproliferative activity of the
final compounds was examined in MCF-7, AGS, HT-29 and NIH3T3 cell lines by MTT
assay, using different concentrations of each compound to determine their IC50.
The cytotoxic activity of paclitaxel, doxorubicin and combretastatin A-4 was
evaluated as positive controls. RESULTS: All compounds demonstrated cytotoxic
activity in mentioned cell lines, in a dose dependent manner. Among all, 6d-2
showed the highest cytotoxicity in AGS and MCF-7 cell lines with IC50 19.84 and
9.91 respectively and 6c-2 was the most potent in HT-29 with IC50 27.60. In
addition, 6c-1, one of the most potent compounds, showed an interestingly low
cytotoxic effect on NIH3T3 cell line, which is a noncancerous cell line. In the
molecular modeling study, all compounds had comparable binding energy in
Colchicine binding site and 6c-2 had the best-predicted binding energy.
CONCLUSION: Together, our data suggest that the synthesized compounds have a
partially selective mechanism of action against cancer cells and possibly a lower
toxic effect on normal cells, making them interesting candidates for the
synthesis of new anticancer agents.
PMID- 26558376
TI - Design, Synthesis, and Fungicidal Activities of Novel 5-Methyl-1H-1,2,3- trizole
4-carboxyl Amide Analogues.
AB - Succinate dehydrogenase inhibitors (SDHIs) are fungicides with an amide bond
widely used to control plant diseases caused by phytopathogenic fungi. Because of
broad spectrum activity of new SDHIs, they have attracted wide attention from the
research community. A series of structurally novel SDHIs with a bioactive 1,2,3
triazole moiety were designed and synthesized. Bioactivity screening showed that
some of designed N-phenethyl-1,2,3-trizole-4-carboxyl amide analogues exhibited
good fungicidal activities toward Sclerotinia sclerotiorum and Botrytis cinerea,
while some of Nbenzyl- 1,2,3-trizole-4-carboxyl amide analogues exhibited good
fungicidal activities toward Phytophthora capsici and Cercospora arachidicola.
EC50 value of compound 5d against Cercospora arachidicola (6.6 ug/mL) was lower
than that of chlorothalonil (12.3 ug/mL).
PMID- 26558377
TI - DNA Hybridization on Chitosan-Functionalized Silicon Substrate.
AB - The ability of DNA to capture oligonucleotide molecules in solution is of great
importance in genetics, medical diagnostics, and drug discovery. The DNA
hybridization event in which the probe, which is usually a single-stranded DNA
(ssDNA) covalently immobilized on a functionalized surface, recognizes the
complementary target and forms a stable duplex structure that is the basis of
highly specific bio recognizing devices. In this computational study, molecular
modeling and Quantitative Structure Activity Relationship (QSAR) calculations
were utilized at PM3 level in order to evaluate the interaction of aldehyde ssDNA
on chitosan-functionalized silicon substrate and the biological activity of the
proposed compounds. Molecular modeling of ssDNA 5'-(TTCA) attached on chitosan-
functionalized silicon dioxide substrate was carried out. Molecular modeling and
QSAR calculations were utilized at MM3 level in order to evaluate the interaction
of target DNA on DNA probe on chitosan-functionalized silicon substrate through
hydrogen bonding and the biological activity of the proposed compounds.
PMID- 26558378
TI - Bone remodeling markers: so easy to measure, so difficult to interpret.
PMID- 26558379
TI - Bone turnover markers: response to comments by Seeman and Nguyen.
PMID- 26558380
TI - Assessment of off-label use of denosumab 60 mg injection during the early
postmarketing period using health insurance claims data.
AB - Off-label use of denosumab 60 milligram (mg) injection was assessed within an
administrative claims database. The completeness of claims to assess off-label
use was investigated with medical record review. Potential denosumab 60 mg off
label use was observed based on claims, but many had evidence of on-label
indications based on medical record review. INTRODUCTION: Denosumab 60 mg
injection is approved in the USA to treat patients at high fracture risk due to
postmenopausal osteoporosis, male osteoporosis, and hormone therapy for the
treatment of prostate and breast cancers. Its RANK ligand-inhibiting effect makes
it a candidate for the off-label treatment of other conditions mediated by the
rate of bone resorption by osteoclasts. To better understand its utilization
patterns, we assessed off-label use of denosumab 60 mg within an administrative
claims database. METHODS: Definite, probable, and possible denosumab 60 mg users
were identified during the early postmarketing period within a claims database of
a US healthcare insurer. Medical record review confirmed a sample of these users.
Off-label use among definite and probable users and all chart-confirmed users was
classified using claims-derived age, dose interval, and diagnosis and treatment
received relative to the administration date. Among chart-confirmed users
classified as off-label, patient characteristics related to treatment indication
were abstracted from medical records to investigate the completeness of claims to
study off-label medication use. RESULTS: Off-label use was identified based on
claims in approximately 25 % of definite and probable denosumab 60 mg users and
35 % of chart-confirmed users. Medical record review identified evidence of on
label indications in 81 % of chart-confirmed users classified as off-label in
claims. CONCLUSIONS: Many of the off-label denosumab 60 mg users had diagnoses or
treatment consistent with on-label indications based on medical record review,
suggesting these are under-recorded in claims data. It is warranted to be
cautious when using administrative databases to assess off-label medication use.
PMID- 26558381
TI - Salmonella enterica Serovar Napoli Infection in Italy from 2000 to 2013: Spatial
and Spatio-Temporal Analysis of Cases Distribution and the Effect of Human and
Animal Density on the Risk of Infection.
AB - BACKGROUND: Salmonella Napoli is uncommon in Europe. In Italy however, it has
been growing in importance since 2000. To date, no risk factors have been
identified to account for its rise. This study aims at describing the
epidemiology, spatial and spatio-temporal patterns of S. Napoli in Italy from
2000 to 2013, and to explore the role of several environmental correlates, namely
urbanization, altitude and number of livestock farms, on the risk of S. Napoli
infection among humans. METHOD: Data were obtained from Enter-Net Italy, a
network of diagnostic laboratories. The data were aggregated at the municipality
level. Descriptive epidemiology, multivariate regression models, spatial and
spatio-temporal analyses were performed on the number of cases and incidence
rates. RESULTS: S. Napoli showed an expanding trend at the national level, and an
increasing number of cases. Compared to the other main serovars in Italy, the
risk of S. Napoli infection was higher in the age group <1 year, and lower in the
other age groups. Although urbanization and the number of farms were associated
with the risk of S. Napoli infection to some extent, their role in the
epidemiology of the disease remains inconclusive. S. Napoli cases showed a
positive global spatial autocorrelation as well as a significant spatio-temporal
interaction. Twenty-four spatial and spatio-temporal clusters were identified,
seven purely spatial and 17 spatio-temporal, mainly in north-western Italy. Most
of the clusters were in areas characterized by urban and industrial settlements
surrounded by agricultural land and an abundance of freshwater bodies.
CONCLUSIONS: Our results point to the presence, in a number of areas in Italy, of
a Salmonella of public health concern originating in the environment. This
highlights the increasing relevance of environmental, non-food-related sources of
human exposure to enteric pathogens.
PMID- 26558383
TI - MicroRNA in ovarian function.
AB - The mammalian ovary is a dynamic organ. The coordination of follicle recruitment,
selection, and ovulation and the timely development and regression of the corpus
luteum are essential for a functional ovary and fertility. Deregulation of any of
these processes results in ovarian dysfunction and potential infertility.
MicroRNA (miRNA) are short noncoding RNA that regulate developmental processes
and time-sensitive functions. The expression of miRNA in the ovary varies with
cell type, function, and stage of the estrous cycle. miRNA are involved in the
formation of primordial follicles, follicular recruitment and selection,
follicular atresia, oocyte-cumulus cell interaction, granulosal cell function,
and luteinization. miRNA are differentially expressed in luteal cells at the
various stages of the estrous cycle and during maternal recognition of pregnancy,
suggesting a role in luteal development, maintenance, and regression. An
understanding of the patterns of expression and functions of miRNA in the ovary
will lead to novel therapeutics to treat ovarian dysfunction and improve
fertility and, potentially, to the development of better contraceptives.
PMID- 26558384
TI - Proteasome Inhibition Diminishes the Formation of Neutrophil Extracellular Traps
and Prevents the Death of Cardiomyocytes in Coculture with Activated Neutrophils
during Anoxia-Reoxygenation.
AB - OBJECTIVE: Polymorphic mononuclear neutrophils (PMN) are very important cells
participating in nonspecific defense of the organism. Among their well-known
functions, the formation of neutrophil extracellular traps (NET) is interesting
and potentially dangerous for the mechanisms of other cells. Ubiquitin-dependent
proteasomal proteolysis is a very important regulator of all cellular activities,
but the role of proteasomal proteolysis in NET formation has not been
investigated. METHODS: We performed experiments with PMN activated to form NET
with phorbol 12-myristate 13-acetate (PMA) and the application of a proteasome
inhibitor. We also added activated neutrophils to primary culture of isolated rat
neonatal cardiomyocytes with or without anoxia-reoxygenation modeling. RESULTS:
The data obtained show that proteasomes participate in NET formation and
proteasome inhibitors facilitate the blocking of the NET program. The percentage
of NET after PMA application was 70.8 +/- 7.2 and the proteasome inhibitor
decreased this amount to 4.7 +/- 0.9%. In coculture with cardiomyocytes during
anoxia-reoxygenation, this effect prevented cardiac cell death induced by
activated PMN. The stimulation of NET formation by PMA in coculture with isolated
cardiomyocytes led to an increase in the number of necrotic cardiomyocytes of up
to 33.1 +/- 12.9% and a corresponding decrease in living cardiomyocytes to 66.9
+/- 12.9%. The number of living cardiomyocytes in coculture after incubation with
both PMA and proteasome inhibitor was 76.6 +/- 13.3% (p < 0.05), and the number
of necrotic cardiomyocytes was 23.4 +/- 13.3% (p < 0.05). CONCLUSION: Proteasome
inhibition blocks NET formation and prevents cardiomyocyte necrosis in coculture
with activated neutrophils.
PMID- 26558385
TI - Differentiated Anti-Predation Responses in a Superorganism.
AB - Insect societies are complex systems, displaying emergent properties much greater
than the sum of their individual parts. As such, the concept of these societies
as single 'superorganisms' is widely applied to describe their organisation and
biology. Here, we test the applicability of this concept to the response of
social insect colonies to predation during a vulnerable period of their life
history. We used the model system of house-hunting behaviour in the ant
Temnothorax albipennis. We show that removing individuals from directly within
the nest causes an evacuation response, while removing ants at the periphery of
scouting activity causes the colony to withdraw back into the nest. This suggests
that colonies react differentially, but in a coordinated fashion, to these
differing types of predation. Our findings lend support to the superorganism
concept, as the whole society reacts much like a single organism would in
response to attacks on different parts of its body. The implication of this is
that a collective reaction to the location of worker loss within insect colonies
is key to avoiding further harm, much in the same way that the nervous systems of
individuals facilitate the avoidance of localised damage.
PMID- 26558386
TI - Synergistic effect of combined transcranial direct current stimulation/constraint
induced movement therapy in children and young adults with hemiparesis: study
protocol.
AB - BACKGROUND: Perinatal stroke occurs in more than 1 in 2,500 live births and
resultant congenital hemiparesis necessitates investigation into interventions
which may improve long-term function and decreased burden of care beyond current
therapies ( http://www.cdc.gov/ncbddd/cp/data.html ). Constraint-Induced Movement
Therapy (CIMT) is recognized as an effective hemiparesis rehabilitation
intervention. Transcranial direct current stimulation as an adjunct treatment to
CIMT may potentiate neuroplastic responses and improve motor function. The
methodology of a clinical trial in children designed as a placebo-controlled,
serial -session, non-invasive brain stimulation trial incorporating CIMT is
described here. The primary hypotheses are 1) that no serious adverse events will
occur in children receiving non-invasive brain stimulation and 2) that children
in the stimulation intervention group will show significant improvements in hand
motor function compared to children in the placebo stimulation control group.
METHODS/DESIGN: A randomized, controlled, double-blinded clinical trial. Twenty
children and/or young adults (ages 8-21) with congenital hemiparesis, will be
enrolled. The intervention group will receive ten 2-hour sessions of transcranial
direct current stimulation combined with constraint-induced movement therapy and
the control group will receive sham stimulation with CIMT. The primary outcome
measure is safety assessment of transcranial direct current stimulation by
physician evaluation, vital sign monitoring and symptom reports. Additionally,
hand function will be evaluated using the Assisting Hand Assessment, grip
strength and assessment of goals using the Canadian Occupational Performance
Measure. Neuroimaging will confirm diagnoses, corticospinal tract integrity and
cortical activation. Motor cortical excitability will also be examined using
transcranial magnetic stimulation techniques. DISCUSSION: Combining non-invasive
brain stimulation and CIMT interventions has the potential to improve motor
function in children with congenital hemiparesis beyond each intervention
independently. Such a combined intervention has the potential to benefit an
individual throughout their lifetime. TRIAL REGISTRATION: Clinicaltrials.gov,
NCT02250092 Registered 18 September 2014.
PMID- 26558387
TI - PCR-Based Simple Subgrouping Is Validated for Classification of Gliomas and
Defines Negative Prognostic Copy Number Aberrations in IDH Mutant Gliomas.
AB - Genetic subgrouping of gliomas has been emphasized recently, particularly after
the finding of isocitrate dehydrogenase 1 (IDH1) mutations. In a previous study,
we investigated whole-chromosome copy number aberrations (CNAs) of gliomas and
have described genetic subgrouping based on CNAs and IDH1 mutations.
Subsequently, we classified gliomas using simple polymerase chain reaction (PCR)
based methods to improve the availability of genetic subgrouping. We selected
IDH1/2 and TP53 as markers and analyzed 237 adult supratentorial gliomas using
Sanger sequencing. Using these markers, we classified gliomas into three
subgroups that were strongly associated with patient prognoses. These included
IDH mutant gliomas without TP53 mutations, IDH mutant gliomas with TP53
mutations, and IDH wild-type gliomas. IDH mutant gliomas without TP53 mutations,
which mostly corresponded to gliomas carrying 1p19q co-deletions, showed lower
recurrence rates than the other 2 groups. In the other high-recurrence groups,
the median progression-free survival (PFS) and overall survival (OS) of patients
with IDH mutant gliomas with TP53 mutations were significantly longer than those
of patients with IDH wild-type gliomas. Notably, most IDH mutant gliomas with
TP53 mutations had at least one of the CNAs +7q, +8q, -9p, and -11p. Moreover,
IDH mutant gliomas with at least one of these CNAs had a significantly worse
prognosis than did other IDH mutant gliomas. PCR-based mutation analyses of IDH
and TP53 were sufficient for simple genetic diagnosis of glioma that were
strongly associated with prognosis of patients and enabled us to detect negative
CNAs in IDH mutant gliomas.
PMID- 26558389
TI - Customizable 3D Printed 'Plug and Play' Millifluidic Devices for Programmable
Fluidics.
AB - Three dimensional (3D) printing is actively sought after in recent years as a
promising novel technology to construct complex objects, which scope spans from
nano- to over millimeter scale. Previously we utilized Fused deposition modeling
(FDM)-based 3D printer to construct complex 3D chemical fluidic systems, and here
we demonstrate the construction of 3D milli-fluidic structures for programmable
liquid handling and control of biological samples. Basic fluidic operation
devices, such as water-in-oil (W/O) droplet generators for producing
compartmentalized mono-disperse droplets, sensor-integrated chamber for online
monitoring of cellular growth, are presented. In addition, chemical surface
treatment techniques are used to construct valve-based flow selector for liquid
flow control and inter-connectable modular devices for networking fluidic parts.
As such this work paves the way for complex operations, such as mixing, flow
control, and monitoring of reaction / cell culture progress can be carried out by
constructing both passive and active components in 3D printed structures, which
designs can be shared online so that anyone with 3D printers can reproduce them
by themselves.
PMID- 26558388
TI - Anoctamin Calcium-Activated Chloride Channels May Modulate Inhibitory
Transmission in the Cerebellar Cortex.
AB - Calcium-activated chloride channels of the anoctamin (alias TMEM16) protein
family fulfill critical functions in epithelial fluid transport, smooth muscle
contraction and sensory signal processing. Little is known, however, about their
contribution to information processing in the central nervous system. Here we
examined the recent finding that a calcium-dependent chloride conductance impacts
on GABAergic synaptic inhibition in Purkinje cells of the cerebellum. We asked
whether anoctamin channels may underlie this chloride conductance. We identified
two anoctamin channel proteins, ANO1 and ANO2, in the cerebellar cortex. ANO1 was
expressed in inhibitory interneurons of the molecular layer and the granule cell
layer. Both channels were expressed in Purkinje cells but, while ANO1 appeared to
be retained in the cell body, ANO2 was targeted to the dendritic tree. Functional
studies confirmed that ANO2 was involved in a calcium-dependent mode of ionic
plasticity that reduces the efficacy of GABAergic synapses. ANO2 channels
attenuated GABAergic transmission by increasing the postsynaptic chloride
concentration, hence reducing the driving force for chloride influx. Our data
suggest that ANO2 channels are involved in a Ca2+-dependent regulation of
synaptic weight in GABAergic inhibition. Thus, in balance with the chloride
extrusion mechanism via the co-transporter KCC2, ANO2 appears to regulate ionic
plasticity in the cerebellum.
PMID- 26558390
TI - Estimating Toronto's health services use for the 2015 Pan American and Parapan
American Games.
AB - AIMS: Ambient air temperature may exacerbate the burden of chronic diseases on
Ontario's healthcare system during mass gathering events. This study aimed to
estimate the impact of increasing temperature in July and August on health
services use for chronic conditions in Ontario's Golden Horseshoe region during
the 2015 Pan American and Parapan American Games, using environmental and health
administrative data from previous years. METHOD: Negative binomial regression was
used to calculate incidence risk ratios for same-day health services use
(hospitalisations, emergency department visits, physician office visits) for all
causes, asthma, asthma-related conditions, diabetes and hypertension associated
with unit increases in daily maximum temperature from 1 May to 31 August in 2008
2010. Sensitivity analysis was performed to estimate the added burden of an
increased population size, in order to model an influx of visitors during the
Games. RESULTS: In July and August, on days with daily maximum temperatures of 35
degrees C compared to 25 degrees C, we estimated seeing 7,827 more physician
office visits for all causes in Ontario's Golden Horseshoe region. The estimated
relative increase in physician office visits for diabetes due to temperature
alone was 8.4%. With an estimated 10% increase in population, the increase in
physician office visits for all causes tripled to an estimated 23,590.
CONCLUSION: Temperature was identified as a potential contributor to greater
health services use during the Games, particularly for those living with
diabetes. These results highlight the importance of strategic delivery of health
services during mass gathering events, and suggest a role for educating at-risk
individuals on prevention behaviours, particularly on very hot days.
PMID- 26558391
TI - 99mTc-HYNIC-TOC increased uptake can mimic malignancy in the pancreas uncinate
process at somatostatin receptor SPECT/CT.
AB - OBJECTIVE: The aim of this study was to assess the occurrence and frequency of
increased physiologic uptake of 99mTc-HYNIC-TOC by the uncinate process of the
pancreas in SPECT/CT images. METHODS: Forty-six scans of 41 patients were
evaluated retrospectively. The uptake of 99mTc-HYNIC-TOC was considered to be
physiologic in patients with normal findings at dedicated abdominal CT or MR and
lack of neoplastic lesions in clinical follow-ups. The intensity of uncinate
process uptake was compared to the uptake of the normal liver. RESULTS: Focal
uptake was attributed to the presence of pancreatic NET in 5 patients. Among the
36 patients without any evidence of malignancy in CT, MR and follow-up, 7 (19.4
%) showed increased uptake in the uncinate process. The intensity of uptake was
lesser in 3 (8.3 %), similar in 3 and greater than the normal liver in 1 (2.8 %)
case. CONCLUSION: Increased 99mTc-HYNIC-TOC uptake occurred in 19.4 % of those
subjects without any evidence of neuroendocrine tumor in the uncinate process.
PMID- 26558392
TI - Wales's proposed opt out organ donation system.
PMID- 26558393
TI - The Predictive Factors on Extended Hospital Length of Stay in Patients with AMI:
Laboratory and Administrative Data.
AB - The length of hospital stay (LOS) is an important measure of efficiency in the
use of hospital resources. Acute Myocardial Infarction (AMI), as one of the
diseases with higher mortality and LOS variability in the OECD countries, has
been studied with predominant use of administrative data, particularly on
mortality risk adjustment, failing investigation in the resource planning and
specifically in LOS. This paper presents results of a predictive model for
extended LOS (LOSE - above 75th percentile of LOS) using both administrative and
clinical data, namely laboratory data, in order to develop a decision support
system. Laboratory and administrative data of a Portuguese hospital were
included, using logistic regression to develop this predictive model. A model
with three laboratory data and seven administrative data variables (six
comorbidities and age >= 69 years), with excellent discriminative ability and a
good calibration, was obtained. The model validation shows also good results.
Comorbidities were relevant predictors, mainly diabetes with complications,
showing the highest odds of LOSE (OR = 37,83; p = 0,001). AMI patients with
comorbidities (diabetes with complications, cerebrovascular disease, shock,
respiratory infections, pulmonary oedema), with pO2 above level, aged 69 years or
older, with cardiac dysrhythmia, neutrophils above level, pO2 below level, and
prothrombin time above level, showed increased risk of extended LOS. Our findings
are consistent with studies that refer these variables as predictors of increased
risk.
PMID- 26558394
TI - Assessing the Queuing Process Using Data Envelopment Analysis: an Application in
Health Centres.
AB - Queuing is one of the very important criteria for assessing the performance and
efficiency of any service industry, including healthcare. Data Envelopment
Analysis (DEA) is one of the most widely-used techniques for performance
measurement in healthcare. However, no queue management application has been
reported in the health-related DEA literature. Most of the studies regarding
patient flow systems had the objective of improving an already existing
Appointment System. The current study presents a novel application of DEA for
assessing the queuing process at an Outpatients' department of a large public
hospital in a developing country where appointment systems do not exist. The main
aim of the current study is to demonstrate the usefulness of DEA modelling in the
evaluation of a queue system. The patient flow pathway considered for this study
consists of two stages; consultation with a doctor and pharmacy. The DEA results
indicated that waiting times and other related queuing variables included need
considerable minimisation at both stages.
PMID- 26558395
TI - Smart ECG Monitoring Patch with Built-in R-Peak Detection for Long-Term HRV
Analysis.
AB - Since heart rate variability (HRV) analysis is widely used to evaluate the
physiological status of the human body, devices specifically designed for such
applications are needed. To this end, we developed a smart electrocardiography
(ECG) patch. The smart patch measures ECG using three electrodes integrated into
the patch, filters the measured signals to minimize noise, performs analog-to
digital conversion, and detects R-peaks. The measured raw ECG data and the
interval between the detected R-peaks can be recorded to enable long-term HRV
analysis. Experiments were performed to evaluate the performance of the built-in
R-wave detection, robustness of the device under motion, and applicability to the
evaluation of mental stress. The R-peak detection results obtained with the
device exhibited a sensitivity of 99.29%, a positive predictive value of 100.00%,
and an error of 0.71%. The device also exhibited less motional noise than
conventional ECG recording, being stable up to a walking speed of 5 km/h. When
applied to mental stress analysis, the device evaluated the variation in HRV
parameters in the same way as a normal ECG, with very little difference. This
device can help users better understand their state of health and provide
physicians with more reliable data for objective diagnosis.
PMID- 26558396
TI - HIV Drug Resistance Surveillance in Honduras after a Decade of Widespread
Antiretroviral Therapy.
AB - INTRODUCTION: We assessed HIV drug resistance (DR) in individuals failing ART
(acquired DR, ADR) and in ART-naive individuals (pre-ART DR, PDR) in Honduras,
after 10 years of widespread availability of ART. METHODS: 365 HIV-infected, ART
naive, and 381 ART-experienced Honduran individuals were enrolled in 5 reference
centres in Tegucigalpa, San Pedro Sula, La Ceiba, and Choluteca between April
2013 and April 2015. Plasma HIV protease-RT sequences were obtained. HIVDR was
assessed using the WHO HIVDR mutation list and the Stanford algorithm. Recently
infected (RI) individuals were identified using a multi-assay algorithm. RESULTS:
PDR to any ARV drug was 11.5% (95% CI 8.4-15.2%). NNRTI PDR prevalence (8.2%) was
higher than NRTI (2.2%) and PI (1.9%, p<0.0001). No significant trends in time
were observed when comparing 2013 and 2014, when using a moving average approach
along the study period or when comparing individuals with >500 vs. <350 CD4+ T
cells/MUL. PDR in recently infected individuals was 13.6%, showing no significant
difference with PDR in individuals with longstanding infection (10.7%). The most
prevalent PDR mutations were M46IL (1.4%), T215 revertants (0.5%), and K103NS
(5.5%). The overall ADR prevalence in individuals with <48 months on ART was
87.8% and for the >=48 months on ART group 81.3%. ADR to three drug families
increased in individuals with longer time on ART (p = 0.0343). M184V and K103N
were the most frequent ADR mutations. PDR mutation frequency correlated with ADR
mutation frequency for PI and NNRTI (p<0.01), but not for NRTI. Clusters of
viruses were observed suggesting transmission of HIVDR both from ART-experienced
to ART-naive individuals and between ART-naive individuals. CONCLUSIONS: The
global PDR prevalence in Honduras remains at the intermediate level, after 10
years of widespread availability of ART. Evidence of ADR influencing the presence
of PDR was observed by phylogenetic analyses and ADR/PDR mutation frequency
correlations.
PMID- 26558397
TI - Deaf Students' Reading and Writing in College: Fluency, Coherence, and
Comprehension.
AB - Research in discourse reveals numerous cognitive connections between reading and
writing. Rather than one being the inverse of the other, there are parallels and
interactions between them. To understand the variables and possible connections
in the reading and writing of adult deaf students, we manipulated writing
conditions and reading texts. First, to test the hypothesis that a fluent writing
process leads to richer content and a higher degree of coherence in a written
summary, we interrupted the writing process with verbal and nonverbal intervening
tasks. The negligible effect of the interference indicated that the stimuli texts
were not equivalent in terms of coherence and revealed a relationship between
coherence of the stimuli texts, amount of content recalled, and coherence of the
written summaries. To test for a possible effect of coherence on reading
comprehension, we manipulated the coherence of the texts. We found that students
understood the more coherent versions of the passages better than the less
coherent versions and were able to accurately distinguish between them. However,
they were not able to judge comprehensibility. Implications for further research
and classroom application are discussed.
PMID- 26558399
TI - Appropriate Level of Low-Density Lipoprotein Cholesterol for Secondary Prevention
of Coronary Artery Disease.
AB - AIM: Current Japanese guidelines state the target level of low-density
lipoprotein cholesterol (LDL-C) of <100mg/dL for secondary prevention of coronary
artery disease (CAD). However, this level was set considering the results of
trials mainly conducted in Western countries. In addition, the effect of
achieving target LDL-C on secondary prevention is unknown. METHODS: We examined
the effects of achieving target LDL-C on clinical outcomes. Patients who
underwent percutaneous coronary intervention at Juntendo University Hospital
(Tokyo, Japan) from 2004 to 2010 and received follow-up coronary angiography
(CAG) were analyzed. The study population was divided into two groups based on
the follow-up LDL-C. The incidence of major adverse cardiovascular events within
3 years after the follow-up CAG was examined. RESULTS: A total of 1321
consecutive patients were enrolled. Sixty-three percent of the patients achieved
the target LDL-C. The rate of 3-year events was lower in the group that achieved
the target LDL-C (achieved group). The adjusted relative risk reduction in the
achieved group was 26% (p=0.02). In the sub-analysis among the four groups
stratified by baseline LDL-C of 140 and follow-up LDL-C of 100, the adjusted
hazard ratio for 3-year events was 1.84 (95% confidence interval; 1.10-3.24)in
Group 3 (baseline <140, follow-up >=100) and 2.05 (1.18-3.74) Group 4 (baseline
>=140, follow-up >=100) [Group 2 (baseline >=140, follow-up <100) as reference].
CONCLUSIONS: Our data suggested that follow-up LDL-C <100mg/dL was appropriate
for secondary prevention of CAD in Japanese population.
PMID- 26558400
TI - Thyroid Hormone and Vascular Remodeling.
AB - Both hyperthyroidism and hypothyroidism affect the cardiovascular system.
Hypothyroidism is known to be associated with enhanced atherosclerosis and
ischemic heart diseases. The accelerated atherosclerosis in the hypothyroid state
has been traditionally ascribed to atherogenic lipid profile, diastolic
hypertension, and impaired endothelial function. However, recent studies indicate
that thyroid hormone has direct anti-atherosclerotic effects, such as production
of nitric oxide and suppression of smooth muscle cell proliferation. These data
suggest that thyroid hormone inhibits atherogenesis through direct effects on the
vasculature as well as modification of risk factors for atherosclerosis. This
review summarizes the basic and clinical studies on the role of thyroid hormone
in vascular remodeling. The possible application of thyroid hormone mimetics to
the therapy of hypercholesterolemia and atherosclerosis is also discussed.
PMID- 26558401
TI - Brachial-Ankle PWV: Current Status and Future Directions as a Useful Marker in
the Management of Cardiovascular Disease and/or Cardiovascular Risk Factors.
AB - Since 2001, brachial-ankle pulse wave velocity (brachial-ankle PWV) measurement
has been applied for risk stratification of patients with atherosclerotic
cardiovascular disease and/or its risk factors in Japan. Measurement of the
brachial-ankle PWV is simple and well standardized, and its reproducibility and
accuracy are acceptable. Several cross-sectional studies have demonstrated a
significant correlation between the brachial-ankle PWV and known risk factors for
cardiovascular disease; the correlation is stronger in subjects with
cardiovascular disease than in those without cardiovascular disease. We conducted
a meta-analysis, which demonstrated that the brachial-ankle PWV is an independent
predictor of future cardiovascular events. Furthermore, the treatment of
cardiovascular risk factors and lifestyle modifications have been shown to
improve the brachial-ankle PWV. Thus, at present, brachial-ankle PWV is close to
being considered as a useful marker in the management of atherosclerotic
cardiovascular disease and/or its risk factors.
PMID- 26558402
TI - Physiological Assessment in Peripheral Artery Disease: Going Beyond Angiography.
PMID- 26558398
TI - Genetic control of root growth: from genes to networks.
AB - BACKGROUND: Roots are essential organs for higher plants. They provide the plant
with nutrients and water, anchor the plant in the soil, and can serve as energy
storage organs. One remarkable feature of roots is that they are able to adjust
their growth to changing environments. This adjustment is possible through
mechanisms that modulate a diverse set of root traits such as growth rate,
diameter, growth direction and lateral root formation. The basis of these traits
and their modulation are at the cellular level, where a multitude of genes and
gene networks precisely regulate development in time and space and tune it to
environmental conditions. SCOPE: This review first describes the root system and
then presents fundamental work that has shed light on the basic regulatory
principles of root growth and development. It then considers emerging
complexities and how they have been addressed using systems-biology approaches,
and then describes and argues for a systems-genetics approach. For reasons of
simplicity and conciseness, this review is mostly limited to work from the model
plant Arabidopsis thaliana, in which much of the research in root growth
regulation at the molecular level has been conducted. CONCLUSIONS: While forward
genetic approaches have identified key regulators and genetic pathways, systems
biology approaches have been successful in shedding light on complex biological
processes, for instance molecular mechanisms involving the quantitative
interaction of several molecular components, or the interaction of large numbers
of genes. However, there are significant limitations in many of these methods for
capturing dynamic processes, as well as relating these processes to genotypic and
phenotypic variation. The emerging field of systems genetics promises to overcome
some of these limitations by linking genotypes to complex phenotypic and
molecular data using approaches from different fields, such as genetics,
genomics, systems biology and phenomics.
PMID- 26558403
TI - The balance between IL-17 and IL-22 produced by liver-infiltrating T-helper cells
critically controls NASH development in mice.
AB - The mechanisms responsible for the evolution of steatosis towards NASH (non
alcoholic steatohepatitis) and fibrosis are not completely defined. In the
present study we evaluated the role of CD4(+) T-helper (Th) cells in this
process. We analysed the infiltration of different subsets of CD4(+) Th cells in
C57BL/6 mice fed on a MCD (methionine choline-deficient) diet, which is a model
reproducing all phases of human NASH progression. There was an increase in Th17
cells at the beginning of NASH development and at the NASH-fibrosis transition,
whereas levels of Th22 cells peaked between the first and the second expansion of
Th17 cells. An increase in the production of IL (interleukin)-6, TNFalpha (tumour
necrosis factor alpha), TGFbeta (transforming growth factor beta) and CCL20 (CC
chemokine ligand 20) accompanied the changes in Th17/Th22 cells. Livers of IL-17(
/-) mice were protected from NASH development and characterized by an extensive
infiltration of Th22 cells. In vitro, IL-17 exacerbated the JNK (c-Jun N-terminal
kinase)-dependent mouse hepatocyte lipotoxicity induced by palmitate. IL-22
prevented lipotoxicity through PI3K (phosphoinositide 3-kinase)-mediated
inhibition of JNK, but did not play a protective role in the presence of IL-17,
which up-regulated the PI3K/Akt inhibitor PTEN (phosphatase and tensin homologue
deleted on chromosome 10). Consistently, livers of IL-17(-/-) mice fed on the MCD
diet displayed decreased activation of JNK, reduced expression of PTEN and
increased phosphorylation of Akt compared with livers of wild-type mice. Hepatic
infiltration of Th17 cells is critical for NASH initiation and development of
fibrosis in mice, and reflects an infiltration of Th22 cells. Th22 cells are
protective in NASH, but only in the absence of IL-17. These data strongly support
the potentiality of clinical applications of IL-17 inhibitors that can prevent
NASH by both abolishing the lipotoxic action of IL-17 and allowing IL-22-mediated
protection.
PMID- 26558404
TI - Perspectives on the viscoelasticity and flow behavior of entangled linear and
branched polymers.
AB - We briefly review the recent advances in the rheology of entangled polymers and
identify emerging research trends and outstanding challenges, especially with
respect to branched polymers. Emphasis is placed on the role of well
characterized model systems, as well as the synergy of synthesis
characterization, rheometry and modeling/simulations. The theoretical framework
for understanding the observed linear and nonlinear rheological phenomena is the
tube model, which is critically assessed in view of its successes and
shortcomings, and alternative approaches are briefly discussed. Finally,
intriguing experimental findings and controversial issues that merit consistent
explanation, such as shear banding instabilities, multiple stress overshoots in
transient simple shear and enhanced steady-state elongational viscosity in
polymer solutions, are discussed, and future directions such as branch point
dynamics and anisotropic monomeric friction are outlined.
PMID- 26558405
TI - Flavoalkaloids and Flavonoids from Astragalus monspessulanus.
AB - A new flavonol tetraglycoside, quercetin-3-O-[alpha-L-rhamnopyranosyl-(1->2)
[alpha-L-rhamnopyranosyl-(1->6)]-beta-D-galactopyranosyl]-7-O-beta-D
glucopyranoside (1), and two new flavonol alkaloids, N-(8-methylquercetin-3-O
[alpha-L-rhamnopyranosyl-(1->2)-[alpha-L-rhamnopyranosyl-(1->6)]-beta-D
galactopyranosyl])-3-hydroxypiperidin-2-one (2) and N-(8-methylkaempferol-3-O
[alpha-L-rhamnopyranosyl-(1->2)-[alpha-L-rhamnopyranosyl-(1->6)]-beta-D
galactopyranosyl])-3-hydroxypiperidin-2-one (3), were isolated from the aerial
parts of Astragalus monspessulanus ssp. monspessulanus. Two rare flavonoids with
an unusual 3-hydroxy-3-methylglutaric acid moiety, quercetin-3-O-alpha-L
rhamnopyranosyl-(1->2)-[6-O-(3-hydroxy-3-methylglutaryl)-beta-D-galactopyranoside
(4) and kaempferol-3-O-alpha-L-rhamnopyranosyl-(1->2)-[6-O-(3-hydroxy-3
methylglutaryl)-beta-D-galactopyranoside (5), were isolated from the aerial parts
of A. monspessulanus ssp. illyricus. In addition, the eight known flavonoids
alangiflavoside (6), alcesefoliside (7), mauritianin (8), quercetin-3-beta
robinobioside (9), cosmosine (10), apigenin-4'-O-glucoside (11), trifolin (12),
and rutin (13) were isolated from aerial parts of A. monspessulanus ssp.
monspessulanus. Their structures were elucidated via NMR and HRESIMS data. In a
model that tested t-BuOOH-induced oxidative stress on isolated rat hepatocytes,
flavonoids 1-13 had statistically significant cytoprotective activity similar to
that of silymarin, tested at 60 MUg/mL. The most prominent effects were observed
for flavonoids 1, 4, 7, and 12.
PMID- 26558406
TI - Digermylene Oxide Stabilized Group 11 Metal Iodide Complexes.
AB - Use of a substituted digermylene oxide as a ligand has been demonstrated through
the isolation of a series of group 11 metal(I) iodide complexes. Accordingly, the
reactions of digermylene oxide [{(i-Bu)2ATIGe}2O] (ATI = aminotroponiminate) (1)
with CuI under different conditions afforded [({(i-Bu)2ATIGe}2O)2(Cu4I4)] (2)
with a Cu4I4 octahedral core, [({(i-Bu)2ATIGe}2O)2(Cu3I3)] (3) with a Cu3I3 core,
and [{(i-Bu)2ATIGe}2O(Cu2I2)(C5H5N)2] (4) with a butterfly-type Cu2I2 core. The
reactions of compound 1 with AgI and AuI produced [({(i-Bu)2ATIGe}2O)2(Ag4I4)]
(5) with a Ag4I4 octahedral core and [{(i-Bu)2ATIGe}2O(Au2I2)] (6) with a Au2I2
core, respectively. The presence of metallophilic interactions in these compounds
is shown through the single-crystal X-ray diffraction and atom-in-molecule (AIM)
studies. Preliminary photophysical studies on compound 6 are also carried out.
PMID- 26558407
TI - How will new and future therapies change our treatment of IBD?
AB - Fundamental insights into the pathogenesis of inflammatory bowel diseases (IBD)
have led to the development of new therapies and lots of experimental compounds
in the pipeline. Our treatment of IBD is therefore constantly evolving. In this
editorial, we postulate that bi- or even polyspecific therapy will be an
important mainstay of future IBD treatment. Moreover, we highlight some promising
new therapeutic concepts currently under investigation and point at the
outstanding and growing importance of personalized medicine to assign drugs from
the increasing pool of options to the individual patient.
PMID- 26558408
TI - General Homologation Strategy for Synthesis of L-glycero- and D-glycero
Heptopyranoses.
AB - A general and stereospecific homologation strategy for the synthesis of
heptopyranosides is reported. The strategy employs the Wittig olefination and
proline-catalyzed alpha-aminoxylation to achieve one carbon elongation and
stereoselective hydroxylation at the C6 position, respectively. The L-glycero-
and D-glycero-heptopyranosides can be obtained with nearly perfect
stereoselectivity. Further study reveals the difference in the chemical shift of
the C6 proton of L/D-glycero-heptopyranosyl diastereomers, which is found to be
useful for assignment of the configuration of heptopyranosides.
PMID- 26558409
TI - Magnetic Separation-Assistant Fluorescence Resonance Energy Transfer Inhibition
for Highly Sensitive Probing of Nucleolin.
AB - For the widely used "off-on" fluorescence (or phosphorescence) resonance energy
transfer (FRET or PRET) system, the separation of donors and acceptors species
was vital for enhancing the sensitivity. To date, separation of free donors from
FRET/PRET inhibition systems was somewhat not convenient, whereas separation of
the target-induced far-between acceptors has hardly been reported yet. We
presented here a novel magnetic separation-assistant fluorescence resonance
energy transfer (MS-FRET) inhibition strategy for highly sensitive detection of
nucleolin using Cy5.5-AS1411 as the donor and Fe3O4-polypyrrole core-shell
(Fe3O4@PPY) nanoparticles as the NIR quenching acceptor. Due to hydrophobic
interaction and pi-pi stacking of AS1411 and PPY, Cy5.5-AS1411 was bound onto the
surface of Fe3O4@PPY, resulting in 90% of fluorescence quenching of Cy5.5-AS1411.
Owing to the much stronger specific interaction of AS1411 and nucleolin, the
presence of nucleolin could take Cy5.5-AS1411 apart from Fe3O4@PPY and restore
the fluorescence of Cy5.5-AS1411. The superparamagnetism of Fe3O4@PPY enabled all
separations and fluorescence measurements complete in the same quartz cell, and
thus allowed the convenient but accurate comparison of the sensitivity and
fluorescence recovery in the cases of separation or nonseparation. Compared to
nonseparation FRET inhibition, the separation of free Cy5.5-AS1411 from Cy5.5
AS1411-Fe3O4@PPY solution (the first magnetic separation, MS-1) had as high as 25
fold enhancement of the sensitivity, whereas further separation of the nucleolin
inducing far-between Fe3O4@PPY from the FRET inhibition solution (the second
magnetic separation, MS-2) could further enhance the sensitivity to 35-fold.
Finally, the MS-FRET inhibition assay displayed the linear range of 0.625-27.5
MUg L(-1) (8.1-359 pM) and detection limit of 0.04 MUg L(-1) (0.05 pM) of
nucleolin. The fluorescence intensity recovery (the percentage ratio of the final
restoring fluorescence intensity to the quenched fluorescence intensity of Cy5.5
AS1411 solution by 0.09 g L(-1) Fe3O4@PPY) was enhanced from 36% (for
nonseparation) to 56% (for two magnetic separations). This is the first accurate
evaluation for the effect of separating donor/acceptor species on the FRET
inhibition assay.
PMID- 26558410
TI - 3D Micropatterned Surface Inspired by Salvinia molesta via Direct Laser
Lithography.
AB - Biomimetic functional surfaces are attracting increasing attention for their
relevant technological applications. Despite these efforts, inherent limitations
of microfabrication techniques prevent the replication of complex hierarchical
microstructures. Using a 3D laser lithography technique, we fabricated a 3D
patterned surface bioinspired to Salvinia molesta leaves. The artificial hairs,
with crownlike heads, were reproduced by scaling down (ca. 100 times smaller) the
dimensions of natural features, so that microscale hairs with submicrometric
resolution were attained. The micropatterned surface, in analogy with the natural
model, shows interesting properties in terms of hydrophobicity and air retention
when submerged by water, even if realized with a hydrophilic material.
Furthermore, we successfully demonstrated the capability to promote localized
condensation of water droplets from moisture in the atmosphere.
PMID- 26558411
TI - A review of animal models of obsessive-compulsive disorder: a focus on
developmental, immune, endocrine and behavioral models.
AB - INTRODUCTION: Obsessive-compulsive disorder (OCD) is a neuropsychiatric condition
characterized by intrusive thoughts (obsessions) and/or repetitive behaviors
(compulsions). Several models of OCD exist, many which employ behaviors such as
over-grooming or hoarding as correlates for compulsive behaviors - often using a
response to serotonergic agents as evidence for their validity. Recent
discoveries in the genetics of OCD and the identification of aberrancies of
glutamatergic, hormonal, and immune pathways in the OCD phenotype highlight a
need to review existing of animal models of OCD. The focus of attention to these
pathways may lead to possible new targets for drug discovery. AREAS COVERED: In
this review, the authors describe frameworks for animal models in OCD
conceptualized as either biological (e.g., developmental, genetic, and endocrine
pathways), or behavioral (e.g., repetitive grooming, and stereotypies). In
addition, the authors give special attention to the emerging role of glutamate in
OCD. EXPERT OPINION: While many animal models for OCD demonstrate pathologic
repetitive behavior phenotypes, which are relieved by serotoninergic agents,
animal models based on reversal learning, perseverative responding, and
neurodevelopmental mechanisms represent robust new paradigms. Glutamatergic
influences in these new animal models suggest that drug discovery using
neuroprotective approaches may represent a new stage for pharmacologic
developments in OCD.
PMID- 26558412
TI - Clinical features and Staphylococcus aureus colonization/infection in childhood
atopic dermatitis.
AB - INTRODUCTION: Staphylococcus aureus (SA) colonization/infection is important in
the pathophysiology of childhood atopic dermatitis (AD). This study evaluated
which clinical features may predict presence of SA colonization/infection and
reviewed antimicrobial sensitivity of SA in patients with AD. METHODS: The
associations between bacteriologic culture results of skin swabs (taken at the
most severely affected area and at the antecubital fossa) and SCORing-Atopic
Dermatitis (SCORAD), skin hydration, transepidermal water loss (TEWL), and
quality of life were evaluated. RESULTS: Moderate-to-heavy growth of SA was
present in 31% of the swabs of the most severe area and in 16% of the flexural
(antecubital fossae) areas of 95 AD patients (12.5 +/- 4.8 years). Binomial
logistic regression showed moderate-to-heavy growth of SA in the severe area were
associated with objective SCORAD (p = 0.004) and lesion intensity [erythema (p =
0.022) and lichenification (p = 0.035)]; and excoriation (p = 0.024) and TEWL (p
= 0.009) in the antecubital fossa. The relative risk of isolating moderate-to
heavy growth of SA in the most affected area in patients with severe disease
(objective SCORAD >40) is 2.73 (1.43-5.21, p = 0.001). Any growth of SA in either
swab sites was associated with objective SCORAD and lesion intensity (p = 0.001
0.019). SA had no association with quality of life and other clinical parameters.
All specimens of methicillin-sensitive SA were sensitive to cloxacillin. All
methicillin-resistant SA (MRSA) (5.7%) was sensitive to co-trimoxazole and
fusidic acid. CONCLUSIONS: Clinical features, especially severity and lesion
intensity, are useful in "predicting" moderate-to-heavy SA colonization/infection
in AD patients. Cloxacillin has a favorable sensitivity profile for MSSA, and co
trimoxazole and fusidic acid for MRSA. As colonization and infection are
ambiguous and potentially overlapping clinical states, we recommend to abandon
these terms and propose to describe quantitatively/semi-quantitatively SA
isolation as none, mild, scanty, moderate or heavy growth instead in clinical
trials.
PMID- 26558413
TI - Face shields for infection control: A review.
AB - Face shields are personal protective equipment devices that are used by many
workers (e.g., medical, dental, veterinary) for protection of the facial area and
associated mucous membranes (eyes, nose, mouth) from splashes, sprays, and
spatter of body fluids. Face shields are generally not used alone, but in
conjunction with other protective equipment and are therefore classified as
adjunctive personal protective equipment. Although there are millions of
potential users of face shields, guidelines for their use vary between
governmental agencies and professional societies and little research is available
regarding their efficacy.
PMID- 26558414
TI - A virtual shopping task for the assessment of executive functions: Validity for
people with stroke.
AB - The importance of assessing executive functions (EF) using ecologically valid
assessments has been discussed extensively. Due to the difficulty of carrying out
such assessments in real-world settings on a regular basis, virtual reality has
been proposed as a technique to provide complex functional tasks under a variety
of differing conditions while measuring various aspects of performance and
controlling for stimuli. The main goal of this study was to examine the
discriminant, construct-convergent and ecological validity of the Adapted Four
Item Shopping Task, an assessment of the Instrumental Activity of Daily Living
(IADL) of shopping. Nineteen people with stroke, aged 50-85 years, and 20 age-
and gender-matched healthy participants performed the shopping task in both the
SeeMe Virtual Interactive Shopping environment and a real shopping environment
(the hospital cafeteria) in a counterbalanced order. The shopping task outcomes
were compared to clinical measures of EF. The findings provided good initial
support for the validity of the Adapted Four-Item Shopping Task as an IADL
assessment that requires the use of EF for people with stroke. Further studies
should examine this task with a larger sample of people with stroke as well as
with other populations who have deficits in EF.
PMID- 26558417
TI - Risk of elevated transaminases in non-small cell lung cancer (NSCLC) patients
treated with erlotinib, gefitinib and afatinib: a meta-analysis.
AB - This meta-analysis has been conducted to determine the risk of elevated
transaminases associated with the use of erlotinib, gefitinib and afatinib in
patients with non-small cell lung cancer (NSCLC). Studies eligible for our
analysis included randomized phase II and III trials of patients with NSCLC on
the three agents which describe events of elevated alanine aminotransferase (ALT)
and aspartate aminotransferase (AST). Initial database search revealed 300
relevant citations. After excluding non-eligible studies, 24 trials were
considered eligible for the analysis. The relative risk (RR) of all-grade
elevated ALT and AST was 1.82 (95% CI: 1.42-2.34; p < 0.00001) and 2.09 (95% CI:
1.54-2.83; p < 0.00001) respectively; while for high-grade elevated ALT and AST,
it was 9.23 (95% CI: 5.06-16.85; p < 0.00001) and 1.78 (95% CI: 0.5-6.26; p =
0.37), respectively. Our meta-analysis has shown that there is an overall
elevated risk of elevated transaminases with the use of these agents.
PMID- 26558416
TI - Cerebrovascular Disease and Chronic Obstructive Pulmonary Disease Increase Risk
of Complications with Robotic Partial Nephrectomy.
AB - OBJECTIVE: To identify specific comorbidities within the Charlson Comorbidity
Index (CCI) that are associated with increased complication rates after robot
assisted partial nephrectomy (RAPN). PATIENTS AND METHODS: After institutional
review board approval, a consecutive series of 641 patients undergoing RAPN were
retrospectively identified. Perioperative complications were defined and
classified using the Clavien grading system. Fisher's exact test or chi-square
test was performed to evaluate the association of individual comorbidities with
perioperative complications. Logistic regression was used for multivariable
analysis to adjust for other non-CCI comorbidities and tumor-specific and patient
specific characteristics. RESULTS: Of the 641 patients undergoing RAPN,
complications occurred in 67 patients (10.5%), including 10 (14.9%), 28 (41.8%),
20 (29.9%), 5 (7.5%), and 4 (6.0%) patients with Clavien grade 1, 2, 3a, 3b, and
4 complications, respectively. Cerebrovascular disease [odds ratio 3.01 (95%
confidence interval [CI] 1.10, 8.26) p = 0.03] and chronic obstructive pulmonary
disease [COPD; 3.12 (1.24, 7.89) p = 0.02] predicted complications in
multivariable analysis of clinicopathologic characteristics, including all CCI
and non-CCI comorbidities. In additional modeling with only CCI comorbidities,
similar results were observed, with cerebrovascular disease [2.93 (1.04, 7.56) p
= 0.04] and COPD [2.69 (1.04, 6.28) p = 0.04] as the only two significant
variables. No other variables reached statistical significance in either model,
including nephrometry score or estimated blood loss (p > .50 for both). COPD
predicted major complications (Clavien grade 3 or 4) in multivariable analysis
[3.19 (1.07, 9.48) p = 0.04]. CONCLUSIONS: Cerebrovascular disease and COPD
predict perioperative RAPN complications after RAPN. Identification of patients
with these comorbidities preoperatively may afford improved counseling and risk
stratification.
PMID- 26558415
TI - Dead enzymes in the aldehyde dehydrogenase gene family: role in drug metabolism
and toxicology.
AB - INTRODUCTION: Dead enzymes are gene products (proteins) that lack key residues
required for catalytic activity. In the pre-genome era, dead enzymes were thought
to occur only rarely. However, they now have been shown to represent upwards of
10% of the total enzyme population in many families. The aldehyde dehydrogenase
(ALDH) gene family encodes proteins that, depending on the isozyme, may be either
catalytically-active or -inactive. Importantly, several ALDHs exhibit biological
activities independent of their catalytic activity. For many of these, the
physiological and pathophysiological functions remain to be established. AREAS
COVERED: This article reviews the non-enzymatic functions of the ALDH
superfamily. In addition, a search for additional non-catalytic ALDH records is
undertaken. Our computational analyses reveal that there are currently 182
protein records (divided into 19 groups) that meet the criteria for dead enzymes.
EXPERT OPINION: Dead enzymes have the potential to exert biological actions
through protein-protein interaction and allosteric modulation of the activity of
an active enzyme. In addition, a dead enzyme may also influence availability of
substrate for other active enzymes by sequestering substrate, and/or anchoring
the substrate to a particular subcellular space. A large number of putatively non
catalytic ALDH proteins exist that warrant further study.
PMID- 26558418
TI - Second-generation antidepressants for preventing seasonal affective disorder in
adults.
AB - BACKGROUND: Seasonal affective disorder (SAD) is a seasonal pattern of recurrent
major depressive episodes that most commonly occurs during autumn or winter and
remits in spring. The prevalence of SAD ranges from 1.5% to 9%, depending on
latitude. The predictable seasonal aspect of SAD provides a promising opportunity
for prevention. This review - one of four reviews on efficacy and safety of
interventions to prevent SAD - focuses on second-generation antidepressants
(SGAs). OBJECTIVES: To assess the efficacy and safety of second-generation
antidepressants (in comparison with other SGAs, placebo, light therapy, melatonin
or agomelatine, psychological therapies or lifestyle interventions) in preventing
SAD and improving patient-centred outcomes among adults with a history of SAD.
SEARCH METHODS: A search of the Specialised Register of the Cochrane Depression,
Anxiety and Neuorosis Review Group (CCDANCTR) included all years to 11 August
2015. The CCDANCTR contains reports of randomised controlled trials derived from
EMBASE (1974 to date), MEDLINE (1950 to date), PsycINFO (1967 to date) and the
Cochrane Central Register of Controlled Trials (CENTRAL). Furthermore, we
searched the Cumulative Index to Nursing and Allied Health Literature, Web of
Knowledge, The Cochrane Library and the Allied and Complementary Medicine
Database (to 26 May 2014). We also conducted a grey literature search and
handsearched the reference lists of included studies and pertinent review
articles. SELECTION CRITERIA: For efficacy, we included randomised controlled
trials on adults with a history of winter-type SAD who were free of symptoms at
the beginning of the study. For adverse events, we planned to include non
randomised studies. Eligible studies compared an SGA versus another SGA, placebo,
light therapy, psychological therapy, melatonin, agomelatine or lifestyle
changes. We also intended to compare SGAs in combination with any of the
comparator interventions versus the same comparator intervention as monotherapy.
DATA COLLECTION AND ANALYSIS: Two review authors screened abstracts and full-text
publications and assigned risk of bias ratings based on the Cochrane 'Risk of
bias' tool. We resolved disagreements by consensus or by consultation with a
third party. Two review authors independently extracted data and assessed risk of
bias of included studies. When data were sufficient, we conducted random-effects
(Mantel-Haenszel) meta-analyses. We assessed statistical heterogeneity by
calculating the Chi(2) statistic and the Cochran Q. We used the I(2) statistic to
estimate the magnitude of heterogeneity and examined potential sources of
heterogeneity using sensitivity analysis or analysis of subgroups. We assessed
publication bias by using funnel plots. However, given the small number of
component studies in our meta-analyses, these tests have low sensitivity to
detect publication bias. We rated the strength of the evidence using the system
developed by the GRADE (Grading of Recommendations Assessment, Development and
Evaluation) Working Group. MAIN RESULTS: We identified 2986 citations after de
duplication of search results and excluded 2895 records during title and abstract
reviews. We assessed 91 full-text papers for inclusion in the review, of which
four publications (on three RCTs) providing data from 1100 people met eligibility
criteria for this review. All three RCTs had methodological limitations due to
high attrition rates.Overall moderate-quality evidence indicates that bupropion
XL is an efficacious intervention for prevention of recurrence of depressive
episodes in patients with a history of SAD (risk ratio (RR) 0.56, 95% confidence
interval (CI) 0.44 to 0.72; three RCTs, 1100 participants). However, bupropion XL
leads to greater risk of headaches (moderate-quality evidence), insomnia and
nausea (both low-quality evidence) when compared with placebo. Numbers needed to
treat for additional beneficial outcomes (NNTBs) vary by baseline risks. For a
population with a yearly recurrence rate of 30%, the NNTB is 8 (95% CI 6 to 12).
For populations with yearly recurrence rates of 40% and 50%, NNTBs are 6 (95% CI
5 to 9) and 5 (95% CI 4 to 7), respectively.We could find no studies on other
SGAs and no studies comparing SGAs with other interventions of interest such as
light therapy, psychological therapies, melatonin or agomelatine. AUTHORS'
CONCLUSIONS: Available evidence indicates that bupropion XL is an effective
intervention for prevention of recurrence of SAD. Nevertheless, even in a high
risk population, four of five patients will not benefit from preventive treatment
with bupropion XL and will be at risk for harm. Clinicians need to discuss with
patients advantages and disadvantages of preventive SGA treatment and might want
to consider offering other potentially efficacious interventions, which might
confer lower risk of adverse events. Given the lack of comparative evidence, the
decision for or against initiating preventive treatment of SAD and the treatment
selected should be strongly based on patient preferences.Future researchers need
to assess the effectiveness and risk of harms of SGAs other than bupropion for
prevention of SAD. Investigators also need to compare benefits and harms of
pharmacological and non-pharmacological interventions.
PMID- 26558419
TI - Thrombomodulin as an intravascular safeguard against inflammatory and thrombotic
diseases.
AB - INTRODUCTION: Thrombomodulin is a transmembrane protein expressed on the surface
of endothelial cells. It plays an important role in regulating inflammation as
well as coagulation within blood vessels. Recently, a recombinant form of an
extracellular fragment of thrombomodulin (rTM) has been developed and is expected
to be useful for patients suffering from inflammatory and thrombotic diseases.
AREAS COVERED: We initially focus on the physiological and biochemical features
of thrombomodulin, including its distribution, structure and function. We then
discuss potential therapeutic applications of rTM. EXPERT OPINION: Thrombomodulin
exerts anticoagulant and anti-inflammatory effects, in part through activated
protein C (APC)-dependent mechanisms. Although recombinant human APC (rhAPC)
failed to improve the survival of patients with septic shock and has now been
withdrawn from the market, rTM may have some advantages over rhAPC. First, rTM
may have less risk of bleeding complications than rhAPC, because rTM needs
thrombin to act as an anticoagulant and thus its anticoagulant power can be
automatically adjusted by the amount of existing thrombin. Second, the APC
independent actions of rTM might confer benefits, including the suppression of
complements, endotoxin (representative pathogen-associated molecular pattern) and
high-mobility group box 1 protein (prototypical damage-associated molecular
pattern) through the lectin-like domain of rTM.
PMID- 26558420
TI - Using a blog as an integrated eLearning tool and platform.
AB - Technology enhanced learning or eLearning allows educators to expand access to
educational content, promotes engagement with students and makes it easier for
students to access educational material at a time, place and pace which suits
them. The challenge for educators beginning their eLearning journey is to decide
where to start, which includes the choice of an eLearning tool and platform. This
article will share one educator's decision making process, and experience using
blogs as a flexible and versatile integrated eLearning tool and platform. Apart
from being a cost effective/free tool and platform, blogs offer the possibility
of creating a hyperlinked indexed content repository, for both created and
curated educational material; as well as a distribution and engagement tool and
platform. Incorporating pedagogically sound activities and educational practices
into a blog promote a structured templated teaching process, which can be
reproduced. Moving from undergraduate to postgraduate training, educational blogs
supported by a comprehensive online case-based repository offer the possibility
of training beyond competency towards proficiency and expert level performance
through a process of deliberate practice. By documenting educational content and
the student engagement and learning process, as well as feedback and personal
reflection of educational sessions, blogs can also form the basis for a teaching
portfolio, and provide evidence and data of scholarly teaching and educational
scholarship. Looking into the future, having a collection of readily accessible
indexed hyperlinked teaching material offers the potential to do on the spot
teaching with illustrative material called up onto smart surfaces, and displayed
on holographic interfaces.
PMID- 26558421
TI - Communicating scientific evidence in qualified health claims.
AB - Qualified health claims (QHCs) are found on food and dietary supplement labels
and aim to communicate the quality and strength of scientific evidence for a diet
disease relationship. Since the evidence varies for diet-disease relationships,
the language to describe the evidence also varies. However, research indicates
that consumers misinterpret QHCs as a whole product evaluation. The FDA is
reviewing the evidence ranking system for QHCs and the current study aims to
inform future consumer research. A content analysis examined the language used to
convey scientific evidence in 53 QHCs and organized them into an intrinsic scale
of evidence. Results revealed 36 formats to present the evidence in 53 QHCs.
Seventy-seven percent (n = 41) demonstrate a reading level above 9th grade. Most
claims describe the quality of evidence (n = 51, 96%) ("very weak") and/or
reference its consistency (n = 41, 77%), while a quarter (n = 13) also quantify
the evidence ("two studies"). Twenty-five claims (47%) present the evidence
before stating the diet-disease relationship. There is an absence of a systematic
description of evidence among QHCs that may contribute to the misleading, albeit
unintentional, nature of these claims. Policymakers might consider reforming QHC
regulations so that a hierarchy of evidence for diet-disease relationships is
clearly communicated to consumers.
PMID- 26558422
TI - Evaluating the current surgical strategies for hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) is the second leading cause of cancer-related
death worldwide. Despite careful surveillance programs and the development of
antiviral therapy for hepatitis virus infection, the occurrence rate of HCC
remains high. Liver resection and liver transplantation are mainstay curative
treatments. Most patients with HCC have impaired liver function, and surgical
treatment is always accompanied by the risk of decompensation of the remnant
liver, especially when the volume of the remnant liver is too small and the liver
function too low to meet metabolic demands. The mortality of liver resection has
dramatically decreased over the last three decades from 20% to less than 5% due
to the accumulation of knowledge of liver anatomy, perioperative management and
preoperative assessment of liver function. Here we provide an overview of the
multidisciplinary treatments and current standard treatment strategies for HCC,
to explore the possibility of expanding surgical treatments beyond the current
standards.
PMID- 26558423
TI - De Novo MYC and BCL2 Double-hit B-Cell Precursor Acute Lymphoblastic Leukemia
(BCP-ALL) in Pediatric and Young Adult Patients Associated With Poor Prognosis.
AB - MYC and BCL2 translocations in B-cell lymphomas are defined as "double-hit"
associated with poor prognosis in adult patients. Such double-hit events are
extremely rare in B-cell precursor acute lymphoblastic leukemia (BCP-ALL),
especially in pediatric patients or young adults. This study is to investigate
the clinical manifestation of de novo MYCyBCL2 double-hit BCP-ALL in young
patients. Two pediatric and one young adult patients were identified after a
retrospective data review and all without previous history of lymphoma. There
were two females and one male aged 15, 18, and 24, respectively. All patients had
an unremarkable medical history before presenting with extensive bone marrow and
central nervous system involvement at diagnosis. Flow cytometry immunophenotypic
analysis showed an immature B-cell immunophenotype (CD10+, CD19+, TdT+, surface
Ig-) and immunohistochemistry showed high expression of MYC and BCL2 in all
cases. All patients showed complex karyotypes associated with 8q24 abnormalities
in the form of t(8;9)(q24;p13) or t(8;14)(q24;q32) and t(14;18)(q32;q21) and
fluorescence in situ hybridization confirmed MYC and BCL2 rearrangements. Two
patients died of refractory disease or disease progression 7 and 13 months after
initial diagnosis, respectively, and the third patient was treated with protocol
AALL0232 under the Children's Oncology Group study, achieved complete remission
and remained in remission for 53 months at last follow-up. Our study showed that
De novo MYCyBCL2 double-hit BCP-ALL is a rare disease that also occurs in
pediatric and young adult patients and associated with complex karyotypes and
poor prognosis. Younger patients may benefit from intensified chemotherapy.
PMID- 26558426
TI - Superior catalytic activity derived from a two-dimensional Ti3C2 precursor
towards the hydrogen storage reaction of magnesium hydride.
AB - The superior catalytic effects derived from a 2D Ti3C2 (MXene), synthesized by
the exfoliation of Ti3AlC2 powders, towards the hydrogen storage reaction of MgH2
were demonstrated. The 5 wt% Ti3C2-containing MgH2 releases 6.2 wt% H2 within 1
min at 300 degrees C and absorbs 6.1 wt% H2 within 30 s at 150 degrees C,
exhibiting excellent dehydrogenation/hydrogenation kinetics.
PMID- 26558427
TI - Progressive Lower Extremity Pain.
PMID- 26558424
TI - Immunocapture strategies in translational proteomics.
AB - Aiming at clinical studies of human diseases, antibody-assisted assays have been
applied to biomarker discovery and toward a streamlined translation from patient
profiling to assays supporting personalized treatments. In recent years,
integrated strategies to couple and combine antibodies with mass spectrometry
based proteomic efforts have emerged, allowing for novel possibilities in basic
and clinical research. Described in this review are some of the field's current
and emerging immunocapture approaches from an affinity proteomics perspective.
Discussed are some of their advantages, pitfalls and opportunities for the next
phase in clinical and translational proteomics.
PMID- 26558428
TI - High-Flux Hemodialysis Benefits Hemodialysis Patients by Reducing Serum FGF-23
Levels and Reducing Vascular Calcification.
AB - BACKGROUND: High- and low-flux hemodialysis (HFHD and LFHD, respectively) are
dialysis procedures designed to eliminate blood toxins that accumulate in end
stage renal disease. HFHD may reduce vascular calcification by removing serum
fibroblast growth factor 23 (FGF-23). However, whether HFHD is better than LFHD
is still under debate. We therefore compared the efficacy of HFHD and LFHD in
controlling FGF-23 and vascular calcification. MATERIAL AND METHODS: Fifty
hemodialysis patients were recruited and randomly treated with either HFHD or
LFHD. Fasting venous blood was collected at baseline, six months, and twelve
months after the treatment. We then measured levels of FGF-23, calcium,
phosphorus, parathyroid hormone, and alkaline phosphatase. Further, abdominal
lateral radiographs were taken to calculate aorta abdominalis calcification
scores (AACs). RESULTS: Compared to the LFHD group, FGF-23 and AACs in the HFHD
group significantly decreased after 12 months treatment (p=0.049 and p=0.002,
respectively). AACs were positively correlated with FGF-23 in all patients
(p=0.004), the HFHD group alone (p=0.040), and the LFHD group alone (p=0.037). We
also found that older patients, patients with higher blood phosphorus levels, and
higher FGF-23 levels had an increased risk of aorta abdominalis calcification
(p=0.048, p=0.003, p=0.001, respectively). HFHD was more able to reduce the risk
of aorta abdominalis calcification than LFHD (p=0.003). CONCLUSIONS: FGF-23 is an
independent risk factor for the development of vascular calcification. HFHD may
benefit hemodialysis patients by reducing serum FGF-23 levels and controlling
vascular calcification.
PMID- 26558429
TI - Understanding How Exercise Promotes Cognitive Integrity in the Aging Brain.
AB - Alterations in the structure and organization of the aging central nervous system
(CNS), and associated functional deficits, result in cognitive decline and
increase susceptibility to neurodegeneration. Age-related changes to the
neurovascular unit (NVU), and their consequences for cerebrovascular function,
are implicated as driving cognitive impairment during aging as well as in
neurodegenerative disease. The molecular events underlying these effects are
incompletely characterized. Similarly, the mechanisms underlying effects of
factors that reduce the impact of aging on the brain, such as physical exercise,
are also opaque. A study in this issue of PLOS Biology links the NVU to cognitive
decline in the aging brain and suggests a potential underlying molecular
mechanism. Notably, the study further links the protective effects of chronic
exercise on cognition to neurovascular integrity during aging.
PMID- 26558430
TI - Tagaea marina gen. nov., sp. nov., a marine bacterium isolated from shallow
coastal water.
AB - A Gram-negative, heterotrophic, marine bacterium, designated strain TT1T, was
isolated from seawater collected from the shallow coastal region of Anping
Harbour, Tainan, Taiwan. Cells grown in broth cultures were straight rods that
were motile by means of a single polar flagellum. The isolate required NaCl for
growth and exhibited optimal growth at 30-35 degrees C, 2-4 % NaCl and pH 7-8.
Strain TT1T grew aerobically and was not capable of anaerobic growth by
fermentation of glucose or other carbohydrates. Q-10 was the sole major
isoprenoid quinone. Cellular fatty acids were dominated by C18 : 1omega7c (47.5
%), C18 : 1 2-OH (16.3 %) and C19 : 0omega8c cyclo (10.6 %). The DNA G+C content
was 56.4 mol%. Phylogeny based on 16S rRNA gene sequences showed that strain TT1T
formed a distinct genus-level lineage in the family Rhodospirillaceae of the
class Alphaproteobacteria and exhibited the highest sequence similarity with
species of the genera Thalassobaculum (89.9-90.0 % 16S rRNA gene sequence
similarity), Oceanibaculum (89.4-89.9 %) and Nisaea (89.1-89.7 %). Strain TT1T
could be distinguished from species of these phylogenetically closest genera
based on differences in DNA G+C contents (56.4 mol% vs 60.0-68.0 mol%), fatty
acid profiles and some physiological characteristics. On the basis of the
polyphasic taxonomic data from this study, strain TT1T is considered to represent
a novel species of a new genus in the family Rhodospirillaceae, for which the
name Tagaea marina gen. nov., sp. nov. is proposed. The type strain of the type
species is TT1T ( = JCM 18659T = BCRC 80493T).
PMID- 26558431
TI - Rebamipide as a novel addition to the antivitiligo ordnance.
PMID- 26558432
TI - Elevations of urinary pH may lower vancomycin serum concentration.
AB - OBJECTIVE: This study investigated endogenous factors that may increase the
elimination of vancomycin (VCM) in adult methicillin-resistant Staphylococcus
aureus (MRSA) patients with pneumonia. METHODS: 48 patients (32 men and 16 women)
admitted to the National Hospital Organization Kumamoto Medical Center for
pneumonia due to MRSA were evaluated. VCM (500 - 2,000 mg/dose) was administered
intravenously for 60 - 120 min at 8- - 12-h intervals. The dose of VCM prescribed
was determined based on the treatment guidelines of the Infectious Diseases
Society of America and was dependent on a patient's creatinine clearance.
RESULTS: Univariate analysis identified that potassium value (K) (p = 0.081) and
urinary pH (p = 0.026) were possibly associated with decreased VCM concentration.
Multivariate analysis confirmed that urinary pH was an independent risk factor
for VCM clearance (p = 0.029). VCM clearance in patients with a urine pH of 8 was
significantly higher (p = 0.032) than in patients with a urinary pH of 5. As
urinary pH increased in alkalinity, a greater decrease in VCM concentrations was
observed. CONCLUSIONS: Elevation of urinary pH promotes the urinary excretion of
VCM, likely by promoting the dissociation of the carboxyl group of VCM. Thus, in
the clinical setting, urinary pH should be measured and considered when
determining dosage, as it may affect the VCM blood concentration.
PMID- 26558433
TI - Apoptosis-related biomarkers in patients with gastrointestinal cancer.
PMID- 26558434
TI - Bioequivalence study of an extemporaneously prepared oral solution of amlodipine
suitable for use in pediatric patients compared to commercial tablets.
AB - OBJECTIVE: Amlodipine, a long-acting dihydropyridine calcium channel blocker, is
frequently prescribed to pediatric patients. To date, no suitable pediatric
formulation has been available. In this study, an amlodipine oral solution was
developed and tested for bioequivalence to tablets in healthy adult volunteers.
METHODS: This study was designed as an open-label, single-dose, twosequence, two
period, crossover trial to assess the bioequivalence of a newly developed
amlodipine besylate oral solution 0.5 mg/mL compared to Norvasc(r) 5 mg tablets.
13 adult subjects (mean [standard deviation] age of 23.2 [3.6] years, weight 71.5
[7.7] kg) were included and blood samples were collected for 72 hours. Amlodipine
plasma levels were determined using a validated UPLC-MS/MS assay. Non
compartmental pharmacokinetic parameters were compared between the formulations
according to European Medicines Agency (EMA) bioequivalence guidelines. RESULTS:
The 90% confidence intervals of the test/reference ratios of the geometric means
for the primary pharmacokinetic parameters AUC(0-72) (88.24 - 104.37%) and C(max)
(99.00 - 121.40%) were within the acceptance range of 80.00 - 125.00% for
bioequivalence. Mean (SD) AUC(0-72) was 102.7 (26.8) (26.8) MUg * h/L for the
solution and 108.2 (30.6) MUg * h/L for the tablet. Mean (SD) Cmax of the
solution was 3.11(1.06) MUg/L with a median (IQR) t(max) of 4.0 (2.6 - 7.5)
hours. Mean (SD) C(max) of the tablet was 2.91 (0.84) MUg/L with a median (IQR)
tmax of 6.0 (4.0 - 14.0) hours. Intrasubject coefficients of variation were 10.2%
(AUC(0-72)) and 12.4% (C(max)). CONCLUSIONS: The formulations are bioequivalent
according to EMA guidelines. This warrants further study of our novel amlodipine
oral solution in pediatric patients.
PMID- 26558435
TI - The GH5 1,4-beta-mannanase from Bifidobacterium animalis subsp. lactis Bl-04
possesses a low-affinity mannan-binding module and highlights the diversity of
mannanolytic enzymes.
AB - BACKGROUND: beta-Mannans are abundant and diverse plant structural and storage
polysaccharides. Certain human gut microbiota members including health-promoting
Bifidobacterium spp. catabolize dietary mannans. Little insight is available on
the enzymology of mannan deconstruction in the gut ecological niche. Here, we
report the biochemical properties of the first family 5 subfamily 8 glycoside
hydrolase (GH5_8) mannanase from the probiotic bacterium Bifidobacterium animalis
subsp. lactis Bl-04 (BlMan5_8). RESULTS: BlMan5_8 possesses a novel low affinity
carbohydrate binding module (CBM) specific for soluble mannan and displays the
highest catalytic efficiency reported to date for a GH5 mannanase owing to a very
high k cat (1828 +/- 87 s(-1)) and a low K m (1.58 +/- 0.23 g . L(-1)) using
locust bean galactomannan as substrate. The novel CBM of BlMan5_8 mediates
increased binding to soluble mannan based on affinity electrophoresis. Surface
plasmon resonance analysis confirmed the binding of the CBM10 to manno
oligosaccharides, albeit with slightly lower affinity than the catalytic module
of the enzyme. This is the first example of a low-affinity mannan-specific CBM,
which forms a subfamily of CBM10 together with close homologs present only in
mannanases. Members of this new subfamily lack an aromatic residue mediating
binding to insoluble cellulose in canonical CBM10 members consistent with the
observed low mannan affinity. CONCLUSION: BlMan5_8 is evolved for efficient
deconstruction of soluble mannans, which is reflected by an exceptionally low K m
and the presence of an atypical low affinity CBM, which increases binding to
specifically to soluble mannan while causing minimal decrease in catalytic
efficiency as opposed to enzymes with canonical mannan binding modules. These
features highlight fine tuning of catalytic and binding properties to support
specialization towards a preferred substrate, which is likely to confer an
advantage in the adaptation to competitive ecological niches.
PMID- 26558436
TI - Global biomass production potentials exceed expected future demand without the
need for cropland expansion.
AB - Global biomass demand is expected to roughly double between 2005 and 2050.
Current studies suggest that agricultural intensification through optimally
managed crops on today's cropland alone is insufficient to satisfy future demand.
In practice though, improving crop growth management through better technology
and knowledge almost inevitably goes along with (1) improving farm management
with increased cropping intensity and more annual harvests where feasible and (2)
an economically more efficient spatial allocation of crops which maximizes
farmers' profit. By explicitly considering these two factors we show that,
without expansion of cropland, today's global biomass potentials substantially
exceed previous estimates and even 2050s' demands. We attribute 39% increase in
estimated global production potentials to increasing cropping intensities and 30%
to the spatial reallocation of crops to their profit-maximizing locations. The
additional potentials would make cropland expansion redundant. Their geographic
distribution points at possible hotspots for future intensification.
PMID- 26558437
TI - OASIS modulates hypoxia pathway activity to regulate bone angiogenesis.
AB - OASIS/CREB3L1, an endoplasmic reticulum (ER)-resident transcription factor, plays
important roles in osteoblast differentiation. In this study, we identified new
crosstalk between OASIS and the hypoxia signaling pathway, which regulates
vascularization during bone development. RT-PCR and real-time PCR analyses
revealed significant decreases in the expression levels of hypoxia-inducible
factor-1alpha (HIF-1alpha) target genes such as vascular endothelial growth
factor A (VEGFA) in OASIS-deficient (Oasis(-/-)) mouse embryonic fibroblasts. In
coimmunoprecipitation experiments, the N-terminal fragment of OASIS (OASIS-N;
activated form of OASIS) bound to HIF-1alpha through the bZIP domain. Luciferase
assays showed that OASIS-N promoted the transcription activities of a reporter
gene via a hypoxia-response element (HRE). Furthermore, the expression levels of
an angiogenic factor Vegfa was decreased in Oasis(-/-) osteoblasts.
Immunostaining and metatarsal angiogenesis assay showed retarded vascularization
in bone tissue of Oasis(-/-) mice. These results suggest that OASIS affects the
expression of HIF-1alpha target genes through the protein interaction with HIF
1alpha, and that OASIS-HIF-1alpha complexes may play essential roles in
angiogenesis during bone development.
PMID- 26558438
TI - Quercitrin for periodontal regeneration: effects on human gingival fibroblasts
and mesenchymal stem cells.
AB - Periodontal disease (PD) is the result of an infection and chronic inflammation
of the gingiva that may lead to its destruction and, in severe cases, alveolar
bone and tooth loss. The ultimate goal of periodontal treatment is to achieve
periodontal soft and hard tissues regeneration. We previously selected
quercitrin, a catechol-containing flavonoid, as a potential agent for periodontal
applications. In this study, we tested the ability of quercitrin to alter
biomarker production involved in periodontal regeneration on primary human
gingival fibroblasts (hGF) and primary human mesenchymal stem cells (hMSC)
cultured under basal and inflammatory conditions. To mimic PD inflammatory
status, interleukin-1 beta (IL-1beta) was used. The expression of different genes
related to inflammation and extracellular matrix were evaluated and prostaglandin
E2 (PGE2) production was quantified in hGFs; alkaline phosphatase (ALP) activity
and calcium content were analysed in hMSCs. Quercitrin decreased the release of
the inflammatory mediator PGE2 and partially re-established the impaired collagen
metabolism induced by IL-1beta treatment in hGFs. Quercitrin also increased ALP
activity and mineralization in hMSCs, thus, it increased hMSCs differentiation
towards the osteoblastic lineage. These findings suggest quercitrin as a novel
bioactive molecule with application to enhance both soft and hard tissue
regeneration of the periodontium.
PMID- 26558439
TI - From sink to source: Regional variation in U.S. forest carbon futures.
AB - The sequestration of atmospheric carbon (C) in forests has partially offset C
emissions in the United States (US) and might reduce overall costs of achieving
emission targets, especially while transportation and energy sectors are
transitioning to lower-carbon technologies. Using detailed forest inventory data
for the conterminous US, we estimate forests' current net sequestration of
atmospheric C to be 173 Tg yr(-1), offsetting 9.7% of C emissions from
transportation and energy sources. Accounting for multiple driving variables, we
project a gradual decline in the forest C emission sink over the next 25 years
(to 112 Tg yr(-1)) with regional differences. Sequestration in eastern regions
declines gradually while sequestration in the Rocky Mountain region declines
rapidly and could become a source of atmospheric C due to disturbances such as
fire and insect epidemics. C sequestration in the Pacific Coast region stabilizes
as forests harvested in previous decades regrow. Scenarios simulating climate
induced productivity enhancement and afforestation policies increase
sequestration rates, but would not fully offset declines from aging and forest
disturbances. Separating C transfers associated with land use changes from
sequestration clarifies forests' role in reducing net emissions and demonstrates
that retention of forest land is crucial for protecting or enhancing sink
strength.
PMID- 26558440
TI - Free energies of solvation in the context of protein folding: Implications for
implicit and explicit solvent models.
AB - Implicit solvent models for biomolecular simulations have been developed to use
in place of more expensive explicit models; however, these models make many
assumptions and approximations that are likely to affect accuracy. Here, the
changes in free energies of solvation upon folding DeltaDeltaGsolv of several
fast folding proteins are calculated from previously run MUs-ms simulations with
a number of implicit solvent models and compared to the values needed to be
consistent with the explicit solvent model used in the simulations. In the
majority of cases, there is a significant and substantial difference between the
DeltaDeltaGsolv values calculated from the two approaches that is robust to the
details of the calculations. These differences could only be remedied by
selecting values for the model parameters-the internal dielectric constant for
the polar term and the surface tension coefficient for the nonpolar term-that
were system-specific or physically unrealistic. We discuss the potential
implications of our findings for both implicit and explicit solvent simulations.
(c) 2015 Wiley Periodicals, Inc.
PMID- 26558441
TI - Evaluation of thyroid isthmusectomy as a potential treatment for papillary
thyroid carcinoma limited to the isthmus: A clinical study of 73 patients.
AB - BACKGROUND: There are no specific therapeutic guidelines for thyroid cancers
confined to the isthmus. To determine whether isthmic papillary thyroid carcinoma
(PTC) can be treated with thyroid isthmusectomy and limited neck dissection, we
analyzed factors related to central lymph node (CLN) metastasis in patients with
clinically node-negative (cN0), solitary, isthmic PTC. METHODS: We
retrospectively reviewed 73 consecutive patients who underwent surgery for
solitary isthmic, PTC. The frequency, pattern, and risk factors of CLN metastasis
were analyzed. RESULTS: Occult CLN metastasis and paratracheal lymph node (PTLN)
metastasis were detected in 34 patients (46.6%) and 28 patients (38.4%),
respectively. On multivariate logistic regression analysis, male sex and tumor
size >0.7 cm were associated with CLN metastasis, and age <=38 years, tumor size
>0.6 cm, and pretracheal lymph node positivity were associated with PTLN
metastasis. CONCLUSION: Thyroid isthmusectomy for solitary isthmic PTC may be
insufficient in patients with tumors >0.6 cm, those aged <=38 years, and male
patients. (c) 2015 Wiley Periodicals, Inc. Head Neck 38: E1510-E1514, 2016.
PMID- 26558443
TI - Impact of being overweight on factor VIII dosing in children with haemophilia A.
AB - INTRODUCTION: Treatment of haemophilia A (HA) requires infusions of factor VIII
(FVIII) concentrates. The number of FVIII units infused to obtain a specific
circulating FVIII level is calculated with the formula: [body weight (BW) (kg) *
desired FVIII increase (%)]/2, with the assumption that each unit of FVIII
infused per kg of BW increases the circulating FVIII level by 2%. AIM: The aim of
this study was to evaluate the impact of several morphometric parameters (BW,
body mass index (BMI)-for-age, height), age and type of FVIII concentrate on
FVIII recovery in children with HA. METHODS: A total of 66 children aged between
10 and 18 with severe HA selected from six pharmacokinetic (PK) clinical trials
using two recombinant FVIII concentrates were included in the analysis.
Regression tree (RT) was used to identify predictors of FVIII recovery. RESULTS:
The median age was 14.5 years with a median FVIII recovery of 2.09 for all
children. The median FVIII recovery was not significantly different between age
groups. Two groups were created by RT: children with a BMI-for-age percentile
=P95 (Median FVIII recovery: 2.65). The FVIII recovery was
significantly different between these two groups (P < 0.001). CONCLUSION: These
results are consistent with previous studies conducted in adults with HA and
confirm that the long-held and current practice of applying an arbitrary and
universal recovery of two to the calculations of FVIII dosage should be abolished
in both children and adults.
PMID- 26558442
TI - Interleukin 17 inhibits progenitor cells in rheumatoid arthritis cartilage.
AB - Mesenchymal stem cells are known to exert immunomodulatory effects in
inflammatory diseases. Immuneregulatory cells lead to progressive joint
destruction in rheumatoid arthritis (RA). Proinflammatory cytokines, such as
tumour necrosis factor alpha (TNF-alpha) and interleukins (ILs) are the main
players. Here, we studied progenitor cells from RA cartilage (RA-CPCs) that are
positive for IL-17 receptors to determinate the effects of inflammation on their
chondrogenic potenial. IL-17A/F reduced the chondrogenic potential of these cells
via the upregulation of RUNX2 protein and enhanced IL-6 protein and MMP3 mRNA
levels. Blocking antibodies against IL-17 positively influenced their repair
potential. Furthermore, treating the RA-CPCs with the anti-human IL-17 antibody
secukinumab or the anti-TNF-alpha antibody adalimumab reduced the proinflammatory
IL-6 protein level and positively influenced the secretion of anti-inflammatory
IL-10 protein. Additionally, adalimumab and secukinumab in particular reduced
RUNX2 protein to promote chondrogenesis. The amelioration of inflammation,
particularly via IL-17 antagonism, might be a new therapeutic approach for
enhancing intrinsic cartilage repair mechanisms in RA patients.
PMID- 26558444
TI - Systematic review to inform prevention and management of chronic disease for
Indigenous Australians: overview and priorities.
AB - OBJECTIVE: To describe the main characteristics of systematic reviews addressing
questions of chronic disease and related risk factors for Indigenous Australians.
METHODS: We searched databases for systematic reviews meeting inclusion criteria.
Two reviewers assessed quality and extracted characteristics using pre-defined
tools. RESULTS: We identified 14 systematic reviews. Seven synthesised evidence
about health intervention effectiveness; four addressed chronic disease or risk
factor prevalence; and six conducted critical appraisal as per current best
practice. Only three reported steps to align the review with standards for
ethical research with Indigenous Australians and/or capture Indigenous-specific
knowledge. Most called for more high-quality research. CONCLUSION: Systematic
review is an under-utilised method for gathering evidence to inform chronic
disease prevention and management for Indigenous Australians. Relevance of future
systematic reviews could be improved by: 1) aligning questions with community
priorities as well as decision maker needs; 2) involvement of, and leadership by,
Indigenous researchers with relevant cultural and contextual knowledge; iii) use
of critical appraisal tools that include traditional risk of bias assessment
criteria and criteria that reflect Indigenous standards of appropriate research.
IMPLICATIONS: Systematic review method guidance, tools and reporting standards
are required to ensure alignment with ethical obligations and promote rigor and
relevance.
PMID- 26558445
TI - Synthesis and Characterisation of Fluorescent Carbon Nanodots Produced in Ionic
Liquids by Laser Ablation.
AB - Carbon nanodots (C-dots) with an average size of 1.5 and 3.0 nm were produced by
laser ablation in different imidazolium ionic liquids (ILs), namely, 1-n-butyl-3
methylimidazolium tetrafluoroborate (BMI.BF4 ), 1-n-butyl-3-methylimidazolium
bis(trifluoromethanesulfonyl)imide (BMI.NTf2 ) and 1-n-octyl-3-methylimidazolium
bis(trifluoromethanesulfonyl)imide (OMI.NTf2 ). The mean size of the
nanoparticles is influenced by the imidazolium alkyl side chain but not by the
nature of the anion. However, by varying the anion (BF4 vs. NTf2 ) it was
possible to detect a significant modification of the fluorescence properties. The
C-dots are much probably stabilised by an electrostatic layer of the IL and this
interaction has played an important role with regard to the formation,
stabilisation and photoluminescence properties of the nanodots. A tuneable
broadband fluorescence emission from the colloidal suspension was observed under
ultraviolet/visible excitation with fluorescence lifetimes fitted by a multi
exponential decay with average values around 7 ns.
PMID- 26558447
TI - Applying palliative care principles and practice to emergency medicine.
AB - Only recently has the potential (unmet) palliative care (PC) workload in the ED
been recognised. While confident in PC symptom management, we underestimate the
role of a palliative approach in non-cancer diagnoses and seek education in areas
such as individual patient care pathways, ethical and legal issues and difficult
conversations at the end of life. PC is best introduced early for a range of life
limiting cancer and non-cancer diagnoses. Allowing patients time to tell their
story with active listening, acknowledgement of suffering and a compassionate
presence leads to treatment 'success' that is not defined by cure. This patient
centred, rather than disease-centred approach, is the essence of PC, and one that
is easily incorporated into emergency practice. PC and disease-specific
treatments can comfortably coexist, and with meticulous symptom management, may
actually prolong life. PC is everyone's business, and emergency medicine needs to
be part of it.
PMID- 26558446
TI - Effectiveness of knee bracing in osteoarthritis: pragmatic trial in a
multidisciplinary clinic.
AB - AIM: Assessing the effectiveness of bracing treatment for tibiofemoral
osteoarthritis (OA) and patellofemoral OA in patients with knee OA. METHOD: This
study was conducted within the Osteoarthritis Chronic Care Program (OACCP), a 52
week multidisciplinary non-operative program for OA patients. All participants
had symptomatic, radiographic knee OA. Knee bracing with Ossur Unloader One and
Tru-pull Lite was offered for participants with medial/lateral tibiofemoral and
patellofemoral OA, respectively. Participants were assessed at weeks 0, 12, 26
and 52. The primary outcome was knee pain and function at week 52, as assessed by
the Knee injury and Osteoarthritis Outcome Score (KOOS) pain and activities of
daily living (ADL) scores. Linear regression models were used to compare
effectiveness for pain and function between three groups (patellofemoral bracing,
tibiofemoral bracing and no bracing). RESULT: There were 204 participants; 50
assigned patellofemoral bracing, 86 tibiofemoral bracing and 68 with no bracing.
Mean baseline KOOS pain score was 52.9, 41.7 and 43.3 (0-100 scale where 100
represents normal) and mean baseline KOOS ADL score was 55.8, 43.7 and 43.1 for
the three groups, respectively. Significant improvements were found in each group
at week 52 for KOOS pain score and KOOS ADL. There was no significant difference
in KOOS pain (P = 0.12) and ADL score (P = 0.13) at week 52 between the three
brace types after adjusting for baseline variables. CONCLUSION: A
multidisciplinary non-operative program improved pain and function in persons
with patellofemoral and tibiofemoral OA. However, wearing a patellofemoral or a
tibiofemoral brace did not appear to provide additional benefits.
PMID- 26558448
TI - Evaluation of skin phototoxicity study using SD rats by transdermal and oral
administration.
AB - Guinea pigs are the most frequently used animals in phototoxicity studies.
However, general toxicity studies most often use Sprague-Dawley (SD) rats. To
reduce the number of animals needed for drug development, we examined whether
skin phototoxicity studies could be performed using SD rats. A total of 19 drugs
that had previously been shown to have phototoxic potential and 3 known
phototoxic compounds were administered transdermally to guinea pigs and SD rats.
Eleven of the potentially phototoxic drugs and 2 of the known phototoxic
compounds were also administered orally to guinea pigs and SD rats. After
administration, the animals were irradiated with UV-A (10 J/cm(2)) and UV-B (0.25
J/cm(2) in guinea pigs and 0.031 J/cm(2) in SD rats) with doses based on standard
phototoxicity study guidelines and the results of a minimum erythema dose test,
respectively. In the transdermal administration study, all of the known
phototoxic compounds and 7 of the drugs induced phototoxic reactions. In the oral
administration study, both known phototoxic compounds and 5 drugs induced
phototoxic reactions in both species; one compound each was found to be toxic
only in SD rats or guinea pigs. The concordance rate of guinea pigs and SD rats
was 100% in the transdermal administration study and 85% in the oral
administration study. This study demonstrated that phototoxicity studies using SD
rats have the same potential to detect phototoxic compounds as studies using
guinea pigs.
PMID- 26558449
TI - Gene expression analysis in the lung of the rasH2 transgenic mouse at week 4
prior to induction of malignant tumor formation by urethane and N
methylolacrylamide.
AB - The rasH2 transgenic (Tg) mice are susceptible to genotoxic and some non
genotoxic carcinogens. In carcinogenicity studies carried out using rasH2 Tg
mice, the carcinogenic potential of chemicals are evaluated over a 26-week
experimental period. In the present study, we examined the comprehensive gene
expressions in the lungs of Tg and non-Tg mice prior to the induction of
malignant tumors. Urethane (UR), a mutagenic carcinogen, was administered for 4
weeks, and thereafter withdrawn for 22 weeks. N-methylolacrylamide (NMA), a non
mutagenic carcinogen, was administered for 26 weeks. At week 4, gene expression
analysis of non-neoplastic part of the lungs demonstrated changes in the
expressions of the cell-cycle and inflammation related genes following UR and NMA
treatment, respectively, in both the Tg and non-Tg mice. The gene expressions of
epireguline, aurora kinase B, and cyclin B1 increased in the UR-treated Tg mice.
We also found an increase in the plasma carcinoembryonic antigen level in the UR
treated Tg mice. Although UR treatment induced the formation of adenomas or
adenocarcinomas in the lungs in all mice, earlier induction was apparent in the
Tg mice. NMA treatment was found to induce the formation of adenomas and
adenocarcinomas at week 26 in the Tg mice, but not in the non-Tg mice, and no
expressions of specific genes were apparent in either genotype of mice. Our
results indicate that analysis of cancer-related gene expressions in the lungs
and plasma biomarkers at week 4 in rasH2 Tg mice could be a screening tool for
carcinogenicity, especially of mutagenic carcinogens.
PMID- 26558450
TI - Tris-(2,3-dibromopropyl) isocyanurate induces depression-like behaviors and
neurotoxicity by oxidative damage and cell apoptosis in vitro and in vivo.
AB - Tris-(2,3-dibromopropyl) isocyanurate (TDBP-TAZTO), an emerging brominated flame
retardant, possesses the characteristics of candidate persistent organic
pollutants and has displayed toxicity to fish and rodents. TDBP-TAZTO can pass
through the blood-brain barrier and accumulate in the brain. TDBP-TAZTO might
also induce neuronal cell toxicity. However, the neurotoxicity and mechanisms of
TDBP-TAZTO have not yet been studied. We hypothesize that TDBP-TAZTO could induce
neurotoxicity in mouse hippocampal neurons and SH-SY5Y cells. The mice were
exposed to TDBP-TAZTO of 5 and 50 mg/kg by gavage, daily for 30 days. TDBP-TAZTO
resulted in depression-like behaviors, which may be related with TDBP-TAZTO
induced upregulation of oxidative stress markers and overexpression of pro
apoptotic proteins in hippocampus. Furthermore, TDBP-TAZTO treatment for 48 hr
(12.5, 25 and 50 uM) damaged SH-SY5Y cells, and led to cell apoptosis and
oxidative stress in concentration-dependent manner. Our findings suggested that
cell apoptosis and oxidative stress are important mechanisms in neurotoxicity
induced by TDBP-TAZTO.
PMID- 26558451
TI - Association of A118G polymorphism in the MU-opioid receptor gene with smoking
behaviors: a meta-analysis.
AB - Many studies have investigated the association between the A118G polymorphism in
the MU-opioid receptor gene and smoking behaviors, but the results remain
controversial. This meta-analysis aimed to derive a more reliable estimate of the
effect of the A118G polymorphism on smoking behaviors. We systematically searched
the PubMed/Medline, Embase and Web of Science databases for eligible articles
published up to October 23, 2014. A total of six studies were selected. Odds
ratios (ORs) as well as their corresponding 95% confidence intervals (CIs) were
used to estimate the association between A118G polymorphism and smoking behaviors
in four genetic models. Heterogeneity analysis and publication bias were also
performed. Subgroup analysis was conducted according to different ethnicities.
The meta-analysis was performed using either a fixed- or random-effects model as
deemed appropriate. In the result of the meta-analysis, a significant association
was detected in the dominant model in the Caucasian subgroup (OR = 3.26, 95% CI =
2.65-4.05). This result indicated that Caucasians carrying the G allele (AG + GG)
of the A118G polymorphism in the MU-opioid receptor gene were more likely to be
addicted to smoking compared with those with the AA homozygote. However, no
significant association was found in other genetic models.
PMID- 26558452
TI - The effects of long-lasting hypoglycemia on male reproductive organs in rats.
AB - Glucose has an important role in spermatogenesis. Nevertheless there are few
reports in which the effects of long-lasting hypoglycemia on male reproductive
organs have been evaluated. Therefore, insulin was administered subcutaneously at
100, 200, and 400 IU/kg to male rats twice a day for one month. This treatment
regimen produced plasma glucose levels that rapidly decreased after treatment,
with decreased glucose levels lasting for several hours after each administration
on the first and final treatment days. During the treatment period, no
abnormalities in clinical signs or body weight were observed. No statistically
significant differences were noted in the weights of testes, epididymides,
prostates and seminal vesicles, or pituitary glands. Histopathological
examination revealed that the insulin-treated animals exhibited degeneration of
seminiferous tubules in the testes and exfoliation of germ cells in the lumens of
epididymides as a secondary change related to the testicular lesions. The
incidences of the histopathological findings were found to be proportional to
insulin dose. Sperm analysis of the group receiving the highest dosage indicated
that the sperm concentration tended to decrease and the incidences of sperm
malformations tended to increase. Our results suggest that long-lasting
hypoglycemia affects male reproductive organs in rats.
PMID- 26558453
TI - Dose-dependent acceleration in the delayed effects of neonatal oral exposure to
low-dose 17alpha-ethynylestradiol on reproductive functions in female Sprague
Dawley rats.
AB - Xenoestrogen exposure during the critical period of sexual differentiation of the
brain causes delayed effects on female reproduction. We investigated the internal
dose of orally administered ethynylestradiol (EE) during the critical period and
its delayed effects by administering 0 (vehicle control), 0.4, or 2 MUg/kg EE to
female Sprague-Dawley rats for 5 days from postnatal day (PND) 1. Determination
of serum EE level 24 hr after the initial dosing and 6 and 24 hr after the final
dosing of 2 MUg/kg indicated that the administered EE entered the circulation and
cleared after every administration. Although the treatment did not affect
physical development, including growth, eyelid opening, and vaginal opening, the
estrous cycle was arrested from postnatal week (PNW) 12 even with 0.4 MUg/kg EE,
with an inverse correlation between doses and arresting ages. Although ovarian
morphology at PNW 22-23 indicated that the treatment caused long-term anovulation
and cystic follicle formation, the number of primordial follicles at PNW 22-23
was similar among the groups. Because this number was lower than that at PND 10
in all groups, primordial follicles may have been consumed under long-term
anovulation. The treatment also caused other abnormalities, including mammary
gland hyperplasia, increase in pituitary and liver weights, and decrease in the
uterine weight. Because the highest circulating EE level in the 2 MUg/kg-treated
neonates is considered to be comparable to the physiological range of estradiol
17beta, we concluded that a slight increase in the circulating estrogens during
the neonatal period exerts irreversible delayed effects.
PMID- 26558454
TI - Cannabidiol-2',6'-dimethyl ether stimulates body weight gain in apolipoprotein E
deficient BALB/c. KOR/Stm Slc-Apoe(shl) mice.
AB - The biological activities of cannabidiol (CBD), a major non-psychotropic
constituent of the fiber-type cannabis plant, have been examined in detail (e.g.,
CBD modulation of body weight in mice and rats). However, few studies have
investigated the biological activities of cannabidiol-2',6'-dimethyl ether
(CBDD), a dimethyl ether derivative of the parent CBD. We herein focused on the
effects of CBDD on body weight changes in mice, and demonstrated that it
stimulated body weight gain in apolipoprotein E (ApoE)-deficient BALB/c. KOR/Stm
Slc-Apoe(shl) mice, especially between 10 and 20 weeks of age.
PMID- 26558455
TI - Anaphylactic augmentation by epicutaneous sensitization to acid-hydrolyzed wheat
protein in a guinea pig model.
AB - Recent reports suggest that hydrolyzed wheat protein (HWP) variants such as
Glupearl(r) 19S (GP19S) induce immediate-type hypersensitivity via epicutaneous
(EC) sensitization. The identification of strong allergens is a key step in
product assessment before commercial launch. However, few reports have described
the estimation of actual and potential anaphylactic sensitizing capacity. In this
study we assessed the strength of both the actual and potential anaphylactic
sensitizing capacity by investigating the immediate-type hypersensitivity
inducing potential of HWP compared with gluten. We assessed these strengths via
the EC route using an EC or intradermal (ID) sensitization method. We quantified
the strength of immediate-type hypersensitivity by evaluating the titer of serum
antibodies isolated from sensitized subjects using passive cutaneous anaphylaxis
(PCA) reactions. We also evaluated the cross-reactivity between GP19S and gluten.
GP19S and gluten applied by both the sensitization methods induced obvious IgG1
mediated PCA reactions. GP19S had stronger sensitizing potential than gluten,
according to the serum titers and dye spot diameters. The difference in antibody
titers between GP19S and gluten was 16-fold for the EC method versus 2-fold for
the ID method. GP19S cross-reacted with gluten. Acid hydrolysis of gluten
increased anaphylactic sensitizing capacity in the EC method. To our knowledge,
our study is the first to quantitatively confirm that HWP and gluten can induce
immediate-type hypersensitivity through an intact skin. These findings suggest
that acid-HWP imposes a higher risk of EC sensitization than gluten because of
the ease with which the former confers a sensitizing effect through the intact
skin.
PMID- 26558456
TI - Androgen receptor functions as a negative transcriptional regulator of DEPTOR,
mTOR inhibitor.
AB - It has been noticed that crosstalk between androgen receptor (AR) and mammalian
target of rapamycin (mTOR) signaling pathways plays a crucial role in the
proliferation of prostate cancer cells. To clarify this mechanism, we focused on
DEPTOR, a naturally occurring inhibitor of mTOR. The treatment of a human AR
positive prostate cancer cell line, LNCaP, with the AR-agonist
dihydrotestosterone (DHT) repressed DEPTOR mRNA expression in a time-dependent
manner. This repression was abrogated by treatment with the AR-antagonist
bicalutamide. Knockdown of DEPTOR mRNA by siRNA resulted in the increased
phosphorylation of 70 kDa ribosomal protein S6 kinase 1 (S6K), a substrate of
mTORC1, accompanied by the elevated expression of cyclin D1, a positive regulator
of cell proliferation. Furthermore, the ChIP assay demonstrated that AR could
bind to AR-responsible element-like region within the 4th intron of the DEPTOR
gene. The amount of acetylated histone H3 (Lys9, Lys14) was reduced by the DHT
treatment in this region. Taken together, these results propose that AR-dependent
prostate cancer cell proliferation requires decreased DEPTOR transcription
directly controlled by AR.
PMID- 26558457
TI - Assessing the therapeutic efficacy of oxime therapies against percutaneous
organophosphorus pesticide and nerve agent challenges in the Hartley guinea pig.
AB - Given the rapid onset of symptoms from intoxication by organophosphate (OP)
compounds, a quick-acting, efficacious therapeutic regimen is needed. A primary
component of anti-OP therapy is an oxime reactivator to rescue OP-inhibited
acetylcholinesterases. Male guinea pigs, clipped of hair, received neat
applications of either VR, VX, parathion, or phorate oxon (PHO) at the 85(th)
percentile lethal dose, and, beginning with presentation of toxicosis, received
the human equivalent dose therapy by intramuscular injection with two additional
follow-on treatments at 3-hr intervals. Each therapy consisted of atropine free
base at 0.4 mg/kg followed by one of eight candidate oximes. Lethality rates were
obtained at 24 hr after VR, VX and PHO challenges, and at 48 hr after challenge
with parathion. Lethality rates among symptomatic, oxime-treated groups were
compared with that of positive control (OP-challenged and atropine-only treated)
guinea pigs composited across the test days. Significant (p <= 0.05) protective
therapy was afforded by 1,1-methylene bis(4(hydroxyimino- methyl)pyridinium)
dimethanesulfonate (MMB4 DMS) against challenges of VR (p <= 0.001) and VX (p <=
0.05). Lethal effects of VX were also significantly (p <= 0.05) mitigated by
treatments with oxo-[[1-[[4-(oxoazaniumylmethylidene)pyridin-1
yl]methoxymethyl]pyridin-4-ylidene]methyl]azanium dichloride (obidoxime Cl2) and
1-(((4-(aminocarbonyl) pyridinio)methoxy)methyl)-2,4
bis((hydroxyimino)methyl)pyridinium dimethanesulfonate (HLo-7 DMS). Against
parathion, significant protective therapy was afforded by obidoxime dichloride (p
<= 0.001) and 1,1'-propane-1,3-diylbis{4-[(E)-(hydroxyimino)methyl]pyridinium}
dibromide (TMB-4, p <= 0.01). None of the oximes evaluated was therapeutically
effective against PHO. Across the spectrum of OP chemicals tested, the oximes
that offered the highest level of therapy were MMB4 DMS and obidoxime dichloride.
PMID- 26558458
TI - Effects of scorched food leachates with or without activated charcoal
pretreatment on AhR activation in cultured cells.
AB - Aryl hydrocarbon receptor (AhR) is a transcription factor activated by
xenobiotics, including dioxins and polycyclic aromatic hydrocarbons (PAHs).
Although AhR is also activated by some dietary constituents, it has not been
completely clarified in what circumstances AhR ligands are ingested in our daily
life. Because PAHs are formed by the incomplete combustion of organic materials,
we hypothesized that scorched foods might contain and leach out AhR ligands
sufficient to stimulate AhR in vitro. To test this hypothesis, scorched foods
(bread, cheese, etc.) were mixed vigorously with water, and the supernatants were
retrieved as samples. The samples were added to HepG2 cells stably expressing an
AhR-responsive reporter gene. Also, expression of CYP1A1, an endogenous AhR
responsive gene, was analyzed by RT-PCR in different cell lines treated with the
samples. We further tested whether pretreatment of the samples with activated
charcoal would alter their AhR-stimulating activity. All the supernatant samples
tested induced AhR-dependent reporter gene activity and CYP1A1 mRNA expression.
In some samples, these inductions were inhibited by pretreatment with activated
charcoal. Our findings indicate that scorched food leachates stimulate AhR in
cultured cells and that activated charcoal adsorbs the AhR-stimulating substances
in some leachates. Thus, people who habitually eat scorched foods are exposed to
AhR ligands on a regular basis. Further studies are needed to elucidate whether
burnt foods actually exert biological effects on our health.
PMID- 26558459
TI - Dose-dependent difference of nuclear receptors involved in murine liver
hypertrophy by piperonyl butoxide.
AB - Nuclear receptors play important roles in chemically induced liver hypertrophy in
rodents. To clarify the involvement of constitutive androstane receptor (CAR) and
other nuclear receptors in mouse liver hypertrophy induced by different doses of
piperonyl butoxide (PBO), wild-type and CAR-knockout mice were administered PBO
(200, 1,000, or 5,000 ppm) in the basal diet for 1 week. Increased liver weight
and diffuse hepatocellular hypertrophy were observed at 5,000 ppm for both
genotypes, accompanied by increased Cyp3a11 mRNA and CYP3A protein expression,
suggesting that CAR-independent pathway, possibly pregnane X receptor (PXR),
plays a major role in the induction of hypertrophy. Moreover, wild-type mice at
5,000 ppm showed enhanced hepatocellular hypertrophy and strong positive staining
for CYP2B in the centrilobular area, suggesting the localized contribution of
CAR. At 1,000 ppm, only wild-type mice showed liver weight increase and
centrilobular hepatocellular hypertrophy concurrent with elevated Cyp2b10 mRNA
expression and strong CYP2B staining, indicating that CAR was essential at 1,000
ppm. We concluded that high-dose PBO induced hypertrophy via CAR and another
pathway, while lower dose of PBO induced a pathway mediated predominantly by CAR.
The dose-responsiveness on liver hypertrophy is important for understanding the
involvement of nuclear receptors.
PMID- 26558460
TI - Understanding dabs: contamination concerns of cannabis concentrates and
cannabinoid transfer during the act of dabbing.
AB - Cannabis concentrates are gaining rapid popularity in the California medical
cannabis market. These extracts are increasingly being consumed via a new
inhalation method called 'dabbing'. The act of consuming one dose is colloquially
referred to as "doing a dab". This paper investigates cannabinoid transfer
efficiency, chemical composition and contamination of concentrated cannabis
extracts used for dabbing. The studied concentrates represent material available
in the California medical cannabis market. Fifty seven (57) concentrate samples
were screened for cannabinoid content and the presence of residual solvents or
pesticides. Considerable residual solvent and pesticide contamination were found
in these concentrates. Over 80% of the concentrate samples were contaminated in
some form. THC max concentrations ranged from 23.7% to 75.9% with the exception
of one outlier containing 2.7% THC and 47.7% CBD. Up to 40% of the theoretically
available THC could be captured in the vapor stream of a dab during inhalation
experiments. Dabbing offers immediate physiological relief to patients in need
but may also be more prone to abuse by recreational users seeking a more rapid
and intense physiological effect.
PMID- 26558461
TI - Applicability of a gene expression based prediction method to SD and Wistar rats:
an example of CARCINOscreen(r).
AB - Recently, the development of several gene expression-based prediction methods has
been attempted in the fields of toxicology. CARCINOscreen(r) is a gene expression
based screening method to predict carcinogenicity of chemicals which target the
liver with high accuracy. In this study, we investigated the applicability of the
gene expression-based screening method to SD and Wistar rats by using
CARCINOscreen(r), originally developed with F344 rats, with two carcinogens, 2,4
diaminotoluen and thioacetamide, and two non-carcinogens, 2,6-diaminotoluen and
sodium benzoate. After the 28-day repeated dose test was conducted with each
chemical in SD and Wistar rats, microarray analysis was performed using total RNA
extracted from each liver. Obtained gene expression data were applied to
CARCINOscreen(r). Predictive scores obtained by the CARCINOscreen(r) for known
carcinogens were > 2 in all strains of rats, while non-carcinogens gave
prediction scores below 0.5. These results suggested that the gene expression
based screening method, CARCINOscreen(r), can be applied to SD and Wistar rats,
widely used strains in toxicological studies, by setting of an appropriate
boundary line of prediction score to classify the chemicals into carcinogens and
non-carcinogens.
PMID- 26558462
TI - Classification of reproductive toxicants with diverse mechanisms in the embryonic
stem cell test.
AB - The embryonic stem cell test (EST) is a promising system to detect embryotoxicity
in vitro. Recent studies have pointed out some limitations of the EST and suggest
that the applicability domain of the EST and its prediction model have to be
better defined. Here, eight substances of known reproductive toxicity were tested
in the EST under blind conditions. We applied the prediction model to the data of
the EST after classifying the substances according to the published criteria. In
addition, a simplified classification of the EST results into two classes as an
approach to hazard assessment was compared to the European Union Classification,
Labelling and Packaging (CLP) Regulation labels of the substances. With one
exception, substances that are labeled as reproductive toxicants according to the
CLP Regulation were detected as embryotoxic in the EST while substances without
label were found to be non-embryotoxic according to the EST.
PMID- 26558463
TI - Methylmercury can induce Parkinson's-like neurotoxicity similar to 1-methyl-4-
phenylpyridinium: a genomic and proteomic analysis on MN9D dopaminergic neuron
cells.
AB - Exposure to environmental chemicals has been implicated as a possible risk factor
for the development of neurodegenerative diseases. Our previous study showed that
methylmercury (MeHg) exposure can disrupt synthesis, uptake and metabolism of
dopamine similar to 1-methyl-4-phenylpyridinium (MPP(+)). The objective of this
study was to investigate the effects of MeHg exposure on gene and protein
profiles in a dopaminergic MN9D cell line. MN9D cells were treated with MeHg (1-5
MUM) and MPP(+) (10-40 MUM) for 48 hr. Real-time PCR Parkinson's disease (PD)
arrays and high-performance liquid chromatography/electrospray ionization tandem
mass spectrometry (HPLC-ESI-MS/MS) were performed for the analysis. PD PCR array
results showed that 19% genes were significantly changed in the 2.5 MUM MeHg
treated cells, and 39% genes were changed in the 5 MUM MeHg treated cells. In
comparison, MPP(+) treatment (40 uM) resulted in significant changes in 25%
genes. A total of 15 common genes were altered by both MeHg and MPP(+), and
dopaminergic signaling transduction was the most affected pathway. Proteomic
analysis identified a total of 2496 proteins, of which 188, 233 and 395 proteins
were differentially changed by 1 MUM and 2.5 MUM MeHg, and MPP(+) respectively. A
total of 61 common proteins were changed by both MeHg and MPP(+) treatment. The
changed proteins were mainly involved in energetic generation-related metabolism
pathway (propanoate metabolism, pyruvate metabolism and fatty acid metabolism),
oxidative phosphorylation, proteasome, PD and other neurodegenerative disorders.
A total of 7 genes/proteins including Ube2l3 (Ubiquitin-conjugating enzyme E2 L3)
and Th (Tyrosine 3-monooxygenase) were changed in both genomic and proteomic
analysis. These results suggest that MeHg and MPP(+) share many similar signaling
pathways leading to the pathogenesis of PD and other neurodegenerative diseases.
PMID- 26558464
TI - Differences in gene expression profiles in liver caused by different types of
anesthesia: cases of CO2-O2 and isoflurane.
AB - Anesthesia is used for pain control and is necessary in toxicological studies. In
this study, we examined the effects of anesthesia on gene expression profiles
caused by different types of anesthesia. To elucidate the effects of anesthesia
on gene expression profiles, DNA microarray analysis was performed with CO2-O2
anesthesia and isoflurane anesthesia, and gene expression profiles in the liver
were analyzed. Consequently, a total of 209 probes out of 61,573 showed higher or
lower expression levels in the isoflurane anesthesia group compared with CO2-O2
anesthesia. This is less than 0.34% of all probes, indicating that the effects of
different types of anesthesia on gene expression profiles are limited. However,
careful consideration should be taken in the cases of handling the disturbed
genes using DNA microarray, especially in case of research on glutathione-related
pathway under isoflurane anesthesia.
PMID- 26558465
TI - Protective effects of hydrogen sulfide anions against acetaminophen-induced
hepatotoxicity in mice.
AB - The key mechanism for hepatotoxicity resulting from acetaminophen (APAP) overdose
is cytochrome P450-dependent formation of N-acetyl-p-benzoquinone imine (NAPQI),
a potent electrophilic metabolite that forms protein adducts. The fundamental
roles of glutathione in the effective conjugation/clearance of NAPQI have been
established, giving a molecular basis for the clinical use of N-acetylcysteine as
a sole antidote. Recent evidence from in vitro experiments suggested that sulfide
anions (S(2-)) to yield hydrogen sulfide anions (HS(-)) under physiological pH
could effectively react with NAPQI. This study evaluated the protective roles of
HS(-) against APAP-induced hepatotoxicity in mice. We utilized cystathionine
gamma-lyase-deficient (Cth(-/-)) mice that are highly sensitive to acetaminophen
toxicity. Intraperitoneal injection of acetaminophen (150 mg/kg) into Cth(-/-)
mice resulted in highly elevated levels of serum alanine/aspartate
aminotransferases and lactate dehydrogenase associated with marked increases in
oncotic hepatocytes; all of which were significantly inhibited by intraperitoneal
preadministration of sodium hydrosulfide (NaHS). NaHS preadministration
significantly suppressed APAP-induced serum malondialdehyde level increases
without abrogating APAP-induced rapid depletion of hepatic glutathione. These
results suggest that exogenous HS(-) protects hepatocytes by directly scavenging
reactive NAPQI rather than by increasing cystine uptake and thereby elevating
intracellular glutathione levels, which provides a novel therapeutic approach
against acute APAP poisoning.
PMID- 26558466
TI - Unsaturated fatty acids show clear elicitation responses in a modified local
lymph node assay with an elicitation phase, and test positive in the direct
peptide reactivity assay.
AB - The Organisation for Economic Co-operation and Development (OECD) Test Guidelines
(TG) adopted the murine local lymph node assay (LLNA) and guinea pig maximization
test (GPMT) as stand-alone skin sensitization test methods. However, unsaturated
carbon-carbon double-bond and/or lipid acids afforded false-positive results more
frequently in the LLNA compared to those in the GPMT and/or in human subjects. In
the current study, oleic, linoleic, linolenic, undecylenic, fumaric, maleic, and
succinic acid and squalene were tested in a modified LLNA with an elicitation
phase (LLNA:DAE), and in a direct peptide reactivity assay (DPRA) to evaluate
their skin-sensitizing potential. Oleic, linoleic, linolenic, undecylenic and
maleic acid were positive in the LLNA:DAE, of which three, linoleic, linolenic,
and maleic acid were positive in the DPRA. Furthermore, the results of the cross
sensitizing tests using four LLNA:DAE-positive chemicals were negative,
indicating a chemical-specific elicitation response. In a previous report, the
estimated concentration needed to produce a stimulation index of 3 (EC3) of
linolenic acid, squalene, and maleic acid in the LLNA was < 10%. Therefore, these
chemicals were classified as moderate skin sensitizers in the LLNA. However, the
skin-sensitizing potential of all LLNA:DAE-positive chemicals was estimated as
weak. These results suggested that oleic, linoleic, linolenic, undecylenic, and
maleic acid had skin-sensitizing potential, and that the LLNA overestimated the
skin-sensitizing potential compared to that estimated by the LLNA:DAE.
PMID- 26558467
TI - Disruption of spindle checkpoint function ahead of facilitation of cell
proliferation by repeated administration of hepatocarcinogens in rats.
AB - We aimed to clarify the hepatocarcinogen-specific disruption of cell cycle
checkpoint functions and its time course after repeated administration of
hepatocarcinogens. Thus, rats were repeatedly administered with hepatocarcinogens
(methapyrilene, carbadox and thioacetamide), a marginal hepatocarcinogen
(leucomalachite green), hepatocarcinogenic promoters (oxfendazole and beta
naphthoflavone) or non-carcinogenic hepatotoxicants (promethazine and
acetaminophen) for 7, 28 or 90 days, and the temporal changes in cell
proliferation, expression of G1/S and spindle checkpoint-related molecules, and
apoptosis were examined using immunohistochemistry and/or real-time RT-PCR
analysis. Hepatocarcinogens facilitating cell proliferation at day 28 of
administration also facilitated cell proliferation and apoptosis at day 90.
Hepatocarcinogen- or hepatocarcinogenic promoter-specific cellular responses were
not detected by immunohistochemical single molecule analysis even after 90 days.
Expression of Cdkn1a, Mad2l1, Chek1 and Rbl2 mRNA also lacked specificity to
hepatocarcinogens or hepatocarcinogenic promoters. In contrast, all
hepatocarcinogens and the marginally hepatocarcinogenic leucomalachite green
induced Mdm2 upregulation or increase in the number of phosphorylated MDM2(+)
cells from day 28, irrespective of the lack of cell proliferation facilitation by
some compounds. However, different Tp53 expression levels suggest different
mechanisms of induction or activation of MDM2 among hepatocarcinogens. On the
other hand, hepatocarcinogenic methapyrilene and carbadox downregulated the
number of both ubiquitin D(+) cells and proliferating cells remaining in M phase
at day 28 and/or day 90, irrespective of the lack of cell proliferation
facilitation in the latter. These results suggest that hepatocarcinogens disrupt
spindle checkpoint function after 28 or 90 days of administration, which may be
induced ahead of cell proliferation facilitation.
PMID- 26558468
TI - Covalent binding of quinones activates the Ah receptor in Hepa1c1c7 cells.
AB - Highly reactive quinone species produced by photooxidation and/or metabolic
activation of mono- or bi-aromatic hydrocarbons modulate cellular homeostasis and
electrophilic signal transduction pathways through the covalent modification of
proteins. Polycyclic aromatic hydrocarbons, but not mono- or bi-aromatic
hydrocarbons, are well recognized as ligands for the aryl hydrocarbon receptor
(AhR). However, quinone species produced from mono- and bi-aromatic hydrocarbons
could potentially cause AhR activation. To clarify the AhR response to mono- and
bi-aromatic hydrocarbon quinones, we studied Cyp1a1 (cytochrome P450 1A1)
induction and AhR activation by these quinones. We detected Cyp1a1 induction
during treatment with quinones in Hepa1c1c7 cells, but not their parent
compounds. Nine of the twelve quinones with covalent binding capability for
proteins induced Cyp1a1. Cyp1a1 induction mediated by 1,2-naphthoquinone (1,2
NQ), 1,4-NQ, 1,4-benzoquinone (1,4-BQ) and tert-butyl-1,4-BQ was suppressed by a
specific AhR inhibitor and was not observed in c35 cells, which do not have a
functional AhR. These quinones stimulated AhR nuclear translocation and
interaction with the AhR nuclear translocator. Interestingly, 1,2-NQ covalently
modified AhR, which was detected by an immunoprecipitation assay using a specific
antibody against 1,2-NQ, resulting in enhancement of xenobiotic responsive
element (XRE)-derived luciferase activity and binding of AhR to the Cyp1a1
promoter region. While mono- and bi-aromatic hydrocarbons are generally believed
to be poor ligands for AhR and hence unable to induce Cyp1a1, our study suggests
that the quinones of these molecules are able to modify AhR and activate the
AhR/XRE pathway, thereby inducing Cyp1a1. Since we previously reported that 1,2
NQ and tert-butyl-1,4-BQ also activate NF-E2-related factor 2, it seems likely
that some of quinones are bi-functional inducers for phase-I and phase-II
reaction of xenobiotics.
PMID- 26558469
TI - S-Mercuration of ubiquitin carboxyl-terminal hydrolase L1 through Cys152 by
methylmercury causes inhibition of its catalytic activity and reduction of
monoubiquitin levels in SH-SY5Y cells.
AB - Methylmercury (MeHg) is an environmental electrophile that covalently modifies
cellular proteins. In this study, we identified proteins that undergo S
mercuration by MeHg. By combining two-dimensional SDS-PAGE, atomic absorption
spectrometry and ultra performance liquid chromatography mass spectrometry
(UPLC/MS/MS), we revealed that ubiquitin carboxyl-terminal hydrolase L1 (UCH-L1)
is a target for S-mercuration in human neuroblastoma SH-SY5Y cells exposed to
MeHg (1 uM, 9 hr). The modification site of UCH-L1 by MeHg was Cys152, as
determined by matrix-assisted laser desorption ionization time-of-flight mass
spectrometry. MeHg was shown to inhibit the catalytic activity of recombinant
human UCH-L1 in a concentration-dependent manner. Knockdown of UCH-L1 indicated
that this enzyme plays a critical role in regulating mono-ubiquitin (monoUb)
levels in SH-SY5Y cells and exposure of SH-SY5Y cells to MeHg caused a reduction
in the level of monoUb in these cells. These observations suggest that UCH-L1
readily undergoes S-mercuration by MeHg through Cys152 and this covalent
modification inhibits UCH-L1, leading to the potential disruption of the
maintenance of cellular monoUb levels.
PMID- 26558470
TI - Cisplatin-mediated cytotoxicity through inducing CYP4A 11 expression in human
renal tubular epithelial cells.
AB - Cisplatin (CP) is a major antineoplastic drug for the treatment of solid tumors,
but it has dose-dependent renal tubular toxicity. Previous studies have shown
that induction of cytochrome P450 (CYP) by CP may play a role in the renal injury
of CP. The aim of this study was to investigate the relationship between CP
induced toxicity and CYP4A11 expression in human renal tubular epithelial cells
(HK-2). 20-Hydroxyeicosatetraenoic acid (20-HETE) is a CYP4A11 metabolite of
arachidonic acid that plays an important role in renal injury. The activity of
lactate dehydrogenase (LDH) was determined by spectrophotometer. CYP4A11
expression was analyzed by immunocytochemistry. CYP4A11 mRNA and protein
expression were evaluated by RT-PCR and Western blot analyses. Results showed
that 20-HETE (1, 10, 50 MUM), a CYP4A11 metabolite of arachidonic acid,
significantly increased lactate dehydrogenase (LDH) release in these cells. When
CP (10(-4) M) and 20-HETE (1, 10, 50 MUM) were co-applied to these cells, CP
induced LDH release was significantly exaggerated by 20-HETE. Furthermore,
clofibrate, a CYP4A inducer, also increased LDH release in CP-treated cells. In
contrast, the CYP4A inhibitor N-Hydrocy-N'-(-4-butyl-2-methylphenyl) formamidine
(HET-0016) decreased LDH release in CP-treated cells. Immunocytochemical analysis
showed that CYP4A11expression was much stronger in CP-(10(-4) M) treated cells
than that in clofibrate-treated cells. Further RT-PCR and Western blot analyses
demonstrated that CYP4A11 mRNA and protein expression were significantly up
regulated in CP- (10(-4) M) treated cells compared to the clofibrate group. The
findings of this study indicate that CP is a potent inducer of CYP4A11, and it
exerts its toxic functions via the induction of CYP4A11 and 20-HETE generation.
PMID- 26558471
TI - Involvement of ubiquitin-coding genes in cadmium-induced protein ubiquitination
in human proximal tubular cells.
AB - Cadmium (Cd) is a toxic heavy metal with a long half-life in humans. It causes
disorders of various tissue systems, including the kidney, and is associated with
protein aggregation. Our previous study demonstrated Cd-induced suppression of
the UBE2D gene family, one of the ubiquitin-conjugating enzyme families. However,
the precise role of ubiquitin-coding genes in Cd toxicity remains to be
understood. In this study, we investigated the effect of Cd on expression of the
ubiquitin-coding genes UBB, UBC, UBA80, and UBA52 in HK-2 human proximal tubular
cells. Prior to the appearance of Cd toxicity, the UBB, UBC, and UBA80 expression
levels increased following Cd treatment. Knockdown of UBB by siRNA transfection
significantly decreased Cd cytotoxicity. Notably, Cd induces ubiquitinated
protein levels in HK-2 cells, and knockdown of UBB blocked this process. These
results suggest that UBB is involved in Cd-induced increase of protein
ubiquitination, and that accumulation of ubiquitinated proteins through increased
UBB expression may contribute to Cd toxicity in HK-2 cells.
PMID- 26558472
TI - Effect of in utero exposure to endocrine disruptors on fetal steroidogenesis
governed by the pituitary-gonad axis: a study in rats using different ways of
administration.
AB - The effects of endocrine disruptors on testicular steroidogenesis in fetal rats
were investigated in a study involving in utero exposure. In the major part of
this study, pregnant rats at gestational day (GD)15 were given a single oral
administration of the test substance, and then the expression of the following
mRNAs in GD20 fetuses was determined: testicular steroidogenic acute-regulatory
protein (StAR), a cholesterol transporter mediating the rate-limiting step of
steroidogenesis, a beta-subunit of pituitary luteinizing hormone (LH), and a
regulator of gonadal steroidogenesis. Among the substances tested, only di(2
ethylhexyl)phthalate (DEHP) reduced the expression of fetal testicular StAR. The
others listed below exhibited little effect on fetal StAR: 2,2',4,4'
tetrabromodiphenylether, tributyltin chloride, atrazine, permethrin, cadmium
chloride (Cd), lead acetate (Pb) and methylmercury (CH3HgOH). None of them,
including DEHP, lacked the ability to reduce the expression of pituitary LHbeta
mRNA. The present study also examined the potential of metals as modifiers of
fetal steroidogenesis by giving them to pregnant dams in drinking water during
GD1 and GD20. Under these conditions, Cd and Pb at a low concentration (0.01 ppm)
significantly attenuated the fetal testicular expression of StAR mRNA without a
concomitant reduction in LHbeta. No such effect was detected with CH3HgOH even at
1 ppm. These results suggest that: 1) DEHP, Cd and Pb attenuate the fetal
production of sex steroids by directly acting on the testis, and 2) chronic
treatment during the entire gestational period is more useful than a single
administration for determining the hazardous effect of a suspected endocrine
disruptor on fetal steroidogenesis.
PMID- 26558473
TI - Development of antimicrobial active packaging materials based on gluten proteins.
AB - BACKGROUND: The incorporation of natural biocide agents into protein-based
bioplastics, a source of biodegradable polymeric materials, manufactured by a
thermo-mechanical method is a way to contribute to a sustainable food packaging
industry. This study assesses the antimicrobial activity of 10 different biocides
incorporated into wheat gluten-based bioplastics. The effect that formulation,
processing, and further thermal treatments exert on the thermo-mechanical
properties, water absorption characteristics and rheological behaviour of these
materials is also studied. RESULTS: Bioplastics containing six of the 10 examined
bioactive agents have demonstrated suitable antimicrobial activity at 37 degrees
C after their incorporation into the bioplastic. Moreover, the essential oils are
able to create an antimicrobial atmosphere within a Petri dish. CONCLUSION:
Depending on the selected biocide, its addition may alter the bioplastics protein
network in a different extent, which leads to materials exhibiting less water
uptake and different rheological and thermo-mechanical behaviours. (c) 2015
Society of Chemical Industry.
PMID- 26558474
TI - Effects of TNF-alpha antagonism in patients with metabolic syndrome and
psoriasis.
PMID- 26558476
TI - New types of fraud in the academic world by cyber criminals.
PMID- 26558475
TI - Blood Pressure Measurement Modalities: A Primer for Busy Practitioners.
PMID- 26558477
TI - Incidence of prolonged postoperative ileus after colorectal surgery: a systematic
review and meta-analysis.
AB - AIM: Prolonged postoperative ileus (PPOI) after colorectal surgery remains a
leading cause of delayed postoperative recovery and prolonged hospital stay. Its
exact incidence is unknown. The aim of this systematic review is to investigate
the definitions and incidence of PPOI previously described. METHOD: MEDLINE,
Embase and the Cochrane Database of Systematic Reviews (up to July 2014) were
searched. Two authors independently reviewed citations using predefined inclusion
and exclusion criteria. RESULTS: The search strategy yielded 3233 citations; 54
were eligible, comprising 18 983 patients. Twenty-six studies were prospective
[17 of these being randomized controlled trials (RCTs)] and 28 were
retrospective. Meta-analysis revealed an incidence of PPOI of 10.3% (95% CI 8.4
12.5) and 10.2% (95% CI 5.6-17.8) for non-RCTs and RCTs, respectively.
Significant heterogeneity was observed for both non-RCTs and for RCTs. The used
definition of PPOI, the type of surgery and access (laparoscopic, open) and the
duration of surgery lead to significant variability of reported PPOI incidence
between studies. The incidence of PPOI is lower after laparoscopic colonic
resection. CONCLUSION: There is a large variation in the reported incidence of
PPOI. A uniform definition of PPOI is needed to allow meaningful inter-study
comparisons and to evaluate strategies to prevent PPOI.
PMID- 26558478
TI - Hydrogen retention in beryllium: concentration effect and nanocrystalline growth.
AB - We herein report on the formation of BeD2 nanocrystalline domes on the surface of
a beryllium sample exposed to energetic deuterium ions. A polycrystalline
beryllium sample was exposed to D ions at 2 keV/atom leading to laterally
averaged deuterium areal densities up to 3.5 10(17) D cm(-2), and studied using
nuclear reaction analysis, Raman microscopy, atomic force microscopy, optical
microscopy and quantum calculations. Incorporating D in beryllium generates a
tensile stress that reaches a plateau at ~1.5 10(17) D cm(-2). For values higher
than 2.0 10(17) cm(-2), we observed the growth of ~90 nm high dendrites,
covering up to 10% of the surface in some zones of the sample when the deuterium
concentration was 3 * 10(17) D cm(-2). These dendrites are composed of
crystalline BeD2, as evidenced by Raman microscopy and quantum calculations. They
are candidates to explain low temperature thermal desorption spectroscopy peaks
observed when bombarding Be samples with D ions with fluencies higher than 1.2
10(17) D cm(-2).
PMID- 26558479
TI - Population genetic evidence for cold adaptation in European Drosophila
melanogaster populations.
AB - We studied Drosophila melanogaster populations from Europe (the Netherlands and
France) and Africa (Rwanda and Zambia) to uncover genetic evidence of adaptation
to cold. We present here four lines of evidence for genes involved in cold
adaptation from four perspectives: (i) the frequency of SNPs at genes previously
known to be associated with chill-coma recovery time (CCRT), startle reflex (SR)
and resistance to starvation stress (RSS) vary along environmental gradients and
therefore among populations; (ii) SNPs of genes that correlate significantly with
latitude and altitude in African and European populations overlap with SNPs that
correlate with a latitudinal cline from North America; (iii) at the genomewide
level, the top candidate genes are enriched in gene ontology (GO) terms that are
related to cold tolerance; (iv) GO enriched terms from North American clinal
genes overlap significantly with those from Africa and Europe. Each SNP was
tested in 10 independent runs of Bayenv2, using the median Bayes factors to
ascertain candidate genes. None of the candidate genes were found close to the
breakpoints of cosmopolitan inversions, and only four candidate genes were linked
to QTLs related to CCRT. To overcome the limitation that we used only four
populations to test correlations with environmental gradients, we performed
simulations to estimate the power of our approach for detecting selection. Based
on our results, we propose a novel network of genes that is involved in cold
adaptation.
PMID- 26558480
TI - Cytotoxic Glycosylated Fatty Acid Amides from a Stelletta sp. Marine Sponge.
AB - We have discovered new glycosylated fatty acid amides, stellettosides, from a
Stelletta sp. marine sponge. They were detected through LC-MS analysis of the
extract combined with the cytotoxicity assay of the prefractionated sample. Their
planar structures were determined by analyses of the NMR and tandem FABMS data.
Stellettosides A1 and A2 (1 and 2) as well as stellettosides B1-B4 (3-6) were
obtained as inseparable mixtures. Careful analysis of the NMR and tandem FABMS
data of each mixture, along with comparison of the tandem FABMS data with that of
a synthetic model compound, permitted us to assign the structure of the
constituents in the mixture. The absolute configuration of the monosaccharide
unit was determined by LC-MS after chiral derivatization. The relative
configurations of the vicinal oxygenated methines in the fatty acid chains were
assigned by the (1)H NMR data of the isopropylidene derivative. The mixture of
stellettosides B1-B4 (3-6) exhibit moderate cytotoxic activity against HeLa cells
with an IC50 value of 9 MUM, whereas the mixture of stellettosides A1 and A2 (1
and 2) was not active at a concentration of 10 MUM.
PMID- 26558481
TI - Tuning Magnetic Relaxation in a Tb-Nitronyl Nitroxide Complex by Using
Cocrystalline Paramagnetic Complex.
AB - New 2p-4f and 2p-3d-4f compounds [Tb(hfac)3(NIT-PhNO2)2]. 0.5C7H16 (1) and
[Ln(hfac)3(NIT-PhNO2)2]2[Cu(hfac)2(NIT-PhNO2)2] (Ln(III) = Gd 2, Tb 3; hfac =
hexafluoroacetylacetonate; NIT-PhNO2 = 2-(p-nitrophenyl)-4,4,5,5
tetramethylimidazoline-1-oxyl-3-oxide) have been obtained. Complex 1 consists of
mononuclear trispin [Tb(hfac)3(NIT-PhNO2)2] units in which two radical ligands
are ligated to the Tb(III) ion as monodentate ligands through the NO groups,
while complexes 2 and 3 contain two kinds of trispin moieties, namely,
[Ln(hfac)3(NIT-PhNO2)2] and [Cu(hfac)2(NIT-PhNO2)2]. In the [Cu(hfac)2(NIT
PhNO2)2] moiety, the radicals are bonded to the copper(II) ion in the axial
positions via the nitroxides. For three compounds, 1D supramolecular chains are
formed via the pi-pi stacking interactions involving the radical ligands.
Magnetic investigations show that both Tb complexes exhibit slow relaxation of
magnetization at low temperature; strikingly, complex 3 displays a higher energy
barrier than that of 1. It represents the first example to use the paramagnetic
complex to tune magnetic relaxation of 4f-based compounds.
PMID- 26558482
TI - Fragmentation of Cr(NO3)4(-): Metal Oxidation upon O(*-) Abstraction.
AB - The decomposition of chromium nitrate anion, Cr(NO3)4(-), was investigated by
tandem mass spectrometry. The major fragments correspond to sequential
elimination of NO2(*) via O(*-) abstraction from each nitrate ligand to yield
CrOn(NO3)(4-n)(-), n = 1-4, products. The metal is oxidized upon the first three
O(*-) abstraction reactions to yield the fully oxidized Cr(VI), closed-shell,
CrO3(NO3)(-) fragment. A CrO4(-) fragment was detected, but the metal is not
further oxidized upon the fourth O(*-) abstraction. Experiment and theory
indicate the first three O(*-) abstraction reactions are low energy processes,
but the formation of CrO4(-) is considerably higher in energy. Theoretical
studies show the 3d electrons in chromium are removed by O(*-) for CrOn(NO3)(4
n)(-), n = 1-3, to yield oxo, O(2-) ligands, but the electron density is replaced
by donation from pi bonds involving the oxygen lone pairs. Theory predicts a
decrease in metal charge for each O(*-) abstraction, opposite the trend expected
for oxidation, due to pi electron donation from the oxygen atoms.
PMID- 26558483
TI - Progress in understanding and utilizing TNF-alpha inhibition for the treatment of
psoriatic arthritis.
AB - The improved recognition of pathogenetic molecular mechanisms has led to the use
of drugs targeting cytokines in different inflammatory arthropathies as well
psoriatic arthritis (PsA). In particular, the progress in knowledge on tumor
necrosis factor (TNF)-alpha in the pathogenesis of PsA has changed the
therapeutic approach by use of direct and receptor cytokine antagonists.
Currently, infliximab (IFX), adalimumab, etanercept, golimumab and certolizumab
pegol represent the five anti-TNF-alpha available for the treatment of PsA. This
review describes evidence on treatment aimed at neutralizing TNF-alpha in PsA
patients, from the first study in 2000 until today, mainly derived from
randomized clinical trials. In comparison with traditional therapies, anti-TNF
alpha agents have shown to have more efficacy both in treating clinical aspects,
including enthesitis, dactylitis, joint pain and swelling, axial involvement,
nail and skin lesions, and in reducing radiographic progression. Moreover, anti
TNF-alpha agents have been demonstrated to be reasonably safe in PsA, as
confirmed by data derived by different registries.
PMID- 26558484
TI - Assessment of Toxicity, Antifeedant Activity, and Biochemical Responses in Stored
Grain Insects Exposed to Lethal and Sublethal Doses of Gaultheria procumbens L.
Essential Oil.
AB - The present study was undertaken to investigate the insecticidal activity of
chemically characterized Gaultheria procumbens essential oil (EO) and its mode of
action against the Coleopteran insects Sitophilus oryzae and Rhyzopertha
dominica. Gas chromatography-mass spectrometry results depicted methyl salicylate
(MS) as the major compound (96.61%) of EO. EO and its major compound methyl
salicylate (MS) showed 100% mortality at 150 and 5.0 MUL/L air against S. oryzae
and R. dominica, respectively, on 24 h of exposure. The in vivo percent
inhibition of AChE activity ranged between 6.12 and 27.50%. In addition, changes
in the antioxidative defense system, superoxide dismutase (SOD), catalase (CAT),
reduced glutathione (GSH), and oxidized glutathione (GSSG), in test insects were
estimated. A significant dose-dependent response in all test parameters was
observed. The results demonstrated that G. procumbens EO could play a significant
role in the formulation of EO-based insecticides for the management of stored
grain insects.
PMID- 26558485
TI - Biosynthetic Study on Antihypercholesterolemic Agent Phomoidride: General
Biogenesis of Fungal Dimeric Anhydrides.
AB - To elucidate the general biosynthetic pathway of fungal dimeric anhydrides, a
gene cluster for the biosynthesis of the antihy-percholesterolemic agent
phomoidride was identified by heterologous expression of candidate genes encoding
the highly reducing polyketide synthase, alkylcitrate synthase (ACS), and
alkylcitrate dehydratase (ACDH). An in vitro analysis of ACS and ACDH revealed
that they give rise to anhydride monomers. Based on the established monomer
biosynthesis, we propose a general biogenesis of dimeric anhydrides involving a
single donor unit and four acceptor units.
PMID- 26558486
TI - Flow Field Penetration in Thin Nanoporous Polymer Films under Laminar Flow by
Forster Resonance Energy Transfer Coupled with Total Internal Reflectance
Fluorescence Microscopy.
AB - Polymer-fluid interfaces are used widely in a variety of applications, including
separations, which require exposure of the polymer to dynamic flow conditions.
Despite the ubiquity of such interfaces, the importance of convective mass
transport within the near-interface region of a polymer is a fundamental process
that is still poorly defined. As a step toward better defining mass transport
behavior within the near-interface portion of a polymer, in this work, a new
application of a spectroscopic method based on the combination of Forster
resonance energy transfer (FRET) and total internal reflectance fluorescence
microscopy (TIRFM) is reported that allows quantification of the penetration
depth of a laminar flow field (i.e., the slip length) in a densely grafted, thin
poly(N-isopropylacrylamide) (pNIPAM) film as a model polymer system.
Specifically, decay curves from FRET of an acceptor with a donor attached at the
substrate surface are fit to a combined Taylor-Aris-Fickian mass transport model
to extract apparent linear diffusion coefficients of acceptor molecules for
different flow rates. Apparent diffusion coefficients range from 1.9 * 10(-12) to
9.1 * 10(-12) cm(2)/s for near-surface flow linear velocities ranging from 192 to
2952 MUm/s. This increase in apparent diffusion coefficient with fluid flow rate
suggests increasing contributions from convective mass transport that are
indicative of flow field penetration into the polymer film. The depth of
penetration of the flow field is estimated to range from ~6% of the polymer film
thickness in a good solvent at ~192 MUm/s to ~60% of the film thickness at ~2952
MUm/s. Thus, flow field penetration into polymer thin films, with its concomitant
contributions from convective mass transport within the near-interface region of
the polymer, is demonstrated and quantified experimentally.
PMID- 26558487
TI - Highly Stable Near-Infrared Fluorescent Organic Nanoparticles with a Large Stokes
Shift for Noninvasive Long-Term Cellular Imaging.
AB - Fluorescent organic nanoparticles based on small molecules have been regarded as
promising candidates for bioimaging in recent years. In this study, we report a
highly stable near-infrared (NIR) fluorescent organic nanoprobes based on
nanoparticles of an anthraquinone derivate with strong aggregation-induced
emission (AIE) characteristics and a large Stokes shift (>175 nm). These endow
the nanoprobe with high fluorescent brightness and high signal-to-noise ratio. On
the other hand, the nanoprobe also shows low cytotoxicity, good stability over a
wide pH range, superior resistance against photodegradation and photobleaching
comparing to typical commercial fluorescent organic dyes such as fluorescein
sodium. Endowed with such merits in term of optical performance,
biocompatibility, and stability, the nanoprobe is demonstrated to be an ideal
fluorescent probe for noninvasive long-term cellular tracing and imaging
applications. As an example, it is shown that strong red fluorescence from the
nanoprobe can still be clearly observed in A549 human lung cancer cells after
incubation for six generations over 15 days.
PMID- 26558488
TI - Photopatterning of Hydrogel Microarrays in Closed Microchips.
AB - To date, optical lithography has been extensively used for in situ patterning of
hydrogel structures in a scale range from hundreds of microns to a few
millimeters. The two main limitations which prevent smaller feature sizes of
hydrogel structures are (1) the upper glass layer of a microchip maintains a
large spacing (typically 525 MUm) between the photomask and hydrogel precursor,
leading to diffraction of UV light at the edges of mask patterns, (2) diffusion
of free radicals and monomers results in irregular polymerization near the
illumination interface. In this work, we present a simple approach to enable the
use of optical lithography to fabricate hydrogel arrays with a minimum feature
size of 4 MUm inside closed microchips. To achieve this, we combined two
different techniques. First, the upper glass layer of the microchip was thinned
by mechanical polishing to reduce the spacing between the photomask and hydrogel
precursor, and thereby the diffraction of UV light at the edges of mask patterns.
The polishing process reduces the upper layer thickness from ~525 to ~100 MUm,
and the mean surface roughness from 20 to 3 nm. Second, we developed an
intermittent illumination technique consisting of short illumination periods
followed by relatively longer dark periods, which decrease the diffusion of
monomers. Combination of these two methods allows for fabrication of 0.4 * 10(6)
sub-10 MUm sized hydrogel patterns over large areas (cm(2)) with high
reproducibility (~98.5% patterning success). The patterning method is tested with
two different types of photopolymerizing hydrogels: polyacrylamide and
polyethylene glycol diacrylate. This method enables in situ fabrication of well
defined hydrogel patterns and presents a simple approach to fabricate 3-D
hydrogel matrices for biomolecule separation, biosensing, tissue engineering, and
immobilized protein microarray applications.
PMID- 26558489
TI - An overview of molecular fingerprint similarity search in virtual screening.
AB - INTRODUCTION: A central premise of medicinal chemistry is that structurally
similar molecules exhibit similar biological activities. Molecular fingerprints
encode properties of small molecules and assess their similarities
computationally through bit string comparisons. Based on the similarity to a
biologically active template, molecular fingerprint methods allow for identifying
additional compounds with a higher chance of displaying similar biological
activities against the same target - a process commonly referred to as virtual
screening (VS). AREAS COVERED: This article focuses on fingerprint similarity
searches in the context of compound selection for enhancing hit sets, comparing
compound decks, and VS. In addition, the authors discuss the application of
fingerprints in predictive modeling. EXPERT OPINION: Fingerprint similarity
search methods are especially useful in VS if only a few unrelated ligands are
known for a given target and therefore more complex and information rich methods
such as pharmacophore searches or structure-based design are not applicable. In
addition, fingerprint methods are used in characterizing properties of compound
collections such as chemical diversity, density in chemical space, and content of
biologically active molecules (biodiversity). Such assessments are important for
deciding what compounds to experimentally screen, to purchase, or to assemble in
a virtual compound deck for in silico screening or de novo design.
PMID- 26558490
TI - Promoting early exposure monitoring for respirable crystalline silica: Taking the
laboratory to the mine site.
AB - The exposure to respirable crystalline silica (RCS) in the mining industry is a
recognized occupational hazard. The assessment and monitoring of the exposure to
RCS is limited by two main factors: (1) variability of the silica percent in the
mining dust and (2) lengthy off-site laboratory analysis of collected samples.
The monitoring of respirable dust via traditional or real-time techniques is not
adequate. A solution for on-site quantification of RCS in dust samples is being
investigated by the Office of Mine Safety and Health Research, a division of the
National Institute for Occupational Safety and Health. The use of portable
Fourier transform infrared analyzers in conjunction with a direct-on-filter
analysis approach is proposed. The progress made so far, the necessary steps in
progress, and the application of the monitoring solution to a small data set is
presented. When developed, the solution will allow operators to estimate RCS
immediately after sampling, resulting in timelier monitoring of RCS for self
assessment of compliance at the end of the shift, more effective engineering
monitoring, and better evaluation of control technologies.
PMID- 26558491
TI - The potential of function-led virtual environments for ecologically valid
measures of executive function in experimental and clinical neuropsychology.
AB - The assessment of executive functions is an integral task of neuropsychological
assessment. Traditional measures of executive function are often based on
hypothetical constructs that may have little relevance to real-world behaviours.
In fact, some traditional tests utilised today were not originally developed for
clinical use. Recently, researchers have been arguing for a new generation of
"function-led" neuropsychological assessments that are developed from directly
observable everyday behaviours. Although virtual environments (VEs) have been
presented as potential aides in enhancing ecological validity, many were modelled
on construct-driven approaches found in traditional assessments. In the current
paper, we review construct-driven and function-led VE-based neuropsychological
assessments of executive functions. Overall, function-led VEs best represent the
sorts of tasks needed for enhanced ecological validity and prediction of real
world functioning.
PMID- 26558492
TI - Pharmacodynamic considerations in the treatment of pulmonary hypertension in
infants: challenges and future perspectives.
AB - INTRODUCTION: Pulmonary hypertension (PH) in infants is a life-threatening
disease with a high mortality. It is treated with different drugs that act upon
the three different pathways involved in its development. Studies on the drug
pharmacodynamics are sparse, however. AREAS COVERED: This review reports a search
on the currently available literature in English on drug pharmacodynamics in
infants with PH. The search yielded 2499 citations in the EMBASE, MEDLINE,
COCHRANE, Web of Science, PubMed Publisher and Google Scholar databases since
1961. Of these, 1691 did not meet the research question. Eventually, 655 articles
were of interest, including 44 randomized controlled trials on PH in infants.
These articles cover all PH medications used in infancy. EXPERT OPINION:
Mortality of PH in infancy has dropped considerably over the past years. iNO is
widely used, followed by sildenafil - both orally and intravenously in contrast
to the exclusively oral use in adults. In adults, the pharmacodynamic effects of
the different medications are tested using the 6-minute walking test, changes in
the NYHA classification, or by invasive measurement of pulmonary pressure.
Reliable data of pharmacodynamics tested in adequate series or in randomized
controlled trials in children are lacking, however, for most of these
medications.
PMID- 26558493
TI - What next for newly diagnosed glioblastoma?
AB - Glioblastoma is the most common primary brain tumor in adults. Despite current
multimodality treatment including surgical resection and temozolomide-based
chemoradiotherapy, median survival is only 14-16 months. Characterization of
molecular alterations in glioblastoma has identified prognostic subgroups and
therapeutic opportunities for clinical trials across glioblastoma subsets.
Following a number of negative Phase III trials testing temozolomide dose
intensification and angiogenesis inhibition, recent interim analysis data
indicate survival prolongation with use of a device (OptuneTM) delivering
alternating electrical field therapy in newly diagnosed glioblastoma patients. In
this review, we present an overview of the data supporting the current standard
of care and discuss novel experimental therapies in early and late phase clinical
testing including devices, small molecule drugs, angiogenesis inhibitors,
oncolytic virotherapy and immunotherapy.
PMID- 26558494
TI - Light therapy for preventing seasonal affective disorder.
AB - BACKGROUND: Seasonal affective disorder (SAD) is a seasonal pattern of recurrent
major depressive episodes that most commonly occurs during autumn or winter and
remits in spring. The prevalence of SAD ranges from 1.5% to 9%, depending on
latitude. The predictable seasonal aspect of SAD provides a promising opportunity
for prevention. This review - one of four reviews on efficacy and safety of
interventions to prevent SAD - focuses on light therapy as a preventive
intervention. Light therapy is a non-pharmacological treatment that exposes
people to artificial light. Mode of delivery (e.g. visors, light boxes) and form
of light (e.g. bright white light) vary. OBJECTIVES: To assess the efficacy and
safety of light therapy (in comparison with no treatment, other types of light
therapy, second-generation antidepressants, melatonin, agomelatine, psychological
therapies, lifestyle interventions and negative ion generators) in preventing SAD
and improving patient-centred outcomes among adults with a history of SAD. SEARCH
METHODS: A search of the Specialised Register of the Cochrane Depression, Anxiety
and Neuorosis Review Group (CCDANCTR) included all years to 11 August 2015. The
CCDANCTR contains reports of relevant randomised controlled trials derived from
EMBASE (1974 to date), MEDLINE (1950 to date), PsycINFO (1967 to date) and the
Cochrane Central Register of Controlled Trails (CENTRAL). Furthermore, we
searched the Cumulative Index to Nursing and Allied Health Literature (CINAHL),
Web of Knowledge, The Cochrane Library and the Allied and Complementary Medicine
Database (AMED) (to 26 May 2014). We also conducted a grey literature search and
handsearched the reference lists of all included studies and pertinent review
articles. SELECTION CRITERIA: For efficacy, we included randomised controlled
trials on adults with a history of winter-type SAD who were free of symptoms at
the beginning of the study. For adverse events, we also intended to include non
randomised studies. We intended to include studies that compared any type of
light therapy (e.g. bright white light, administered by visors or light boxes,
infrared light, dawn stimulation) versus no treatment/placebo, second-generation
antidepressants (SGAs), psychological therapies, melatonin, agomelatine,
lifestyle changes, negative ion generators or another of the aforementioned light
therapies. We also planned to include studies that looked at light therapy in
combination with any comparator intervention and compared this with the same
comparator intervention as monotherapy. DATA COLLECTION AND ANALYSIS: Two review
authors screened abstracts and full-text publications against the inclusion
criteria. Two review authors independently abstracted data and assessed risk of
bias of included studies. MAIN RESULTS: We identified 2986 citations after de
duplication of search results. We excluded 2895 records during title and abstract
review. We assessed 91 full-text papers for inclusion in the review, but only one
study providing data from 46 people met our eligibility criteria. The included
randomised controlled trial (RCT) had methodological limitations. We rated it as
having high risk of performance and detection bias because of lack of blinding,
and as having high risk of attrition bias because study authors did not report
reasons for dropouts and did not integrate data from dropouts into the
analysis.The included RCT compared preventive use of bright white light (2500 lux
via visors), infrared light (0.18 lux via visors) and no light treatment.
Overall, both forms of preventive light therapy reduced the incidence of SAD
numerically compared with no light therapy. In all, 43% (6/14) of participants in
the bright light group developed SAD, as well as 33% (5/15) in the infrared light
group and 67% (6/9) in the non-treatment group. Bright light therapy reduced the
risk of SAD incidence by 36%; however, the 95% confidence interval (CI) was very
broad and included both possible effect sizes in favour of bright light therapy
and those in favour of no light therapy (risk ratio (RR) 0.64, 95% CI 0.30 to
1.38). Infrared light reduced the risk of SAD by 50% compared with no light
therapy, but in this case also the CI was too broad to allow precise estimations
of effect size (RR 0.50, 95% CI 0.21 to 1.17). Comparison of both forms of
preventive light therapy versus each other yielded similar rates of incidence of
depressive episodes in both groups (RR 1.29, 95% CI 0.50 to 3.28). The quality of
evidence for all outcomes was very low. Reasons for downgrading evidence quality
included high risk of bias of the included study, imprecision and other
limitations, such as self rating of outcomes, lack of checking of compliance
throughout the study duration and insufficient reporting of participant
characteristics.Investigators provided no information on adverse events. We could
find no studies that compared light therapy versus other interventions of
interest such as SGA, psychological therapies, melatonin or agomelatine. AUTHORS'
CONCLUSIONS: Evidence on light therapy as preventive treatment for patients with
a history of SAD is limited. Methodological limitations and the small sample size
of the only available study have precluded review author conclusions on effects
of light therapy for SAD. Given that comparative evidence for light therapy
versus other preventive options is limited, the decision for or against
initiating preventive treatment of SAD and the treatment selected should be
strongly based on patient preferences.
PMID- 26558495
TI - Metabolism and health effects of phyto-estrogens.
AB - Phyto-estrogens are plant-derived compounds that can exert various estrogenic and
anti-estrogenic effects, and are usually used as a natural alternative to
estrogen replacement due to their health benefits, including a lowered risk of
osteoporosis, heart disease, breast cancer, and menopausal symptoms. Phyto
estrogens are also considered as endocrine disruptors due to their structure
similar to human female hormone 17-beta oestradiol. However, the issue of whether
phyto-estrogens are beneficial or harmful to human health remains unknown, as
this may depend on the dose, form, level and duration of administration of phyto
estrogens, and influence by genetics, metabolism, gut physiology, age, diet, and
the health status of individuals. Clarification on this issue is necessary for
the sake of their two-side effects on human health and rapidly increasing global
consumption of phyto-estrogens. This review mainly includes the metabolism of
phyto-estrogens and weighs the evidence for and against the purported health
benefits and adverse effects of phyto-estrogens.
PMID- 26558496
TI - Resilience training in medical school: the solution to doctor burnout?
PMID- 26558497
TI - Lactoperoxidase as a potential drug target.
AB - INTRODUCTION: Lactoperoxidase (LPO) belongs to the immunologically relevant
mammalian heme peroxidases. The enzyme contributes in external secretions to the
humoral immune defense against pathogens by oxidation of thiocyanate (SCN(-)) and
iodide (I(-)). The generation of oxidized thiocyanate and/or iodine species is
also important in numerous biotechnological applications of LPO. AREAS COVERED:
In this review, we give an overview about the present knowledge of LPO concerning
enzymatic structure, catalytic cycles and (pseudo-)halogenated species generated
by the enzyme. Redox properties of LPO as well as kinetic aspects regarding the
different enzymatic cycles are discussed in order to gain insights into the
disturbance of the (pseudo-)halogenating enzyme activity under pathological
conditions. Important structural features of LPO and crystallographic studies on
the interaction and reaction of organic substrates with the enzyme are also
summarized. A broad discussion is devoted to the binding and oxidation of
substrates that either inhibit or promote LPO activity. EXPERT OPINION: On the
basis of these data, different strategies to further optimize LPO functions in
humoral defense of mucous surfaces and biotechnological applications are
discussed. In particular, hydrophobic organic substrates with a 3,4
dihydroxyphenyl partial structure considerably enhance the (pseudo-)halogenating
activity of LPO. Their application provides, thus, a new strategy to enhance the
anti-microbial activity of this enzyme.
PMID- 26558498
TI - Clinical development of talimogene laherparepvec (T-VEC): a modified herpes
simplex virus type-1-derived oncolytic immunotherapy.
AB - Tumor immunotherapy is emerging as a promising new treatment option for patients
with cancer. T-VEC is an intralesional oncolytic virus therapy based on a
modified herpes simplex virus type-1. T-VEC selectively targets tumor cells,
causing regression in injected lesions and inducing immunologic responses that
mediate regression at uninjected/distant sites. In a randomized phase III trial,
T-VEC met its primary endpoint of improving the durable response rate vs
granulocyte-macrophage colony-stimulating factor in patients with unresectable
melanoma. Responses were observed in injected and uninjected regional and
visceral lesions. Exploratory analyses suggested survival differences in favor of
T-VEC in patients with untreated or stage IIIB/IIIC/IVM1a disease. T-VEC was
generally well tolerated, the most common adverse events being flu-like symptoms.
Here, we overview recent advances in cancer immunotherapy, focusing on the
clinical development of T-VEC, from first-in-human studies and studies in other
cancer types, to ongoing combination trials with checkpoint inhibitors.
PMID- 26558499
TI - Posttraumatic Stress Disorder's Underlying Dimensions and Their Relation With
Impulsivity Facets.
AB - Research indicates a significant relationship between posttraumatic stress
disorder (PTSD) and impulsivity (Kotler, Julian, Efront, and Amir, J Nerv Ment
Dis 189:162-167, 2001; Ledgerwood and Petry, J Trauma Stress 19:411-416, 2006).
The present study assessed relations between PTSD symptom clusters and
impulsivity subscales in an effort to assess the specific impulsivity component
most related to PTSD's alterations in arousal/reactivity and alterations in
mood/cognitions symptoms. In the current study, the PTSD Checklist for Diagnostic
and Statistical Manual of Mental Disorders, 5th Edition, and the UPPS Impulsivity
Scale were administered to a sample of 412 nonclinical subjects with a trauma
history. Results indicated that PTSD's alterations in arousal/reactivity and
mood/cognition factors were most related to impulsivity's sensation-seeking
tendency compared with other impulsivity components. Results highlight the
importance of assessing and addressing (1) sensation-seeking tendencies and (2)
urges to act impulsively when experiencing negative affect in trauma treatment.
Furthermore, it is possible that sensation-seeking tendencies are primarily
driving the comorbidity between PTSD and certain impulsive behaviors.
PMID- 26558500
TI - Among Inpatients, Posttraumatic Stress Disorder Symptom Severity Is Negatively
Associated With Time Spent Walking.
AB - This study aimed to determine whether posttraumatic stress disorder (PTSD)
symptom severity and psychological and functional variables were associated with
physical activity (PA) upon admission to an inpatient facility. PTSD symptoms,
depression, anxiety and stress, sleep quality, and PA participation were assessed
among 76 participants (age, 47.6 +/- 11.9 years; 83% male). Backward stepwise
regression analyses identified variables independently associated with time spent
walking and engaging in moderate-vigorous PA (MVPA). No significant correlations
were found between any of the variables and MVPA. Total PTSD symptoms (r = -0.39,
p < 0.001), combined symptoms of depression, anxiety, and stress (r = -0.31, p <
0.01), and sleep behavior (r = -0.24, p < 0.05) were significantly and negatively
associated with total walking time. Total PTSD symptoms were the only significant
predictor of walking time (B = -0.03, SE = 0.008, beta = -0.4; t = -3.4; p <
0.001). Results indicate that increased PTSD symptoms are associated with lower
levels of walking. Results highlight the importance of considering symptoms when
designing PA programs for people with PTSD.
PMID- 26558501
TI - Type D Personality and Essential Hypertension in Primary Care: A Cross-Sectional
Observational Study Within a Cohort of Patients Visiting General Practitioners.
AB - To estimate the relationship between type D personality and essential
hypertension among patients visiting their GPs for any health problem, 101
hypertensive and 138 nonhypertensive patients were consecutively recruited and
assessed using the Type D Personality Scale (DS14). The predictive value of type
D personality was determined using a logistic regression model, taking into
account the differences in recognized confounders between groups. Type D
personality in the hypertension group was twice as frequent as in the no
hypertension group and hypertension was more frequent among type D than non-type
D patients. Logistic regression showed a significant predictive value of type D
personality for hypertension, adjusting for sex, age, body mass index, family
history of hypertension, living condition, education, and employment. Therefore,
type D personality was strongly related to hypertension and it was a noteworthy
predictor of hypertension in a real-world cohort of primary care patients.
PMID- 26558502
TI - Integrated Care and the Behavioral Health Home: A New Program to Help Improve
Somatic Health Outcomes for Those With Serious Mental Illness.
AB - Research indicates that individuals with serious mental illness (SMI)
consistently have lower access to primary care and much higher rates of
preventable somatic health problems, like diabetes, hypertension, and
hyperlipidemia. These higher rates of preventable somatic health problems result
in poorer quality of life, lower life expectancy, and higher use of expensive
emergency care. With this growing awareness and the recent health care reform, a
new program, called the Behavioral Health Home (BHH), has been created to reduce
barriers that individuals with SMI face when trying to access primary care. This
report provides information on the how these health disparities were well
documented at a university-based psychiatric clinical program and the creation of
a BHH to help address these health needs. Lessons learned from initial
implementation and future directions of the BHH are discussed.
PMID- 26558503
TI - A Model for Recruiting Clinical Research Participants With Anxiety Disorders in
the Absence of Service Provision: Visions, Challenges, and Norms Within a
Canadian Context.
AB - High-quality research in clinical psychology often depends on recruiting adequate
samples of clinical participants with formally diagnosed difficulties. This
challenge is readily met within the context of a large treatment center, but many
clinical researchers work in academic settings that do not feature a medical
school, hospital connections, or an in-house clinic. This article describes the
model we developed at the University of Waterloo Centre for Mental Health
Research for identifying and recruiting large samples of people from local
communities with diagnosable mental health problems who are willing to
participate in research but for whom treatment services are not offered. We
compare the diagnostic composition, symptom profile, and demographic
characteristics of our participants with treatment-seeking samples recruited from
large Canadian and American treatment centers. We conclude that the Anxiety
Studies Division model represents a viable and valuable method for recruiting
clinical participants from the community for psychopathology research.
PMID- 26558504
TI - The role of lncRNAs in hepatocellular carcinoma: opportunities as novel targets
for pharmacological intervention.
AB - Long non-coding RNA (lncRNA) is commonly defined as an RNA with a length of
greater than 200 nucleotides, frequently up to 100 kb. Numerous studies have
shown that dysregulation of lncRNAs may directly relate to a number of human
diseases, particularly in oncology where lncRNAs appear to play an important
role. LncRNAs may also play a potentially novel and critical role in the
development and progression of hepatocellular carcinoma (HCC). This article
discusses lncRNAs as a new possibility for diagnostic and therapeutic approaches
for HCC. The authors introduce the relationship between some lncRNAs and HCC,
including carcinogenesis, development, metastasis and prognosis. In addition, the
authors suggest that the discovery of lncRNAs may encourage the discovery and
development of new therapeutic modalities for HCC and that their regulation may
be a promising potential treatment for HCC. Clinical studies are required to
determine the therapeutic effect of regulating lncRNA in humans with HCC.
PMID- 26558505
TI - Serum Creatinine Versus Plasma Methotrexate Levels to Predict Toxicities in
Children Receiving High-dose Methotrexate.
AB - Facilities for measuring methotrexate (MTX) levels are not available everywhere,
potentially limiting administration of high-dose methotrexate (HDMTX). We
hypothesized that serum creatinine alteration after HDMTX administration predicts
MTX clearance. Overall, 122 cycles in 50 patients of non-Hodgkin lymphoma or
acute lymphoblastic leukemia aged <=18 years receiving HDMTX were enrolled
prospectively. Plasma MTX levels were measured at 12, 24, 36, 48, 60, and 72
hours; serum creatinine was measured at baseline, 24, 48, and 72 hours.
Correlation of plasma MTX levels with creatinine levels and changes in creatinine
from baseline (Delta creatinine) were evaluated. Plasma MTX levels at 72 hours
showed positive correlation with serum creatinine at 48 hours (P = .011) and 72
hours (P = .013) as also Delta creatinine at 48 hours (P = .042) and 72 hours (P
= .045). However, cut-off value of either creatinine or Delta creatinine could
not be established to reliably predict delayed MTX clearance. Greater than 50%
Delta creatinine at 48 and 72 hours significantly predicted grade 3/4 leucopenia
(P = .036 and P = .001, respectively) and thrombocytopenia (P = .012 and P =
.009, respectively) but not mucositis (P = .827 and P = .910, respectively).
Delayed MTX elimination did not predict any grade 3/4 toxicity. In spite of
demonstration of significant correlation between serum creatinine and Delta
creatinine with plasma MTX levels at 72 hours, cut-off value of either variable
to predict MTX delay could not be established. Thus, either of these cannot be
used as a surrogate for plasma MTX estimation. Interestingly, Delta creatinine
effectively predicted hematological toxicities, which were not predicted by
delayed MTX clearance.
PMID- 26558506
TI - Clinical implications of recent findings in schistosome proteomics.
AB - Schistosomiasis is a neglected tropical disease of clinical significance that,
despite years of research, still requires an effective vaccine and improved
diagnostics for surveillance, control and potential elimination. Furthermore, the
causes of host pathology during schistosomiasis are still not completely
understood. The recent sequencing of the genomes of the three key schistosome
species has enabled the discovery of many new possible vaccine and drug targets,
as well as diagnostic biomarkers, using high-throughput and sensitive proteomics
methods. This review focuses on the literature of the last 5 years that has
reported on the use of proteomics to both better understand the biology of the
schistosome parasites and the disease they cause in definitive mammalian hosts.
PMID- 26558507
TI - Combining cycloisomerization with trienamine catalysis: a regiochemically
flexible enantio- and diastereoselective synthesis of hexahydroindoles.
AB - The synthesis of polysubstituted hexahydroindoles through trienamine
organocatalyzed cycloadditions of pyrrolidinyl dienals, prepared by palladium
catalyzed cycloisomerization, is reported. The cycloadditions of this novel class
of dienals proceed with excellent levels of enantio- and diastereoselectivity,
with the regioselectivity of cycloaddition with respect to the tethering ring
readily tuned through design of the cycloisomerization substrate. This work
culminates in the first examples of double-stereodifferentiating trienamine
catalysis, where catalyst stereocontrol dominates facial selectivity in the
cycloaddition, affording azacyclic products that are specifically functionalized
at every position.
PMID- 26558509
TI - A population-based analysis of use and outcomes of laparoscopic bariatric surgery
across socioeconomic groups in Taiwan.
AB - BACKGROUND: With the growing development of minimally invasive techniques for the
treatment of morbid obesity, laparoscopic bariatric surgery (LBS) is increasingly
performed. This study aimed to assess the association between patients'
socioeconomic status (SES) and the likelihood of undergoing LBS and related
outcomes in Taiwan. METHODS: This nationwide population-based study was conducted
by using data from Taiwan's National Health Insurance Research Database. A total
of 3678 morbidly obese patients aged 18 years and older who underwent
conventional open bariatric surgery or LBS were identified between 2004 and 2011.
Regression analyses were performed using generalized estimating equation (GEE)
models to account for the nesting of patients within physician to assess
patients' SES category associated with the use of LBS and related outcomes. Odds
ratios (ORs) and 95 % confidence intervals (CIs) were estimated. RESULTS:
Compared with those with medium and low SES (84.6 % and 80.2 %), patients with
high SES (88.1 %) had the highest percentage of undergoing LBS (P < 0.001). After
adjusting for patient demographics, institution and surgeon characteristics, the
multivariate GEE analysis revealed that the highest likelihood of undergoing LBS
was noted in morbidly obese patients with high SES (OR = 1.45, 95 % CI 1.10
1.90), followed by those with medium SES (OR = 1.27, 95 % CI 1.04-1.56). In
addition, patients with high SES had slightly lower length of hospital stay (LOS;
OR = 0.90, 95 % CI 0.82-0.99) and hospital treatment cost (OR = 0.93, 95 % CI
0.87-0.99) than their counterparts after adjustment. CONCLUSIONS: The increased
likelihood of undergoing LBS and lower LOS and hospital treatment cost were noted
among morbidly obese patients with higher SES. This finding suggests there is the
need to improve clinical practice and reduce health disparities in the surgical
treatment of morbidly obese patients.
PMID- 26558510
TI - Extracurricular activity participation moderates impact of family and school
factors on adolescents' disruptive behavioural problems.
AB - BACKGROUND: The prevalence of problem behaviours among British adolescents has
increased in the past decades. Following Erikson's psychosocial developmental
theory and Bronfenbrenner's developmental ecological model, it was hypothesized
that youth problem behaviour is shaped in part by social environment. The aim of
this project was to explore potential protective factors within the social
environment of British youth's for the presentation of disruptive behavioural
problems. METHOD: This study used secondary data from the Longitudinal Study of
Young People in England, a cohort study of secondary school students. These data
were analysed with generalized estimation equations to take the correlation
between the longitudinal observations into account. Three models were built. The
first model determined the effect of family, school, and extracurricular setting
on presentation of disruptive behavioural problems. The second model expanded the
first model by assuming extracurricular activities as protective factors that
moderated the interaction between family and school factors with disruptive
behavioural problems. The third model described the effect of prior disruptive
behaviour on current disruptive behaviour. RESULTS: Associations were found
between school factors, family factors, involvement in extracurricular activities
and presence of disruptive behavioural problems. Results from the second
generalized estimating equation (GEE) logistic regression models indicated that
extracurricular activities buffered the impact of school and family factors on
the presence of disruptive behavioural problems. For instance, participation in
sports activities decreased the effect of bullying on psychological distress.
Results from the third model indicated that prior acts of disruptive behaviour
reinforced current disruptive behaviour. CONCLUSION: This study supports
Erikson's psychosocial developmental theory and Bronfenbrenner's developmental
ecological model; social environment did influence the presence of disruptive
behavioural problems for British adolescents. The potential of extracurricular
activities to intervention strategies addressing disruptive behavioural problems
of adolescents is discussed.
PMID- 26558511
TI - In vitro response of date palm (Phoenix dactylifera L.) to K/Na ratio under
saline conditions.
AB - BACKGROUND: Salinity is a serious factor limiting the productivity of
agricultural plants. One of the potential problems for plants growing under
saline conditions is the inability to up take enough K(+). The addition of K(+)
may considerably improve the salt tolerance of plants grown under salinity. It is
assumed that increasing the K(+) supply at the root zone can ameliorate the
reduction in growth imposed by high salinity. The present study aims to determine
whether an increase in the K/Na ratio in the external media would enhance the
growth of date palm seedlings under in vitro saline conditions. METHODS: Date
palm plants were grown at four concentrations of Na + K/Cl (mol/m(3)) with three
different K/Na ratios. The 12 salt treatments were added to modified MS medium.
The modified MS medium was further supplemented with sucrose at 30 g/l. RESULTS:
Growth decreased substantially with increasing salinity. Growth expressed as
shoot and root weight, enhanced significantly with certain K/Na ratios, and
higher weight was maintained in the presence of equal K and Na. It is the leaf
length, leaf thickness and root thickness that had significant contribution on
total dry weight. Na(+) contents in leaf and root increased significantly
increased with increasing salinity but substantial decreases in Na(+) contents
were observed in the leaf and root with certain K/Na ratios. This could be
attributed to the presence of a high K(+) concentration in the media. The
internal Na(+) concentration was higher in the roots in all treatments, which
might indicate a mechanism excluding Na(+) from the leaves and its retention in
the roots. K/Na ratios up to one significantly increased the leaf and root K(+)
concentration, and it was most pronounced in leaves. The K(+) contents in leaf
and root was not proportional to the K(+) increase in the media, showing a high
affinity for K(+) uptake at lower external K(+) concentrations, but this
mechanism continues to operate even with high external Na(+) concentrations.
CONCLUSION: Increasing K/Na ratios in the growing media of date plam
significantly reduced the absorption of Na(+) less than 200 mM and also balance
ions compartmentalization.
PMID- 26558512
TI - Changes in circulating lipids level over time after acquiring HCV infection:
results from ERCHIVES.
AB - BACKGROUND: Changes in lipid levels over time after acquiring HCV infection, and
how they differ from HCV-uninfected persons are unknown. METHODS: We used
ERCHIVES to identify those with a known HCV seroconversion window and
persistently negative controls. We excluded subjects with HIV and hepatitis B and
those who received lipid lowering agents. Total Cholesterol (TC), low-density
lipoproteins (LDL), high-density lipoproteins (HDL), triglycerides (TG) and non
HDL cholesterol were retrieved at yearly intervals and plotted over time.
RESULTS: Among 1,270 HCV+ and 5,070 HCV- subjects, median age [IQR] was 47[37,53]
for HCV+ and 52[47,57] for the HCV- group; 69% were White and 91% were males in
each group. Mean BMI [SD] was 26.94[6.73] in the HCV+ and 28.15 [5.98] in the HCV
group (P < 0.001). Over a 10-year follow-up period among HCV+ persons, TC
decreased by (mean (SD) mg/dL) 12.06(36.95), LDL by 9.22(31.44), TG by
13.58(87.01) and non-HDL-C by 12.55(35.14). Among HCV- persons, TC cholesterol
decreased by 4.15(31.21), LDL by 4.16(26.51); TG by 4.42(82.34) and non-HDL-C by
5.78(30.17). CONCLUSIONS: After HCV acquisition, TC, LDL, TG and non-HDL-C
progressively decline over time independent of BMI and liver fibrosis.
Consequences of lipid changes and the need and optimal timing of lipid lowering
therapy in HCV+ persons require further study.
PMID- 26558513
TI - Propofol infusion syndrome: a structured review of experimental studies and 153
published case reports.
AB - INTRODUCTION: Propofol infusion syndrome (PRIS) is a rare, but potentially lethal
adverse effect of a commonly used drug. We aimed to review and correlate
experimental and clinical data about this syndrome. METHODS: We searched for all
case reports published between 1990 and 2014 and for all experimental studies on
PRIS pathophysiology. We analysed the relationship between signs of PRIS and the
rate and duration of propofol infusion causing PRIS. By multivariate logistic
regression we looked at the risk factors for mortality. RESULTS: Knowledge about
PRIS keeps evolving. Compared to earlier case reports in the literature, recently
published cases describe older patients developing PRIS at lower doses of
propofol, in whom arrhythmia, hypertriglyceridaemia and fever are less frequently
seen, with survival more likely. We found that propofol infusion rate and
duration, the presence of traumatic brain injury and fever are factors
independently associated with mortality in reported cases of PRIS (area under
receiver operator curve = 0.85). Similar patterns of exposure to propofol (in
terms of time and concentration) are reported in clinical cases and experimental
models of PRIS. Cardiac failure and metabolic acidosis occur early in a dose
dependent manner, while arrhythmia, other electrocardiographic changes and
rhabdomyolysis appear more frequently after prolonged propofol infusions,
irrespective of dose. CONCLUSION: PRIS can develop with propofol infusion <4
mg/kg per hour and its diagnosis may be challenging as some of its typical
features (hypertriglyceridaemia, fever, hepatomegaly, heart failure) are often
(>95 %) missing and others (arrhythmia, electrocardiographic changes) occur late.
PMID- 26558514
TI - Quantification of antimicrobial usage in dairy cows and preweaned calves in
Argentina.
AB - Antimicrobials are commonly used to treat diseases in dairy cows and in calves.
In Argentina information about veterinary antimicrobial usage at herd level is
still limited. The purpose of this study was to quantify antimicrobial usage at
herd level of most used drugs to treat lactating cows (LC) and preweaned calves
(PWC). Prevalence of diseases and antimicrobial drug usage in 18 milking herds
and in 11 calves rearing units (CRUs) were assessed through a survey. Drug usage
(DU) at herd level was estimated through a standardized indicator, the number of
Defined Daily Doses Animal (DDDA) per year, considering a standardized body
weight of 600kg for LC and of 60kg for PWC. Pearson correlation coefficients were
estimated and used to evaluate the association of LC herd size and milk yield
(kg/day) with each disease prevalence and with DU. Student t-Test was used to
compare disease prevalence and DU with various management practices in CRUs.
Clinical mastitis was the most prevalent disease followed by foot, uterine and
respiratory diseases in adult dairy cows. More involvement of veterinarians in
treatment decisions was observed in larger dairy herds. Most used antimicrobials
were beta-lactams and aminoglycosides. Especially for intramammary compounds,
there was a trend towards multidrug formulations. The median DU was 5.21
DDDA/LC/year (range=2.88-10.88), the intramammary usage for clinical mastitis (IM
CM) and dry cow therapy (IM-DRY), representing 85.4% of total drug usage. No
significant correlations were observed between herd size or milk yield with
disease prevalence and with DU for all considered uses (IM-CM, IM-DRY and
parental (PAR)). Enteritis was reported in all CRUs, followed by respiratory
disease (91%), and omphalophlebitis (3%). The median DU for all drugs used at CRU
level was 0.49 DDDA/PWC/year. Prevalences and DU for treatment of enteritis and
respiratory diseases did not differ significantly between rearing systems,
colostrum management or permanence time of the calf with the mother after birth.
Although it was possible to estimate the drug usage at farm level, it was
observed that the diversity of drug compounds and dose heterogeneity presented by
the various manufacturers made the calculation of those indicators complex.
PMID- 26558515
TI - Synthesis of di- and tri-saccharide fragments of Salmonella typhi Vi capsular
polysaccharide and their zwitterionic analogues.
AB - Zwitterionic polysaccharides (ZPS) behave like traditional T cell-dependent
antigens, suggesting the design of new classes of vaccines alternative to
currently used glycoconjugates and based on the artificial introduction of a
zwitterionic charge motif onto the carbohydrate structure of pathogen antigens.
Here we report the new synthesis and antigenic evaluation of di-/tri-saccharide
fragments of Salmonella typhi Vi polysaccharide, as well as of their
corresponding zwitterionic analogues. Our strategy is based on versatile
intermediates enabling chain elongation either by iterative single monomer
attachment or by faster and more flexible approach using disaccharide donors. The
effect of structural modifications of the synthetic compounds on antigenic
properties was evaluated by competitive ELISA. All the oligosaccharides were
recognized by specific anti-Vi polyclonal antibodies in a concentration-dependent
manner, and the introduction of a zwitterionic motif into the synthetic molecules
did not prevent the binding.
PMID- 26558516
TI - Design, synthesis and preliminary bioactivity studies of imidazolidine-2,4-dione
derivatives as Bcl-2 inhibitors.
AB - Anti-apoptotic B-cell lymphoma-2 (Bcl-2) proteins are promising targets for
cancer therapy. In the present study, a series of imidazolidine-2,4-dione
derivatives were designed and synthesized to test their inhibitory activities
against anti-apoptotic Bcl-2 proteins. Among them, compound 8k had better growth
inhibitory effects on K562 and PC-3 cell lines compared to lead compound WL-276.
PMID- 26558517
TI - Helical peptide-polyamine and -polyether conjugates as synthetic ionophores.
AB - Two new synthetic ionophores in which the hydrophobic portion is represented by a
short helical Aib-peptide (Aib=alpha-amino-isobutyric acid) and the hydrophilic
one is a poly-amino (1a) or a polyether (1b) chain have been prepared. The two
conjugates show a high ionophoric activity in phospholipid membranes being able
to efficiently dissipate a pH gradient and, in the case of 1b, to transport Na(+)
across the membrane. Bioactivity evaluation of the two conjugates shows that 1a
has a moderate antimicrobial activity against a broad spectrum of microorganisms
and it is able to permeabilize the inner and the outer membrane of Escherichia
coli cells.
PMID- 26558518
TI - Synthesis and biological profiling of 6- or 7-(het)aryl-7-deazapurine 4'-C
methylribonucleosides.
AB - The synthesis and biological activity profiling of a large series of diverse
pyrrolo[2,3-d]pyrimidine 4'-C-methylribonucleosides bearing an (het)aryl group at
position 4 or 5 is reported as well as the synthesis of several phosphoramidate
prodrugs. These compounds are 4'-C-methyl derivatives of previously reported
cytostatic hetaryl-7-deazapurine ribonucleosides. The synthesis is based on
glycosylation of halogenated 7-deazapurine bases with 1,2-di-O-acetyl-3,5-di-O
benzyl-4-C-methyl-beta-d-ribofuranose followed by cross-coupling and nucleophilic
substitution reactions. The final compounds showed low cytotoxicity and several
derivatives exerted antiviral activity against HCV or Dengue viruses at
micromolar concentrations.
PMID- 26558519
TI - Intracerebral Hemorrhage In Anticoagulated Patients: Evidence-Based Emergency
Department Management.
AB - Spontaneous intracerebral hemorrhage is a true neurological emergency, and its
management is made more complicated when patients are anticoagulated, as reversal
of anticoagulation must be initiated simultaneously with diagnosis, treatment,
and disposition. Recent advances such as newer laboratory testing and rapid
computed tomography for diagnosis, blood pressure reduction to reduce hematoma
expansion, and new anticoagulant reversal agents may allow for improved outcomes.
Management of intracranial pressure is particularly important in anticoagulated
patients, as is identifying patients who may benefit from rapid neurosurgical
intervention and/or emergent transport to facilities capable of managing this
disease.
PMID- 26558520
TI - [Congenital neck mass. Diagnosis and treatment].
AB - Congenital neck masses are a challenge for general practitioners and specialists.
Although some of them are diagnosed in utero, most of them remain silent until
complications appear in the adult age. The anatomical location, consistency and
age are determinants in guiding the possible diagnosis. A midline infrahyoid mass
may be a thyroglossal cyst, however a lateral neck mass is more possible to
result in a brachial cyst. Complementary imaging studies are essential such as
pathological tests like needle aspiration fine needle aspiration (FNA).
PMID- 26558521
TI - Crystallization and precipitation of phosphate from swine wastewater by magnesium
metal corrosion.
AB - This paper presents a unique approach for magnesium dosage in struvite
precipitation by Mg metal corrosion. The experimental results showed that using
an air bubbling column filled with Mg metal and graphite pellets for the
magnesium dosage was the optimal operation mode, which could significantly
accelerate the corrosion of the Mg metal pellets due to the presence of graphite
granules. The reaction mechanism experiments revealed that the solution pH could
be used as the indicator for struvite crystallization by the process. Increases
in the Mg metal dosage, mass ratio of graphite and magnesium metal (G:M) and
airflow rate could rapidly increase the solution pH. When all three conditions
were at 10 g L(-1), 1:1 and 1 L min(-1), respectively, the phosphate recovery
efficiency reached 97.5%. To achieve a high level of automation for the phosphate
recovery process, a continuous-flow reactor immersed with the graphite-magnesium
air bubbling column was designed to harvest the phosphate from actual swine
wastewater. Under conditions of intermittently supplementing small amounts of Mg
metal pellets, approximately 95% of the phosphate could be stably recovered as
struvite of 95.8% (+/-0.5) purity. An economic analysis indicated that the
process proposed was technically simple and economically feasible.
PMID- 26558522
TI - Ischemic Injury of the Papillomacular Bundle Is a Predictive Marker of Poor
Vision in Eyes With Branch Retinal Artery Occlusion.
AB - PURPOSE: To propose a novel prognostic feature of spectral-domain optical
coherence tomography (SDOCT) in macula-involving branch retinal artery occlusion
(BRAO). DESIGN: Retrospective comparative case study. METHODS: We analyzed 66
eyes diagnosed with acute BRAO involving the macula from our hospital RAO
registry. At presentation, a detailed ophthalmic and medical history was obtained
from all patients, and all underwent a comprehensive ophthalmic evaluation, which
included visual acuity examination, fundus photography, fluorescein angiography,
and SDOCT. This evaluation was performed at each follow-up visit. RESULTS: The 66
eyes diagnosed with acute BRAO involving the macula were divided into 2 groups
according to initial vision: Good Vision (>=20/40, 29 eyes, 44%) and Poor Vision
(<20/40, 37 eyes, 56%). The Poor Vision group was further divided into
Improvement (18 eyes, 27%) and Nonimprovement (19 eyes, 28%) groups, according to
visual recovery at the final examination. Among multiple OCT parameters, the
involvement of papillomacular bundle, but not that of the central fovea, was
consistently observed in the Poor Vision group (P < .001) and more significantly
in the Nonimprovement group (P < .001). Papillomacular bundle involvement
features included signs of inner retinal ischemia, including inner retinal
thickening, inner retinal hyperreflectivity, and loss of layer-by-layer
integrity. Loss of layer-by-layer integrity was seen consistently in the
Nonimprovement group. Quantitative analysis of inner retinal thickness also
supported this association. CONCLUSION: In eyes with macula-involving BRAO,
ischemic injury of the papillomacular bundle at the acute stage, as seen on OCT,
correlates closely with poor vision and can explain the poor visual prognosis.
PMID- 26558523
TI - Outcomes of Repeat Keratoplasty for Failed Therapeutic Keratoplasty.
AB - PURPOSE: To analyze clinical outcomes of repeat optical penetrating (PK) or
endothelial keratoplasty (EK) after failed therapeutic keratoplasty (TPK).
DESIGN: Retrospective consecutive, comparative, interventional case series.
METHODS: setting: LV Prasad Eye Institute, Hyderabad, India. STUDY POPULATION:
Patients aged >18 years who underwent a repeat PK or EK following a failed TPK
with a follow-up of at least 1 year were included. Patients with culture-negative
ulcers, viral etiology, coexistent ocular surface disease, and multiple grafts
were excluded from the study. INTERVENTION: PK or EK for failed TPK. MAIN OUTCOME
MEASURE: Corrected distance visual acuity at 1 year follow-up. secondary outcome
measure: Graft clarity. RESULTS: One hundred twelve eyes (67 PK, 45 EK) were
included in the study. The PK group had a significantly higher number of cases
with high-risk features prior to regraft. Improvement in visual acuity in each of
the types of grafts was statistically significant (P < .01), but there was no
difference between the 2 groups at 1 year postoperatively. A statistically
significant proportion of grafts regained graft clarity after regrafting in the
PK group (P < .01) but not in the EK group (P = .205) at 1 year postoperatively.
Endothelial rejection rates were higher in the PK group. Subgroup analysis showed
that eyes that had PK or EK for failed TPK conducted for Aspergillus keratitis
showed better outcomes in terms of graft clarity. Kaplan-Maier (KM) survival
analysis for graft clarity showed cumulative survival of 50% at 5 years. The
survival using the KM curve was not statistically different between the 2 groups
(P = .33). CONCLUSION: This study shows that visual rehabilitation with
relatively good functional outcomes can be achieved by performing repeat PK or EK
in patients after failed TPK.
PMID- 26558524
TI - Neoadjuvant Systemic Therapy Before Radical Prostatectomy in High-Risk Prostate
Cancer Does Not Increase Surgical Morbidity: Contemporary Results Using the
Clavien System.
AB - BACKGROUND: Multimodality therapies for men with high- and very high-risk
prostate cancer, including neoadjuvant systemic therapy followed by subsequent
radical prostatectomy (RP) are being increasingly explored despite the lack of
adequate morbidity data. MATERIALS AND METHODS: We analyzed the data from 215
consecutive patients with high- and very high-risk prostate cancer who were
previously untreated or had received neoadjuvant systemic therapy. All patients
underwent RP with extended pelvic lymph node dissection from 2006 to 2010 at a
single tertiary care academic center. All complications within 90 days of surgery
were defined and categorized by a 5-grade and 10-domain modification of the
Clavien system. Univariable and multivariable logistic regression analyses were
used to identify preoperative predictors for complications. RESULTS: Of the 215
patients, 29% experienced a complication of any grade <= 90 days after surgery;
6% experienced grade >= 3, with no significant difference between either cohort
(P = .50). On multivariate analysis, open RP (odds ratio [OR], 2.08; 95%
confidence interval [CI], 1.11-3.90; P = .02) and preoperative hemoglobin (OR,
1.98; 95% CI, 1.05-3.72; P = .03) were independent predictors of the occurrence
of any grade complication. For major complications (Clavien >= 3), a Charlson
comorbidity index of 6 to 7 versus 3 to 5 (OR, 5.45; 95% CI, 1.57-18.98; P =
.008) and the most recent year of surgery (OR, 4.73; 95% CI, 1.36-16.39; P = .01)
were significant predictors on multivariable analysis. CONCLUSION: The use of
neoadjuvant systemic therapy did not appear to increase the risk of perioperative
complications. These findings support current clinical trials, which might
elucidate the oncologic benefit of this multimodality approach.
PMID- 26558525
TI - VEGF Gene Polymorphisms are Associated with Risk of Tetralogy of Fallot.
AB - BACKGROUND: The aim of this study was to investigate associations of 3 common
polymorphisms in the VEGF gene, -2578C>A, -634C>G, and 936C>T, with risk of
tetralogy of Fallot (TOF) in Chinese Han children. MATERIAL AND METHODS: From
January 2010 to June 2013, a total of 400 pediatric subjects were recruited,
including 160 cases with TOF (TOF group) and 240 healthy controls (control
group). The genotypes of 3 common VEGF polymorphisms, -2578C>A, -634C>G, and
936C>T, were analyzed by polymerase chain reaction restriction fragment length
polymorphism. All data were analyzed with SPSS 18.0 software. RESULTS: No
significant differences were observed in body mass index or sex between TOF
patients and controls (both P>0.05), but significant differences in age and
family history of TOF were observed between the 2 groups (both P<0.05). The AA
genotype in -2578C>A of VEGF was correlated with a significantly increased risk
of TOF, and TOF risk in A allele carrier was 1.54-fold higher than that of C
allele carrier (OR=1.54, 95%CI=1.14-2.09, P=0.005); the statistical significance
was still present after Bonferroni correction (Pc=0.045). GG genotype in -634C>G
of VEGF gene was also associated with an increased risk of TOF, and TOF risk in
patients with G allele was 1.62-fold higher compared to patients with C allele
(OR=1.62, 95%CI=1.19-2.21, P=0.002); the statistical significance was still
present after Bonferroni correction (Pc=0.018). Interestingly, T allele in VEGF
936C>T polymorphism is associated with a decreased TOF risk (OR=0.65, 95%CI=0.49
0.87, P=0.003, the statistical significance was still present after Bonferroni
correction (Pc=0.027). The result of logistic regression analysis revealed that
2578C>A, -634C>G, and 936C>T genotypes are independently related to the
prevalence of TOF (all P<0.05). CONCLUSIONS: Our results confirmed that VEGF
genetic polymorphisms, -2578C>A and -634C>G, may be associated with an increased
TOF risk, while 936C>T polymorphism may be associated with decreased TOF risk.
PMID- 26558526
TI - Surgical resection and peri-operative chemotherapy for colorectal cancer liver
metastases: A population-based study.
AB - BACKGROUND: Most literature describing surgery for colorectal cancer (CRC) liver
metastases (LM) comes from high volume centres. Here, we report management and
outcomes achieved in routine clinical practice. METHODS: All cases of CRC in
Ontario who underwent resection of LM in 1994-2009 were identified using the
population-based Ontario Cancer Registry. Electronic treatment records identified
chemotherapy delivery. Temporal trends are described for 3 periods: 1994-1999,
2000-2004, 2005-2009. We describe volume of resected CRCLM as a ratio of incident
cases per CRCLM resection. Overall (OS) and cancer-specific survival (CSS) are
measured from time of LM resection. RESULTS: 2717 patients underwent resection of
CRCLM. Between 1994 and 2009 there was a 78% increase in case volume; from one
resection for every 48 incident cases to one resection for every 27 incident
cases, p < 0.001. Use of peri-operative chemotherapy increased over study periods
from 44% (306/700), to 52% (429/830), to 65% (777/1187, p < 0.001). Chemotherapy
utilization rates varied across geographic regions (range 43%-69%, p < 0.001).
Post-operative mortality rates at 30 and 90 days were 2.5% and 4.3% respectively.
Five year OS during the study periods was 36% (95% CI 32-39%), 40% (95% CI 36
43%), and 46% (95% CI 43-49%) (p < 0.001); CSS was 38% (95% CI 35-42%), 42% (95%
CI 38-45%), 49% (95% CI 44-53%) (p < 0.001). The temporal improvement in OS/CSS
persisted on adjusted analyses. CONCLUSIONS: Outcomes of patients with resected
CRCLM in routine practice is comparable to those reported from high volume
centres. Survival improved over the study period despite a greater proportion of
patients with CRC undergoing liver resection.
PMID- 26558527
TI - Contrast coding in the electrosensory system: parallels with visual computation.
AB - To identify and interact with moving objects, including other members of the same
species, an animal's nervous system must correctly interpret patterns of contrast
in the physical signals (such as light or sound) that it receives from the
environment. In weakly electric fish, the motion of objects in the environment
and social interactions with other fish create complex patterns of contrast in
the electric fields that they produce and detect. These contrast patterns can
extend widely over space and time and represent a multitude of relevant features,
as is also true for other sensory systems. Mounting evidence suggests that the
computational principles underlying contrast coding in electrosensory neural
networks are conserved elements of spatiotemporal processing that show strong
parallels with the vertebrate visual system.
PMID- 26558528
TI - Delayed postpartum hemorrhage by traumatic lesion of uterine vessel without
pseudo-aneurysm.
PMID- 26558529
TI - Single cell analysis of cancer cells using an improved RT-MLPA method has
potential for cancer diagnosis and monitoring.
AB - Single cell analysis techniques have great potential in the cancer genomics
field. The detection and characterization of circulating tumour cells are
important for identifying metastatic disease at an early stage and monitoring it.
This protocol is based on transcript profiling using Reverse Transcriptase
Multiplex Ligation-dependent Probe Amplification (RT-MLPA), which is a specific
method for simultaneous detection of multiple mRNA transcripts. Because of the
small amount of (circulating) tumour cells, a pre-amplification reaction is
performed after reverse transcription to generate a sufficient number of target
molecules for the MLPA reaction. We designed a highly sensitive method for
detecting and quantifying a panel of seven genes whose expression patterns are
associated with breast cancer, and optimized the method for single cell analysis.
For detection we used a fluorescence-dependent semi-quantitative method involving
hybridization of unique barcodes to an array. We evaluated the method using three
human breast cancer cell lines and identified specific gene expression profiles
for each line. Furthermore, we applied the method to single cells and confirmed
the heterogeneity of a cell population. Successful gene detection from cancer
cells in human blood from metastatic breast cancer patients supports the use of
RT-MLPA as a diagnostic tool for cancer genomics.
PMID- 26558530
TI - A Telephone-Based Program to Provide Symptom Monitoring Alone vs Symptom
Monitoring Plus Care Management for Late-Life Depression and Anxiety: A
Randomized Clinical Trial.
AB - IMPORTANCE: Mental health (MH) conditions are undertreated in late life. It is
important to identify treatment strategies that address variability in treatment
content and delivery and take individual-specific symptoms into account,
particularly among low-income, community-dwelling older adults. OBJECTIVE: To
evaluate program feasibility and MH outcomes among community-dwelling older
adults randomized to 1 of 2 treatment arms of varying intensity of evidence
based, collaborative MH care management services (ie, the Supporting Seniors
Receiving Treatment and Intervention [SUSTAIN] program) that provide
standardized, measurement-based, software-aided MH assessment and symptom
monitoring and connection to community resources via telephone. DESIGN, SETTING,
AND PARTICIPANTS: Trial participants were 1018 older, community-dwelling, low
income adults prescribed an antidepressant or anxiolytic by a primary care or non
MH professional and experiencing clinically significant MH symptoms at intake.
The participant subsample was drawn from a larger parent sample of older adults
enrolled in the SUSTAIN program. Individuals were randomized to receive MH
symptom monitoring alone (hereafter monitoring alone) or MH symptom monitoring
plus care management (hereafter care management) provided by an MH professional.
Baseline characteristics were examined, and changes in clinical MH outcomes were
evaluated at 3-month and 6-month follow-up. The study dates were August 5, 2010,
to May 5, 2014. INTERVENTIONS: Monitoring alone or care management delivered by
an MH professional. MAIN OUTCOMES AND MEASURES: Overall MH functioning (primary)
and depressive and anxiety symptoms. RESULTS: A total of 509 participants were
randomized to the monitoring alone group and 509 to the care management group;
377 and 401 completed >=2 research assessments in the monitoring alone and case
management groups, respectively. Compared with those randomized to monitoring
alone, individuals randomized to care management showed greater improvements in
the 3 domains of MH functioning (beta [SE], 0.36 [0.12]; 95% CI, 0.12 to 0.60; P
= .004), depressive symptoms (beta [SE], -0.20 [0.06]; 95% CI, -0.32 to -0.09; P
< .001), and anxiety symptoms (beta [SE], -0.23 [0.05]; 95% CI, -0.33 to -0.14; P
< .001) over time. CONCLUSIONS AND RELEVANCE: The SUSTAIN program, which provides
assessment, monitoring, care management, and brief therapies for MH symptoms and
needs in primary care settings, is feasible and scalable. A more intense level of
care (ie, symptom monitoring plus care management) is associated with more
favorable individual outcomes for low-income, community-dwelling older adults
experiencing clinically significant MH symptoms. TRIAL REGISTRATION:
clinicaltrials.gov Identifier: NCT02440594.
PMID- 26558531
TI - Can silicon carbide serve as a saturable absorber for passive mode-locked fiber
lasers?
AB - The study presents a novel demonstration of a passively mode-locked erbium-doped
fiber laser (EDFL) that is based on a silicon carbide (SixC1-x) saturable
absorber. When the C/Si composition ratio is increased to 1.83, the SixC1-x film
transforms from two-photon absorption to nonlinear saturable absorption, and the
corresponding value reaches -3.9 * 10(-6) cm/W. The Si-rich SixC1-x film cannot
mode lock the EDFL because it induced high intracavity loss through two-photon
absorption. Even when a stoichiometric SiC is used, the EDFL is mode locked,
similar to an EDFL operating under weak nonlinear-polarization-rotation
condition. A C-rich SixC1-x film containing sp(2)-orbital C-C bonds with a linear
absorbance of 0.172 and nonlinear absorbance of 0.04 at a 181 MW/cm(2) saturation
intensity demonstrates nonlinear transmittance. The C-rich SixC1-x saturable
absorber successfully generates a short mode-locked EDFL pulse of 470 fs. The
fluctuation of the pulse-train envelope dropps considerably from 11.6% to 0.8%
when a strong saturable-absorption-induced self-amplitude modulation process
occurs in the C-rich SixC1-x film.
PMID- 26558532
TI - Preoperative Chemoradiation in an Era of Suboptimal Clinical Staging.
PMID- 26558533
TI - Moment expansion of the linear density-density response function.
AB - We present a low rank moment expansion of the linear density-density response
function. The general interacting (fully nonlocal) density-density response
function is calculated by means of its spectral decomposition via an iterative
Lanczos diagonalization technique within linear density functional perturbation
theory. We derive a unitary transformation in the space of the eigenfunctions
yielding subspaces with well-defined moments. This transformation generates the
irreducible representations of the density-density response function with respect
to rotations within SO(3). This allows to separate the contributions to the
electronic response density from different multipole moments of the perturbation.
Our representation maximally condenses the physically relevant information of the
density-density response function required for intermolecular interactions,
yielding a considerable reduction in dimensionality. We illustrate the
performance and accuracy of our scheme by computing the electronic response
density of a water molecule to a complex interaction potential. (c) 2015 Wiley
Periodicals, Inc.
PMID- 26558534
TI - Retracted: Ebola virus: an introduction and its pathology.
AB - The Ebola viruses are causative agent of a severe Ebola virus disease (EVD) or
Ebola hemorrhagic fever (EHF) in human and other primates. Transmission of EVD
occurs through the contact of body fluids from infected persons or animals,
making it one of the most epidemic diseases worldwide. Underestimating the Ebola
virus has cost loss of precious human lives in recent years. Ebola virus outbreak
in year 2014 created a history, affecting a larger population in a wide
geographical region of African sub-continent. EVD outbreaks have a case fatality
rate of up to 70%. Ebola viruses are endemic in regions of Africa. Ebola viruses
mainly target the hepatocytes, endothelial, and macrophage-rich lymphoid tissues
and are characterized by immune suppression and a systemic inflammatory response
that causes impairment of the vascular, coagulation, and immune systems. This
impairment leads to multifocal necrosis and multi organ failure, and thus, in
some ways, resembling septic shock. Currently, neither a specific treatment nor a
vaccine licensed for use in humans is available. This review is focused on
general characteristic of Ebola viruses, its pathogenesis, immunological response
of host, and recent approaches for vaccine development against EVD. Copyright (c)
2015 John Wiley & Sons, Ltd.
PMID- 26558535
TI - A large scale prediction of bacteriocin gene blocks suggests a wide functional
spectrum for bacteriocins.
AB - BACKGROUND: Bacteriocins are peptide-derived molecules produced by bacteria,
whose recently-discovered functions include virulence factors and signaling
molecules as well as their better known roles as antibiotics. To date, close to
five hundred bacteriocins have been identified and classified. Recent discoveries
have shown that bacteriocins are highly diverse and widely distributed among
bacterial species. Given the heterogeneity of bacteriocin compounds, many tools
struggle with identifying novel bacteriocins due to their vast sequence and
structural diversity. Many bacteriocins undergo post-translational processing or
modifications necessary for the biosynthesis of the final mature form. Enzymatic
modification of bacteriocins as well as their export is achieved by proteins
whose genes are often located in a discrete gene cluster proximal to the
bacteriocin precursor gene, referred to as context genes in this study. Although
bacteriocins themselves are structurally diverse, context genes have been shown
to be largely conserved across unrelated species. METHODS: Using this knowledge,
we set out to identify new candidates for context genes which may clarify how
bacteriocins are synthesized, and identify new candidates for bacteriocins that
bear no sequence similarity to known toxins. To achieve these goals, we have
developed a software tool, Bacteriocin Operon and gene block Associator (BOA)
that can identify homologous bacteriocin associated gene blocks and predict novel
ones. BOA generates profile Hidden Markov Models from the clusters of bacteriocin
context genes, and uses them to identify novel bacteriocin gene blocks and
operons. RESULTS AND CONCLUSIONS: We provide a novel dataset of predicted
bacteriocins and context genes. We also discover that several phyla have a strong
preference for bacteriocin genes, suggesting distinct functions for this group of
molecules. SOFTWARE AVAILABILITY: https://github.com/idoerg/BOA.
PMID- 26558536
TI - mTORC1 signaling and IL-17 expression: Defining pathways and possible therapeutic
targets.
AB - IL-17 mediates immune responses against extracellular pathogens, and it is
associated with the development and pathogenesis of various autoimmune diseases.
The expression of IL-17 is regulated by various intracellular signaling cascades.
Recently, it has been shown that mechanistic target of rapamycin (mTOR)
signaling, comprised mainly of mTORC1 signaling, plays a critical role in IL-17
expression. Here, we review the current knowledge regarding mechanisms by which
mTORC1 regulates IL-17 expression. mTORC1 positively modulates IL-17 expression
through several pathways, i.e. STAT3, -HIF-1alpha, -S6K1, and -S6K2. Amino acids
(AAs) also regulate IL-17 expression by being the energy source for Th17 cells,
and by activating mTORC1 signaling. Altogether, the AA-mTORC1-IL-17 axis has
broad therapeutic implications for IL-17-associated diseases, such as EAE,
allergies, and colitis.
PMID- 26558537
TI - PANSS-6: a brief rating scale for the measurement of severity in schizophrenia.
AB - OBJECTIVE: The 30-item Positive and Negative Syndrome Scale (PANSS-30) is the
most widely used rating scale in schizophrenia, but too long for clinical use.
Shorter PANSS versions have been proposed, including the PANSS-14 and PANSS-8.
However, none of these PANSS versions has been validated using the parametric
Rasch rating scale model, which evaluates 'scalability'. Scalability means that
each item in a rating scale provides unique information regarding syndrome
severity and is a statistical prerequisite for using the total score as a measure
of overall severity. METHOD: Based on data from two randomized placebo-controlled
trials in schizophrenia, we tested the scalability of PANSS-30, PANSS-14 and
PANSS-8 by means of the parametric Rasch rating scale model. Furthermore, we
tested whether a scalable PANSS version could separate efficacy of haloperidol
and sertindole from placebo. RESULTS: Neither PANSS-30, PANSS-14 nor PANSS-8 was
scalable. However, PANSS-6, consisting of the items: P1-Delusions, P2-Conceptual
disorganization, P3-Hallucinations, N1-Blunted Affect, N4-Social withdrawal, N6
Lack of spontaneity and flow of conversation, was scalable. Furthermore, PANSS-6
captured superior symptom reduction and higher remission rates during treatment
with haloperidol and sertindole vs. placebo. CONCLUSION: PANSS-6 is a short
schizophrenia severity rating scale that adequately separates antipsychotic
efficacy from that of placebo.
PMID- 26558539
TI - Heavy cannabis users at elevated risk of stroke: evidence from a general
population survey.
AB - OBJECTIVE: Case reports and hospital-based case-control studies suggest that
cannabis use may increase the risk of stroke. We examined the risk of non-fatal
stroke or transient ischemic attack (TIA) among cannabis users in the general
community. METHOD: A general population survey of Australians aged 20-24 years
(n=2,383), 40-44 years (n=2,525) and 60-64 years (n=2,547) was used to determine
the odds of lifetime stroke or TIA among participants who had smoked cannabis in
the past year while adjusting for other stroke risk factors. RESULTS: There were
153 stroke/TIA cases (2.1%). After adjusting for age cohort, past year cannabis
users (n=1,043) had 3.3 times the rate of stroke/TIA (95% CI 1.8-6.3, p<0.001).
The incidence rate ratio (IRR) reduced to 2.3 after adjustment for covariates
related to stroke, including tobacco smoking (95% CI 1.1-4.5). Elevated
stroke/TIA was specific to participants who used cannabis weekly or more often
(IRR 4.7, 95% CI 2.1-10.7) with no elevation among participants who used cannabis
less often. CONCLUSIONS: Heavy cannabis users in the general community have a
higher rate of non-fatal stroke or transient ischemic attack than non-cannabis
users.
PMID- 26558538
TI - Predictive factors and clinical biomarkers for treatment in patients with chronic
pain caused by osteoarthritis with a central sensitisation component.
AB - AIMS: The aim of this non-systematic review was to provide a practical guide for
clinicians on the evidence for central sensitisation in chronic osteoarthritis
(OA) pain and how this pain mechanism can be addressed in terms of clinical
diagnosis, investigation and treatment. METHODS: The authors undertook a non
systematic review of the literature including a MEDLINE search (search terms
included central sensitisation, osteoarthritis, osteoarthrosis) for relevant and
current clinical studies, systematic reviews and narrative reviews. Case reports,
letters to the editor and similar literature sources were excluded. Information
was organised to allow a pragmatic approach to the discussion of the evidence and
generation of practical recommendations. RESULTS: There is good evidence for a
role of central sensitisation in chronic OA pain in a subgroup of patients.
Clinically, a central sensitisation component in chronic OA pain can be suspected
based on characteristic pain features and non-pain features seen in other
conditions involving central sensitisation. However, there are currently no
diagnostic inventories for central sensitisation specific to OA. Biomarkers may
be helpful for confirming the presence of central sensitisation, especially when
there is diagnostic uncertainty. Several non-pharmacological and pharmacological
treatments may be effective in OA patients with central sensitisation features.
Multimodal therapy may be required to achieve control of symptoms. DISCUSSION:
Clinicians should be aware of central sensitisation in patients with chronic OA
pain, especially in patients presenting with severe pain with unusual features.
PMID- 26558540
TI - BALB/c-congenic ANP32B-deficient mice reveal a modifying locus that determines
viability.
AB - We previously found that deletion of the multifunctional factor ANP32B (a.k.a.
SSP29, APRIL, PAL31, PHAPI2) resulted in a severe but strain-specific defect
resulting in perinatal lethality. The difficulty in generating an adult cohort of
ANP32B-deficient animals limited our ability to examine adult phenotypes,
particularly cancer-related phenotypes. We bred the Anp32b-null allele into the
BALB/c and FVB/N genetic background. The BALB/c, but not the FVB/N, background
provided sufficient frequency of adult Anp32b-null (Anp32b(-/-)) animals. From
these, we found no apparent oncogenic role for this protein in mammary
tumorigenesis contrary to what was predicted based on human data. We also found
runtism, pathologies in various organ systems, and an unusual clinical chemistry
signature in the adult Anp32b(-/-) mice. Intriguingly, genome-wide single
nucleotide polymorphism analysis suggested that our colony retained an unlinked
C57BL/6J locus at high frequency. Breeding this locus to homozygosity
demonstrated that it had a strong effect on Anp32b(-/-) viability indicating that
this locus contains a modifier gene of Anp32b with respect to development. This
suggests a functionally important genetic interaction with one of a limited
number of candidate genes, foremost among them being the variant histone gene
H2afv. Using congenic breeding strategies, we have generated a viable ANP32B
deficient animal in a mostly pure background. We have used this animal to
reliably exclude mouse ANP32B as an important oncogene in mammary tumorigenesis.
Our further phenotyping strengthens the evidence that ANP32B is a widespread
regulator of gene expression. These studies may also impact the choice of
subsequent groups with respect to congenic breeding versus de novo zygote
targeting strategies for background analyses in mouse genetics.
PMID- 26558541
TI - Early HIV RNA decay during raltegravir-containing regimens exhibits two distinct
subphases (1a and 1b).
AB - BACKGROUND: We analyzed the early kinetics with integrase inhibitor treatment to
gain new insights into viral dynamics. METHODOLOGY: We analyzed data from 39 HIV
1 infected, treatment-naive, participants: 28 treated with raltegravir (RAL;
multiple doses) monotherapy for 9 days, and 11 with RAL 400 mg twice daily and
emtricitabine (200 mg daily)/tenofovir disoproxil fumarate (300 mg daily). Plasma
HIV-1 RNA was measured frequently; the data was fitted using a mathematical model
of viral dynamics distinguishing between infected cells with unintegrated HIV DNA
and productively infected cells. Parameters were estimated using mixed-effect
models. RESULTS: RAL treatment led to a biphasic viral decline with a rapid first
phase (1a) lasting approximately 5 days followed by a slower phase (1b). Phase 1a
is attributed to the rapid elimination of productively infected cells. Phase 1b
reflects the loss of infected cells with nonintegrated provirus due to cell loss
and integration of HIV DNA. The half-lives of productively infected cells and of
infected cells that had completed reverse transcription but had not yet
integrated HIV DNA were approximately 19 h and between 3.6 and 5.8 days,
respectively. The effectiveness of RAL in preventing proviral integration was 94%
and 99.7%, for the combination therapy and monotherapy groups, respectively.
CONCLUSION: We found that the first phase of viral decay with RAL therapy was
composed of two subphases corresponding to the half-lives of infected cells with
integrated proviruses and with unintegrated HIV-DNA.
PMID- 26558542
TI - Incidence and progression of coronary artery calcium in HIV-infected and HIV
uninfected men.
AB - OBJECTIVE: The aim of this article is to determine whether HIV-infected (HIV+)
men have either higher incidence or more rapid progression of coronary artery
calcium (CAC) compared with HIV-uninfected (HIV-) controls. DESIGN: Prospective
observational study. SETTING: Multicenter study in four US academic research
centers: University of Pittsburgh, Johns Hopkins University, University of
California Los Angeles, and Northwestern University. PARTICIPANTS: Eight hundred
and twenty-five men (541 HIV+ and 284 HIV-) enrolled in the cardiovascular
substudy of the Multicenter AIDS Cohort Study who underwent serial cardiac
computed tomography (CT) imaging during a mean follow-up of 5 years (range, 2-8
years). MAIN OUTCOME MEASURES: Incidence and progression of CAC assessed by
cardiac CT. RESULTS: During follow-up, 21% of HIV+ men developed incident CAC
compared with 16% of HIV- men. This association persisted after adjustment for
traditional and HIV-associated risk factors: hazard ratio 1.64 (1.13-3.14).
However, there was no association between HIV serostatus and CAC progression
among men with CAC present at baseline. Current smoking and increased insulin
resistance, both modifiable risk factors, were independently associated with
increased incidence of CAC. No evidence supporting an elevated risk for either
CAC progression or incidence was found for either dyslipidemia or long-term usage
of antiretroviral therapy. CONCLUSION: In this large study of HIV+ and HIV- men
who underwent serial cardiac CT scan imaging, HIV+ men were at significantly
higher risk for development of CAC: hazard ratio 1.64 (1.13-3.14). In addition,
two important and modifiable risk factors were identified for increased incidence
of CAC. Taken together, these findings underscore the potential importance for
smoking cessation and interventions to improve insulin resistance among HIV+ men.
PMID- 26558543
TI - CD4+ cell dynamics in untreated HIV-1 infection: overall rates, and effects of
age, viral load, sex and calendar time.
AB - BACKGROUND: CD4 cell count is a key measure of HIV disease progression, and the
basis of successive international guidelines for treatment initiation. CD4 cell
dynamics are used in mathematical and econometric models for evaluating public
health need and interventions. Here, we estimate rates of CD4 decline, stratified
by relevant covariates, in a form that is clinically transparent and can be
directly used in such models. METHODS: We analyse the AIDS Therapy Evaluation in
the Netherlands cohort, including individuals with date of seroconversion
estimated to be within 1 year and with intensive clinical follow-up prior to
treatment initiation. Owing to the fact that CD4 cell counts are intrinsically
noisy, we separate the analysis into long-term trends of smoothed CD4 cell counts
and an observation model relating actual CD4 measurements to the underlying
smoothed counts. We use a monotonic spline smoothing model to describe the
decline of smoothed CD4 cell counts through categories CD4 above 500, 350-500,
200-350 and 200 cells/MUl or less. We estimate the proportion of individuals
starting in each category after seroconversion and the average time spent in each
category. We examine individual-level cofactors which influence these parameters.
RESULTS: Among untreated individuals, the time spent in each compartment was
3.32, 2.70, 5.50 and 5.06 years. Only 76% started in the CD4 cell count above 500
cells/MUl compartment after seroconversion. Set-point viral load (SPVL) was an
important factor: individuals with at least 5 log10 copies/ml took 5.37 years to
reach CD4 cell count less than 200 cells/MUl compared with 15.76 years for SPVL
less than 4 log10 copies/ml. CONCLUSION: Many individuals already have CD4 cell
count below 500 cells/MUl after seroconversion. SPVL strongly influences the rate
of CD4 decline. Treatment guidelines should consider measuring SPVL, whereas
mathematical models should incorporate SPVL stratification.
PMID- 26558544
TI - Once vs. twice-daily lopinavir/ritonavir in HIV-1-infected children.
AB - OBJECTIVE: To evaluate whether once daily (q.d.) lopinavir/ritonavir is
noninferior to twice daily (b.i.d.) dosing in children. DESIGN: International,
multicentre, phase II/III, randomized, open-label, noninferiority trial
(KONCERT/PENTA18/ANRS150). SETTING: Clinical centres participating in the PENTA,
HIV-NAT and PHPT networks. PARTICIPANTS: Children/adolescents with HIV-1 RNA
viral load less than 50 copies/ml for at least 24 weeks on lopinavir/ritonavir
containing antiretroviral therapy. INTERVENTION: Children were randomized to
continue lopinavir/ritonavir b.i.d. or change to q.d. MAIN OUTCOME MEASURE:
Confirmed viral load >=50 copies/ml by 48 weeks (12% noninferiority margin).
RESULTS: One hundred seventy-three children were randomized in the KONCERT trial
(86 q.d., 87 b.i.d.); 46% men, median (IQR) age 11 (9-14) years, CD4% 33 (27
38)%. By week 48, 97 and 98% of time was spent on q.d. and b.i.d., respectively
(one q.d. child lost at week 4). Twelve q.d. vs. seven b.i.d. children had
confirmed viral load >=50 copies/ml within 48 weeks; estimated difference in
percentage with viral load rebound 6% [90% CI (-2, 14)]. Numbers of children with
grade 3/4 adverse events (11 vs. 7) or major resistance mutations (3 vs. 2) were
similar, q.d. vs. b.i.d. (both P > 0.3). Among 26 children in an intrasubject
lopinavir/ritonavir pharmacokinetic substudy, lower daily exposure (AUC0-24 161
h.mg/l vs. 224 h.mg/l) and lower Clast (1.03 mg/l vs. 5.69 mg/l) were observed
with q.d. vs. b.i.d. dosing. CONCLUSION: Noninferiority for viral load
suppression on q.d. vs. b.i.d. lopinavir/ritonavir was not demonstrated. Although
results, therefore, do not support routine use of q.d. lopinavir/ritonavir, lack
of safety concerns or resistance suggest that q.d. dosing remains an option in
selected, adherent children, with close viral load monitoring.
PMID- 26558545
TI - Field accuracy of fourth-generation rapid diagnostic tests for acute HIV-1: a
systematic review.
AB - INTRODUCTION: Fourth-generation HIV-1 rapid diagnostic tests (RDTs) detect HIV-1
p24 antigen to screen for acute HIV-1. However, diagnostic accuracy during
clinical use may be suboptimal. METHODS: Clinical sensitivity and specificity of
fourth-generation RDTs for acute HIV-1 were collated from field evaluation
studies in adults identified by a systematic literature search. RESULTS: Four
studies with 17 381 participants from Australia, Swaziland, the United Kingdom
and Malawi were identified. All reported 0% sensitivity of the HIV-1 p24
component for acute HIV-1 diagnosis; 26 acute infections were missed. Specificity
ranged from 98.3 to 99.9%. CONCLUSION: Fourth-generation RDTs are currently
unsuitable for the detection of acute HIV-1.
PMID- 26558548
TI - HIV treatment cascades: how can all countries reach the UNAIDS 90-90-90 target?
PMID- 26558546
TI - Socioeconomic marginalization and plasma HIV-1 RNA nondetectability among
individuals who use illicit drugs in a Canadian setting.
AB - OBJECTIVE: Given that people who use illicit drugs (PWUD) often engage in
prohibited income generation to support their basic needs, we sought to examine
the role of these activities in shaping antiretroviral therapy (ART) adherence
and plasma HIV RNA-1 viral load suppression among HIV-infected PWUD. DESIGN:
Longitudinal analyses among HIV-positive, ART-exposed PWUD in the AIDS Care
Cohort to evaluate Exposure to Survival Services prospective cohort study (2005
2013). METHODS: Generalized linear mixed-effects and mediation analyses examined
the relationship between prohibited income generation (e.g., sex work, drug
dealing, theft, street-based income) and virologic suppression (plasma viral load
<=50 copies/ml plasma) adjusting for adherence and potential confounders.
RESULTS: Among 687 HIV-infected PWUD, 391 (56.9%) individuals reported prohibited
income generation activity during the study period. In multivariate analyses,
prohibited income generation remained independently and negatively associated
with virologic suppression (adjusted odds ratio: 0.68, 95% confidence interval:
0.52-0.88) following adjustment for hypothesized confounders, including high
intensity drug use, ART adherence and homelessness. Although partially mediated
by ART adherence, the relationship between prohibited income generation and
virologic suppression was maintained in mediation analyses (Sobel statistic =
1.95, P = 0.05). CONCLUSION: Involvement in prohibited income generation
decreases the likelihood of virologic suppression directly and indirectly through
its negative association with ART adherence. These findings suggest that linkages
between socioeconomic marginalization, the criminalization of illicit drug use,
and insufficient employment opportunities may produce barriers to access and
retention in care. Programmatic and policy interventions that decrease
socioeconomic vulnerability may therefore reduce HIV-related morbidity,
mortality, and onward transmission.
PMID- 26558549
TI - Alarming rates of virological failure and drug resistance in patients on long
term antiretroviral treatment in routine HIV clinics in Togo.
AB - Information on efficacy of long-term antiretroviral treatment (ART) exposure in
resource-limited countries is still scarce. In 767 patients attending routine HIV
centers in Togo and receiving first-line ART for more than four years, 42% had
viral load greater than 1000 copies/ml and either were on a completely
ineffective ART regime or were with only a single drug active. The actual
conditions to ensure lifelong ART in resource-limited countries can have dramatic
long-term outcomes.
PMID- 26558547
TI - Molecular analysis allows inference into HIV transmission among young men who
have sex with men in the United States.
AB - OBJECTIVE: The objective of this study is to understand the spread of HIV among
and between age and racial/ethnic groups of men who engage in male-to-male sexual
contact (MSM) in the United States. DESIGN: An analysis of HIV-1 pol sequences
for MSM collected through the US National HIV Surveillance System (NHSS) during
2001-2012. METHODS: Pairwise genetic distance was calculated to determine
potential transmission partners (those with very closely related nucleotide
sequences, i.e. distance <=1.5%). We described race/ethnicity and age of
potential transmission partners of MSM. RESULTS: Of 23 048 MSM with HIV sequences
submitted to NHSS during 2000-2012, we identified potential transmission partners
for 8880 (39%). Most potential transmission partners were of the same
race/ethnicity (78% for blacks/African-Americans, 64% for whites and 49% for
Hispanics/Latinos). This assortative mixing was even more pronounced in the
youngest age groups. Significantly fewer young black/African-American and
Hispanic/Latino MSM had older potential transmission partners than young white
MSM. CONCLUSION: Black/African-American MSM, who are more profoundly affected by
HIV, were more likely to have potential HIV transmission partners who were of the
same race/ethnicity and similar in age, suggesting that disparities in HIV
infections are in large part not due to age-disassortative relationships.
Concerted efforts to increase access to preexposure prophylaxis, quality HIV care
and effective treatment are needed to interrupt transmission chains among young,
black/African-American MSM.
PMID- 26558550
TI - Renal dysfunction and schistosomiasis among HIV-infected patients starting
antiretroviral therapy in Mwanza, Tanzania.
PMID- 26558551
TI - Gene regulation during development in the light of topologically associating
domains.
AB - During embryonic development, complex transcriptional programs govern the
precision of gene expression. Many key developmental genes are regulated via cis
regulatory elements that are located far away in the linear genome. How sequences
located hundreds of kilobases away from a promoter can influence its activity has
been the subject of numerous speculations, which all underline the importance of
the 3D-organization of the genome. The recent advent of chromosome conformation
capture techniques has put into focus the subdivision of the genome into
topologically associating domains (TADs). TADs may influence regulatory
activities on multiple levels. The relative invariance of TAD limits across cell
types suggests that they may form fixed structural domains that could facilitate
and/or confine long-range regulatory interactions. However, most recent studies
suggest that interactions within TADs are more variable and dynamic than
initially described. Hence, different models are emerging regarding how TADs
shape the complex 3D conformations, and thereafter influence the networks of cis
interactions that govern gene expression during development. For further
resources related to this article, please visit the WIREs website.
PMID- 26558552
TI - Highly Selective Addition of a Broad Spectrum of Trimethylsilane Pro-nucleophiles
to N-tert-Butanesulfinyl Imines.
AB - Addition of organotrimethylsilane reagents to chiral N-tert-butanesulfinyl imines
can be achieved in good yields and with excellent diastereoselectivities by
employing TMSO(-)/Bu4N(+) as a Lewis base activator in THF. A variety of
aliphatic, aromatic, heteroaromatic and organometallic chiral imines were
utilised as electrophiles for the synthesis of enantioenriched N-tert
butanesulfinyl amides. Remarkably, the same sets of reaction conditions could be
used with a highly diverse range of bench-stable organotrimethylsilane reagents,
which highlights the generality and robustness of this methodology.
PMID- 26558553
TI - The Royal North Shore Hospital Emergency Department airway registry: Closing the
audit loop.
AB - OBJECTIVE: We aim to investigate whether a bundle of changes made to the practice
of endotracheal intubation in our ED was associated with an improvement in first
pass success rate and a reduction in the incidence of complications. METHODS: We
used a prospective observational study. RESULTS: The data on 360 patients who
were intubated during an 18-month period following the introduction of these
changes were compared with our previously published observational data. Success
on first attempt at intubation improved 83.4% to 93.9% (P < 0.0001). The
proportion of patients with one or more complication fell from 29.0% to 19.4% (P
< 0.042). Oesophageal intubation fell from 4.0% to 0.3% (P < 0.001), and there
was a non-significant reduction in the rate of desaturation, from 15.6% to 10.9%
(P < 0.07). CONCLUSION: We have shown that, through the introduction of a bundle
of changes that spans the domains of staff training, equipment and practice
standardisation, we have made significant improvements in the safety of patients
undergoing endotracheal intubation in our ED.
PMID- 26558555
TI - Line edge roughness of a latent image in post-optical lithography.
AB - The progress of electronic devices has been supported by advances in 'top-down'
nanotechnology, namely lithography, which reached a scale of 90 nm on the mass
production stage in 2005. The energy of the exposure source would exceed the
ionization potential of the resist materials at the 32 nm scale with the
deployment of extreme ultraviolet (EUV) light or an electron beam (EB). Among the
issues of nanoscale fabrication with chemically amplified (CA) resists, line edge
roughness (LER) is the most serious concern. Here, we report a Monte Carlo
simulation of a latent image LER caused by ionization, in terms of proton
dynamics, acid diffusion, and the effect of amine additives. The minimum LER
(defined as three times the standard deviation) after post-exposure baking was
~9.5 nm for a 5 uC cm(-2) exposure dose with 0.5 wt% amine. Although the
deployment of a high-energy exposure source is the only method that allows
further miniaturization after ArF immersion lithography, the acid generation
mechanism, clarified for the first time in this paper, will emerge as a critical
factor in limiting the availability of post-optical lithography.
PMID- 26558554
TI - Predictive factors for recurrence of cryptoglandular fistulae characterized by
preoperative three-dimensional endoanal ultrasound.
AB - AIM: Precise information regarding the location of an anal fistula and its
relationship to adjacent structures is necessary for selecting the best surgical
strategy. Retrospective and cross-sectional studies were performed to determine
predictive factors for recurrence of anal fistula from preoperative examination
by three-dimensional endoanal ultrasound (3D-EAUS). METHOD: Patients in our
tertiary centre and in a private centre specialized in proctology undergoing
preoperative 3D-EAUS for cryptoglandular anal fistulae between 2002 and 2012 were
included. A questionnaire was sent in September 2013 to assess the patient's
condition with regard to recurrence. Variables checked for association with
recurrence were gender, type of centre, previous fistula surgery, secondary track
formation and classification of the fistula. RESULTS: There were 143 patients of
whom 96 had a low fistula treated by fistulotomy, 28 a high fistula treated by
fistulectomy and 19 a high fistula treated by fistulectomy combined with a
mucosal advancement flap. The median duration of follow-up was 26 (2-118) months.
The fistula recurred in 40 (27%) patients. Independent risk factors included the
presence of secondary track formation [hazard ratio 2.4 (95% CI 1.2-51), P =
0.016] and previous fistula surgery [hazard ratio 1.2 (95% CI 1.0-4.6), P =
0.041]. Agreement between the 3D-EAUS examination and the evaluation under
anaesthesia regarding the site of the internal opening, classification of the
fistula and the presence of secondary tracks was 97%, 98% and 78%. CONCLUSION:
The identification of secondary tracks by preoperative 3D-EAUS examination was
the strongest independent risk factor for recurrence. This stresses the
importance of preoperative 3D-EAUS in mapping the pathological anatomy of the
fistula and a thorough search for secondary track formation during surgery.
PMID- 26558556
TI - Aperture scanning near-field optical microscopy and spectroscopy of single
terrylene molecules at 1.8 K.
AB - Single-molecule imaging and spectroscopy using an aperture scanning near-field
optical microscope operating at 1.8 K in a helium bath cryostat is demonstrated.
From near-field images at constant excitation frequency, the orientation of
single molecules can be deduced. Spectral information is obtained using both near
field and confocal excitation schemes by scanning the excitation frequency at a
fixed sample position. Differences between near-field and confocal spectra are
discussed in terms of the position with respect to the aperture and the molecular
orientation.
PMID- 26558557
TI - Effective amino-functionalization of carbon nanotubes for reinforcing epoxy
polymer composites.
AB - An effective functionalization method was investigated to take full advantage of
the exceptional performance of both carbon nanotubes and epoxy polymer for
composite application. Epoxy polymer curing agent, EPI-W, was grafted to the
single-walled carbon nanotubes through diazotization. Fourier transformed
infrared spectroscopy, Raman spectroscopy, differential scanning calorimetry,
dynamical mechanical analysis and thermo-gravimetric analysis were performed to
characterize the functionalization effect. The degree of functionalization was
estimated to be 1 in 50 carbons in the nanotube framework. The elastic modulus of
the nanocomposite was enhanced 24.6% with only 0.5 wt% loading of functionalized
carbon nanotubes, in contrast to the 3.2% increase of un-functionalized carbon
nanotube reinforced composite. This significant improvement suggested an
effective way to realize an industrial application of nanotubes reinforcing epoxy
composite.
PMID- 26558558
TI - Electrospun polymer nanofibres with small diameters.
AB - Nylon-4,6 nanofibres with diameters ranging from about 1 um down to 1 nm were
prepared by electrospinning. The fibre diameter was varied by adjusting the
concentration of the polymer solution. Electrospinning of a concentrated solution
of as high as 20% nylon-4,6 by weight in formic acid produced a ribbon-like
electrospun fibre with a ribbon width of about 850 nm. A semi-dilute
concentration of 2% nylon-4,6 by weight produced the thinnest nylon-4,6
nanofibres with diameters of 1.6 nm or less. A small amount of pyridine was added
to the electrospinning solution to avoid the formation of beaded nanofibres in
the course of electrospinning at low concentrations. Scanning and transmission
electron microscopy were used to characterize the size of the nanofibres. An
ultra-thin nylon-4,6 nanofibre of 1.2 nm diameter might contain six or seven
nylon-4,6 molecules in a typical cross-section of the fibre.
PMID- 26558559
TI - Local measurement of secondary electron emission from ZnO-coated carbon
nanotubes.
AB - The secondary electron emission (SEE) of ZnO-coated carbon nanotubes (CNTs) was
measured using a biasing technique in a scanning electron microscope. The SEE
yield of the ZnO-coated CNTs is higher than that of the ZnO film deposited on Si
substrates. Direct observation of the variation in SEE from tip-end and non-CNT
positions was demonstrated. Local measurement reveals that the SEE yield at the
tip-end of the ZnO-coated CNTs is much higher than that of non-CNT positions. The
enhancement of SEE is attributed to the strong local field generated at the tip
of the CNTs.
PMID- 26558560
TI - Adsorption of PTCDA on a partially KBr covered Ag(111) substrate.
AB - Ordered growth of 3,4,9,10-perylene-tetracarboxylic-dianhydride (PTCDA) on
Ag(111) partially covered by one or two monolayers of KBr was investigated by non
contact AFM with molecular resolution. Different adsorption patterns are found on
the pure substrate, the one covered by a single monolayer, and the one covered by
two monolayers KBr. Simulations with an extended Ising-type model reproduce these
experimental patterns very well. The adsorbate-adsorbate and the adsorbate
substrate interaction parameters obtained from the simulation are discussed with
respect to the interactions at the Ag(111)|KBr interface. As a result, alkali
halide covered metals can be used for tuning the interactions and designing
adsorption systems, which opens up new possibilities in the control of self
assembled nanostructures.
PMID- 26558561
TI - Atomic force microscopy with inherent disturbance suppression for nanostructure
imaging.
AB - Scanning probe imaging is often limited by disturbances, or mechanical noise,
from the environment that couple into the microscope. We demonstrate, on a
modified commercial atomic force microscope, that adding an interferometer as a
secondary sensor to measure the separation between the base of the cantilever and
the sample during conventional feedback scanning can result in real-time images
with inherently suppressed out-of-plane disturbances. The modified microscope has
the ability to resolve nanometre-scale features in situations where out-of-plane
disturbances are comparable to, or even several orders of magnitude greater than,
the scale of the topography. We present images of DNA in air from this microscope
in tapping mode without vibration isolation, and show improved clarity using the
interferometer as the imaging signal. The inherent disturbance suppression
approach is applicable to all scanning probe imaging techniques.
PMID- 26558562
TI - Crystal-structure-dependent photoluminescence from InP nanowires.
AB - The formation and photoluminescence (PL) of InP nanowires grown by metal organic
vapour phase epitaxy on InP(111)B substrates, using colloidal gold nanoparticles
as catalysts, are investigated. The dependence of the orientation and dimensions
of the nanowires on the growth temperature is studied using scanning electron
microscopy. Vertically aligned [Formula: see text] oriented nanowires with a mean
base diameter in the range 50-150 nm, and a tip diameter of 50 nm, show a PL blue
shift of about 80 meV compared to the substrate. Blue-shift due to quantum
confinement is ruled out because of the large diameter of the nanowires. A clear
correlation between the orientation of the nanowires on the substrate and the PL
peak position is observed. Based on x-ray diffraction and transmission electron
microscopy measurements, it is proposed that the as-grown vertically oriented
nanowires have crystallized in the wurtzite lattice instead of in the zinc-blende
structure, which results in a blue-shifted PL.
PMID- 26558563
TI - Formation and luminescence of nanoterraces and elongated structures in sintered
TiO2.
AB - Samples of rutile TiO2 have been prepared by sintering compacted powders under
argon flow. Long (above 20 h) sintering times at 1500 degrees C led to the
formation of rods with squared cross-sections in a broad range of sizes. A two
step annealing treatment, at two temperatures, was found to favour the growth of
low-dimensional elongated structures as well as a terraced structure on the grain
surface, producing samples with high surface to volume ratio. The
cathodoluminescence (CL) spectrum of the initial powder, shows an emission
centred at about 2.40 eV, which can be separated into three Gaussian bands at
2.19, 2.30 and 2.55 eV. The main features of the CL spectra of sintered samples
are an infrared band at 1.52 eV and a complex band in the visible range, whose
peak position shifts with the annealing temperature. In samples sintered for 30 h
an emission at 1.80 eV appears, while the dominant emission at 1.52 eV, due to
titanium interstitials, is quenched.
PMID- 26558564
TI - Solubilization, purification and functionalization of carbon nanotubes using
polyoxometalate.
AB - In this work, we developed a convenient and efficient method for solubilization,
purification and functionalization of carbon nanotubes (CNTs) using a versatile
reagent (phosphotungstic acid (HPW)). Because HPW can spontaneously attach to
graphite walls as polyanions and provide static repulsion, CNT aggregates were
divided into individual and small bundles of CNTs and turned into a stable
solution by sonication in the presence of HPW. Amorphous carbon impurities and
metal catalysts in the raw CNTs were removed by centrifugation and filtration.
Finally, purified CNTs with a yield of 82 wt% were obtained. Using HPW on
graphite walls as an electrostatic and acid anchor, positively charged titania
nanoparticles and albumin molecules were successfully assembled around CNTs
without altering their delocalized pi-electron system. The versatility of this
simple approach could be extended beyond inorganic nanoparticles and proteins, to
other systems with desired properties.
PMID- 26558565
TI - Large-area fabrication of periodic Fe nanorings with controllable aspect ratios
in porous alumina templates.
AB - Highly uniform Fe nanoring arrays in porous anodic alumina templates are
fabricated by physical vapour deposition and grazing ion milling techniques. The
nanorings have aspect ratios ranging from 0.8 to 4, depending on the deposition
conditions. The outer diameter of the individual nanorings, and the area density
and distribution patterns are completely determined by the template used.
Selected-area electron diffraction reveals that these nanorings have a
polycrystalline microstructure. The nanoring fabrication method demonstrated here
can be extended to other materials.
PMID- 26558566
TI - Carboxyl-cored dendrimer and toluene-assisted fabrication of uniform platinum
nanodendrites at a water/oil interface and their potential application as a
catalyst.
AB - Uniform platinum nanodendrites have been prepared at a water/oil interface by a
facile catalyst-free method at room temperature. This is carried out by
introducing NaBH4 into the platinum precursor solution in the presence of the
second generation of carboxyl-cored dendrimer ([G-2]-CO2H dendrimer) and toluene
to act as a protective agent and a linker, respectively. The average fractal
dimension of 1.61 of the obtained platinum nanodendrites is calculated by
analysing the transmission electron micrographs using the programs Fractal
Dimension Version 1.1 and Fractal Dimension Calculator. Control experiments show
that the fabrication of platinum nanodendrites can be operated with a wide
parameter window, which undoubtedly raises the degree of control of the synthesis
process. The potential application of such a nanostructure as a catalyst is
investigated, and the results reveal that they show highly efficient catalytic
properties for the typical redox reaction between hexacyanoferrate (III) and
thiosulfate ions at 301 K.
PMID- 26558567
TI - Investigation of micro-electro-mechanical processing characteristics of layered
boron nitride and carbon films.
AB - A microsquare fabrication process is developed for the investigation of the
processing characteristics of boron nitride and carbon (C/BN)n and (BN/C)n films
with a 4 nm nanoperiod multilayer structure. Simultaneous surface topography,
friction and current measurements were performed on the multilayer films by
conductive atomic force microscopy (AFM) with force modulation, which permits the
quantitative recording of current and frictional force as functions of the
applied force. The current image showed that highly conducting sites formed on
carbon (C) layers and that nonconducting sites existed on boron nitride (BN)
layers and/or mixed layers. Furthermore, amplitude response (friction force)
images show that the conducting sites have a low frictional force, whereas the
nonconducting sites have a high frictional force. This is thought to be due to
the structure phase of the nanostructure of the films. Further, the result that
the nonconducting sites have a high amplitude response (friction) implies the
existence of a mixed layer (interface) between the C and BN layers. It is
suggested that friction and surface-current measurements are effective methods of
investigating multilayer nanostructural surfaces and fabricating micro-electro
mechanical processing systems of a high precision.
PMID- 26558568
TI - Dynamic behaviour in piezoresponse force microscopy.
AB - Frequency-dependent dynamic behaviour in piezoresponse force microscopy (PFM)
implemented on a beam-deflection atomic force microscope (AFM) is analysed using
a combination of modelling and experimental measurements. The PFM signal is
comprised of contributions from local electrostatic forces acting on the tip,
distributed forces acting on the cantilever, and three components of the
electromechanical response vector. These interactions result in the flexural and
torsional oscillations of the cantilever, detected as vertical and lateral PFM
signals. The relative magnitudes of these contributions depend on geometric
parameters of the system, on the stiffnesses and frictional forces of the tip
surface junction, and on the frequency of operation. The dynamic signal formation
mechanism in PFM is analysed and conditions for optimal PFM imaging are
formulated. An experimental approach for probing cantilever dynamics using
frequency-bias spectroscopy and deconvolution of electromechanical and
electrostatic contrast is implemented.
PMID- 26558569
TI - Magnetic antidot nanostructures: effect of lattice geometry.
AB - We investigate the effect of lattice geometry on the magnetic anisotropy and
transport properties of Ni80Fe20 antidot nanostructures. The structures were
fabricated using deep ultra-violet lithography at 248 nm exposure wavelength. For
an antidot array with a square lattice, a fourfold magnetic anisotropy with
alternating hard axis and easy axis every 45 degrees was observed. The honeycomb
and rhomboid antidot lattice, however, both show a sixfold anisotropy, conforming
well to the symmetry of their respective lattices. The magnetic hysteresis and
micromagnetic simulation of the spin states at remanence show that the
magnetization reversal process is very sensitive to the lattice arrangement of
the holes. From the magnetotransport measurements, both the current density
distribution and the magnetoresistance behaviour are markedly dependent on the
antidot lattice geometry, in agreement with our transport simulations.
PMID- 26558570
TI - Theoretical investigation on the stability and properties of a (10,0) BN-AlN
nanotube junction.
AB - The energetic, electronic and structural properties of a heterojunction formed by
BN and AlN (10,0) nanotubes have been studied using first principles density
functional theory. The differences between the AlN and BN nanotubes lead to
structural rearrangements mainly at the junction layers. Two different types of
junction occur, and net charges of opposite signs appear in each of them, with a
resulting electric dipole along the heterojunction axis. The calculated band
offset shows a staggered band line-up, with the heterojunction forming a one
dimensional array of quantum dots.
PMID- 26558571
TI - Visible quantum cutting in GdF3:Eu(3+) nanocrystals via downconversion.
AB - GdF3:Eu(3+) nanocrystals (NCs) and nanorods were synthesized by a microemulsion
mediated hydrothermal process. The structure, shape and particle size were
characterized by means of x-ray diffraction (XRD) and transmission electron
microscopy (TEM). The vacuum ultraviolet (VUV) spectrum of GdF3:Eu(3+) NCs shows
that the Gd(3+) ion can absorb one VUV photon excited in the (6)GJ levels and
relaxes through two-step energy transfer to Eu(3+), yielding two visible photons
at room temperature. The visible quantum efficiency of GdF3:Eu(3+) NCs was
calculated to be close to 170% by the peak intensity ratio of correlative
transition emission under VUV excitation at 160 nm.
PMID- 26558572
TI - Formation of mid-infrared emissive InAs quantum dots on a graded InxGa1-xAs/InP
matrix with a more uniform size and higher density under safer growth conditions.
AB - InAs mid-infrared emissive quantum dots (QDs) grown on a graded InxGa1-xAs/InP
matrix with more uniform size and higher dot density have been successfully
prepared by low pressure metal organic chemical vapour deposition (LP-MOCVD)
under safer growth conditions. Low toxic tertiarybutylarsine and
tertiarybutylphosphine sources were used to replace the high toxic arsine and
phosphine in the MOCVD growth. To improve the process safety further, inertial N2
instead of the normally used explosive H2 was used as the carrier gas. Initially,
by using a two-step growth method, uniform InAs QDs with a high dot density of
1.3 * 10(10) cm(-2) have been successfully grown on a InGaAs/InP matrix. The
emission wavelength of the QDs reaches >2.1 um. The low temperature
photoluminescence spectrum of the QDs grown by the two-step growth has much
narrower linewidth and higher intensity than that of the QDs grown by using
normal Stranski-Krastanow (S-K) and atomic layer epitaxy (ALE) growth methods.
PMID- 26558573
TI - Fabrication and magnetotransport properties of ordered sub-100 nm pseudo-spin
valve element arrays.
AB - We prepared ordered sub-100 nm pseudo-spin-valve (PSV) element arrays by
electrodeposition of NiFe/Cu/Co into the pores of self-organized nanoporous
anodized aluminium templates. Field-emission scanning electron microscopy reveals
that the sub-100 nm PSV arrays, of uniform size, are well separated and exhibit a
perfect two-dimensional array with a hexagonal pattern. The easy-axis hysteresis
loops show two distinct steps related to the separate reversal of soft (NiFe) and
hard (Co) layers. The switching fields of the PSV arrays are approximately -50 Oe
for the NiFe and 570 Oe for the Co. The dependence of the magnetoresistance on
the Cu spacer layer thickness indicates the presence of an oscillatory interlayer
exchange coupling through the Cu layers.
PMID- 26558574
TI - Model for the effective thermal conductivity of carbon nanotube composites.
AB - We present a novel model of the effective thermal conductivity for carbon
nanotube composites by incorporating the interface thermal resistance with an
average polarization theory. The dependence of the effective thermal conductivity
on nanotube length, diameter, concentration, and interface thermal resistance has
been taken care of simultaneously in our treatment. The model predicts that the
large length of the carbon nanotubes embedded plays a key role in the thermal
conductivity enhancement, while the large interface thermal resistance across the
nanotube-matrix interface causes a significant degradation. Interestingly, the
model predicts that the nanotube diameter has a very small effect on the thermal
conductivity enhancement of the nanotube composites. In addition, the model
predicts that the thermal conductivity enhancement of nanotube composites
increases rapidly with decreasing the thermal conductivity of the matrix and
increases with increasing the thermal conductivity of the carbon nanotube.
Predictions from the novel model are in excellent agreement with the
experimentally observed values of the effective thermal conductivity of carbon
nanotube nanofluids which the classical models have not been able to explain.
PMID- 26558575
TI - Fabrication of polymeric hollow nanospheres, hollow nanocubes and hollow plates.
AB - A facile strategy for fabricating polypyrrole-chitosan (PPy-CS) hollow
nanostructures with different shapes (sphere, cube and plate) and a wide range of
sizes (from 35 to 600 nm) is described. These hollow structures have been
fabricated using silver bromide as a single template material for polymer
nucleation and growth. PPy-CS hollow nanostructures are formed by reaction with
an etching agent to remove the core. These hollow nanostructures have been
extensively characterized using various techniques such as TEM, FT-IR, UV-vis,
and XRD.
PMID- 26558577
TI - Modelling of nanoparticle formation during spray pyrolysis.
AB - A mathematical model for the evaporation of micro- and nano-sized solution
droplets is developed. This model is used to predict whether the particles
produced by spray drying and pyrolysis are fully filled or hollow. The model
includes the non-continuum effects on the droplet evaporation. This is achieved
by combining an interpolation formula based on the numerical solution of the
Boltzmann equation for the transition regime with the continuum based governing
equations. Results show that the non-continuum effects can be significant for the
evaporation of submicron and nano-sized droplets in atmospheric pressures.
Correlations for the final particle size and its wall thickness in terms of
reactor temperature and pressure and the initial solution concentration are
developed. The predictions are in good agreement with experiments performed on
the submicron zirconia particles, prepared by spray pyrolysis.
PMID- 26558576
TI - Large-scale production of carbon-coated copper nanoparticles for sensor
applications.
AB - Copper nanoparticles with a mean carbon coating of about 1 nm were continuously
produced at up to 10 g h(-1) using a modified flame spray synthesis unit under
highly reducing conditions. Raman spectroscopy and solid state (13)C magic angle
spinning nuclear magnetic resonance spectroscopy revealed that the thin carbon
layer consisted of a sp(2)-hybridized carbon modification in the form of graphene
stacks. The carbon layer protected the copper nanoparticles from oxidation in
air. Bulk pills of pressed carbon/copper nanoparticles displayed a highly
pressure- and temperature-dependent electrical conductivity with sensitivity at
least comparable to commercial materials. These properties suggest the use of
thin carbon/copper nanocomposites as novel, low-cost sensor materials and offer a
metal-based alternative to the currently used brittle oxidic spinels or
perovskites.
PMID- 26558578
TI - The degenerate Fermi gas of pi electrons in fullerenes and the sigma surface
instabilities.
AB - The departure from perfect spherical symmetry in the case of fullerenes (C60
being the sole exception) induces instabilities due to the stresses generated by
the pentagonal protrusions in the sigma-bonded surfaces. By assuming sigma-pi
separability and treating pi electrons as a degenerate Fermi gas in the two
shells around the central sigma structure, the resulting degeneracy pressures can
further enhance the sigma-surface initiated instabilities for non-icosahedral
structures (especially for those C60) with large protrusions. Under certain circumstances the net degeneracy
pressure across the sigma surface may have a structure stabilizing effect. The
role of the pi-electron degeneracy in a broad range of fullerenes from C20 to
C1500 and its effects on fullerene stability are investigated.
PMID- 26558579
TI - Synthesis and low-temperature photoluminescence properties of SnO2 nanowires and
nanobelts.
AB - Ultra-long rutile tin dioxide nanowires and nanobelts are synthesized by thermal
oxidation of tin powder using gold film as the catalyst. Nanowire or nanobelts
can be selectively produced by tuning the reaction temperature. The vapour-liquid
solid growth mechanism is proposed. The band gaps of the nanowires and nanobelts
are 3.74 and 3.81 eV respectively, determined from UV/visible absorption spectral
results. The SnO2 nanowires show stable photoluminescence with two emission peaks
centred at around 470 and 560 nm. Their wavelengths stay almost fixed while their
intensities depend sensitively on the temperatures within the examination ranges
from 10 to 300 K. The SnO2 nanobelts show similar photoluminescence behaviours
and the origin of the luminescence is discussed.
PMID- 26558580
TI - A simple hydrothermal method for the growth of Bi2Se3 nanorods.
AB - Bi2Se3 nanorods have been synthesized through a simple hydrothermal reduction
approach. The nanorods formed were ~10 nm in diameter and 100-200 nm in length.
XRD characterization suggested that the product consisted of the hexagonal phase
of pure Bi2Se3. EDX and XPS studies further confirmed the composition and purity
of the product. A possible mechanism for the reaction is proposed, where Bi2Se3
microsheets are presumed to be the intermediate for the formation of the
nanorods. The effect of solvent on the morphology of the final product is
discussed, where, in the presence of aprotic solvent DMF, nanoparticle formation
is observed. A bandgap of 2.25 eV is observed from the UV-visible absorption
spectra.
PMID- 26558581
TI - Pulsed electrodeposition of single-crystalline Bi2Te3 nanowire arrays.
AB - Thermoelectric material Bi2Te3 nanowire arrays have been successfully prepared by
pulsed electrochemical deposition into the nanochannels of porous anodic alumina
membranes. X-ray diffraction analyses show that the as-synthesized nanowires have
a highly preferential orientation. Scanning electron microscopy, transmission
electron microscopy, and high-resolution transmission electron microscopy
observations indicate that the high-filling-rate and uniform Bi2Te3 nanowires are
single crystalline. Energy dispersive spectrometer analyses indicate that the
compositions of the nanowires can be controlled by changing the potentials and
the solution concentrations. The electrical resistance measurements indicate that
the resistances increase with decreasing temperature and show a typical
semiconductor characteristic. The growth mechanism is discussed together with the
electrochemical deposition process studies.
PMID- 26558582
TI - InGaN self-assembled quantum dots grown by metal-organic chemical vapour
deposition with growth interruption.
AB - Self-assembled InGaN quantum dots (QDs) were grown by metal-organic chemical
vapour deposition with growth interruption at low V/III ratio and low growth
temperature on sapphire substrates. The effects of the interruption time on the
morphological and optical properties of InGaN QDs were studied. The results show
that the growth interruption can modify the dimension and distribution of InGaN
QDs, and cause the QD emission wavelength to blue shift with increasing
interruption time. A density of InGaN QDs of about 4.5 * 10(10) cm(-2) with an
average lateral size of 11.5 nm and an average height of 1.6 nm can be obtained
by using a growth interruption time of 60 s.
PMID- 26558583
TI - Substrate and laser power dependence of surface-enhanced Raman scattering from a
silver oxide film.
AB - We report a method to improve the efficiency of surface-enhanced Raman scattering
(SERS) from a silver oxide film. A 632.8 nm He-Ne laser beam was focused on
silver oxide films deposited on different substrates (silica, TiO2, Si). We found
that the substrate material greatly affected the SERS efficiency, and that silica
substrate showed the highest efficiency among the materials measured. Scanning
electron microscopy observations revealed that silver nanoparticles were
generated within the focused laser spot. Computer simulations of the thermal
profile based upon data from experimental observations were also carried out. It
was found that the temperature of the silver oxide film differed greatly
according to the substrate. We infer that substrates that allow higher silver
oxide-film temperatures to be attained are more suitable for efficient SERS.
PMID- 26558584
TI - A parametric study on the synthesis of carbon nanotubes through arc-discharge in
water.
AB - A parametric study was carried out on a novel carbon nanotube (CNT) synthesis
using 'arc-discharge in solution' (ADS). The carbon nanostructure yield as a
function of time, the rate of erosion of the anode, and the rate of deposition of
carbonaceous materials on the cathode electrode were investigated. Amperage
dependent normalized kinetic parameters were evaluated. The production rate of
carbon nanostructures including CNTs at 75 A is as high as 5.89 +/- 0.28 g min(
1). Thermogravimetric analysis and x-ray diffraction studies reveal high purity
of the carbon nanostructures collected from water and have a very good agreement
with electron microscopy analyses. Very high surface area of the pristine
multiwalled CNTs and nanostructures (84 +/- 3.5 m(2) g(-1)) was measured using
BET. The dynamic light scattering (DLS) analysis shows further agreement with the
amperage dependent studies.
PMID- 26558585
TI - Synthesis of La and Nb doped PZT powder by the gel-combustion method.
AB - Lanthanum and niobium doped PZT with composition
(Pb0.93La0.07)[(Zr0.60Ti0.40)]0.9825Nb0.0175O3 (PZTLN) was prepared by the gel
combustion method. A precursor sol was obtained from lead nitrate, zirconyl
nitrate, lanthanum oxide, peroxo-citrato-niobium and a peroxo-citrate complex of
titanium isopropoxide as starting precursors. Various molar ratios of
citrate/nitrate (CA/NO3(-) = 1.3, 0.36 and 0.09) were used to prepare very fine
powders of PZTLN. The gels resulting from these sols were transformed into
powders by an auto-combustion process at <=400 degrees C. The powders consisted
of rhombohedral PZT (PbZr0.60Ti0.40O3), pyrochlore (Pb2Ti2O6) and lead carbonate
(Pb2O.CO3) phases. The pure rhombohedral phase is found in PZTLN pellets sintered
at 1100 degrees C for all citrate/nitrate ratios. Titanium and niobium
precursors were modified with peroxo radicals. During the gel-combustion
reaction, the temperature of the gel increases, leading to lead evaporation. The
loss of lead as well as the particle size increases as the CA/NO3(-) ratio
decreases. The smallest grained powder (about 50 nm) was obtained with the ratio
CA/NO3(-) equal to 0.09.
PMID- 26558586
TI - Synthesis and I-V properties of aligned copper nanowires.
AB - This paper reports the synthesis of well-aligned copper nanowires using an
electrochemical deposition template technique. The electrical properties of
copper nanowire arrays synthesized within vertical pores of alumina template were
measured using a current-sensing atomic force microscope (AFM), with bias voltage
applied between the AFM tip and the gold back-electrode. Nonlinear current
voltage (I-V) characteristics of copper nanowire arrays are observed; this is
attributed to the impurities near the wire-lead contact region. These vertical
copper nanowire arrays are suitable for use in fabricating nanoelectronic
devices.
PMID- 26558587
TI - Growth mechanism of tubular ZnO formed in aqueous solution.
AB - Tubular ZnO microstructural arrays were fabricated by a hydrothermal
decomposition method. The dependence of the morphologies on the growth time and
temperature was investigated in detail. An experiment was carried out to
determine the mechanism of tubular ZnO formation. Our results showed that ZnO
microtubes originated from an ageing process from ZnO microrods at a lower
temperature (compared to the temperature when hydrothermal deposition of ZnO
microrods was dominant) due to the preferential chemical dissolution of the
metastable Zn-rich (0001) polar surfaces. A growth model was proposed based on
the coexistence of hydrothermal deposition and dissolution of ZnO in the
fabrication process.
PMID- 26558588
TI - Luminescent properties and characterization of Gd2O3:Eu(3+)@SiO2 and
Gd2Ti2O7:Eu(3+)@SiO2 core-shell phosphors prepared by a sol-gel process.
AB - Gd2O3:Eu(3+) and Gd2Ti2O7:Eu(3+) films 10 nm in thickness were individually
coated onto silica spheres (particle size of 150-170 nm) using the sol-gel
method. The synthesized materials were addressed as Gd2O3:Eu(3+)@SiO2 and
Gd2Ti2O7:Eu(3+)@SiO2 phosphors. An x-ray powder diffractometer (XRD), field
emission scanning electron microscope (FE-SEM), high-resolution transmission
electron microscope (HR-TEM), and photoluminescence spectrophotometer (PL) were
employed to characterize the core-shell phosphors. Uniform core-shell phosphor
particles were observed using FE-SEM. The XRD and HR-TEM results indicated that
the coated-shell layer was well crystallized after sintering at 1000 degrees C.
The Gd2O3:Eu(3+)@SiO2 PL measurement showed a red emission at the main 615 nm
wavelength. The Gd2Ti2O7:Eu(3+)@SiO2 phosphor showed an orange-red emission at
the 588 and 615 nm wavelengths. In comparison with the Gd2O3:Eu(3+) and
Gd2Ti2O7:Eu(3+) bulk material results, the core-shell phosphors maintained the
same emission ability as the bulk materials and the novel core-shell phosphors
possessed great potential in quantum phosphor applications.
PMID- 26558589
TI - Nonlinear I-V characteristics of nanocrystalline SnO2.
AB - Current versus voltage characteristics (I-V) of nanocrystalline SnO2 materials
have been investigated in air at room temperature. The samples were prepared by
the inert gas condensation technique (IGCT) as well as by chemical methods. X-ray
diffraction studies showed a tetragonal rutile structure for all the samples.
Microstructural studies were performed with transmission electron microscopy. All
the samples exhibited nonlinear I-V characteristics of the current-controlled
negative resistance (CCNR) type. The results show that the threshold field (break
down) voltage is higher for the samples prepared by the IGCT method than for
those prepared by the chemical method due to the formation of a tin oxide layer
over the crystalline tin. It is also found that the threshold field increases
with the decrease in grain size.
PMID- 26558590
TI - Critical issues in the focused ion beam patterning of nanometric hole matrixes on
GaAs based semiconducting devices.
AB - This work investigates the critical issues in the focused ion beam (FIB)
nanopatterning of semiconducting devices. Matrixes of holes with diameter of
about 150 nm were drilled by FIB on the topmost layers of a quantum dot based
device. In order to study the presence of artefacts in the active region of the
device, the milling parameters were investigated. A careful analysis of the ion
beam effects on the structural and morphological features of the holes, mainly
due to the heterogeneous composition of the layers to be milled, demonstrated
that important deviations from the expected structures, in terms of size, shape
and geometry of the holes, as well as layer amorphization and damage, occur.
PMID- 26558591
TI - A general route for the rapid synthesis of one-dimensional nanostructured single
crystal Te, Se and Se-Te alloys directly from Te or/and Se powders.
AB - A general and template-free 'disproportionation and reversal' route was developed
to synthesize one-dimensional (1D) nanostructures of Te, Se and Se-Te alloys
directly from Te or/and Se powders. The products were characterized by x-ray
diffraction (XRD), transmission electron microscopy (TEM), selected area electron
diffraction (SAED), and scanning electron microscopy (SEM). Te nanorods and
nanowires with a width varying from about 40 nm to about 300 nm, Se nanowires
with a width of 60-100 nm and a length of 4-6 um, and SexTe100-x alloy nanorods
with x in a wide range, and with a width of 30-70 nm and an aspect ratio of three
to five, were prepared. The mechanism of formation of the nanorods and nanowires
and the effects of the experimental conditions, such as solution concentration,
cooling rate, solvent nature and heating process, on the morphology and size of
the products have been discussed. We believe that this general route and some
other proper reversible processes between solid state and solution state can be
extended to the transformations from various bulk materials into nanosized
materials with various morphologies.
PMID- 26558592
TI - Aqueous colloidal processing of single-wall carbon nanotubes and their composites
with ceramics.
AB - A unique combination of acid treatment, aqueous colloidal processing, and spark
plasma sintering (SPS) has been used to fabricate high-density Al2O3 /single-wall
carbon nanotube (SWNT) composites with well-distributed SWNTs and other carbon
nanostructures ('nano-onions', diamond) at Al2O3 grain boundaries. This approach
could be used to obtain well-controlled microstructures of ceramic/SWNT
composites for tailored mechanical, electrical, and thermal properties. In
addition, the colloidal approach for dispersing SWNTs presented here could be
used for the controlled manipulation of SWNTs.
PMID- 26558593
TI - A nanomechanical device based on light-driven proton pumps.
AB - In this paper, a hybrid device based on a microcantilever interfaced with
bacteriorhodopsin (bR) is constructed. The microcantilever, on which the highly
oriented bR film is self-assembled, undergoes controllable and reversible bending
when the light-driven proton pump protein, bR, on the microcantilever surface is
activated by visible light. Several control experiments are carried out to
preclude the influence of heat and photothermal effects. It is shown that the
nanomechanical motion is induced by the resulting gradient of protons, which are
transported from the KCl solution on the cytoplasmic side of the bR film towards
the extracellular side of the bR film. Along with a simple physical
interpretation, the microfabricated cantilever interfaced with the organized
molecular film of bR can simulate the natural machinery in converting solar
energy to mechanical energy.
PMID- 26558594
TI - Synthesis of hollow ZnO microspheres by an integrated autoclave and pyrolysis
process.
AB - Hollow zinc oxide microspheres have been synthesized from a micro ZnBr2.2H2O
precursor obtained by an autoclave process in bromoform steam at 220 degrees C
/2.5 MPa. Field-emission scanning electron microscropy (FE-SEM) and transmission
electron microscopy (TEM) show that the products are about 1.0 um single crystal
spherical particles with hollow interiors, partly open surfaces and walls self
assembled by ZnO nanoparticles. X-ray diffraction (XRD) analysis shows that the
as-prepared ZnO hollow spheres are of a hexagonal phase structure. A possible
formation mechanism is suggested on the basis of the shape evolution of ZnO
nanostructures observed by SEM and TEM. The room-temperature photoluminescence
(PL) spectrum shows UV emission around 386 nm and weak green emission peaks
indicating that there are few defects in the single crystal grains of the ZnO
microspheres.
PMID- 26558595
TI - Incorporating quantum dots into polymer microspheres via a spray-drying and
thermal-denaturizing approach.
AB - Bovine serum albumin (BSA) microspheres incorporated with CdTe quantum dots (QDs)
have been prepared via a spray-drying and thermal-denaturizing approach. The
results show that the morphology of the composites obtained was greatly affected
by the inlet temperature and the initial concentration of BSA in the precursor.
Most of the composites prepared with 0.6 mM BSA in the precursor at 40 degrees C
were spherical in shape and hollow. The thermal-denaturized microspheres were
water-insoluble, and separated from each other after dispersing in water. Each
microsphere had bright fluorescence with pure colour. The microspheres without
thermal-denaturation dissolved easily in water, and released nanospheres (>8 nm)
that consisted of many CdTe nanoparticles (<3 nm). This approach opens the
possibility of rapidly preparing QDs microspheres with controlled fluorescence
intensity or with separated multiemission peaks for biomedical applications.
PMID- 26558596
TI - Synthesis of single crystalline triangular and hexagonal Ni nanosheets with
enhanced magnetic properties.
AB - For the first time, single crystalline Ni nanosheets have been successfully
synthesized with the aid of iron species. The as-prepared nanosheets are mainly
triangular and hexagonal in shape, with edge lengths ranging from several tens to
several hundreds of nanometres. The exposed sheet planes are assigned to be (111)
planes of a face-centred cubic nickel crystal. The well defined geometry enhances
the anisotropic energy of Ni nanosheets, and therefore increases its blocking
temperature (TB) to room temperature. Notably, the coercive force of the Ni
nanosheets is 172 Oe at 300 K, which is significantly higher than that of the
bulk one (ca. 0.7 Oe at room temperature). A possible mechanism is proposed to
explain the formation of the thermodynamically unfavorable morphology of
nanosheets. We suggest that crystal twinning, which is formed by etching of the
introduced iron species with oleic acid, lowers the system energy, and leads to
the growth of these Ni nanosheets.
PMID- 26558597
TI - Comment on 'Bi nanolines on Si(001): registry with substrate'.
AB - A recent article (Miwa et al 2005 Nanotechnology 16 2427) casts doubt on the four
dimer-wide Haiku model for the Bi nanoline on Si(001), suggesting instead that
the three-dimer-wide Miki model (which had been ruled out) is a better fit in
particular to x-ray data. The reasons why the Haiku model provides the best fit
to all published data among currently proposed structures are discussed,
concentrating on the width and registry of the Bi nanoline, and mentioning new
data which shows that under appropriate conditions the two structures coexist in
the same surface.
PMID- 26558598
TI - Reply to Comment on 'Bi nanolines on Si(001): registry with the substrate'.
AB - The registry of bismuth dimers, integral components of the bismuth nanoline on
Si(001), is examined. In contrast to the currently accepted view, the bismuth
dimers are found to be in registry with the two-dimensional lattice created by
the silicon dimers. The consequences of this finding are briefly explored.
PMID- 26558599
TI - Sm3S3BO3: The First Sulfide Borate without S-O and B-S Bonds.
AB - An unprecedented quaternary sulfide borate, Sm3S3BO3 (1), was obtained via a high
temperature solid-state synthesis method. It crystallizes in the triclinic space
group P1, and its 3D structure features a 2D (Sm2S2)infinity wrinkled layer and a
1D (SmS)infinity ladderlike chain bridged by trigonal-planar (BO3)(3-) through Sm
O bonds, demonstrating the first sulfide borate without S-O and B-S bonds. Its
optical energy gap is measured to be around 2.5 eV and verified by electronic
structure calculation.
PMID- 26558600
TI - Women's and children's acceptance of biofortified quality protein maize for
complementary feeding in rural Ethiopia.
AB - BACKGROUND: For impact of nutritionally improved biofortified crops, consumer
acceptance specifically by women and children is necessary when the target
beneficiaries are young children. The objective was to assess women's and
children's acceptance of a biofortified crop, quality protein maize (QPM), for
complementary feeding in rural Ethiopia. RESULTS: Randomly sampled mothers (n =
61) of young children (6-24 months) evaluated flours from a QPM and a
conventional maize variety for five sensory characteristics and overall
acceptance by mother and child in a home use test with a double-blind, randomized
controlled cross-over design. Women distinguished the varieties when used to
prepare porridge, and QPM scored more favorably for texture in hand and mouth
(both P < 0.05). The varieties did not differ in overall acceptance, which was,
however, affected by order of presentation, mothers' number of children, and
enumerators who collected data (all P < 0.05). Aroma and taste were key in
mothers' acceptance, and appearance was further important for children. Women
were more than twice as likely to prefer QPM over conventional maize. CONCLUSION:
Consumer acceptance is unlikely to impede uptake and impact of QPM on young
children's nutritional status. Home use testing proved feasible for assessing
acceptance in rural areas with food insecurity and limited education. (c) 2015
Society of Chemical Industry.
PMID- 26558601
TI - Direct C-H Allylation of N-Acyl/Sulfonyl Tetrahydroisoquinolines and Analogues.
AB - A highly efficient direct C-H allylation reaction at the alpha position of N
acyl/sulfonyl tetrahydroisoquinolines under mild conditions was developed. The
reaction was also suitable for allylation of other protected nitrogen-containing
heterocycles. Several interesting transformations of the products into valuable
synthetic intermediates are featured with the successful total synthesis of (+/-)
crispine A.
PMID- 26558602
TI - Clinical, demographic and laboratory characteristics of methotrexate-responsive
eczema.
PMID- 26558603
TI - Stable integration and expression of a cry1Ia gene conferring resistance to fall
armyworm and boll weevil in cotton plants.
AB - BACKGROUND: Boll weevil is a serious pest of cotton crop. Effective control
involves applications of chemical insecticides, increasing the cost of production
and environmental pollution. The current genetically modified Bt crops have
allowed great benefits to farmers but show activity limited to lepidopteran
pests. This work reports on procedures adopted for integration and expression of
a cry transgene conferring resistance to boll weevil and fall armyworm by using
molecular tools. RESULTS: Four Brazilian cotton cultivars were microinjected with
a minimal linear cassette generating 1248 putative lines. Complete gene
integration was found in only one line (T0-34) containing one copy of cry1Ia
detected by Southern blot. Protein was expressed in high concentration at 45 days
after emergence (dae), decreasing by approximately 50% at 90 dae. Toxicity of the
cry protein was demonstrated in feeding bioassays revealing 56.7% mortality to
boll weevil fed buds and 88.1% mortality to fall armyworm fed leaves. A binding
of cry1Ia antibody was found in the midgut of boll weevils fed on T0-34 buds in
an immunodetection assay. CONCLUSION: The gene introduced into plants confers
resistance to boll weevil and fall armyworm. Transmission of the transgene
occurred normally to T1 progeny. All plants showed phenotypically normal growth,
with fertile flowers and abundant seeds. (c) 2015 Society of Chemical Industry.
PMID- 26558604
TI - Beclomethasone dipropionate hydrofluoroalkane for the treatment of allergic
rhinitis.
AB - Allergic rhinitis (AR) is a common respiratory disease, and its prevalence is
increasing all over the world, both in adults and in children. Patients
experience symptoms that may negatively impact on physical, social and
psychological well-being. Hence, if left untreated, allergic rhinitis may
significantly affect quality of life. Under current guidelines, intranasal
corticosteroids are considered the most effective drugs and they are recommended
as first-line therapy. Among the several corticosteroid intranasal sprays
available, beclomethasone dipropionate is one of the most prescribed. Recently,
new intranasal hydrofluoroalkane-propelled formulations with little or no impact
on the ozone layer have been developed for the treatment of AR. The use of these
devices might improve patients' adherence to treatment, avoiding some of the most
common side effects associated with aqueous formulations. This review provides
the most recent evidence for the efficacy and safety of beclomethasone
dipropionate hydrofluoroalkane nasal aerosol in the treatment of allergic
rhinitis.
PMID- 26558606
TI - Comparative ontogeny of the feeding apparatus of sympatric drums (Perciformes:
Sciaenidae) in the Chesapeake Bay.
AB - The anatomy of the feeding apparatus in fishes, including both oral and
pharyngeal jaw elements, is closely related to the ecology of a species. During
ontogeny, the oral and pharyngeal jaws undergo dramatic changes. To better
understand how such ontogenetic changes occur and relate to the feeding ecology
of a species, ontogenetic series of four closely related members of the family
Sciaenidae (Cynoscion nebulosus, Cynoscion regalis, Micropogonias undulatus, and
Leiostomus xanthurus) were examined. Sciaenids were selected because as adults
they exhibit considerable specialization of the feeding apparatus correlated with
differences in foraging habitats. However, it is not clear when during ontogeny
the structural specializations of the feeding apparatus develop, and thereby
enable early life history stage (ELHS) sciaenids to partition their foraging
habitats. A regression tree was recovered from the analysis and three divergences
were identified during ontogeny. There are no measurable differences in elements
of the feeding apparatus until the first divergence at 8.4 mm head length (HL),
which was attributed to differences in average gill filament length on the second
ceratobranchial. The second divergence occurred at 14.1 mm HL and was associated
with premaxilla length. The final divergence occurred at 19.8 mm HL and was
associated with differences in the toothed area of the fifth certatobranchial.
These morphological divergences suggest that ELHS sciaenids may be structurally
able to partition their foraging habitats as early as 8.4 mm HL.
PMID- 26558605
TI - Array-Based Platform To Select, Release, and Capture Epstein-Barr Virus-Infected
Cells Based on Intercellular Adhesion.
AB - Microraft arrays were developed to select and separate cells based on a complex
phenotype, weak intercellular adhesion, without knowledge of cell-surface markers
or intracellular proteins. Since the cells were also not competent to bind to a
culture surface, a method to encapsulate nonadherent cells within a gelatin plug
on the concave microraft surface was developed, enabling release and collection
of the cells without the need for cell attachment to the microraft surface. After
microraft collection, the gelatin was liquified to release the cell(s) for
culture or analysis. A semiautomated release and collection device for the
microrafts demonstrated 100 +/- 0% collection efficiency of the microraft while
increasing throughput 5-fold relative to that of manual release and collection.
Using the microraft array platform along with the gelatin encapsulation method,
single cells that were not surface-attached were isolated with a 100 +/- 0%
efficiency and a 96 +/- 4% postsort single-cell cloning efficiency. As a
demonstration, Epstein-Barr virus-infected lymphoblastoid cell lines (EBV-LCL)
were isolated based on their intercellular adhesive properties. The identified
cell colonies were collected with a 100 +/- 0% sorting efficiency and a postsort
viability of 87 +/- 3%. When gene expression analysis of the EBV latency
associated gene, EBNA-2, was performed, there was no difference in expression
between blasting or weakly adhesive cells and nonblasting or nonadhesive cells.
Microraft arrays are a versatile method enabling separation of cells based on
complicated and as yet poorly understood cell phenotypes.
PMID- 26558607
TI - Real Colorimetric Thrombin Aptasensor by Masking Surfaces of Catalytically Active
Gold Nanoparticles.
AB - We presented a simple, cost-effective, and ultrasensitive colorimetric approach
for visually detecting thrombin by the catalytic amplification of gold
nanoparticles (AuNPs) and aptamer-thrombin recognition. Thrombin can be
quantified in the presence of catalytic AuNP surface by using color-change time
of 4-nitrophenol. Without thrombin, yellow 4-nitrophenol can freely access the
surface of AuNP and becomes colorless 4-aminophenol. With the addition of
thrombin, aptamer-thrombin with large size interaction masks the partial surfaces
of AuNPs, and increases the reduction time of 4-nitrophenol to 4-aminophenol. The
maximum number of bound thrombin fully mask the catalytic AuNP surface, and thus
4-nitrophenol cannot approach to AuNP surface, the color of the solution remains
yellow. The limit of detection (LOD) of 0.1 nM can be achieved with naked eyes.
Of note, the method was further applied for the detection of thrombin in human
serum samples, showing the results in agreement with those values obtained in an
immobilization buffer by the colorimetric method.
PMID- 26558608
TI - Correction: Genome-Wide Survey and Expression Analysis of Chlamydomonas
reinhardtii U-box E3 Ubiquitin Ligases (CrPUBs) Reveal a Functional Lipid
Metabolism Module.
PMID- 26558609
TI - Antimicrobial Peptide Mimicking Primary Amine and Guanidine Containing
Methacrylamide Copolymers Prepared by Raft Polymerization.
AB - Naturally occurring antimicrobial peptides (AMPs) display the ability to
eliminate a wide variety of bacteria, without toxicity to the host eukaryotic
cells. Synthetic polymers containing moieties mimicking lysine and arginine
components found in AMPs have been reported to show effectiveness against
specific bacteria, with the mechanism of activity purported to depend on the
nature of the amino acid mimic. In an attempt to incorporate the antimicrobial
activity of both amino acids into a single water-soluble copolymer, a series of
copolymers containing lysine mimicking aminopropyl methacrylamide (APMA) and
arginine mimicking guanadinopropyl methacrylamide (GPMA) were prepared via
aqueous RAFT polymerization. Copolymers were prepared with varying ratios of the
comonomers, with degree of polymerization of 35-40 and narrow molecular weight
distribution to simulate naturally occurring AMPs. Antimicrobial activity was
determined against Gram-negative and Gram-positive bacteria under conditions with
varying salt concentration. Toxicity to mammalian cells was assessed by hemolysis
of red blood cells and MTT assays of MCF-7 cells. Antimicrobial activity was
observed for APMA homopolymer and copolymers with low concentrations of GPMA
against all bacteria tested, with low toxicity toward mammalian cells.
PMID- 26558610
TI - Making Time for Nature: Visual Exposure to Natural Environments Lengthens
Subjective Time Perception and Reduces Impulsivity.
AB - Impulsivity in delay discounting is associated with maladaptive behaviors such as
overeating and drug and alcohol abuse. Researchers have recently noted that delay
discounting, even when measured by a brief laboratory task, may be the best
predictor of human health related behaviors (e.g., exercise) currently available.
Identifying techniques to decrease impulsivity in delay discounting, therefore,
could help improve decision-making on a global scale. Visual exposure to natural
environments is one recent approach shown to decrease impulsive decision-making
in a delay discounting task, although the mechanism driving this result is
currently unknown. The present experiment was thus designed to evaluate not only
whether visual exposure to natural (mountains, lakes) relative to built
(buildings, cities) environments resulted in less impulsivity, but also whether
this exposure influenced time perception. Participants were randomly assigned to
either a natural environment condition or a built environment condition.
Participants viewed photographs of either natural scenes or built scenes before
and during a delay discounting task in which they made choices about receiving
immediate or delayed hypothetical monetary outcomes. Participants also completed
an interval bisection task in which natural or built stimuli were judged as
relatively longer or shorter presentation durations. Following the delay
discounting and interval bisection tasks, additional measures of time perception
were administered, including how many minutes participants thought had passed
during the session and a scale measurement of whether time "flew" or "dragged"
during the session. Participants exposed to natural as opposed to built scenes
were less impulsive and also reported longer subjective session times, although
no differences across groups were revealed with the interval bisection task.
These results are the first to suggest that decreased impulsivity from exposure
to natural as opposed to built environments may be related to lengthened time
perception.
PMID- 26558611
TI - Drug Susceptibility Patterns in MDR-TB Patients: Challenges for Future Regimen
Design. A Cross-Sectional Study.
AB - Globally, there is substantial concern regarding the challenges of treating
complex drug resistance patterns in multidrug resistant tuberculosis cases.
Utilising data from three different settings (Estonia, Latvia, Romania) we sought
to contrast drug susceptibility profiles for multidrug resistant tuberculosis
cases, highlight the difficulties in designing universal regimen, and inform
future regimen selection. Demographic and microbiological surveillance data for
multidrug resistant tuberculosis cases from 2004-13 were analysed. High levels of
additional resistance to currently recommended second line drugs were seen in all
settings, with extensive variability between countries. Accurate drug
susceptibility testing and drug susceptibility testing data are vital to inform
the development of comprehensive, flexible, multidrug resistant tuberculosis
guidance.
PMID- 26558613
TI - QSAR studies in the discovery of novel type-II diabetic therapies.
AB - INTRODUCTION: Type-II diabetes mellitus (T2DM) is a complex chronic disease that
represents a major therapeutic challenge. Despite extensive efforts in T2DM drug
development, therapies remain unsatisfactory. Currently, there are many novel and
important antidiabetic drug targets under investigation by many research groups
worldwide. One of the main challenges to develop effective orally active
hypoglycemic agents is off-target effects. Computational tools have impacted drug
discovery at many levels. One of the earliest methods is quantitative structure
activity relationship (QSAR) studies. QSAR strategies help medicinal chemists
understand the relationship between hypoglycemic activity and molecular
properties. Hence, QSAR may hold promise in guiding the synthesis of specifically
designed novel ligands that demonstrate high potency and target selectivity.
AREAS COVERED: This review aims to provide an overview of the QSAR strategies
used to model antidiabetic agents. In particular, this review focuses on drug
targets that raised recent scientific interest and/or led to successful
antidiabetic agents in the market. Special emphasis has been made on studies that
led to the identification of novel antidiabetic scaffolds. EXPERT OPINION:
Computer-aided molecular design and discovery techniques like QSAR have a great
potential in designing leads against complex diseases such as T2DM. Combined with
other in silico techniques, QSAR can provide more useful and rational insights to
facilitate the discovery of novel compounds. However, since T2DM is a complex
disease that includes several faulty biological targets, multi-target QSAR
studies are recommended in the future to achieve efficient antidiabetic
therapies.
PMID- 26558614
TI - miRLocator: Machine Learning-Based Prediction of Mature MicroRNAs within Plant
Pre-miRNA Sequences.
AB - MicroRNAs (miRNAs) are a class of short, non-coding RNA that play regulatory
roles in a wide variety of biological processes, such as plant growth and abiotic
stress responses. Although several computational tools have been developed to
identify primary miRNAs and precursor miRNAs (pre-miRNAs), very few provide the
functionality of locating mature miRNAs within plant pre-miRNAs. This manuscript
introduces a novel algorithm for predicting miRNAs named miRLocator, which is
based on machine learning techniques and sequence and structural features
extracted from miRNA:miRNA* duplexes. To address the class imbalance problem (few
real miRNAs and a large number of pseudo miRNAs), the prediction models in
miRLocator were optimized by considering critical (and often ignored) factors
that can markedly affect the prediction accuracy of mature miRNAs, including the
machine learning algorithm and the ratio between training positive and negative
samples. Ten-fold cross-validation on 5854 experimentally validated miRNAs from
19 plant species showed that miRLocator performed better than the state-of-art
miRNA predictor miRdup in locating mature miRNAs within plant pre-miRNAs.
miRLocator will aid researchers interested in discovering miRNAs from model and
non-model plant species.
PMID- 26558612
TI - Novel Activities of Select NSAID R-Enantiomers against Rac1 and Cdc42 GTPases.
AB - Rho family GTPases (including Rac, Rho and Cdc42) collectively control cell
proliferation, adhesion and migration and are of interest as functional
therapeutic targets in numerous epithelial cancers. Based on high throughput
screening of the Prestwick Chemical Library(r) and cheminformatics we identified
the R-enantiomers of two approved drugs (naproxen and ketorolac) as inhibitors of
Rac1 and Cdc42. The corresponding S-enantiomers are considered the active
component in racemic drug formulations, acting as non-steroidal anti-inflammatory
drugs (NSAIDs) with selective activity against cyclooxygenases. Here, we show
that the S-enantiomers of naproxen and ketorolac are inactive against the
GTPases. Additionally, more than twenty other NSAIDs lacked inhibitory action
against the GTPases, establishing the selectivity of the two identified NSAIDs. R
naproxen was first identified as a lead compound and tested in parallel with its
S-enantiomer and the non-chiral 6-methoxy-naphthalene acetic acid (active
metabolite of nabumetone, another NSAID) as a structural series. Cheminformatics
based substructure analyses-using the rotationally constrained carboxylate in R
naproxen-led to identification of racemic [R/S] ketorolac as a suitable FDA
approved candidate. Cell based measurement of GTPase activity (in animal and
human cell lines) demonstrated that the R-enantiomers specifically inhibit
epidermal growth factor stimulated Rac1 and Cdc42 activation. The GTPase
inhibitory effects of the R-enantiomers in cells largely mimic those of
established Rac1 (NSC23766) and Cdc42 (CID2950007/ML141) specific inhibitors.
Docking predicts that rotational constraints position the carboxylate moieties of
the R-enantiomers to preferentially coordinate the magnesium ion, thereby
destabilizing nucleotide binding to Rac1 and Cdc42. The S-enantiomers can be
docked but are less favorably positioned in proximity to the magnesium. R
naproxen and R-ketorolac have potential for rapid translation and efficacy in the
treatment of several epithelial cancer types on account of established human
toxicity profiles and novel activities against Rho-family GTPases.
PMID- 26558615
TI - Similarity to the self influences cortical recruitment during impression
formation.
AB - Prior work has shown that whether or not someone is similar to the self
influences person memory--a type of self-reference effect for others. In this
study, we were interested in understanding the neural regions supporting the
generation of impressions and subsequent memory for targets who vary in
similarity to the self. Participants underwent fMRI scanning while forming
positive or negative impressions of face-behavior pairs. We tested participants'
memory for their generated impressions and then back-sorted the impression trials
(encoding) into different levels of self-similarity (high, medium, low) using a
self-similarity posttest that came after recognition. Extending prior behavioral
work, our data confirmed our hypothesis that memory would be highest for self
similar others and lowest for self-dissimilar others. Dorsal anterior cingulate
cortex (dACC) activity increased with self-similarity (high > medium > low) to
targets, regardless of later memory for them. An analysis of regions supporting
impression memory revealed a double dissociation within medial temporal lobe
regions: for similar others, amygdala recruitment supported memory, whereas for
dissimilar others, hippocampal activation supported memory. These results suggest
that self-similarity influences evaluation and memory for targets but also
affects the underlying neural resources engaged when thinking about others who
vary in self-similarity.
PMID- 26558616
TI - Network Events on Multiple Space and Time Scales in Cultured Neural Networks and
in a Stochastic Rate Model.
AB - Cortical networks, in-vitro as well as in-vivo, can spontaneously generate a
variety of collective dynamical events such as network spikes, UP and DOWN
states, global oscillations, and avalanches. Though each of them has been
variously recognized in previous works as expression of the excitability of the
cortical tissue and the associated nonlinear dynamics, a unified picture of the
determinant factors (dynamical and architectural) is desirable and not yet
available. Progress has also been partially hindered by the use of a variety of
statistical measures to define the network events of interest. We propose here a
common probabilistic definition of network events that, applied to the firing
activity of cultured neural networks, highlights the co-occurrence of network
spikes, power-law distributed avalanches, and exponentially distributed 'quasi
orbits', which offer a third type of collective behavior. A rate model, including
synaptic excitation and inhibition with no imposed topology, synaptic short-term
depression, and finite-size noise, accounts for all these different, coexisting
phenomena. We find that their emergence is largely regulated by the proximity to
an oscillatory instability of the dynamics, where the non-linear excitable
behavior leads to a self-amplification of activity fluctuations over a wide range
of scales in space and time. In this sense, the cultured network dynamics is
compatible with an excitation-inhibition balance corresponding to a slightly sub
critical regime. Finally, we propose and test a method to infer the
characteristic time of the fatigue process, from the observed time course of the
network's firing rate. Unlike the model, possessing a single fatigue mechanism,
the cultured network appears to show multiple time scales, signalling the
possible coexistence of different fatigue mechanisms.
PMID- 26558617
TI - The effects of pharmacological modulation of the serotonin 2C receptor on goal
directed behavior in mice.
AB - RATIONALE: Impaired goal-directed motivation represents a debilitating class of
symptoms common to psychological disorders including schizophrenia and some
affective disorders. Despite the known negative impact of impaired motivation,
there are currently no effective pharmacological interventions to treat these
symptoms. OBJECTIVES: Here, we evaluate the effectiveness of the serotonin 2C (5
HT2C) receptor selective ligand, SB242084, as a potential pharmacological
intervention for enhancing goal-directed motivation in mice. The studies were
designed to identify not only efficacy but also the specific motivational
processes that were affected by the drug treatment. METHODS: We tested subjects
following treatment with SB242084 (0.75 mg/kg) in several operant lever pressing
assays including the following: a progressive ratio (PR) schedule of
reinforcement, an effort-based choice task, a progressive hold down task (PHD),
and various food intake tests. RESULTS: Acute SB242084 treatment leads to an
increase in instrumental behavior. Using a battery of behavioral tasks, we
demonstrate that the major effect of SB242084 is an increase in the amount of
responses and duration of effort that subjects will make for food rewards. This
enhancement of behavior is not the result of non-specific hyperactivity or
arousal nor is it due to changes in food consumption. CONCLUSIONS: Because of
this specificity of action, we suggest that the 5-HT2C receptor warrants further
attention as a novel therapeutic target for treating pathological impairments in
goal-directed motivation.
PMID- 26558618
TI - Differential effects of 3,4-methylenedioxypyrovalerone (MDPV) and 4
methylmethcathinone (mephedrone) in rats trained to discriminate MDMA or a d
amphetamine + MDMA mixture.
AB - RATIONALE: Recent reports on the abuse of novel synthetic cathinone derivatives
call attention to serious public health risks of these substances. In response to
this concern, a growing body of preclinical research has characterized the
psychopharmacology of these substances, particularly mephedrone (MEPH) or
methylenedioxypyrovalerone (MDPV), noting their similarities to 3,4
methylenedioxymethamphetamine (MDMA) and cocaine. Few studies have utilized drug
discrimination methodology to characterize the psychopharmacological properties
of these substances. OBJECTIVES: The present study employed a rodent drug
discrimination assay to further characterize the stimulus effects of MEPH and
MDPV in comparison to MDMA and to a drug mixture comprised of d-amphetamine and
MDMA. METHODS: Eight male Sprague-Dawley rats were trained to discriminate 1.5
mg/kg MDMA, and eight rats were trained to discriminate a mixture of 1.5 mg/kg
MDMA and 0.5 mg/kg d-amphetamine (MDMA + AMPH) from vehicle. Substitution tests
were conducted with MDMA, d-amphetamine, MDPV, MEPH, and cocaine. RESULTS: Dose
response curves generated with MDMA and MEPH were comparable between training
groups. In contrast, AMPH, MDPV, and cocaine produced only partial substitution
in animals trained to discriminate MDMA but produced full substitution in animals
trained to discriminate the MDMA + AMPH mixture. CONCLUSIONS: These findings
indicate that MDPV's effects may be more similar to those of traditional
psychostimulants, whereas MEPH exerts stimulus effects more similar to those of
MDMA. Additional experiments with selective DA and 5-hydroxytryptamine (5-HT)
receptor antagonists are required to further elucidate specific receptor
mechanisms mediating the discriminative stimulus effects of MDPV and mephedrone.
PMID- 26558619
TI - Serotonin (5-HT)1A receptor agonism and 5-HT7 receptor antagonism ameliorate the
subchronic phencyclidine-induced deficit in executive functioning in mice.
AB - RATIONALE: Reversal learning (RL), a type of executive function, dependent on
prefrontal cortical function, is impaired in rodents by subchronic (sc) treatment
with the N-methyl-D-aspartate receptor antagonist, phencyclidine (PCP), a widely
studied model of cognitive impairment in schizophrenia (CIS). OBJECTIVE: The
principal objective of this study was to determine the ability of serotonin (5
HT)1A partial agonism and 5-HT7 receptor antagonism to improve RL in scPCP
treated mice. METHODS: Male C57BL/6J mice were trained on an operant RL (ORL)
task, then received PCP, 10 mg/kg, or saline, bid, for 7 days, followed by a 7
day washout period. RESULTS: scPCP significantly diminished the percent correct
responding, increased total incorrect trials, and total incorrect responses, in
the reversal phase performance of the ORL task. Pre-treatment with the selective
5-HT1A partial agonist, tandospirone, or the selective 5-HT7 antagonist,
SB269970, but not the 5-HT7 agonist, AS 19, reversed the scPCP-induced deficit in
RL. Pre-treatment with atypical antipsychotic drug lurasidone, which is a 5-HT1A
partial agonist and 5-HT7 antagonist, as well as a 5-HT2A and dopamine (D)2
antagonist, also reversed RL deficit in the scPCP-treated mice. Furthermore, the
selective 5-HT1A antagonist, WAY100635, blocked the ability of lurasidone to
reverse the scPCP-induced RL deficit. CONCLUSIONS: These results indicate that 5
HT7 antagonism and 5-HT1A partial agonism contribute to restoration of RL in
scPCP-treated mice. It is suggested that these two mechanisms are effective in
restoring RL by decreasing excessive GABAergic inhibition of cortical pyramidal
neurons following withdrawal of scPCP treatment.
PMID- 26558620
TI - Effects of fatty acid amide hydrolase (FAAH) inhibitors on working memory in
rats.
AB - RATIONALE: Manipulations of the endocannabinoid system could potentially produce
therapeutic effects with minimal risk of adverse cannabis-like side effects.
Inhibitors of fatty acid amide hydrolase (FAAH) increase endogenous levels of the
cannabinoid-receptor agonist, anandamide, and show promise for treating a wide
range of disorders. However, their effects on learning and memory have not been
fully characterized. OBJECTIVES: We determined the effects of five structurally
different FAAH inhibitors in an animal model of working memory known to be
sensitive to impairment by delta-9 tetrahydrocannabinol (THC). METHODS: A delayed
nonmatching-to-position procedure was used in rats. Illuminated nosepoke holes
were used to provide sample cues (left versus right) and record responses
(correct versus incorrect) after delays ranging from 0 to 28 s. Various test
drugs were given acutely up to two times per week before daily sessions. RESULTS:
One FAAH inhibitor, AM3506 (3 mg/kg), decreased accuracy in the memory task. Four
other FAAH inhibitors (URB597, URB694, PF-04457845, and ARN14633) and a
monoacylglycerol lipase inhibitor (JZL184, which blocks the degradation of the
endocannabinoid 2-arachidonoylglycerol) had no effect. Testing of AM3506 in
combination with antagonists for receptors known to be affected by anandamide and
other fatty acid amides indicated that the impairment induced by AM3506 was
mediated by cannabinoid CB1 receptors, and not by alpha-type peroxisome
proliferator-activated receptors (PPAR-alpha) or vanilloid transient receptor
potential cation channels (TRPV1). CONCLUSIONS: FAAH inhibitors differ with
respect to their potential for memory impairment, abuse liability, and probably
other cannabis-like effects, and they should be evaluated individually for
specific therapeutic and adverse effects.
PMID- 26558621
TI - Non-Adrenergic Vasopressors in Patients with or at Risk for Vasodilatory Shock. A
Systematic Review and Meta-Analysis of Randomized Trials.
AB - INTRODUCTION: Hypotensive state is frequently observed in several critical
conditions. If an adequate mean arterial pressure is not promptly restored,
insufficient tissue perfusion and organ dysfunction may develop. Fluids and
catecholamines are the cornerstone of critical hypotensive states management.
Catecholamines side effects such as increased myocardial oxygen consumption and
development of arrhythmias are well known. Thus, in recent years, interest in
catecholamine-sparing agents such as vasopressin, terlipressin and methylene blue
has increased; however, few randomized trials, mostly with small sample sizes,
have been performed. We therefore conducted a meta-analysis of randomized trials
to investigate the effect of non-catecholaminergic vasopressors on mortality.
METHODS: PubMed, BioMed Central and Embase were searched (update December 31st,
2014) by two independent investigators. Inclusion criteria were: random
allocation to treatment, at least one group receiving a non-catecholaminergic
vasopressor, patients with or at risk for vasodilatory shock. Exclusion criteria
were: crossover studies, pediatric population, non-human studies, studies
published as abstract only, lack of data on mortality. Studied drugs were
vasopressin, terlipressin and methylene blue. Primary endpoint was mortality at
the longest follow-up available. RESULTS: A total of 1,608 patients from 20
studies were included in our analysis. The studied settings were sepsis (10/20
studies [50%]), cardiac surgery (7/20 [35%]), vasodilatory shock due to any cause
(2/20 [19%]), and acute traumatic injury (1/20 [5%]). Overall, pooled estimates
showed that treatment with non-catecholaminergic agents improves survival
(278/810 [34.3%] versus 309/798 [38.7%], risk ratio = 0.88, 95% confidence
interval = 0.79 to 0.98, p = 0.02). None of the drugs was associated with
significant reduction in mortality when analyzed independently. Results were not
confirmed when analyzing studies with a low risk of bias. CONCLUSIONS:
Catecholamine-sparing agents in patients with or at risk for vasodilatory shock
may improve survival. Further researches on this topic are needed to confirm the
finding.
PMID- 26558622
TI - Prevalence of toxoplasmosis in pregnant women and vertical transmission of
Toxoplasma gondii in patients from basic units of health from Gurupi, Tocantins,
Brazil, from 2012 to 2014.
AB - INTRODUCTION: Toxoplasmosis is a parasitary disease that presents high rates of
gestational and congenital infection worldwide being therefore considered a
public health problem and a neglected disease. OBJECTIVE: To determine the
prevalence of toxoplasmosis amongst pregnant women and vertical transmission of
Toxoplasma gondii in their newborns attended in the Basic Units of Health (BUH)
from the city of Gurupi, state of Tocantins, Brazil. METHODS: A prevalence study
was performed, including 487 pregnant women and their newborns attended in the
BUH of the urban zone of the city of Gurupi, state of Tocantins, Brazil, during
the period from February 2012 to February 2014. The selection of the pregnant
women occurred by convenience. In the antenatal admission they were invited to
participate in this study. Three samples of peripheral blood were collected for
the detection of specific anti-T. gondii IgG, IgM and IgA through ELISA, for the
polimerase chain reaction (PCR) and IgG avidity during pregnancy. When IgM
antibodies were detected the fetal and newborn infection investigation took
place. The newborn was investigated right after birth and after one year of age
through serology and PCR to confirm/exclude the vertical transmission. The
analyses were performed in the Studies of the Host-Parasite Relationship
Laboratory (LAERPH, IPTSP-UFG), Goiania, state of Goias, Brazil. The results were
inserted in a data bank in Epi-Info 3.3.2 statistic software in which the
analysis was performed with p<=5%. RESULTS: The toxoplasmosis infection was
detected in 68.37% (333/487, CI95%: 64.62-72.86). The toxoplasmosis chronic
infection prevalence was of 63.03% (307/487, CI95%: 58.74-67.32). The prevalence
of maternal acute infection was of 5.33% (26/487; CI95%: 3.3-7.3) suspected by
IgM antibodies detection in the peripheral blood. The prevalence of confirmed
vertical transmission was of 28% (7/25; CI95%: 10.4-45.6). CONCLUSIONS: These
results show an elevated prevalence of toxoplasmosis in pregnant women and
vertical transmission of T. gondii in the city of Gurupi, state of Tocantins,
Brazil.
PMID- 26558623
TI - [S3 guidelines on long-term opioid treatment in non-cancer pain. Recommendations
for opioid use in clinical rheumatology].
AB - The long-term use of opioids for chronic pain can be problematic in many
respects. Besides potentially harmful and considerable undesired side effects and
possible drug abuse, the indications for prescription, efficacy and safety in the
long run have to be considered. The recently updated S3 guidelines on long-term
opioid treatment in non-cancer pain (LONTS) provide recommendations with the
highest currently available evidence. This article summarizes the most relevant
contents for the clinical rheumatologist.
PMID- 26558624
TI - Heterogeneity in prey distribution allows for higher food intake in planktivorous
fish, particularly when hot.
AB - When prey are scarce, planktivorous fish and other predators feeding on tiny prey
should forage within prey-rich patches to attain a net food intake above the
ambient mean food concentrations. If they can indeed locate prey-rich patches
efficiently, then a patchy distribution of planktonic prey should lead to: (1) an
increase in the overall per capita food intake, and (2) greater variability among
predators in prey-capture rate due to differences in arrival times. Both
phenomena were observed in 34 daily feeding sessions with a cohort of juvenile
rudd held in twin experimental systems, each housing the same number of fish free
to move in a loop of ten interconnected 200-L tanks. The fish were fed daily with
equal numbers of planktonic prey (Artemia nauplii), offered either in a
homogeneous or patchy distribution. To simulate low and high temperatures that
represent potential global warming scenarios, the feeding protocol was replicated
at 16, 21 and 26 degrees C, on each occasion following a 3-day period of fish
acclimation. Up to 40-70 % of fish in the system with the patchy prey
distribution assembled rapidly in the high-prey-density tank, the capture rate of
first arrivals being up to 60 prey min(-1) at 26 degrees C, orders of magnitude
greater than that of latecomers. The overall capture rates were higher in the
system with patchy prey, regardless of the temperature. At the highest
temperature (26 degrees C), the fish located the high-prey-density tank in less
than half the time taken at the lowest temperature (16 degrees C, Q(10) > 2).
PMID- 26558625
TI - Impact of change in winter strategy of one parasitoid species on the diversity
and function of a guild of parasitoids.
AB - The rise of temperatures may enable species to increase their activities during
winter periods and to occupy new areas. In winter, resource density is low for
most species and an increased number of active consumers during this season may
produce heightened competitive pressure. In Western France, the aphid parasitoid
species Aphidius avenae Haliday has been known to adopt a winter diapausing
strategy adjacent to newly sown cereal crops, until recent reports of active
winter populations in cereal crops. We investigate how the addition of this
species to the winter guild of parasitoids may change the structure of the aphid
parasitoid food web and the host-exploitation strategies of previously occurring
parasitoids. We showed that in winter, Aphidius avenae was mostly associated with
two aphid species, Sitobion avenae Fabricius and Metopolophium dirhodum Walker,
while the generalist species Aphidius rhopalosiphi was restricted to the aphid
species Rhopalosiphum padi L. in the presence of Aphidius avenae. Due to this new
competition, winter food webs present a higher degree of compartmentalization and
lower proportional similarity index values than spring ones. Parasitoid and aphid
abundances responded significantly to changes in daily high temperatures,
suggesting that the host-parasitoid community structure can be partly predicted
by climate. This study demonstrates how a change in the winter strategy of one
species of a guild can modify complex interspecific relationships in host
parasitoid systems.
PMID- 26558627
TI - Tax evading retired surgeon is struck off for "prolonged dishonesty".
PMID- 26558626
TI - Population pharmacokinetics of ramosetron.
AB - Ramosetron is a selective serotonergic 5-hydroxy-tryptamine receptor 3 antagonist
that is used to prevent and treat postoperative nausea and vomiting. This study
aimed to characterize the population pharmacokinetics of ramosetron in patients
undergoing surgery with general anesthesia. Patients aged 19-80 years received a
single intravenous bolus of ramosetron (0.3, 0.45, or 0.6 mg) 30 min before the
end of surgery. Blood samples were collected, and plasma concentrations of
ramosetron were measured by high performance liquid chromatography-tandem mass
spectrometry. Pooled data from 50 patients and 479 pharmacokinetic samples were
used for population pharmacokinetic analysis using the nonlinear mixed effect
modeling program (NONMEM((r))). The pharmacokinetics of ramosetron was best
described by a three-compartment mammillary model with first-order elimination.
Based on allometric principles, body weight was incorporated in the base model,
along with fixed allometric exponents. The typical value of clearance was 0.19
L/h in a 60-kg subject, and it decreased approximately 3% for every year of age,
starting at age of 57. The bootstrap method and visual predictive check showed
that the final pharmacokinetic model was appropriate. A population
pharmacokinetic model of ramosetron was constructed in adult surgical patients,
providing a foundation for further defining the relationship between ramosetron
dose and postoperative nausea and vomiting.
PMID- 26558628
TI - Community Sexual Bridging Among Heterosexuals at High-Risk of HIV in New York
City.
AB - Community sexual bridging may influence the socio-geographic distribution of
heterosexually transmitted HIV. In a cross-sectional study, heterosexual adults
at high-risk of HIV were recruited in New York City (NYC) in 2010 for the Centers
for Disease Control and Prevention-sponsored National HIV Behavioral Surveillance
system. Eligible participants were interviewed about their HIV risk behaviors and
sexual partnerships and tested for HIV. Social network analysis of the geographic
location of participants' recent sexual partnerships was used to calculate three
sexual bridging measures (non-redundant ties, flow-betweenness and walk
betweenness) for NYC communities (defined as United Hospital Fund neighborhoods),
which were plotted against HIV prevalence in each community. The analysis sample
comprised 494 participants and 1534 sexual partnerships. Participants were 60.1 %
male, 79.6 % non-Hispanic black and 19.6 % Hispanic race/ethnicity; the median
age was 40 years (IQR 24-50); 37.7 % had ever been homeless (past 12 months);
16.6 % had ever injected drugs; in the past 12 months 76.7 % used non-injection
drugs and 90.1 % engaged in condomless vaginal or anal sex; 9.6 % tested HIV
positive (of 481 with positive/negative results). Sexual partnerships were
located in 33 (78.6 %) of 42 NYC communities, including 13 "high HIV-spread
communities", 7 "hidden bridging communities", 0 "contained high HIV prevalence
communities", and 13 "latent HIV bridging communities". Compared with latent HIV
bridging communities, the population racial/ethnic composition was more likely (p
< 0.0001) to be black or Hispanic in high HIV-spread communities and to be black
in hidden bridging communities. High HIV-spread and hidden bridging communities
may facilitate the maintenance and spread of heterosexually transmitted HIV in
black and Hispanic populations in NYC.
PMID- 26558629
TI - Associations Between Drug and Alcohol Use Patterns and Sexual Risk in a Sample of
African American Men Who Have Sex with Men.
AB - Men who have sex with men (MSM) are the largest risk group in the US HIV epidemic
and African American MSM (AA MSM) are disproportionately affected. Substance
abusing sexual minorities warrant attention as they are at elevated risk for HIV,
yet are not a homogeneous risk group. The purpose of this study was to use latent
class analysis to identify patterns of drug and alcohol use in a sample of 359 AA
MSM and examine associations with sexual risk. Three classes were identified:
Individuals who used multiple substances (poly-users) (18 %), alcohol/marijuana
users (33 %) and individuals who had low probability of reporting drug or
problematic alcohol use (50 %). Results from multivariate analysis indicate that
poly-users were older and more likely to report sex exchange and recent sexually
transmitted infection compared to the other classes. Alcohol and poly-users were
more likely to report sex under the influence. Identifying and defining substance
use patterns can improve specification of risk groups and allocation of
prevention resources.
PMID- 26558630
TI - Evaluation of the Role of JNK1 in the Hippocampus in an Experimental Model of
Familial Alzheimer's Disease.
AB - c-Jun N-terminal kinases (JNKs), which belong to a mitogen-activated protein
kinase (MAPK) family, are involved in the regulation of several physiological
functions in mammals and act as mediators of apoptosis, obesity, and memory
storage in the brain, including the processes of neuronal de- and regeneration.
JNK subfamily is encoded by three separate but related genes: jnk1, jnk2, and
jnk3, giving rise to at least ten distinct splice variants of the JNK proteins.
JNK3 is thought to be a major contributor to neurodegeneration in mammalian
brain. The role of JNK1 in the pathological processes affecting cognitive
function, especially in diseases such as Alzheimer's disease (AD), is less clear.
In order to evaluate the effects of JNK1 deficiency in an experimental model of
familial Alzheimer's disease, double transgenic APPswe/PS1dE9 mice were crossed
with the JNK1 heterozygous deficient animals (jnk1+/-). As expected, a ~50 %
reduction in JNK1 protein levels was observed in the hippocampi of 9-month-old
APPswe/PS1dE9/jnk1+/- mice, compared with the APPswe/PS1dE9 group. JNK1
deficiency resulted in reduced BACE1 expression, suggesting alterations in
amyloidogenic pathway. However, no significant inter-group differences in the
total number of beta-amyloid plaques were observed in the hippocampal region. In
addition, protein levels of PPAR gamma coactivator-1alpha (PGC-1alpha), a
molecule involved in mitochondrial biogenesis and energy homeostasis, were
decreased in 9-month-old APPswe/PS1dE9 mice but not in APPswe/PS1dE9/jnk1+/-
animals. Furthermore, JNK1 deficiency did not have an effect on pro-inflammatory
marker expression in the hippocampus. Heterozygous deficiency of JNK1 results in
the decrease of BACE1 protein levels, which is not accompanied by the reduction
in the total number of beta-amyloid plaques in the hippocampi of APPswe/PS1dE9
mice. Moreover, PGC-1alpha expression is restored in APPswe/PS1dE9/jnk1+/-
animals, which indicates a possible role of JNK1 in brain mitochondrial
regulation. Nevertheless, our results suggest that partial inhibition of JNK1 is
not sufficient to prevent the neuropathological processes in this model. It may
be necessary to inhibit both the JNK1 and JNK3 simultaneously, especially as
previous studies suggest that JNK3 contributes to AD neuropathology.
PMID- 26558632
TI - Proliferating Cell Nuclear Antigen Has an Association with Prognosis and Risks
Factors of Cancer Patients: a Systematic Review.
AB - Proliferating cell nuclear antigen (PCNA) is reported as a famous marker in
various tumors. A couple of articles have been published about the clinical
function of PCNA on cancer progression; however, these results are conflicting in
some degree. Thus, it is crucial to perform a systematic review and meta-analysis
to identify their real actions. Here, we took cervical cancer and glioma as
example and then pooled hazard ratios (HRs) or odds ratios (ORs) with 95 %
confidence intervals (95 % CIs). In the present study, the PCNA expression in
cervical cancer and gliomas patients was both correlated with 5-year-overall
survival (OS) (HR = 4.41, 95 % CI 2.71-7.17, p = 0.000; HR = 4.40, 95 % CI 3.00
6.47, p = 0.000; respectively). In addition, a fixed effect model revealed a
significant association between PCNA and FIGO stage (OR = 4.48, 95 % CI 3.48
5.77, p = 0.000) or WHO grade (OR = 5.64, 95 % CI 4.15-7.68, p = 0.000), rather
than age (OR = 1.01, 95 % CI 0.71-1.43, p = 0.957; OR = 1.00, 95 % CI 0.80-1.24,
p = 0.989; respectively). No heterogeneity was observed across all studies.
According to funnel plot, no publication bias was reported. In conclusion, our
systematic review suggests that PCNA expression is significantly associated with
poor 5-year survival, advanced stage or higher WHO grade, which might be
suggested as a useful prognostic and diagnostic biomarker, or an effective
therapy target in cervical cancer, gliomas, or even more cancers.
PMID- 26558631
TI - Rotenone Induces the Formation of 4-Hydroxynonenal Aggresomes. Role of ROS
Mediated Tubulin Hyperacetylation and Autophagic Flux Disruption.
AB - Oxidative stress causes cellular damage by (i) altering protein stability, (ii)
impairing organelle function, or (iii) triggering the formation of 4-HNE protein
aggregates. The catabolic process known as autophagy is an antioxidant cellular
response aimed to counteract these stressful conditions. Therefore, autophagy
might act as a cytoprotective response by removing impaired organelles and
aggregated proteins. In the present study, we sought to understand the role of
autophagy in the clearance of 4-HNE protein aggregates in ARPE-19 cells under
rotenone exposure. Rotenone induced an overproduction of reactive oxygen species
(ROS), which led to an accumulation of 4-HNE inclusions, and an increase in the
number of autophagosomes. The latter resulted from a disturbed autophagic flux
rather than an activation of the autophagic synthesis pathway. In compliance with
this, rotenone treatment induced an increase in LC3-II while upstream autophagy
markers such as Beclin- 1, Vsp34 or Atg5-Atg12, were decreased. Rotenone reduced
the autophagosome-to-lysosome fusion step by increasing tubulin acetylation
levels through a ROS-mediated pathway. Proof of this is the finding that the free
radical scavenger, N-acetylcysteine, restored autophagy flux and reduced rotenone
induced tubulin hyperacetylation. Indeed, this dysfunctional autophagic response
exacerbates cell death triggered by rotenone, since 3-methyladenine, an autophagy
inhibitor, reduced cell mortality, while rapamycin, an inductor of autophagy,
caused opposite effects. In summary, we shed new light on the mechanisms involved
in the autophagic responses disrupted by oxidative stress, which take place in
neurodegenerative diseases such as Huntington or Parkinson diseases, and age
related macular degeneration.
PMID- 26558633
TI - Interlukin-18 Is a Pivot Regulatory Factor on Matrix Metalloproteinase-13
Expression and Brain Astrocytic Migration.
AB - The expression of matrix metalloproteinase-13 (MMP-13) has been shown to be
elevated in some pathophysiological conditions and is involved in the degradation
of extracellular matrix in astrocytes. In current study, the function of MMP-13
was further investigated. The conditioned medium (CM) collected from activated
microglia increased interleukin (IL)-18 production and enhanced MMP-13 expression
in astrocytes. Furthermore, treatment with recombinant IL-18 increased MMP-13
protein and mRNA levels in astrocytes. Recombinant IL-18 stimulation also
increased the enzymatic activity of MMP-13 and the migratory activity of
astrocytes, while administration of MMP-13 or pan-MMP inhibitors antagonized IL
18-induced migratory activity of astrocytes. In addition, administration of
recombinant IL-18 to astrocytes led to the phosphorylation of JNK, Akt, or
PKCdelta, and treatment of astrocytes with JNK, PI3 kinase/Akt, or PKCdelta
inhibitors significantly decreased the IL-18-induced migratory activity. Taken
together, the results suggest that IL-18-induced MMP-13 expression in astrocytes
is regulated by JNK, PI3 kinase/Akt, and PKCdelta signaling pathways. These
findings also indicate that IL-18 is an important regulator leading to MMP-13
expression and cell migration in astrocytes.
PMID- 26558635
TI - Use of Candida antigen injections for the treatment of verruca vulgaris: A two
year mayo clinic experience.
AB - Common warts (verruca vulgaris) are one of the most common problems encountered
in dermatology and may present a difficult treatment dilemma, as no particular
therapy has demonstrated complete efficacy. Intralesional injection of purified
Candida antigen has produced impressive treatment results in small prospective
and retrospective studies and is thought to produce its effect through
stimulation of a cell-mediated immune response. We report a retrospective study
of adult and pediatric patients treated with Candida antigen therapy in clinical
practice. Of the 100 patients treated, 80% responded to therapy: 39% demonstrated
a complete response and 41% demonstrated a partial response. In addition, 6 out
of 7 immunocompromised patients who were treated demonstrated a partial or
complete response. Injections were generally well-tolerated and adverse events
were minimal and short-lived. Our data indicate that intralesional Candida
antigen therapy for cutaneous warts is an efficacious option in a clinical
practice setting. The treatment may also be effective in immunosuppressed
patients with cutaneous warts. Our results add to the literature one of the
largest retrospective series reported to date and treatment outcomes are similar
to previously reported studies evaluating this therapeutic modality.
PMID- 26558634
TI - Iron Loading Selectively Increases Hippocampal Levels of Ubiquitinated Proteins
and Impairs Hippocampus-Dependent Memory.
AB - Alterations of brain iron levels have been observed in a number of
neurodegenerative disorders. We have previously demonstrated that iron overload
in the neonatal period results in severe and persistent memory deficits in the
adulthood. Protein degradation mediated by the ubiquitin-proteasome system (UPS)
plays a central regulatory role in several cellular processes. Impairment of the
UPS has been implicated in the pathogenesis of neurodegenerative disorders. Here,
we examined the effects of iron exposure in the neonatal period (12th-14th day of
postnatal life) on the expression of proteasome beta-1, beta-2, and beta-5
subunits, and ubiquitinated proteins in brains of 15-day-old rats, to evaluate
the immediate effect of the treatment, and in adulthood to assess long-lasting
effects. Two different memory types, emotionally motivated conditioning and
object recognition were assessed in adult animals. We found that iron
administered in the neonatal period impairs both emotionally motivated and
recognition memory. Polyubiquitinated protein levels were increased in the
hippocampus, but not in the cortex, of adult animals treated with iron. Gene
expression of subunits beta1 and beta5 was affected by age, being higher in the
early stages of development in the hippocampus, accompanied by an age-related
increase in polyubiquitinated protein levels in adults. In the cortex, gene
expression of the three proteasome subunits was significantly higher in adulthood
than in the neonatal period. These findings suggest that expression of proteasome
subunits and activity are age-dependently regulated. Iron exposure in the
neonatal period produces long-lasting harmful effects on the UPS functioning,
which may be related with iron-induced memory impairment.
PMID- 26558636
TI - Examining the impact of formal planning on performance in older adults using a
naturalistic task paradigm.
AB - Thirty-two younger adults (YAs) and 64 older adults (OAs) completed the Amap
Task, a naturalistic measure designed to evaluate the formulation and execution
stages of planning. Participants read a map layout of a university apartment and
planned an efficient strategy to complete several tasks. To determine whether
execution abilities are augmented by formulating a plan, we compared formal
(i.e., written strategy) and informal (i.e., initiate task when ready without
writing out a strategy) planning conditions. Participants did not have access to
their plan during task execution. Amap scores were also compared with informant
report of everyday functioning. For the formal planning condition, OAs were less
accurate and less efficient than YAs during the formulation stage, while there
were no group differences in total formulation time for the informal planning
condition. Across conditions, OAs obtained poorer execution accuracy and
efficiency scores, took longer during execution, and omitted more task parts.
Formal planning improved execution efficiency but not accuracy, suggesting one's
approach to the task (i.e., efficiency, finesse) may improve with preplanning
even if overall accuracy does not. Significant relationships between Amap scores
and informant-report of everyday functioning highlight the ecological validity of
the Amap as a measure sensitive to everyday planning abilities.
PMID- 26558637
TI - The 2015 C. H. McCloy Lecture: Road Trip Toward More Inclusive Physical Activity:
Maps, Mechanics, Detours, and Traveling Companions.
AB - This essay stems from the 35th annual C. H. McCloy Research Lecture at the 2015
SHAPE America National Convention & Expo in Seattle, WA. The lecture series has 2
main aims. First, it provides an annual forum for a contemporary scholar to delve
deeply into her/his work and to share that work with her/his peers. Second, it is
an enduring tribute to the pioneering work and influential career of Charles
Henry McCloy (March 30, 1886-September 18, 1959), research professor emeritus at
the University of Iowa. This essay is composed of 6 sections: a prologue, a
biography of McCloy, my autobiography, the fundamental premises and overarching
aims of my work, a summary of my research contributions aimed at promoting
inclusive physical activity, and an epilogue. The entire article is built around
the construct of maps, mechanics, detours, and traveling companions. Paradigm
shifts and insights are unraveled as the work unfolds and becomes increasingly
integrated. Rarely does a scholar have the chance to provide a narrative of this
nature, and it is hoped that this essay will inspire others to discover their own
scholarly pathways and to contextualize and reflect on their contributions for
the greater good of the field of kinesiology and society.
PMID- 26558638
TI - Optimizing the Role of Physical Education in Promoting Physical Activity: A
Social-Ecological Approach.
AB - The benefits associated with being physically active are well documented, but a
significant proportion of the population is insufficiently active. Physical
inactivity is a major health risk factor in our society, and physical education
programs are consistently identified as a means to address this concern. The
purpose of this article is to use the social-ecological model as a framework to
examine ways in which physical education programs can play an important role in
promoting physical activity. Policies that require time allocations and resources
for physical education and physical activity in schools and community designs
that provide infrastructure that makes being physically active accessible and
convenient are important factors in making schools and communities healthier
spaces. It is clear, however, that policies alone are not sufficient to address
concerns about physical inactivity. We must consider individual factors that
influence decisions to be physically active in efforts to engage children in
physical education programs that promote active lifestyles. The learning climate
that teachers create determines what students do and learn in physical education
classes. Ensuring that students see value in the content presented and
structuring classes so that students believe they can experience success when
they exert effort are key elements in an effective motivational climate. Efforts
to address public health concerns about physical inactivity require a
comprehensive approach including quality physical education. It is critical that
kinesiology professionals emerge as leaders in these efforts to place physical
education programs at the center of promoting children's physical activity.
PMID- 26558640
TI - Design, synthesis, and evaluation of guanylhydrazones as potential inhibitors or
reactivators of acetylcholinesterase.
AB - Analogs of pralidoxime, which is a commercial antidote for intoxication from
neurotoxic organophosphorus compounds, were designed, synthesized, characterized,
and tested as potential inhibitors or reactivators of acetylcholinesterase (AChE)
using the Ellman's test, nuclear magnetic resonance, and molecular modeling.
These analogs include 1-methylpyridine-2-carboxaldehyde hydrazone, 1
methylpyridine-2-carboxaldehyde guanylhydrazone, and six other guanylhydrazones
obtained from different benzaldehydes. The results indicate that all compounds
are weak AChE reactivators but relatively good AChE inhibitors. The most
effective AChE inhibitor discovered was the guanylhydrazone derived from 2,4
dinitrobenzaldehyde and was compared with tacrine, displaying similar activity to
this reference material. These results indicate that guanylhydrazones as well as
future similar derivatives may function as drugs for the treatment of Alzheimer's
disease.
PMID- 26558641
TI - Two new acetylenic compounds from Asparagus officinalis.
AB - Two new acetylenic compounds, asparoffins A (1) and B (2), together with two
known compounds, nyasol (3) and 3"-methoxynyasol (4), were isolated from stems of
Asparagus officinalis. The structures of two new compounds were elucidated on the
basis of detailed spectroscopic analyses (UV, IR, MS, 1D, and 2D NMR). All
compounds were evaluated for their cytotoxicities against three human cancer cell
lines.
PMID- 26558642
TI - Steroids for symptom control in infectious mononucleosis.
AB - BACKGROUND: Infectious mononucleosis, also known as glandular fever or the
kissing disease, is a benign lymphoproliferative disorder. It is a viral
infection caused by the Epstein-Barr virus (EBV), a ubiquitous herpes virus that
is found in all human societies and cultures. Epidemiological studies show that
over 95% of adults worldwide have been infected with EBV. Most cases of
symptomatic infectious mononucleosis occur between the ages of 15 and 24 years.
It is transmitted through close contact with an EBV shedder, contact with
infected saliva or, less commonly, through sexual contact, blood transfusions or
by sharing utensils; however, transmission actually occurs less than 10% of the
time. Precautions are not needed to prevent transmission because of the high
percentage of seropositivity for EBV. Infectious mononucleosis is self-limiting
and typically lasts for two to three weeks. Nevertheless, symptoms can last for
weeks and occasionally months.Symptoms include fever, lymphadenopathy,
pharyngitis, hepatosplenomegaly and fatigue. Symptom relief and rest are commonly
recommended treatments. Steroids have been used for their anti-inflammatory
effects, but there are no universal criteria for their use. OBJECTIVES: The
objectives of the review were to determine the efficacy and safety of steroid
therapy versus placebo, usual care or different drug therapies for symptom
control in infectious mononucleosis. SEARCH METHODS: For this 2015 update we
searched the Cochrane Central Register of Controlled Trials (CENTRAL 2015, Issue
7), which includes the Cochrane Acute Respiratory Infections Group's Specialised
Register; MEDLINE (January 1966 to August 2015) and EMBASE (January 1974 to
August 2015). We also searched trials registries, however we did not identify any
new relevant completed or ongoing trials for inclusion. We combined the MEDLINE
search with the Cochrane search strategy for identifying randomised controlled
trials (RCTs). We adapted the search terms when searching EMBASE. SELECTION
CRITERIA: RCTs comparing the effectiveness of steroids with placebo, usual care,
or other interventions for symptom control for people with documented infectious
mononucleosis. DATA COLLECTION AND ANALYSIS: We used the standard methodological
procedures expected by Cochrane. MAIN RESULTS: For this 2015 update, we did not
identify any new RCTs for inclusion. The previous version of the review included
seven trials with a total of 362 participants. Four trials compared the
effectiveness of a steroid to placebo for short-term symptom control in glandular
fever, one to aspirin, and two trials explored the effects of steroids in
conjunction with an antiviral. Heterogeneity between trials prevented a combined
analysis.Trials under-reported methodological design features. Three trials did
not adequately describe sequence generation for randomisation. Four trials
provided adequate details of allocation concealment. All trials were double-blind
but four were not specific as to who was blinded. Loss to follow-up was under
reported in four trials, making it difficult to exclude attrition bias. The risk
of selective reporting in the included trials was unclear.Across the trials, no
benefit was found in 8/10 assessments of health improvement. Two trials found
benefit of steroid therapy over placebo in reducing sore throat at 12 hours
(eight-day course odds ratio (OR) 21.00, 95% confidence interval (CI) 1.94 to
227.20; one-dose OR 4.20, 95% CI 1.08 to 16.32), but the benefit was not
maintained.In combination with an antiviral drug, participants in the steroid
group had less pharyngeal discomfort between days two to four (OR 0.31, 95% CI
0.09 to 1.08) compared to placebo. Across the trials the effects on other common
symptoms were less clear. Two trials set out to measure safety; they documented
no major adverse effects. In two other trials adverse events were reported,
including respiratory distress and acute onset of diabetes. However, the
association of the events with the steroid is not definite. AUTHORS' CONCLUSIONS:
There is insufficient evidence to the efficacy of steroids for symptom control in
infectious mononucleosis. There is a lack of research on the side effects and
long-term complications.
PMID- 26558643
TI - How to run a multiple sclerosis relapse clinic.
PMID- 26558644
TI - Probiotic potentials of cereal-based beverages.
AB - Probiotics offer remarkable potential for the prevention and management of
various infective and noninfective disorders. They are reported to play key roles
in the suppression of gastrointestinal infections, antimicrobial activity,
improvement in lactose metabolism, reduction in serum cholesterol, immune system
stimulation, antimutagenic properties, anticarcinogenic properties, anti
diarrheal properties, and improvement in inflammatory bowel disease. Although
probiotic foods are classically confined to beverages and cheese, containing live
organisms of the lactic acid bacteria family, such health-promoting foods are
traditionally dairy-based, comprising milk and its fermented products. However,
recent research focuses on the probiotic potentials of fermented cereal-based
beverages which are especially consumed in developing countries characterized by
low nutritional security and high incidence of gut pathogen infections. Moreover,
lactose intolerance and cholesterol content associated with dairy products,
coupled with the vegetarian tendencies of diverse populations in the third world,
tend to enforce the recent recourse to nondairy beverages. Probiotic
microorganisms are mostly of human or animal origin; however, strains recognized
as probiotics are also found in nondairy fermented substrates. This review
examines the potentials of some traditional cereal-based beverages to serve as
probiotic foods, their microbial and functional properties, as well as their
process optimization and storage for enhanced utilization.
PMID- 26558645
TI - UKMLA: American dream or nightmare?
PMID- 26558646
TI - Challenges in the development of novel therapeutic strategies for treatment of
endometriosis.
AB - INTRODUCTION: Endometriosis is an estrogen-dependent disease that results in
pelvic pain and infertility. Its treatment is often frustrating due to limited
medical treatment options, complex surgical treatment and high recurrence rates.
Despite the advances in our understanding of the pathogenesis over the last
decades and the consequent novel therapeutic strategies, no new drugs have been
introduced in daily clinical practice. AREAS COVERED: In the first part we
present an overview of the pathogenesis of endometriosis. In the second part we
discuss how new insights have led to the development of novel nonhormonal
strategies for the treatment of endometriosis, focusing on anti-inflammatory and
anti-angiogenic agents. In the third part we describe the problems encountered in
the translation from experimental drugs to routine medicine for the treatment of
endometriosis. EXPERT OPINION: Despite the multitude of agents that have been
tested in preclinical trials, only few drugs have passed to the stage of clinical
testing and none have been introduced into clinical practice. It is our opinion
that the major challenges in the translation from novel agents for endometriosis
is due to the use of inadequate rodent models and a lack of standardization in
the design and reporting of preclinical endometriosis models.
PMID- 26558648
TI - A National Assessment on Patient Safety Curricula in Undergraduate Medical
Education: Results From the 2012 Clerkship Directors in Internal Medicine Survey.
AB - OBJECTIVES: Patient safety is a cornerstone of quality patient care, and
educating medical students about patient safety is of growing importance. This
investigation was a follow-up to a 2006 study to assess the current status of
patient safety curricula within undergraduate medical education in North America
with the additional goals of identifying areas for improvement and barriers to
implementation. METHODS: Thirteen items regarding patient safety were part of the
2012 Clerkship Directors in Internal Medicine annual survey. Questions addressed
curriculum content, delivery, and barriers to implementation. RESULTS: Ninety
nine clerkship directors (82%) responded. Forty-one (45.6%) reported that their
medical school had a patient safety curriculum taught during medical school as
compared with 25% in a 2006 survey. Fifteen (20%) reported satisfaction with
students' level of safety competency at the end of the clerkship. Barriers to
implementation included lack of faculty time (n = 57, 78.1%), lack of trained
faculty (n = 47, 65.3%), and lack of a mandate from school's dean's office (n =
27, 38.0%). CONCLUSIONS: Our study found that less than half of North American
medical schools have a formal patient safety curriculum; although this is higher
than in 2006, it still exemplifies a major gap in undergraduate medical
education.
PMID- 26558649
TI - Standardized Consent: The Effect of Information Sheets on Information Retention.
AB - INTRODUCTION: Informed consent embodies the ethical principle of autonomy of the
surgical patient. Effective communication is essential to this decision-making
process. Variability in consent can lead to confusion among patients and can
expose the surgeon to risk in an increasingly litigious environment. OBJECTIVES:
This study aimed to evaluate the use of a standardized consenting process and
assess the pattern of information recall following provision of patient
information sheets. METHODS: One hundred orthopedic clinic patients were randomly
selected to participate in this prospective audit. Mean age was 41 years. Each
participant was consented to undergo wrist manipulation under anaesthesia and K
wiring using a standardized British Orthopaedic Association consent form. A 22
item questionnaire was completed based on the information provided. Half of the
cohort received additional printed take-home material. Each questionnaire was
repeated 1 day later to determine which aspects of consent were best retained.
RESULTS: Baseline scores were low on initial questioning for each section. There
was a significant decrease in information retained over 24-hour interval (mean,
8.94 versus 7.98, P <= 0.000). Where standardized forms were provided, individual
baseline scores rose significantly 24 hours after initial questioning. In
particular, arthritis, neurovascular injury, and infection were more likely to be
remembered with provision of written information (P = 0.01). Significantly lower
scores were seen in those who had not received written information (mean, 9.542
versus 6.449; P <= 0.00). CONCLUSIONS: Consent remains challenging even with a
standardized process. Information retention improves significantly with the use
of information sheets. We advocate the use of standard consent and provision of
patient information sheets for commonly performed procedures.
PMID- 26558647
TI - The role of adjuvant therapy in uterine leiomyosarcoma.
AB - Uterine leiomyosarcoma (uLMS) is a rare mesenchymal tumor of the gynecologic
tract. Although diagnosed in only 1-3% of patients with uterine cancer, uLMS
accounts for the majority of uterine cancer-related deaths. The standard of care
for patients with uLMS includes total hysterectomy and bilateral salpingo
oophorectomy (BSO). There are no standard recommendations regarding adjuvant or
palliative therapy. Many cytotoxic and targeted agents have been studied in
clinical trials in an effort to identify an effective therapy that may alter the
natural history of this disease. Unfortunately, as of now, there are no adjuvant
therapy regimens that improve overall survival in this patient population. There
is, therefore, an unmet need to identify a novel therapy that will improve the
survival of women diagnosed with this aggressive disease. Here we summarize the
existing literature on adjuvant therapy in uLMS, specifically highlighting
advances made in the last 5 years.
PMID- 26558650
TI - Use of Designated Nurse PICC Teams and CLABSI Prevention Practices Among U.S.
Hospitals: A Survey-Based Study.
AB - OBJECTIVES: The use of peripherally inserted central catheters (PICCs) has
increased substantially within hospitals during the past several years. Yet, the
prevalence and practices of designated nurse PICC teams (i.e., specially trained
nurses who are responsible for PICC insertions at a hospital) are unknown. We,
therefore, identified the prevalence of and factors associated with having a
designated nurse PICC team among U.S. acute care hospitals. METHODS: We conducted
a survey of infection preventionists at a random sample of U.S. hospitals in May
2013, which asked about personnel who insert PICCs and the use of practices to
prevent device-associated infections, including central line-associated
bloodstream infection. We compared practice use between hospitals that have a
designated nurse PICC team versus those that do not. RESULTS: Survey response
rate was 70% (403/575). According to the respondents, nurse PICC teams inserted
PICCs in more than 60% of U.S. hospitals in 2013. Moreover, certain practices to
prevent central line-associated bloodstream infection, including maximum sterile
barrier precautions (93% versus 88%, P = 0.06), chlorhexidine gluconate for
insertion site antisepsis (96% versus 87%, P = .003) and facility-wide insertion
checklists (95% versus 87%, P = 0.02) were regularly used by a higher percentage
of hospitals with nurse PICC teams compared with those without. CONCLUSIONS:
These data suggest that nurse PICC teams play an integral role in PICC use at
many hospitals and that use of such teams may promote key practices to prevent
complications. Better understanding of the role, composition, and practice of
such teams is an important area for future study.
PMID- 26558651
TI - Analysis of a Standardized Perioperative Pain Management Order Set in Highly
Opioid-Tolerant Patients.
AB - OBJECTIVE: The aim was to assess a standardized order set for perioperative pain
management in highly opioid-tolerant patients undergoing elective orthopedic
surgery. METHODS: This retrospective chart review evaluated a pain order set in
highly opioid-tolerant patients undergoing elective total knee or total hip
arthroplasty from January 2010 through August 2012. Based on the date of the
surgery, patients were allocated into preimplementation or postimplementation
order set groups. The primary outcome assessed whether an adjustment in daily
opioid dosage was required within the first 48 hours postoperatively. Secondary
outcomes included pain scores, length of hospitalization, and safety outcomes.
RESULTS: Sixty patients were included in the analysis. An adjustment to
postoperative opioid therapy occurred in 62% of the patients in the
preimplementation group and in 56% of postimplementation group patients (P =
0.786). There were no differences in median pain scores 48 hours postoperatively
(P = 0.348). Cumulative toxicity was increased after order set implementation
compared with previous patients (44% versus 5%, P < 0.005); however, opioid doses
held for sedation was the only individual toxicity to reach statistical
significance (P = 0.011). CONCLUSIONS: This study is the first to evaluate a
standardized order set for pain management in highly opioid-tolerant patients
undergoing elective orthopedic surgery. The order set demonstrated similar
efficacy to previous treatment modalities, but opioid-induced sedation was of
concern with the order set. After the initial analysis, the order set was
modified to minimize opioid-induced sedation. Continual safety analysis is
warranted for quality improvement to enhance perioperative pain management in
highly opioid-tolerant patients.
PMID- 26558652
TI - Electronic Health Record-Related Events in Medical Malpractice Claims.
AB - BACKGROUND: There is widespread agreement that the full potential of health
information technology (health IT) has not yet been realized and of particular
concern are the examples of unintended consequences of health IT that detract
from the safety of health care or from the use of health IT itself. The goal of
this project was to obtain additional information on these health IT-related
problems, using a mixed methods (qualitative and quantitative) analysis of
electronic health record-related harm in cases submitted to a large database of
malpractice suits and claims. METHODS: Cases submitted to the CRICO claims
database and coded during 2012 and 2013 were analyzed. A total of 248 cases (<1%)
involving health IT were identified and coded using a proprietary taxonomy that
identifies user- and system-related sociotechnical factors. Ambulatory care
accounted for most of the cases (146 cases). Cases were most typically filed as a
result of an error involving medications (31%), diagnosis (28%), or a
complication of treatment (31%). More than 80% of cases involved moderate or
severe harm, although lethal cases were less likely in cases from ambulatory
settings. Etiologic factors spanned all of the sociotechnical dimensions, and
many recurring patterns of error were identified. CONCLUSIONS: Adverse events
associated with health IT vulnerabilities can cause extensive harm and are
encountered across the continuum of health care settings and sociotechnical
factors. The recurring patterns provide valuable lessons that both practicing
clinicians and health IT developers could use to reduce the risk of harm in the
future. The likelihood of harm seems to relate more to a patient's particular
situation than to any one class of error.This is an open-access article
distributed under the terms of the Creative Commons Attribution-Non Commercial-No
Derivatives License 4.0 (CCBY-NC-ND), where it is permissible to download and
share thework provided it is properly cited. The work cannot be changed in any
way or used commercially.
PMID- 26558653
TI - Acute Invasive Fungal Rhinosinusitis in Children With Hematologic Malignancies:
Outcome of Surgical Treatment.
AB - The incidence of acute invasive fungal rhinosinusitis (AIFR) is rising due to
more aggressive chemotherapy and longer survival of immunosuppressed patients.
Early diagnosis and appropriate but nonmutilating surgical treatment are
particularly problematic in the pediatric population. This study aimed to
evaluate the outcome of surgery for pediatric AIFR. Medical records of children
surgically treated for AIFR between 1998 and 2014 were reviewed. Diagnosis was
based on both histopathological and microbiological confirmation. Surgery was
performed with curative intent and repeated for any resectable extension. The
children underwent endoscopy and magnetic resonance imaging every 2 and 6 months,
respectively, during the first postoperative year. Thirteen patients (2-18 years
old) met the EORTC/MSG criteria for proven invasive fungal sinusitis; fungal
invasion was diagnosed by preoperative biopsy and confirmed in the surgical
specimen. All patients underwent an average of two endoscopic procedures (range 1
3), and four of them also underwent an open surgery. The local control rate was
at least 79%. There was no facial disfiguration during follow-up (average 41
months). Although AIFR is still associated with high mortality, aggressive
medical and surgical treatment provides local control in most cases. Fair outcome
should encourage a maximal joint effort of pediatric hemato-oncologists and
otorhinolaryngologists in the management of AIFR.
PMID- 26558654
TI - From AIDS to TB vaccines--A career in infectious diseases and translational
vaccinology.
PMID- 26558655
TI - A water-soluble metal-organic complex array as a multinuclear heterometallic
peptide amphiphile that shows unconventional anion dependency in its self
assembly.
AB - Water-soluble metal-organic complex array 1, bearing Ru(II), Pt(II) and Rh(III)
complexes at the side residues of the short peptide, exhibits anion and pH
responsive self-assembly behaviours in aqueous media. NaCl-induced aggregation of
1 at neutral pH was suppressed in phosphate buffered saline containing a mixture
of Cl(-), HPO4(2-) and H2PO4(-), which is unconventional for a peptide
amphiphile.
PMID- 26558656
TI - Proteomic landscape in Central and Eastern Europe: the 9th Central and Eastern
European Proteomic Conference, Poznan, Poland.
AB - Every year since 2007, the Central and Eastern European Proteomic Conference
(CEEPC) has excelled in representing state-of-the-art proteomics in and around
Central and Eastern Europe, and linking it to international institutions
worldwide. Its mission remains to contribute to all approaches of proteomics
including traditional and often-revisited methodologies as well as the latest
technological achievements in clinical, quantitative and structural proteomics
with a view to systems biology of a variety of processes. The 9th CEEPC was held
from June 15th to 18th, 2015, at the Institute of Bioorganic Chemistry, Polish
Academy of Sciences in Poznan, Poland. The scientific program stimulated exchange
of proteomic knowledge whilst the spectacular venue of the conference allowed
participants to enjoy the cobblestoned historical city of Poznan.
PMID- 26558657
TI - Foreword.
PMID- 26558658
TI - Osteosarcoma of the Foot.
AB - A 40-year-old man presented with a large and painful right foot mass. The patient
reported a history of a recurrent right foot mass treated elsewhere with 3 prior
surgical excisions.
PMID- 26558659
TI - Team Physicians Must Advocate for Lifelong Musculoskeletal Well-being.
PMID- 26558660
TI - Amputated Lower Limb Fixation to the Fracture Table.
AB - Fractures of the proximal and diaphyseal femur are frequently internally fixed
using a fracture table with fracture reduction obtained by traction and adequate
rotation exerted on the slightly abducted extremity. Although rare, these
fractures may occur on an amputated limb. If so, standard use of a fracture table
is not possible. To address this situation, the authors describe a simple novel
technique allowing rigid fixation of the amputated limb to the traction device of
the fracture table that provides accurate control of reduction in all planes.
PMID- 26558661
TI - Three-Dimensional Printing in Orthopedic Surgery.
AB - Three-dimensional (3D) printing is emerging as a clinically promising technology
for rapid prototyping of surgically implantable products. With this commercially
available technology, computed tomography or magnetic resonance images can be
used to create graspable objects from 3D reconstructed images. Models can enhance
patients' understanding of their pathology and surgeon preoperative planning.
Customized implants and casts can be made to match an individual's anatomy. This
review outlines 3D printing, its current applications in orthopedics, and
promising future directions.
PMID- 26558662
TI - Current Rehabilitation Concepts for Anterior Cruciate Ligament Surgery in
Athletes.
AB - The anterior cruciate ligament is the most commonly disrupted ligament in the
knee in high-performance athletes. Most recently, advancements in surgical
technique and graft fixation have enabled athletes to participate in early
postoperative rehabilitation, focusing on range of motion and progressing to
patellar mobilization, strengthening, and neuromuscular control. Several
rehabilitation protocols exist with variations in specific exercises, progression
through phases, and key components. The ultimate goal of rehabilitation is to
return the athlete to preinjury performance level, including motion and strength,
without injuring or elongating the graft. Each athlete is unique; thus, safe
return to play should be individualized rather than follow a particular
postoperative month or time line. This article provides an overview of the
application and the scientific basis for formulating a rehabilitation protocol
prior to and following anterior cruciate ligament surgery.
PMID- 26558663
TI - Unipolar Versus Bipolar Hemiarthroplasty for Displaced Femoral Neck Fractures in
Elderly Patients.
AB - Hip replacement using hemiarthroplasty (HA) is a common surgical procedure in
elderly patients with femoral neck fractures. However, questions remain regarding
the choice of unipolar or bipolar HA. A meta-analysis of randomized, controlled
trials (RCTs) was performed to determine whether bipolar HA was associated with
lower rates of dislocation, reoperation, acetabular erosion, mortality, and
general complications, as well as lower Harris Hip Scores, compared with unipolar
HA. The authors searched PubMed and the Cochrane Register of Controlled Trials
database, and 8 RCTs (including a total of 1100 patients) were selected for meta
analysis. Risk ratios (RRs) and weighted mean differences (WMDs) from each trial
were pooled using random-effects or fixed-effects models depending on the
heterogeneity of the included studies. There were no differences in dislocation
(RR=1.20; 95% confidence interval [CI], 0.47 to 3.07), reoperation (RR=0.64; 95%
CI, 0.33 to 1.26), acetabular erosion (RR=2.29; 95% CI, 0.85 to 6.12), mortality
(RR=0.85; 95% CI, 0.63 to 1.13), and general complications (RR=1.05; 95% CI, 0.70
to 1.56). The authors found no difference in postoperative Harris Hip Scores
between patients undergoing unipolar vs bipolar HA (WMD=-1.32; 95% CI, -3.29 to
0.65; P=.19). Unipolar and bipolar HA achieved similar clinical outcomes in
patients with displaced femoral neck fractures.
PMID- 26558664
TI - Long-term Results After Ankle Syndesmosis Injuries.
AB - Syndesmotic disruption occurs in more than 10% of ankle fractures. Operative
treatment with syndesmosis screw fixation has been successfully performed for
decades and is considered the gold standard of treatment. Few studies have
reported the long-term outcomes of syndesmosis injuries. This study investigated
long-term patient-reported, radiographic, and functional outcomes of syndesmosis
injuries treated with screw fixation and subsequent timed screw removal. A
retrospective cohort study was carried out at a Level I trauma center. The study
group included 43 patients who were treated for ankle fractures with associated
syndesmotic disruptions between December 2001 and May 2011. The study included
case file reviews, self-reported questionnaires, radiologic reviews, and clinical
assessments. At 5.1 (+/-1.76) years after injury, 60% of participants had pain,
26% had degenerative changes, 51% had loss of tibiofibular overlap, and 33%
showed medial clear space widening. Retained syndesmotic positions on radiographs
were linked to better self-reported outcomes. There is an inversely proportional
relation between age at the time of injury and satisfaction with the outcome of
the ankle fracture as well as a directly proportional relation between age at the
time of injury and pain compared with the preinjury state. Optimal restoration
and preservation of the syndesmosis is crucial. Syndesmotic disruption is
associated with poor long-term outcomes after ankle fracture. Greater age is a
risk factor for chronic pain and dissatisfaction with the outcome of ankle
fracture and syndesmosis injury. Therefore, patient education to facilitate
realistic expectations about recovery is vital, especially in older patients.
PMID- 26558665
TI - Blood Loss and Transfusion After Topical Tranexamic Acid Administration in
Primary Total Knee Arthroplasty.
AB - There has been much debate and controversy about the safety and efficacy of the
topical use of tranexamic acid in primary total knee arthroplasty (TKA). The
purpose of this study was to perform a meta-analysis to evaluate whether there is
less blood loss and lower rates of transfusion after topical tranexamic acid
administration in primary TKA. A systematic review of the electronic databases
PubMed, CENTRAL, Web of Science, and Embase was undertaken. All randomized,
controlled trials and prospective cohort studies evaluating the effectiveness of
topical tranexamic acid during primary TKA were included. The focus of the
analysis was on the outcomes of blood loss results, transfusion rate, and
thromboembolic complications. Subgroup analysis was performed when possible. Of
387 studies identified, 16 comprising 1421 patients (1481 knees) were eligible
for data extraction and meta-analysis. This study indicated that when compared
with the control group, topical application of tranexamic acid significantly
reduced total drain output (mean difference, -227.20; 95% confidence interval,
347.11 to -107.30; P<.00001), total blood loss (mean difference, -311.28; 95%
confidence interval, -404.94 to -217.62; P<.00001), maximum postoperative
hemoglobin decrease (mean difference, -0.73; 95% confidence interval, -0.96 to
0.50; P<.00001), and blood transfusion requirements (risk ratios, 0.33; 95%
confidence interval, 0.24 to 0.43; P=.14). The authors found a statistically
significant reduction in blood loss and transfusion rates when using topical
tranexamic acid in primary TKA. Furthermore, the currently available evidence
does not support an increased risk of deep venous thrombosis or pulmonary
embolism due to tranexamic acid administration. Topical tranexamic acid was
effective for reducing postoperative blood loss and transfusion requirements
without increasing the prevalence of thromboembolic complications.
PMID- 26558666
TI - Evolving Role of Ultrasound in Therapeutic Injections of the Upper Extremity.
AB - Ultrasound machines are creating more refined pictures and becoming more user
friendly and readily accessible. As a result, ultrasound is being increasingly
used for therapeutic purposes. One example involves the use of ultrasound
guidance in musculoskeletal interventional procedures, such as joint injections,
tendon sheath injections, and peripheral nerve blocks. Technical considerations
and therapeutic results have been described for multiple locations about the
upper extremities, with varying levels of success. The implementation of
ultrasound-guided injections in the orthopedist's clinic has therapeutic,
financial, and provider role implications. Given these potential benefits,
orthopedic providers, both in practice and residency, would benefit from
increased exposure and education in ultrasound use. Ultrasound provides the
benefit of real-time, dynamic imaging without the radiation exposure of
fluoroscopy, and ultrasound-guided injections can be performed in the office, as
opposed to the operating room, which is frequently required when using
fluoroscopy. A basic knowledge of the principles and terms used in ultrasound is
required. With these simple principles, a practitioner can review techniques for
specific areas of the musculoskeletal system and begin using ultrasound to guide
injections. Many locations for diagnostic and/or therapeutic injections in the
upper extremities have improved accuracy and benefit with the use of ultrasound
vs blind techniques, although a few have not been shown to have a significant
difference in the literature. The educational and professional implications can
be significant, but these potential benefits need to be carefully weighed against
costs by each orthopedic practice.
PMID- 26558667
TI - Acute Management of Open Fractures: An Evidence-Based Review.
AB - Open fractures are complex injuries associated with high morbidity and mortality.
Despite advances made in fracture care and infection prevention, open fractures
remain a therapeutic challenge with varying levels of evidence to support some of
the most commonly used practices. Additionally, a significant number of studies
on this topic have focused on open tibial fractures. A systematic approach to
evaluation and management should begin as soon as immediate life-threatening
conditions have been stabilized. The Gustilo classification is arguably the most
widely used method for characterizing open fractures. A first-generation
cephalosporin should be administered as soon as possible. The optimal duration of
antibiotics has not been well defined, but they should be continued for 24 hours.
There is inconclusive evidence to support either extending the duration or
broadening the antibiotic prophylaxis for type Gustilo type III wounds. Urgent
surgical irrigation and debridement remains the mainstay of infection
eradication, although questions persist regarding the optimal irrigation
solution, volume, and delivery pressure. Wound sampling has a poor predictive
value in determining subsequent infections. Early wound closure is recommended to
minimize the risk of infection and cannot be substituted by negative-pressure
wound therapy. Antibiotic-impregnated devices can be important adjuncts to
systemic antibiotics in highly contaminated or comminuted injuries. Multiple
fixation techniques are available, each having advantages and disadvantages. It
is extremely important to maintain a high index of suspicion for compartment
syndrome, especially in the setting of high-energy trauma.
PMID- 26558668
TI - Residual Hole Orientation After Plate Removal: Effect on the Clavicle.
AB - Clavicle fractures account for 2.6% to 4% of all fractures. Surgical
stabilization of this type of injury is becoming more common. Anterior inferior
plating and superior plating are 2 popular approaches to open reduction and
internal fixation. Reports of plate removal have raised concerns about reinjury.
The goal of the current study was to determine whether the orientation of screw
holes in clavicles after removal of an anterior inferior plate vs a superior
plate have different biomechanical effects on stiffness and load to failure. The
medial and lateral ends of 28 matched pairs of fresh clavicles were potted. Pilot
holes, 2.5 mm in diameter, were drilled and oriented anterior inferiorly or
superiorly, simulating those left after removal of a plate for a middle-third
fracture. The clavicles underwent dynamic axial compression and 3-point load to
failure, replicating forces associated with reinjury. Clavicles with anterior
inferior holes had a statistically significant higher median maximal load
difference of 139 N compared with those with superior holes (P=.013). Anterior
inferior holes showed a statistically significant median increase in stiffness of
16.3 N/mm compared with superior holes (P=.036). Clavicles with anterior inferior
holes had a statistically significant increase in median maximal load to failure
and an increase in median stiffness compared with those with superior holes. This
finding is relevant for patients who undergo hardware removal and return to
activities that put them at risk for repeat high-impact injuries to the clavicle.
PMID- 26558669
TI - Relationship Between Wrist Motion and Capitolunate Reduction in Four-Corner
Arthrodesis.
AB - The authors retrospectively studied 36 patients with degenerative changes
associated with scaphoid nonunion and scapholunate advanced collapse treated with
circular plate fixation and bone graft. The goals of the study were to review the
incidence of dorsal impingement, nonunion of arthrodesis, loose hardware, broken
screws, and limitation in wrist motion associated with correct or incorrect
surgical capitolunate reduction. Surgical indications were scapholunate advanced
collapse (3 patients), scaphoid nonunion advanced collapse (32 patients), and
sequelae of irreducible perilunate dislocation (1 patient). All of the patients
were men, with a mean age of 48 years (range, 35-68 years). Average follow-up was
56 months (range, 12-108 months). Functional outcomes evaluated were pain with
the visual analog scale, range of motion, grip strength, Disabilities of the Arm,
Shoulder and Hand (DASH) score, satisfaction, and time to union. Mean visual
analog scale score was 7 (range, 5-9) preoperatively and 1 (range, 0-2)
postoperatively. Average wrist range of motion was 42 degrees in extension, 36
degrees in flexion, 15 degrees in ulnar deviation, and 12 degrees in radial
deviation. Mean grip strength was 34 kg preoperatively, 50 kg postoperatively,
and 56 kg contralaterally. Thirty-five of the 36 patients achieved union at 6
months. Degenerative changes at the radiolunate articulation were present in 1
patient 62 months after surgery, but he was asymptomatic. Mean capitolunate angle
was 38o preoperatively and 9o postoperatively. Poor correlation was found between
the measured capitate-lunate angle and subsequent flexion and extension (r=0.32
and r=0.17, respectively) using the Pearson correlation coefficient. The authors
noted 1 or 2 broken screws in 3 cases (8.3%) and hardware dorsal impingement in
the plate in 6 cases (16.6%). Mean DASH score was 24 of 100. Overall patient
satisfaction was 70%.
PMID- 26558670
TI - Percutaneous Ultrasound-Guided Hydrodissection of a Symptomatic Sural Neuroma.
AB - Symptomatic neuromas of the sural nerve are a rare but significant cause of pain
and debilitation in athletes. Presentation is usually in the form of chronic pain
and dysesthesias or paresthesias of the lateral foot and ankle. Treatment
traditionally ranges from conservative measures, such as removing all external
compressive forces, to administration of nonsteroidal anti-inflammatory drugs,
vitamin B6, tricyclic antidepressants, antiepileptics, or topical anesthetics.
This article reports a case of sural nerve entrapment in a 34-year-old male
triathlete with a history of recurrent training-induced right-sided gastrocnemius
strains. The patient presented with numbness in the right lateral foot and ankle
that had persisted for 3 months, after he was treated unsuccessfully with
extensive nonoperative measures, including anti-inflammatory drugs, activity
modification, and a dedicated physical therapy program of stretching and
strengthening. Orthopedic assessment showed worsening pain with forced passive
dorsiflexion and manual pressure applied over the distal aspect of the
gastrocnemius. Plain radiographs showed normal findings, but in-office ultrasound
imaging showed evidence of sural nerve entrapment with edema and neuromatous scar
formation in the absence of gastrocnemius or soleus pathology. Percutaneous
ultrasound-guided hydrodissection of the sural nerve at the area of symptomatic
neuroma and neural edema was performed the same day. The patient had complete
relief of symptoms and full return to the preinjury level of participation in
competitive sports. This case report shows that hydrodissection, when performed
by an experienced physician, can be an effective, minimally invasive technique
for neurolysis in the setting of sural nerve entrapment, resulting in improvement
in clinical symptoms.
PMID- 26558671
TI - Spontaneous Bilateral Femoral Fractures After High-Dose Zoledronic Acid.
AB - The authors report a case of spontaneous bilateral diaphyseal femoral fractures
believed to be caused by oversuppression of bone remodeling as a result of long
term, high-dose treatment with bisphosphonate. The patient reported pain in both
thighs before the fractures. Typical pathologic changes appeared on both femoral
radiograph and bone scan before the fractures. Several hours after admission to
the emergency department of the authors' institution, the patient underwent
closed reduction and internal fixation with intramedullary nails for the
bilateral femoral diaphyseal fractures. Treatment with zoledronic acid was
immediately discontinued. In recent years, low-energy femoral diaphyseal
fractures in patients undergoing long-term bisphosphonate treatment have been
reported. It is believed that the prolonged treatment causes long-term
suppression of bone remodeling and accumulation of microdamage. It is important
to observe patients who are undergoing bisphosphonate treatment carefully. In
this case study, the authors report the patient's unique medical history.
PMID- 26558672
TI - Iliopsoas Bursa-Hip Capsule Connection Leading to Intra-abdominal Fluid
Extravasation.
AB - Intra-abdominal fluid extravasation is a rare complication of hip arthroscopy,
with a reported incidence of 0.16%. Associated risk factors include recent
acetabular fracture, extra-articular procedures, iliopsoas tenotomy, and high
fluid pump pressure. These previously reported risk factors were not present in
the 48-year-old woman reported in this article. The patient elected to undergo
hip arthroscopy for mechanical hip pain that persisted for 18 months.
Preoperative magnetic resonance imaging scan showed a "normal variant
communication [between] the iliopsoas bursa" and the hip capsule. Postoperative
examination showed a tense, distended abdomen. After extubation, the patient had
severe abdominal and pleuritic chest pain. Postoperative imaging showed
significant retro- and intraperitoneal fluid extravasation. Ultrasound-guided
paracentesis was used to drain the intraperitoneal fluid collection, with a
significant decrease in pain. The patient returned home less than 24 hours later,
with no further complications. The authors believe that the preexisting
connection between the hip capsule and the iliopsoas bursa allowed the
arthroscopy fluid to easily track proximally within the tendon sheath, despite
relatively low fluid pump pressure. Surgeons should be aware of this possible
"normal variant communication," which may be considered a relative
contraindication to hip arthroscopy, especially in patients with arthritic
changes on preoperative imaging. This must be addressed with the patient
preoperatively, with proper counseling and patient selection. If arthroscopy is
pursued, the surgical and anesthesia teams must be vigilant for signs of intra
abdominal fluid extravasation and must be prepared to treat this potentially
serious complication.
PMID- 26558673
TI - Lumbar Diskography and Failed Back Syndrome in Patients Receiving Workers'
Compensation.
AB - Lumbar diskography (LD) is frequently used in the evaluation of patients with
degenerative disk disease and diskogenic low back pain. Its safety and diagnostic
accuracy are a topic of debate. No study has evaluated the efficacy of LD within
the clinically distinct workers' compensation population. Within this setting,
the authors wished to determine the effect of undergoing LD before diskogenic
fusion on rates of postoperative failed back surgery syndrome (FBSS). Also, the
authors compared opioid analgesic use between patients undergoing LD and patients
not undergoing LD. ICD-9 diagnoses and CPT procedural codes were used to identify
1591 patients from the Ohio Bureau of Workers' Compensation who underwent
diskogenic fusion between 1993 and 2013. A total of 682 patients underwent LD
before fusion, which formed the LD group, with the remaining 909 patients as
controls. The authors used a multivariate logistic regression analysis while
correcting for relevant covariates. Diskography before fusion was a positive
predictor of postoperative FBSS (P=.04; odds ratio, 1.44). The rate of FBSS was
13.9% of the LD group and 8.8% in the control group. Postoperatively, the LD
group was supplied with a significantly higher daily opioid analgesic load
(P=.04) for an average of 130 additional days (P<.01). Additional predictors of
FBSS included the ability to remain at work within 1 week of index fusion (P=.02;
odds ratio, 0.54), male sex (P=.03; odds ratio, 1.51), preoperative narcotic use
for more than 1 year (P=.02; odds ratio, 1.53), and fusion technique (P=.03).
Diskography should ideally help identify good candidates for lumbar fusion.
However, the authors' study raises significant concerns regarding LD's current
role within the workers' compensation population.
PMID- 26558674
TI - Gait Analysis Using a Support Vector Machine for Lumbar Spinal Stenosis.
AB - Lumbar spinal canal stenosis (LSS) is diagnosed based on physical examination and
radiological documentation of lumbar spinal canal narrowing. Differential
diagnosis of the level of lumbar radiculopathy is difficult in multilevel spinal
stenosis. Therefore, the authors focused on gait analysis as a classification
method to improve diagnostic accuracy. The goal of this study was to identify
gait characteristics of L4 and L5 radiculopathy in patients with LSS and to
classify L4 and L5 radiculopathy using a support vector machine (SVM). The study
group comprised 13 healthy volunteers (control group), 11 patients with L4
radiculopathy (L4 group), and 22 patients with L5 radiculopathy (L5 group). Light
emitting diode markers were attached at 5 sites on the affected side, and walking
motion was analyzed using video recordings and the authors' development program.
Potential gait characteristics of each group were identified to use as SVM
parameters. In the knee joint of the L4 group, the waveform was similar to that
of normal gait, but knee extension at initial contact was slightly greater than
that of the other groups. In the ankle joint of the L5 group, the one-peak
waveform pattern with disappearance of the second peak was present in 10 (45.5%)
of 22 cases. The total classification accuracy was 80.4% using the SVM. The
highest and lowest classification accuracies were obtained in the control group
(84.6%) and the L4 group (72.7%), respectively. The authors' walking motion
analysis system identified several useful factors for differentiating between
healthy individuals and patients with L4 and L5 radiculopathy, with a high
accuracy rate.
PMID- 26558675
TI - The Effect of Cartilage Injury After Arthroscopic Stabilization for Shoulder
Instability.
AB - This study was undertaken to (1) determine the incidence of articular cartilage
injuries in patients with instability of the glenohumeral joint, (2) determine
whether recurrent dislocations increased the risk of articular damage, and (3)
correlate these injuries with postoperative clinical outcomes. A cohort was
identified of consecutive patients who underwent diagnostic magnetic resonance
imaging and shoulder arthroscopy for glenohumeral instability with documented
dislocation or subluxation between 1997 and 2006 at a single institution.
Patients with moderate or severe osteoarthritis were excluded. Arthroscopic
findings were recorded, including lesion location and Outerbridge grade. The
American Shoulder and Elbow Surgeons Standardized Shoulder Assessment Form (ASES)
was used to assess outcome in 61 patients who were available for follow-up.
Outcomes were compared between shoulders with and without articular lesions. A
total of 87 shoulders (83 patients) met the inclusion criteria, with 69 (83%) men
and 14 (17%) women. Mean age was 26.1 years (range, 18-64 years), and mean follow
up was 36 months (range, 33-39 months). Cartilage injuries were found in 56
shoulders (64%). Previously documented shoulder dislocation requiring closed
reduction (P=.046) and the number of discrete dislocations (P=.032) were
significant for glenoid injury. A greater number of dislocations was associated
with higher-grade lesions of the glenohumeral joint (P<.001). Overall, mean ASES
score was 89.6 (range, 37-100). In patients with an articular cartilage lesion,
mean ASES score was 90.4 (range, 58-100) compared with 88.1 (range, 37-100) in
those without this injury (P=.75). Although clinical outcomes were not
significantly affected, further investigation is warranted to establish a
relationship between these injuries and longer-term outcomes.
PMID- 26558676
TI - Intraoperative Fluoroscopy Improves Component Position During Anterior Hip
Arthroplasty.
AB - The goal of this retrospective review was to determine whether fluoroscopic
guidance improves acetabular cup abduction and anteversion alignment during
anterior total hip arthroplasty. The authors retrospectively reviewed 199
patients (fluoroscopy group, 98; nonfluoroscopy group, 101) who underwent
anterior total hip arthroplasty at a single center with and without C-arm
fluoroscopy guidance. Included in the study were patients of any age who
underwent primary anterior approach total hip arthroplasty performed by a single
surgeon, with 6-month postoperative anteroposterior pelvis radiographs.
Acetabular cup abduction and anteversion angles were measured and compared
between groups. Mean acetabular cup abduction and anteversion angles were 43.4
degrees (range, 26.0 degrees -57.4 degrees ) and 23.1 degrees (range, 17
degrees -28 degrees ), respectively, in the fluoroscopy group. Mean abduction and
anteversion angles were 45.9 degrees (range, 29.7 degrees -61.3 degrees ) and
23.1 degrees (range, 17 degrees -28 degrees ), respectively, after anterior
total hip arthroplasty without the use of C-arm guidance (nonfluoroscopy group).
The use of fluoroscopy was associated with a statistically significant difference
in cup abduction (P=.002) but no statistically significant difference in
anteversion angles. In the fluoroscopy group, 80% of implants were within the
combined safe zone compared with 63% in the nonfluoroscopy group. A significantly
higher percentage of both acetabular cup abduction angles and combined
anteversion and abduction angles were in the safe zone in the fluoroscopy group.
Fluoroscopy is not required for proper anteversion placement of acetabular
components, but it may increase ideal safe zone placement of components.
PMID- 26558677
TI - Percutaneous Screw Fixation of Crescent Fracture-Dislocation of the Sacroiliac
Joint.
AB - Crescent fracture-dislocation of the sacroiliac joint (CFDSIJ) is a type of
lateral compression pelvic injury associated with instability. Open reduction and
internal fixation is a traditional treatment of CFDSIJ. However, a minimally
invasive method has never been reported. The purpose of this study was to assess
the outcome of closed reduction and percutaneous fixation for different types of
CFDSIJ and present their clinical outcome. The authors reviewed 117 patients
diagnosed with CFDSIJ between July 2003 and July 2013. Closed reduction and
percutaneous fixation was performed in 73 patients. Treatment selection was based
on Day's fracture classification. For type I fractures, fixation perpendicular to
the fracture line were performed. For type II fractures, crossed fixation was
performed. For type III fractures, fixation was performed with iliosacral screws.
Forty-four patients were treated by open reduction and plate fixation.
Demographics, fracture pattern distribution, blood loss, incision lengths,
revision surgeries, radiological results, and functional scores were compared.
All 117 patients were followed for more than 6 months (mean, 14 months [range, 6
24 months]). Blood loss, extensive exposure, duration of posterior ring surgery,
duration of hospital stay, and infection rates were lower in the closed group
(P<.01). Patients in the closed group achieved better functional performance
(P<.01). There were no significant differences in reduction quality (P=.32),
revision surgery rates (P=.27), and iatrogenic neurologic injuries (P=.2) between
the 2 groups. The authors' results indicate that closed reduction and
percutaneous fixation is a safe and effective surgical method for CFDSIJ.
PMID- 26558678
TI - Open Reduction of Pediatric Femoral Neck Fractures Reduces Osteonecrosis Risk.
AB - Pediatric femoral neck fractures are rare injuries that are associated with a
high risk of osteonecrosis of the femoral head. This study compared pediatric
patients with fully displaced femoral neck fractures treated with either open
reduction and internal fixation (ORIF) or closed reduction and internal fixation
(CRIF). After institutional review board approval was obtained, the authors
identified 53 patients who were treated for femoral neck fracture between 2003
and 2012. Inclusion criteria were as follows: (1) diagnosis of a fully displaced
femoral neck fracture with no anatomic cortical contact; (2) age of at least 4
years; and (3) clinical/radiographic follow-up of at least 1 year. Medical
records and radiographs were retrospectively reviewed. Excluded from the study
were 16 patients who had fractures that were not fully displaced, 4 who were
outside of the eligible age range, 1 who had insufficient radiographs, and 10 who
had insufficient follow-up. Of the 22 patients included, 6 were treated with ORIF
and 16 were treated with CRIF. Treatment groups were compared with Fisher's exact
test for categorical outcome data and the Wilcoxon rank-sum test for continuous
variables. There was a significantly (P=.051) greater occurrence of osteonecrosis
after CRIF (50%) than after ORIF (0%). Further, patients who underwent ORIF had a
higher (P=.009) quality of reduction, a higher (P=.046) rate of anatomic union,
and fewer (P=.009) complications than those who underwent CRIF. Major
complications occurred in significantly fewer (P=.015) hips after ORIF than after
CRIF. No significant difference (P=.477) was seen between groups, according to
the Ratliff assessment of final results. Fully displaced pediatric femoral neck
fractures treated with ORIF had a significantly higher quality of reduction, with
fewer complications, including osteonecrosis, than those treated with CRIF.
PMID- 26558679
TI - Door Opening Affects Operating Room Pressure During Joint Arthroplasty.
AB - Many resources are expended to ensure a sterile operating room environment.
Efforts are made to prevent exposure of patients to personnel and to achieve
positive room pressure to keep out airborne contaminants. Foot traffic into and
out of the operating room during surgery can undermine these efforts. The authors
investigated the number and duration of operating room door openings during hip
and knee arthroplasty procedures and the effect of the door openings on room
pressure. They tested the hypothesis that door openings defeat positive pressure,
permitting air flow into the room. Room pressure and door status were monitored
electronically during 191 hip and knee arthroplasty procedures. Operating room
staff were unaware that data were being collected. The authors evaluated the data
with regression analysis to determine whether the number and duration of door
openings had an effect on room pressure. Significance was set at P<.05. Doors
were open, on average, 9.5 minutes per case. In 77 of 191 cases, positive
pressure was defeated, allowing air flow to reverse into the operating room.
Total time with the door open significantly affected the minimum pressure
recorded in the room (P<.02), but did not significantly affect average room
pressure (P=.7). This finding suggested that the loss of positive pressure was a
transient event from which the room recovered. The number and duration of door
openings showed a significant association with length of surgery. Door openings
threaten positive pressure, potentially jeopardizing operating room sterility.
The causes of excessive operating room traffic must be evaluated to identify ways
to reduce this traffic and the associated risks.
PMID- 26558680
TI - Total En Bloc Spondylectomy for Primary and Metastatic Spine Tumors.
AB - This study reports the surgical and clinical outcomes of spinal tumors managed
with total en bloc spondylectomy. The authors searched their prospectively
maintained database for patients undergoing total en bloc spondylectomy between
2001 and 2013. Ten patients (9 men, 1 woman; average age, 50.7 years; range, 42
68 years) were identified. The authors obtained demographic information, surgical
outcomes (estimated blood loss, complications), and clinical outcomes
(recurrence, survival). All patients had pain and were classified as American
Spinal Injury Association grade E. The lesions were located in the thoracic (8
patients) and lumbar (2 patients) spine. Anterior column reconstruction was
performed with strut allograft (7 patients), mesh cage (2 patients), and
polymethyl methacrylate (1 patient). An average of 2.3 (range, 2-4) of 6 portions
of the vertebrae were involved, according to the Kostuik classification. Mean
estimated blood loss, operative time, and hospital stay were 3.5 L, 500 minutes,
and 7.8 days, respectively. Perioperative complications included pleural tear (2
patients) and aortic tear, vena cava tear, retained sponge, pulmonary embolism,
urinary tract infection, pneumothorax, anterior column support failure, and
prominent instrumentation requiring removal (1 patient each). Postoperatively,
all patients remained classified as American Spinal Injury Association grade E.
Two patients had recurrence at distant spinal segments, and 1 had a new lesion in
the thigh. Five patients had died (mean, 34.5 months after surgery), and 5 were
alive a mean of 19.6 months after surgery (range, 6-48 months). Total en bloc
spondylectomy is challenging, but in appropriately selected patients, it can be
used to treat primary and metastatic spinal lesions.
PMID- 26558681
TI - MK2 Deletion in Mice Prevents Diabetes-Induced Perturbations in Lipid Metabolism
and Cardiac Dysfunction.
AB - Heart disease remains a major complication of diabetes, and the identification of
new therapeutic targets is essential. This study investigates the role of the
protein kinase MK2, a p38 mitogen-activated protein kinase downstream target, in
the development of diabetes-induced cardiomyopathy. Diabetes was induced in
control (MK2(+/+)) and MK2-null (MK2(-/-)) mice using repeated injections of a
low dose of streptozotocin (STZ). This protocol generated in MK2(+/+) mice a
model of diabetes characterized by a 50% decrease in plasma insulin,
hyperglycemia, and insulin resistance (IR), as well as major contractile
dysfunction, which was associated with alterations in proteins involved in
calcium handling. While MK2(-/-)-STZ mice remained hyperglycemic, they showed
improved IR and none of the cardiac functional or molecular alterations. Further
analyses highlighted marked lipid perturbations in MK2(+/+)-STZ mice, which
encompass increased 1) circulating levels of free fatty acid, ketone bodies, and
long-chain acylcarnitines and 2) cardiac triglyceride accumulation and ex vivo
palmitate beta-oxidation. MK2(-/-)-STZ mice were also protected against all these
diabetes-induced lipid alterations. Our results demonstrate the benefits of MK2
deletion on diabetes-induced cardiac molecular and lipid metabolic changes, as
well as contractile dysfunction. As a result, MK2 represents a new potential
therapeutic target to prevent diabetes-induced cardiac dysfunction.
PMID- 26558682
TI - Coxsackievirus B5 Infection Induces Dysregulation of microRNAs Predicted to
Target Known Type 1 Diabetes Risk Genes in Human Pancreatic Islets.
AB - Extensive research has identified enterovirus (EV) infections as key
environmental triggers of type 1 diabetes. However, the underlying molecular
mechanisms via which EVs contribute to the pathogenesis of type 1 diabetes remain
unclear. Given that EVs dysregulate host microRNAs (miRNAs), which function as
key regulators of beta-cell biology, we investigated the impact of coxsackievirus
B5 (CVB5) infection on the cellular expression of miRNAs within human islets.
Using high-throughput quantitative PCR nanofluidics arrays, the expression of 754
miRNAs was examined in CVB5-infected human pancreatic islets. In total, 33 miRNAs
were significantly dysregulated (>= threefold difference) in the infected
compared with control islets (P < 0.05). Subsequently, these differentially
expressed miRNAs were predicted to target mRNAs of 57 known type 1 diabetes risk
genes that collectively mediate various biological processes, including the
regulation of cell proliferation, cytokine production, the innate immune
response, and apoptosis. In conclusion, we report the first global miRNA
expression profiling of CVB5-infected human pancreatic islets. We propose that
EVs disrupt the miRNA-directed suppression of proinflammatory factors within beta
cells, thereby resulting in an exacerbated antiviral immune response that
promotes beta-cell destruction and eventual type 1 diabetes.
PMID- 26558683
TI - The potential of bacteriophage cocktail in eliminating Methicillin-resistant
Staphylococcus aureus biofilms in terms of different extracellular matrices
expressed by PIA, ciaA-D and FnBPA genes.
AB - BACKGROUND: This study assessed novel approach of using highly lytic phages
against methicillin-susceptible Staphylococcus aureus (MSSA) and methicillin
resistant Staphylococcus aureus (MRSA) biofilms with and without biofilm
extracellular matrix- disrupting chemical. METHOD: The resultant phage-based
control was assessed in relation to the type of biofilm extracellular matrix
namely, polysaccharide intercellular adhesion (PIA) or proteinacious fibronectin
binding protein A (FnBPA). The biofilms were formed in vitro by 24 h incubation
of bacteria in 96 wells microtiter plates at room temperature. The formed
biofilms were assessed by tissue culture plate (TCP). Moreover, the nature of the
biofilm was assessed by scanning electron microscopy (SEM) and PCR assay for
detecting PIA genes, ciaA-D and FnBPA genes. RESULTS: this study showed that
applied phages with 0.08 % benezenthonium chloride, for PIA biofilms, and 0.06 %
ethanol, for proteinacious FnBPA biofilms, exerted 100 % eradication for MSSA
biofilms and about 78 % of MRSA biofilms. The phage-based control of biofilms
with chemical adjuvant showed significantly higher efficiency than that without
adjuvant (P < 0.05). Moreover, FnBPA biofilms were more common in MRSA than in
MSSA while PIA biofilms were more common in MSSA than in MRSA. And the most
resistant type of biofilms to phage-based control was FnBPA in MRSA where 50 % of
biofilms were reduced but not eradicated completely. CONCLUSIONS: It is concluded
that PIA-disturbing agent and protein denaturing alcohol can increase the
efficiency of attacking phages in accessing host cell walls and lysing them which
in turn lead to much more efficient MRSA and MSSA biofilm treatment and
prevention.
PMID- 26558684
TI - Cotton Dust Exposure and Resulting Respiratory Disorders Among Home-Based Garment
Workers.
AB - Cotton dust exposures and resulting respiratory disorders among Thai home-based
garment workers in Bangkok were explored. Structured interviews focused on
occupational health assessments of respiratory disorders; workflow process
observations, lung function screening tests, and garment dust density assessments
were used to gather data. Results revealed that garment workers in this study had
worked in home-based tailoring an average of 14.88 years; 88.5% reported average
health status, only 2.6% currently smoked cigarettes, and 8.6% had impaired lung
function. The prevalence of respiratory disorders in this occupational group was
25%. Significant respiratory tract signs and symptoms were associated with lung
function capacity (odds ratio [OR] = 52.15, 95% confidence interval [CI] = [6.49,
419.60]). Long work hours and few preventive behaviors were significantly
associated with respiratory disorders (OR = 2.89 and OR = 10.183, respectively).
Improving working conditions at home and minimizing fabric dust exposure among
garment workers are recommended.
PMID- 26558685
TI - Ebola Care and Lack of Consensus on Personal Protective Respiratory Equipment.
AB - The Ebola epidemic in West Africa presents a considerable occupational risk to
the health personnel involved. The principal mode of virus transmission to health
care personnel is through direct contact with the patient, although transmission
by aerosols through the air may also occur. Many safety protocols have been
suggested relating to personal protection and particularly respiratory
protection. It is generally agreed that all health care workers should have easy
access to personal protective equipment. However, the degree of respiratory
safety escalates from a mask, to an adequate respirator, and finally to a whole
body suit with integrated helmet and positive air pressure. Recent publications
demonstrate a lack of consensus on the degree of safety necessary. The step from
"safe enough" to being "absolutely safe" seems, in most countries, insurmountable
because of costs and logistics.
PMID- 26558686
TI - Associations between night work and BMI, alcohol, smoking, caffeine and exercise-
a cross-sectional study.
AB - BACKGROUND: Shift work is associated with negative health effects. Increased
prevalence of several cardiovascular risk factors among shift workers/night
workers compared with day workers have been shown resulting in increased risk of
cardiovascular events among shift workers and night workers. Previous studies
have taken a dichotomous approach to the comparison between day and night
workers. The present study uses a continuous approach and provides such a new
perspective to the negative effects of night work load as a possible risk factor
for undesirable health effects. METHODS: This cross sectional study (The SUrvey
of Shift work, Sleep and Health (SUSSH)) uses data collected from December 2008
to March 2009. The study population consists of Norwegian nurses. The study
collected information about demographic and lifestyle factors: Body Mass Index
(BMI), smoking habits, alcohol consumption, caffeine consumption and exercise
habits. The lifestyle parameters were evaluated using multiple hierarchical
regression and binary logistic regression. Number of night shifts worked last
year (NNL) was used as operationalization of night work load. Adjustment for
possible confounders were made. Obesity was defined as BMI > 30. Alcohol
Consumption was evaluated using the short form of the Alcohol Use Disorders
Identification Test Consumption (AUDIT-C). Data were analyzed using SPSS version
22. RESULTS: We had data from 2059 nurses. NNL was significantly and positively
associated with BMI, both when evaluated against BMI as a continuous parameter
(Beta = .055, p < .05), and against obesity (OR = 1.01, 95 % CI = 1.00-1.01). The
AUDIT-C score was significantly and positively associated with hours worked per
week (OR = 1.03, 95 % CI = 1.01-1.05). CONCLUSIONS: We found a positive
significant association between night work load and BMI. This suggests that
workers with a heavy night work load might need special attention and frequent
health checks due to higher risk of undesirable health effects.
PMID- 26558687
TI - Spread patterns of lymph nodes and the value of elective neck irradiation for
esthesioneuroblastoma.
AB - OBJECTIVES: This study was aimed to characterize patterns of lymphatic spread and
assess the value of prophylactic elective neck irradiation (ENI) for
esthesioneuroblastoma (ENB). METHODS: A retrospectively analysis of 116 patients
with newly diagnosed ENB at our institution over 35-year period was undertaken.
RESULTS: 32 patients (28%) presented lymph node metastasis at initial diagnosis,
the common sites involved were level II, Ib, level III and VIIa. Among 80 N
negative patients staged in Modified Kadish B/C, 50 patients were delivered with
ENI, 30 patients were not. The 5-year regional failure-free survival was 98% in
patients treated with ENI and 75% in patients without ENI (p=0.005), regional
failure rate decreased significantly from 23% (7/30) to 2% (1/50) after ENI
(p=0.002). Multivariate analysis also suggested that ENI was an independent
favorable predictor for regional controlling (HR, 0.102; 95% CI: 0.012-0.848;
p=0.035). CONCLUSIONS: This is the largest cohort of ENB so far in a single
institute, and also the first detailed description of nodal spread patterns of N
positive ENB. Elective neck irradiation reduced the regional failure
significantly and should be recommended as a part of initial treatment strategy
for patients staged with Modified Kadish B/C.
PMID- 26558688
TI - From mice to men: Murine models of colorectal cancer for use in translational
research.
AB - Colorectal cancer (CRC) is the third most common carcinoma worldwide and despite
advances in treatment, survival for patients with metastatic disease remains
poor. With nearly 50% of patients developing metastases, in vivo investigation is
essential to improve outcomes for these patients and numerous murine models of
CRC have been developed to allow the study of chemoprevention and chemotherapy,
in addition to improving our understanding of the pathogenesis of CRC. Selecting
the most appropriate murine model for a specific application will maximize the
conversion of potential therapies from the laboratory to clinical practice and
requires an understanding of the various models available. This review will
provide an overview of the murine models currently used in CRC research,
discussing the limitations and merits of each and their most relevant
application. It is aimed at the developing researcher, acting as a guide to
prompt further reading in planning a specific study.
PMID- 26558689
TI - Targeting fatty acid metabolism in cancer and endothelial cells.
AB - Tumour angiogenesis has long been recognised as a target for anti-cancer therapy.
The current approach of inhibiting the VEGF pathway has shown benefit in the
clinic, though less than anticipated. We recently documented that glycolytic
metabolism in endothelial cells (ECs) fuels angiogenesis, rendering it a possible
target for inhibiting vascular growth in pathological conditions. More recently,
we reported that the oxidation of fatty acids (FA) is irreplaceable for EC
proliferation by providing carbons for de novo nucleotide synthesis. Furthermore,
ECs are rather unique in this respect, creating novel therapeutic opportunities.
Here, we review and compare the current understanding of FA utilisation in ECs
and tumour cells (TCs).
PMID- 26558690
TI - Development and deployment of a rapid recombinase polymerase amplification Ebola
virus detection assay in Guinea in 2015.
AB - In the absence of a vaccine or specific treatments for Ebola virus disease (EVD),
early identification of cases is crucial for the control of EVD epidemics. We
evaluated a new extraction kit (SpeedXtract (SE), Qiagen) on sera and swabs in
combination with an improved diagnostic reverse transcription recombinase
polymerase amplification assay for the detection of Ebola virus (EBOV-RT-RPA).
The performance of combined extraction and detection was best for swabs.
Sensitivity and specificity of the combined SE and EBOV-RT-RPA were tested in a
mobile laboratory consisting of a mobile glovebox and a Diagnostics-in-a-Suitcase
powered by a battery and solar panel, deployed to Matoto Conakry, Guinea as part
of the reinforced surveillance strategy in April 2015 to reach the goal of zero
cases. The EBOV-RT-RPA was evaluated in comparison to two real-time PCR assays.
Of 928 post-mortem swabs, 120 tested positive, and the combined SE and EBOV-RT
RPA yielded a sensitivity and specificity of 100% in reference to one real-time
RT-PCR assay. Another widely used real-time RT-PCR was much less sensitive than
expected. Results were provided very fast within 30 to 60 min, and the field
deployment of the mobile laboratory helped improve burial management and
community engagement.
PMID- 26558691
TI - The pericyte antigen RGS5 in perivascular soft tissue tumors.
AB - Perivascular soft tissue tumors are relatively uncommon neoplasms of unclear
lineage of differentiation, although most are presumed to originate from or
differentiate to pericytes or a modified perivascular cell. Among these, glomus
tumor, myopericytoma, and angioleiomyoma share a spectrum of histologic findings
and a perivascular growth pattern. In contrast, solitary fibrous tumor was once
hypothesized to have pericytic differentiation--although little bona fide
evidence of pericytic differentiation exists. Likewise the perivascular
epithelioid cell tumor (PEComa) family shares a perivascular growth pattern, but
with distinctive dual myoid-melanocytic differentiation. RGS5, regulator of G
protein signaling 5, is a novel pericyte antigen with increasing use in animal
models. Here, we describe the immunohistochemical expression patterns of RGS5
across perivascular soft tissue tumors, including glomus tumor (n = 6), malignant
glomus tumor (n = 4), myopericytoma (n = 3), angioleiomyoma (n = 9), myofibroma
(n = 4), solitary fibrous tumor (n = 10), and PEComa (n = 19).
Immunohistochemical staining and semi-quantification was performed, and compared
to alphaSMA (smooth muscle actin) expression. Results showed that glomus tumor
(including malignant glomus tumor), myopericytoma, and angioleiomyoma shared a
similar diffuse immunoreactivity for RGS5 and alphaSMA across all tumors
examined. In contrast, myofibroma, solitary fibrous tumor and PEComa showed
predominantly focal to absent RGS5 immunoreactivity. These findings further
support a common pericytic lineage of differentiation in glomus tumors,
myopericytoma and angioleiomyoma. The pericyte marker RGS5 may be of future
clinical utility for the evaluation of pericytic differentiation in soft tissue
tumors.
PMID- 26558693
TI - The rising incidence of severe sepsis based on administrative data - real change
or coding-driven bias?
PMID- 26558692
TI - Performance of C-reactive protein and procalcitonin to distinguish viral from
bacterial and malarial causes of fever in Southeast Asia.
AB - BACKGROUND: Poor targeting of antimicrobial drugs contributes to the millions of
deaths each year from malaria, pneumonia, and other tropical infectious diseases.
While malaria rapid diagnostic tests have improved use of antimalarial drugs,
there are no similar tests to guide the use of antibiotics in undifferentiated
fevers. In this study we estimate the diagnostic accuracy of two well established
biomarkers of bacterial infection, procalcitonin and C-reactive protein (CRP) in
discriminating between common viral and bacterial infections in malaria endemic
settings of Southeast Asia. METHODS: Serum procalcitonin and CRP levels were
measured in stored serum samples from febrile patients enrolled in three
prospective studies conducted in Cambodia, Laos and, Thailand. Of the 1372
patients with a microbiologically confirmed diagnosis, 1105 had a single viral,
bacterial or malarial infection. Procalcitonin and CRP levels were compared
amongst these aetiological groups and their sensitivity and specificity in
distinguishing bacterial infections and bacteraemias from viral infections were
estimated using standard thresholds. RESULTS: Serum concentrations of both
biomarkers were significantly higher in bacterial infections and malaria than in
viral infections. The AUROC for CRP in discriminating between bacterial and viral
infections was 0.83 (0.81-0.86) compared with 0.74 (0.71-0.77) for procalcitonin
(p < 0.0001). This relative advantage was evident in all sites and when
stratifying patients by age and admission status. For CRP at a threshold of 10
mg/L, the sensitivity of detecting bacterial infections was 95% with a
specificity of 49%. At a threshold of 20 mg/L sensitivity was 86% with a
specificity of 67%. For procalcitonin at a low threshold of 0.1 ng/mL the
sensitivity was 90% with a specificity of 39%. At a higher threshold of 0.5 ng/ul
sensitivity was 60% with a specificity of 76%. CONCLUSION: In samples from
febrile patients with mono-infections from rural settings in Southeast Asia, CRP
was a highly sensitive and moderately specific biomarker for discriminating
between viral and bacterial infections. Use of a CRP rapid test in peripheral
health settings could potentially be a simple and affordable measure to better
identify patients in need of antibacterial treatment and part of a global
strategy to combat the emergence of antibiotic resistance.
PMID- 26558694
TI - Grain boundary resistance to amorphization of nanocrystalline silicon carbide.
AB - Under the C displacement condition, we have used molecular dynamics simulation to
examine the effects of grain boundaries (GBs) on the amorphization of
nanocrystalline silicon carbide (nc-SiC) by point defect accumulation. The
results show that the interstitials are preferentially absorbed and accumulated
at GBs that provide the sinks for defect annihilation at low doses, but also
driving force to initiate amorphization in the nc-SiC at higher doses. The
majority of surviving defects are C interstitials, as either C-Si or C-C
dumbbells. The concentration of defect clusters increases with increasing dose,
and their distributions are mainly observed along the GBs. Especially these small
clusters can subsequently coalesce and form amorphous domains at the GBs during
the accumulation of carbon defects. A comparison between displacement amorphized
nc-SiC and melt-quenched single crystal SiC shows the similar topological
features. At a dose of 0.55 displacements per atom (dpa), the pair correlation
function lacks long range order, demonstrating that the nc-SiC is fully
amorphilized.
PMID- 26558695
TI - Histone deacetylase inhibitors reduce WB-F344 oval cell viability and migration
capability by suppressing AKT/mTOR signaling in vitro.
AB - Histone deacetylase (HDAC) can blockDNA replication and transcription and altered
HDAC expression was associated with tumorigenesis. This study investigated the
effects of HDAC inhibitors on hepatic oval cells and aimed to delineate the
underlying molecular events. Hepatic oval cells were treated with two different
HDAC inhibitors, suberoylanilidehydroxamic acid (SAHA) and trichostatin-A (TSA).
Cells were subjected to cell morphology, cell viability, cell cycle, and wound
healing assays. The expression of proteins related to both apoptosis and the cell
cycle, and proteins of the AKT/mammalian target of rapamycin (mTOR) signaling
pathway were analyzed by Western blot. The data showed that HDAC inhibitors
reduced oval cell viability and migration capability, and arrested oval cells at
the G0/G1 and S phases of the cell cycle, in a dose- and time-dependent manner.
HDAC inhibitors altered cell morphology and reduced oval cell viability, and
downregulated the expression of PCNA, cyclinD1, c-Myc and Bmi1 proteins, while
also suppressing AKT/mTOR and its downstream target activity. In conclusion, this
study demonstrates that HDAC inhibitors affect oval cells by suppressing AKT/mTOR
signaling.
PMID- 26558696
TI - Thrombolytic protein from cobra venom with anti-adhesive properties.
AB - A metalloproteinase anticoagulant toxin of molecular weight 66 kDa has been
purified from the venom of Indian monocled cobra (Naja kaouthia). This toxin
named as NKV 66 cleaved fibrinogen in a dose and time dependent manner. The
digestion process was specific to Aalpha chain and cleaved fibrinogen to peptide
fragments. NKV 66 completely liquefied the fibrin clots developed in vitro in 18
h. Plasma recalcification time and thrombin time were significantly prolonged
following treatment of plasma with NKV 66. NKV 66 significantly inhibited ADP and
collagen induced platelet aggregation in a dose dependent manner. It showed
disintegrin like activity on A549 cells cultured in vitro. About 40% inhibition
of adherence of A549 cells to matrix was observed following NKV 66 treatment also
NKV 66 treated A549 cells were drastically inhibited from passing through the
matrix in cell invasion assays in vitro, suggesting anti-adhesive properties of
NKV 66.
PMID- 26558698
TI - Factors affecting UK medical students' decision to train in urology: a national
survey.
AB - BACKGROUND: Our aim was to understand the specific factors which influence
medical students' choice to train in urology, in order to attract the best and
the brightest into the specialty during a challenging time for surgical training
in the United Kingdom METHODS: A cross-sectional web-based survey was generated
to evaluate: 1) perceptions of urology; 2) attitudes about urology as a career;
3) exposure to urology at medical school; and 4) proficiency in common urological
procedures. The survey was sent to all 33 medical schools in the UK and
advertised to all medical students. RESULTS: The survey received 488 responses
were received from 14 medical schools; 59.8% of respondents did not consider a
career in urology. Factors affecting a career choice in urology included: 1) year
of study; 2) male gender; 3) favorable perceptions of urology; 4) favorable
attitudes about urology as a career; 5) more hours of urology teaching in
preclinical years; 6) attendance at urology theatre sessions; 7) confidence in
performing urological procedures; and 8) more attempts at male catheterization.
The commonest reason for not considering urology was inadequate exposure to
urology. Students in Year 3 were more likely to consider urology than final-year
students, due to multifactorial reasons. CONCLUSIONS: Year of study is a novel
factor affecting students' consideration of urology as a career. This paper
clearly shows that early and sustained exposure to urology positively correlated
with considering a career in urology. Urologists must be more active in promoting
the specialty to medical students.
PMID- 26558697
TI - Mangiferin regulates cognitive deficits and heme oxygenase-1 induced by
lipopolysaccharide in mice.
AB - Accumulating evidence reveals that lipopolysaccharide (LPS) can induce
neuroinflammation, ultimately leading to cognitive deficits. Mangiferin, a
natural glucoxilxanthone, is known to possess various biological activities. The
present study aimed to investigate the effects of mangiferin on LPS-induced
cognitive deficits and explore the underlying mechanisms. Brain injury was
induced in mice via intraperitoneal LPS injection (1mg/kg) for five consecutive
days. Mangiferin was orally pretreatmented (50mg/kg) for seven days and then
treatmented (50mg/kg) for five days after LPS injection. The Morris water maze
was used to detect changes in cognitive function. Immunohistochemical and
immunoblotting were respectively performed to measure the expression of
interleukin-6 (IL-6) and heme oxygenase-1 (HO-1) in the hippocampus. The results
showed that mangiferin can ameliorate cognitive deficits. Moreover, mangiferin
decreased LPS-induced IL-6 production and increase HO-1 in the hippocampus. Taken
together, these results suggest that mangiferin attenuates LPS-induced cognitive
deficits, which may be potentially linked to modulating HO-1 in the hippocampus.
PMID- 26558699
TI - Maternal Childhood Adversity, Prepregnancy Obesity, and Gestational Weight Gain.
AB - INTRODUCTION: Growing evidence suggests that exposure to childhood adversity may
influence obesity across the life course. High maternal weight complicates
pregnancy and increases the risk of child obesity. This study examined the
association between maternal childhood adversity and pregnancy-related weight in
a large U.S. METHODS: Data on 6,199 pregnancies from 2,873 women followed from
1979 to 2012 by the National Longitudinal Survey of Youth 1979 were analyzed in
2014. Associations between three adversity exposures before age 18 years (history
of physical abuse, alcohol problems, or mental illness in the household) and two
maternal weight outcomes (prepregnancy obesity and excessive gestational weight
gain) were modeled separately using survey-adjusted log-binomial models. RESULTS:
After adjusting for race/ethnicity and early-life socioeconomic factors,
childhood physical abuse was associated with a 60% increase in the risk of
prepregnancy obesity (adjusted risk ratio=1.6, 95% CI=1.1, 2.2). Household
alcohol abuse was associated with a 30% increase in prepregnancy obesity
(adjusted risk ratio=1.3, 95% CI=1.0, 1.7), as was household mental illness
(adjusted risk ratio=1.3, 95% CI=0.8, 1.9), but the mental illness exposure was
not significant. Physical abuse and household alcohol abuse were associated with
a significant 20% increase in the risk of excessive gestational weight gain;
mental illness was not. CONCLUSIONS: Adversity in early life may affect maternal
weight before and during pregnancy. Screening and treating women of reproductive
age for childhood adversity and its negative effects could significantly reduce
obesity-related health outcomes for women and their children.
PMID- 26558700
TI - Using GPS Data to Study Neighborhood Walkability and Physical Activity.
AB - INTRODUCTION: Urban form characteristics intended to support pedestrian activity,
collectively referred to as neighborhood walkability, are thought to increase
total physical activity. However, little is known about how neighborhood
walkability influences utilization of neighborhood space by residents and their
overall physical activity. METHODS: Sociodemographic information and data on
mobility and physical activity over 1-week periods measured by GPS loggers and
accelerometers were collected from 803 residents of New York City between
November 2010 and November 2011. Potentially accessible neighborhood areas were
defined as land area within a 1-kilometer distance of the subject's home (radial
buffer) and within a 1-kilometer journey on the street network from the home
(network buffer). To define actual areas utilized by subjects, a minimum convex
polygon was plotted around GPS waypoints falling within 1 kilometer of the home.
A neighborhood walkability scale was calculated for each neighborhood area. Data
were analyzed in 2014. RESULTS: Total residential neighborhood space utilized by
subjects was significantly associated with street intersection density and was
significantly negatively associated with residential density and subway stop
density within 1 kilometer of the home. Walkability scale scores were
significantly higher within utilized as compared with non-utilized neighborhood
areas. Neighborhood walkability in the utilized neighborhood area was positively
associated with total weekly physical activity (32% [95% CI=17%, 49%] more
minutes of moderate-equivalent physical activity across the interquartile range
of walkability). CONCLUSION: Neighborhood walkability is associated with
neighborhood spaces utilized by residents and total weekly physical activity.
PMID- 26558701
TI - Monitoring of the retinoic acid receptor-retinoid X receptor dimerization upon
DNA binding by native mass spectrometry.
AB - Identifying protein-DNA interactions is essential to understand the regulatory
networks of cells and their influence on gene expression. In this study, we use
native electrospray mass spectrometry (ESI-MS) to investigate how the
heterodimerization of retinoic acid receptor-retinoid X receptor (RAR-RXR) is
mediated by DNA sequence. In presence of various RAR response elements (RAREs),
three oligomeric states of RAR-RXR DNA binding domains (DBDs) bound to RAREs
(monomer, homo- or heterodimers) were detected and individually monitored to
follow subunit assembly and disassembly upon RAREs' abundancy or sequence. In
particular, a cooperative heterodimerization was shown with RARb2 DR5 (5 base
pair spaced direct repeat) while a high heterogeneity reflecting random complex
formation could be observed with the DR0 response elements, in agreement with
native gel electrophoresis data or molecular modeling. Such MS information will
help to identify the composition of species formed in solution and to define
which DR sequence is specific for RAR-RXR heterodimerization.
PMID- 26558703
TI - Chryseobacterium frigidum sp. nov., isolated from high-Arctic tundra soil, and
emended descriptions of Chryseobacterium bernardetii and Chryseobacterium
taklimakanense.
AB - A yellow, Gram-reaction-negative, non-motile, aerobic bacterium, designated D07T,
was isolated from a tundra soil near Ny-Alesund, Svalbard archipelago, Norway (78
degrees N). Growth occurred at 4-37 degrees C (optimum 28-30 degrees C) and at
pH 6.0-9.0 (optimum pH 7.0-8.0). The strain produced flexirubin-type pigments.
Phylogenetic analysis based on 16S rRNA gene sequences showed that strain D07T
belonged to the genus Chryseobacterium in the family Flavobacteriaceae. The 16S
rRNA gene sequence of this strain showed 93.83 and 93.31 % sequence similarity,
respectively, to those of Chryseobacterium contaminans C26T and Chryseobacterium
taklimakanense X-65T. Strain D07T contained anteiso-C15 : 0 (25.91 %), iso-C15 :
0 (16.05 %), iso-C16 : 0 3-OH (9.64 %), iso-C16 : 0 (9.42 %) and iso-C14 : 0
(7.36 %) as the predominant cellular fatty acids, MK-6 as the major respiratory
quinone and phosphatidylethanolamine, five unknown aminolipids and three unknown
lipids as the main polar lipids. The DNA G+C content was 49.3 mol%. On the basis
of phenotypic, chemotaxonomic and phylogenetic data, strain D07T is considered to
represent a novel species of the genus Chryseobacterium, for which the name
Chryseobacterium frigidum sp. nov. is proposed. The type strain is D07T ( = CCTCC
AB 2011160T = KCTC 42897T). Emended descriptions of Chryseobacterium bernardetii
and Chryseobacterium taklimakanense are also provided.
PMID- 26558704
TI - Floral traits driving reproductive isolation of two co-flowering taxa that share
vertebrate pollinators.
AB - Floral attributes evolve in response to frequent and efficient pollinators, which
are potentially important drivers of floral diversification and reproductive
isolation. In this context, we asked, how do flowers evolve in a bat-hummingbird
pollination system? Hence, we investigated the pollination ecology of two co
flowering Ipomoea taxa (I. marcellia and I. aff. marcellia) pollinated by bats
and hummingbirds, and factors favouring reproductive isolation and pollinator
sharing in these plants. To identify the most important drivers of reproductive
isolation, we compared the flowers of the two Ipomoea taxa in terms of
morphometry, anthesis and nectar production. Pollinator services were assessed
using frequency of visits, fruit set and the number of seeds per fruit after
visits. The studied Ipomoea taxa differed in corolla size and width, beginning
and duration of anthesis, and nectar attributes. However, they shared the same
diurnal and nocturnal visitors. The hummingbird Heliomaster squamosus was more
frequent in I. marcellia (1.90 visits h(-1)) than in I. aff. marcellia (0.57
visits h(-1)), whereas glossophagine bats showed similar visit rates in both taxa
(I. marcellia: 0.57 visits h(-1) and I. aff. marcellia: 0.64 visits h(-1)). Bat
pollination was more efficient in I. aff. marcellia, whereas pollination by
hummingbirds was more efficient in I. marcellia. Differences in floral attributes
between Ipomoea taxa, especially related to the anthesis period, length of floral
parts and floral arrangement in the inflorescence, favour reproductive isolation
from congeners through differential pollen placement on pollinators. This bat
hummingbird pollination system seems to be advantageous in the study area, where
the availability of pollinators and floral resources changes considerably
throughout the year, mainly as a result of rainfall seasonality. This interaction
is beneficial for both sides, as it maximizes the number of potential pollen
vectors for plants and resource availability for pollinators.
PMID- 26558702
TI - Mechanosensitive TRPM7 mediates shear stress and modulates osteogenic
differentiation of mesenchymal stromal cells through Osterix pathway.
AB - Microenvironments that modulate fate commitments of mesenchymal stromal cells
(MSCs) are composed of chemical and physical cues, but the latter ones are much
less investigated. Here we demonstrate that intermittent fluid shear stress
(IFSS), a potent and physiologically relevant mechanical stimulus, regulates
osteogenic differentiation of MSCs through Transient receptor potential
melastatin 7 (TRPM7)-Osterix axis. Immunostaining showed the localization of
TRPM7 near or at cell membrane upon IFSS, and calcium imaging analysis
demonstrated the transient increase of cytosolic free calcium. Expressions of
osteogenic marker genes including Osterix, but not Runx2, were upregulated after
three-hour IFSS. Phosphorylation of p38 and Smad1/5 was promoted by IFSS as well.
TRPM7 gene knockdown abolished the promotion of bone-related gene expressions and
phosphorylation. We illustrate that TRPM7 is mechanosensitive to shear force of
1.2 Pa, which is much lower than 98 Pa pressure loading reported recently, and
mediates distinct mechanotransduction pathways. Additionally, our results suggest
the differential roles of TRPM7 in endochondral and intramembranous ossification.
Together, this study elucidates the mechanotransduction in MSCs fate commitments
and displays an efficient mechano-modulation for MSCs osteogenic differentiation.
Such findings should be taken into consideration when designing relevant
scaffolds and microfluidic devices for osteogenic induction in the future.
PMID- 26558705
TI - Constraints on coastal dune invasion for a notorious plant invader.
AB - Although most biological invasions are not successful, relatively few studies
have examined otherwise notorious invaders in systems where they are not highly
problematic. The annual grass Bromus tectorum is a dominant invader in western
North America, but is usually confined to human-dominated and disturbed systems
(e.g. roadsides and parking lots) in the East where it remains virtually
unstudied. This study aims to address fundamental ecological questions regarding
B. tectorum in a Cape Cod dune ecosystem. (i) What is the range of variation in
population dynamics and the potential for population growth? (ii) Which factors
influence its local abundance and distribution? We observed substantial variation
in population dynamics over 3 years, with the number of adult B. tectorum
individuals increasing substantially between the first 2 years (lambda = 9.24)
and then decreasing (lambda = 0.43). Population growth in terms of total seeds
was similarly variable, but to a lesser extent (lambda = 2.32 followed by lambda
= 0.32). Experimental soil disturbance led to a more than 10-fold increase in
mean seedling emergence, and high sensitivity to differences in emergence carried
this effect through the life cycle. In contrast, barriers to seed dispersal had
no effect on population dynamics, suggesting limited dispersal in this system.
Across the landscape, the presence of B. tectorum was associated with areas of
higher plant diversity as opposed to those with a strong dominant (e.g. the
foredune, dominated by Ammophila breviligulata, or low heathlands, characterized
by Hudsonia tomentosa and Arctostaphylos uva-ursi). Overall, we find that B.
tectorum is capable of both substantial population growth and decline in a dune
ecosystem, but is likely limited without disturbance and dispersal agents. Thus,
management actions that restrict dune access (e.g. for nesting habitat) likely
have the co-benefit of limiting the invasive potential of B. tectorum.
PMID- 26558706
TI - Trade-off between competition and facilitation defines gap colonization in
mountains.
AB - Recent experimental observations show that gap colonization in small-stature
(e.g. grassland and dwarf shrubs) vegetation strongly depends on the abiotic
conditions within them. At the same time, within-gap variation in biotic
interactions such as competition and facilitation, caused by distance to the gap
edge, would affect colonizer performance, but a theoretical framework to explore
such patterns is missing. Here, we model how competition, facilitation and
environmental conditions together determine the small-scale patterns of gap
colonization along a cold gradient in mountains, by simulating colonizer survival
in gaps of various sizes. Our model adds another dimension to the known effects
of biotic interactions along a stress gradient by focussing on the trade-off
between competition and facilitation in the within-gap environment. We show that
this trade-off defines a peak in colonizer survival at a specific distance from
the gap edge, which progressively shifts closer to the edge as the environment
gets colder, ultimately leaving a large fraction of gaps unsuitable for
colonization in facilitation-dominated systems. This is reinforced when
vegetation size and temperature amelioration are manipulated simultaneously with
temperature in order to simulate an elevational gradient more realistically.
Interestingly, all other conditions being equal, the magnitude of the realized
survival peak was always lower in large than in small gaps, making large gaps
harder to colonize. The model is relevant to predict effects of non-native plant
invasions and climate warming on colonization processes in mountains.
PMID- 26558707
TI - Acute effects of stretching on maximal muscle strength and functional
performance: A systematic review of Japanese-language randomised controlled
trials.
AB - BACKGROUND: There is no consensus in the English-language literature regarding
the best types or dosages of stretching to maximise muscle strength and
functional performance. It is possible that primary research published in non
English languages provides different insights, and could add to the body of
international knowledge. PURPOSE: This systematic review aimed to identify and
evaluate Japanese-language randomised controlled trials (RCTs) investigating
acute effects of stretching on maximal strength and functional performance.
METHODS: Three Japanese databases and five English databases were searched from
inception to 24 March 2015. Only Japanese-language RCTs examining acute effects
of stretching on maximal strength and/or functional performance were included.
Risk of bias in included studies was assessed using Physiotherapy Evidence
Database scale. GRADE (Grading of Recommendation Assessment, Development and
Evaluation) approach was applied to evaluate the quality of evidence. Descriptive
synthesis was attempted. RESULTS: Seven RCTs with variable methodological quality
were included. No two studies were the same, thus meta-analysis was not possible.
Descriptively, because of heterogeneity of interventions and outcome measures, it
was not possible to identify consensus on the benefits of stretching. GRADE
approach indicated low to very low quality evidence for this topic. CONCLUSION:
This review of Japanese-language RCTs provided no additional information to
strengthen or challenge the current English-language evidence base on acute
effects of stretching on maximal strength and performance. Future Japanese
language studies should address methodological flaws exposed in this review and
incorporate functional outcome measures to strengthen the international evidence
base.
PMID- 26558708
TI - Ventral Striatal Activation During Reward Processing in Psychosis: A
Neurofunctional Meta-Analysis.
AB - IMPORTANCE: Abnormal reward processing is suggested to underlie the formation of
psychotic symptoms, likely driven by elevated ventral striatal (VS) dopamine
levels. Functional magnetic resonance imaging studies reveal alterations of VS
activity during reward processing in patients with chronic psychosis and first
episode of psychosis, as well as individuals at high risk for psychosis, but
findings are inconclusive, conflicting, and difficult to subject to meta-analysis
without introducing bias because several studies reported that findings were not
statistically significant but did not report statistics. OBJECTIVE: To assess the
differences between patients with schizophrenia spectrum disorders and healthy
controls in VS activation during reward processing. DATA SOURCES: Web of
Knowledge database (incorporating Web of Science and MEDLINE) until July 2015,
including references of eligible articles and reviews. STUDY SELECTION:
Functional magnetic resonance imaging studies comparing VS activity during
monetary reward processing between patients with schizophrenia spectrum disorders
or clinical or genetic high-risk state for psychosis and healthy controls. DATA
EXTRACTION AND SYNTHESIS: Statistics and thresholds related to the main outcome
measures and potential moderators were independently retrieved by 2
investigators. Effect sizes were analyzed using MetaNSUE, a random-effects method
that enables the unbiased inclusion of nonstatistically significant unreported
effects. MAIN OUTCOMES AND MEASURES: Effect size of the group differences in VS
activity, and correlation between VS activity and negative and positive symptom
scores in patients. RESULTS: The meta-analysis included 23 studies (917 patients)
for reward anticipation, 9 studies (358 patients) for reward feedback, and 8
studies (314 patients) for reward prediction error. We found significant
bilateral VS hypoactivation during reward anticipation (23 studies, n = 917) in
patients compared with healthy controls (left/right Cohen d, -0.50/-0.70; P <
.001). Left VS abnormality was more severe in patients with high scores of
negative symptoms during reward anticipation (r = -0.41; P < .001). Patients also
showed hypoactivation during reward feedback (left/right d, -0.57/-0.56; P <
.001). Simulations showed that exclusion of studies with nonstatistically
significant unreported effects was associated with a strong bias (d bias = 0.22),
whereas estimations using MetaNSUE were unbiased even when statistics were seldom
reported (d bias < 0.001). CONCLUSIONS AND RELEVANCE: This meta-analysis provides
evidence that patients with psychosis demonstrate VS hypoactivation during reward
anticipation. The assessment of VS prediction errors seems to be promising, but
more studies are needed to draw valid conclusions.
PMID- 26558709
TI - Identifying N2O formation and emissions from a full-scale partial nitritation
reactor.
AB - In this study, N2O formation and emissions from a full-scale partial nitritation
(SHARON) reactor were identified through a three-weeks monitoring campaign during
which the off-gas was analysed for N2O, O2, CO2 and NO. The overall N2O emission
was 3.7% of the incoming ammonium load. By fitting the N2O emission to a
theoretical gas stripping profile, the N2O emissions could be assigned to
aerobically formed N2O and N2O formed under anoxic conditions. This was further
substantiated by liquid N2O measurements. Under standard operation, 70% of the
N2O emission was attributed to anoxic N2O formation. Dedicated experiments
revealed that low dissolved oxygen concentrations (<1.0 gO2.m(-3)) and longer
anoxic periods resulted in an increased N2O emission. Minimising or avoiding
anoxic conditions has the highest effect in lowering the N2O emissions. As an
additional result, the use of the off-gas N2O concentration measurements to
monitor the gas-liquid mass transfer rate coefficient (kLa) during dynamic
reactor operation was demonstrated.
PMID- 26558710
TI - Cotransport of bacteria with hematite in porous media: Effects of ion valence and
humic acid.
AB - This study investigated the influence of multiple colloids (hematite and humic
acid) on the transport and deposition of bacteria (Escherichia coli) in packed
porous media in both NaCl (5 mM) and CaCl2 (1 mM) solutions at pH 6. Due to the
alteration of cell physicochemical properties, the presence of hematite and humic
acid in cell suspensions significantly affected bacterial transport and
deposition in quartz sand. Specifically, the presence of hematite (5 mg/L)
decreased cell transport (increased cell deposition) in quartz sand in both NaCl
and CaCl2 solutions, which could be attributed to the less negative overall zeta
potentials of bacteria induced by the adsorption of positively charged hematite
onto cell surfaces. The presence of a low concentration (0.1 mg/L) of humic acid
in bacteria and hematite mixed suspensions reduced the adsorption of hematite
onto cell surfaces, leading to increased cell transport in quartz sand in NaCl
solutions, whereas, in CaCl2 solutions, the presence of 0.1 mg/L humic acid
increased the formation of hematite-cell aggregates and thus decreased cell
transport in quartz sand. When the concentration of humic acid was increased to 1
mg/L, enhanced cell transport was observed in both NaCl and CaCl2 solutions. The
decreased adsorption of hematite onto cell surfaces as well as the competition of
deposition sites on quartz sand with bacteria by the suspended humic acid
contributed to the increased cell transport.
PMID- 26558711
TI - Opportunities to Improve Care for Surgery Patients.
PMID- 26558712
TI - Inbreeding and homozygosity in breast cancer survival.
AB - Genome-wide association studies (GWASs) help to understand the effects of single
nucleotide polymorphisms (SNPs) on breast cancer (BC) progression and survival.
We performed multiple analyses on data from a previously conducted GWAS for the
influence of individual SNPs, runs of homozygosity (ROHs) and inbreeding on BC
survival. (I.) The association of individual SNPs indicated no differences in the
proportions of homozygous individuals among short-time survivors (STSs) and long
time survivors (LTSs). (II.) The analysis revealed differences among the
populations for the number of ROHs per person and the total and average length of
ROHs per person and among LTSs and STSs for the number of ROHs per person. (III.)
Common ROHs at particular genomic positions were nominally more frequent among
LTSs than in STSs. Common ROHs showed significant evidence for natural selection
(iHS, Tajima's D, Fay-Wu's H). Most regions could be linked to genes related to
BC progression or treatment. (IV.) Results were supported by a higher level of
inbreeding among LTSs. Our results showed that an increased level of homozygosity
may result in a preference of individuals during BC treatment. Although common
ROHs were short, variants within ROHs might favor survival of BC and may function
in a recessive manner.
PMID- 26558713
TI - Ictal vomiting as a sign of temporal lobe epilepsy confirmed by stereo-EEG and
surgical outcome.
AB - Vomiting is uncommon in patients with epilepsy and has been reported in both
idiopathic and symptomatic epilepsies. It is presumed to originate in the
anterior part of the temporal lobe or insula. To date, 44 cases of nonidiopathic
focal epilepsy and seizures associated with ictal vomiting have been reported. Of
the 44 cases, eight were studied using invasive exploration (3 stereo-EEG/5
subdural grids). Here, we report a 4-year-and-7-month-old patient with a history
of febrile convulsion in the second year of life and who developed episodes of
vomiting and complex partial seizures at 3 years of age. Scalp EEG showed no
electrical modification during vomiting while the complex partial seizure
displayed a clear right temporal origin. Brain MR showed hippocampal volume
reduction with mild diffuse blurring of the temporal lobe.
Stereoelectroencephalography study confirmed the mesiotemporal origin of the
seizures and showed that the episodes of vomiting were strictly related to an
ictal discharge originating in the mesial temporal structures without insular
diffusion. The patient is now seizure-free (18 months) after removal of the right
anterior and mesial temporal structures. In all the reported patients, seizures
seemed to start in mesial temporal structures. The grid subgroup is more
homogeneous, and the most prominent characteristic (4/5) is the involvement of
both mesial and lateral temporal structures at the time of vomiting. In the S-EEG
group, there is evidence of involvement of either the anterior temporal
structures alone (2/3) or both insular cortices (1/3). Our case confirms that
vomiting could occur when the ictal discharge is limited to the anterior temporal
structure without insular involvement. Regarding the pathophysiology of vomiting,
the role of subcortical structures such as the dorsal vagal complex and the
central pattern generators (CPG) located in the reticular area is well
established. Vomiting as an epileptic phenomenon seems to be related to the
involvement of temporal structures, mainly mesial structures (amygdala) and with
an uncertain role of the insula. An intriguing hypothesis is that the ictal
discharge in mesial structures determines seizure manifestation that could be
explained not only by tonic activation of the cortex, but also by 'release'
(reduction of inhibition?) of the CPG responsible for involuntary motor
behaviors.
PMID- 26558714
TI - Cerebal overinhibition could be the basis for the high prevalence of epilepsy in
persons with Down syndrome.
AB - Down syndrome (DS) is the most common cause of genetic intellectual disability,
and the trisomy 21 is associated with more than 80 clinical traits, including
higher risk for epilepsy. Several hypotheses have been put forward to explain the
mechanisms underlying increased seizure susceptibility in DS: inherent structural
brain abnormalities, abnormal cortical lamination, disruption of normal dendritic
morphology, and underdeveloped synaptic profiles. A deficiency or loss of GABA
inhibition is hypothesized to be one of the main alterations related to the
epileptogenic process. Paradoxically, enhanced GABA inhibition has also been
reported to promote seizures. One major functional abnormality observed in the
brains of individuals and mouse models with DS appears to be an imbalance between
excitatory and inhibitory neurotransmission, with excessive inhibitory brain
function. This review discusses the GABAergic system in the human DS brain and
the possible implication of the GABAergic network circuit in the epileptogenic
process in individuals where the pathogenetic basis for epilepsy is unknown.
PMID- 26558715
TI - Attracting cavities for docking. Replacing the rough energy landscape of the
protein by a smooth attracting landscape.
AB - Molecular docking is a computational approach for predicting the most probable
position of ligands in the binding sites of macromolecules and constitutes the
cornerstone of structure-based computer-aided drug design. Here, we present a new
algorithm called Attracting Cavities that allows molecular docking to be
performed by simple energy minimizations only. The approach consists in
transiently replacing the rough potential energy hypersurface of the protein by a
smooth attracting potential driving the ligands into protein cavities. The actual
protein energy landscape is reintroduced in a second step to refine the ligand
position. The scoring function of Attracting Cavities is based on the CHARMM
force field and the FACTS solvation model. The approach was tested on the 85
experimental ligand-protein structures included in the Astex diverse set and
achieved a success rate of 80% in reproducing the experimental binding mode
starting from a completely randomized ligand conformer. The algorithm thus
compares favorably with current state-of-the-art docking programs.
PMID- 26558716
TI - Influence of age and sex on the longitudinal relaxation time, T1, of the lung in
healthy never-smokers.
AB - BACKGROUND: As several studies have provided evidence that lung disease affects
the T1 of the human lung, our purpose was to investigate the effect of age on the
T1-relaxation time in the lungs of healthy never-smokers, including group
difference between sexes. MATERIALS AND METHODS: The Snapshot FLASH pulse
sequence (inversion recovery with multiple gradient echo read-outs) was used to
quantify lung T1 in 30 healthy never-smoking volunteers at 1.5 Tesla.
Measurements were performed under breathhold of a tidal inspiration.
Additionally, subjects underwent clinical MRI and pulmonary function tests. A
linear regression model of T1 as a function of age and sex was tested. RESULTS:
The slope of lung T1 at tidal end-inspiration as a function of age was
statistically different between males and females (P < 0.001). In a linear
regression model of T1 as a function of age and sex, females have slope of -4.1
ms/year (95% confidence interval [CI], [-5.2, -3.0]) at P < 0.001, and males
0.064 ms/year (95% CI, [-1.2, 1.1]) at P = 0.9, with a whole model R(2) = 0.83.
CONCLUSION: The observed dependencies of lung T1 on age and sex are here
attributed to a previously reported difference in blood T1 between sexes, and a
previously reported decrease of pulmonary blood volume with increasing age. This
may have implications for the interpretation of lung T1 measurements in both
healthy individuals and patients.
PMID- 26558717
TI - Mycobacterial receptor, Clec4d (CLECSF8, MCL), is coregulated with Mincle and
upregulated on mouse myeloid cells following microbial challenge.
AB - The C-type lectin receptor (CTLR), Clec4d (MCL, CLECSF8), is a member of the
Dectin-2 cluster of CTLRs, which also includes the related receptors Mincle and
Dectin-2. Like Mincle, Clec4d recognizes mycobacterial cord factor, trehalose
dimycolate, and we recently demonstrated its key role in anti-mycobacterial
immunity in mouse and man. Here, we characterized receptor expression in naive
mice, under inflammatory conditions, and during Mycobacterium bovis BCG infection
using newly generated monoclonal antibodies. In naive mice, Clec4d was
predominantly expressed on myeloid cells within the peritoneal cavity, blood, and
bone marrow. Unexpectedly, basal expression of Clec4d was very low on leukocytes
in the lung. However, receptor expression was significantly upregulated on
pulmonary myeloid cells during M. bovis BCG infection. Moreover, Clec4d
expression could be strongly induced in vitro and in vivo by various microbial
stimuli, including TLR agonists, but not exogenous cytokines. Notably, we show
that Clec4d requires association with the signaling adaptor FcRgamma and Mincle,
but not Dectin-2, for surface expression. In addition, we provide evidence that
Clec4d and Mincle, but not Dectin-2, are interdependently coregulated during
inflammation and infection. These data show that Clec4d is an inducible myeloid
expressed CTLR in mice, whose expression is tightly linked to that of Mincle.
PMID- 26558719
TI - Metabolic syndrome in schizophrenia patients associated with poor premorbid
school performance in early adolescence.
AB - OBJECTIVE: More than 40% of patients with schizophrenia have an additional
diagnosis of the metabolic syndrome (MS), possibly related to poor cognition.
This study investigated premorbid and current cognitive functioning in
schizophrenia and co-occurrence of MS. METHOD: A total of 104 participants with
schizophrenia with MS and 142 without MS were included. Neuropsychological
assessment was carried out using the Wechsler Adult Intelligence Scale-III, Word
Learning Task, and Continuous Performance Test-HQ. Premorbid functioning was
assessed retrospectively with the Premorbid Adjustment Scale. anovas were used to
examine differences between participants with and without MS. RESULTS: Subjects
with and without MS did not differ concerning current, lifetime and amount
substance use, duration/severity of illness, parental socioeconomic status (SES),
and type/amount of antipsychotic medication. We found that poor school
performance between the ages 12 and 16 is associated with MS in schizophrenia.
Educational level and current cognitive functioning in participants with MS
deviate as compared to those without MS. CONCLUSION: Subjects with MS had
impaired premorbid cognition in adolescence and lower educational achievement,
irrespective of parental SES. This suggests poor premorbid cognitive functioning
is a risk factor for metabolic complications later in life. Future studies are
needed to examine whether cognitive interventions have beneficial effects on
general health in schizophrenia.
PMID- 26558718
TI - An investigation of causes of false positive single nucleotide polymorphisms
using simulated reads from a small eukaryote genome.
AB - BACKGROUND: Single Nucleotide Polymorphisms (SNPs) are widely used molecular
markers, and their use has increased massively since the inception of Next
Generation Sequencing (NGS) technologies, which allow detection of large numbers
of SNPs at low cost. However, both NGS data and their analysis are error-prone,
which can lead to the generation of false positive (FP) SNPs. We explored the
relationship between FP SNPs and seven factors involved in mapping-based variant
calling - quality of the reference sequence, read length, choice of mapper and
variant caller, mapping stringency and filtering of SNPs by read mapping quality
and read depth. This resulted in 576 possible factor level combinations. We used
error- and variant-free simulated reads to ensure that every SNP found was indeed
a false positive. RESULTS: The variation in the number of FP SNPs generated
ranged from 0 to 36,621 for the 120 million base pairs (Mbp) genome. All of the
experimental factors tested had statistically significant effects on the number
of FP SNPs generated and there was a considerable amount of interaction between
the different factors. Using a fragmented reference sequence led to a dramatic
increase in the number of FP SNPs generated, as did relaxed read mapping and a
lack of SNP filtering. The choice of reference assembler, mapper and variant
caller also significantly affected the outcome. The effect of read length was
more complex and suggests a possible interaction between mapping specificity and
the potential for contributing more false positives as read length increases.
CONCLUSIONS: The choice of tools and parameters involved in variant calling can
have a dramatic effect on the number of FP SNPs produced, with particularly poor
combinations of software and/or parameter settings yielding tens of thousands in
this experiment. Between-factor interactions make simple recommendations
difficult for a SNP discovery pipeline but the quality of the reference sequence
is clearly of paramount importance. Our findings are also a stark reminder that
it can be unwise to use the relaxed mismatch settings provided as defaults by
some read mappers when reads are being mapped to a relatively unfinished
reference sequence from e.g. a non-model organism in its early stages of genomic
exploration.
PMID- 26558720
TI - HIV protease inhibitors disrupt astrocytic glutamate transporter function and
neurobehavioral performance.
AB - OBJECTIVE: The neurotoxic actions of the HIV protease inhibitors, amprenavir
(APV) and lopinavir (LPV) were investigated. DESIGN: With combination
antiretroviral therapy (cART), HIV-infected persons exhibit neurocognitive
impairments, raising the possibility that cART might exert adverse central
nervous system (CNS) effects. We examined the effects of LPV and APV using in
vitro and in-vivo assays of CNS function. METHODS: Gene expression, cell
viability and amino-acid levels were measured in human astrocytes, following
exposure to APV or LPV. Neurobehavioral performance, amino-acid levels and
neuropathology were examined in HIV-1 Vpr transgenic mice after treatment with
APV or LPV. RESULTS: Excitatory amino-acid transporter-2 (EAAT2) expression was
reduced in astrocytes treated with LPV or APV, especially LPV (P < 0.05), which
was accompanied by reduced intracellular L-glutamate levels in LPV-treated cells
(P < 0.05). Treatment of astrocytes with APV or LPV reduced the expression of
proliferating cell nuclear antigen (PCNA) and Ki-67 (P < 0.05) although cell
survival was unaffected. Exposure of LPV to astrocytes augmented glutamate-evoked
transient rises in [Cai] (P < 0.05). Vpr mice treated with LPV showed lower
concentrations of L-glutamate, L-aspartate and L-serine in cortex compared with
vehicle-treated mice (P < 0.05). Total errors in T-maze assessment were increased
in LPV and APV-treated animals (P < 0.05). EAAT2 expression was reduced in the
brains of protease inhibitor-treated animals, which was associated with gliosis
(P < 0.05). CONCLUSION: These results indicated that contemporary protease
inhibitors disrupt astrocyte functions at therapeutic concentrations with
enhanced sensitivity to glutamate, which can lead to neurobehavioral impairments.
ART neurotoxicity should be considered in future therapeutic regimens for
HIV/AIDS.
PMID- 26558721
TI - Plasmacytoid dendritic cells and myeloid cells differently contribute to B-cell
activating factor belonging to the tumor necrosis factor superfamily
overexpression during primary HIV infection.
AB - BACKGROUND: After describing heightened levels of circulating B-cell-activating
factor belonging to the tumor necrosis factor superfamily (BAFF) as well as
changes in B-cell phenotype and functions during acute infection by simian
immunodeficiency virus, we wanted to determine whether and by which cells BAFF
was over-expressed in primary HIV-infected (PHI) patients. DESIGN AND METHODS: We
simultaneously examined circulating BAFF levels by ELISA and membrane-bound BAFF
(mBAFF) expression by flow cytometry in peripheral blood mononuclear cells of
healthy donors and PHI patients followed for 6 months. We also examined whether
HIV-1 modifies BAFF expression or release in various myeloid cells and
plasmacytoid dendritic cells (pDC) in vitro. RESULTS: Circulating BAFF levels
were transiently increased at enrolment. They positively correlated with CXCL10
levels and inversely with B-cell counts. Whereas mBAFF was expressed by most pDC
and on a fraction of intermediate monocytes in healthy donors, the frequency of
mBAFF cells significantly increased among nonclassical monocytes and CD1c
dendritic cells but decreased among pDC in PHI patients. In contrast to myeloid
cells, pDC never released BAFF upon stimulation. Their mBAFF expression was
enhanced by HIV-1, independently of type I IFN. CONCLUSION: Our findings reveal
that the pattern of BAFF expression by myeloid cells and pDC is altered in PHI
patients and constitutes a valuable marker of immune activation whose circulating
levels correlate with CXCL10 levels. Due to their homing in different tissue
areas, pDC and myeloid cells might target different B-cell subsets through their
mBAFF expression or soluble BAFF release.
PMID- 26558723
TI - Higher tenofovir exposure is associated with longitudinal declines in kidney
function in women living with HIV.
AB - OBJECTIVE: Tenofovir disoproxil fumarate is a commonly used antiretroviral drug,
but risk factors for tenofovir (TFV)-associated kidney disease are not fully
understood. We used intensive pharmacokinetic studies in a cohort of HIV-infected
women on TFV-based therapy to study the relationship between TFV exposure and
subsequent kidney function. DESIGN: This is a nested study within the Women's
Interagency HIV Study, a multicenter, prospective cohort of HIV-infected women.
Participants on TFV-based therapy underwent 24-h intensive pharmacokinetic
sampling after witnessed dose. Kidney function was measured over the succeeding 7
years by serum creatinine [estimated glomerular filtration rate calculated by
serum creatinine (eGFRcr)]. METHODS: Multivariable linear mixed models evaluated
the relationship of baseline TFV area under the-time concentration curves (AUCs)
with subsequent changes in kidney function. Covariates included age, diabetes,
hypertension, race, BMI, ritonavir use, duration of TFV exposure, current CD4
cell count, and HIV viral load. RESULTS: Of the 105 participants, persons within
the highest baseline TFV AUC tertile had significantly lower eGFRcr compared with
those in the lowest tertile (mean +/- standard error: 80 +/- 4.3 vs. 104 +/- 2.5
ml/min per 1.73 m, P < 0.0001). By year 7, this difference widened (72 +/- 4.9
vs. 105 +/- 2.9, P < 0.0001). After multivariable adjustment, TFV AUC in the
highest tertile remained associated with lower eGFRcr relative to values in the
lowest tertile at both baseline (-15 ml/min per 1.73 m, P = 0.0047) and year 7 (
23 ml/min per 1.73 m, P = 0.0002). CONCLUSION: Through intensive TFV
pharmacokinetic sampling, we found a strong association between greater TFV
exposure and subsequent decline in kidney function. Variations in TFV drug
exposure may partially account for subsequent nephrotoxicity in persons infected
with HIV.
PMID- 26558724
TI - Balance between activation and regulation of HIV-specific CD8+ T-cell response
after modified vaccinia Ankara B therapeutic vaccination.
AB - BACKGROUND: The causes of HIV-vaccines failure are poorly understood. Therapeutic
vaccination with modified vaccinia Ankara (MVA)-B in HIV-1-infected individuals
did not control the virus upon analytical treatment interruption (ATI). We
investigated whether the functional characteristics of HIV-specific CD8 T-cell
responses stimulated by this vaccine, and the level of exhaustion of these cells
might explain these results. METHODS: Twenty-one HIV-1 chronically infected
patients on combination antiretroviral therapy, included in the therapeutic
vaccine trial RISVAC03, were studied: 13 immunized and eight controls. Functional
characteristics, cytotoxic potential and exhaustion of HIV-specific CD8 T cells,
were evaluated by polychromatic flow cytometry. Differences between groups were
tested using nonparametric tests. RESULTS: MVA-B vaccine induced an increase in
HIV-specific CD8 T-cell response, but also increased their levels of exhaustion.
At week 18 (following three immunizations) the level of response increased with
respect to baseline (P = 0.02). A significant increase at weeks 18 and 24 (ATI)
in granzyme B content was also observed. Interestingly, an increase in expression
of exhaustion markers was found at weeks 18 (P = 0.006) and 24 (P = 0.01).
However, there was no significant change in the functional profile of vaccine
induced CD8 cells. At week 36, in parallel to the rebound of plasma viremia after
12 weeks ATI, a significant increase in the level of CD8 response, in granzyme B
content and in exhaustion markers expression, was observed in both groups.
CONCLUSION: We show that therapeutic vaccination with MVA-B tilts the balance
between activation and regulation of the response of HIV-specific CD8 T cells
towards regulation, which impacts on the viral rebound after ATI.
PMID- 26558725
TI - Sex work and HIV incidence among people who inject drugs.
AB - OBJECTIVE: Although the global burden of HIV infection among sex workers (SW) has
been well recognized, HIV-related risks among sex workers who inject drugs (SW
IDU) have received less attention. We investigated the relationship between sex
work and HIV incidence among people who inject drugs (IDU) in a Canadian setting.
DESIGN: Prospective cohort study. METHODS: Using Kaplan-Meier methods and the
extended Cox regression, we compared HIV incidence among SW-IDU and non-SW-IDU in
Vancouver, Canada, after adjusting for potential confounders. RESULTS: Between
1996 and 2012, 1647 participants were included in the study, including 512
(31.1%) IDU engaged in sex work. At 5 years the HIV cumulative incidence was
higher among SW-IDU in comparison to other IDU (12 vs. 7%, P = 0.001). In
unadjusted Cox regression analyses, HIV incidence among SW-IDU was also elevated
[relative hazard: 1.69; 95% confidence interval (CI): 1.13-2.53]. However, in a
multivariable analysis, sex work did not remain associated with HIV infection
(adjusted relative hazard: 0.74; 95% CI: 0.45-1.20), with cocaine injection
appearing to account for the elevated risk for HIV infection among SW-IDU.
CONCLUSION: These data suggest that local SW-IDU have elevated rates of HIV
infection. However, our exploration of risk factors among SW-IDU demonstrated
that drug use patterns and environmental factors, rather than sexual risks, may
explain the elevated HIV incidence among SW-IDU locally. Our findings highlight
the need for social and structural interventions, including increased access to
harm reduction programs and addiction treatment.
PMID- 26558726
TI - Mechanisms of bone disease in HIV and hepatitis C virus: impact of bone turnover,
tenofovir exposure, sex steroids and severity of liver disease.
AB - OBJECTIVE: Both HIV and hepatitis C virus (HCV) infections are associated with
higher osteoporotic fracture risk. Increased bone turnover, liver fibrosis,
tenofovir (TDF) use or hormonal imbalances are possible underlying mechanisms.
DESIGN: This prospective, cross-sectional study assessed 298 male volunteers with
either virologically suppressed HIV or untreated HCV mono-infections, HIV/HCV co
infection and noninfected controls. METHODOLOGY: Study participants underwent
bone mineral density (BMD) by dual-energy x-ray absorptiometry and measurement of
bone turnover markers [BTM: C-telopeptide (CTX) and osteocalcin (OC)], insulin
like growth factor-1 (IGF-1), the sex steroids testosterone (T) and estradiol
(E2), and the aspartate aminotransferase-to-platelet ratio index (APRI). Impact
of HIV and HCV status on BMD was evaluated in multivariate models adjusting for
APRI score, BTM, TDF exposure, IGF-1, and sex steroids. RESULTS: HIV and HCV
status independently predicted lower BMD, controlling for age, race, BMI, and
smoking (P = 0.017 and P = 0.010, respectively), whereas APRI did not (P = 0.84).
HIV was associated with increased bone resorption (CTX: P < 0.001) and formation
(OC: P = 0.014), whereas HCV infection was not associated with CTX (P = 0.30) or
OC (P = 0.36). TDF exposure was associated with lower BMD (P < 0.01). IGF-1 was
significantly decreased in HCV and increased in HIV. Tumor necrosis factor-alpha
(P = 0.98), IGF-1 (P = 0.80), bioavailable T (P = 0.45) and E2 (P = 0.27) were
not associated with BMD and did not attenuate the impact of HIV or HCV on BMD.
CONCLUSION: HIV and TDF exposure decrease BMD through increased bone turnover,
although the lower BMD in HCV is not explained by a high turnover state. Neither
virus' effect on BMD is likely mediated through increased inflammation, liver
fibrosis, IGF-1, or sex steroids.
PMID- 26558727
TI - High rate of lymphoma among a UK cohort of adolescents with vertically acquired
HIV-1 infection transitioning to adult care in the era of antiretroviral therapy.
AB - Among an inner London UK cohort of 147 adolescents transitioning from paediatric
into adult care between 2007 and 2015, a new diagnosis of lymphoma was made in
five patients; incidence rate = 0.425/100 person-years (95% confidence interval =
0.424-0.426). Previously described risk factors, including low nadir CD4 cell
count and ongoing HIV-1 viraemia, appeared to be important. These data suggest
that careful surveillance and a low threshold for investigating relevant symptoms
continue to be essential for such patients.
PMID- 26558728
TI - HIV mono-infection is associated with an impaired anti-hepatitis C virus activity
of natural killer cells.
AB - OBJECTIVE: Hepatitis C virus (HCV) infection in HIV(+) patients is associated
with faster liver disease progression compared with HCV mono-infection. HIV
associated immune defects are considered to play an important role in this
context. Here, we analyzed the effects of HIV infection on natural killer (NK)
cell-mediated anti-HCV activity. DESIGN: NK cell phenotype and interferon gamma
(IFN-gamma) production, NK cell-mediated inhibition of HCV replication and CD4 T
cell/NK cell interactions were studied in treatment naive HIV (n = 22), and HIV
patients under combined antiretroviral therapy (n = 29), compared with healthy
controls (n = 20). METHODS: NK cell-mediated inhibition of HCV replication was
analyzed using the HuH7A2HCVreplicon model. IFN-gamma production of NK cells as
well as interleukin-2 secretion of CD4 T lymphocytes were studied by flow
cytometry. RESULTS: Peripheral blood mononuclear cells from HIV(+) patients
displayed a significantly impaired anti-HCV activity, irrespective of combined
antiretroviral therapy. This could in part be explained by HIV-associated decline
in NK cell numbers. In addition, NK cell IFN-gamma production was significantly
impaired in HIV infection. Accordingly, we found low frequency of IFN-gamma(+) NK
cells in HIV(+) patients to be associated with ineffective inhibition of HCV
replication. Finally, we show that CD4 T-cell-mediated stimulation of NK cell IFN
gamma production was dysregulated in HIV infection with an impaired interleukin-2
response of NK cells. CONCLUSION: HIV infection has a strong suppressive effect
on anti-HCV activity of NK cells. This may contribute to low spontaneous
clearance rate and accelerated progression of HCV-associated liver disease
observed in HIV(+) patients.
PMID- 26558729
TI - Incidence of malaria by cotrimoxazole use in HIV-infected Ugandan adults on
antiretroviral therapy: a randomised, placebo-controlled study.
AB - INTRODUCTION: Previous unblinded trials have shown increased malaria among HIV
infected adults on antiretroviral therapy (ART) who stop cotrimoxazole (CTX)
prophylaxis. We investigated the effect of stopping CTX on malaria in HIV
infected adults on ART in a double-blind, placebo-controlled trial. METHODS: HIV
infected Ugandan adults stable on ART and CTX with CD4 cell count at least 250
cells/MUl were randomized (1 : 1) to continue CTX or stop CTX and receive
matching placebo (COSTOP trial; ISRCTN44723643). Clinical malaria was defined as
fever and a positive blood slide, and considered severe if a participant had at
least one clinical or laboratory feature of severity or was admitted to hospital.
Malaria incidence and rate ratios were estimated using random effects Poisson
regression, accounting for multiple episodes. RESULTS: A total of 2180
participants were enrolled and followed for a median of 2.5 years; 453 malaria
episodes were recorded. Malaria incidence was 9.1/100 person-years (pyrs) [95%
confidence interval (CI) = 8.2-10.1] and was higher on placebo (rate ratio 3.47;
CI = 2.74-4.39). Malaria in the placebo arm decreased over time; although
incidence remained higher than in the CTX arm, the difference between arms
reduced slightly (interaction P value = 0.10). Fifteen participants experienced
severe malaria (<1%); overall incidence was 0.30/100 pyrs (CI = 0.18-0.49). There
was one malaria-related death (CTX arm). CONCLUSION: HIV-infected adults - who
are stable on ART and stop prophylactic CTX - experience more malaria than those
that continue, but this difference is less than has been reported in previous
trials. Few participants had severe malaria. Further research might be useful in
identifying groups that can safely stop CTX prophylaxis.
PMID- 26558722
TI - Is hardship during migration a determinant of HIV infection? Results from the
ANRS PARCOURS study of sub-Saharan African migrants in France.
AB - OBJECTIVES: In Europe, sub-Saharan African migrants are a key population for HIV
infection. We analyse how social hardships during settlement in France shape
sexual partnerships and HIV risk. DESIGN: PARCOURS is a life-event survey
conducted in 2012-2013 in 74 health-care facilities in the Paris region, among
three groups of sub-Saharan migrants: 926 receiving HIV care (296 acquired HIV in
France), 779 with chronic hepatitis B, and 763 with neither HIV nor hepatitis B
(reference group). METHODS: Hardships (lack of residence permit, economic
resources and housing) and sexual partnerships were documented for each year
since arrival in France. For each sex, reported sexual partnerships were compared
by group and their associations with hardships each year analysed with mixed
effects logistic regression models. RESULTS: Hardships were frequent: more than
40% had lived a year or longer without a residence permit, and more than 20%
without stable housing. Most of the migrants had nonstable and concurrent
partnerships, more frequent among those who acquired HIV in France compared with
reference group, as were casual partnerships among men (76.7 vs. 54.2%; P =
0.004) and women (52.4 vs. 30.5%; P = 0.02), concurrent partnerships among men
(69.9 vs. 45.8%; P = 0.02), and transactional partnerships among women (8.6 vs.
2.3%; P = 0.006). Hardship increased risky behaviours: in women, lacking a
residence permit increased casual and transactional partnerships [resp. odds
ratio (OR) = 2.01(1.48-2.72) and OR = 6.27(2.25-17.44)]. Same trends were
observed for lacking stable housing [OR = 3.71(2.75-5.00) and OR = 10.58 (4.68
23.93)]. CONCLUSION: Hardships faced by migrants increase HIV risks. Women,
especially during the period without stable housing, appear especially
vulnerable.
PMID- 26558730
TI - Distinct cytokine/chemokine network in semen and blood characterize different
stages of HIV infection.
AB - OBJECTIVE: The cytokine/chemokine network is used by the innate and adaptive
immune system to orchestrate effective immune responses. Here, we describe the
cross-sectional association between cytokine levels and stage of HIV infection to
gain novel insights into HIV-1 immunopathogenesis and identify novel therapeutic
targets. DESIGN: Concentrations of 31 cytokine/chemokines were retrospectively
measured in blood and seminal plasma collected from 252 individuals enrolled in
four well characterized cohorts: HIV-uninfected, untreated HIV-infected in early
phase of infection, untreated HIV-infected in late phase of infection, and HIV
infected on antiretroviral therapy with undetectable HIV RNA levels in blood (<50
copies/ml). METHODS: Cytokine/chemokine levels were measured by multiplex-bead
array. Comparisons between groups were performed by Mann-Whitney U-test and P
values were adjusted for multiple comparisons using the Benjamini-Hochberg
method. RESULTS: Presence of HIV-infection skewed the cytokine/chemokine network
towards a pro-inflammatory response in both blood and semen compared to HIV
uninfected controls. Such changes emerged within the first weeks of infection and
were maintained thereafter: Among untreated HIV-infected individuals, none of the
31 measured cytokines were significantly different between early and later stages
of infection. Suppression of plasma HIV RNA with ART did not result in
normalization of the levels of pro-inflammatory cytokines in blood. In semen,
several pro-inflammatory cytokines were even further upregulated in ART-treated
compared with HIV-uninfected and HIV-untreated individuals. CONCLUSION: A
profound disruption in the cytokine/chemokine network is evident in blood and
semen from the earliest stage of HIV infection shortly after the first detection
of systemic viremia. These changes are maintained throughout the chronic phase of
the infection and do not normalize despite ART and suppression of plasma HIV RNA.
PMID- 26558731
TI - Serum oxidized low-density lipoprotein decreases in response to statin therapy
and relates independently to reductions in coronary plaque in patients with HIV.
AB - OBJECTIVE: Circulating oxidized low-density lipoprotein (oxLDL) levels are
elevated in HIV-infected patients and have been associated with atherosclerosis.
Statins have been shown to reduce plaque on coronary computed tomography
angiography (cCTA) in HIV-infected individuals. Thus, we investigated the effect
of statins on serum oxLDL levels and the relationship between changes in oxLDL
and coronary atherosclerosis on cCTA in patients with HIV. DESIGN: We previously
conducted a 12-month randomized, placebo-controlled trial with atorvastatin in 40
HIV-infected patients on stable antiretroviral therapy with subclinical coronary
atherosclerosis and low-density lipoprotein (LDL)-cholesterol less than 130
mg/dl. METHODS: In the current analysis, patients underwent cCTA and measurements
of serum oxLDL, sCD14, sCD163, lipoprotein phospholipase-A2, and fasting lipids
at baseline and end of the study. RESULTS: Nineteen patients were randomized to
atorvastatin and 21 patients to placebo. Serum oxLDL decreased -22.7% (95% CI
28.7 to -16.7) in the atorvastatin group and increased 7.5% (95% CI -3.3 to 18.4)
in the placebo group (P < 0.0001). Change in oxLDL significantly correlated with
changes in noncalcified plaque volume, total plaque volume, positively remodeled
plaque, and low attenuation plaque. The association between changes in oxLDL and
noncalcified plaque volume was independent of the baseline 10-year Framingham
risk, LDL, CD4 cell count, and viral load. CONCLUSION: Statins lower oxLDL levels
in HIV-infected patients, and reductions in oxLDL are related to improvements in
coronary atherosclerosis, independent of traditional cardiovascular risk factors.
Reductions in oxLDL may be one mechanism through which statins exert beneficial
effects on reducing atherosclerosis in HIV-infected individuals.
PMID- 26558732
TI - Factors associated with iohexol-based glomerular filtration rate slope over 36
months in HIV-negative and HIV-positive individuals.
AB - BACKGROUND: Monitoring kidney function is important in HIV-positive persons, but
creatinine-based estimates of glomerular filtration rate (GFR) have limitations.
There are little to no data available assessing GFR trends in HIV-positive
persons using a gold-standard measure of GFR. METHODS: We measured GFR based on
iohexol plasma disappearance (iGFR) annually for 3 years in nondiabetic, HIV
negative and HIV-positive volunteers with normal estimated kidney function. We
used mixed linear models to evaluate factors associated with baseline iGFR and
iGFR slope. RESULTS: One hundred HIV-negative and 191 HIV-positive, predominantly
black individuals (median age 49 years) participated in the study and completed a
total of 960 iGFR assessments over a median of 36 months. Despite similar
estimated GFR at baseline, average iGFR values were lower in HIV-positive
compared with HIV-negative participants (103.2 vs. 110.8, ml/min/1.73 m, P =
0.004). However, subsequent iGFR slope was not significantly different in HIV
positive and HIV-negative participants. In the HIV-positive group, the presence
of carotid plaque and hepatitis C virus coinfection were associated with
significantly lower iGFR values at baseline. A nonsuppressed HIV RNA level at
baseline was associated with a significantly more rapid iGFR decline compared
with individuals with HIV RNA less than 400 copies/ml (-4.69 vs. -1.31 ml/min per
1.73 m per year, P = 0.005). Other factors significantly associated with iGFR
slope included albuminuria and glycosylated hemoglobin. CONCLUSION: Compared with
HIV-negative persons, HIV-positive participants had significantly lower baseline
iGFR, despite similar estimated GFR in the two groups. Nonsuppressed HIV RNA at
baseline was associated with a more rapid iGFR decline over 3 years.
PMID- 26558733
TI - Cryptococcal antigen screening in HIV-infected adults: let's get straight to the
point.
PMID- 26558734
TI - Safety of rilpivirine and boceprevir coadministration in HIV-infected patients
treated for acute hepatitis C virus infection.
PMID- 26558736
TI - Medicare claims data reliably identify treatments for basal cell carcinoma and
squamous cell carcinoma: a prospective cohort study.
AB - OBJECTIVE: To investigate the accuracy of Medical Benefit Schedule (MBS) item
numbers to identify treatments for basal cell carcinomas (BCC) and squamous cell
carcinomas (SCC). METHODS: We linked records from QSkin Study participants
(n=37,103) to Medicare. We measured the proportion of Medicare claims for primary
excision of BCC/SCC that had corresponding claims for histopathology services. In
subsets of participants, we estimated the sensitivity and external concordance of
MBS item numbers for identifying BCC/SCC diagnoses by comparing against 'gold
standard' histopathology reports. RESULTS: A total of 2,821 (7.6%) participants
had 4,830 separate Medicare claims for BCC/SCC excision; almost all (97%) had
contemporaneous Medicare claims for histopathology services. Among participants
with BCC/SCC confirmed by histology reports, 76% had a corresponding Medicare
claim for primary surgical excision of BCC/SCC. External concordance for Medicare
claims for primary BCC/SCC excision was 68%, increasing to 97% when diagnoses for
intra-epidermal carcinomas and keratoacanthomas were included. CONCLUSIONS: MBS
item numbers for primary excision of BCC/SCC are reasonably reliable for
determining incident cases of keratinocyte skin cancers, but may underestimate
incidence by up to 24%. IMPLICATIONS: Medicare claims data may have utility in
monitoring trends in conditions for which there is no mandatory reporting.
PMID- 26558737
TI - Cyano-Schmittel Cyclization through Base-Induced Propargyl-Allenyl Isomerization:
Highly Modular Synthesis of Pyridine-Fused Aromatic Derivatives.
AB - The cyano-Schmittel cyclization of in situ-generated cyano-allenes has been
carried out. The DFT calculation results suggest that the diradical pathway plays
a major role in this cyclization. The reactions can be conveniently performed in
a one-pot manner through cascade Sonogashira coupling of terminal cyano-ynes with
organic halides, followed by base-promoted propargyl-allenyl
isomerization/cyclization, leading to an efficient access to pyridine-fused
polycyclic architectures. In particular, a large variety of aryl or heteroaryl
rings such as furans, thiophenes and pyridines can be incorporated into the
follow-up cyano-Diels-Alder reactions, highlighting the great synthetic utility
of this chemistry.
PMID- 26558738
TI - Keto-benzo[h]-Coumarin-Based Near-Infrared Dyes with Large Stokes Shifts for
Bioimaging Applications.
AB - Fluorescence imaging is a promising tool for the visualization of biomolecules in
living systems and there is great demand for new fluorescent dyes that absorb and
emit in the near-infrared (NIR) region. Herein, we constructed three new
fluorescent dyes (NBC dyes) based on keto-benzo[h]coumarin (k-BC) and
benzopyrilium salts. These dyes showed large Stokes shifts (>100 nm) and NIR
emission (>800 nm). The relationship between the structures and optical
properties of these dyes was further investigated by using density functional
theory calculations at the B3LYP/6-3G level of theory. Fluorescence images
indicated that the fabricated dyes exhibited good photostability and low
cytotoxicity and, thus, have potential applications as imaging agents in living
cells and animals.
PMID- 26558739
TI - Combined Global Longitudinal Strain and Intraventricular Mechanical Dyssynchrony
Predicts Long-Term Outcome in Patients With Systolic Heart Failure.
AB - BACKGROUND: Left ventricular (LV) ejection fraction (EF) and QRS duration enable
prediction of outcome in patients with systolic heart failure (SHF). We assessed
the predictive value of global longitudinal strain (GLS) and mechanical
dyssynchrony for prognosis in SHF patients. METHODS AND RESULTS: Two-hundred and
forty SHF patients with LVEF <=40% were studied. Global LV function and
intraventricular mechanical dyssynchrony were calculated as GLS and SD of the
time to peak longitudinal strain (SDepsilon) over 18 LV segments. The added value
of GLS and SDepsilon for outcome prediction was assessed using nested Cox models.
Sixty-six patients (28%) reached the study endpoint of all-cause mortality/heart
transplantation over a median follow-up period of 45 months. Baseline variables
associated with adverse outcome were age, glomerular filtration rate, pulmonary
artery systolic pressure, diabetes and LV end-systolic volume (model
chi(2)=69.8). The predictive power of the clinical variables was greater with
addition of GLS (chi(2)=81.1) or SDepsilon (chi(2)=102.3) than with LVEF
(chi(2)=73.9) or QRS duration (chi(2)=75.5; both P<0.005). GLS (HR, 1.88; P=0.03)
and SDepsilon (HR, 1.48; P=0.04) were independent predictors after adjustment for
the baseline variables. Patients with impaired GLS (>=-7.8%) and mechanical
dyssynchrony (SDepsilon >=72 ms) had poor outcome. CONCLUSIONS: Combined
assessment of global LV function and mechanical dyssynchrony using speckle
tracking strain enabled the prediction of long-term outcome in SHF patients.
PMID- 26558740
TI - Reliability of the Abbreviated Westmead Post-traumatic Amnesia Scale in children:
Impact of age on test results.
AB - OBJECTIVE: This study aimed to determine the reliability of the Abbreviated
Westmead Post-traumatic Amnesia Scale (A-WPTAS) in children by examining the
impact of age on A-WPTAS performance. METHODS: Participants were typically
developing patients with minor illnesses or injuries and/or accompanying siblings
aged 5-10 years, attending a children's hospital ED. Exclusion criteria included:
(i) a recent traumatic brain injury; (ii) developmental disability; (iii) recent
drug administration judged to impact cognition; and/or (iv) non-English speaking
background. The A-WPTAS was administered on two occasions separated by
approximately 60 min. Logistic regression was used to determine the odds of
passing based on age. RESULTS: A total of 125 children completed the A-WPTAS
assessments. A-WPTAS pass rates were 36% for 5 year olds, 68% for 6 year olds,
and exceeded 90% for 7-10 year olds. Compared with 9 year olds, 5 year olds had
significantly lower odds of passing (P = 0.003), a trend that persisted for 6
year olds (P = 0.052). Among 5 and 6 year olds, failure was predominantly due to
difficulty with temporo-spatial orientation items. CONCLUSIONS: The A-WPTAS is
reliable for use in children aged 7 years and older, while its use in children
aged 6 years and under results in an unsatisfactory high false positive rate,
limiting its clinical utility. The adult-level performance of children aged 7
years onwards provides strong support for using the tool in the early management
of these children with mild traumatic brain injury in Australian EDs.
PMID- 26558742
TI - Editor's note.
PMID- 26558741
TI - Anastomotic leakage after colon cancer resection: does the individual surgeon
matter?
AB - AIM: Anastomotic leakage is one of the most feared complications after colonic
resection. Many risk factors for anastomotic leakage have been reported, but the
impact of an individual surgeon as a risk factor has scarcely been reported. The
aim of this study was to assess if the individual surgeon is an independent risk
factor for anastomotic leakage in colonic cancer surgery. METHOD: This was a
retrospective analysis of prospectively collected data from patients who
underwent elective resection for colon cancer with anastomosis at a specialized
colorectal unit from January 1993 to December 2010. Anastomotic leaks were
diagnosed according to standardized criteria. Patient and tumour characteristics,
surgical procedure and operating surgeons were analysed. A logistic regression
model was used to discriminate statistical variation and identify risk factors
for anastomotic leakage. RESULTS: A total of 1045 patients underwent elective
colon cancer resection with primary anastomosis. Anastomotic leakage occurred in
6.4% of patients. Ileocolic anastomosis had an anastomotic leakage rate of 7.2%,
colo-colonic/colorectal anastomosis 5.2% and ileorectal anastomosis 12.7%, with
intersurgeon variability. The independent risk factors associated with
anastomotic leakage were the use of perioperative blood transfusion (OR 2.83, CI
1.59-5.06, P < 0.0001) and the individual surgeon performing the procedure (OR up
to 8.44, P < 0.0001). CONCLUSION: In addition to perioperative blood transfusion,
the individual surgeon was identified as an important risk factor for anastomotic
leakage. Efforts should be made to reduce performance variability amongst
surgeons.
PMID- 26558743
TI - Studying an unreal world: incentives on Internet-based interventions for alcohol
use.
PMID- 26558744
TI - Diastereoselective Synthesis of Protected 1,3-Diols by Catalytic Diol Relocation.
AB - A complementary diastereoselective gold(I) or bismuth(III) catalyzed tandem
hemiacetalization/dehydrative cyclization of 1,5-monoallylic diols was developed
to access 1,3-dioxolanes and dioxanes. This methodology provides rapid access to
protected 1,3-diols under mild conditions with high levels of
diastereoselectivity.
PMID- 26558745
TI - Taxanes-induced cutaneous eruption: another histopathologic mimicker of
malignancy.
AB - BACKGROUND: Paclitaxel and docetaxel are antineoplastic drugs that bind the
microtubules, producing the arrest of mitoses, which may be seen
histopathologically. These histopathologic changes may simulate an intraepidermal
keratinocytic malignant neoplasm, and an accurate diagnosis may be only
established by clinicopathological correlation. OBJECTIVES: We report six cases
of cutaneous eruptions by taxanes in which a striking cytotoxic effect was
evident histopathologically. METHODS: Cutaneous biopsies were obtained in each
patient. RESULTS: Atypical starburst-like or ring-like mitoses and dyskeratosis
on basal and suprabasal layers of the epidermis. Areas of squamous
syringometaplasia were also seen in one case. DISCUSSION: These findings were
interpreted as expression of mitotic arrest due to taxanes. Similar changes have
been described in association with other chemotherapeutic drugs such as
vincristine, podophyllin and its derivative etoposide; colchicine, busulfan and
maytansine, but cases like ours due to taxanes are exceptional or under-reported.
CONCLUSION: Dermatopathologists should be aware of these effects in order to
interpret carefully cutaneous biopsy specimens of patients receiving taxanes.
PMID- 26558746
TI - F1 -ATP synthase alpha-subunit: a potential target for RNAi-mediated pest
management of Locusta migratoria manilensis.
AB - BACKGROUND: The migratory locust is one of the most destructive agricultural
pests worldwide. ATP synthase (F0 F1 -ATPase) uses proton or sodium motive force
to produce 90% of the cellular ATP, and the alpha-subunit of F1 -ATP synthase
(ATP5A) is vital for F1 -ATP synthase. Here, we tested whether ATP5A could be a
potential target for RNAi-mediated pest management of L. migratoria. RESULTS: Lm
ATP5A was cloned and characterised. Lm-ATP5A is expressed in all tissues.
Injection of 100 ng of the double-stranded RNA of ATP5A (dsATP5A) knocked down
the transcription of the target gene and caused mortality in 1.5-5 days. The Lm
ATP5A protein level, the oligomycin-sensitive ATP synthetic and hydrolytic
activities and the ATP content were correspondingly reduced following dsATP5A
injection. CONCLUSION: These findings demonstrated the essential roles of Lm
ATP5A in L. migratoria and identified it as a potential target for insect pest
control. (c) 2015 Society of Chemical Industry.
PMID- 26558747
TI - Risk factors for severity and manifestations in systemic sclerosis and prediction
of disease course.
AB - Systemic sclerosis (SSc, or scleroderma) is a rheumatic disease with distinct
features that encompass autoimmunity, vascular lesions (vasculopathy) and tissue
fibrosis. The disease has a high morbidity and mortality compared with other
rheumatic diseases. This review discusses risk factors and markers that predict
the disease course and the occurrence of disease manifestations, with an emphasis
on major organ involvement. In addition, risk factors will be described that are
associated with mortality in SSc patients. The review addresses the impact of
recent developments on screening, diagnosis and risk stratification as well as
the need for further research where data are lacking.
PMID- 26558748
TI - Bottom-Up Proteomics (2013-2015): Keeping up in the Era of Systems Biology.
PMID- 26558749
TI - A comparison between porcine, ovine, and bovine intervertebral disc anatomy and
single lamella annulus fibrosus tensile properties.
AB - This project aimed to compare gross anatomical measures and biomechanical
properties of single lamellae from the annulus fibrosus of ovine and porcine
lumbar vertebrae, and bovine tail vertebrae. The morphology of the vertebrae of
these species differ significantly both from each other and from human, yet how
these differences alter biomechanical properties is unknown. Geometric parameters
measured in this study included: 1) absolute and relative intervertebral (IVD)
and vertebral body height and 2) absolute and relative intervertebral disc (IVD)
anterior-posterior (AP) and medial-lateral (ML) widths. Single lamella tensile
properties included toe-region stress and stretch ratio, stiffness, and tensile
strength. As expected, the bovine tail IVD revealed a more circular shape
compared with both the ovine and porcine lumbar IVD. The bovine tail also had the
largest IVD to vertebral body height ratio (due to having the highest absolute
IVD height). Bovine tail lamellae were also found to be strongest and stiffest
(in tension) while ovine lumbar lamellae were weakest and most compliant.
Histological analysis revealed the greatest proportion of collagen in the bovine
corroborating findings of increased strength and stiffness. The observed
differences in anatomical shape, connective tissue composition, and tensile
properties need to be considered when choosing an appropriate model for IVD
research.
PMID- 26558750
TI - AC Electrothermal Circulatory Pumping Chip for Cell Culture.
AB - Herein we describe a novel AC electrothermal (ACET) fluidic circulatory pumping
chip to overcome the challenge of fluid-to-tissue ratio for "human-on-a-chip"
cell culture systems. To avoid the deleterious effects of Joule heating and
electric current on sample cells, a rectangular microchannel was designed with
distantly separated regions for pumping and cell culture. Temperature variations
were examined using a commercial thermocouple sensor to detect temperature values
in both pumping and culture regions. To generate a sufficient ACET circulatory
pumping rate, 30 pairs of asymmetrical electrodes were employed in the pumping
region; generated ACET velocity was measured by fluorescent microparticle image
velocimetry. The benefits of our pumping chip were demonstrated by culturing
human embryonic kidney cells (HEK293T) and human colon carcinoma cells (SW620)
for 72 h with an energized voltage of 3 V and 10 MHz. Cells grew and proliferated
well, implying our ACET circulatory pumping chip has great potential for cell
culture and tissue engineering applications.
PMID- 26558751
TI - Oxidative Coupling between Two Hydrocarbons: An Update of Recent C-H
Functionalizations.
PMID- 26558752
TI - Improving treatment of neurodevelopmental disorders: recommendations based on
preclinical studies.
AB - INTRODUCTION: Neurodevelopmental disorders (NDDs) are common and severely
debilitating. Their chronic nature and reliance on both genetic and environmental
factors makes studying NDDs and their treatment a challenging task. AREAS
COVERED: Herein, the authors discuss the neurobiological mechanisms of NDDs, and
present recommendations on their translational research and therapy, outlined by
the International Stress and Behavior Society. Various drugs currently prescribed
to treat NDDs also represent a highly diverse group. Acting on various
neurotransmitter and physiological systems, these drugs often lack specificity of
action, and are commonly used to treat multiple other psychiatric conditions.
There has also been relatively little progress in the development of novel
medications to treat NDDs. Based on clinical, preclinical and translational
models of NDDs, our recommendations cover a wide range of methodological
approaches and conceptual strategies. EXPERT OPINION: To improve pharmacotherapy
and drug discovery for NDDs, we need a stronger emphasis on targeting multiple
endophenotypes, a better dissection of genetic/epigenetic factors or "hidden
heritability," and a careful consideration of potential developmental/trophic
roles of brain neurotransmitters. The validity of animal NDD models can be
improved through discovery of novel (behavioral, physiological and neuroimaging)
biomarkers, applying proper environmental enrichment, widening the spectrum of
model organisms, targeting developmental trajectories of NDD-related behaviors
and comorbid conditions beyond traditional NDDs. While these recommendations
cannot be addressed all in once, our increased understanding of NDD pathobiology
may trigger innovative cross-disciplinary research expanding beyond traditional
methods and concepts.
PMID- 26558753
TI - Clinically Relevant Growth Conditions Alter Acinetobacter baumannii Antibiotic
Susceptibility and Promote Identification of Novel Antibacterial Agents.
AB - Biological processes that govern bacterial proliferation and survival in the host
environment(s) are likely to be vastly different from those that are required for
viability in nutrient-rich laboratory media. Consequently, growth-based
antimicrobial screens performed in conditions modeling aspects of bacterial
disease states have the potential to identify new classes of antimicrobials that
would be missed by screens performed in conventional laboratory media.
Accordingly, we performed screens of the Selleck library of 853 FDA approved
drugs for agents that exhibit antimicrobial activity toward the Gram-negative
bacterial pathogen Acinetobacter baumannii during growth in human serum, lung
surfactant, and/or the organism in the biofilm state and compared those results
to that of conventional laboratory medium. Results revealed that a total of 90
compounds representing 73 antibiotics and 17 agents that were developed for
alternative therapeutic indications displayed antimicrobial properties toward the
test strain in at least one screening condition. Of the active library
antibiotics only four agents, rifampin, rifaximin, ciprofloxacin and
tetracycline, exhibited antimicrobial activity toward the organism during all
screening conditions, whereas the remainder were inactive in >= 1 condition; 56
antibiotics were inactive during serum growth, 25 and 38 were inactive toward
lung surfactant grown and biofilm-associated cells, respectively, suggesting that
subsets of antibiotics may outperform others in differing infection settings.
Moreover, 9 antibiotics that are predominantly used for the treatment Gram
positive pathogens and 10 non-antibiotics lacked detectable antimicrobial
activity toward A. baumannii grown in conventional medium but were active during
>= 1 alternative growth condition(s). Such agents may represent promising anti
Acinetobacter agents that would have likely been overlooked by antimicrobial
whole cell screening assays performed in traditional laboratory screening media.
PMID- 26558754
TI - Common Visual Preference for Curved Contours in Humans and Great Apes.
AB - Among the visual preferences that guide many everyday activities and decisions,
from consumer choices to social judgment, preference for curved over sharp-angled
contours is commonly thought to have played an adaptive role throughout human
evolution, favoring the avoidance of potentially harmful objects. However,
because nonhuman primates also exhibit preferences for certain visual qualities,
it is conceivable that humans' preference for curved contours is grounded on
perceptual and cognitive mechanisms shared with extant nonhuman primate species.
Here we aimed to determine whether nonhuman great apes and humans share a visual
preference for curved over sharp-angled contours using a 2-alternative forced
choice experimental paradigm under comparable conditions. Our results revealed
that the human group and the great ape group indeed share a common preference for
curved over sharp-angled contours, but that they differ in the manner and
magnitude with which this preference is expressed behaviorally. These results
suggest that humans' visual preference for curved objects evolved from earlier
primate species' visual preferences, and that during this process it became
stronger, but also more susceptible to the influence of higher cognitive
processes and preference for other visual features.
PMID- 26558755
TI - Gene Network Rewiring to Study Melanoma Stage Progression and Elements Essential
for Driving Melanoma.
AB - Metastatic melanoma patients have a poor prognosis, mainly attributable to the
underlying heterogeneity in melanoma driver genes and altered gene expression
profiles. These characteristics of melanoma also make the development of drugs
and identification of novel drug targets for metastatic melanoma a daunting task.
Systems biology offers an alternative approach to re-explore the genes or gene
sets that display dysregulated behaviour without being differentially expressed.
In this study, we have performed systems biology studies to enhance our knowledge
about the conserved property of disease genes or gene sets among mutually
exclusive datasets representing melanoma progression. We meta-analysed 642
microarray samples to generate melanoma reconstructed networks representing four
different stages of melanoma progression to extract genes with altered molecular
circuitry wiring as compared to a normal cellular state. Intriguingly, a majority
of the melanoma network-rewired genes are not differentially expressed and the
disease genes involved in melanoma progression consistently modulate its activity
by rewiring network connections. We found that the shortlisted disease genes in
the study show strong and abnormal network connectivity, which enhances with the
disease progression. Moreover, the deviated network properties of the disease
gene sets allow ranking/prioritization of different enriched, dysregulated and
conserved pathway terms in metastatic melanoma, in agreement with previous
findings. Our analysis also reveals presence of distinct network hubs in
different stages of metastasizing tumor for the same set of pathways in the
statistically conserved gene sets. The study results are also presented as a
freely available database at http://bioinfo.icgeb.res.in/m3db/. The web-based
database resource consists of results from the analysis presented here,
integrated with cytoscape web and user-friendly tools for visualization,
retrieval and further analysis.
PMID- 26558756
TI - A Retrospective Longitudinal Within-Subject Risk Interval Analysis of
Immunoglobulin Treatment for Recurrent Acute Exacerbation of Chronic Obstructive
Pulmonary Disease.
AB - BACKGROUND: Recurrent acute exacerbations of chronic obstructive pulmonary
disease (AECOPD) are common, debilitating, costly and often difficult to prevent.
METHODS: We reviewed records of patients who had COPD and immunoglobulin (Ig)
treatment as adjunctive preventative treatment for AECOPD, and documented all
AECOPD episodes for one year before and after initiation of Ig treatment. We
graded AECOPD episodes as moderate for prescription of antibiotics and/or
corticosteroids or for visit to the Emergency Department, and as severe for
hospital admission. We conducted a retrospective within-subject self-controlled
risk interval analysis to compare the outcome of annual AECOPD rate before and
after treatment. RESULTS: We identified 22 cases of certain COPD, of which three
had early discontinuation of Ig treatment due to rash and local swelling to
subcutaneous Ig, and five had incomplete records leaving 14 cases for analyses.
The median baseline IgG level was 5.9 g/L (interquartile range 4.1-7.4). Eight
had CT radiographic bronchiectasis. Overall, the incidence of AECOPD was
consistently and significantly reduced in frequency from mean 4.7 (+/- 3.1) per
patient-year before, to 0.6 (+/- 1.0) after the Ig treatment (p = 0.0001). There
were twelve episodes of severe AECOPD (in seven cases) in the year prior, and one
in the year after Ig treatment initiation (p = 0.016). CONCLUSIONS: Ig treatment
appears to decrease the frequency of moderate and severe recurrent AECOPD. A
prospective, controlled evaluation of adjunctive Ig treatment to standard therapy
of recurrent AECOPD is warranted.
PMID- 26558757
TI - The Distribution of Coumarins and Furanocoumarins in Citrus Species Closely
Matches Citrus Phylogeny and Reflects the Organization of Biosynthetic Pathways.
AB - Citrus plants are able to produce defense compounds such as coumarins and
furanocoumarins to cope with herbivorous insects and pathogens. In humans, these
chemical compounds are strong photosensitizers and can interact with medications,
leading to the "grapefruit juice effect". Removing coumarins and furanocoumarins
from food and cosmetics imply additional costs and might alter product quality.
Thus, the selection of Citrus cultivars displaying low coumarin and
furanocoumarin contents constitutes a valuable alternative. In this study, we
performed ultra-performance liquid chromatography coupled with mass spectrometry
analyses to determine the contents of these compounds within the peel and the
pulp of 61 Citrus species representative of the genetic diversity all Citrus.
Generally, Citrus peel contains larger diversity and higher concentrations of
coumarin/furanocoumarin than the pulp of the same fruits. According to the
chemotypes found in the peel, Citrus species can be separated into 4 groups that
correspond to the 4 ancestral taxa (pummelos, mandarins, citrons and papedas) and
extended with their respective secondary species descendants. Three of the 4
ancestral taxa (pummelos, citrons and papedas) synthesize high amounts of these
compounds, whereas mandarins appear practically devoid of them. Additionally, all
ancestral taxa and their hybrids are logically organized according to the
coumarin and furanocoumarin pathways described in the literature. This
organization allows hypotheses to be drawn regarding the biosynthetic origin of
compounds for which the biogenesis remains unresolved. Determining coumarin and
furanocoumarin contents is also helpful for hypothesizing the origin of Citrus
species for which the phylogeny is presently not firmly established. Finally,
this work also notes favorable hybridization schemes that will lead to low
coumarin and furanocoumarin contents, and we propose to select mandarins and
Ichang papeda as Citrus varieties for use in creating species devoid of these
toxic compounds in future breeding programs.
PMID- 26558758
TI - Interpreting confidence intervals: A comment on Hoekstra, Morey, Rouder, and
Wagenmakers (2014).
AB - Hoekstra, Morey, Rouder, and Wagenmakers (Psychonomic Bulletin & Review 21(5),
1157-1164 2014) reported the results of a questionnaire designed to assess
students' and researchers' understanding of confidence intervals (CIs). They
interpreted their results as evidence that these groups "have no reliable
knowledge about the correct interpretation of CIs" (Hoekstra et al. Psychonomic
Bulletin & Review 21(5), 1157-1164 2014, p. 1161). We argue that their data do
not substantiate this conclusion and that their report includes misleading
suggestions about the correct interpretations of confidence intervals.
PMID- 26558760
TI - Forensic examination of electrical tapes using high resolution magic angle
spinning 1H NMR spectroscopy.
AB - The application of high resolution magic angle spinning (HR-MAS) (1)H NMR
spectroscopy is ideally suited for the differentiation of plastics. In addition
to the actual material composition, the different types of polymer architectures
and tacticity provide characteristic signals in the fingerprint of the (1)H NMR
spectra. The method facilitates forensic comparison, as even small amounts of
insoluble but swellable plastic particles are utilized. The performance of HR-MAS
NMR can be verified against other methods that were recently addressed in various
articles about forensic tape comparison. In this study samples of the 90
electrical tapes already referenced by the FBI laboratory were used. The
discrimination power of HR-MAS is demonstrated by the fact that more tape groups
can be distinguished by NMR spectroscopy than by using the combined evaluation of
several commonly used analytical techniques. An additional advantage of this
robust and quick method is the very simple sample preparation.
PMID- 26558759
TI - 1H-NMR-Based Metabolomic Study for Identifying Serum Profiles Associated with the
Response to Etanercept in Patients with Rheumatoid Arthritis.
AB - OBJECTIVE: A considerable proportion of patients with rheumatoid arthritis (RA)
do not have a satisfactory response to biological therapies. We investigated the
use of metabolomics approach to identify biomarkers able to anticipate the
response to biologics in RA patients. METHODS: Due to gender differences in
metabolomic profiling, the analysis was restricted to female patients starting
etanercept as the first biological treatment and having a minimum of six months'
follow-up. Each patient was evaluated by the same rheumatologist before and after
six months of treatment. At this time, the clinical response (good, moderate,
none) was determined according to the EUropean League Against Rheumatism (EULAR)
criteria, based on both erythrocyte sedimentation rate (EULAR-ESR) and C-reactive
protein (EULAR-CRP). Sera collected prior and after six months of etanercept were
analyzed by 1H-nuclear magnetic resonance (NMR) spectroscopy in combination with
multivariate data analysis. RESULTS: Twenty-seven patients were enrolled: 18 had
a good/moderate response and 9 were non responders according to both EULAR-ESR
and EULAR-CRP after six months of etanercept. Metabolomic analysis at baseline
was able to discriminate good, moderate, and non-responders with a very good
predictivity (Q2 = 0.68) and an excellent sensitivity, specificity, and accuracy
(100%). In good responders, we found an increase in isoleucine, leucine, valine,
alanine, glutamine, tyrosine, and glucose levels and a decrease in 3
hydroxybutyrate levels after six months of treatment with etanercept with respect
to baseline. CONCLUSION: Our study confirms the potential of metabolomic analysis
to predict the response to biological agents. Changes in metabolic profiles
during treatment may help elucidate their mechanism of action.
PMID- 26558761
TI - A novel method for the determination of three volatile organic compounds in
exhaled breath by solid-phase microextraction-ion mobility spectrometry.
AB - A method was carried out for the quantitative determination of the concentrations
of volatile organic compounds (VOCs) using solid-phase microextraction and ion
mobility spectrometry (SPME-IMS). This method was optimized and evaluated. The
best results were obtained at sorption temperature 70 degrees C, desorption
temperature 200 degrees C, and extraction time 15 min. Under the optimized
conditions, the linear dynamic range was found to be 0.01-4.0 ppb (R(2) > 0.995),
2.3-400 ppm (R(2) > 0.994), and 2.5-76 ppb (R(2) > 0.998) for acetone,
acetaldehyde, and acetonitrile, respectively. The detection limits for acetone,
acetaldehyde, and acetonitrile were 0.001 ppb, 0.18 ppm, and 0.22 ppb,
respectively. As a practical application, the method was applied for the
determination of acetone, acetaldehyde, and acetonitrile in human breath matrix.
Therefore, the proposed method was found to be effective and simple enough to be
strongly recommended for real sample analysis.
PMID- 26558762
TI - Surface plasmon resonance for the label-free detection of Alzheimer's beta
amyloid peptide aggregation.
AB - Amyloid peptide oligomers and fibrils are studied as targets for therapy and
diagnosis of Alzheimer's disease. They are usually detected by amyloid
incubation, but such method is necessarily associated with Abeta1-42 depletion
and dye binding or conjugation, which have a complex influence on fibril growth,
provide information about fibril elongation over long time periods only, and
might lead to false-positive results in amyloid inhibition assay. Surface plasmon
resonance (SPR) is used to study with no labelling and in real time the
aggregation of Abeta1-42 amyloid on specific antibodies. SPR data show, for the
first time by using SPR, a multi-phase association behavior for Abeta1-42
oligomers accounting for a sigmoidal growth of amyloid as a function of time,
with two antibody-dependent aggregation patterns. The new method represents an
advantageous alternative to traditional procedures for investigating amyloid self
assembly and inhibition from early-stage oligomer association, on the time scale
of seconds to minutes, to long-term polymerization, on the time scale of hours to
days.
PMID- 26558763
TI - Metabolic profile of salidroside in rats using high-performance liquid
chromatography combined with Fourier transform ion cyclotron resonance mass
spectrometry.
AB - A high-performance liquid chromatography coupled to Fourier transform ion
cyclotron resonance mass spectrometry (HPLC-FT-ICR MS) method was developed to
study the in vivo metabolism of salidroside for the first time. Plasma, urine,
bile, and feces samples were collected from male rats after a single intragastric
gavage of salidroside at a dose of 50 mg/kg. Besides the parent drug, a total of
seven metabolites (three phase I and four phase II metabolites) were detected and
tentatively identified by comparing their mass spectrometry profiles with those
of salidroside. Results indicated that metabolic pathways of salidroside in male
rats included hydroxylation, dehydrogenation, glucuronidation, and sulfate
conjugation. Among them, glucuronidation and sulfate conjugation were the major
metabolic reactions. And most important, the detection of the sulfation
metabolite of p-tyrosol provides a clue for whether the deglycosylation of
salidroside occurs in vivo after intragastric gavage. In summary, results
obtained in this study may contribute to the better understanding of the safety
and mechanism of action of salidroside.
PMID- 26558764
TI - Factors Affecting Time to Sputum Culture Conversion in Adults with Pulmonary
Tuberculosis: A Historical Cohort Study without Censored Cases.
AB - BACKGROUND: In patients with pulmonary tuberculosis (TB), shortening the time to
sputum culture conversion is desirable to reduce the likelihood of mycobacterial
transmission. A persistent positive sputum culture after 2 months of treatment is
reported to be associated with the presence of cavitation and the extent of
disease on chest X-ray, high colony count, diabetes mellitus, and smoking.
However, little is known about factors affecting the time to sputum culture
conversion. This study was conducted to evaluate factors affecting the time to
sputum culture conversion throughout the course of treatment in adults with
pulmonary TB. METHODS: This study was performed using a database of the medical
records of patients with active pulmonary TB who were treated at Hirakata Kohsai
Hospital in Hirakata City, Osaka, Japan, from October 2000 to October 2002. Cox
proportional-hazards analysis was used to evaluate factors affecting the time to
sputum culture conversion after adjusting for potential confounders. RESULTS: The
data of 86 patients with pulmonary TB were analyzed. The median time to sputum
culture conversion was 39 days, and the maximum time was 116 days. The Cox
proportional-hazards analysis showed that a higher smear grading (HR, 0.40;
95%CI, 0.23-0.71) and a history of ever smoking (HR, 0.48; 95%CI, 0.25-0.94) were
associated with delayed sputum culture conversion. CONCLUSION: High smear grading
and smoking prolonged the time to sputum culture conversion in adults with
pulmonary TB. To effectively control TB, measures to decrease the cigarette
smoking rate should be implemented, in addition to early detection and timely
anti-TB treatment.
PMID- 26558765
TI - Obsessive-Compulsive Disorder and Autism Spectrum Disorders: Longitudinal and
Offspring Risk.
AB - BACKGROUND: Despite substantial similarities and overlaps in the pathophysiology
of obsessive-compulsive disorders (OCD) and autism spectrum disorders, little is
known about the clinical and etiologic cohesion of these two disorders. We
therefore aimed to determine the patterns of comorbidity, longitudinal risks, and
shared familial risks between these disorders. METHODS: In a prospective study
design we explored the effect of a prior diagnosis of OCD in patients and parents
on the susceptibility to autism spectrum disorders and vice versa. Analyses were
adjusted for sex, age, calendar year, parental age and place at residence at time
of birth. As measures of relative risk incidence rate ratios (IRR) and
accompanying 95% confidence intervals (CIs) were employed. RESULTS: The risk of a
comorbid diagnosis of OCD in individuals with autism spectrum disorder and
aggregation of autism spectrum disorders in offspring of parents with OCD were
increased. Individuals first diagnosed with autism spectrum disorders had a 2
fold higher risk of a later diagnosis of OCD (IRR = 2.18, 95% CI = 1.91-2.48),
whereas individuals diagnosed with OCD displayed a nearly 4-fold higher risk to
be diagnosed with autism spectrum disorders (IRR = 3.91, 95% CI = 3.46-4.40)
later in life. The observed associations were somewhat stronger for less severe
types of autism spectrum disorders without a comorbid diagnosis of mental
disabilities. CONCLUSIONS: The high comorbidity, sequential risk, and shared
familial risks between OCD and autism spectrum disorders are suggestive of
partially shared etiological mechanisms. The results have implications for
current gene-searching efforts and for clinical practice.
PMID- 26558767
TI - Synthesis of vanillic acid using whole cell nitrilase of wild and mutant Gordonia
terrae.
AB - The resting cells of Gordonia terrae mutant E9 having enhanced nitrilase activity
were used for biotransformation of 4-hydroxy-3-methoxybenzonitrile into vanillic
acid. The maximum conversion was observed in 0.1 M phosphate buffer (pH 8.0),
using 60 mM substrate and 0.75 mgDCW resting cells in 1 mL reaction at 40
degrees C. Km of the whole cell nitrilase of wild and mutant strains of G. terrae
for this substrate were 20 and 16.6 mM, and Vmax were 0.19 and 0.95 Umg(-1)(DCW),
respectively. Fed batch reaction for transformation of 4-hydroxy-3
methoxybenzonitrile using whole cell nitrilase of wild G. terrae resulted in 2.36
g of vanillic acid in 5 h with a catalytic and volumetric productivity of 0.78
gg(-1)(DCW) h(-1) and 4.72 gL(-1)h(-1), respectively. The whole cell nitrilase of
G. terrae mutant E9 resulted in higher catalytic and volumetric productivity,
i.e., 1.68 gg(-1)DCW h(-1) and 10 gL(-1)h(-1). A total 5.04 g of vanillic acid
with 99% purity were accumulated in 100 mL of reaction after 5 h.
PMID- 26558766
TI - Plasma vitamin D biomarkers and leukocyte telomere length in men.
AB - PURPOSE: Vitamin D may reduce telomere shortening through anti-inflammatory and
anti-cell proliferation mechanisms. In women, higher plasma 25-hydroxyvitamin D
(25(OH)D) has been shown to be associated with longer telomere length, but the
relationship has not been assessed in men. METHODS: We conducted a cross
sectional analysis of 25(OH)D, 1,25-dihydroxyvitamin D (1,25(OH)2D) and relative
leukocyte telomere length (LTL) among 2483 men [1832 men for 1,25(OH)2D] who were
selected as cases and controls in three studies of telomeres and cancer nested
within the Health Professionals Follow-up Study. We also genotyped 95 SNPs
representing common genetic variation in vitamin D pathway genes. LTL was
measured by quantitative PCR, and z-scores within each study were calculated.
Associations were assessed by linear as well as logistic regression adjusting for
age and other potential confounders. RESULTS: Age (P-trend < 0.0001), pack-years
of smoking (P-trend = 0.04) and body mass index (P-trend = 0.05) were inversely
associated with LTL. Neither 25(OH)D nor 1,25(OH)2D was associated with LTL
(multivariable-adjusted P-trend 0.69 and 0.41, respectively, for the linear
regression model). One SNP in the retinoid X receptor alpha gene was associated
with long LTL (P = 0.0003). CONCLUSIONS: In this cross-sectional study of men,
25(OH)D and 1,25(OH)2D were not associated with relative LTL.
PMID- 26558768
TI - Stimulation with bone morphogenetic protein-2 (BMP-2) enhances bone-tendon
integration in vitro.
AB - PURPOSE: Preclinical studies have reported that bone morphogenetic protein (BMP)
2 promotes bone-tendon healing following anterior cruciate ligament
reconstruction. We examined the region-specific effects of BMP-2 on osteoblast
and fibroblast differentiation in a highly standardized murine in vitro co
culture model of bone-tendon integration. MATERIALS AND METHODS: We used
quantitative PCR to measure the dose- and time-dependent influence of BMP-2 on
the expression of alkaline phosphatase, osteocalcin, collagen type 1 (alpha 1
chain), runt-related transcription factor 2, osteopontin, collagen type 1 (alpha
2 chain), collagen type 5 (alpha 1 chain), decorin, fibromodulin, mohawk
homeobox, bone morphogenetic protein receptor, type 1A, bone morphogenetic
protein receptor, type 2, and Noggin in the osteoblast, interface, and fibroblast
regions of a co-culture model of the murine preosteoblast cell line MC3T3-E1 and
the fibroblast cell line 3T6. RESULTS: Stimulation with BMP-2 resulted in a
significant upregulation of alkaline phosphatase (p < 0.001), osteocalcin (p <
0.001), collagens (p < 0.001), runt-related transcription factor 2 (p < 0.05),
and osteopontin (p < 0.001) expression in the osteoblast region. In the interface
region, BMP-2 exposure led to dose- and time-dependent upregulation of alkaline
phosphatase (p < 0.001), osteocalcin (p < 0.001), osteopontin (p < 0.001), runt
related transcription factor 2 (p < 0.001), and markers of extracellular matrix
production (p < 0.001). Both BMP receptors showed a significant BMP-2-dependent
upregulation at the interface region, and Noggin was downregulated at the
osteoblast and interface region following BMP-2 exposure. CONCLUSIONS: Exposure
to BMP-2 upregulated the expression of genes associated with bone-tendon
integration in vitro, suggesting the stimulation of transdifferentiation
processes at the interface and fibroblast regions as well as the induction of
positive feedback mechanisms. Further studies will be needed to establish BMP-2
dose and treatment algorithms following tendon reinsertion and reconstruction.
PMID- 26558769
TI - GIRK Channels Mediate the Nonphotic Effects of Exogenous Melatonin.
AB - Melatonin supplementation has been used as a therapeutic agent for several
diseases, yet little is known about the underlying mechanisms by which melatonin
synchronizes circadian rhythms. G-protein signaling plays a large role in
melatonin-induced phase shifts of locomotor behavior and melatonin receptors
activate G-protein-coupled inwardly rectifying potassium (GIRK) channels in
Xenopus oocytes. The present study tested the hypothesis that melatonin
influences circadian phase and electrical activity within the central clock in
the suprachiasmatic nucleus (SCN) through GIRK channel activation. Unlike wild
type littermates, GIRK2 knock-out (KO) mice failed to phase advance wheel-running
behavior in response to 3 d subcutaneous injections of melatonin in the late day.
Moreover, in vitro phase resetting of the SCN circadian clock by melatonin was
blocked by coadministration of a GIRK channel antagonist tertiapin-q (TPQ). Loose
patch electrophysiological recordings of SCN neurons revealed a significant
reduction in the average action potential rate in response to melatonin. This
effect was lost in SCN slices treated with TPQ and SCN slices from GIRK2 KO mice.
The melatonin-induced suppression of firing rate corresponded with an increased
inward current that was blocked by TPQ. Finally, application of ramelteon, a
potent melatonin receptor agonist, significantly decreased firing rate and
increased inward current within SCN neurons in a GIRK-dependent manner. These
results are the first to show that GIRK channels are necessary for the effects of
melatonin and ramelteon within the SCN. This study suggests that GIRK channels
may be an alternative therapeutic target for diseases with evidence of circadian
disruption, including aberrant melatonin signaling. SIGNIFICANCE STATEMENT:
Despite the widespread use of melatonin supplementation for the treatment of
sleep disruption and other neurological diseases such as epilepsy and depression,
no studies have elucidated the molecular mechanisms linking melatonin-induced
changes in neuronal activity to its therapeutic effects. Here, we used behavioral
and electrophysiological techniques to address this scientific gap. Our results
show that melatonin and ramelteon, a potent and clinically relevant melatonin
receptor agonist, significantly affect the neurophysiological function of
suprachiasmatic nucleus neurons through activation of G-protein-coupled inwardly
rectifying potassium (GIRK) channels. Given the importance of GIRK channels for
neuronal excitability (with >600 publications on these channels to date), our
study should generate broad interest from neuroscientists in fields such as
epilepsy, addiction, and cognition.
PMID- 26558770
TI - Dopamine/Tyrosine Hydroxylase Neurons of the Hypothalamic Arcuate Nucleus Release
GABA, Communicate with Dopaminergic and Other Arcuate Neurons, and Respond to
Dynorphin, Met-Enkephalin, and Oxytocin.
AB - We employ transgenic mice with selective expression of tdTomato or cre
recombinase together with optogenetics to investigate whether hypothalamic
arcuate (ARC) dopamine/tyrosine hydroxylase (TH) neurons interact with other ARC
neurons, how they respond to hypothalamic neuropeptides, and to test whether
these cells constitute a single homogeneous population. Immunostaining with
dopamine and TH antisera was used to corroborate targeted transgene expression.
Using whole-cell recording on a large number of neurons (n = 483), two types of
neurons with different electrophysiological properties were identified in the
dorsomedial ARC where 94% of TH neurons contained immunoreactive dopamine:
bursting and nonbursting neurons. In contrast to rat, the regular oscillations of
mouse bursting neurons depend on a mechanism involving both T-type calcium and A
type potassium channel activation, but are independent of gap junction coupling.
Optogenetic stimulation using cre recombinase-dependent ChIEF-AAV-DJ expressed in
ARC TH neurons evoked postsynaptic GABA currents in the majority of neighboring
dopamine and nondopamine neurons, suggesting for the first time substantial
synaptic projections from ARC TH cells to other ARC neurons. Numerous met
enkephalin (mENK) and dynorphin-immunoreactive boutons appeared to contact ARC TH
neurons. mENK inhibited both types of TH neuron through G-protein coupled
inwardly rectifying potassium currents mediated by delta and MU opioid receptors.
Dynorphin-A inhibited both bursting and nonbursting TH neurons by activating
kappa receptors. Oxytocin excited both bursting and nonbursting neurons. These
results reveal a complexity of TH neurons that communicate extensively with
neurons within the ARC. SIGNIFICANCE STATEMENT: Here, we show that the great
majority of mouse hypothalamic arcuate nucleus (ARC) neurons that synthesize TH
in the dorsomedial ARC also contain immunoreactive dopamine, and show either
bursting or nonbursting electrical activity. Unlike rats, the mechanism
underlying bursting was not dependent on gap junctions but required T-type
calcium and A-type potassium channel activation. Neuropeptides dynorphin and met
enkephalin inhibited dopamine neurons, whereas oxytocin excited them. Most
ventrolateral ARC TH cells did not contain dopamine and did not show bursting
electrical activity. TH-containing neurons appeared to release synaptic GABA
within the ARC onto dopamine neurons and unidentified neurons, suggesting that
the cells not only control pituitary hormones but also may modulate nearby
neurons.
PMID- 26558771
TI - Loss of VGLUT3 Produces Circadian-Dependent Hyperdopaminergia and Ameliorates
Motor Dysfunction and l-Dopa-Mediated Dyskinesias in a Model of Parkinson's
Disease.
AB - The striatum is essential for many aspects of mammalian behavior, including
motivation and movement, and is dysfunctional in motor disorders such as
Parkinson's disease. The vesicular glutamate transporter 3 (VGLUT3) is expressed
by striatal cholinergic interneurons (CINs) and is thus well positioned to
regulate dopamine (DA) signaling and locomotor activity, a canonical measure of
basal ganglia output. We now report that VGLUT3 knock-out (KO) mice show
circadian-dependent hyperlocomotor activity that is restricted to the waking
cycle and is due to an increase in striatal DA synthesis, packaging, and release.
Using a conditional VGLUT3 KO mouse, we show that deletion of the transporter
from CINs, surprisingly, does not alter evoked DA release in the dorsal striatum
or baseline locomotor activity. The mice do, however, display changes in rearing
behavior and sensorimotor gating. Elevation of DA release in the global KO raised
the possibility that motor deficits in a Parkinson's disease model would be
reduced. Remarkably, after a partial 6-hydroxydopamine (6-OHDA)-mediated DA
depletion (~70% in dorsal striatum), KO mice, in contrast to WT mice, showed
normal motor behavior across the entire circadian cycle. l-3,4
dihydroxyphenylalanine-mediated dyskinesias were also significantly attenuated.
These findings thus point to new mechanisms to regulate basal ganglia function
and potentially treat Parkinson's disease and related disorders. SIGNIFICANCE
STATEMENT: Dopaminergic signaling is critical for both motor and cognitive
functions in the mammalian nervous system. Impairments, such as those found in
Parkinson's disease patients, can lead to severe motor deficits. Vesicular
glutamate transporter 3 (VGLUT3) loads glutamate into secretory vesicles for
neurotransmission and is expressed by discrete neuron populations throughout the
nervous system. Here, we report that the absence of VGLUT3 in mice leads to an
upregulation of the midbrain dopamine system. Remarkably, in a Parkinson's
disease model, the mice show normal motor behavior. They also show fewer abnormal
motor behaviors (dyskinesias) in response to l-3,4-dihydroxyphenylalanine, the
principal treatment for Parkinson's disease. The work thus suggests new avenues
for the development of novel treatment strategies for Parkinson's disease and
potentially other basal-ganglia-related disorders.
PMID- 26558772
TI - Potential Mechanisms Underlying Intercortical Signal Regulation via Cholinergic
Neuromodulators.
AB - The dynamical behavior of the cortex is extremely complex, with different areas
and even different layers of a cortical column displaying different temporal
patterns. A major open question is how the signals from different layers and
different brain regions are coordinated in a flexible manner to support function.
Here, we considered interactions between primary auditory cortex and adjacent
association cortex. Using a biophysically based model, we show how top-down
signals in the beta and gamma regimes can interact with a bottom-up gamma rhythm
to provide regulation of signals between the cortical areas and among layers. The
flow of signals depends on cholinergic modulation: with only glutamatergic drive,
we show that top-down gamma rhythms may block sensory signals. In the presence of
cholinergic drive, top-down beta rhythms can lift this blockade and allow signals
to flow reciprocally between primary sensory and parietal cortex. SIGNIFICANCE
STATEMENT: Flexible coordination of multiple cortical areas is critical for
complex cognitive functions, but how this is accomplished is not understood.
Using computational models, we studied the interactions between primary auditory
cortex (A1) and association cortex (Par2). Our model is capable of replicating
interaction patterns observed in vitro and the simulations predict that the
coordination between top-down gamma and beta rhythms is central to the gating
process regulating bottom-up sensory signaling projected from A1 to Par2 and that
cholinergic modulation allows this coordination to occur.
PMID- 26558773
TI - Decoding Articulatory Features from fMRI Responses in Dorsal Speech Regions.
AB - The brain's circuitry for perceiving and producing speech may show a notable
level of overlap that is crucial for normal development and behavior. The extent
to which sensorimotor integration plays a role in speech perception remains
highly controversial, however. Methodological constraints related to experimental
designs and analysis methods have so far prevented the disentanglement of neural
responses to acoustic versus articulatory speech features. Using a passive
listening paradigm and multivariate decoding of single-trial fMRI responses to
spoken syllables, we investigated brain-based generalization of articulatory
features (place and manner of articulation, and voicing) beyond their acoustic
(surface) form in adult human listeners. For example, we trained a classifier to
discriminate place of articulation within stop syllables (e.g., /pa/ vs /ta/) and
tested whether this training generalizes to fricatives (e.g., /fa/ vs /sa/). This
novel approach revealed generalization of place and manner of articulation at
multiple cortical levels within the dorsal auditory pathway, including auditory,
sensorimotor, motor, and somatosensory regions, suggesting the representation of
sensorimotor information. Additionally, generalization of voicing included the
right anterior superior temporal sulcus associated with the perception of human
voices as well as somatosensory regions bilaterally. Our findings highlight the
close connection between brain systems for speech perception and production, and
in particular, indicate the availability of articulatory codes during passive
speech perception. SIGNIFICANCE STATEMENT: Sensorimotor integration is central to
verbal communication and provides a link between auditory signals of speech
perception and motor programs of speech production. It remains highly
controversial, however, to what extent the brain's speech perception system
actively uses articulatory (motor), in addition to acoustic/phonetic,
representations. In this study, we examine the role of articulatory
representations during passive listening using carefully controlled stimuli
(spoken syllables) in combination with multivariate fMRI decoding. Our approach
enabled us to disentangle brain responses to acoustic and articulatory speech
properties. In particular, it revealed articulatory-specific brain responses of
speech at multiple cortical levels, including auditory, sensorimotor, and motor
regions, suggesting the representation of sensorimotor information during passive
speech perception.
PMID- 26558774
TI - Live Imaging of Calcium Dynamics during Axon Degeneration Reveals Two
Functionally Distinct Phases of Calcium Influx.
AB - Calcium is a key regulator of axon degeneration caused by trauma and disease, but
its specific spatial and temporal dynamics in injured axons remain unclear. To
clarify the function of calcium in axon degeneration, we observed calcium
dynamics in single injured neurons in live zebrafish larvae and tested the
temporal requirement for calcium in zebrafish neurons and cultured mouse DRG
neurons. Using laser axotomy to induce Wallerian degeneration (WD) in zebrafish
peripheral sensory axons, we monitored calcium dynamics from injury to
fragmentation, revealing two stereotyped phases of axonal calcium influx. First,
axotomy triggered a transient local calcium wave originating at the injury site.
This initial calcium wave only disrupted mitochondria near the injury site and
was not altered by expression of the protective WD slow (WldS) protein. Inducing
multiple waves with additional axotomies did not change the kinetics of
degeneration. In contrast, a second phase of calcium influx occurring minutes
before fragmentation spread as a wave throughout the axon, entered mitochondria,
and was abolished by WldS expression. In live zebrafish, chelating calcium after
the first wave, but before the second wave, delayed the progress of
fragmentation. In cultured DRG neurons, chelating calcium early in the process of
WD did not alter degeneration, but chelating calcium late in WD delayed
fragmentation. We propose that a terminal calcium wave is a key instructive
component of the axon degeneration program. SIGNIFICANCE STATEMENT: Axon
degeneration resulting from trauma or neurodegenerative disease can cause
devastating deficits in neural function. Understanding the molecular and cellular
events that execute axon degeneration is essential for developing treatments to
address these conditions. Calcium is known to contribute to axon degeneration,
but its temporal requirements in this process have been unclear. Live calcium
imaging in severed zebrafish neurons and temporally controlled pharmacological
treatments in both zebrafish and cultured mouse sensory neurons revealed that
axonal calcium influx late in the degeneration process regulates axon
fragmentation. These findings suggest that temporal considerations will be
crucial for developing treatments for diseases associated with axon degeneration.
PMID- 26558775
TI - Examining the Role of the Human Hippocampus in Approach-Avoidance Decision Making
Using a Novel Conflict Paradigm and Multivariate Functional Magnetic Resonance
Imaging.
AB - Rodent models of anxiety have implicated the ventral hippocampus in approach
avoidance conflict processing. Few studies have, however, examined whether the
human hippocampus plays a similar role. We developed a novel decision-making
paradigm to examine neural activity when participants made approach/avoidance
decisions under conditions of high or absent approach-avoidance conflict.
Critically, our task required participants to learn the associated
reward/punishment values of previously neutral stimuli and controlled for
mnemonic and spatial processing demands, both important issues given approach
avoidance behavior in humans is less tied to predation and foraging compared to
rodents. Participants played a points-based game where they first attempted to
maximize their score by determining which of a series of previously neutral image
pairs should be approached or avoided. During functional magnetic resonance
imaging, participants were then presented with novel pairings of these images.
These pairings consisted of images of congruent or opposing learned valences, the
latter creating conditions of high approach-avoidance conflict. A data-driven
partial least squares multivariate analysis revealed two reliable patterns of
activity, each revealing differential activity in the anterior hippocampus, the
homolog of the rodent ventral hippocampus. The first was associated with greater
hippocampal involvement during trials with high as opposed to no approach
avoidance conflict, regardless of approach or avoidance behavior. The second
pattern encompassed greater hippocampal activity in a more anterior aspect during
approach compared to avoid responses, for conflict and no-conflict conditions.
Multivoxel pattern classification analyses yielded converging findings,
underlining a role of the anterior hippocampus in approach-avoidance conflict
decision making. SIGNIFICANCE STATEMENT: Approach-avoidance conflict has been
linked to anxiety and occurs when a stimulus or situation is associated with
reward and punishment. Although rodent work has implicated the hippocampus in
approach-avoidance conflict processing, there is limited data on whether this
role applies to learned, as opposed to innate, incentive values, and whether the
human hippocampus plays a similar role. Using functional neuroimaging with a
novel decision-making task that controlled for perceptual and mnemonic
processing, we found that the human hippocampus was significantly active when
approach-avoidance conflict was present for stimuli with learned incentive
values. These findings demonstrate a role for the human hippocampus in approach
avoidance decision making that cannot be explained easily by hippocampal
dependent long-term memory or spatial cognition.
PMID- 26558776
TI - Fractalkine Signaling Regulates Macrophage Recruitment into the Cochlea and
Promotes the Survival of Spiral Ganglion Neurons after Selective Hair Cell
Lesion.
AB - Macrophages are recruited into the cochlea in response to injury caused by
acoustic trauma or ototoxicity, but the nature of the interaction between
macrophages and the sensory structures of the inner ear remains unclear. The
present study examined the role of fractalkine signaling in regulating the injury
evoked behavior of macrophages following the selective ablation of cochlear hair
cells. We used a novel transgenic mouse model in which the human diphtheria toxin
receptor (huDTR) is selectively expressed under the control of Pou4f3, a hair
cell-specific transcription factor. Administration of diphtheria toxin (DT) to
these mice resulted in nearly complete ablation of cochlear hair cells, with no
evident pathology among supporting cells, spiral ganglion neurons, or cells of
the cochlear lateral wall. Hair cell death led to an increase in macrophages
associated with the sensory epithelium of the cochlea. Their numbers peaked at 14
days after DT and then declined at later survival times. Increased macrophages
were also observed within the spiral ganglion, but their numbers remained
elevated for (at least) 56 d after DT. To investigate the role of fractalkine
signaling in macrophage recruitment, we crossed huDTR mice to a mouse line that
lacks expression of the fractalkine receptor (CX3CR1). Disruption of fractalkine
signaling reduced macrophage recruitment into both the sensory epithelium and
spiral ganglion and also resulted in diminished survival of spiral ganglion
neurons after hair cell death. Our results suggest a fractalkine-mediated
interaction between macrophages and the neurons of the cochlea. SIGNIFICANCE
STATEMENT: It is known that damage to the inner ear leads to recruitment of
inflammatory cells (macrophages), but the chemical signals that initiate this
recruitment and the functions of macrophages in the damaged ear are unclear. Here
we show that fractalkine signaling regulates macrophage recruitment into the
cochlea and also promotes the survival of cochlear afferents after selective hair
cell lesion. Because these afferent neurons carry sound information from the
cochlea to the auditory brainstem, their survival is a key determinant of the
success of cochlear prosthetics. Our data suggest that fractalkine signaling in
the cochlea is neuroprotective, and reveal a previously uncharacterized
interaction between cells of the cochlea and the innate immune system.
PMID- 26558777
TI - Epigenetic Readers of Lysine Acetylation Regulate Cocaine-Induced Plasticity.
AB - Epigenetic processes that regulate histone acetylation play an essential role in
behavioral and molecular responses to cocaine. To date, however, only a small
fraction of the mechanisms involved in the addiction-associated acetylome have
been investigated. Members of the bromodomain and extraterminal (BET) family of
epigenetic "reader" proteins (BRD2, BRD3, BRD4, and BRDT) bind acetylated
histones and serve as a scaffold for the recruitment of macromolecular complexes
to modify chromatin accessibility and transcriptional activity. The role of BET
proteins in cocaine-induced plasticity, however, remains elusive. Here, we used
behavioral, pharmacological, and molecular techniques to examine the involvement
of BET bromodomains in cocaine reward. Of the BET proteins, BRD4, but not BRD2 or
BRD3, was significantly elevated in the nucleus accumbens (NAc) of mice and rats
following repeated cocaine injections and self-administration. Systemic and intra
accumbal inhibition of BRD4 with the BET inhibitor, JQ1, attenuated the rewarding
effects of cocaine in a conditioned place preference procedure but did not affect
conditioned place aversion, nor did JQ1 alone induce conditioned aversion or
preference. Investigating the underlying mechanisms, we found that repeated
cocaine injections enhanced the binding of BRD4, but not BRD3, to the promoter
region of Bdnf in the NAc, whereas systemic injection of JQ1 attenuated cocaine
induced expression of Bdnf in the NAc. JQ1 and siRNA-mediated knockdown of BRD4
in vitro also reduced expression of Bdnf. These findings indicate that disrupting
the interaction between BET proteins and their acetylated lysine substrates may
provide a new therapeutic avenue for the treatment of drug addiction.
SIGNIFICANCE STATEMENT: Proteins involved in the "readout" of lysine acetylation
marks, referred to as BET bromodomain proteins (including BRD2, BRD3, BRD4, and
BRDT), have been shown to be key regulators of chromatin dynamics and disease,
and BET inhibitors are currently being studied in several clinical trials.
However, their role in addiction-related phenomena remains unknown. In the
current studies, we revealed that BRD4 is elevated in the nucleus accumbens and
recruited to promoter regions of addiction-related genes following repeated
cocaine administration, and that inhibition of BRD4 attenuates transcriptional
and behavioral responses to cocaine. Together, these studies reveal that BET
inhibitors may have therapeutic utility in the treatment of cocaine addiction.
PMID- 26558778
TI - Convergence of Hippocampal Pathophysiology in Syngap+/- and Fmr1-/y Mice.
AB - Previous studies have hypothesized that diverse genetic causes of intellectual
disability (ID) and autism spectrum disorders (ASDs) converge on common cellular
pathways. Testing this hypothesis requires detailed phenotypic analyses of animal
models with genetic mutations that accurately reflect those seen in the human
condition (i.e., have structural validity) and which produce phenotypes that
mirror ID/ASDs (i.e., have face validity). We show that SynGAP
haploinsufficiency, which causes ID with co-occurring ASD in humans, mimics and
occludes the synaptic pathophysiology associated with deletion of the Fmr1 gene.
Syngap(+/-) and Fmr1(-/y) mice show increases in basal protein synthesis and
metabotropic glutamate receptor (mGluR)-dependent long-term depression that,
unlike in their wild-type controls, is independent of new protein synthesis.
Basal levels of phosphorylated ERK1/2 are also elevated in Syngap(+/-)
hippocampal slices. Super-resolution microscopy reveals that Syngap(+/-) and
Fmr1(-/y) mice show nanoscale alterations in dendritic spine morphology that
predict an increase in biochemical compartmentalization. Finally, increased basal
protein synthesis is rescued by negative regulators of the mGlu subtype 5
receptor and the Ras-ERK1/2 pathway, indicating that therapeutic interventions
for fragile X syndrome may benefit patients with SYNGAP1 haploinsufficiency.
SIGNIFICANCE STATEMENT: As the genetics of intellectual disability (ID) and
autism spectrum disorders (ASDs) are unraveled, a key issue is whether
genetically divergent forms of these disorders converge on common
biochemical/cellular pathways and hence may be amenable to common therapeutic
interventions. This study compares the pathophysiology associated with the loss
of fragile X mental retardation protein (FMRP) and haploinsufficiency of synaptic
GTPase-activating protein (SynGAP), two prevalent monogenic forms of ID. We show
that Syngap(+/-) mice phenocopy Fmr1(-/y) mice in the alterations in mGluR
dependent long-term depression, basal protein synthesis, and dendritic spine
morphology. Deficits in basal protein synthesis can be rescued by pharmacological
interventions that reduce the mGlu5 receptor-ERK1/2 signaling pathway, which also
rescues the same deficit in Fmr1(-/y) mice. Our findings support the hypothesis
that phenotypes associated with genetically diverse forms of ID/ASDs result from
alterations in common cellular/biochemical pathways.
PMID- 26558779
TI - Protein Phosphatase-1 Inhibitor-2 Is a Novel Memory Suppressor.
AB - Reversible phosphorylation, a fundamental regulatory mechanism required for many
biological processes including memory formation, is coordinated by the opposing
actions of protein kinases and phosphatases. Type I protein phosphatase (PP1), in
particular, has been shown to constrain learning and memory formation. However,
how PP1 might be regulated in memory is still not clear. Our previous work has
elucidated that PP1 inhibitor-2 (I-2) is an endogenous regulator of PP1 in
hippocampal and cortical neurons (Hou et al., 2013). Contrary to expectation, our
studies of contextual fear conditioning and novel object recognition in I-2
heterozygous mice suggest that I-2 is a memory suppressor. In addition,
lentiviral knock-down of I-2 in the rat dorsal hippocampus facilitated memory for
tasks dependent on the hippocampus. Our data indicate that I-2 suppresses memory
formation, probably via negatively regulating the phosphorylation of cAMP/calcium
response element-binding protein (CREB) at serine 133 and CREB-mediated gene
expression in dorsal hippocampus. Surprisingly, the data from both biochemical
and behavioral studies suggest that I-2, despite its assumed action as a PP1
inhibitor, is a positive regulator of PP1 function in memory formation.
SIGNIFICANCE STATEMENT: We found that inhibitor-2 acts as a memory suppressor
through its positive functional influence on type I protein phosphatase (PP1),
likely resulting in negative regulation of cAMP/calcium response element-binding
protein (CREB) and CREB-activated gene expression. Our studies thus provide an
interesting example of a molecule with an in vivo function that is opposite to
its in vitro function. PP1 plays critical roles in many essential physiological
functions such as cell mitosis and glucose metabolism in addition to its known
role in memory formation. PP1 pharmacological inhibitors would thus not be able
to serve as good therapeutic reagents because of its many targets. However,
identification of PP1 inhibitor-2 as a critical contributor to suppression of
memory formation by PP1 may provide a novel therapeutic target for memory-related
diseases.
PMID- 26558780
TI - MEG Adaptation Resolves the Spatiotemporal Characteristics of Face-Sensitive
Brain Responses.
AB - An unresolved goal in face perception is to identify brain areas involved in face
processing and simultaneously understand the timing of their involvement.
Currently, high spatial resolution imaging techniques identify the fusiform gyrus
as subserving processing of invariant face features relating to identity. High
temporal resolution imaging techniques localize an early latency evoked component
the N/M170-as having a major generator in the fusiform region; however, this
evoked component is not believed to be associated with the processing of
identity. To resolve this, we used novel magnetoencephalographic beamformer
analyses to localize cortical regions in humans spatially with trial-by-trial
activity that differentiated faces and objects and to interrogate their
functional sensitivity by analyzing the effects of stimulus repetition. This
demonstrated a temporal sequence of processing that provides category-level and
then item-level invariance. The right fusiform gyrus showed adaptation to faces
(not objects) at ~150 ms after stimulus onset regardless of face identity;
however, at the later latency of ~200-300 ms, this area showed greater adaptation
to repeated identity faces than to novel identities. This is consistent with an
involvement of the fusiform region in both early and midlatency face-processing
operations, with only the latter showing sensitivity to invariant face features
relating to identity. SIGNIFICANCE STATEMENT: Neuroimaging techniques with high
spatial-resolution have identified brain structures that are reliably activated
when viewing faces and techniques with high temporal resolution have identified
the time-varying temporal signature of the brain's response to faces. However,
until now, colocalizing face-specific mechanisms in both time and space has
proven notoriously difficult. Here, we used novel magnetoencephalographic
analysis techniques to spatially localize cortical regions with trial-by-trial
temporal activity that differentiates between faces and objects and to
interrogate their functional sensitivity by analyzing effects of stimulus
repetition on the time-locked signal. These analyses confirm a role for the right
fusiform region in early to midlatency responses consistent with face identity
processing and convincingly deliver upon magnetoencephalography's promise to
resolve brain signals in time and space simultaneously.
PMID- 26558781
TI - Mir-21-Sox2 Axis Delineates Glioblastoma Subtypes with Prognostic Impact.
AB - Glioblastoma (GBM) is the most aggressive human brain tumor. Although several
molecular subtypes of GBM are recognized, a robust molecular prognostic marker
has yet to be identified. Here, we report that the stemness regulator Sox2 is a
new, clinically important target of microRNA-21 (miR-21) in GBM, with
implications for prognosis. Using the MiR-21-Sox2 regulatory axis, approximately
half of all GBM tumors present in the Cancer Genome Atlas (TCGA) and in-house
patient databases can be mathematically classified into high miR-21/low Sox2
(Class A) or low miR-21/high Sox2 (Class B) subtypes. This classification
reflects phenotypically and molecularly distinct characteristics and is not
captured by existing classifications. Supporting the distinct nature of the
subtypes, gene set enrichment analysis of the TCGA dataset predicted that Class A
and Class B tumors were significantly involved in immune/inflammatory response
and in chromosome organization and nervous system development, respectively.
Patients with Class B tumors had longer overall survival than those with Class A
tumors. Analysis of both databases indicated that the Class A/Class B
classification is a better predictor of patient survival than currently used
parameters. Further, manipulation of MiR-21-Sox2 levels in orthotopic mouse
models supported the longer survival of the Class B subtype. The MiR-21-Sox2
association was also found in mouse neural stem cells and in the mouse brain at
different developmental stages, suggesting a role in normal development.
Therefore, this mechanism-based classification suggests the presence of two
distinct populations of GBM patients with distinguishable phenotypic
characteristics and clinical outcomes. SIGNIFICANCE STATEMENT: Molecular
profiling-based classification of glioblastoma (GBM) into four subtypes has
substantially increased our understanding of the biology of the disease and has
pointed to the heterogeneous nature of GBM. However, this classification is not
mechanism based and its prognostic value is limited. Here, we identify a new
mechanism in GBM (the miR-21-Sox2 axis) that can classify ~50% of patients into
two subtypes with distinct molecular, radiological, and pathological
characteristics. Importantly, this classification can predict patient survival
better than the currently used parameters. Further, analysis of the miR-21-Sox2
relationship in mouse neural stem cells and in the mouse brain at different
developmental stages indicates that miR-21 and Sox2 are predominantly expressed
in mutually exclusive patterns, suggesting a role in normal neural development.
PMID- 26558783
TI - Cdk5 Regulates Activity-Dependent Gene Expression and Dendrite Development.
AB - The proper growth and arborization of dendrites in response to sensory experience
are essential for neural connectivity and information processing in the brain.
Although neuronal activity is important for sculpting dendrite morphology, the
underlying molecular mechanisms are not well understood. Here, we report that
cyclin-dependent kinase 5 (Cdk5)-mediated transcriptional regulation is a key
mechanism that controls activity-dependent dendrite development in cultured rat
neurons. During membrane depolarization, Cdk5 accumulates in the nucleus to
regulate the expression of a subset of genes, including that of the neurotrophin
brain-derived neurotrophic factor, for subsequent dendritic growth. Furthermore,
Cdk5 function is mediated through the phosphorylation of methyl-CpG-binding
protein 2, a key transcriptional repressor that is mutated in the mental disorder
Rett syndrome. These findings collectively suggest that the nuclear import of
Cdk5 is crucial for activity-dependent dendrite development by regulating
neuronal gene transcription during neural development. SIGNIFICANCE STATEMENT:
Neural activity directs dendrite development through the regulation of gene
transcription. However, how molecular signals link extracellular stimuli to the
transcriptional program in the nucleus remains unclear. Here, we demonstrate that
neuronal activity stimulates the translocation of the kinase Cdk5 from the
cytoplasmic compartment into the nucleus; furthermore, the nuclear localization
of Cdk5 is required for dendrite development in cultured neurons. Genome-wide
transcriptome analysis shows that Cdk5 deficiency specifically disrupts activity
dependent gene transcription of bdnf. The action of Cdk5 is mediated through the
modulation of the transcriptional repressor methyl-CpG-binding protein 2.
Therefore, this study elucidates the role of nuclear Cdk5 in the regulation of
activity-dependent gene transcription and dendritic growth.
PMID- 26558782
TI - Daidzein Augments Cholesterol Homeostasis via ApoE to Promote Functional Recovery
in Chronic Stroke.
AB - Stroke is the world's leading cause of physiological disability, but there are
currently no available agents that can be delivered early after stroke to enhance
recovery. Daidzein, a soy isoflavone, is a clinically approved agent that has a
neuroprotective effect in vitro, and it promotes axon growth in an animal model
of optic nerve crush. The current study investigates the efficacy of daidzein on
neuroprotection and functional recovery in a clinically relevant mouse model of
stroke recovery. In light of the fact that cholesterols are essential lipid
substrates in injury-induced synaptic remodeling, we found that daidzein enhanced
the cholesterol homeostasis genetic program, including Lxr and downstream
transporters, Apoe, Abca1, and Abcg1 genes in vitro. Daidzein also elevated the
cholesterol homeostasis genes in the poststroke brain with Apoe, the highest
expressing transporter, but did not affect infarct volume or hemispheric
swelling. Despite the absence of neuroprotection, daidzein improved motor/gait
function in chronic stroke and elevated synaptophysin expression. However, the
daidzein-enhanced functional benefits and synaptophysin expression were abolished
in Apoe-knock-out mice, suggesting the importance of daidzein-induced ApoE
upregulation in fostering stroke recovery. Dissociation between daidzein-induced
functional benefits and the absence of neuroprotection further suggest the
presence of nonoverlapping mechanisms underlying recovery processes versus acute
pathology. With its known safety in humans, early and chronic use of daidzein
aimed at augmenting ApoE may serve as a novel, translatable strategy to promote
functional recovery in stroke patients without adverse acute effect. SIGNIFICANCE
STATEMENT: There have been recurring translational failures in treatment
strategies for stroke. One underlying issue is the disparity in outcome analysis
between animal and clinical studies. The former mainly depends on acute infarct
size, whereas long-term functional recovery is an important outcome in patients.
In an attempt to identify agents that promote functional recovery, we discovered
that an FDA-approved soy isoflavone, daidzein, improved stroke-induced behavioral
deficits via enhancing cholesterol homeostasis in chronic stroke, and this occurs
without causing adverse effects in the acute phase. With its known safety in
humans, the study suggests that the early and chronic use of daidzein serves as a
potential strategy to promote functional recovery in stroke patients.
PMID- 26558784
TI - Separating Visual and Motor Components of Motor Cortex Activation for Multiple
Reach Targets: A Visuomotor Adaptation Study.
AB - Ethologically inspired models of movement preparation view the sensorimotor
system as sampling information from the environment in a parallel fashion in
preparation for multiple potential actions. In support, the configuration of the
physical workspace, manipulated by the number or spatial separation of potential
targets, has been shown to modulate sensorimotor neural activity. It is unclear,
however, whether this modulation is driven by the sensory layout of the workspace
or through the associated motor plans. Here, we combine a delayed-movement pre
cuing task with visuomotor adaptation to address this question in human subjects
while recording MEG. By dissociating visual and motor coordinates of two targets
using visuomotor adaptation, the task was designed to evaluate, in a selective
fashion, the effects of visual and movement target separation on movement
preparatory activity. The results did not allow the intended comparison due to an
unanticipated effect of the direction of visuomotor adaptation on baseline
oscillatory power in beta and low-gamma bands. Fortuitously, this effect was
dependent on whether the adaptation direction decreased or increased the angular
separation between alternative movements. That is, there was a sustained
reduction of oscillatory power, which was stronger at small compared with large
target separation. These results support a direct influence of movement target
separation on motor cortex neural activity, mediated by lateral interactions
between simultaneously active motor plans. The results further demonstrate a
novel effect of visuomotor adaptation on motor cortex oscillatory activity, with
properties that support the local nature of learned changes in visuomotor
mapping. SIGNIFICANCE STATEMENT: There is growing evidence that the motor cortex
routinely prepares for different movements simultaneously, each suited to a
possible course of events in the immediate environment. The preparatory motor
cortex activity for different movements can be seen as a competition between
groups of neurons. This competition is influenced by how similar the alternative
movements are; for example, in terms of direction, determined by the proximity of
alternative movement goals. This study investigates whether the proximity of
alternative reach goals has a direct influence on motor cortex activity (in the
form of brain oscillations) or if it has an effect only through conscious
evaluation of the separation between targets. We establish that there is a direct
effect, supporting the biased competition model of action selection.
PMID- 26558785
TI - Human Amygdala Represents the Complete Spectrum of Subjective Valence.
AB - Although the amygdala is a major locus for hedonic processing, how it encodes
valence information is poorly understood. Given the hedonic potency of odor
stimuli and the amygdala's anatomical proximity to the peripheral olfactory
system, we combined high-resolution fMRI with pattern-based multivariate
techniques to examine how valence information is encoded in the amygdala. Ten
human subjects underwent fMRI scanning while smelling 9 odorants that
systematically varied in perceived valence. Representational similarity analyses
showed that amygdala codes the entire dimension of valence, ranging from
pleasantness to unpleasantness. This unidimensional representation significantly
correlated with self-reported valence ratings but not with intensity ratings.
Furthermore, within-trial valence representations evolved over time, prioritizing
earlier differentiation of unpleasant stimuli. Together, these findings
underscore the idea that both spatial and temporal features uniquely encode
pleasant and unpleasant odor valence in the amygdala. The availability of a
unidimensional valence code in the amygdala, distributed in both space and time,
would create greater flexibility in determining the pleasantness or
unpleasantness of stimuli, providing a mechanism by which expectation, context,
attention, and learning could influence affective boundaries for guiding
behavior. SIGNIFICANCE STATEMENT: Our findings elucidate the mechanisms of
affective processing in the amygdala by demonstrating that this brain region
represents the entire valence dimension from pleasant to unpleasant. An important
implication of this unidimensional valence code is that pleasant and unpleasant
valence cannot coexist in the amygdale because overlap of fMRI ensemble patterns
for these two valence extremes obscures their unique content. This functional
architecture, whereby subjective valence maps onto a pattern continuum between
pleasant and unpleasant poles, offers a robust mechanism by which context,
expectation, and experience could alter the set-point for valence-based behavior.
Finally, identification of spatial and temporal differentiation of valence in
amygdala may shed new insights into individual differences in emotional
responding, with potential relevance for affective disorders.
PMID- 26558786
TI - The Transient Receptor Potential Melastatin 2 (TRPM2) Channel Contributes to beta
Amyloid Oligomer-Related Neurotoxicity and Memory Impairment.
AB - In Alzheimer's disease, accumulation of soluble oligomers of beta-amyloid peptide
is known to be highly toxic, causing disturbances in synaptic activity and
neuronal death. Multiple studies relate these effects to increased oxidative
stress and aberrant activity of calcium-permeable cation channels leading to
calcium imbalance. The transient receptor potential melastatin 2 (TRPM2) channel,
a Ca(2+)-permeable nonselective cation channel activated by oxidative stress, has
been implicated in neurodegenerative diseases, and more recently in amyloid
induced toxicity. Here we show that the function of TRPM2 is augmented by
treatment of cultured neurons with beta-amyloid oligomers. Aged APP/PS1
Alzheimer's mouse model showed increased levels of endoplasmic reticulum stress
markers, protein disulfide isomerase and phosphorylated eukaryotic initiation
factor 2alpha, as well as decreased levels of the presynaptic marker
synaptophysin. Elimination of TRPM2 in APP/PS1 mice corrected these abnormal
responses without affecting plaque burden. These effects of TRPM2 seem to be
selective for beta-amyloid toxicity, as ER stress responses to thapsigargin or
tunicamycin in TRPM2(-/-) neurons was identical to that of wild-type neurons.
Moreover, reduced microglial activation was observed in TRPM2(-/-)/APP/PS1
hippocampus compared with APP/PS1 mice. In addition, age-dependent spatial memory
deficits in APP/PS1 mice were reversed in TRPM2(-/-)/APP/PS1 mice. These results
reveal the importance of TRPM2 for beta-amyloid neuronal toxicity, suggesting
that TRPM2 activity could be potentially targeted to improve outcomes in
Alzheimer's disease. SIGNIFICANCE STATEMENT: Transient receptor potential
melastatin 2 (TRPM2) is an oxidative stress sensing calcium-permeable channel
that is thought to contribute to calcium dysregulation associated with
neurodegenerative diseases, including Alzheimer's disease. Here we show that
oligomeric beta-amyloid, the toxic peptide in Alzheimer's disease, facilitates
TRPM2 channel activation. In mice designed to model Alzheimer's disease, genetic
elimination of TRPM2 normalized deficits in synaptic markers in aged mice.
Moreover, the absence of TRPM2 improved age-dependent spatial memory deficits
observed in Alzheimer's mice. Our results reveal the importance of TRPM2 for
neuronal toxicity and memory impairments in an Alzheimer's mouse model and
suggest that TRPM2 could be targeted for the development of therapeutic agents
effective in the treatment of dementia.
PMID- 26558787
TI - Changes in Methionine Metabolism and Histone H3 Trimethylation Are Linked to
Mitochondrial Defects in Multiple Sclerosis.
AB - Mitochondrial changes, including decreased expression of electron transport chain
subunit genes and impaired energetic, have been reported in multiple sclerosis
(MS), but the mechanisms involved in these changes are not clear. To determine
whether epigenetic mechanisms are involved, we measured the concentrations of
methionine metabolites by liquid chromatography tandem mass spectrometry, histone
H3 methylation patterns, and markers of mitochondrial respiration in gray matter
from postmortem MS and control cortical samples. We found decreases in
respiratory markers as well as decreased concentrations of the methionine
metabolites S-adenosylmethionine, betaine, and cystathionine in MS gray matter.
We also found expression of the enzyme betaine homocysteine methyltransferase in
cortical neurons. This enzyme catalyzes the remethylation of homocysteine to
methionine, with betaine as the methyl donor, and has previously been thought to
be restricted to liver and kidney in the adult human. Decreases in the
concentration of the methyl donor betaine were correlated with decreases in
histone H3 trimethylation (H3K4me3) in NeuN+ neuronal nuclei in MS cortex
compared with controls. Mechanistic studies demonstrated that H3K4me3 levels and
mitochondrial respiration were reduced in SH-SY5Y cells after exposure to the
nitric oxide donor sodium nitroprusside, and betaine was able to rescue H3K4me3
levels and respiratory capacity in these cells. Chromatin immunoprecipitation
experiments showed that betaine regulates metabolic genes in human SH-SY5Y
neuroblastoma cells. These data suggest that changes to methionine metabolism may
be mechanistically linked to changes in neuronal energetics in MS cortex.
SIGNIFICANCE STATEMENT: For decades, it has been observed that vitamin B12
deficiency and multiple sclerosis (MS) share certain pathological changes,
including conduction disturbances. In the present study, we have found that
vitamin B12-dependent methionine metabolism is dysregulated in the MS brain. We
found that concentrations of the methyl donor betaine are decreased in MS cortex
and are correlated with reduced levels of the histone H3 methyl mark H3K4me3 in
neurons. Cell culture and chromatin immunoprecipitation-seq data suggest that
these changes may lead to defects in mitochondria and impact neuronal energetics.
These data have uncovered a novel pathway linking methionine metabolism with
mitochondrial respiration and have important implications for understanding
mechanisms involved in neurodegeneration in MS.
PMID- 26558788
TI - Beta-Band Oscillations Represent Auditory Beat and Its Metrical Hierarchy in
Perception and Imagery.
AB - Dancing to music involves synchronized movements, which can be at the basic beat
level or higher hierarchical metrical levels, as in a march (groups of two basic
beats, one-two-one-two ...) or waltz (groups of three basic beats, one-two-three
one-two-three ...). Our previous human magnetoencephalography studies revealed
that the subjective sense of meter influences auditory evoked responses phase
locked to the stimulus. Moreover, the timing of metronome clicks was represented
in periodic modulation of induced (non-phase locked) beta-band (13-30 Hz)
oscillation in bilateral auditory and sensorimotor cortices. Here, we further
examine whether acoustically accented and subjectively imagined metric processing
in march and waltz contexts during listening to isochronous beats were reflected
in neuromagnetic beta-band activity recorded from young adult musicians. First,
we replicated previous findings of beat-related beta-power decrease at 200 ms
after the beat followed by a predictive increase toward the onset of the next
beat. Second, we showed that the beta decrease was significantly influenced by
the metrical structure, as reflected by differences across beat type for both
perception and imagery conditions. Specifically, the beta-power decrease
associated with imagined downbeats (the count "one") was larger than that for
both the upbeat (preceding the count "one") in the march, and for the middle beat
in the waltz. Moreover, beamformer source analysis for the whole brain volume
revealed that the metric contrasts involved auditory and sensorimotor cortices;
frontal, parietal, and inferior temporal lobes; and cerebellum. We suggest that
the observed beta-band activities reflect a translation of timing information to
auditory-motor coordination. SIGNIFICANCE STATEMENT: With magnetoencephalography,
we examined beta-band oscillatory activities around 20 Hz while participants
listened to metronome beats and imagined musical meters such as a march and
waltz. We demonstrated that beta-band event-related desynchronization in the
auditory cortex differentiates between beat positions, specifically between
downbeats and the following beat. This is the first demonstration of beta-band
oscillations related to hierarchical and internalized timing information.
Moreover, the meter representation in the beta oscillations was widespread across
the brain, including sensorimotor and premotor cortices, parietal lobe, and
cerebellum. The results extend current understanding of the role of beta
oscillations in neural processing of predictive timing.
PMID- 26558790
TI - Modulation of Tonically Active Neurons of the Monkey Striatum by Events Carrying
Different Force and Reward Information.
AB - The role of basal ganglia in motivational processes has been under scrutiny in
recent decades, with increasing evidence from clinical studies of cognitive and
motivational deficits in patients with basal ganglia lesions. Tonically active
neurons (TANs), the presumed striatal cholinergic interneurons, could be
important actors in integrating and relaying motivational information arising
from various modalities. Their multiphasic responses to rewards and to
conditioned stimuli associated with reward conferred them a role in limbic
processes. They are also modulated by a task's motor aspect. Recent studies
suggest they are influenced by the context in which behavioral responses are
expressed. To investigate the role of TANs in motor-limbic interaction processes,
we recorded 169 TANs in the striatum of two monkeys performing a motivational
task, in which they had to develop a variable force to receive different amounts
of reward in response to visual stimuli. Our results reveal new features of TANs
response properties. First, TANs usually responded either by a pause or an
elevation of discharge rate to the visual cues and the reward, with few neurons
combining both pause and rebound. Second, the elevations of discharge rate after
the cues were most sensitive to the least valuable (high force or small reward)
task conditions. Finally, the responses of TANs to the visual cues were time
locked on the onset of the animal's movement. TANs' population and responses
could thus play a role in signaling less attractive situations, those with either
a high motor demand and/or small reward. SIGNIFICANCE STATEMENT: Tonically active
neurons (TANs) are known for their responses to unpredictable positive or
negative events. However, here we show that TANs respond by a pause or an
increase in their activity to all rewarding events in a task in which combined
visual cues indicate to the monkeys the levels of force to produce and the
upcoming reward. Unlike the pause, the increase in activity is modulated by task
parameters and is most sensitive to the least attractive task conditions (high
force and/or small reward). TANs' responses triggered by cue occurrence are also
modulated by movement-related information (movement onset). We therefore propose
here that TANs could play a role, via their action on striatal projections
neurons, in maintaining high cost/low benefit ratio behaviors.
PMID- 26558789
TI - Reciprocal Regulation of Mitochondrial Dynamics and Calcium Signaling in
Astrocyte Processes.
AB - We recently showed that inhibition of neuronal activity, glutamate uptake, or
reversed-Na(+)/Ca(2+)-exchange with TTX, TFB-TBOA, or YM-244769, respectively,
increases mitochondrial mobility in astrocytic processes. In the present study,
we examined the interrelationships between mitochondrial mobility and Ca(2+)
signaling in astrocyte processes in organotypic cultures of rat hippocampus. All
of the treatments that increase mitochondrial mobility decreased basal Ca(2+). As
recently reported, we observed spontaneous Ca(2+) spikes with half-lives of ~1 s
that spread ~6 MUm and are almost abolished by a TRPA1 channel antagonist.
Virtually all of these Ca(2+) spikes overlap mitochondria (98%), and 62% of
mitochondria are overlapped by these spikes. Although tetrodotoxin, TFB-TBOA, or
YM-244769 increased Ca(2+) signaling, the specific effects on peak, decay time,
and/or frequency were different. To more specifically manipulate mitochondrial
mobility, we explored the effects of Miro motor adaptor proteins. We show that
Miro1 and Miro2 are both expressed in astrocytes and that exogenous expression of
Ca(2+)-insensitive Miro mutants (KK) nearly doubles the percentage of mobile
mitochondria. Expression of Miro1(KK) had a modest effect on the frequency of
these Ca(2+) spikes but nearly doubled the decay half-life. The mitochondrial
proton ionophore, FCCP, caused a large, prolonged increase in cytosolic Ca(2+)
followed by an increase in the decay time and the spread of the spontaneous
Ca(2+) spikes. Photo-ablation of mitochondria in individual astrocyte processes
has similar effects on Ca(2+). Together, these studies show that Ca(2+) regulates
mitochondrial mobility, and mitochondria in turn regulate Ca(2+) signals in
astrocyte processes. SIGNIFICANCE STATEMENT: In neurons, the movement and
positioning of mitochondria at sites of elevated activity are important for
matching local energy and Ca(2+) buffering capacity. Previously, we demonstrated
that mitochondria are immobilized in astrocytes in response to neuronal activity
and glutamate uptake. Here, we demonstrate a mechanism by which mitochondria are
immobilized in astrocytes subsequent to increases in intracellular [Ca(2+)] and
provide evidence that mitochondria contribute to the compartmentalization of
spontaneous Ca(2+) signals in astrocyte processes. Immobilization of mitochondria
at sites of glutamate uptake in astrocyte processes provides a mechanism to
coordinate increases in activity with increases in mitochondrial metabolism.
PMID- 26558791
TI - Automated 3D closed surface segmentation: application to vertebral body
segmentation in CT images.
AB - PURPOSE: A fully automated segmentation algorithm, progressive surface resolution
(PSR), is presented in this paper to determine the closed surface of
approximately convex blob-like structures that are common in biomedical imaging.
The PSR algorithm was applied to the cortical surface segmentation of 460
vertebral bodies on 46 low-dose chest CT images, which can be potentially used
for automated bone mineral density measurement and compression fracture
detection. METHODS: The target surface is realized by a closed triangular mesh,
which thereby guarantees the enclosure. The surface vertices of the triangular
mesh representation are constrained along radial trajectories that are uniformly
distributed in 3D angle space. The segmentation is accomplished by determining
for each radial trajectory the location of its intersection with the target
surface. The surface is first initialized based on an input high confidence
boundary image and then resolved progressively based on a dynamic attraction map
in an order of decreasing degree of evidence regarding the target surface
location. RESULTS: For the visual evaluation, the algorithm achieved acceptable
segmentation for 99.35 % vertebral bodies. Quantitative evaluation was performed
on 46 vertebral bodies and achieved overall mean Dice coefficient of 0.939 (with
max [Formula: see text] 0.957, min [Formula: see text] 0.906 and standard
deviation [Formula: see text] 0.011) using manual annotations as the ground
truth. CONCLUSIONS: Both visual and quantitative evaluations demonstrate
encouraging performance of the PSR algorithm. This novel surface resolution
strategy provides uniform angular resolution for the segmented surface with
computation complexity and runtime that are linearly constrained by the total
number of vertices of the triangular mesh representation.
PMID- 26558792
TI - Pica and Eating Attitudes: A Study of High-Risk Pregnancies.
AB - OBJECTIVE: To describe and determine the association between the occurrence of
pica and eating attitudes in women with high-risk pregnancies and to determine
the prevalence of pica during pregnancy. METHODS: A cross-sectional and
prospective 24-month study was conducted with 913 women with high-risk
pregnancies. Structured interviews were carried out and the Eating Attitudes Test
was applied. RESULTS: Pica was diagnosed in 5.7 % of the pregnant women, and its
most commonly practiced type was geophagia (57.7 %). The association between pica
and signs related to the eating attitudes: "to be considered too thin by others"
(p < 0.02), and "to spend too much time thinking about food" (p = 0.05); and the
association between pica and the risk of both anorexia nervosa and bulimia
nervosa (p < 0.01) were statistically significant. CONCLUSIONS: The absence of
validated instruments in the literature for assessing pica reinforces the
difficulty of investigating this practice and the need for further studies.
Moreover, additional efforts need to focus in the improvement of screening for
other eating disorders with obstetric consequences associated with pica and be
incorporated into the routine of healthcare professionals.
PMID- 26558793
TI - Microbial deglycosylation and ketonization of ginsenoside by Cladosporium
cladosporioide and their anticancer activity.
AB - Ginseng has been used for thousands of years in Asian countries as a traditional
medicinal herb and has gained great popularity in the past decade. Ginsenosides
are the major pharmacological components in ginseng. We here show that
Cladosporium cladosporioide is able to convert the major ginsenoside Rb1 into
four known metabolites (ginsenosides Rd, F2, CK and PPD) and two new metabolites
[12beta-hydroxydammar-3-one-20(S)-O-beta-D-glucopyranoside (3-oxo-CK) and dammar
24-en-12beta,20(S)-diol-3-one (3-oxo-PPD)]. CK, PPD and 3-oxo-PPD were shown to
have a potent antiproliferative activity against A549 lung cancer cells. We found
that Rb1 -> Rd -> F2 -> CK -> PPD or 3-oxo-CK -> 3-oxo-PPD represents the
ginsenoside metabolic pathway.
PMID- 26558794
TI - Diversity and antimicrobial potential of bacterial isolates associated with the
soft coral Alcyonium digitatum from the Baltic Sea.
AB - It is well recognized that microorganisms associated with marine invertebrates,
in particular sponges and hard corals, are an excellent source of new natural
products. Therefore, the diversity of bacteria associated with marine
invertebrates and their potential to produce bioactive compounds have received
much attention in recent years. We report here for the first time on the
biodiversity of bacteria associated with the soft coral Alcyonium digitatum,
which is abundant in the Baltic Sea. In order to increase the cultured diversity,
bacteria were isolated using four different media, identified with support of 16S
rRNA gene sequences and screened for antimicrobial activity using two different
media. Activity of crude extracts was tested against Bacillus subtilis,
Staphylococcus epidermidis, Escherichia coli, and the yeast Candida albicans. A
total of 251 coral-associated bacterial isolates were classified and found to
belong to 41 species in 14 genera of the Firmicutes, Actinobacteria,
Gammaproteobacteria, and Alphaproteobacteria. The genus Bacillus was most
abundant and diverse with 17 recognized species. Forty-eight percent of all 251
isolates exhibited antimicrobial activity. All isolates of Bacillus
methylotrophicus and Bacillus amyloliquefaciens displayed inhibition of at least
three out of the four tested microorganisms. It became obvious during this study
that the production of antibiotic substances not only is strain-specific, but in
many cases also depends on the media composition and growth conditions. In
addition, the antimicrobial potential of bacteria associated with A. digitatum
may represent a promising source for antimicrobial substances.
PMID- 26558795
TI - Characterization of hepatocellular carcinoma (HCC) in non-alcoholic fatty liver
disease (NAFLD) patients without cirrhosis.
AB - BACKGROUND: The incidence of hepatocellular carcinoma (HCC) has increased
significantly in United States over the last few decades in parallel with the
epidemic of nonalcoholic fatty liver disease (NAFLD). Limited data suggests that
HCC could arise in steatotic liver without the presence of cirrhosis. The present
study was conducted to characterize patients with NAFLD presenting with HCC in
non-cirrhotic liver (NCL) compared to the NAFLD- HCC patients in association with
cirrhotic liver (CL). METHODS: A retrospective analysis of all patients diagnosed
with HCC and NAFLD diagnosis seen at our institution between 2003 and 2012 was
done. The patients were characterized based on demographic and clinical variables
as well as histological and tumor features. Comparisons between the NCL and CL
groups were done using analysis of variance (ANOVA) or the non-parametric Kruskal
Wallis tests and Pearson's chi-square tests or Fisher's Exact tests as
appropriate. P value of <0.05 was considered statistically significant. RESULTS:
Thirty-six patients with NAFLD and HCC in NCL (HCC-NCL group) were identified and
compared to 47 patients with NAFLD-HCC and Liver Cirrhosis (HCC-LC group). Liver
fibrosis was not present in 55.9 % of patients in the HCC-NCL group (F0), stage 1
was present in 17.6 %, stage 2 in 8.8 % and stage 3 in 17.6 %. Lobular
inflammation was present in 63.6 % of non-cirrhotic patients. Patients in the HCC
NCL were older (67.5 +/- 12.3 vs. 62.7 +/- 8.1 years), and less likely to be
obese (52 % vs. 83 %) or have type 2 diabetes (38 % vs. 83 %), with p value <0.05
for all. More importantly, compared with the HCC-CL group, those in the HCC-NCL
group were more likely to present with a single nodule (80.6 % vs. 52.2 %),
larger nodule size (>5 cm) (77.8 % vs. 10.6 %), and receive hepatic resection as
the modality of HCC treatment (66.7 % vs. 17 %); and were less likely to receive
loco-regional therapy (22.3 % vs. 61.7 %) or orthotopic liver transplantation
(OLT) (0 % vs. 72.3 %), with p value <0.001 for all. Furthermore, 86 % of
patients without cirrhosis had HCC recurrence compared to only 14 % in patients
with cirrhosis (p < 0.001). Unadjusted analysis indicates that non-cirrhotics had
worse survival with mortality rate of 47 % vs. 28 % in CL group (p = 0.03);
however this difference in survival between two groups was not significant after
adjusting for age or OLT (p > 0.05). CONCLUSION: Patients with HCC in the absence
of liver cirrhosis are more likely to present at an older age with larger tumor
and have higher rates of tumor recurrence. Studies to assess the cost
effectiveness of HCC surveillance in this group should be conducted.
PMID- 26558797
TI - Telehealth Utilization for Chronic Illness and Depression Among Home Health
Agencies: A Pilot Survey.
AB - This pilot survey study explores current telehealth use among home health care
agencies for chronic illness and depression care, and identifies factors
associated with agencies' perception and intention to use telehealth. Between
June and August 2014, 73 directors and 13 staff nurses (N = 86) from the
Pennsylvania Homecare Association member agencies participated in an online
survey. Eighty-five percent of telehealth provider agencies reported utilizing
telehealth for monitoring health status while only 7.7% reported use for
depression care. Telehealth technology was more positively perceived for chronic
illness care (90.7%) than for depression care (53%) services. Factors associated
with positive perceptions of telehealth were identified, including: (a) intention
to use or continuing to use telehealth, (b) the size of the agency, (c) the
participant's agency role, and (d) existence of depression services. These pilot
findings have been used to inform the theoretical framework and the survey
instrument for our U.S. national survey.
PMID- 26558798
TI - NHS money is wasted on short term action rather than fixing the underlying
problems.
PMID- 26558799
TI - Efficacy of fulvestrant 500 mg in Japanese postmenopausal advanced/recurrent
breast cancer patients and factors associated with prolonged time-to-treatment
failure.
AB - OBJECTIVE: We aimed to confirm the efficacy of fulvestrant in Japanese
postmenopausal advanced/recurrent breast cancer (ABC) patients, and investigate
factors contributing to time-to-treatment failure (TTF) prolongation. RESEARCH
DESIGN AND METHODS: This retrospective study included 194 ABC patients who
received fulvestrant (500 mg) from January 2012 to December 2014. MAIN OUTCOME
MEASURES: TTF (efficacy measure), overall survival (OS), factors prolonging TTF
and adverse events were evaluated. RESULTS: The median age was 65 (42 - 90)
years. Overall, TTF was 5.48 months. In patients without prior chemotherapy (n =
59), OS was significantly longer (p = 0.0131) than in patients with prior
chemotherapy (n = 135). There was no strong correlation between TTF with
fulvestrant and other endocrine therapies, total duration of endocrine therapy
and maximum duration of endocrine therapy. TTF was significantly longer in
patients with less than two prior chemotherapy regimens (p = 0.0093), de novo
metastatic disease (p = 0.0124) and without liver metastasis (p = 0.0024). We
observed one case each of pulmonary infarction and psychiatric disorder.
CONCLUSIONS: Fulvestrant is effective for ABC patients and may show greater
efficacy in patients with few prior chemotherapy regimens, de novo metastatic
disease and absence of liver metastasis. Prior endocrine therapy duration might
not be a predictive factor for fulvestrant TTF in heavily treated ABC patients.
PMID- 26558800
TI - Two new C19-diterpenoid alkaloids from Aconitum straminiflorum.
AB - Two new C19-diterpenoid alkaloids, straconitines A (1) and B (2), were isolated
from the roots of Aconitum straminiflorum. Their structures were elucidated as 14
benzoylducloudine D (1) and 6-hydroxy-14-benzoylducloudine D (2) based on
spectroscopic analysis, including IR, ESI-MS, HR-ESI-MS, 1D, and 2D NMR.
PMID- 26558801
TI - Gonadotrophin-releasing hormone agonist protocols for pituitary suppression in
assisted reproduction.
AB - BACKGROUND: Gonadotrophin-releasing hormone agonists (GnRHa) are commonly used in
assisted reproduction technology (ART) cycles to prevent a luteinising hormone
surge during controlled ovarian hyperstimulation (COH) prior to planned oocyte
retrieval, thus optimising the chances of live birth. OBJECTIVES: To evaluate the
effectiveness of the different GnRHa protocols as adjuncts to COH in women
undergoing ART cycles. SEARCH METHODS: We searched the following databases from
inception to April 2015: the Cochrane Menstrual Disorders and Subfertility Group
Specialised Register, the Cochrane Central Register of Controlled Trials
(CENTRAL) in the Cochrane Library (2015, Issue 3), MEDLINE, EMBASE, CINAHL,
PsycINFO, and registries of ongoing trials. Reference lists of relevant articles
were also searched. SELECTION CRITERIA: We included randomised controlled trials
(RCTs) comparing any two protocols of GnRHa used in in vitro fertilisation (IVF)
or intracytoplasmic sperm injection (ICSI) cycles in subfertile women. DATA
COLLECTION AND ANALYSIS: Two review authors independently selected studies,
assessed trial eligibility and risk of bias, and extracted the data. The primary
outcome measure was number of live births or ongoing pregnancies per woman/couple
randomised. Secondary outcome measures were number of clinical pregnancies,
number of oocytes retrieved, dose of gonadotrophins used, adverse effects
(pregnancy losses, ovarian hyperstimulation, cycle cancellation, and premature
luteinising hormone (LH) surges), and cost and acceptability of the regimens. We
combined data to calculate odds ratios (OR) for dichotomous variables and mean
differences (MD) for continuous variables, with 95% confidence intervals (CIs).
We assessed statistical heterogeneity using the I2 statistic. We assessed the
overall quality of the evidence for the main comparisons using 'Grading of
Recommendations Assessment, Development and Evaluation' (GRADE) methods. MAIN
RESULTS: We included 37 RCTs (3872 women), one ongoing trial, and one trial
awaiting classification. These trials made nine different comparisons between
protocols. Twenty of the RCTs compared long protocols and short protocols. Only
19/37 RCTs reported live birth or ongoing pregnancy.There was no conclusive
evidence of a difference between a long protocol and a short protocol in live
birth and ongoing pregnancy rates (OR 1.30, 95% CI 0.94 to 1.81; 12 RCTs, n = 976
women, I2 = 15%, low quality evidence). Our findings suggest that in a population
in which 14% of women achieve live birth or ongoing pregnancy using a short
protocol, between 13% and 23% will achieve live birth or ongoing pregnancy using
a long protocol. There was evidence of an increase in clinical pregnancy rates
(OR 1.50, 95% CI 1.18 to 1.92; 20 RCTs, n = 1643 women, I2 = 27%, moderate
quality evidence) associated with the use of a long protocol.There was no
evidence of a difference between the groups in terms of live birth and ongoing
pregnancy rates when the following GnRHa protocols were compared: long versus
ultrashort protocol (OR 1.78, 95% CI 0.72 to 4.36; one RCT, n = 150 women, low
quality evidence), long luteal versus long follicular phase protocol (OR 1.89,
95% CI 0.87 to 4.10; one RCT, n = 223 women, low quality evidence), when GnRHa
was stopped versus when it was continued (OR 0.75, 95% CI 0.42 to 1.33; three
RCTs, n = 290 women, I2 = 0%, low quality evidence), when the dose of GnRHa was
reduced versus when the same dose was continued (OR 1.02, 95% CI 0.68 to 1.52;
four RCTs, n = 407 women, I2 = 0%, low quality evidence), when GnRHa was
discontinued versus continued after human chorionic gonadotrophin (HCG)
administration in the long protocol (OR 0.89, 95% CI 0.49 to 1.64; one RCT, n =
181 women, low quality evidence), and when administration of GnRHa lasted for two
versus three weeks before stimulation (OR 1.14, 95% CI 0.49 to 2.68; one RCT, n =
85 women, low quality evidence). Our primary outcomes were not reported for any
other comparisons.Regarding adverse events, there were insufficient data to
enable us to reach any conclusions except about the cycle cancellation rate.
There was no conclusive evidence of a difference in cycle cancellation rate (OR
0.95, 95% CI 0.59 to 1.55; 11 RCTs, n = 1026 women, I2 = 42%, low quality
evidence) when a long protocol was compared with a short protocol. This suggests
that in a population in which 9% of women would have their cycles cancelled using
a short protocol, between 5.5% and 14% will have cancelled cycles when using a
long protocol.The quality of the evidence ranged from moderate to low. The main
limitations in the evidence were failure to report live birth or ongoing
pregnancy, poor reporting of methods in the primary studies, and imprecise
findings due to lack of data. Only 10 of the 37 included studies were conducted
within the last 10 years. AUTHORS' CONCLUSIONS: When long GnRHa protocols and
short GnRHa protocols were compared, we found no conclusive evidence of a
difference in live birth and ongoing pregnancy rates, but there was moderate
quality evidence of higher clinical pregnancy rates in the long protocol group.
None of the other analyses showed any evidence of a difference in birth or
pregnancy outcomes between the protocols compared. There was insufficient
evidence to make any conclusions regarding adverse effects.
PMID- 26558802
TI - Salmonella typhimurium in the Australian egg industry: Multidisciplinary approach
to addressing the public health challenge and future directions.
AB - In Australia, numerous egg-related human Salmonella typhimurium outbreaks have
prompted significant interest among public health authorities and the egg
industry to jointly address this human health concern. Nationwide workshops on
Salmonella and eggs were conducted in Australia for egg producers and regulatory
authorities. State and national regulators represented Primary Production,
Communicable Disease Control, Public Health and Food Safety, and Food Standards
Australia and New Zealand. All attendees participated in discussions aimed at
evaluating current evidence-based information, issues related to quality of egg
production, and how to ensure safe eggs in the supply chain, identifying research
gaps and practical recommendations. The perceptions from egg producers and
regulatory authorities from various states were recorded during the workshops. We
presented the issues discussed during the workshops, including Salmonella in the
farm environment, Salmonella penetration across eggshell, virulence in humans,
food/egg handling in the supply chain, and intervention strategies. We also
discussed the perceptions from egg producers and regulators. Recommendations
placed emphasis on the future research needs, communication between industry and
regulatory authorities, and education of food handlers. Communication between
regulators and industry is pivotal to control egg-borne S. typhimurium outbreaks,
and collaborative efforts are required to design effective and appropriate
control strategies.
PMID- 26558803
TI - The role of theory-based outcome frameworks in program evaluation: Considering
the case of contribution analysis.
AB - In an era demanding greater accountability and the demonstration of positive
outcomes and impacts, the field for the evaluation of interventions, program
development and outreach projects is being challenged in many fields, including
education, medical care, public health and social development. In consequence,
the leaders in this field significantly changed their approaches to the
evaluation of such interventions. Evaluators noted that simple linear models of
evaluation do not address the wider community of interests and stakeholders
involved in today's innovative and wide-reaching programs. Moreau raises the
possible usefulness of contribution analysis in responding to the calls for
broader accountability. In this commentary, the elements of these emerging
approaches are reviewed and explained for teachers with reporting
responsibilities in health sciences education. The presentation is intended to
expand on Moreau's argument and suggestions such that educators may be able to
consider the use of theory-based evaluations, such as contribution analyses, in
the evaluation of their institutional programs and interventions. These possible
applications are especially relevant to the increasingly more complicated and
complex interventions that characterize many of the educational interventions as
more health profession programs are moved into and impact on the larger societal
community.
PMID- 26558804
TI - Connecting medical education to patient outcomes: The promise of contribution
analysis.
AB - This article presents a promising program evaluation approach, contribution
analysis, which can be used to demonstrate the link between medical education
programs and patient outcomes. Contribution analysis is a theory-based evaluation
approach. It views programs as a part of causal packages that work together with
other factors, interventions, and influences to bring about changes in specific
outcomes. Although this approach is well suited for evaluating medical education
programs, it has not yet been used in this area.
PMID- 26558807
TI - Genomic Characterization of Poorly Differentiated Neuroendocrine Carcinoma in a
Pediatric Patient.
AB - Primary neuroendocrine carcinomas (NEC) are rare tumors in children and young
adults, resulting in a lack of standardized treatment approach. To refine the
molecular taxonomy of these rare tumors, we performed whole exome sequencing in a
pediatric patient with mediastinal NEC. We identified a somatic mutation in HRAS
gene and LOH regions in NF2, MYO18B, and RUX3 genes. In addition, a germline
heterozygous somatic variant in BRCA2 with LOH at that same position in the tumor
tissue was also found. Our data provide valuable insight into the genomic
landscape of this tumor, prompting further investigation of therapeutic targets.
PMID- 26558808
TI - Visual Field Loss in a Case of Recurrent Cystic Craniopharyngioma During
Concomitant Treatment With Pegylated Interferon alpha-2b.
AB - A 13-year-old male with suprasellar cystic craniopharyngioma initially controlled
with sequential subtotal resections and proton-beam irradiation was later treated
with intracystic pegylated interferon alpha-2b due to progression and a lack of
further surgical options. After initial successful control of recurrent cyst
enlargement and stabilization of the ophthalmic examination, progressive and
irreversible visual field loss ensued. Imaging revealed intracranial leakage from
the intracystic catheter, and direct administration of interferon alpha-2b was
discontinued. Given the recent interest in interferon alpha-2b, oncologists are
advised to vigilantly monitor patients for signs of local toxicity that may
result from unintended leakage during intracystic delivery.
PMID- 26558806
TI - Potential therapeutic approaches for Angelman syndrome.
AB - INTRODUCTION: Angelman syndrome (AS) is a neurodevelopmental disorder caused by
deficiency of maternally inherited UBE3A, an ubiquitin E3 ligase. Despite recent
progress in understanding the mechanism underlying UBE3A imprinting, there is no
effective treatment. Further investigation of the roles played by UBE3A in the
central nervous system (CNS) is needed for developing effective therapies. AREA
COVERED: This review covers the literature related to genetic classifications of
AS, recent discoveries regarding the regulation of UBE3A imprinting, alterations
in cell signaling in various brain regions and potential therapeutic approaches.
Since a large proportion of AS patients exhibit comorbid autism spectrum disorder
(ASD), potential common molecular bases are discussed. EXPERT OPINION: Advances
in understanding UBE3A imprinting provide a unique opportunity to induce paternal
UBE3A expression, thus targeting the syndrome at its 'root.' However, such
efforts have yielded less-than-expected rescue effects in AS mouse models,
raising the concern that activation of paternal UBE3A after a critical period
cannot correct all the CNS defects that developed in a UBE3A-deficient
environment. On the other hand, targeting abnormal downstream cell signaling
pathways has provided promising rescue effects in preclinical research. Thus,
combined reinstatement of paternal UBE3A expression with targeting abnormal
signaling pathways should provide better therapeutic effects.
PMID- 26558809
TI - The Incidence of Hypersensitivity Reactions to Pegylated Asparaginase in Children
With Acute Lymphoblastic Leukemia: A City-wide Experience.
AB - Asparaginase (ASNase) is an imperative component of pediatric acute lymphoblastic
leukemia (ALL) therapy. Pegylating the ASNase extends its biological half-life in
vivo and has become the only ASNase available in the United States for frontline
therapy of ALL and lymphoblastic lymphoma. It is either infused intravenously
(IV) or injected intramuscularly (IM), administrations of which are associated
with hypersensitivity reaction ranging from localized skin reaction to severe
anaphylaxis. A retrospective review of 96 medical records of pediatric ALL
patients was performed. We compared the incidence of hypersensitivity reaction
associated with IV versus IM administration of pegylated ASNase. Ninety-one
patients were included in the final analysis; 31 having received pegylated ASNase
IV and 60 receiving it IM. The incidence of any grade >= 2 hypersensitivity
reaction in patients who received IV ASNase was 32.2% compared with 13.3% in the
IM group (P=0.032). There was no difference in higher grade hypersensitivity
reactions (19.4% vs. 11.7%). Most reactions tended to occur during periods of
leukemia therapy that did not include concomitant steroid therapy. Our
retrospective analysis indicates that IV administration of pegylated ASNase
increases the incidence of low-grade, but not grade 3-4, hypersensitivity
reactions compared with IM administration.
PMID- 26558810
TI - Vancomycin Therapeutic Targets and Nephrotoxicity in Critically Ill Children With
Cancer.
AB - To obtain pharmacokinetic and pharmacodynamic data for vancomycin in a cohort of
critically ill pediatric oncology patients, we analyzed 256 measurements of
vancomycin concentrations in 94 patients. Variables were tested as possible risk
factors for vancomycin-related nephrotoxicity or death for 28 days. We found the
following: mean vancomycin trough serum concentration, 15.6 +/- 12.4 MUg/mL; mean
vancomycin clearance, 0.16 +/- 0.098 L/h/kg; and mean vancomycin distribution
volume, 1.04 +/- 0.11 L/kg. Only 13.6% of serum trough level measurements were
between 15 and 20 MUg/mL. The trough levels showed a strong correlation with the
AUC (area under the curve of serum concentrations vs. time over 24 h to the
minimum inhibitory concentration ratio), with a 94% positive predictive value for
AUC/MIC >= 400, but only for MIC=1. The doses that are currently used (60
mg/kg/d) attained the therapeutic target (AUC/MIC >= 400) in only 56% of
measurements, considering MIC=1. A serum trough level of >= 20 MUg/mL was an
independent risk for nephrotoxicity (P = 0.0008; odds ratio = 17.83). Vancomycin
related nephrotoxicity was a predictor of death for up to 28 days (P = 0.003,
odds ratio = 7.68). Currently administered doses of vancomycin do not reach the
therapeutic target for critical cancer patients, particularly if staphylococci
isolates have a MIC>1.
PMID- 26558811
TI - The Clinical Significance of Voriconazole Therapeutic Drug Monitoring in Children
With Invasive Fungal Infections.
AB - Voriconazole is an antifungal drug used to treat fungal infections. This was a
retrospective study of 61 children with hemato-oncologic diseases or solid organ
transplantation who were administered voriconazole for invasive fungal
infections. Of the 61 patients, 31 (50.8%) were in the therapeutic drug
monitoring (TDM) group, and 30 (49.2%) were in the non-TDM group. At 12 weeks,
treatment failure rate in the non-TDM group was higher than the TDM group (78.6%
versus 40.0%, p = 0.038). Drug discontinuation due to adverse events was less
frequent in the TDM group than the non-TDM group (26.0% versus 92.3%, p = 0.001).
Children required higher dosages to maintain drug levels within the targeted
therapeutic range: an average of 8.3 mg/kg/dose in patients <12 years old and 6.9
mg/kg/dose for those >=12 years old. Treatment failure rates were higher in
patients whose voriconazole levels remained below 1.0 mg/L for more than 50% of
their treatment duration than those above 1.0 mg/L (71.4% vs. 9.1% after 12
weeks, p = 0.013). Serial monitoring of voriconazole levels in children is
important for improving treatment response and preventing unnecessary drug
discontinuation. Higher dosages are needed in children to reach therapeutic
range.
PMID- 26558812
TI - The relationship between premature birth and caregiver first concern in toddlers
with autism spectrum disorder: A brief report.
AB - The current study examines the relationship between premature birth and the age
at which caregivers first become concerned with their child's development in a
sample of 84 toddlers with autism spectrum disorder (ASD). The participants were
split into two groups: those born prematurely and those born full term. The
results indicate that the age of caregiver first concern is significantly younger
for those born prematurely than those born full term. The average age caregivers
reported first becoming concerned about their child's development was around 7
months for participants born prematurely and around 13 months for participants
born full term. Possible explanations for the results and their implications are
discussed.
PMID- 26558813
TI - Potential benefits of pentoxifylline on wound healing.
AB - In this review, potential benefits of pentoxifylline (PTX) on wound healing have
been evaluated. All available experimental and clinical studies examined effects
of PTX on wound healing have been included. No time limitation was considered and
all studies up to writing the manuscript were included. Administration of oral or
parenteral PTX showed beneficial effects on the healing of colorectal
anastomosis, post burn scar, radiation-induced skin/soft tissue injury, venous
ulcers, recurrent aphthous stomatitis and cutaneous/mucocutaneous leishmaniasis.
Data regarding effect of PTX on skin flap survival are conflicting. Only few
evidences support promising effects of PTX in pressure ulcer, skin developing
injury and burn.
PMID- 26558814
TI - Molecular histology of arteries: mass spectrometry imaging as a novel ex vivo
tool to investigate atherosclerosis.
AB - Atherosclerosis is usually the underlying cause of a fatal event such as
myocardial infarction or ictus. The atherome plaque develops silently and
asymptomatically within the arterial intima layer. In this context, the
possibility to analyze the molecular content of arterial tissue while preserving
each molecule's specific localization is of great interest as it may reveal
further insights into the physiopathological changes taking place. Mass
spectrometry imaging (MSI) enables the spatially resolved molecular analysis of
proteins, peptides, metabolites, lipids and drugs directly in tissue, with a
resolution sufficient to reveal molecular features specific to distinct arterial
structures. MSI represents a novel ex vivo imaging tool still underexplored in
cardiovascular diseases. This review focuses on the MSI technique applied to
cardiovascular disease and covers the main contributions to date, ongoing
efforts, the main challenges and current limitations of MSI.
PMID- 26558815
TI - Toward the dynamic phase transition mechanism of a thermoresponsive ionic liquid
in the presence of different thermoresponsive polymers.
AB - The influence of two thermoresponsive polymers, poly(N-isopropylacrylamide)
(PNIPAM) and poly(N-vinylcaprolactam) (PVCL), on the phase transition behavior of
a thermoresponsive ionic liquid, tributylhexylphosphonium 3
sulfopropylmethacrylate ([P4,4,4,6][MC3S]), was investigated. An obvious
distinction was observed in the LCSTs and morphologies of [P4,4,4,6][MC3S]-PNIPAM
and [P4,4,4,6][MC3S]-PVCL aqueous solutions, indicating their large differences
in dynamic transition processes. In general, PNIPAM can "break" the water
structure of [P4,4,4,6][MC3S] to decrease the transition temperature, while PVCL
can "make" the water structure to increase it. Surprisingly, [P4,4,4,6][MC3S] has
an unusual over-hydration behavior before dehydration while PNIPAM experiences a
two-step transition process in [P4,4,4,6][MC3S]-PNIPAM aqueous solution, which
has never been reported so far. Further studies revealed that the formation of
strong intra-/inter-molecular hydrogen bonds C[double bond, length as m-dash]OD-N
in PNIPAM is the driving force for the LCST phenomenon of [P4,4,4,6][MC3S]-PNIPAM
solution, while it is the [P4,4,4,6][MC3S] that dominates the phase separation of
[P4,4,4,6][MC3S]-PVCL solution.
PMID- 26558816
TI - The coping strategies of front-line health workers in the context of user fee
exemptions in Niger.
AB - When user fee exemptions were introduced for children under five years of age in
Niger, front-line staff in the health system were not consulted in advance, and
various obstacles seriously hindered the policy's implementation. Health workers
developed two types of coping strategies. The first dealt with shortcomings of
the policy implementation process related to management tools, drug stocks, co
existence of the fee exemption and cost recovery systems, and, above all, supply
management for medicines (ordering from private companies, issuing makeshift
prescriptions). The second involved clientelism, circumvention of regulations,
and misappropriation of resources. Adverse effects have arisen due to both the
failings of the health system and the practices of health workers. These include
a focus on the commercial management of patients, the most 'costly' of whom
sometimes find themselves being refused treatment, patients roaming in search of
medicines and treatment, and a decline in quality of care.
PMID- 26558819
TI - Effect of Catheter-Based Renal Denervation on Morning and Nocturnal Blood
Pressure: Insights From SYMPLICITY HTN-3 and SYMPLICITY HTN-Japan.
AB - High nighttime and early morning blood pressure (BP) have been associated with
greater risk for cardiovascular events than high clinic or daytime BP. BP is
typically highest in the rising hours, when morning activities typically begin.
We examined the effect of renal denervation on morning (6:00-8:59 AM), daytime
(9:00 AM-8:59 PM), and nighttime (1:00-5:59 AM) ambulatory BP. Patient data from
2 prospective, randomized controlled trials of patients with treatment-resistant,
uncontrolled hypertension, one conducted in a US population (Renal Denervation in
Patients With Uncontrolled Hypertension [SYMPLICITY HTN-3]) and the other in a
Japanese population (SYMPLICITY HTN-Japan [HTN-Japan]), were analyzed. Patients
in SYMPLICITY HTN-3 and HTN-Japan were prescribed a similar number of baseline
antihypertensive medications (5.2+/-1.4 versus 4.9+/-1.6, P=0.28), but the
classes prescribed and changes in prescription varied by study. Among patients
treated with renal denervation, although the number of ablation treatments were
similar in both studies (11.2+/-2.8 versus 11.5+/-1.9, P=0.55), patients in
SYMPLICITY HTN-3 were less likely to receive at least 1 four-quadrant ablation
treatment (25% versus 82%, P<0.001). In SYMPLICITY HTN-3, compared with controls
(n=159), patients treated with renal denervation (n=325) experienced a
significantly greater change in morning (-7.3+/-19.8 mm Hg, P<0.001) and
nighttime (-6.1+/-18.2 versus -1.6+/-19.7 mm Hg, P=0.02) but not daytime systolic
BP (-7.2+/-16.2 versus -6.4+/-18.6 mm Hg, P=0.67). This same trend was observed
in the pooled analysis with HTN-Japan. Reduction of BP during these high-risk
periods might provide cardiovascular protection in drug-resistant hypertensive
patients, although this will need to be proved in future randomized trials.
CLINICAL TRIAL REGISTRATION: URL: www.clinicaltrials.gov; Unique identifiers:
NCT01418261 (SYMPLICITY HTN-3) and NCT01644604 (HTN-Japan).
PMID- 26558821
TI - Correction.
AB - In the article by Narayan et al (Narayan O, Davies JE, Hughes AD, Dart AM, Parker
KH, Reid C, Cameron JD. Central aortic reservoir-wave analysis improves
prediction of cardiovascular events in elderly hypertensives. Hypertension.
2015;65:629-635. doi: 10.1161/HYPERTENSIONAHA.114.04824), which published online
ahead of print December 22, 2014, and appeared in the March 2015 issue of the
journal, some corrections were needed.On page 632, Figure, panel A, the label PRI
has been corrected to read RPI. In panel B, the text by the upward arrow, "10%
increase in kd," has been corrected to read, "10% decrease in kd." The corrected
figure is shown below.The authors apologize for these errors.
PMID- 26558818
TI - Childhood to Early-Midlife Systolic Blood Pressure Trajectories: Early-Life
Predictors, Effect Modifiers, and Adult Cardiovascular Outcomes.
AB - Previous studies examining blood pressure change over time have modeled an
average population trajectory. Recent research among older adults suggests there
may be subgroups with different blood pressure trajectories. Identifying
subgroups at risk of developing adult hypertension early in life can inform
effective risk reduction efforts. We sought to identify different systolic blood
pressure trajectories from childhood, their correlated risk factors, and early
midlife cardiovascular outcomes. Blood pressure data at ages 7, 11, 18, 26, 32,
and 38 years from a longitudinal, representative birth cohort study (n=975) were
used to identify 4 distinct trajectory groups via group-based trajectory
modeling: normal (21.8%), high-normal (43.3%), prehypertensive (31.6%), and
hypertensive (4.2%). The categories refer to blood pressure beginning at the age
of 7 years and most recently measured at the age of 38 years. Family history of
high blood pressure (odds ratio [OR], 43.23; 95% confidence interval [CI], 5.27
354.65), male sex (OR, 109.48; 95% CI, 26.82-446.96), being first born (OR, 2.5;
95% CI, 1.00-8.69) and low birth weight (OR, 2.79; 95% CI, 2.49-3.09) were
associated with hypertensive group membership (compared with the normal group).
Higher body mass index and cigarette smoking resulted in increasing blood
pressure across trajectories, particularly for the higher blood pressure groups.
Prehypertensive and hypertensive trajectory groups had worse cardiovascular
outcomes by early midlife. Harmful blood pressure trajectories are identifiable
in childhood, associated with both antecedent and modifiable risk factors over
time, and predict adult cardiovascular disease risk. Early detection and
subsequent targeted prevention and intervention may reduce the lifecourse burden
associated with higher blood pressure.
PMID- 26558822
TI - Management of waste electrical and electronic equipment in Romania: A mini
review.
AB - Around the world there are growing concerns for waste electrical and electronic
equipment. This is motivated by the harmful effects of waste electrical and
electronic equipment on the environment, but also by the perspectives of
materials recovery. Differences between countries regarding waste electrical and
electronic equipment management are notable in the European Union. Romania is
among the countries that have made significant efforts to comply with European
Union regulations, but failed reaching the collection target. The article
presents a mini review of the waste electrical and electronic equipment
management system in Romania, based on legislation and policy documents,
statistical data, research studies and reports published by national and
international organisations. The article debates subjects like legislative
framework, the electrical and electronic equipment Romanian market, the waste
electrical and electronic equipment collection system, waste electrical and
electronic equipment processing and waste electrical and electronic equipment
behaviour. The recast of the European directive brings new challenges to national
authorities and to other stakeholders involved in the waste electrical and
electronic equipment management. Considering the fact that Romania has managed a
collection rate of roughly 1 kg capita(-1) in the last years, the new higher
collection targets established by the waste electrical and electronic equipment
Directive offer a serious challenge for the management system. Therefore, another
aim of the article is to highlight the positive and negative aspects in the
Romanian waste electrical and electronic equipment field, in order to identify
the flows that should be corrected and the opportunities that could help improve
this system to the point of meeting the European standards imposed by the
European Directive.
PMID- 26558820
TI - Leukotriene B4 Activates Pulmonary Artery Adventitial Fibroblasts in Pulmonary
Hypertension.
AB - A recent study demonstrated a significant role for leukotriene B4 (LTB4) causing
pulmonary vascular remodeling in pulmonary arterial hypertension. LTB4 was found
to directly injure luminal endothelial cells and promote growth of the smooth
muscle cell layer of pulmonary arterioles. The purpose of this study was to
determine the effects of LTB4 on the pulmonary adventitial layer, largely
composed of fibroblasts. Here, we demonstrate that LTB4 enhanced human pulmonary
artery adventitial fibroblast proliferation, migration, and differentiation in a
dose-dependent manner through its cognate G-protein-coupled receptor, BLT1. LTB4
activated human pulmonary artery adventitial fibroblast by upregulating p38
mitogen-activated protein kinase as well as Nox4-signaling pathways. In an
autoimmune model of pulmonary hypertension, inhibition of these pathways blocked
perivascular inflammation, decreased Nox4 expression, reduced reactive oxygen
species production, reversed arteriolar adventitial fibroblast activation, and
attenuated pulmonary hypertension development. This study uncovers a novel
mechanism by which LTB4 further promotes pulmonary arterial hypertension
pathogenesis, beyond its established effects on endothelial and smooth muscle
cells, by activating adventitial fibroblasts.
PMID- 26558823
TI - Human Intestinal Raf Kinase Inhibitor Protein (RKIP) Catalyzes Prasugrel as a
Bioactivation Hydrolase.
AB - Prasugrel is a thienopyridine antiplatelet prodrug that undergoes rapid
hydrolysis in vivo to a thiolactone metabolite by human carboxylesterase-2 (hCE2)
during gastrointestinal absorption. The thiolactone metabolite is further
converted to a pharmacologically active metabolite by cytochrome P450 isoforms.
The aim of the current study was to elucidate hydrolases other than hCE2 involved
in the bioactivation step of prasugrel in human intestine. Using size-exclusion
column chromatography of a human small intestinal S9 fraction, another peak
besides the hCE2 peak was observed to have prasugrel hydrolyzing activity, and
this protein was found to have a molecular weight of about 20 kDa. This prasugrel
hydrolyzing protein was successfully purified from a monkey small intestinal
cytosolic fraction by successive four-step column chromatography and identified
as Raf-1 kinase inhibitor protein (RKIP) by liquid chromatography-tandem mass
spectrometry. Second, we evaluated the enzymatic kinetic parameters for prasugrel
hydrolysis using recombinant human RKIP and hCE2 and estimated the contributions
of these two hydrolyzing enzymes to the prasugrel hydrolysis reaction in human
intestine, which were approximately 40% for hRKIP and 60% for hCE2. Moreover,
prasugrel hydrolysis was inhibited by anti-hRKIP antibody and carboxylesterase
specific chemical inhibitor (bis p-nitrophenyl phosphate) by 30% and 60%,
respectively. In conclusion, another protein capable of hydrolyzing prasugrel to
its thiolactone metabolite was identified as RKIP, and this protein may play a
significant role with hCE2 in prasugrel bioactivation in human intestine. RKIP is
known to have diverse functions in many intracellular signaling cascades, but
this is the first report describing RKIP as a hydrolase involved in drug
metabolism.
PMID- 26558824
TI - The natural history of cardiovascular risk factors in health professionals: 20
year follow-up.
AB - BACKGROUND: The knowledge of the presence and evolution of cardiovascular risk
factors in young people may significantly contribute to actions to modify the
natural history of these risks and prevent the onset of cardiovascular disease.
OBJECTIVES: To assess the presence and evolution of cardiovascular risk factors
in health professionals over a 20-year period. METHODS: A group of individuals
was evaluated when they first started graduate programs in medicine, nursing,
nutrition, dentistry, and pharmacy, and 20 years later. Data obtained in the two
phases were compared. Questionnaires about hypertension, diabetes,
hypercholesterolemia, family history of early-onset cardiovascular disease,
smoking, alcohol consumption, and sedentary lifestyle were administered.
Cholesterol, blood glucose, blood pressure, weight, height, and body mass index
(BMI) were measured. RESULTS: Of the 281 individuals (62.9 % women; mean age 19.7
years) initially analyzed, 215 (59.07 % women; mean age 39.8 years) were analyzed
20 years later. An increase in mean values of systolic (111.6 vs 118.7 mmHg- p <
0.001) and diastolic blood pressure (71 vs 77.1 mmHg - p < 0.001), cholesterol
(150.1 vs 182.4 mg/dL - p < 0.001), blood glucose (74.3 vs 81.4 mg/dL - p <
0.001) and BMI (20.7 vs 23.7 kg/m(2) - p = 0.017) was observed. Despite the
decrease of sedentarism (50.2 vs 38.1 % - p = 0.015), the prevalence of
hypertension (4.6 vs 18.6 % - p < 0.001), excessive weight (8.2 vs 32.1 % - p <
0.001), hypercholesterolemia (7.8 vs 24.2 % - p < 0.001), and alcohol consumption
(32.7 vs 34.9 % - p = 0.037) increased. There was no change in the prevalence of
smoking. CONCLUSION: Health professionals presented an increase in systolic and
diastolic blood pressure, blood glucose, body mass index, and cholesterol over
the 20-year study period. Regarding the prevalence of cardiovascular risk
factors, increased blood pressure, overweight, hypercholesterolemia and alcohol
consumption, and a decrease in sedentary lifestyle were observed.
PMID- 26558826
TI - Half-life of a printed handoff document.
AB - BACKGROUND: Printed handoff documents are nearly universally present in the
pockets of providers taking inhouse call. They are frequently used to answer
clinical questions. However, the static nature of printed documents makes it
likely that information will quickly become inaccurate as a result of ongoing
management. This increases the potential for medical errors, especially in
clinical services which rely heavily on printed documents for ongoing patient
management. OBJECTIVE: To measure the average time to potential inaccuracy,
represented as the 'half-life' of printed handoff documents. DESIGN, SETTING,
PARTICIPANTS: Cross-sectional analysis of 100 adult inpatients during a single 24
h period at an academic medical centre in 2014. MAIN OUTCOME AND MEASURE: The
half-life was defined as the time at which half of the patients would be expected
to have inaccurate information on a printed handoff document, based on review of
orders which populate data fields on these printed handoff documents. RESULTS: In
our sample, the half-life was 6 h on the 12 h night shift and 3.3 h on the day
shift. We identified at least on change within the 24 h period for 92% of
patients. Most changes (90% n=1411) were medication-related, but the overall
distribution of order types was significantly different between day and night
(p=0.002). CONCLUSIONS AND RELEVANCE: The accuracy of printed handoff documents
quickly deteriorated over the course of a physician shift. Based on this decay
rate, a typical physician getting sign-out on 20 patients overnight can safely
assume that the data for 10 of them will be inaccurate or outdated in 6 h and
that it will be inaccurate on another two by the morning.
PMID- 26558825
TI - Common variants in LEPR, IL6, AMD1, and NAMPT do not associate with risk of
juvenile and childhood obesity in Danes: a case-control study.
AB - BACKGROUND: Childhood obesity is a highly heritable disorder, for which the
underlying genetic architecture is largely unknown. Four common variants involved
in inflammatory-adipokine triggering (IL6 rs2069845, LEPR rs1137100, NAMPT
rs3801266, and AMD1 rs2796749) have recently been associated with obesity and
related traits in Indian children. The current study aimed to examine the effect
of these variants on risk of childhood/juvenile onset obesity and on obesity
related quantitative traits in two Danish cohorts. METHODS: Genotype information
was obtained for 1461 young Caucasian men from the Genetics of Overweight Young
Adults (GOYA) study (overweight/obese: 739 and normal weight: 722) and the Danish
Childhood Obesity Biobank (TDCOB; overweight/obese: 1022 and normal weight: 650).
Overweight/obesity was defined as having a body mass index (BMI) >=25 kg/m(2);
among children and youths, this cut-off was defined using age and sex-specific
cut-offs corresponding to an adult body mass index >=25 kg/m(2). Risk of obesity
was assessed using a logistic regression model whereas obesity-related
quantitative measures were analyzed using a general linear model (based on z
scores) stratifying on the case status and adjusting for age and gender. Meta
analyses were performed using the fixed effects model. RESULTS: No statistically
significant association with childhood/juvenile obesity was found for any of the
four gene variants among the individual or combined analyses (rs2069845 OR: 0.94
CI: 0.85-1.04; rs1137100 OR: 1.01 CI: 0.90-1.14; rs3801266: 0.96 CI: 0.84-1.10;
rs2796749 OR: 1.02 CI: 0.90-1.15; p > 0.05). However, among normal weight
children and juvenile men, the LEPR rs1137100 A-allele significantly associated
with lower BMI (beta = -0.12, p = 0.0026). CONCLUSIONS: The IL6, LEPR, NAMPT, and
AMD1 gene variants previously found to associate among Indian children did not
associate with risk of obesity or obesity-related quantitative measures among
Caucasian children and juvenile men from Denmark.
PMID- 26558827
TI - Author response: from analysis to learning.
PMID- 26558828
TI - Opportunities for incident reporting. Response to: 'The problem with incident
reporting' by Macrae et al.
PMID- 26558829
TI - "I never expected that it would happen, coming to ask me such questions":Ethical
aspects of asking children about violence in resource poor settings.
AB - BACKGROUND: International epidemiological research into violence against children
is increasing in scope and frequency, but little has been written about practical
management of the ethical aspects of conducting such research in low and middle
income countries. In this paper, we describe our study procedures and reflect on
our experiences conducting a survey of more than 3,700 primary school children in
Uganda as part of the Good Schools Study, a cluster randomised controlled trial
of a school-based violence prevention intervention. Children were questioned
extensively about their experiences of physical, sexual, and emotional violence
from a range of different perpetrators. We describe our sensitisation and consent
procedures, developed based on our previous research experience and requirements
for our study setting. To respond to disclosures of abuse that occurred during
our survey, we describe a referral algorithm developed in conjunction with local
services. We then describe our experience of actually implementing these
procedures in our 2012 survey, based on reflections of the research team. Drawing
on 40 qualitative interviews, we describe children's experiences of participating
in the survey and of being referred to local child protection services. RESULTS:
Although we were able to implement much of our protocol in a straightforward
manner, we also encountered major challenges in relation to the response of local
services to children's disclosures of violence. The research team had to
intervene to ensure that children were provided with appropriate support and that
our ethical obligations were met. CONCLUSIONS: In resource poor settings, finding
local services that can provide appropriate support for children may be
challenging, and researchers need to have concrete plans and back-up plans in
place to ensure that obligations can be met. The merits of mandatory reporting of
children's disclosures to local services need to be considered on a case by case
basis-in some places this has the potential to do harm. Research teams also must
agree on what level of ancillary care will be provided, and budget accordingly.
Further practical examples of how to address the challenges encountered in this
work are needed, in order to build a consensus on best practices. TRIAL
REGISTRATION: NCT01678846 (clinicaltrials.gov), August 24, 2012.
PMID- 26558830
TI - INR variability and outcomes in patients with mechanical heart valve prosthesis.
AB - BACKGROUND: The quality of treatment with warfarin is mainly assessed by the time
in therapeutic range (TTR) in patients with mechanical heart valve prosthesis
(MHV). Our aim was to evaluate if International Normalized Ratio (INR)
variability predicted a combined endpoint of thromboembolism, major bleeding and
death better than TTR. METHODS AND RESULTS: We included 394 patients at one
center with MHV during 2008-2011 with adverse events and death followed
prospectively. TTR 2.0-4.0 and log-transformed INR variability was calculated for
all patients. In order to make comparisons between the measures, the gradient of
the risk per one standard deviation (SD) was assessed. INR variability performed
equal as TTR 2.0-4.0 per one SD unit adjusted for covariates, hazard ratio (HR)
1.30 (95% CI 1.1-1.5) and 0.71 (95% CI 0.6-0.8) respectively for the combined
endpoint, and performed better for mortality HR 1.47 (95% CI 1.1-1.9) and 0.70
(95% CI 0.6-0.8). INR variability was categorized into high and low group and TTR
into tertiles. High variability within the low and high TTR, had a HR 2.0 (95% CI
1.7-3.6) and 2.2 (95% CI 1.1-4.1) respectively, of the combined endpoint compared
to the low variability/high TTR group. INR values <2.0 greatly increased the rate
of thromboembolism whereas the rate of major bleeding increased moderately
between INR 3.0 and 4.0 and increased substantially after INR >4.0. CONCLUSION:
The INR variability is an equal predictor as TTR of the combined endpoint of
thromboembolism, major bleeding and death, and adds important information on top
of TTR in patients with MHV.
PMID- 26558831
TI - Changes in muscle damage, inflammation, and fatigue-related parameters in young
elite soccer players after a match.
AB - BACKGROUND: Professional soccer players are subjected to substantial physical
loads during competitive seasons. We aimed to explore the changes induced by a
soccer match on muscle damage and inflammation biomarkers, and their relationship
with fatigue parameters. METHODS: Twenty young male professional in-field soccer
players from an Italian Serie A team (age 17-20 years, weight 73.0+/-7.0 kg,
height 1.81+/-0.05m) played a 90-minute soccer match. Players' distances and
velocities were recorded during the match. Before the match and 30 minutes, 24
and 48 hours after the match, blood samples were drawn and a full blood cell
count was determined, along with serum creatine kinase (CK), interleukin 6 (hsIL
6), cortisol and testosterone. At the same time intervals, counter-movement jump
(CMJ) performance was recorded. RESULTS: The players covered fewer meters at low
velocities in the second period while the meters covered at higher intensity
remained unchanged. CMJ height was lower at all postgame time-points compared to
the pregame measurement. Immediately after the match, CK, hs-IL6 and neutrophil
counts were elevated. 24 and 48 hours after the match, CK and neutrophil counts
remained significantly elevated. The distance covered during the game was found
to be correlated with the values for postmatch hsIL-6 (rho=0.521, P=0.027), post
24-hour cortisol (r=0.502, P=0.034) and the increase in cortisol at 48 hours with
respect to prematch values (r=0.515, P=0.029). CONCLUSIONS: A soccer match
provokes a transient systemic imbalance that results in muscle damage and
inflammatory and performance-related parameter changes. HsIL-6 and cortisol could
be used to monitor recovery processes and as fatigue markers, even for short time
periods.
PMID- 26558832
TI - Acute physiological changes in elite free-style wrestlers during a one-day
tournament.
AB - BACKGROUND: The purpose of this study was to examine free radical production,
muscle damage and inflammation responses of well-trained wrestlers to a simulated
one-day tournament of free-style wrestling. METHODS: Twelve elite competitive
wrestlers with mean age (+/-SD) of 24.09+/-6.20 years, body mass 74.09+/-11.50
kg, and body height 174.90+/-8.8 cm and who had competed for national teams
completed five matches according to the official Olympic wrestling tournament
regulations. Blood sampling was collected before and after fifth match. Baseline
blood testing was measured at 10:00 a.m. and then matches started at 12:00. Each
match was implemented within one hour. Also, the resting time was 45 minutes
following each match. The measurements were analyzed by Wilcoxon Signed Ranks
Test, which is used to test for significant differences between pre- and post
test. RESULTS: The post-match lactate dehydrogenase (LDH), creatine kinase (CK),
and interleukin (IL)-6 levels were significantly increased compared with the
baseline status. However, baseline malondialdehyde levels were not found
significantly different compared with post-match. CONCLUSIONS: The current study
ensured that one-day Free-Style wrestling tournament brings about significantly
increasing on CK, LDH of muscle damage markers. Also, inflammatory status showed
a progressive worsening during the course of one-day tournament. The study showed
enhanced muscle damage markers and inflammatory status after one-day Free-Style
wrestling tournament. Therefore, it appears that one-day free-style wrestling
tournament imposes significant physiological demands on wrestlers that may
adversely affect their performance and inflammatory status, thereby putting the
athletes in a greater risk for injury.
PMID- 26558833
TI - Effects on power, strength and lean body mass of menstrual/oral contraceptive
cycle based resistance training.
AB - BACKGROUND: The purpose of this study was to investigate the effects of menstrual
and oral contraceptive cycle on a high frequency periodized leg resistance
training in trained women. METHODS: Two groups performed high frequency leg
resistance training for two weeks of each menstrual/oral contraceptive cycle for
four months. The remaining part of the cycle they performed the leg training once
a week. Group 1 (N.=19) trained with high frequency (5 times?w-1) during the
first two weeks of each cycle, and group 2 (N.=19) during the last two weeks of
each cycle. A control group (N.=21) performed regurlar (3 times?w-1) leg
resistance training for four months. RESULTS: Significant increase in squat and
countermovement jump, and peak torque values in hamstrings for group 1 were
observed, but not in group 2. In the control group an increase in squat and
countermovement jump, and peak torque (only left hamstring) was also observed.
There was also a significant increase in lean body mass of the legs in group 1
only. There were no evident differences in the training effects between women
with or without oral contraceptive. CONCLUSIONS: The high frequency periodized
leg resistance training during the first two weeks of the menstrual cycle is more
beneficial to optimize training than in the last two weeks. Resistance training
during the first two weeks of the menstrual cycle even resulted in a larger gain
of lean body mass than regular training.
PMID- 26558834
TI - Ground surface nature can influence visual information contribution in postural
control.
AB - BACKGROUND: In sport, the nature of ground surface is likely to influence the
contribution of visual information on postural control. Boxing and wrestling are
respectively practiced on firm and soft ground surfaces. The aim was to compare
the postural control of boxers with that of wrestlers on stable (firm) and
unstable (soft and dynamic) ground surfaces, with and without deprivation of
vision. METHODS: Fifteen male international boxers and 15 male international
wrestlers presenting the same anthropometrics characteristics and the same number
of years of sports practice were recruited. Spatiotemporal parameters of
displacement of the center of feet pressure (COP) were measured on a force
platform in static (firm and foam surfaces with eyes open and eyes closed) and
dynamic conditions (medio/lateral and antero-posterior directions with eyes open
and eyes closed). RESULTS: The results mainly showed a significant vision * group
interaction in the antero-posterior direction for the dynamic postural condition
(P<0.017). This indicated that the contribution of visual information was greater
for the boxers than for the wrestlers in challenging condition (P=0.030).
CONCLUSIONS: Further studies are needed to confirm whether the nature of ground
surface influences the contribution of visual information, which can be, in turn,
affected by the specificity of motor skills.
PMID- 26558835
TI - Acute inflammatory response to prolonged sculling in competitive male rowers.
AB - BACKGROUND: This study examined the effects of a prolonged low-intensity single
scull rowing exercise on a complex of 12 different inflammatory cytokines in
rowers. METHODS: Twenty male rowers (19.0+/-2.9 yrs; 185.6+/-4.8 cm; 85.7+/-10.8
kg; 17.1+/-5.1% body fat; maximal oxygen consumption [VO2max]: 63.9+/-8.5 mL.min.
1kg-1) completed a rowing training session lasting about 2-h (distance: 21.4+/
1.8 km; heart rate [HR]: 139+/-8 beats.min-1; intensity: 79.9+/-3.6% of the
anaerobic threshold) followed by a 30-min rest. Venous blood samples were
collected before and after on-water rowing, and analyzed for blood white cell
count (WBC), high sensitive C-reactive protein (hsCRP), and 12 inflammatory
cytokines (interleukin [IL]-2, IL-4, IL-6, IL-8, IL-10, vascular endothelial
growth factor, interferon-gamma, tumor necrosis factor-alpha, IL-1alpha, IL
1beta, monocyte chemotactic protein-1 [MCP-1], epidermal growth factor). RESULTS:
Exercise induced significant (P<0.05) increment in WBC, hsCRP, IL-6, IL-10 and
MCP-1 concentrations. The long-distance sculling intensity variables such as the
average rating of perceived exertion, HR and blood lactate were correlated with
changes in IL-8, IL-1alpha and IL-1beta levels (r=0.47 to r=0.59; P<0.05).
Maximal aerobic performance variables (VO2max and maximal aerobic power) were
related to changes in IL-2, IL-4, IL-8 and IL-1beta levels (r=-0.45 to r=-0.54;
P<0.05). CONCLUSIONS: Acute exercise-induced inflammatory reaction was reflected
by a significant increase in serum IL-6, IL-10 and MCP-1 levels. Variance in
exercise-induced increases in inflammatory markers in response to 2-h of
endurance exercise was explained by aerobic performance and exercise intensity
levels in competitive male rowers.
PMID- 26558836
TI - Effect of isometric handgrip training on resting blood pressure in adults: a meta
analysis of randomized controlled trials.
AB - INTRODUCTION: The aims of this study were to update the meta-analysis of the
effect of isometric handgrip (IHG) training on resting systolic blood pressure
(SBP), diastolic blood pressure (DBP), and heart rate (HR) in healthy adults, and
to analyze the association between IHG training and participants with different
initial BP status. EVIDENCE ACQUISITION: PubMed, EMBASE, and Cochrane library
were searched for eligible studies until Nov. 24, 2014. Cochran's Q statistic and
the I2 statistic were used to assess the heterogeneity among included studies,
and for the homogeneous outcomes (P>=0.05 and I2<50%) a fixed-effects model was
selected for meta-analysis, while a random-effects model was applied for
heterogeneous outcomes (P<0.05 or I2>=50%). Mean difference (MD) with 95%
confidence interval (CI) was calculated to evaluate the effects of IHR on
participants. EVIDENCE SYNTHESIS: A total of 7 trials from 6 articles were
included, consisting of 157 subjects. The results suggested that SBP (MD=-8.33,
95% CI: -11.19 to -5.46; P<0.01) and DBP (MD=-3.93, 95% CI: -6.14 to -1.72;
P<0.01) were significantly decreased in IHG training group compared with control
group. In subgroup analysis, SBP, DBP, and HR were all significantly decreased in
prehypertensive subjects (P<0.01). In medicated hypertensive subgroup and
normotensive subgroup, only SBP and DBP were significantly reduced (P<0.01).
CONCLUSIONS: IHG training lowers resting SBP and DBP in healthy adults, and IHG
training may be an efficacious form of clinical treatment or prevention of
hypertension.
PMID- 26558837
TI - Decreased interlimb differences in female basketball players.
AB - BACKGROUND: Hand preference can be influenced by some factors like sensory
information and sports participation. In many sports, it is always desirable to
have the similar performance of both hands to adapt to the fast changes of the
game. Elite basketball players use their left non-dominant hand more accurately
and more frequently during the game compared to amateurs. However, there is no
quantitative data to explain this phenomenon. The aim of the study was to test
whether participation of long-term basketball training influences interlimb
difference and also observed more accurate and more frequent usage of the non
dominant hand in basketball players that can be explained by some kinematic
variables during an aiming task. METHODS: Professional right-handed female
basketball players and age-matched non-athletes were asked to reach one of three
targets in a virtual reality environment setup with either their non-dominant or
dominant hand. Two kinematic parameters depicting motor performance asymmetries
were measured: accuracy and hand path deviation from linearity (HPDL). RESULTS:
No interlimb differences for basketball players but significant asymmetrical
performance for non-athletes were observed. Although the aiming task used in this
study is not a basketball specific task, basketball players still displayed
better performance compared to non-athletes in both accuracy and HPDL.
CONCLUSIONS: The current study implies that not only sensorimotor information but
also participation of long-term sports activity can modify interlimb difference.
Moreover, basketball players having symmetrical motor performance of both hands,
which was found in this study, can indirectly explain the more frequent usage of
the non-dominant left hand in basketball players.
PMID- 26558838
TI - Sleep recovery in participants after racing in the Finnmarkslop - Europe's
longest dog-sled race.
AB - BACKGROUND: During the dog-sled race, the Finnmarkslop (FL), which lasts up to 7
days, participants get little sleep and what they get is fragmented; concerns
have been raised about proper sleep recovery. The aim of this study was to
examine awareness of sleep deprivation by FL participants and post-race sleep
recovery after completion of the race. METHODS: A total of 55 participants
responded to an online survey 1 week and 1 month after the race; this measured
the following factors: their awareness of sleep loss and possible strategies for
recovery; their sleep-wake patterns using a Pittsburgh Sleep Questionnaire Index;
and possible changes in respondents' sleep-wake patterns compared with their
regular routines. RESULTS: During the FL, participants slept about 3-4 hours a
day. Many were not aware of the accumulated sleep debt and did not engage in
strategies to make up the loss. Insufficient levels of sleep and impoverished
sleep quality were observed after the race, especially among those who were
engaged in the FL for a longer period. Alertness levels were affected 1 week
after the race. CONCLUSIONS: Among participants in the FL, the lack of awareness
of sleep debt and insufficient sleep recovery could lead to health consequences.
Those engaged in the race for longer should be more cautious during the recovery
process because of possible sleep problems occurring after the race. Educational
campaigns and easy access to professional support should be provided for
participants in this type of sporting event.
PMID- 26558840
TI - Paenibacillus cavernae sp. nov., isolated from soil of a natural cave.
AB - A Gram-reaction-variable, strictly aerobic, motile, rod-shaped bacterium,
designated strain C4-5T, was isolated from soil of a natural cave. Cells were
oxidase- and catalase-positive and formed endospores in sporangia. The 16S rRNA
gene sequence comparison showed that the organism formed a distinct clade within
the genus Paenibacillus and was most closely related to Paenibacillus contaminans
CKOBP-6T ( = KCTC 13623T) (96.85 % 16S rRNA gene sequence similarity) followed by
Paenibacillus doosanensis CAU 1005T ( = KCTC 33036T) (94.82 %). The following
chemotaxonomic features of strain C4-5T are typical for the genus Paenibacillus:
meso-diaminopimelic acid as the diagnostic diamino acid in the cell-wall
peptidoglycan, MK-7 as the predominant menaquinone, the major fatty acids of
anteiso-C15 : 0, iso-C16 : 0 and C16 : 0 and the DNA G+C content of 54.8 mol%.
The major polar lipids were diphosphatidylglycerol, phosphatidylethanolamine,
phosphatidylglycerol and four unidentified aminophospholipids. The phenotypic and
phylogenetic data presented support that strain C4-5T represents a novel species
of the genus Paenibacillus, for which the name Paenibacillus cavernae sp. nov. is
proposed. The type strain is C4-5T ( = KCTC 33652T = DSM 100100T).
PMID- 26558839
TI - Validation of an Age-adjusted Prostate Cancer-Specific Comorbidity Index.
AB - Estimating competing mortality is of paramount importance for prostate cancer
screening candidates and men with early prostate cancer. An age-adjusted prostate
cancer-specific comorbidity index (PCCI) was developed recently for this purpose
in an unselected population of 1598 men. We validated this mortality index in a
sample of 2961 patients who consecutively underwent radical prostatectomy between
1992 and 2007 at our institution. In patients with a PCCI of 0, 1-2, 3-4, 5-6, 7
9, and >=10 who were selected for radical prostatectomy, the 10-yr competing
mortality rates were 2%, 9%, 17%, 27%, 56%, and 0% (n=3), respectively, compared
with 10%, 19%, 35%, 60%, 79%, and 99%, respectively, in the unselected
development cohort. The PCCI is well suited to stratify patients with prostate
cancer according to their risk of competing mortality. In candidates for radical
prostatectomy, however, the 10-yr competing mortality rates are approximately
half as high as in unselected patients with the same PCCI risk level. PATIENT
SUMMARY: With stratification by the age-adjusted prostate cancer-specific
comorbidity index, the 10-yr competing mortality rate in men selected for radical
prostatectomy is approximately half as high as in unselected patients at the same
level of comorbid risk.
PMID- 26558841
TI - Effect of co-morbidities on the development of oral feeding ability in pre-term
infants: a retrospective study.
AB - Pre-term infants frequently experience difficulties in attaining independent oral
feeding, thus delaying the achievement of an adequate nutritional status and
hospital discharge. The aim of this retrospective, single-centre, observational
study was to investigate the effect of co-morbidities on the timing of the
achievement of full oral feeding in pre-term infants. The neonatal and feeding
data of 84 infants born at a gestational age of <32 weeks were collected, and the
effect of co-morbidities on the achievement of feeding independence was evaluated
using multiple linear regression analysis. The mean postmenstrual age at the time
of the achievement of full oral feeding was 36.7 +/- 3.68 weeks (range 33-53)
weeks. The multiple linear regression analysis showed that a low birth weight,
the occurrence of bronchopulmonary dysplasia, and the need for gastrointestinal
surgical procedures were independently associated with a higher postmenstrual age
at achievement of full oral feedings.
PMID- 26558842
TI - Cofilin 1 activation prevents the defects in axon elongation and guidance induced
by extracellular alpha-synuclein.
AB - Impaired adult neurogenesis and axon traumatic injury participate in the severity
of neurodegenerative diseases. Alpha-synuclein, a cytosolic protein involved in
Parkinson's disease, may be released from neurons, suggesting a role for excess
secreted alpha-synuclein in the onset and spread of the pathology. Here we
provide evidence that long term exposure of young neurons to extracellular alpha
synuclein hampers axon elongation and growth cone turning. We show that actin
turnover and the rate of movement of actin waves along the axon are altered, due
to alpha-synuclein-induced inactivation of cofilin. Upon laser disruption of
microfilaments, healing of axons is favored by the increased phosphorylation of
cofilin, however, at later time points; the defect in neurite extension prevails,
being lost the regulation of cofilin activity. Importantly, overexpression of the
active form of cofilin in neurons exposed to alpha-synuclein is able to restore
the movement of actin waves, physiological axon elongation and growth cone
turning. Our study reveals the molecular basis of alpha-synuclein-driven deficits
in growth and migration of newborn neurons, and in elongation and regeneration of
adult neurons.
PMID- 26558843
TI - Electromyographic Comparison of Elastic Resistance and Machine Exercises for High
Intensity Strength Training in Patients With Chronic Stroke.
AB - OBJECTIVE: To investigate whether elastic resistance training can induce
comparable levels of muscle activity as conventional machine training in patients
with chronic stroke. DESIGN: Comparative study. SETTING: Outpatient
rehabilitation facility. PARTICIPANTS: Stroke patients (N=18) with hemiparesis
(mean age, 57 +/- 8y). INTERVENTIONS: Patients performed 3 consecutive
repetitions at 10 repetition maximum of unilateral knee extension and flexion
using elastic resistance and conventional machine training. MAIN OUTCOME
MEASURES: Surface electromyography was measured in vastus lateralis, vastus
medialis, biceps femoris, and semitendinosus and was normalized to maximal
electromyography (% of max) of the nonparetic leg. RESULTS: In the paretic leg,
agonist muscle activity ranged from 18% to 24% normalized electromyography (% of
max) (nEMG) during knee flexion and from 32% to 40% nEMG during knee extension.
For knee extension, vastus lateralis nEMG was higher during machine exercise than
during elastic resistance exercise (40% [95% confidence interval {CI}, 33-47] vs
32% [95% CI, 25-39]; P=.003). In the nonparetic leg, agonist muscle activity
ranged from 54% to 61% during knee flexion and from 52% to 68% during knee
extension. For knee flexion semitendinosus nEMG was higher (61% [95% CI, 50-71]
vs 54% [95% CI, 44-64]; P=.016) and for knee extension vastus medialis nEMG was
higher (68% [95% CI, 60-76] vs 56% [95% CI, 48-64]; P<.001) during machine
exercise than during elastic resistance exercise. By contrast, antagonist
coactivation was significantly higher during knee flexion when performed using
elastic resistance compared with the machine. Lastly, there were no differences
in perceived exertion between exercise modalities. CONCLUSIONS: Machine training
appears to induce slightly higher levels of muscle activity in some of the
investigated muscles compared to elastic resistance during lower limb strength
training in patients with chronic stroke. The higher level of coactivation during
knee flexion when performed using elastic resistance suggests that elastic
resistance exercises are more difficult to perform. This is likely due to a
higher level of movement instability.
PMID- 26558844
TI - Whither Research Domain Criteria (RDoC)?: The Good, the Bad, and the Ugly.
PMID- 26558845
TI - Preparing for imported Ebola cases in Israel, 2014 to 2015.
AB - During the current outbreak of Ebola virus disease (EVD) in West Africa,
preventing exportation of the disease posed many challenges for economically more
developed countries. In Israel, although the risk of importing single cases was
assumed to be low, the implications of local transmission were great. This
article describes the EVD preparedness plan of the Israeli Ministry of Health.
Key elements were a sensitive case definition, designation of a single treatment
centre for suspected and confirmed cases, construction of a mobile unit using
customised negative-pressure tents and a vigorous national training programme.
There were no patients with EVD in Israel, but a few suspected cases were
assessed. The Israeli plan may provide a template for emergency infectious
disease response in other geographically small countries.
PMID- 26558846
TI - Femoral Hernias in Patients Undergoing Total Extraperitoneal Laparoscopic Hernia
Repair: Including Routine Evaluation of the Femoral Canal in Approaches to
Inguinal Hernia Repair.
PMID- 26558847
TI - Assessment and characterization of biofilm formation among human isolates of
Streptococcus dysgalactiae subsp. equisimilis.
AB - The capacity to form biofilm is considered a protective mechanism that allows the
bacteria to survive and proliferate in hostile environments, facilitating the
maintenance of the infectious process. Recently, biofilm has become a topic of
interest in the study of the human pathogen group A Streptococcus (GAS). Although
GAS has not been associated with infection on medical implants, the presence of
microcolonies embedded in an extracellular matrix on infected tissues has been
reported. Despite the similarity between GAS and Streptococcus dysgalactiae
subspecies equisimilis (SDSE), there are no studies in the literature describing
the production of biofilm by SDSE. In this work, we assessed and characterized
biofilm development among SDSE human isolates of group C. The in vitro data
showed that 59.3% of the 118 isolates tested were able to form acid-induced
biofilm on glass, and 28% formed it on polystyrene surfaces. More importantly,
biofilm was also formed in a foreign body model in mice. The biofilm structure
was analyzed by confocal laser scanning microscopy, transmission electron
microscopy, and scanning electron microscopy. Long fibrillar-like structures were
observed by scanning electron microscopy. Additionally, the expression of a pilus
associated gene of SDSE was increased for in vitro sessile cells compared with
planktonics, and when sessile cells were collected from biofilms formed in the
animal model compared with that of in vitro model. Results obtained from the
immunofluorescence microscopy indicated the biofilm was immunogenic. Our data
also suggested a role for proteins, exopolysaccharide and extracellular DNA in
the formation and accumulation of biofilm by SDSE.
PMID- 26558848
TI - Arsenic removal from contaminated brackish sea water by sorption onto Al
hydroxides and Fe phases mobilized by land-use.
AB - This study examines the spatial and temporal distribution patterns of arsenic
(As) in solid and aqueous materials along the mixing zone of an estuary, located
in the south-eastern part of the Bothnian Bay and fed by a creek running through
an acid sulfate (AS) soil landscape. The concentrations of As in solution form
(<1 kDa) increase steadily from the creek mouth to the outer estuary, suggesting
that inflowing seawater, rather than AS soil, is the major As source in the
estuary. In sediments at the outer estuary, As was accumulated and diagenetically
cycled in the surficial layers, as throughout much of the Bothnian Bay. In
contrast, in sediments in the inner estuary, As concentrations and accumulation
rates showed systematical peaks at greater depths. These peaks were overall
consistent with the temporal trend of past As discharges from the Ronnskar
smelter and the accompanied As concentrations in past sea-water of the Bothnian
Bay, pointing to a connection between the historical smelter activities and the
sediment-bound As in the inner estuary. However, the concentrations and
accumulation rates of As peaked at depths where the smelter activities had
already declined, but a large increase in the deposition of Al hydroxides and Fe
phases occurred in response to intensified land-use in the mid 1960's and early
1970's. This correspondence suggests that, apart from the inflowing As
contaminated seawater, capture by Al hydroxides, Fe hydroxides and Fe-organic
complexes is another important factor for As deposition in the inner estuary.
After accumulating in the sediment, the solid-phase As was partly remobilized, as
reflected by increased pore-water As concentrations, a process favored by As(V)
reduction and high concentrations of dissolved organic matter.
PMID- 26558850
TI - Proxemics in Couple Interactions: Rekindling an Old Optic.
AB - Utilizing as a lens the interpersonal implications of physical interpersonal
distances in social contexts (a set of variables present during the professional
discourse during the 1960s and 1970s, to then fade away), this article explores
interactive process displayed by the protagonic couple in Bela Bartok's opera
"Bluebeard Castle," an exercise aimed at underlining the value of maintaining
proxemics as an explicit level of observation for clinical practice and
interpersonal research.
PMID- 26558849
TI - Development and Effects of FTY720 Ophthalmic Solution on Corneal Allograft
Survival.
AB - Fingolimod (FTY720), a novel class of sphingosine 1-phosphate receptor
modulators, has received special interest among ophthalmologists, particularly
given that oral administration of FTY720 has proven to effectively treat corneal
graft rejection in animal models. However, no studies have examined the
performance of FTY720 as an ophthalmic solution in reducing corneal rejection in
high-risk corneal rejection models, and the stability and ocular irritation
profile of FTY720 ophthalmic solution are also unknown. Thus, we developed 0.1%,
0.2% and 0.5% FTY720 ophthalmic solutions and evaluated their chemical
stabilities under various storage conditions with high- performance liquid
chromatography. To investigate the ocular irritancy of the FTY720 ophthalmic
solution, New Zealand albino rabbits were subjected to the Draize test.
Furthermore, classic, well-established rat allogenic penetrating keratoplasty
models were used to investigate the anti-rejection efficacy of the tested FTY720
ophthalmic solutions. We found that the non-irritating 0.5% FTY720 ophthalmic
solution could prolong corneal allograft survival in rats with significant
efficacy for about one month. Furthermore, no significant concentration changes
occurred in any of the types of FTY720 ophthalmic solutions within three months.
These results revealed crucial profiles of FTY720 ophthalmic solutions and
warrant further investigation and optimization of FTY720 in the anti-rejection
therapy after keratoplasty.
PMID- 26558851
TI - Semi-automated quantitative intravoxel incoherent motion analysis and its
implementation in breast diffusion-weighted imaging.
AB - BACKGROUND: To optimize and validate intravoxel incoherent motion (IVIM) modeled
diffusion-weighted imaging (DWI) compared with the apparent diffusion coefficient
(ADC) for semi-automated analysis of breast lesions using a multi-reader setup.
MATERIALS AND METHODS: Patients (n = 176) with breast lesions (>=1 cm) and known
pathology were prospectively examined (1.5 Tesla) with DWI (b = 0, 50, 200, 500,
800, 1000 s/mm(2) ) between November 2008 and July 2014 and grouped into a
training and test set. Three independent readers applied a semi-automated
procedure for setting regions-of-interest for each lesion and recorded ADC and
IVIM parameters: molecular diffusion (Dslow ), microperfusion (Dfast ), and the
fraction of Dfast (ffast ). In the training set (24 lesions, 12 benign), a semi
automated method was optimized to yield maximum true negatives (TN) with minimal
false negatives (FN): only the optimal fraction (Fo) of voxels in the lesions was
used and optimal thresholds were determined. The optimal Fo and thresholds were
then applied to a consecutive test set (139 lesions, 23 benign) to obtain
specificity and sensitivity. RESULTS: In the training set, optimal thresholds
were 1.44 * 10(-3) mm(2) /s (Dslow ), 18.55 * 10(-3) mm(2) /s (Dfast ), 0.247
(ffast ) and 2.00 * 10(-3) mm(2) /s (ADC) with Fo set to 0.61, 0.85, 1.0, and
1.0, respectively, this resulted in TN = 5 (IVIM) and TN = 1 (ADC), with FN = 0.
In the test set, sensitivity and specificity among the readers were 90.5-93.1%
and 43.5-52.2%, respectively, for IVIM, and 94.8-95.7% and 13.0-21.7% for ADC (P
<= 0.0034) without inter-reader differences (P = 1.000). CONCLUSION: The
presented semi-automated method for breast lesion evaluation is reader
independent and yields significantly higher specificity for IVIM compared with
the ADC.
PMID- 26558852
TI - The World Health Organization safe surgery checklist as a catalyst for system
improvement.
PMID- 26558853
TI - Mining the hidden dysrhythmia - can machines get smarter at defining the
anaesthetised state?
PMID- 26558854
TI - Haematological malignancy and critical care - new bedfellows?
PMID- 26558855
TI - Implementation of the WHO Surgical Safety Checklist and surgical swab and
instrument counts at a regional referral hospital in Uganda - a quality
improvement project.
AB - The World Health Organization (WHO) Surgical Safety Checklist is a cost-effective
tool that has been shown to improve patient safety. We explored the applicability
and effectiveness of quality improvement methodology to implement the WHO
checklist and surgical counts at Mbarara Regional Referral Hospital in Uganda
between October 2012 and September 2013. Compliance rates were evaluated
prospectively and monthly structured feedback sessions were held. Checklist and
surgical count compliance rates increased from a baseline median (IQR [range]) of
29.5% (0-63.5 [0-67.0]) to 85.0% (82.8-87.5 [79.0-93.0]) and from 25.5% (0-52.5
[0-60.0]) to 83.0% (80.8-85.5 [69.0-89.0]), respectively. The mean all-or-none
completion rate of the checklist was 69.3% (SD 7.7, 95% CI [64.8-73.9]). Use of
the checklist was associated with performance of surgical counts (p value <
0.001; r(2) = 0.91). Pareto analysis showed that understaffing, malfunctioning
and lack of equipment were the main challenges. A carefully designed quality
improvement project, including stepwise incremental change and standardisation of
practice, can be an effective way of improving clinical practice in low-income
settings.
PMID- 26558856
TI - Critical care after lung resection: CALoR 1, a single-centre pilot study.
AB - Lung resection is associated with significant perioperative morbidity, and a
proportion of patients will require intensive care following surgery. We set out
to characterise this population, assess their burden of disease and investigate
the influence of anaesthetic and surgical techniques on their admission rate.
Over a two-year period, 1169 patients underwent surgery, with 30 patients (2.6%)
requiring unplanned intensive care. Patients requiring support had a higher
mortality (0.2% vs 26.7%, p < 0.001). Logistic regression (following adjustment
for Thoracoscore) revealed that an open surgical approach was associated with
higher likelihood of admission (p = 0.025, odds ratio = 5.25). There was also a
trend towards increased likelihood of admission in patients who received volatile
anaesthesia (p = 0.061, odds ratio = 2.08). This topic has been selected for
further investigation as part of the 2015 Association of Cardiothoracic
Anaesthetists (ACTA) second national collaborative audit, with this study
providing pilot data before a multi-centre study.
PMID- 26558857
TI - Design and validation of the Regional Anaesthesia Procedural Skills Assessment
Tool.
AB - The aim of this study was to create and evaluate the validity, reliability and
feasibility of the Regional Anaesthesia Procedural Skills tool, designed for the
assessment of all peripheral and neuraxial blocks using all nerve localisation
techniques. The first phase was construction of a 25-item checklist by five
regional anaesthesia experts using a Delphi process. This checklist was combined
with a global rating scale to create the tool. In the second phase, initial
validation by 10 independent anaesthetists using a test-retest methodology was
successful (Cohen kappa >= 0.70 for inter-rater agreement, scores between test to
retest, paired t-test, p > 0.12). In the third phase, 70 clinical videos of
trainees were scored by three blinded international assessors. The RAPS tool
exhibited face validity (p < 0.026), construct validity (p < 0.001), feasibility
(mean time to score < 3.9 min), and overall reliability (intraclass correlation
coefficient 0.80 (95% CI 0.67-0.88)). The Regional Anaesthesia Procedural Skills
tool used in this study is a valid and reliable assessment tool to score the
performance of trainees for regional anaesthesia.
PMID- 26558858
TI - A systematic review of sugammadex vs neostigmine for reversal of neuromuscular
blockade.
AB - We reviewed systematically sugammadex vs neostigmine for reversing neuromuscular
blockade. We included 17 randomised controlled trials with 1553 participants.
Sugammadex reduced all signs of residual postoperative paralysis, relative risk
(95% CI) 0.46 (0.29-0.71), p = 0.0004 and minor respiratory events, relative risk
(95% CI) 0.51 (0.32-0.80), p = 0.0034. There was no difference in critical
respiratory events, relative risk (95% CI) 0.13 (0.02-1.06), p = 0.06. Sugammadex
reduced drug-related side-effects, relative risk (95% CI) 0.72 (0.54-0.95), p =
0.02. There was no difference in the rate of postoperative nausea or the rate of
postoperative vomiting, relative risk (95% CI) 0.94 (0.79-1.13), p = 0.53, and
0.87 (0.65-1.17), p = 0.36 respectively.
PMID- 26558859
TI - Further reducing the risk of wrong site block.
PMID- 26558860
TI - Consent - more problems than solutions.
PMID- 26558861
TI - Consent - implications for day-to-day anaesthetic practice.
PMID- 26558862
TI - Paternalism and consent for anaesthesia - a reply.
PMID- 26558863
TI - Ethical issues in magnesium/sugammadex study.
PMID- 26558864
TI - Magnesium sulphate effects on sugammadex reversal time - a reply.
PMID- 26558865
TI - Is prophylactic epidural blood patch the answer?
PMID- 26558866
TI - Prophylactic epidural blood patch - a reply.
PMID- 26558867
TI - Prioritising emergency laparotomy.
PMID- 26558868
TI - Prioritising emergency laparotomy - a reply.
PMID- 26558869
TI - Red syringe labelling.
PMID- 26558870
TI - Peri-operative risk reduction in obese patients.
PMID- 26558871
TI - Magnetic resonance imaging safety of surgical clips and staples.
PMID- 26558872
TI - Videolaryngoscopy in trauma.
PMID- 26558873
TI - Residual neuromuscular blockade and tracheal extubation in recovery rooms - a
reply.
PMID- 26558874
TI - NATO phonetic alphabet for medical telecommunication.
PMID- 26558875
TI - Litholyme((r)) cost comparison with soda lime.
PMID- 26558877
TI - Two molecular measures of relatedness based on haplotype sharing.
AB - BACKGROUND: Measuring the extent of shared ancestry between individuals or
organisms is important in many fields, including forensic science, conservation
genetics and animal breeding. The traditional approach is to calculate the
expected degree of relatedness between individuals in a pedigree. This assumes
that the founders of the pedigree are non-inbred and unrelated to each other,
which is rarely the case. In contrast, molecular data allow measurement of actual
relatedness without knowledge of a pedigree. Methods to do this have been
proposed, but generally do not take the lengths of the genomic regions shared
between individuals into account. RESULTS: Two measures based on the extent of
haplotype sharing between genomes are proposed. The intercept measure B estimates
the fraction of shared genome between individuals, and the product measure C is
closely related to the numerator relationship matrix A. Both are based on a model
for the joint distribution of markers at the haplotype level. The two measures
are compared to the pedigree-based measure A and to vanRaden's G, a frequently
used molecular measure, using a set of data comprising 5037 dairy cattle. The
comparison criteria include the ability to capture genealogical relatedness and
the prediction accuracy obtained when used in genomic prediction. Both B and C
explain around 95 % of the variation in A, whereas G explains around 6 %. G
captures genealogical relatedness poorly, particularly for distantly related
individuals (second cousins or farther). Both B and C tend to be larger than A
but this can be ascribed to the assumption of non-inbred unrelated founders.
Using C in linear mixed models results in slightly higher prediction accuracy
than G, and using B results in slightly lower prediction accuracy. CONCLUSIONS:
The two proposed measures of relatedness capture genealogical relatedness well,
outperforming vanRaden's G in this respect. When used in genomic prediction
models, the product measure leads to slightly improved prediction accuracy.
PMID- 26558878
TI - Influence of Cardiac Function and Loading Conditions on the Myocardial
Performance Index - Theoretical Analysis Based on a Mathematical Model.
AB - BACKGROUND: The myocardial performance index (MPI) has emerged as a Doppler
derived index for global ventricular function capable of estimating combined
systolic and diastolic performance. While several studies have reported its load
dependency, responses of the MPI to various hemodynamic changes have not been
fully characterized. METHODS AND RESULTS: The response characteristics of the MPI
were examined and compared with ejection fractions (EF) by changing hemodynamic
parameters within the physiological range in a lumped parameter model of the
cardiovascular system. At baseline, the MPI was 0.42 and the EF was 0.68. Heart
rate increase resulted in a decrease in EF and an increase in the MPI. Reduction
in end-systolic elastance decreased EF and increased the MPI. Volume overload and
ventricular stiffening did not affect EF but paradoxically reduced the MPI.
Increased afterload due to higher systemic resistance resulted in a decrease in
EF and increase in the MPI, but afterload increase caused by reduced arterial
compliance led to a decrease in both EF and MPI. These MPI characteristics caused
paradoxical improvement of the MPI during disease progression of chronic heart
failure in a simulation of mitral regurgitation. CONCLUSIONS: The MPI is affected
by a wider variety of hemodynamic parameters than EF. In addition, it is
predicted to decrease paradoxically with volume overload, reduction in arterial
compliance, or ventricular diastolic stiffening. These MPI characteristics should
be considered when assessing cardiovascular dynamics using this index.
PMID- 26558876
TI - CTLA-4 and PD-1 Pathways: Similarities, Differences, and Implications of Their
Inhibition.
AB - The cytotoxic T-lymphocyte-associated antigen 4 (CTLA-4) and programmed death 1
(PD-1) immune checkpoints are negative regulators of T-cell immune function.
Inhibition of these targets, resulting in increased activation of the immune
system, has led to new immunotherapies for melanoma, non-small cell lung cancer,
and other cancers. Ipilimumab, an inhibitor of CTLA-4, is approved for the
treatment of advanced or unresectable melanoma. Nivolumab and pembrolizumab, both
PD-1 inhibitors, are approved to treat patients with advanced or metastatic
melanoma and patients with metastatic, refractory non-small cell lung cancer. In
addition the combination of ipilimumab and nivolumab has been approved in
patients with BRAF WT metastatic or unresectable melanoma. The roles of CTLA-4
and PD-1 in inhibiting immune responses, including antitumor responses, are
largely distinct. CTLA-4 is thought to regulate T-cell proliferation early in an
immune response, primarily in lymph nodes, whereas PD-1 suppresses T cells later
in an immune response, primarily in peripheral tissues. The clinical profiles of
immuno-oncology agents inhibiting these 2 checkpoints may vary based on their
mechanistic differences. This article provides an overview of the CTLA-4 and PD-1
pathways and implications of their inhibition in cancer therapy.
PMID- 26558879
TI - Prognostic Impact of Functional Mitral Regurgitation in Patients Admitted With
Acute Decompensated Heart Failure.
AB - BACKGROUND: Functional mitral regurgitation (FMR) is a common complication of
heart failure (HF) and worsens in acute decompensation. It is unclear whether FMR
on admission or discharge determines the outcome. This study aimed to elucidate
the prognostic significance of FMR on admission or discharge in patients admitted
with acute decompensated HF. METHODS AND RESULTS: From 2006 to 2009, 349 patients
admitted with acute decompensated HF were enrolled. They were followed with the
composite endpoint of all-cause death and hospitalization for HF; 74 (21%) died
and 113 (32%) developed the composite endpoint during 2.1+/-1.3 years.
Moderate/severe FMR at discharge was associated with the composite endpoint
(P=0.001), whereas that on admission was not. Multivariate Cox proportional
hazard analysis showed that moderate/severe FMR (hazard ratio [95% confidence
interval] =1.70 [1.03-2.73] P=0.04), logBNP, and NYHA class III/IV at discharge
were independent determinants of the outcome. Moderate/severe FMR at discharge
with BNP >=200 pg/ml was prognostic, but BNP <200 pg/ml was no longer prognostic.
CONCLUSIONS: Residual moderate/severe FMR after medical therapy for acute
decompensated HF was associated with poor outcome, suggesting a potential target
for further treatment of HF.
PMID- 26558880
TI - A comprehensive list of asthmagens to inform health interventions in the
Australian workplace.
AB - OBJECTIVE: To develop a comprehensive list of asthmagens which may occur in
occupational settings in Australia. METHODS: Potential asthmagens considered for
this list were identified through work health and safety classification databases
in Australia and through lists developed by professional associations in other
countries. Inclusion criteria were: there is evidence that the agent is
asthmagenic; it is used in occupational settings; and it is available in
Australia. RESULTS: The final list contained 277 asthmagens in 27 groups that may
be found in occupational circumstances in Australia. Three other agents that have
been documented as asthmagens in Australia were included: almond dust, fluoride
(in aluminium pot room fumes); and sawdust from the Australian Blackwood.
CONCLUSIONS: This is the first comprehensive and inclusive list of Australian
occupationally relevant asthmagens to have been compiled. IMPLICATIONS: This list
is specific for Australian workplace exposure to asthmagens. It will help focus
policy and preventative practices and reduce the burden of occupational asthma.
It will also be useful in future studies to identify those who are exposed to the
asthmagens and provide information to assist regulators to identify industries,
occupations, specific activities and existing exposure standards that can be
targeted to improve worker health and welfare.
PMID- 26558881
TI - Mussel-Inspired Materials: Self-Healing through Coordination Chemistry.
AB - Improved understanding of the underwater attachment strategy of the blue mussels
and other marine organisms has inspired researchers to find new routes to
advanced materials. Mussels use polyphenols, such as the catechol-containing
amino acid 3,4-dihydroxyphenylalanine (DOPA), to attach to surfaces. Catechols
and their analogues can undergo both oxidative covalent cross-linking under
alkaline conditions and take part in coordination chemistry. The former has
resulted in the widespread use of polydopamine and related materials. The latter
is emerging as a tool to make self-healing materials due to the reversible nature
of coordination bonds. We review how mussel-inspired materials have been made
with a focus on the less developed use of metal coordination and illustrate how
this chemistry can be widely to make self-healing materials.
PMID- 26558882
TI - Intangible and Economic Impacts of Hendra Virus Prevention Strategies.
AB - Hendra virus (HeV), a potentially fatal zoonotic disease spread by flying foxes,
to date has always infected humans via a spillover event from equine HeV
infection. In a theoretical case study, we compared the impacts of two different
HeV prevention strategies - vaccination and flying fox roost removal - using a
recently developed framework that considers different stakeholder group
perspectives. The perspectives of the four selected stakeholder groups regarding
intangibles were inferred from public discussions and coverage in the media. For
all stakeholder groups, the option to vaccinate horses was found to add value to
the economic results when the intangible impacts were included in the analysis,
while the option for roost removal unanimously detracted from economic analysis
value when the intangible impacts were included. Both the mean and median
stakeholder-adjusted value ratios (2.25 and 2.12, respectively) for vaccination
were inflated when intangible impacts were included, by value-adding to the
results of a traditional economic analysis. In the roost removal strategy, these
ratios (1.19 and 1.16, respectively) were deflated when intangible impacts were
included. Results of this theoretical study suggest that the inclusion of
intangible impacts promotes the value of a two-dose initial vaccination protocol
using a subunit vaccination considered to offer complete protection for horses,
as a strategy to control HeV, whereas roost removal becomes an even more costly
strategy. Outcome of the analysis is particularly sensitive to the intangible
value placed on human health. Further evaluation - via sociological methods - of
values placed on intangibles by various stakeholder groups is warranted.
PMID- 26558883
TI - You need to see the world in order to measure it: The importance of a high follow
up rate.
PMID- 26558884
TI - Vascular high ligation and embryological plane dissection in laparoscopic
restorative proctocolectomy for ulcerative colitis - a video vignette.
PMID- 26558885
TI - Spoonful of sugar: Improving the palatability of emergency department visits for
children and their families.
PMID- 26558886
TI - Remyelination in multiple sclerosis: realizing a long-standing challenge.
AB - Multiple sclerosis (MS) is a multifaceted disease, in which an inflammatory
autoimmune attack on the myelin in the central nervous system (CNS) leads to
extensive demyelination and subsequent axonal pathology. The challenge for MS
therapy is to combine effective immunomodulatory therapies with novel
neuroprotective approaches that promote repair, in particular remyelination,
beyond its limited spontaneous extent. Cumulative findings indicate that
immunomodulatory treatments can induce neuroprotective outcomes and provide a
supportive milieu for repair processes. Growing understanding of MS pathology
together with biotechnological advances has resulted in promising strategies such
as inhibitory molecules, monoclonal antibodies and cell therapies. Several
candidates that have shown significant effects on the oligodendrocyte population
and/or myelin synthesis in animal models are currently or soon to be tested in
clinical trials.
PMID- 26558887
TI - Molecular Rift: Virtual Reality for Drug Designers.
AB - Recent advances in interaction design have created new ways to use computers. One
example is the ability to create enhanced 3D environments that simulate physical
presence in the real world--a virtual reality. This is relevant to drug discovery
since molecular models are frequently used to obtain deeper understandings of,
say, ligand-protein complexes. We have developed a tool (Molecular Rift), which
creates a virtual reality environment steered with hand movements. Oculus Rift, a
head-mounted display, is used to create the virtual settings. The program is
controlled by gesture-recognition, using the gaming sensor MS Kinect v2,
eliminating the need for standard input devices. The Open Babel toolkit was
integrated to provide access to powerful cheminformatics functions. Molecular
Rift was developed with a focus on usability, including iterative test-group
evaluations. We conclude with reflections on virtual reality's future
capabilities in chemistry and education. Molecular Rift is open source and can be
downloaded from GitHub.
PMID- 26558888
TI - Laser-Limited Signatures of Quantum Coherence.
AB - Quantum coherence is proclaimed to promote efficient energy collection by light
harvesting complexes and prototype organic photovoltaics. However, supporting
spectroscopic studies are hindered by the problem of distinguishing between the
excited state and ground state origin of coherent spectral transients. Coherence
amplitude maps, which systematically represent quantum beats observable in two
dimensional (2D) spectroscopy, are currently the prevalent tool for making this
distinction. In this article, we present coherence amplitude maps of a molecular
dimer, which have become significantly distorted as a result of the finite laser
bandwidth used to record the 2D spectra. We argue that under standard
spectroscopic conditions similar distortions are to be expected for compounds
absorbing over a spectral range similar to, or exceeding, that of the dimer.
These include virtually all photovoltaic polymers and certain photosynthetic
complexes. With the distortion of coherence amplitude maps, alternative ways to
identify quantum coherence are called for. Here, we use numerical simulations
that reproduce the essential photophysics of the dimer to unambiguously determine
the excited state origin of prominent quantum beats observed in the 2D spectral
measurements. This approach is proposed as a dependable method for coherence
identification.
PMID- 26558889
TI - Synthesis of (Homooxa)calixarene-Monoquinones through the "All-but-One"
Methodology.
AB - The iteroselective "all-but-one" carbamatation methodology has been successfully
extended to homooxacalixarenes and used for the selective and controlled
synthesis of homooxacalixarene-monoquinones and calixarene-monoquinones. These
moquinone derivatives constitute interesting molecular platforms that, until now,
were inaccessible through any efficient means.
PMID- 26558890
TI - Decreased risk of hypertension in subjects with skin cancers - another salubrious
effect of sunlight?
PMID- 26558891
TI - The contrasting nature of woody plant species in different neotropical forest
biomes reflects differences in ecological stability.
AB - A fundamental premise of this review is that distinctive phylogenetic and
biogeographic patterns in clades endemic to different major biomes illuminate the
evolutionary process. In seasonally dry tropical forests (SDTFs), phylogenies are
geographically structured and multiple individuals representing single species
coalesce. This pattern of monophyletic species, coupled with their old species
stem ages, is indicative of maintenance of small effective population sizes over
evolutionary timescales, which suggests that SDTF is difficult to immigrate into
because of persistent resident lineages adapted to a stable, seasonally dry
ecology. By contrast, lack of coalescence in conspecific accessions of abundant
and often widespread species is more frequent in rain forests and is likely to
reflect large effective population sizes maintained over huge areas by effective
seed and pollen flow. Species nonmonophyly, young species stem ages and lack of
geographical structure in rain forest phylogenies may reflect more widespread
disturbance by drought and landscape evolution causing resident mortality that
opens up greater opportunities for immigration and speciation. We recommend full
species sampling and inclusion of multiple accessions representing individual
species in phylogenies to highlight nonmonophyletic species, which we predict
will be frequent in rain forest and savanna, and which represent excellent case
studies of incipient speciation.
PMID- 26558893
TI - Correction: MATtrack: A MATLAB-Based Quantitative Image Analysis Platform for
Investigating Real-Time Photo-Converted Fluorescent Signals in Live Cells.
PMID- 26558892
TI - Discovery in Droplets.
PMID- 26558894
TI - The Difference between Anxiolytic and Anxiogenic Effects Induced by Acute and
Chronic Alcohol Exposure and Changes in Associative Learning and Memory Based on
Color Preference and the Cause of Parkinson-Like Behaviors in Zebrafish.
AB - We describe an interdisciplinary comparison of the effects of acute and chronic
alcohol exposure in terms of their disturbance of light, dark and color
preferences and the occurrence of Parkinson-like behavior in zebrafish through
computer visual tracking, data mining, and behavioral and physiological analyses.
We found that zebrafish in anxiolytic and anxious states, which are induced by
acute and chronic repeated alcohol exposure, respectively, display distinct
emotional reactions in light/dark preference tests as well as distinct learning
and memory abilities in color-enhanced conditional place preference (CPP) tests.
Additionally, compared with the chronic alcohol (1.0%) treatment, acute alcohol
exposure had a significant, dose-dependent effect on anxiety, learning and memory
(color preference) as well as locomotive activities. Acute exposure doses (0.5%,
1.0%, and 1.5%) generated an "inverted V" dose-dependent pattern in all of the
behavioral parameters, with 1.0% having the greatest effect, while the chronic
treatment had a moderate effect. Furthermore, by measuring locomotive activity,
learning and memory performance, the number of dopaminergic neurons, tyrosine
hydroxylase expression, and the change in the photoreceptors in the retina, we
found that acute and chronic alcohol exposure induced varying degrees of
Parkinson-like symptoms in zebrafish. Taken together, these results illuminated
the behavioral and physiological mechanisms underlying the changes associated
with learning and memory and the cause of potential Parkinson-like behaviors in
zebrafish due to acute and chronic alcohol exposure.
PMID- 26558896
TI - Resource Limitation, Controphic Ostracod Density and Larval Mosquito Development.
AB - Aquatic environments can be restricted with the amount of available food
resources especially with changes to both abiotic and biotic conditions. Mosquito
larvae, in particular, are sensitive to changes in food resources. Resource
limitation through inter-, and intra-specific competition among mosquitoes are
known to affect both their development and survival. However, much less is
understood about the effects of non-culicid controphic competitors (species that
share the same trophic level). To address this knowledge gap, we investigated and
compared mosquito larval development, survival and adult size in two experiments,
one with different densities of non-culicid controphic conditions and the other
with altered resource conditions. We used Aedes camptorhynchus, a salt marsh
breeding mosquito and a prominent vector for Ross River virus in Australia. Aedes
camptorhynchus usually has few competitors due to its halo-tolerance and
distribution in salt marshes. However, sympatric ostracod micro-crustaceans often
co-occur within these salt marshes and can be found in dense populations, with
field evidence suggesting exploitative competition for resources. Our experiments
demonstrate resource limiting conditions caused significant increases in mosquito
developmental times, decreased adult survival and decreased adult size. Overall,
non-culicid exploitation experiments showed little effect on larval development
and survival, but similar effects on adult size. We suggest that the alterations
of adult traits owing to non-culicid controphic competition has potential to
extend to vector-borne disease transmission.
PMID- 26558895
TI - Seven New Complete Plastome Sequences Reveal Rampant Independent Loss of the ndh
Gene Family across Orchids and Associated Instability of the Inverted
Repeat/Small Single-Copy Region Boundaries.
AB - Earlier research has revealed that the ndh loci have been pseudogenized,
truncated, or deleted from most orchid plastomes sequenced to date, including in
all available plastomes of the two most species-rich subfamilies, Orchidoideae
and Epidendroideae. This study sought to resolve deeper-level phylogenetic
relationships among major orchid groups and to refine the history of gene loss in
the ndh loci across orchids. The complete plastomes of seven orchids, Oncidium
sphacelatum (Epidendroideae), Masdevallia coccinea (Epidendroideae), Sobralia
callosa (Epidendroideae), Sobralia aff. bouchei (Epidendroideae), Elleanthus
sodiroi (Epidendroideae), Paphiopedilum armeniacum (Cypripedioideae), and
Phragmipedium longifolium (Cypripedioideae) were sequenced and analyzed in
conjunction with all other available orchid and monocot plastomes. Most ndh loci
were found to be pseudogenized or lost in Oncidium, Paphiopedilum and
Phragmipedium, but surprisingly, all ndh loci were found to retain full, intact
reading frames in Sobralia, Elleanthus and Masdevallia. Character mapping
suggests that the ndh genes were present in the common ancestor of orchids but
have experienced independent, significant losses at least eight times across four
subfamilies. In addition, ndhF gene loss was correlated with shifts in the
position of the junction of the inverted repeat (IR) and small single-copy (SSC)
regions. The Orchidaceae have unprecedented levels of homoplasy in ndh gene
presence/absence, which may be correlated in part with the unusual life history
of orchids. These results also suggest that ndhF plays a role in IR/SSC junction
stability.
PMID- 26558897
TI - Toxicity of Carboxylic Acid-Containing Drugs: The Role of Acyl Migration and CoA
Conjugation Investigated.
AB - Many carboxylic acid-containing drugs are associated with idiosyncratic drug
toxicity (IDT), which may be caused by reactive acyl glucuronide metabolites. The
rate of acyl migration has been earlier suggested as a predictor of acyl
glucuronide reactivity. Additionally, acyl Coenzyme A (CoA) conjugates are known
to be reactive. Here, 13 drugs with a carboxylic acid moiety were incubated with
human liver microsomes to produce acyl glucuronide conjugates for the
determination of acyl glucuronide half-lives by acyl migration and with HepaRG
cells to monitor the formation of acyl CoA conjugates, their further conjugate
metabolites, and trans-acylation products with glutathione. Additionally, in
vitro cytotoxicity and mitochondrial toxicity experiments were performed with
HepaRG cells to compare the predictability of toxicity. Clearly, longer acyl
glucuronide half-lives were observed for safe drugs compared to drugs that can
cause IDT. Correlation between half-lives and toxicity classification increased
when "relative half-lives," taking into account the formation of isomeric AG
forms due to acyl migration and eliminating the effect of hydrolysis, were used
instead of plain disappearance of the initial 1-O-beta-AG-form. Correlation was
improved further when a daily dose of the drug was taken into account. CoA and
related conjugates were detected primarily for the drugs that have the capability
to cause IDT, although some exceptions to this were observed. Cytotoxicity and
mitochondrial toxicity did not correlate to drug safety. On the basis of the
results, the short relative half-life of the acyl glucuronide (high acyl
migration rate), high daily dose and detection of acyl CoA conjugates, or further
metabolites derived from acyl CoA together seem to indicate that carboxylic acid
containing drugs have a higher probability to cause drug-induced liver injury
(DILI).
PMID- 26558898
TI - Polypill treatments for cardiovascular diseases.
AB - Cardiovascular disease (CVD) is the leading cause of mortality globally.
Effective CVD preventive medications are available including statin, blood
pressure-lowering and antiplatelet medications; however most people do not take
these drugs long term. Fixed-dose combination pills ("polypills") have been
shown, in several clinical trials, to improve adherence to these recommended
medications, with corresponding improvements in risk factors such as blood
pressure and LDL-cholesterol. In patients not taking all modalities of
recommended CVD preventive therapies, polypill-based strategies could importantly
contribute to global CVD control strategies. The largest benefits are seen in
those who are under-treated at baseline, rather than those who are already taking
the individual components separately: simplified step-up is more important than
pill count reduction. Despite the potential benefits for patients and payers,
only a few polypills are available due to market failure in the funding of
research and development for affordable non-communicable disease medicines.
Regulatory paradigms have focused on substitution indications among patients
already taking component medications; however, this is the population that is
likely to receive the least benefit from a polypill-based strategy. Greater
health impact is likely if focus is given to patients who have indications for
all polypill components, but currently do not receive the benefits of recommended
medicines long term.
PMID- 26558899
TI - Effect of fish oil supplement in maintenance hemodialysis patients: a systematic
review and meta-analysis of published randomized controlled trials.
AB - PURPOSE: The purpose of this study was to systematically assess the efficacy of
fish oil therapy on maintenance hemodialysis patients (MHD). METHODS: Electronic
databases, including PubMed, Cochrane library, EMBase, and Web of Science, were
searched for randomized controlled trials (RCTs) of fish oil versus placebo or no
treatment in MHD patients. The study selection and data extraction were conducted
independently by two reviewers, and statistical analysis was performed using
RevMan software, version 5.2. RESULTS: A total of thirteen eligible RCTs
involving 916 subjects (461 in the experimental group and 455 in the control
group) were included. The meta-analysis showed that fish oil significantly
reduced arteriovenous graft (AV-graft) events [risk ratio (RR) 0.71, 95 %
confidence interval (CI) were (0.52, 0.97)] and cardiovascular events [RR (95
%CI) were 0.41 (0.26, 0.66)] in the fish oil group. In addition, compared with
the control group, fish oil significantly decreased the Beck Depression Inventory
(BDI) score [weighted mean difference (WMD) (95 %CI) were -11.91 (-15.88,
7.95)], serum intact parathyroidism (iPTH), C-reactive protein (CRP), and
triglycerides (TG) [standard mean difference (SMD) (95 %CI) were -0.56 (-0.89,
0.23); -0.36 (-0.63, -0.09), and -0.41 (-0.68, -0.14), respectively]. However,
the fish oil group did not differ significantly from the control group in albumin
(ALB), hemoglobin (Hb), interleukin-6 (IL-6), tumor necrosis factor-alpha (TNF
alpha), total cholesterol (TC), low-density lipoprotein cholesterol (LDL-c), and
mortality. CONCLUSIONS: Fish oil reduced the risk of AV-graft events and
cardiovascular events, and alleviated depression symptoms in MHD patients. It can
also improve secondary hyperparathyroidism, micro-inflammation, and
hypertriglyceridemia. But there is no evidence that fish oil can improve
nutritional status and renal anemia.
PMID- 26558901
TI - Bonn eXperimental System (BoXS): An open-source platform for interactive
experiments in psychology and economics.
AB - The increased interest in complex-interactive behavior on the one hand and the
cognitive and affective processes underlying behavior on the other are a
challenge for researchers in psychology and behavioral economics. Research often
necessitates that participants strategically interact with each other in dyads or
groups. At the same time, to investigate the underlying cognitive and affective
processes in a fine-grained manner, not only choices but also other variables
such as decision time, information search, and pupil dilation should be recorded.
The Bonn eXperimental System (BoXS) introduced in this article is an open-source
platform that allows interactive as well as non-interactive experiments to be
conducted while recording process measures very efficiently and completely
browser-based. In the current version, BoXS has particularly been extended to
enable conducting interactive eye-tracking and mouse-tracking experiments. One
core advantage of BoXS is its simplicity. Using BoXS does not require prior
installation for both experimenters and participants, which allows for running
studies outside the laboratory and over the internet. Learning to program for
BoXS is easy even for researchers without previous programming experience.
PMID- 26558902
TI - The sensitivity and specificity of a diagnostic test of sequence-space
synesthesia.
AB - People with sequence-space synesthesia (SSS) report stable visuo-spatial forms
corresponding to numbers, days, and months (amongst others). This type of
synesthesia has intrigued scientists for over 130 years but the lack of an agreed
upon tool for assessing it has held back research on this phenomenon. The present
study builds on previous tests by measuring the consistency of spatial locations
that is known to discriminate controls from synesthetes. We document, for the
first time, the sensitivity and specificity of such a test and suggest a
diagnostic cut-off point for discriminating between the groups based on the area
bounded by different placement attempts with the same item.
PMID- 26558900
TI - Development of Risk Score for Predicting 3-Year Incidence of Type 2 Diabetes:
Japan Epidemiology Collaboration on Occupational Health Study.
AB - OBJECTIVE: Risk models and scores have been developed to predict incidence of
type 2 diabetes in Western populations, but their performance may differ when
applied to non-Western populations. We developed and validated a risk score for
predicting 3-year incidence of type 2 diabetes in a Japanese population. METHODS:
Participants were 37,416 men and women, aged 30 or older, who received periodic
health checkup in 2008-2009 in eight companies. Diabetes was defined as fasting
plasma glucose (FPG) >= 126 mg/dl, random plasma glucose >= 200 mg/dl, glycated
hemoglobin (HbA1c) >= 6.5%, or receiving medical treatment for diabetes. Risk
scores on non-invasive and invasive models including FPG and HbA1c were developed
using logistic regression in a derivation cohort and validated in the remaining
cohort. RESULTS: The area under the curve (AUC) for the non-invasive model
including age, sex, body mass index, waist circumference, hypertension, and
smoking status was 0.717 (95% CI, 0.703-0.731). In the invasive model in which
both FPG and HbA1c were added to the non-invasive model, AUC was increased to
0.893 (95% CI, 0.883-0.902). When the risk scores were applied to the validation
cohort, AUCs (95% CI) for the non-invasive and invasive model were 0.734 (0.715
0.753) and 0.882 (0.868-0.895), respectively. Participants with a non-invasive
score of >= 15 and invasive score of >= 19 were projected to have >20% and >50%
risk, respectively, of developing type 2 diabetes within 3 years. CONCLUSIONS:
The simple risk score of the non-invasive model might be useful for predicting
incident type 2 diabetes, and its predictive performance may be markedly improved
by incorporating FPG and HbA1c.
PMID- 26558903
TI - Efficient In Silico Identification of a Common Insertion in the MAK Gene which
Causes Retinitis Pigmentosa.
AB - BACKGROUND: Next generation sequencing (NGS) offers a rapid and comprehensive
method of screening for mutations associated with retinitis pigmentosa and
related disorders. However, certain sequence alterations such as large insertions
or deletions may remain undetected using standard NGS pipelines. One such
mutation is a recently-identified Alu insertion into the Male Germ Cell
Associated Kinase (MAK) gene, which is missed by standard NGS-based variant
callers. Here, we developed an in silico method of searching NGS raw sequence
reads to detect this mutation, without the need to recalculate sequence
alignments or to screen every sample by PCR. METHODS: The Linux program grep was
used to search for a 23 bp "probe" sequence containing the known junction
sequence of the insert. A corresponding search was performed with the wildtype
sequence. The matching reads were counted and further compared to the known
sequences of the full wildtype and mutant genomic loci. (See
https://github.com/MEEIBioinformaticsCenter/grepsearch.). RESULTS: In a test
sample set consisting of eleven previously published homozygous mutants,
detection of the MAK-Alu insertion was validated with 100% sensitivity and
specificity. As a discovery cohort, raw NGS reads from 1,847 samples (including
custom and whole exome selective capture) were searched in ~1 hour on a local
computer cluster, yielding an additional five samples with MAK-Alu insertions and
solving two previously unsolved pedigrees. Of these, one patient was homozygous
for the insertion, one compound heterozygous with a missense change on the other
allele (c. 46G>A; p.Gly16Arg), and three were heterozygous carriers. CONCLUSIONS:
Using the MAK-Alu grep program proved to be a rapid and effective method of
finding a known, disease-causing Alu insertion in a large cohort of patients with
NGS data. This simple approach avoids wet-lab assays or computationally expensive
algorithms, and could also be used for other known disease-causing insertions and
deletions.
PMID- 26558904
TI - Cancer Cell Analyses at the Single Cell-Level Using Electroactive Microwell Array
Device.
AB - Circulating tumor cells (CTCs), shed from primary tumors and disseminated into
peripheral blood, are playing a major role in metastasis. Even after isolation of
CTCs from blood, the target cells are mixed with a population of other cell
types. Here, we propose a new method for analyses of cell mixture at the single
cell level using a microfluidic device that contains arrayed electroactive
microwells. Dielectrophoretic (DEP) force, induced by the electrodes patterned on
the bottom surface of the microwells, allows efficient trapping and stable
positioning of single cells for high-throughput biochemical analyses. We
demonstrated that various on-chip analyses including immunostaining,
viability/apoptosis assay and fluorescent in situ hybridization (FISH) at the
single-cell level could be conducted just by applying specific reagents for each
assay. Our simple method should greatly help discrimination and analysis of rare
cancer cells among a population of blood cells.
PMID- 26558906
TI - [Catheter ablation and the complications].
AB - Catheter ablation of atrial fibrillation has developed into a standard therapy
and even in some cases as a first line therapy. This has resulted in a clear
increase in the number of procedures in recent years. The published data from
experienced centers indicate that the number of complications decreases with
increasing experience; however, due to the parallel increase in the number of
inexperienced centers, the average complication rate shows a tendency to
increase. In the long term this necessitates a reliable quality assurance in
order not to leave the choice of the "safe centers" up to the patient. Vascular
complications are the most common, which in most cases have an uneventful course
and do not necessitate further interventions. Particularly the incidence of
ischemic stroke can be well-countered by strict control of periprocedural
anticoagulation. The frequency of occurrence of phrenic nerve lesions, which are
more common when selecting cryoenergy, can be reduced by stimulation of the
phrenic nerve during ablation of the right pulmonary vein. The most feared
complication of an atrioesophageal fistula is rare. No data for an effective
avoidance of complications are available. A postprocedural therapy with proton
pump inhibitors for 4-6 weeks, the intraprocedural measurement of esophageal
temperature and reduction of the ablation energy on the posterior wall of the
left atrium can possible help to reduce the frequency of complications.
PMID- 26558905
TI - The Seroprevalence of Hepatitis C Antibodies in Immigrants and Refugees from
Intermediate and High Endemic Countries: A Systematic Review and Meta-Analysis.
AB - BACKGROUND & AIMS: Hepatitis C virus (HCV) infection is a significant global
health issue that leads to 350,000 preventable deaths annually due to associated
cirrhosis and hepatocellular carcinoma (HCC). Immigrants and refugees (migrants)
originating from intermediate/high HCV endemic countries are likely at increased
risk for HCV infection due to HCV exposure in their countries of origin. The aim
of this study was to estimate the HCV seroprevalence of the migrant population
living in low HCV prevalence countries. METHODS: Four electronic databases were
searched from database inception until June 17, 2014 for studies reporting the
prevalence of HCV antibodies among migrants. Seroprevalence estimates were pooled
with a random-effect model and were stratified by age group, region of origin and
migration status and a meta-regression was modeled to explore heterogeneity.
RESULTS: Data from 50 studies representing 38,635 migrants from all world regions
were included. The overall anti-HCV prevalence (representing previous and current
infections) was 1.9% (95% CI, 1.4-2.7%, I2 96.1). Older age and region of origin,
particularly Sub-Saharan Africa, Asia, and Eastern Europe were the strongest
predictors of HCV seroprevalence. The estimated HCV seroprevalence of migrants
from these regions was >2% and is higher than that reported for most host
populations. CONCLUSION: Adult migrants originating from Asia, Sub-Saharan Africa
and Eastern Europe are at increased risk for HCV and may benefit from targeted
HCV screening.
PMID- 26558907
TI - [AV nodal reentrant tachycardia. Diagnosis and therapy].
AB - The AV nodal reentrant tachycardia (AVNRT) is one of the most common arrhythmias
encountered in clinical practice. It is characterized by a constant heart rate
and an on/off phenomenon. The clinical symptoms may include palpitations,
anxiety, polyuria, and dyspnea. Typically, tachycardia may be disrupted by vagal
maneuvers in many patients. First-line treatment of symptomatic AVNRT is
radiofrequency ablation. The present article deals with the characteristics,
differential diagnosis and treatment of AVNRT in the EP lab. It is the second
part of a series of manuscripts which may facilitate further education in the
specific field of electrophysiology.
PMID- 26558908
TI - In vitro and in vivo sustained release of exenatide from vesicular phospholipid
gels for type II diabetes.
AB - Diabetes is a chronic disease that requires daily treatment to maintain a stable
blood glucose level. Sustained-release formulations can thus benefit the
treatment of diabetes by reducing the repeated administration of therapeutics.
Our study aimed to develop a sustained-release platform for exenatide that is
biocompatible and capable of mass production. Vesicular phospholipid gels (VPGs)
are semisolid phospholipid dispersions with controlled release profiles.
Exenatide-VPGs prepared via simple magnetic stirring showed excellent
biocompatibility with an average particle size of about 15 MUm after
redispersion. VPGs were shown to achieve sustained release for up to 21 days in
vitro with no obvious burst effect. The in vivo release study showed that VPGs
sustained the release of the exenatide for up to 11 days. Moreover, after
subcutaneous injection of the exenatide-VPGs in the diabetic rats, the
hypoglycemic effect lasted for 10 days compared with exenatide solution. In sum,
the exenatide-VPGs system represents a promising sustained-release formulation
for exenatide with a long-acting therapeutic efficacy in vivo.
PMID- 26558909
TI - Covered stents in cervical anastomoses following esophagectomy.
AB - INTRODUCTION: Anastomotic complications after esophagectomy are relatively
frequent. The off-label use of self-expanding covered metal stents has been shown
to be an effective initial treatment for leaks, but there is a paucity of
literature regarding their use in cervical esophagogastric anastomoses. We
reviewed our outcomes with anastomotic stenting after esophagectomy with cervical
esophagogastric reconstruction. METHODS: All stents placed across cervical
anastomoses following esophagectomy from 2004 to 2014 were retrospectively
reviewed. Indications for surgery and stent placement were collected. For
patients with serial stents, each stent event was evaluated separately and as
part of its series. Success was defined as resolution of indicated anastomotic
problem for at least 90 days. Complications were defined as development of stent
related problems. RESULTS: Twenty-three patients had a total of 63 stents placed
(16 % prophylactic, 38 % leak, 46 % stricture). Sixty percent of patients had
successful resolution of their initial anastomotic problem; 67 % required more
than one stent. Strictures and leaks healed in 27 and 70 % of patients,
respectively, at a median of 55.5 days. Stent-related complications occurred in
78 % of patients. Complications (per stent event) included 62 % migration, 11 %
clinically significant tissue overgrowth, 8 % minor erosion (ulcers), and 8 %
major erosion. Stents placed for stricture were more likely to result in
complications, especially migration (76.7 vs. 48.5 %, p = 0.02). Preoperative
chemoradiation was a significant risk factor for erosion (22.5 vs. 4.3 %, p =
0.05), but not for overall complications. Patients with major erosions had longer
stent duration compared to those without (92 vs. 36 days, p = 0.14). DISCUSSION:
Although stents are effective at controlling post-esophagectomy anastomotic
leaks, they are not effective for treating strictures. Stents have high
complication rates, but most are minor. Chemoradiation is a risk factor for stent
erosion. Caution should be used when stent duration exceeds 2-3 months due to the
risk of erosion.
PMID- 26558910
TI - Closing the gap between the laparoscopic and open approaches to abdominal wall
hernia repair: a trend and outcomes analysis of the ACS-NSQIP database.
AB - OBJECTIVE: To assess trends in utilization and perioperative outcomes of
laparoscopic and open abdominal wall hernia repair. METHODS: Using the ACS-NSQIP
database between 2009 and 2012, patients were identified as having an ICD-9
diagnosis of an umbilical, ventral, or incisional hernia as well as a CPT code
for a laparoscopic or open abdominal wall hernia repair. A coarsened exact
matching procedure was utilized to create a matched cohort to mitigate selection
bias. Thirty-day outcomes analysis was done for the aggregate and matched
cohorts. Subcategory analysis was performed for inpatient/outpatient status,
strangulated/incarcerated hernias, initial/recurrent repairs, and hernia type
(umbilical, ventral, incisional). Chi-square analysis was performed to determine
the statistical significance of each comparison. RESULTS: In total, 112,074
qualifying patients were identified, 86,566 (77.24 %) open and 25,508 (22.76 %)
laparoscopic. Patients undergoing laparoscopic repair were more likely to have
preexisting comorbidities, but less likely to experience any postoperative
morbidity (11.74 vs. 7.25 %, P < 0.0001), serious morbidity (4.55 vs. 3.02 %, P <
0.0001), or mortality (0.36 vs. 0.24 %, P = 0.0030). Creation of the matched
cohort produced 17,394 patients in both the laparoscopic and open groups and
resulted in a loss of advantage for the laparoscopic approach in terms of
morbidity associated with umbilical hernia repairs (P = 0.0082 vs. P = 0.3172).
Patients undergoing laparoscopic repair were still less likely to experience any
postoperative (9.57 vs. 4.92 %, P < 0.0001) or serious morbidity (3.37 vs. 1.70
%, P < 0.0001). Hospital length of stay in the matched cohort supported initial
primary repairs done by an open approach. CONCLUSION: The laparoscopic approach
is used in a minority of abdominal wall hernia repairs, though utilization
increased by 40 % from 2009 to 2012. The laparoscopic approach continues to be
safer on many fronts, but not all, and is arguably not better for umbilical or
primary hernia repairs on the basis of overall morbidity and length of stay.
PMID- 26558911
TI - Laparoscopic repair of a left-sided paraduodenal hernia.
AB - INTRODUCTION: Internal hernias are a rare cause of bowel obstruction,
constituting 0.2-0.9 % of all cases with paraduodenal hernias (PDH) being the
most common accounting for 50 % of all internal hernias with 75 % of those being
left-sided [1, 2]. They are due to small bowel herniating into a peritoneum-lined
sac at the fourth portion of the duodenum as the result of abnormal midgut
rotation during embryonic development. Patients may present with symptoms of
small bowel obstruction, though the majority are found incidentally [3].
Diagnosis is aided with computed tomography (CT) with findings of encapsulated
clustering of small bowel loops in the left upper quadrant, bowel between the
stomach and pancreas, crowding of mesenteric vessels, and displacement of the
inferior mesenteric vein [4]. METHODS: A 34-year-old male presented with a 3-year
history of postprandial epigastric pain. After multiple inconclusive imaging
studies, he was taken to the operating room for diagnostic laparoscopy. The
transverse colon was retracted cephalad, and the distal bowel could be seen
entering a defect just lateral to the fourth portion of the duodenum. This mass
of herniated bowel was readily reduced, and the defect could be appreciated as a
4-cm invagination lateral to the duodenum and posterior to the inferior
mesenteric vein. The defect was then closed using interrupted silk suture and the
port sites closed. RESULTS: The patient tolerated the procedure well and was
discharged home 24 h later. At 12 months postoperatively, he continued to have
intermittent nausea and abdominal pain. Repeated imaging studies including CT
scans were negative for obstruction or internal hernia. CONCLUSIONS: PDH are a
rare form of internal hernia that result from abnormal midgut rotation during
fetal development. Diagnosis is challenging but may be aided by CT imaging.
Laparoscopic repair is a safe and effective method of management in these
patients [5, 6]. In patients presenting with nausea, vomiting, abdominal pain,
and radiographic evidence of PDH, laparoscopic repair should be considered given
its safety and efficacy profile. Although surgical intervention did not result in
complete resolution of our patient's symptoms, repair of his hernia removed this
diagnosis from his differential and facilitated his ultimate diagnosis of
functional abdominal pain syndrome.
PMID- 26558912
TI - Capsule Commentary on Scales et al., Unintentional Continuation of Medications
Intended for Acute Illness After Hospital Discharge: A Population-Based Cohort
Study.
PMID- 26558913
TI - Hemagglutinin protease secreted by V. cholerae induced apoptosis in breast cancer
cells by ROS mediated intrinsic pathway and regresses tumor growth in mice model.
AB - Conventional anticancer therapies are effective but have side effects, so
alternative targets are being developed. Bacterial toxins that can kill cells or
alter the cellular processes like proliferation, apoptosis and differentiation
have been reported for cancer treatment. In this study we have shown antitumor
activity of hemagglutinin protease (HAP) secreted by Vibrio cholerae. One ug of
HAP showed potent antitumor activity when injected into Ehrlich ascites carcinoma
(EAC) tumors in Swiss albino mice. Weekly administration of this dose is able to
significantly diminish a large tumor volume within 3 weeks and increases the
survival rates of cancerous mice. HAP showed apoptotic activity on EAC and other
malignant cells. Increased level of pro-apoptotic p53 with increased ratio of pro
apoptotic Bax to anti-apoptotic Bcl-2 signify that HAP induced apoptogenic
signals lead to death of the tumor cells. In vivo and ex vivo studies suggest
that mitochondrial dependent intrinsic pathway is responsible for this apoptosis.
The level of ROS in malignant cells is reported to be higher than the normal
healthy cells. HAP induces oxidative stress and increases the level of ROS in
malignant cells which is significantly higher than the normal healthy cells. As a
result the malignant cells cross the threshold level of ROS for cell survival
faster than normal healthy cells. This mechanism causes HAP mediated apoptosis in
malignant cells, but normal cells remain unaltered in the same environment. Our
study suggests that HAP may be used as a new candidate drug for cancer therapy.
PMID- 26558914
TI - A Rare Skin Disorder Misdiagnosed as Juvenile Idiopathic Arthritis.
PMID- 26558915
TI - Antibiotic Susceptibility and Molecular Characterization of Campylobacter jejuni
Strain Isolated from a Guillain Barre Syndrome Child.
PMID- 26558916
TI - Severe ABO Hemolytic Disease of Newborn with High Maternal Antibody Titres in a
Direct Antiglobulin Test Negative Neonate.
PMID- 26558917
TI - Clinical Profile and Outcome of Serratia Infection among Neonates.
PMID- 26558918
TI - Long-Term Prognosis of Patients with Esophageal Atresia and/or Tracheoesophageal
Fistula.
AB - OBJECTIVE: To investigate long-term prognosis of infants with esophageal atresia
(EA) and/or tracheoesophageal fistula (TEF). METHODS: The data of patients with
EA were investigated from their medical files. For the neurodevelopmental
evaluation, they were requested to come for a return visit to authors'
polyclinic. Intellectual development was assessed by Ankara Developmental
Screening Inventory (ADSI) (for 0-6 age) and Wechsler Intelligence Scale for
Children-Revised (WISC-R) (for 6-16 age). RESULTS: Of the 57 patients, 50 had EA+
distal TEF (87.7 %), six had isolated EA (10.5 %) and one had isolated TEF (1.8
%). Of the total patients, 18 cases (31 %) died and remaining 39 cases (69 %)
survived. In the surviving cases, the most common long-term complication was
dysphagia (n = 37, 94.8 %). Intellectual levels of the 24 patients assessed by
ADSI were normal and of the remaining 15 cases evaluated by WISC-R ranged between
95 and 110 points. CONCLUSIONS: The long-term complications and hospital visits
are common in surviving cases of EA; however, they have normal cognitive
functions and physical developmental characteristics.
PMID- 26558919
TI - Anemia, Iron Deficiency and Iodine Deficiency among Nepalese School Children.
AB - OBJECTIVES: To assess iodine and iron nutritional status among Nepalese school
children. METHODS: A cross-sectional, community based study was conducted in the
two districts, Ilam (hilly region) and Udayapur (plain region) of eastern Nepal.
A total of 759 school children aged 6-13 y from different schools within the
study areas were randomly enrolled. A total of 759 urine samples and 316 blood
samples were collected. Blood hemoglobin level, serum iron, total iron binding
capacity and urinary iodine concentration was measured. Percentage of transferrin
saturation was calculated using serum iron and total iron binding capacity
values. RESULTS: The mean level of hemoglobin, serum iron, total iron binding
capacity, transferrin saturation and median urinary iodine excretion were 12.29
+/- 1.85 g/dl, 70.45 +/- 34.46 MUg/dl, 386.48 +/- 62.48 MUg/dl, 19.94 +/- 12.07 %
and 274.67 MUg/L respectively. Anemia, iron deficiency and iodine deficiency
(urinary iodine excretion <100 MUg/L) were present in 34.5 %, 43.4 % and 12.6 %
children respectively. Insufficient urinary iodine excretion (urinary iodine
excretion <100 MUg/L) was common in anemic and iron deficient children.
CONCLUSIONS: Iron deficiency and anemia are common in Nepalese children, whereas,
iodine nutrition is more than adequate. Low urinary iodine excretion was common
in iron deficiency and anemia.
PMID- 26558920
TI - Tremors Following Blood Transfusion in Children with Megaloblastic Anemia.
PMID- 26558921
TI - Acneiform Lesion: Importance of Detailed Skin Examination.
PMID- 26558922
TI - GMC should be relicensing doctors, not revalidating specialists.
PMID- 26558923
TI - Current and emerging drug options in the treatment of diarrhea predominant
irritable bowel syndrome.
AB - INTRODUCTION: Irritable bowel syndrome diarrhea predominant (IBS-D) is a highly
prevalent GI disease, affecting nearly a third of all patients diagnosed with
irritable bowel syndrome. Current treatment options are limited. AREAS COVERED:
This review discusses the pharmacotherapeutic options for IBS-D including
currently used medications, the two newly FDA approved medications, as well as
emerging therapies with potential benefit in IBS-D. Particular emphasis is placed
on rifaximin and eluxadoline and their possible use in IBS-D. EXPERT OPINION:
Current pharmacological treatment of IBS-D includes loperamide, bile acid
sequestrants, antispasmodics, tricyclic antidepressants, alosetron, eluxadoline
and rifaximin. The latter two treatments have significantly added to the
pharmacotherapeutic options for patients suffering from IBS-D.
PMID- 26558924
TI - Characterizing patients with psoriasis on injectable biologics adalimumab,
etanercept, and ustekinumab: A chart review study.
AB - OBJECTIVE: This study examined plaque psoriasis (PsO) patient characteristics
across injectable biologics. METHODS: Data were collected from 400 US
dermatologists randomly selecting five charts each for patients with PsO (patient
n = 2000): adalimumab (ADA; n = 447), etanercept (ETA; 539), ustekinumab
(UST) 45 mg (511) and UST 90 mg (503). Physicians had to have been in practice 2
30 years, managing 10+ patients (5 + with biologics for PsO). Generalized
estimating equation models, weighted according to inverse probability of patient
selection and accounting for patient correlation within physicians, examined
patient measures as a function of treatment (UST 90 mg = reference). RESULTS:
Patients on UST 90 mg had higher odds of weighing >100 kg (adjusted mean =
34.4%) vs. ADA (10.9%), ETA (5.5%) or UST 45 mg (6.8%), greater body surface
affected and higher odds of severe PsO prior to treatment and higher odds of
prior biologics use. Mean prior biologics used was higher with UST 90 mg versus
ADA or ETA. Number of comorbidities was higher with UST 90 mg versus ETA or UST
45 mg. CONCLUSIONS: Among biologics-treated patients with PsO, UST 90 mg appears
to be used in patients with greater weight, baseline severity and prior biologics
experience than ADA, ETA or UST 45 mg. UST 90 mg is used in patients with more
comorbidities than other treatments except ADA.
PMID- 26558926
TI - [Trends in medicine].
PMID- 26558927
TI - [CME: Radioactive iodine therapy in thyroid cancer].
AB - Differentiated thyroid carcinomas represent about 90% of all thyroid tumors and
are divided in papillary and follicular carcinomas. Their prognosis is good,
however, recurrences are not rare. Their ability to accumulate iodine is used for
the radioactive iodine treatment. The aim of the postoperative radioactive iodine
ablation therapy is the complete elimination of remnant thyroid cells and
sensitive staging (Fig. 1). The recurrence rate decreases after a complete
thyroid ablation. Furthermore, thyroglobulin can be used as a sensitive tumor
marker. Radioactive iodine treatment by itself describes the therapy of
metastases. An exception is the papillary microcarcinoma, which in general is
treated by a lobectomy alone.
PMID- 26558929
TI - [What is your diagnosis?].
PMID- 26558930
TI - [Trends in family medicine--how to sort the wheat from the chaff].
AB - Considering the trends in medicine, time just seems to move at a slower pace in
general practice/family medicine than in the medical specialties. Novel medical
drugs and therapeutic modalities appear to take longer to become well
established, and sometimes it never happens. There are obvious gaps between the
requirements of the guidelines issued by scientific medical societies and the
practical implementation of these guidelines by primary care physicians. In
health services research this is known as the "evidence-performance gap". The aim
of this narrative review is to outline the nature and the dynamics of trends in
general practice/family medicine on the one hand and in the medical specialties
on the other hand, and to elucidate the potential causes leading to the evidence
performance gaps observed.
PMID- 26558925
TI - Genetic neurological channelopathies: molecular genetics and clinical phenotypes.
AB - Evidence accumulated over recent years has shown that genetic neurological
channelopathies can cause many different neurological diseases. Presentations
relating to the brain, spinal cord, peripheral nerve or muscle mean that
channelopathies can impact on almost any area of neurological practice.
Typically, neurological channelopathies are inherited in an autosomal dominant
fashion and cause paroxysmal disturbances of neurological function, although the
impairment of function can become fixed with time. These disorders are
individually rare, but an accurate diagnosis is important as it has genetic
counselling and often treatment implications. Furthermore, the study of less
common ion channel mutation-related diseases has increased our understanding of
pathomechanisms that is relevant to common neurological diseases such as migraine
and epilepsy. Here, we review the molecular genetic and clinical features of
inherited neurological channelopathies.
PMID- 26558932
TI - [Circadian rhythms and chronic diseases].
AB - Everything in nature and life is occurring in a rhythmic manner. The major
"Zeitgeber" is the change of light and dark during a 24 h day. This circadian
rhythm is besides others reflected in circadian rhythms of behaviors or different
biochemical parameters. A disruption of the circadian rhythm has a high
pathophysiological potential. In this article a few basic issues about the role
of circadian rhythms and the pathophysiological importance of desynchronisation
are reviewed.
PMID- 26558931
TI - [Is the presence of an asymptomatic inguinal hernia enough to justify repair?].
AB - The risk of strangulation in case of a inguinal hernia is low. Patients with a
symptomatic inguinal hernia should undergo an operation. Morbidity and mortality
in inguinal hernia surgery are very rare. There is also non-conservative
treatment of inguinal hernias. Trusses should no longer be recommended. Watchful
waiting is an option for men with minimally symptomatic or asymptomatic inguinal
hernias. But patients must be informed that there is a high risk of becoming
symptomatic.
PMID- 26558933
TI - [From "psychopathy" to "personality disorder"--conceptual history of a
problematic field within psychiatry].
AB - The issue of personality disorders addresses fundamental questions of psychiatry:
Is there a clear boundary between normal behaviour and the state of mental
illness? Which criteria are defining this boundary? Is a personality disorder
really a mental illness or "just" a special variation of an individual lifestyle?
This paper reviews the development of the terms psychopathy/personality disorder
from the early 19th century to the present-day diagnostic manuals ICD-10 and DSM
5. This debate spreads out-as it does with regard to any other mental disorder
between psychopathological, neurobiological and social sciences approaches. It is
of high practical relevance to realize that nowadays effective therapeutic
options for patients with personality disorders are available. Therefore, the
therapeutic nihilism of earlier times is no longer justified.
PMID- 26558934
TI - [Work and epilepsy--facts and phantasies].
AB - Epilepsies can affect ability to work in a certain workplace and reintegration
capacity not only due the seizures, but also due to possible accompanying
neurological, neuropsychological and psychiatric symptoms. Epileptic seizures can
lead to injuries of the patient himself and of other persons. Assessment of this
aspect in a certain workplace requires not only a detailed knowledge of the
nature of this job, but also of the semiology and frequency of seizures must be
considered. Both attacks and concomitant symptoms (e.g. memory impairment,
depression, etc.) have to be assessed with regard to prognosis and treatment
status. As part of the patient's duty to cooperate with the social insurance
system it is expected that he is concerned about the regular intake of
antiepileptic pharmacotherapy, possibly also of psychiatric medications. Epilepsy
surgery is, however, not regarded as a reasonable treatment.
PMID- 26558935
TI - [Type 2 diabetes: Cardiovascular long-term safety of sitagliptin demonstrated].
PMID- 26558936
TI - [High-dose vitamin D - No benefit for postmenopausal women].
PMID- 26558937
TI - [CME - Dermatology 9. Chronic vaginal pruritus for 2 years].
PMID- 26558940
TI - Highly parallel acoustic assembly of microparticles into well-ordered colloidal
crystallites.
AB - The precise arrangement of microscopic objects is critical to the development of
functional materials and ornately patterned surfaces. Here, we present an
acoustics-based method for the rapid arrangement of microscopic particles into
organized and programmable architectures, which are periodically spaced within a
square assembly chamber. This macroscale device employs two-dimensional bulk
acoustic standing waves to propel particles along the base of the chamber toward
pressure nodes or antinodes, depending on the acoustic contrast factor of the
particle, and is capable of simultaneously creating thousands of size-limited,
isotropic and anisotropic assemblies within minutes. We pair experiments with
Brownian dynamics simulations to model the migration kinetics and assembly
patterns of spherical microparticles. We use these insights to predict and
subsequently validate the onset of buckling of the assemblies into three
dimensional clusters by experiments upon increasing the acoustic pressure
amplitude and the particle concentration. The simulations are also used to inform
our experiments for the assembly of non-spherical particles, which are then
recovered via fluid evaporation and directly inspected by electron microscopy.
This method for assembly of particles offers several notable advantages over
other approaches (e.g., magnetics, electrokinetics and optical tweezing)
including simplicity, speed and scalability and can also be used in concert with
other such approaches for enhancing the types of assemblies achievable.
PMID- 26558941
TI - Safety and efficacy of targeted agents monotherapy in advanced NSCLC.
AB - The emergence of targetted therapy has revolutionised the treatment of advanced
NSCLC. Increasing numbers of driver genes and related targetted agents have
supplied more powerful weapons for conquering NSCLC. Depending on whether there
are clear targets and therapeutic effects, we can now rank targetted agents into
three categories: agents with explicit targets and pure effects, agents with
theoretical targets but with no effective biomarkers, agents with vague targets
and lower effects. The latest clinical data on the safety and efficacy of
monotherapy with three kinds of agents will be reviewed respectively in this
article.
PMID- 26558942
TI - Long Non-Coding RNA Urothelial Carcinoma Associated 1 (UCA1): Insight into Its
Role in Human Diseases.
AB - Long non-coding RNA (lncRNA) is a type of DNA transcript that is longer than 200
nucleotides (nt). They do not encode proteins, but they control gene expression
on various levels. Long non-coding RNA metastasis-associated urothelial carcinoma
associated 1 (UCA1) was confirmed to play an important role in the occurrence and
development of many tumor and non-tumor diseases. UCA1 mainly interacts with
proteins in the nucleus, regulating gene expression in transcription and post
transcription. UCA1 is highly expressed in tumor tissue, and therefore can be
related to clinical parameters. It may regulate tumor cell proliferation,
invasion, apoptosis, and migration, so UCA1 can be applied in clinical prognosis
and targeted therapy. This review mainly elaborates the roles of UCA1 in tumor
diseases of the respiratory, digestive, reproductive, and urinary systems; and in
non-tumor diseases.
PMID- 26558943
TI - AKT as Locus of Cancer Positive Loops Conversion and Chemotherapy.
AB - A cancer positive-feedback loops conversion is the phenomenon and principal
mechanism for AKT locus chemotherapy. Such chemotherapy is the approach to target
cancer robustness and complexity through the AKT signaling locus. The hypoxic
cancer microenvironment generates a powerful signaling interactome with positive
feedback loops that generates cancer robustness through the AKT locus. This
complexity and robustness can be successfully halted in leukemia, lymphoma,
myeloma, plasmocytoma, sarcoma, and carcinoma by converting cancer positive
feedback loops into negative-feedback loops, achieved through the AKT
dephosphorylation by redox balancing change. The hyperphosphorylated AKT locus is
down-regulated completely to AKT dephosphorylation by redox balancing change,
causing conversion of positive-feedback loops and the disappearance of malignant
robustness as a direct effect of AKT locus chemotherapy.
PMID- 26558944
TI - Dual Protective and Cytotoxic Benefits of Mesenchymal Stem Cell Therapy in
Combination with Chemotherapy/Radiotherapy for Cancer Patients.
AB - Cancer is a major health problem in the world, and scientists seek innovative
treatment strategies with higher efficacy and lower toxicity than the existing
therapeutic agents. In this way, stem cell researchers try to reveal new pathways
that will eventually benefit patients. Stem cell research has proven that
mesenchymal stem cells (MSCs) possess anticancer activities, and their protein
rich secretome showed similar effects. MSCs also secrete cytokines that play an
active role in healing and regeneration processes. Because of their known
plasticity, MSCs display a variety of characteristics and functions in different
environments, depending on their interactions with various cell types and
tissues. Therefore, we hypothesize that MSC therapy in combination with
anticancer medicines can potentiate cytotoxic effects on cancer cells. In
addition, because of their regenerative capacity, MSCs can protect normal tissues
from adverse cytotoxic drug reactions. They may also help rescue injured tissues
from these toxic damages or systemic pathological events that occur during cancer
treatment. MSC therapy may double the beneficial effects on cancer and normal
cells. As our knowledge of systems biology and biotechnological methodology is
progressing, this idea can move forward as a treatment option.
PMID- 26558945
TI - Genes Associated with Human Cancers: Their Expressions, Features, Functions, and
Significance.
AB - Various types of cancer continue to be subjects of intense research because of
the impact of these diseases and their socioeconomic implications. Also, the
complexity involved in the pathogenesis, nature of the triggers, and the
progression of cancers is intriguing. An important aspect of cancers is the
genetics involved, and studies involving cancer genes contributed immensely in
not only understanding cancers better, but also for obtaining useful markers and
therapy targets. We review the salient features, functions, and changes in gene
expression for 103 carcinoma genes, 20 sarcoma genes, and 36 lymphoma genes.
Apart from the three major levels of cancer type, we discuss the implications of
altered gene expression at the tissue level as well. The possible uses of these
gene functions and expression changes for diagnostic, prognostic, and therapeutic
applications are presented. Also, the 159 genes are assessed for their
involvement in more than a single cancer and tissue type. Only the p53 gene is
commonly implicated in carcinomas, sarcoma and lymphomas. The CHEK2 and ERBB2
(HER2) genes are commonly found to be associated with carcinomas and sarcomas,
whereas the MDM2, MSH2, and MSH6 genes are commonly implicated among carcinomas
and lymphomas.
PMID- 26558946
TI - Jacques Monod and Chance and Necessity.
AB - Charles Darwin proposed the theory that evolution of live organisms is based on
random variation and natural selection. Jacques Monod, in his classic book Chance
and Necessity, published 45 years ago, presented his thesis that the biosphere
does not contain a predictable class of objects or events, but constitutes a
particular occurrence, compatible indeed with the first principles but not
deducible from those principles. The biosphere is therefore essentially
unpredictable. In his book, Monod expounded at length on the conflict between
science and religion. He saw religion as a collection of primitive myths that had
been blown to shreds by science. At every turn, Monod emphasized the role of
chance in human existence, an idea that is antithetical to essentially every
religious doctrine that places humans as some inevitable intention of a Creator.
PMID- 26558947
TI - Immunopathogenesis of Colitis-Associated Cancer in an Animal Model.
AB - Chronic inflammation, such as that seen in patients with inflammatory bowel
disease (IBD), greatly increases the risk of developing colon cancer. Growing
evidence supports a role for T cell-mediated immune response and release of
various cytokines in the pathogenesis of colitis-associated cancer (CAC). In
fact, CD4+ effector T cells promote chronic inflammation associated with IBD
through release of proinflammatory cytokines, which leads to initiation and
progression of colon cancer. Furthermore, CD8+ T cells reduce tumor growth
through cancer immunosurveillance, which can also contribute to intestinal
inflammation and thereby might promote tumor growth. In contrast, regulatory T
cells (Tregs) release the immunosuppressive cytokines IL-10, TGF-beta and thus
have protective effects in CAC. In addition, dendritic cells (DCs) are important
components of antitumor immunity. Recently, a novel mouse model that was
associated with repeated inflammation was established for investigating the
immunopathogenesis of CAC. This review discusses the role of T cell-mediated
immune response, and DCs and involved cytokines in the immunopathogenesis of CAC
in an animal model, which may also provide future therapeutic targets in CAC.
PMID- 26558948
TI - The Key Role of Mitochondrial Apoptotic Pathway in the Cytotoxic Effect of
Mushroom Extracts on Cancer Cells.
AB - Mushroom extracts have been extensively studied for their medicinal effects. They
can stimulate immune responses and thus have been explored in cancer treatment.
Recently, it has also been shown that some mushroom extracts can produce direct
cytotoxic effect on cancer cells. In this review, we summarize the cytotoxic
effect of mushroom extracts in cancer treatment revealed by both in vitro and in
vivo studies. We also summarize the current understanding of the mechanisms
associated with such an effect with an emphasis on the mitochondrial apoptotic
pathway. The recent finding that mushroom extracts have direct cytotoxic effects
supplements their known immune stimulating effects. Thus, novel anticancer agents
based on new findings from mushroom extracts may soon be added to the present
pool of anticancer drugs. Specifically, we propose that nanodelivery of the
bioactive compounds of mushroom extracts to mitochondria will further increase
their potential treatment efficacy.
PMID- 26558949
TI - Roles of Protein N-Myristoylation and Translational Medicine Applications.
AB - Protein N-myristoylation is a ubiquitous cotranslational and posttranslational
modification catalyzed by myristoyl CoA:protein N-myristoyltransferase (NMT),
which attaches myristate, a rare 14-carbon saturated fatty acid, to an N-terminal
glycine of some eukaryotic and virus proteins. This protein modification triggers
dynamic protein-protein and protein-membrane interactions implicated in diverse
physiological processes. This review summarizes the NMT catalytic mechanism and
demyristoylation. Of special interest are the primary roles of N-myristoylated
protein in signaling, protein targeting, tumorigenesis, apoptosis, virus
assembly, and morphology change, as well as the regulation of N-myristoylation
and NMT inhibitors.
PMID- 26558950
TI - Epithelial Mesenchymal Transition and Vascular Mimicry in Breast Cancer Stem
Cells.
AB - Vasculogenic mimicry (VM), a newly defined pattern of tumor microvascularization
differs from angiogenesis and vasculogenesis in its noninvolvement of endothelial
cells, by which highly aggressive tumor cells can form vessel-like structures
themselves, because of their high plasticity. The presence of VM has been shown
to be strongly associated with a poor prognosis in several types of cancer, but
biological features of tumor cells that form VM remains unknown. Human breast
cancer, characterized by a group of highly heterogeneous lesions, is the most
common cancer in women and one of the leading causes of cancer-related deaths
worldwide. The epithelialmesenchymal transition (EMT) state in breast cancer has
been associated with cancer stem cell (CSC) properties, self-renewal
capabilities, resistance to conventional therapies, and a tendency for
posttreatment recurrence. With increasing knowledge about cancer stem cell
phenotypes and functions, they are implicated in VM formation. Studies also
indicate that EMT is relevant to the acquisition and maintenance of stem cell
like characteristics and is involved in VM. This review discusses the correlation
between CSCs, EMT, and VM formation with a focus on breast cancer. Also, the
signalling molecules and pathways involved in VM and some recently defined direct
VM targeting strategies in breast cancer are reviewed here.
PMID- 26558951
TI - Development of an algorithm for the management of cervical lymphadenopathy in
children: consensus of the Italian Society of Preventive and Social Pediatrics,
jointly with the Italian Society of Pediatric Infectious Diseases and the Italian
Society of Pediatric Otorhinolaryngology.
AB - Cervical lymphadenopathy is a common disorder in children due to a wide spectrum
of disorders. On the basis of a complete history and physical examination,
paediatricians have to select, among the vast majority of children with a benign
self-limiting condition, those at risk for other, more complex, diseases
requiring laboratory tests, imaging and, finally, tissue sampling. At the same
time, they should avoid expensive and invasive examinations when unnecessary. The
Italian Society of Preventive and Social Pediatrics, jointly with the Italian
Society of Pediatric Infectious Diseases, the Italian Society of Pediatric
Otorhinolaryngology, and other Scientific Societies, issued a National Consensus
document, based on the most recent literature findings, including an algorithm
for the management of cervical lymphadenopathy in children. METHODS: The
Consensus Conference method was used, following the Italian National Plan
Guidelines. Relevant publications in English were identified through a systematic
review of MEDLINE and the Cochrane Database of Systematic Reviews from their
inception through March 21, 2014. RESULTS: Basing on literature results, an
algorithm was developed, including several possible clinical scenarios.
Situations requiring a watchful waiting strategy, those requiring an empiric
antibiotic therapy, and those necessitating a prompt diagnostic workup,
considering the risk for a severe underling disease, have been identified.
CONCLUSION: The present algorithm is a practice tool for the management of
pediatric cervical lymphadenopathy in the hospital and the ambulatory settings. A
multidisciplinary approach is paramount. Further studies are required for its
validation in the clinical field.
PMID- 26558952
TI - Comparison of pulmonary inflammatory responses following intratracheal
instillation and inhalation of nanoparticles.
AB - In order to examine whether intratracheal instillation studies can be useful for
determining the harmful effect of nanoparticles, we performed inhalation and
intratracheal instillation studies using samples of the same nanoparticles.
Nickel oxide nanoparticles (NiO) and titanium dioxide nanoparticles (TiO2) were
used as chemicals with high and low toxicities, respectively. In the
intratracheal instillation study, rats were exposed to 0.2 or 1 mg of NiO or
TiO2. Cell analysis and chemokines in bronchoalveolar lavage fluid (BALF) were
analyzed from 3 days to 6 months following the single intratracheal instillation.
In the inhalation study, rats were exposed to inhaled NiO or TiO2 (1.65, 1.84
mg/m(3), respectively) for 4 weeks. The same endpoints were examined from 3 days
to 3 months after the end of exposure. Inhalation of NiO induced an increase in
the number of neutrophils in BALF and concentrations of cytokine-induced
neutrophil chemoattractant (CINC)-1, CINC-2 and heme oxygenase (HO)-1.
Intratracheal instillation of NiO induced persistent inflammation and
upregulation of these cytokines was observed in the rats. However, inhalation of
TiO2 did not induce pulmonary inflammation, and intratracheal instillation of
TiO2 transiently induced an increase in the number of neutrophils in BALF and the
concentrations of CINC-1, CINC-2 and HO-1. Taken together, a difference in
pulmonary inflammation was observed between the high and low toxicity
nanomaterials in the intratracheal instillation studies, as in the inhalation
studies, suggesting that intratracheal instillation studies may be useful for
ranking the harmful effects of nanoparticles.
PMID- 26558953
TI - Immunotherapy (oral and sublingual) for food allergy to fruits.
AB - BACKGROUND: Food allergy is an abnormal immunological response following exposure
(usually ingestion) to a food. Elimination of the allergen is the principle
treatment for food allergy, including allergy to fruit. Accidental ingestion of
allergenic foods can result in severe anaphylactic reactions. Allergen-specific
immunotherapy (SIT) is a specific treatment, when the avoidance of allergenic
foods is problematic. Recently, studies have been conducted on different types of
immunotherapy for the treatment of food allergy, including oral (OIT) and
sublingual immunotherapy (SLIT). OBJECTIVES: To determine the efficacy and safety
of oral and sublingual immunotherapy in children and adults with food allergy to
fruits, when compared with placebo or an elimination strategy. SEARCH METHODS:
The Cochrane Central Register of Controlled Trials (CENTRAL), MEDLINE, EMBASE,
CINAHL, and AMED were searched for published results along with trial registries
and the Journal of Negative Results in BioMedicine for grey literature. The date
of the most recent search was July 2015. SELECTION CRITERIA: Randomised
controlled trials (RCTs) comparing OIT or SLIT with placebo or an elimination
diet were included. Participants were children or adults diagnosed with food
allergy who presented immediate fruit reactions. DATA COLLECTION AND ANALYSIS: We
used standard methodological procedures expected by the Cochrane Collaboration.
We assessed treatment effect through risk ratios (RRs) for dichotomous outcomes.
MAIN RESULTS: We identified two RCTs (N=89) eligible for inclusion. These RCTs
addressed oral or sublingual immunotherapy, both in adults, with an allergy to
apple or peach respectively. Both studies enrolled a small number of participants
and used different methods to provide these differing types of immunotherapy.
Both studies were judged to be at high risk of bias in at least one domain.
Overall, the quality of evidence was judged to be very low due to the small
number of studies and participants and possible bias. The studies were clinically
heterogeneous and hence we did not pool the results. A study comparing SLIT with
placebo for allergy to peach did not detect a significant difference between the
number of patients desensitised at six months following a double-blind placebo
controlled food challenge (RR 1.16, 95% confidence interval (CI) 0.49 to 2.74).
The second study, comparing OIT versus no treatment for apple allergy, found an
effect on desensitisation in favour of the intervention using an oral provocation
test at eight months, but results were imprecise (RR 17.50, 95% CI 1.13 to
270.19). Neither study reported data on evidence of immunologic tolerance. In
both studies, the incidence of mild and moderate adverse events was higher in the
intervention groups than in the controls. In the study comparing SLIT with
placebo, patients in the intervention group experienced significantly more local
adverse reactions than participants in the control group (RR 3.21, 95% CI 1.51 to
6.82), though there was not a significant difference in the number of
participants experiencing systemic adverse reactions (RR 0.81, 95% CI 0.22 to
3.02). In the study of OIT, two of the 25 participants in the intervention group
reported relevant side effects, whereas no participants in the control group
reported relevant side effects. AUTHORS' CONCLUSIONS: There is insufficient
evidence for using OIT or SLIT to treat allergy to fruit, specifically related to
peach and apple. Mild or moderate adverse reactions were reported more frequently
in people receiving OIT or SLIT. However, these reactions could be treated
successfully with medications.
PMID- 26558954
TI - Impairments of Lower Extremity Muscle Strength and Balance in Childhood Cancer
Patients and Survivors: A Systematic Review.
AB - This review aims to summarize the evidence for impairments of muscle strength and
balance during and after treatment for childhood cancer. Thirty-two articles,
identified in scientific databases by means of a structured search for
investigations of muscle strength and balance in pediatric cancer patients and
survivors, are evaluated. A summary of results is given with respect to matching
reporting items to provide a qualitative analysis of the evidence. The majority
of the studies reached a level 3 rating according to Oxford Centre for Evidence
Based Medicine (OCEBM) 2011 levels of evidence. Muscle strength and balance seem
to be impaired in varying degrees depending on the diagnosis, treatment received,
and time elapsed between treatment and evaluation. Drawing specific conclusions
from the identified studies is difficult because of heterogeneous study samples
and methods of research. Individual targeted exercise therapy programs during
treatment and follow-up of childhood cancer could help to prevent and further
diminish impairments of muscle strength and balance function among childhood
cancer patients and survivors.
PMID- 26558955
TI - Review of conventional and novel food processing methods on food allergens.
AB - With the turn of this century, novel food processing techniques have become
commercially very important because of their profound advantages over the
traditional methods. These novel processing methods tend to preserve the
characteristic properties of food including their organoleptic and nutritional
qualities better when compared with the conventional food processing methods.
During the same period of time, there is a clear rise in the populations
suffering from food allergies, especially infants and children. Though, this fact
is widely attributed to the changing livelihood of population in both developed
and developing nations and to the introduction of new food habits with advent of
novel foods and new processing techniques, their complete role is still
uncertain. Under the circumstance, it is very important to understand the
structural changes in the protein as food is processed to comprehend whether the
specific processing technique (conventional and novel) is increasing or
mitigating the allergenicity. Various modern means are now being employed to
understand the conformational changes in the protein which can affect the
allergenicity. In this review, the processing effects on protein structure and
allergenicity are discussed along with the insinuations of recent studies and
techniques for establishing a platform to investigate future pathway to reduce or
eliminate allergenicity in the population.
PMID- 26558956
TI - Diagnosis of a public policy: an introduction to user fee exemptions for
healthcare in the Sahel.
AB - During the last ten years, Burkina Faso, Mali and Niger have opted for selective
user fee exemption policies, while remaining within the general framework of cost
recovery. But they have each developed their own particular institutional
mechanisms, different from those of their neighbour. This was the topic of a
comparative research program combining both quantitative and qualitative surveys
over a four-year period. This special issue presents papers setting exemption
policies in the wider context of public policy and the day-to-day functioning of
health systems (part 1); presenting overarching case studies (part 2); and
reflecting on our methodological approach (part 3).
PMID- 26558957
TI - Analysis of posttraumatic stress disorder in children with road traffic injury in
Wenzhou, China.
AB - OBJECTIVE: Road traffic accidents are the leading health threat to children and
cause significant long-term mental health problems. This study aimed to
characterize posttraumatic stress disorder (PTSD) in children suffering from road
traffic injuries (RTIs) in Wenzhou, China. METHODS: We conducted a retrospective
study of 537 children (aged 1 to 13 years old) with RTIs. The epidemiological
features, PTSD incidence, clinical manifestation, and risk factors were analyzed
based on a customized PTSD risk factor questionnaire. The outcome factors were
also evaluated by means of the logistic regression method. RESULTS: The PTSD
incidence was 24.77% in children with RTIs. The incidence of PTSD was related to
the personality, family environment, and family care of the children. It was
found that early psychological intervention and reasonable family care from the
family might promote physical and mental welfare as well as contribute to the
development of more effective treatments to prevent PTSD. CONCLUSION: For
susceptible children, in addition to dealing with the somatic injury,
psychological intervention and family care should be carried out as early as
possible.
PMID- 26558958
TI - Utility of Postoperative Antibiotics After Percutaneous Pinning of Pediatric
Supracondylar Humerus Fractures.
AB - BACKGROUND: Pediatric supracondylar humerus fractures are common injuries that
are often treated surgically with closed reduction and percutaneous pinning.
Although surgical-site infections are rare, postoperative antibiotics are
frequently administered without evidence or guidelines for their use. With the
increasing prevalence of antibiotic-resistant organisms and heightened focus on
health care costs, appropriate and evidence-based use of antibiotics is needed.
We hypothesized that postoperative antibiotic administration would not decrease
the rate of surgical-site infection. METHODS: A billing query identified 951
patients with operatively treated supracondylar humerus fractures at our
institution over a 15-year period. Records were reviewed for demographic data,
perioperative antibiotic use, and the presence of surgical-site infection.
Exclusion criteria were open fractures, open reduction, pathologic fractures,
metabolic bone disease, the presence of other injuries that required operative
treatment, and follow-up <2 weeks after pin removal. chi and Fisher exact test
were used to compare antibiotic use to the incidence of surgical-site infection.
RESULTS: Six hundred eighteen patients met our inclusion criteria. Two hundred
thirty-eight patients (38.5%) received postoperative antibiotics. Eleven surgical
site infections were identified for an overall rate of 1.8%. The use of
postoperative antibiotics was not associated with a lower rate of surgical-site
infection (P=0.883). Patients with a type III fracture (P<0.001), diminished
preoperative vascular (P=0.001) and neurological status (P=0.019), and
postoperative hospital admission (P<0.001) were significantly more likely to
receive postoperative antibiotics. CONCLUSIONS: Administration of postoperative
antibiotics after closed reduction and percutaneous pinning of pediatric
supracondylar humerus fractures does not decrease the rate of surgical-site
infection. LEVEL OF EVIDENCE: Level III-therapeutic.
PMID- 26558959
TI - Which Pediatric Orthopaedic Procedures Have the Greatest Risk of Adverse
Outcomes?
AB - BACKGROUND: Quality improvement in orthopaedic surgery has received increasing
attention; however, there is insufficient information available about the
perioperative safety of many common pediatric orthopaedic procedures. This study
aimed to characterize the incidence of adverse events in a national pediatric
patient sample to understand the risk profiles of common pediatric orthopaedic
procedures, and to identify patients and operations that are associated with
increased rates of adverse outcomes. METHODS: A retrospective cohort study was
conducted using the prospectively collected American College of Surgeons National
Surgical Quality Improvement Program (NSQIP) Pediatric database. Pediatric
patients who underwent 29 different orthopaedic procedures were identified in the
2012 NSQIP Pediatric database. The occurrence of any adverse event, infection,
return to the operating room, and readmission within 30 days, were reported for
each procedure. Multivariate regression was then used to identify the association
of patient and operative characteristics with the occurrence of each adverse
outcome. RESULTS: A total of 8975 pediatric patients were identified.
Supracondylar humerus fracture fixation was the most common procedure performed
in this sample (2274 patients or 25.57% of all procedures), followed by posterior
spinal fusion (1894 patients or 21.10% of all procedures). Adverse events
occurred in 352 patients (3.92% of all patients). Four deaths were noted (0.04%
of all patients), which only occurred in patients with nonidiopathic scoliosis
undergoing spinal fusion. Infections occurred in 143 patients (1.59%), and 197
patients (2.19%) were readmitted within 30 days. Multiple patient characteristics
and procedures were found to be associated with each adverse outcome studied.
CONCLUSIONS: Spinal fusion, multiaxial external fixation, and fasciotomy were
procedures associated with increased rates of adverse outcomes within 30 days.
Patients with obesity, ASA class >=3, and impaired cognitive status also had
increased rates of adverse outcomes. The results from this study of a large,
national sample of pediatric orthopaedic patients are important for benchmarking
and highlight areas for quality improvement. LEVEL OF EVIDENCE: Level III
Prognostic.
PMID- 26558960
TI - Solvent interaction analysis as a proteomic approach to structure-based biomarker
discovery and clinical diagnostics.
AB - Proteins have several measurable features in biological fluids that may change
under pathological conditions. The current disease biomarker discovery is mostly
based on protein concentration in the sample as the measurable feature. Changes
in protein structures, such as post-translational modifications and in protein
partner interactions are known to accompany pathological processes. Changes in
glycosylation profiles are well-established for many plasma proteins in various
types of cancer and other diseases. The solvent interaction analysis method is
based on protein partitioning in aqueous two-phase systems and is highly
sensitive to changes in protein structure and protein-protein- and protein
partner interactions while independent of the protein concentration in the
biological sample. It provides quantitative index: partition coefficient
representing changes in protein structure and interactions with partners. The
fundamentals of the method are presented with multiple examples of applications
of the method to discover and monitor structural protein biomarkers as disease
specific diagnostic indicators.
PMID- 26558961
TI - Progress takes a lot of pushing.
AB - Despite the pace of healthcare progress, it seems that some things never change.
PMID- 26558963
TI - Website will help practitioners diagnose illnesses in children.
AB - NURSES AND child health practitioners will soon be offered a major online
resource to spot symptoms of childhood illnesses and manage critical care
situations.
PMID- 26558964
TI - Campaign calls for statutory first aid training in schools.
AB - NURSES ARE being urged to back a campaign to make first aid compulsory in all
state-funded secondary schools.
PMID- 26558965
TI - More distinct nurse roles needed for looked after children.
AB - THE RCN has called for all clinical commissioning groups (CCGs) to employ full
time designated and named nurses for looked after children to improve health
outcomes.
PMID- 26558967
TI - New 'passport' will improve mental health care journey.
AB - A PASSPORT-STYLE brief of key facts that children and young people using mental
health services can use to help them avoid repeating their history and
preferences has been launched by NHS England.
PMID- 26558968
TI - Staff shortage puts neonatal services under strain.
AB - A 'SEVERE shortage' of neonatal nurses and doctors in England is leaving services
'over-stretched and under incredible pressure', a survey suggests.
PMID- 26558969
TI - Mixed views on plans for the profession.
AB - ENGLAND'S CHIEF nursing officer Jane Cummings and her team are busy drawing up
the first draft of a new nursing and midwifery strategy, to be presented to about
500 senior nurses attending the chief nurse's annual two-day summit in Birmingham
on December 1-2.
PMID- 26558974
TI - Research essentials. How to critique quantitative research.
AB - QUANTITATIVE RESEARCH is a systematic approach to investigating numerical data
and involves measuring or counting attributes, that is quantities. Through a
process of transforming information that is collected or observed, the researcher
can often describes a situation or event, answering the 'what' and 'how many'
questions about a situation ( Parahoo 2014 ).
PMID- 26558975
TI - Research and commentary. How cultural barriers provide a challenge to health
visitors.
AB - BACKGROUND: Families with young children, including those from minority ethnic
communities, receive support and advice from health visitors. While the need for
cultural sensitivity is being increasingly recognised, the factors that
contribute to this sensitivity are often poorly understood. This study took place
in an urban area of Scotland and involved families from the two largest minority
ethnic groups in the country: Pakistani and Chinese. The experiences that mothers
had of the health visiting service and with health visitors were explored, as
well as experiences that health visitors had with Pakistani and Chinese clients.
PMID- 26558976
TI - Establish a rapport that allows clear communication.
AB - The population in the UK is now more diverse than ever before. It is vital that
healthcare services are equally sensitive to the beliefs of those who come from
minority ethnic groups. The primary theme gathered from the study was the ability
of families to balance traditional and Western values into their lifestyles.
PMID- 26558981
TI - Tales from the city.
AB - THE PAST 10 months have been eventful to say the least. I went straight from
finishing my nursing internship to volunteering in a hospice for abandoned
children in China. On my return I moved from Ireland to London and started my
first job as a staff nurse in the paediatric intensive care unit (PICU) at Great
Ormond Street Hospital.
PMID- 26558982
TI - How seminars for parents can improve children's continence management.
AB - Staff at a children's urology department, in response to a growing caseload and
reports of a sense of isolation among children, young people and their carers,
agreed there was a need to develop innovative new strategies to provide a quality
service that could be delivered within existing financial constraints. The aim
was to encourage service users while showing them they were not alone and without
breaching confidentiality. Paediatric urology seminars for parents were developed
as a result and they have proven to be effective, including in areas that were
not at first anticipated, such as parents being able to appreciate from a non
judgmental approach that their children are neither naughty nor lazy.
PMID- 26558983
TI - Suspect, detect and protect: lessons from a lipohypertrophy workshop for
children's nurses.
AB - This article describes a recent training workshop to educate children's nurses on
injection technique best practice for diabetes, in particular, lipohypertrophy.
The workshop was organised by the Forum for Injection Technique UK, the
University of York, Leeds Children's Diabetes Team and Becton Dickinson Signature
Solutions, and attended by delegates from Leeds Children's Hospital and the
Children and Young Peoples Diabetes Network for Yorkshire and the Humber. The
article discusses the causes of lipohypertrophy and the effect it can have on
patient's glycaemic levels. There is an emphasis on the importance of regularly
inspecting children and young people with diabetes for lipohypertrophy - a common
complication of diabetes injection - and promotes the motto 'suspect, detect and
protect'. The article also provides practical information for children's nurses
on how to examine for lipohypertrophic lesions and guidance on how they can be
prevented by adhering to correct injection technique.
PMID- 26558984
TI - Management of vitamin D deficiency in childhood and adolescence.
AB - There are some children and young people who will need specialist management for
vitamin D deficiency in paediatric endocrine services. However, health problems
caused by vitamin D deficiency are generally preventable, hence the National
Institute for Health and Care Excellence recommendations for the provision of NHS
Healthy Start vitamins to be available to all pregnant and breastfeeding women
and children under five years of age. All health professionals, not just
specialist services, need to be confident in their knowledge and understanding of
the importance of vitamin D in normal growth and development to enable early
identification of children and young people at risk who are in need of early
intervention and education.
PMID- 26558985
TI - Youthful outlook.
AB - Why did you become a children's nurse? My undergraduate degree was in sports
therapy, a great profession and still a large passion of mine. However it was
during my placements as a sports therapist that I recognised that helping people,
talking to them and making them feel better was the part of the job I enjoyed the
most, particularly with young athletes. I applied to do a postgraduate in
children's nursing and here I am now.
PMID- 26558986
TI - A chick embryo cryoinjury model for the study of embryonic organ development and
repair.
AB - Tissue ablation is a classic experimental approach to study early embryo
patterning. However, ablation methods are less frequently used to assess the
reparative or regenerative properties of embryonic tissues during organogenesis.
Surgical procedures based on the removal of a significant amount of tissue during
organ formation very much depend on the skills of the researcher, are difficult
to reproduce, and often result in extensive tissue disruption leading to
embryonic death. In this paper, we present a new protocol to generate discrete,
locally-restricted and highly reproducible wounds in the developing chick embryo
using a liquid N2-cooled metallic probe. This in ovo procedure allows for the
study of organ-specific tissue responses to damage, such as compensatory cell
growth, cell differentiation, and reparative/regenerative mechanisms throughout
the embryonic lifespan.
PMID- 26558988
TI - Tricuspid leaflet resection in an open beating heart for the creation of a canine
tricuspid regurgitation model.
AB - OBJECTIVES: Outcomes of tricuspid valve replacement are poor, partly due to right
heart remodelling. The research on its underlying mechanisms is hampered by a
lack of animal models of tricuspid regurgitation (TR). Our objective was to
create a reproducible and clinically compatible TR animal model to study right
heart remodelling caused by TR. METHODS: Fourteen juvenile male Beagle dogs were
divided randomly into an intervention group (n = 11) and a sham-operated control
group (n = 3). The intervention group underwent thoracotomy and right atrial
incision following superior and inferior vena caval occlusion. The anterior
leaflet, together with the chordae, of the tricuspid valve was resected in eight
dogs ('one leaflet' group), whereas both anterior and posterior leaflets,
together with the chordae, were resected in three dogs ('two leaflets' group).
The right atrium and chest were then closed. The control group underwent the same
procedure, except leaflet resection. One dog from the 'two leaflets' group and
one control dog were sacrificed and autopsy was performed at 12 months post
surgery. RESULTS: All dogs survived over the 1-year observation period
postoperatively. TR grade IV occurred immediately postoperatively in the 'one
leaflet' group, and TR grade IV plus in the 'two leaflets' group. The overall
procedure lasted 30-40 min, and the mean time of vena caval occlusion was 87 +/-
10 s. Central venous pressure increased from 6 +/- 1.2 at baseline to 13 +/- 1.7
mmHg (P < 0.01). By 12 months after TR creation, both in the 'one leaflet' group
and in the 'two leaflets' group, the right atrial area, tricuspid annular
diameter and right ventricular index of myocardial performance increased
significantly, right ventricular fractional area change and tricuspid annular
plane systolic excursion decreased significantly. Autopsy of the intervention dog
revealed oedema, ascites and cirrhosis. CONCLUSIONS: Our surgical technique to
create a TR animal model was reproducible with high success and survival rates.
This animal model would prove suitable to investigate the mechanisms of right
heart remodelling.
PMID- 26558987
TI - Islet Neogenesis Associated Protein (INGAP) induces the differentiation of an
adult human pancreatic ductal cell line into insulin-expressing cells through
stepwise activation of key transcription factors for embryonic beta cell
development.
AB - Regeneration of beta-cells in diabetic patients is an important goal of diabetes
research. Islet Neogenesis Associated Protein (INGAP) was discovered in the
partially duct-obstructed hamster pancreas. Its bioactive fragment,
pentadecapeptide 104-118 (INGAP-P), has been shown to reverse diabetes in animal
models and to improve glucose homeostasis in patients with diabetes in clinical
trials. Further development of INGAP as a therapy for diabetes requires
identification of target cells in the pancreas and characterization of the
mechanisms of action. We hypothesized that adult human pancreatic ductal cells
retain morphogenetic plasticity and can be induced by INGAP to undergo endocrine
differentiation. To test this hypothesis, we treated the normal human pancreatic
ductal cell line (HPDE) with either INGAP-P or full-length recombinant protein
(rINGAP) for short-term periods. Our data show that this single drug treatment
induces both proliferation and transdifferentiation of HPDE cells, the latter
being characterized by the rapid sequential activation of endocrine developmental
transcription factors Pdx-1, Ngn3, NeuroD, IA-1, and MafA and subsequently the
expression of insulin at both the mRNA and the protein levels. After 7 days, C
peptide was detected in the supernatant of INGAP-treated cells, reflecting their
ability to secrete insulin. The magnitude of differentiation was enhanced by
embedding the cells in Matrigel, which led to islet-like cluster formation. The
islet-like clusters cells stained positive for nuclear Pdx-1 and Glut 2 proteins,
and were expressing Insulin mRNA. These new data suggest that human adult
pancreatic ductal cells retain morphogenetic plasticity and demonstrate that a
short exposure to INGAP triggers their differentiation into insulin-expressing
cells in vitro. In the context of the urgent search for a regenerative and/or
cellular therapy for diabetes, these results make INGAP a promising therapeutic
candidate.
PMID- 26558989
TI - NovoTTFTM-100A System (Tumor Treating Fields) transducer array layout planning
for glioblastoma: a NovoTALTM system user study.
AB - BACKGROUND: OptuneTM, previously known as the NovoTTF-100A SystemTM, generates
Tumor Treating Fields (TTFields), an effective anti-mitotic therapy for
glioblastoma. The system delivers intermediate frequency, alternating electric
fields to the supratentorial brain. Patient therapy is personalized by
configuring transducer array layout placement on the scalp to the tumor site
using MRI measurements and the NovoTAL System. Transducer array layout mapping
optimizes therapy by maximizing electric field intensity to the tumor site. This
study evaluated physician performance in conducting transducer array layout
mapping using the NovoTAL System compared with mapping performed by the Novocure
in-house clinical team. METHODS: Fourteen physicians (7 neuro-oncologists, 4
medical oncologists, and 3 neurosurgeons) evaluated five blinded cases of
recurrent glioblastoma and performed head size and tumor location measurements
using a standard Digital Imaging and Communications in Medicine reader.
Concordance with Novocure measurement and intra- and inter-rater reliability were
assessed using relevant correlation coefficients. The study criterion for success
was a concordance correlation coefficient (CCC) >0.80. RESULTS: CCC for each
physician versus Novocure on 20 MRI measurements was 0.96 (standard deviation, SD
+/- 0.03, range 0.90-1.00), indicating very high agreement between the two
groups. Intra- and inter-rater reliability correlation coefficients were
similarly high: 0.83 (SD +/-0.15, range 0.54-1.00) and 0.80 (SD +/-0.18, range
0.48-1.00), respectively. CONCLUSIONS: This user study demonstrated an excellent
level of concordance between prescribing physicians and Novocure in-house
clinical teams in performing transducer array layout planning. Intra-rater
reliability was very high, indicating reproducible performance. Physicians
prescribing TTFields, when trained on the NovoTAL System, can independently
perform transducer array layout mapping required for the initiation and
maintenance of patients on TTFields therapy.
PMID- 26558990
TI - Staining histological lung sections with Sudan Black B or Sudan III for automated
identification of alveolar epithelial type II cells.
AB - Alveolar epithelial type II (AE2) cells produce, store and secrete pulmonary
surfactant and serve as progenitor cells for the alveolar epithelium. They are
thus an interesting target in wide fields of pulmonary research. Stereological
methods allow their quantification based on measurements on histological
sections. A proper AE2 cell quantification, however, requires a method of tissue
processing that results in little tissue shrinkage during processing. It was
recently shown that a primary fixation with a mixture of glutaraldehyde and
formaldehyde, postfixation with osmium tetroxide and uranyl acetate and embedding
in glycol methacrylate fulfills this requirement. However, a proper
quantification, furthermore, requires a secure identification of the cells under
the microscope. Classical approaches using routine stainings, high magnifications
and systematic uniform random sampling can result in a tedious counting
procedure. In this article we show that Sudan Black B and Sudan III staining in
combination with the previously described "low shrinkage method" of tissue
processing result in good staining of lamellar bodies of AE2 cells (their storing
organelles of surfactant) and thus provide a good signal of AE2 cells, which
allows their easy and secure identification even at rather low magnifications. We
further show that this signal enables automated detection of AE2 cells by image
analysis, which should make this method a suitable staining method for the
recently developed and more efficient proportionator sampling.
PMID- 26558991
TI - Immunohistochemical expression of WNT5A and MMPs in odontogenic epithelial tumors
and cysts.
AB - OBJECTIVE: The aim of this study was compare the expression of WNT5A and MMP2, 7
and 20, in frequent benign odontogenic tumors and odontogenic cysts, since these
lesions have a different biological behavior. MATERIALS AND METHODS: Eighty-one
paraffin-embedded specimens of odontogenic tumors, including ameloblastoma and
keratocystic odontogenic tumor, and thirty-two odontogenic cysts were used for
immunohistochemical analysis. RESULTS: The expression of WNT5A in odontogenic
tumors and inflammatory cyst was higher than in developmental odontogenic cyst.
There was no statistical difference (p<0.05) in the expression of WNT5A when
comparing the analyzed tumors. The expression of MMP7 was lower in RC with a
statistical difference when compared with all tumors and cysts. Statistical
differences also occurred when comparing glandular odontogenic cyst (GOC) to
keratocyst odontogenic tumor (KOT) and calcifying cystic odontogenic tumor
(CCOT). MMP20 expression was higher in ameloblastoma when compared to adenomatoid
odontogenic tumor (AOT), DC and GOC. The expression of MMP20 was lower in CCOT
when compared to all tumors and cysts. CONCLUSIONS: The expression of WNT5A in a
group of odontogenic lesions suggests the participation of a non-canonical WNT
signaling pathway in the progression and maintenance of these lesions. These
molecules are possibly involved in the biological differences between odontogenic
tumors and cysts. Considering previous studies, WNT5A may help promote the
calcification seen in AOT, CCOT and CEOT by activating MMP7.
PMID- 26558992
TI - Molecular cloning, expression and characterization of 100K gene of fowl
adenovirus-4 for prevention and control of hydropericardium syndrome.
AB - Fowl adenovirus-4 is an infectious agent causing Hydropericardium syndrome in
chickens. Adenovirus are non-enveloped virions having linear, double stranded
DNA. Viral genome codes for few structural and non structural proteins. 100K is
an important non-structural viral protein. Open reading frame for coding sequence
of 100K protein was cloned with oligo histidine tag and expressed in Escherichia
coli as a fusion protein. Nucleotide sequence of the gene revealed that 100K gene
of FAdV-4 has high homology (98%) with the respective gene of FAdV-10.
Recombinant 100K protein was expressed in E. coli and purified by nickel affinity
chromatography. Immunization of chickens with recombinant 100K protein elicited
significant serum antibody titers. However challenge protection test revealed
that 100K protein conferred little protection (40%) to the immunized chicken
against pathogenic viral challenge. So it was concluded that 100K gene has 2397
bp length and recombinant 100K protein has molecular weight of 95 kDa. It was
also found that the recombinant protein has little capacity to affect the immune
response because in-spite of having an important role in intracellular transport
& folding of viral capsid proteins during viral replication, it is not exposed on
the surface of the virus at any stage.
PMID- 26558993
TI - Association between pulmonologists' tobacco use and their effort in promoting
smoking cessation in Turkey: a cross-sectional study.
AB - BACKGROUND: A strategy to reduce the number of smoking-related deaths is to
encourage the involvement of health-care professionals in tobacco-use prevention
activities and cessation counseling. Previous studies have shown that physicians'
smoking status affects their efforts to provide smoking cessation counseling.
This study investigates the association between pulmonologists' tobacco use and
their efforts in promoting smoking cessation during their routine clinical
practices in Turkey. METHODS: This cross-sectional study was performed among
active members of the Turkish Thoracic Society (TTS) between June 2010 and
February 2011 using an Internet-based self-administered questionnaire.
Participants gave their written informed consent. The survey included questions
about responders' sociodemographics, smoking status, and their routine clinical
practice for smoking cessation counseling using the basic 5A's (Ask, Advise,
Assess, Assist, and Arrange) of smoking cessation counseling. According to the
total score for the 5A's protocol, smoking cessation counseling was dichotomized
into low- and high-effort groups in promoting smoking cessation. Pearson's chi
square test and t-test were used to compare groups and logistic regression models
for the research question, which was approved by the TTS Scientific Ethical
Committee. RESULTS: The response rate was 41 % (N = 699/1701); 9.9 % were current
smokers, and 72.7 % indicated that they provided high effort in promoting smoking
cessation. A univariate analysis showed that noncurrent smokers were more likely
to make a high effort than current smokers (odds ratio [OR], 1.82; 95 %
confidence interval [CI]: 1.09-3.05; P = 0.02). However, there was no association
between tobacco use (current smoking) and making high effort in promoting smoking
cessation after controlling for the two confounders, sex and practicing in
smoking cessation outpatient clinic (OR, 1.47; 95 % CI: 0.86-2.50; P = 0.1).
CONCLUSIONS: Despite low response rate in our study and suspicions of
underreporting, the smoking rate among the pulmonologists in our study was high.
Non-current smokers were more likely to provide high effort in promoting smoking
cessation compared to current smokers in univariate analysis. However, after
controlling for the two confounders, sex and practising in SCOC, there was no
association between tobacco use and providing high effort in promoting smoking
cessation. Thus, improving medical school education, specialty training and post
graduate training on smoking cessation counseling may positively affect
physician' effort in promoting smoking cessation.
PMID- 26558995
TI - Effect of acoustic field parameters on arc acoustic binding during ultrasonic
wave-assisted arc welding.
AB - As a newly developed arc welding method, power ultrasound has been successfully
introduced into arc and weld pool during ultrasonic wave-assisted arc welding
process. The advanced process for molten metals can be realized by utilizing
additional ultrasonic field. Under the action of the acoustic wave, the plasma
arc as weld heat source is regulated and its characteristics make an obvious
change. Compared with the conventional arc, the ultrasonic wave-assisted arc
plasma is bound significantly and becomes brighter. To reveal the dependence of
the acoustic binding force on acoustic field parameters, a two-dimensional
acoustic field model for ultrasonic wave-assisted arc welding device is
established. The influences of the radiator height, the central pore radius, the
radiator radius, and curvature radius or depth of concave radiator surface are
discussed using the boundary element method. Then the authors analyze the
resonant mode by this relationship curve between acoustic radiation power and
radiator height. Furthermore, the best acoustic binding ability is obtained by
optimizing the geometric parameters of acoustic radiator. In addition, three
concave radiator surfaces including spherical cap surface, paraboloid of
revolution, and rotating single curved surface are investigated systematically.
Finally, both the calculation and experiment suggest that, to obtain the best
acoustic binding ability, the ultrasonic wave-assisted arc welding setup should
be operated under the first resonant mode using a radiator with a spherical cap
surface, a small central pore, a large section radius and an appropriate
curvature radius.
PMID- 26558994
TI - Comparison of single CT scan assessment of bone mineral density, vascular
calcification and fat mass with standard clinical measurements in renal
transplant subjects: the ABC HeART study.
AB - BACKGROUND: Despite limitations of routine methods, Clinical Practice Guidelines
support the assessment of bone mineral density (BMD) and vascular calcification
in renal transplant recipients. Changes in fat mass also occur post
transplantation, although they are traditionally difficult to measure accurately.
We report the feasibility, convenience and accuracy of measuring the above 3
parameters using a novel CT protocol. METHODS: We conducted a cross-sectional
study of 64 first renal allograft recipients (eGFR > 30 ml/min/1.73 m(2)).
Quantitative CT (QCT) BMD analysis was conducted using CT lumbar spine (GE
Medical Systems Lightspeed VCT & Mindways QCT Pro Bone Mineral Densitometry
System Version 4.2.3) to calculate spinal volumetric BMD and compared with
standard DXA calculated areal BMD at the spine, hip and distal forearm. Abdominal
aortic calcification was assessed by semi-quantitative Aortic Calcification Index
(ACI) method and compared with lateral lumbar x-ray Kappuila score and pulse wave
velocity (PWV). Visceral and subcutaneous adipose tissue volume (Osirix 16 Ver
3.7.1) was compared with BMI. RESULTS: Participants were 61 % male, had a mean
age of 47 years, median ESKD duration of 5.4 years and a mean eGFR of 54 ml/min.
iDXA median T-score at proximal femur was -1.2 and at lumbar spine was -0.2.
Median QCT Trabecular T-score at lumbar spine was -1.2. The percent of subjects
with a T-score of < 2.5 by site and method was DXA Proximal Femur: 7 %, DXA
distal radius: 17 %, DXA spine: 9 %, QCT (American College of Radiology cutoffs):
9 %. CT derived ACI correlated with PWV (r = 0.29, p = 0.02), pulse wave pressure
(r = 0.51, p < 0.001), QCT Trabecular (-0.31, p = 0.01) and cortical volumetric
BMD and history of cardiovascular events (Mann-Whitney U, p = 0.02). Both
visceral and subcutaneous adipose tissue correlated with BMI (r = 0.63 & 0.64, p
< 0.001). CONCLUSIONS: Single CT scan triple assessment of BMD, vascular
calcification and body composition is an efficient, accurate and convenient
method of risk factor monitoring post renal transplantation.
PMID- 26558996
TI - Effect of ultrasonic pretreatment on kinetics of gelatin hydrolysis by
collagenase and its mechanism.
AB - Gelatin is a mixture of soluble proteins prepared by partial hydrolysis of native
collagen. Gelatin can be enzymatically hydrolyzed to produce bioactive
hydrolysates. However, the preparation of gelatin peptide with expected activity
is usually a time-consuming process. The production efficiency of gelatin
hydrolysates needs to be improved. In present work, effect of ultrasonic
pretreatment on kinetic parameters of gelatin hydrolysis by collagenase was
investigated based on an established kinetic model. With ultrasonic pretreatment,
reaction rate constant and enzyme inactivation constant were increased by 27.5%
and 27.8%, respectively. Meanwhile, hydrolysis activation energy and enzyme
inactivation energy were reduced by 36.3% and 43.0%, respectively. In order to
explore its possible mechanism, influence of sonication on structural properties
of gelatin was determined using atomic force microscopy, particle size analyzer,
fluorescence spectroscopy, protein solubility test and Fourier transform infrared
spectroscopy. Moreover, hydrogen peroxide was used as a positive control for
potential sonochemical effect. It was found that reduction of gelatin particle
size was mainly caused by physical effect of ultrasound. Increased solubility and
variation in beta-sheet and random coil elements of gelatin were due to
sonochemical effect. Both physical and chemical effects of sonication contributed
to the change in alpha-helix and beta-turn structures. The current results
suggest that ultrasound can be potentially applied to stimulate the production
efficiency of gelatin peptides, mainly due to its effects on modification of
protein structures.
PMID- 26558997
TI - Sonochemical water splitting in the presence of powdered metal oxides.
AB - Kinetics of hydrogen formation was explored as a new chemical dosimeter allowing
probing the sonochemical activity of argon-saturated water in the presence of
micro- and nano-sized metal oxide particles exhibiting catalytic properties
(ThO2, ZrO2, and TiO2). It was shown that the conventional sonochemical dosimeter
based on H2O2 formation is hardly applicable in such systems due to catalytic
degradation of H2O2 at oxide surface. The study of H2 generation revealed that at
low-frequency ultrasound (20 kHz) the sonochemical water splitting is greatly
improved for all studied metal oxides. The highest efficiency is observed for
relatively large micrometric particles of ThO2 which is assigned to
ultrasonically-driven particle fragmentation accompanied by mechanochemical water
molecule splitting. The nanosized metal oxides do not exhibit particle size
reduction under ultrasonic treatment but nevertheless yield higher quantities of
H2. The enhancement of sonochemical water splitting in this case is most probably
resulting from better bubble nucleation in heterogeneous systems. At high
frequency ultrasound (362 kHz), the effect of metal oxide particles results in a
combination of nucleation and ultrasound attenuation. In contrast to 20 kHz,
micrometric particles slowdown the sonolysis of water at 362 kHz due to stronger
attenuation of ultrasonic waves while smaller particles show a relatively weak
and various directional effects.
PMID- 26558998
TI - The COMET initiative database: progress and activities update (2014).
AB - The COMET Initiative database is a repository of studies relevant to the
development of core outcome sets (COS). Use of the website continues to increase,
with more than 16,500 visits in 2014 (36 % increase over 2013), 12,257 unique
visitors (47 % increase), 9780 new visitors (43 % increase) and a rise in the
proportion of visits from outside the UK (8565 visits; 51 % of all visits). By
December 2014, a total of 6588 searches had been completed, with 2383 in 2014
alone (11 % increase). The growing awareness of the need for COS is reflected in
the website and database usage figures.
PMID- 26558999
TI - Controlled delivery of beta-globin-targeting TALENs and CRISPR/Cas9 into
mammalian cells for genome editing using microinjection.
AB - Tal-effector nucleases (TALEN) and clustered regularly interspaced short
palindromic repeats (CRISPR) with CRISPR-associated (Cas) proteins are genome
editing tools with unprecedented potential. However, the ability to deliver
optimal amounts of these nucleases into mammalian cells with minimal toxicity
poses a major challenge. Common delivery approaches are transfection- and viral
based methods; each associated with significant drawbacks. An alternative method
for directly delivering genome-editing reagents into single living cells with
high efficiency and controlled volume is microinjection. Here, we characterize a
glass microcapillary-based injection system and demonstrate controlled co
injection of TALENs or CRISPR/Cas9 together with donor template into single K562
cells for targeting the human beta-globin gene. We quantified nuclease induced
insertions and deletions (indels) and found that, with beta-globin-targeting
TALENs, similar levels of on- and off-target activity in cells could be achieved
by microinjection compared with nucleofection. Furthermore, we observed 11% and
2% homology directed repair in single K562 cells co-injected with a donor
template along with CRISPR/Cas9 and TALENs respectively. These results
demonstrate that a high level of targeted gene modification can be achieved in
human cells using glass-needle microinjection of genome editing reagents.
PMID- 26559001
TI - Superior removal of arsenic from water with zirconium metal-organic framework UiO
66.
AB - In this study, water stable zirconium metal-organic framework (UiO-66) has been
synthesized and for the first time applied as an adsorbent to remove aquatic
arsenic contamination. The as-synthesized UiO-66 adsorbent functions excellently
across a broad pH range of 1 to 10, and achieves a remarkable arsenate uptake
capacity of 303 mg/g at the optimal pH, i.e., pH = 2. To the best of our
knowledge, this is the highest arsenate As(V) adsorption capacity ever reported,
much higher than that of currently available adsorbents (5-280 mg/g, generally
less than 100 mg/g). The superior arsenic uptake performance of UiO-66 adsorbent
could be attributed to the highly porous crystalline structure containing
zirconium oxide clusters, which provides a large contact area and plenty of
active sites in unit space. Two binding sites within the adsorbent framework are
proposed for arsenic species, i.e., hydroxyl group and benzenedicarboxylate
ligand. At equilibrium, seven equivalent arsenic species can be captured by one
Zr6 cluster through the formation of Zr-O-As coordination bonds.
PMID- 26559002
TI - Guided Self-Assembly of Nano-Precipitates into Mesocrystals.
AB - We show by a combination of computer simulation and experimental characterization
guided self-assembly of coherent nano-precipitates into a mesocrystal having a
honeycomb structure in bulk materials. The structure consists of different
orientation variants of a product phase precipitated out of the parent phase by
heterogeneous nucleation on a hexagonal dislocation network. The predicted
honeycomb mesocrystal has been confirmed by experimental observations in an Mg-Y
Nd alloy. The structure and lattice parameters of the mesocrystal and the size of
the nano-precipitates are readily tuneable, offering ample opportunities to
tailor its properties for a wide range of technological applications.
PMID- 26559003
TI - The voltage-gated proton channel Hv1 is expressed in pancreatic islet beta-cells
and regulates insulin secretion.
AB - The voltage-gated proton channel Hv1 is a potent acid extruder that participates
in the extrusion of the intracellular acid. Here, we showed for the first time,
Hv1 is highly expressed in mouse and human pancreatic islet beta-cells, as well
as beta-cell lines. Imaging studies demonstrated that Hv1 resides in insulin
containing granules in beta-cells. Knockdown of Hv1 with RNA interference
significantly reduces glucose- and K(+)-induced insulin secretion in isolated
islets and INS-1 (832/13) beta-cells and has an impairment on glucose- and K(+)
induced intracellular Ca(2+) homeostasis. Our data demonstrated that the
expression of Hv1 in pancreatic islet beta-cells regulates insulin secretion
through regulating Ca(2+) homeostasis.
PMID- 26559000
TI - Neurobiological phenotypes associated with a family history of alcoholism.
AB - BACKGROUND: Individuals with a family history of alcoholism are at much greater
risk for developing an alcohol use disorder (AUD) than youth or adults without
such history. A large body of research suggests that there are premorbid
differences in brain structure and function in family history positive (FHP)
individuals relative to their family history negative (FHN) peers. METHODS: This
review summarizes the existing literature on neurobiological phenotypes present
in FHP youth and adults by describing findings across neurophysiological and
neuroimaging studies. RESULTS: Neuroimaging studies have shown FHP individuals
differ from their FHN peers in amygdalar, hippocampal, basal ganglia, and
cerebellar volume. Both increased and decreased white matter integrity has been
reported in FHP individuals compared with FHN controls. Functional magnetic
resonance imaging studies have found altered inhibitory control and working
memory-related brain response in FHP youth and adults, suggesting neural markers
of executive functioning may be related to increased vulnerability for developing
AUDs in this population. Additionally, brain activity differences in regions
involved in bottom-up reward and emotional processing, such as the nucleus
accumbens and amygdala, have been shown in FHP individuals relative to their FHN
peers. CONCLUSIONS: It is critical to understand premorbid neural characteristics
that could be associated with cognitive, reward-related, or emotional risk
factors that increase risk for AUDs in FHP individuals. This information may lead
to the development of neurobiologically informed prevention and intervention
studies focused on reducing the incidence of AUDs in high-risk youth and adults.
PMID- 26559004
TI - Bacillus ectoiniformans sp. nov., a halotolerant bacterium isolated from deep-sea
sediments.
AB - A halotolerant, Gram-positive bacterium (strain NE-14T), which was isolated from
sediment samples of the South China Sea, was subjected to a taxonomic study.
Strain NE-14T grew well at wide temperature and pH ranges, 10.0-45.0 degrees C
and pH 6-10, with an optimum at 30 degrees C and pH 8.0, respectively. Growth of
strain NE-14T was observed at total salt concentrations of 0-10 % (w/v) with
optimum at 2 % (w/v). Phylogenetic analysis based on 16S rRNA gene sequence
comparison indicated that the isolate belongs to the genus Bacillus. Strain NE
14T was related most closely to Bacillus shackletonii LMG 18435T, Bacillus
bataviensis LMG 21833T, Bacillus idriensis SMC 4352-2T and Bacillus drentensis
LMG 21831T with 16S rRNA gene sequence similarities of 96.2, 95.9, 95.8 and 95.7
%, respectively. DNA-DNA hybridization between strain NE-14T and B. shackletonii
LMG 18435T, B. bataviensis LMG 21833T, B. idriensis SMC 4352-2T and B. drentensis
LMG 21831T gave reassociation values of about 27.4, 22.4, 16.4 and 15.9 %,
respectively. The DNA G+C content of strain NE-14T was 39.2 mol%. The predominant
isoprenoid quinone was menaquinone 7 (MK-7). The cell-wall peptidoglycan
contained meso-diaminopimelic acid as the diagnostic diamino acid. The
predominant cellular fatty acids of strain NE-14T were iso-C15 : 0 and anteiso
C15 : 0. The major polar lipids were diphosphatidylglycerol,
phosphatidylethanolamine, phosphatidylglycerol and phospholipid. Based on the
phenotypic and phylogenetic characteristics, it is proposed that strain NE-14T be
classified as representing a novel species of the genus Bacillus, for which the
name Bacillus ectoiniformans sp. nov. is proposed. The type strain is NE-14T ( =
DSM 28970T = JCM 30397T).
PMID- 26559005
TI - Replacing DSM Categorical Analyses With Dimensional Analyses in Psychiatry
Research: The Research Domain Criteria Initiative.
PMID- 26559006
TI - Mobile diagnostics in outbreak response, not only for Ebola: a blueprint for a
modular and robust field laboratory.
AB - We established a modular, rapidly deployable laboratory system that provides
diagnostic support in resource-limited, remote areas. Developed as a quick
response asset to unusual outbreaks of infectious diseases worldwide, several of
these laboratories have been used as part of the World Health Organization
response to the Ebola virus outbreaks by teams of the 'European Mobile Lab'
project in West Africa since March 2014. Within three days from deployment, the
first European mobile laboratory became operational at the Ebola Treatment Unit
(ETU) in Gueckedou, southern Guinea. Deployment in close proximity to the ETU
decreased the turnaround time to an average of 4 h instead of several days in
many cases. Between March 2014 and May 2015, more than 5,800 samples were tested
in this field laboratory. Further EMLab units were deployed to Nigeria, Liberia
and Sierra Leone in the following months of the Ebola outbreak. The technical
concept of the EMLab units served as a blueprint for other mobile Ebola
laboratories which have been set up in Mali, Cote d'Ivoire, Sierra Leone and
other countries in West Africa. Here, we describe design, capabilities and
utility of this deployable laboratory system for use in response to disease
outbreaks, epidemiological surveillance and patient management.
PMID- 26559007
TI - Faith-Based Organizations and Academic Global Surgery's Moral Imperative.
PMID- 26559008
TI - Catheter Ablation of Ventricular Arrhythmias Arising from the Distal Great
Cardiac Vein.
AB - Catheter ablation of idiopathic ventricular arrhythmias arising from the distal
great cardiac vein represents a great challenge. We report data regarding the
electrocardiographic and electrophysiologic characteristics in two patients with
ventricular arrhythmias arising from the distal great cardiac vein. The technical
difficulties to advance and navigate the ablation catheter within the coronary
venous system as well as the close proximity to the major coronary vessels are
discussed.
PMID- 26559009
TI - Invariant Versus Classical Quartet Inference When Evolution is Heterogeneous
Across Sites and Lineages.
AB - One reason why classical phylogenetic reconstruction methods fail to correctly
infer the underlying topology is because they assume oversimplified models. In
this article, we propose a quartet reconstruction method consistent with the most
general Markov model of nucleotide substitution, which can also deal with data
coming from mixtures on the same topology. Our proposed method uses phylogenetic
invariants and provides a system of weights that can be used as input for quartet
based methods. We study its performance on real data and on a wide range of
simulated 4-taxon data (both time-homogeneous and nonhomogeneous, with or without
among-site rate heterogeneity, and with different branch length settings). We
compare it to the classical methods of neighbor-joining (with paralinear
distance), maximum likelihood (with different underlying models), and maximum
parsimony. Our results show that this method is accurate and robust, has a
similar performance to maximum likelihood when data satisfies the assumptions of
both methods, and outperform the other methods when these are based on
inappropriate substitution models. If alignments are long enough, then it also
outperforms other methods when some of its assumptions are violated.
PMID- 26559011
TI - Agentic personality as mediator of social capital on developmental outcomes in
the transition to adulthood: Evidence from Shanghai, China.
AB - Drawing upon a sample of 1153 young people in Shanghai, China, this study
investigates how agentic personality mediates between social capital embedded in
a range of social contexts (family, friendship, association, and linking
connection) and developmental outcomes during the transition to adulthood. The
results of a structural equation modeling (SEM) analysis provide a good fit for
the sample as a whole. The overall findings support the hypotheses that a higher
level of agentic personality, including resilience, self-efficacy, and self
esteem, is associated with higher levels of developmental outcomes. Agentic
personality also mediates the effects of family, friendship, associational, and
linking social capital on developmental outcomes. Family social capital is
predictive of university students' identity achievement and academic achievement,
but not of their mental health. Linking social capital is only predictive of
identity achievement. Unexpectedly, friendship social capital and associational
social capital are predictive of a lower level of academic achievement and mental
health, respectively, despite their positive influences on all three
developmental outcomes through their significant effects on agentic personality.
The study provides empirical support for the importance of social capital in
promoting young people's transition to adulthood. Implications for theory,
practice, and policy are also discussed.
PMID- 26559012
TI - Concern or confidence? Adolescents' identity capital and future worry in
different school contexts.
AB - This present study investigated the roles identity capital and school's socio
economic status have on adolescent worry about future education, employment, and
social status. The 354 participants were 14- to 15-year-old students from
affluent (56.8%) and disadvantaged (43.2%) Finnish lower secondary schools.
Structural equation modelling was used to test the hypothesis that a higher level
of family-related identity capital is connected to a lower level of future worry,
and that this connection is mediated through intrapersonal forms of identity
capital, specifically academic self-concept and general self-efficacy. Adolescent
future worry was also examined across school status with an independent samples t
test. The findings suggest that, in the relatively equal societal context in
Finland, adolescents are rather confident about their future education,
employment, and social status regardless of the socio-economic status of the
school they attend, and when their level of identity capital is high the future
worry decreases further.
PMID- 26559010
TI - The Phylogeny of Rickettsia Using Different Evolutionary Signatures: How Tree
Like is Bacterial Evolution?
AB - Rickettsia is a genus of intracellular bacteria whose hosts and transmission
strategies are both impressively diverse, and this is reflected in a highly
dynamic genome. Some previous studies have described the evolutionary history of
Rickettsia as non-tree-like, due to incongruity between phylogenetic
reconstructions using different portions of the genome. Here, we reconstruct the
Rickettsia phylogeny using whole-genome data, including two new genomes from
previously unsampled host groups. We find that a single topology, which is
supported by multiple sources of phylogenetic signal, well describes the
evolutionary history of the core genome. We do observe extensive incongruence
between individual gene trees, but analyses of simulations over a single topology
and interspersed partitions of sites show that this is more plausibly attributed
to systematic error than to horizontal gene transfer. Some conflicting placements
also result from phylogenetic analyses of accessory genome content (i.e., gene
presence/absence), but we argue that these are also due to systematic error,
stemming from convergent genome reduction, which cannot be accommodated by
existing phylogenetic methods. Our results show that, even within a single genus,
tests for gene exchange based on phylogenetic incongruence may be susceptible to
false positives.
PMID- 26559014
TI - Nondestructive nanofabrication on Si(100) surface by tribochemistry-induced
selective etching.
AB - A tribochemistry-induced selective etching approach is proposed for the first
time to produce silicon nanostructures without lattice damage. With a ~1 nm thick
SiOx film as etching mask grown on Si(100) surface (Si(100)/SiOx) by wet
oxidation technique, nano-trenches can be produced through the removal of local
SiOx mask by a SiO2 tip in humid air and the post-etching of the exposed Si in
potassium hydroxide (KOH) solution. The material removal of SiOx mask and Si
under low load is dominated by the tribochemical reaction at the interface
between SiO2 tip and Si/SiOx sample, where the contact pressure is much lower
than the critical pressure for initial yield of Si. High resolution transmission
electron microscope (HRTEM) observation indicates that neither the material
removal induced by tribochemical reaction nor the wet etching in KOH solution
leads to lattice damage of the fabricated nanostructures. The proposed approach
points out a new route in nondestructive nanofabrication.
PMID- 26559013
TI - miR-490-5p suppresses tumour growth in renal cell carcinoma through targeting
PIK3CA.
AB - BACKGROUND INFORMATION: Dysregulated micro-RNAs have been reported in many human
cancers, including renal cell carcinoma. Recent studies indicated that miR-490 is
involved in tumour development and progression. However, the expression profile
and function in renal cell carcinoma remains unknown. RESULTS: Herein, we showed
that miR-490-5p was down-regulated in renal cell carcinoma tissues and cells
compared with the adjacent normal tissues and normal cells. We also provided
evidence that miR-490-5p acts as a tumour suppressor in renal carcinoma in a
variety of in vitro and in vivo assays. Mechanistically, miR-490-5p was verified
to directly bind to 3' UTR of the PIK3CA mRNA and reduce the expression of PIK3CA
at both mRNA and protein levels, which further inhibits phosphatidylinositol 3
kinase/Akt signalling pathway. We further showed that knockdown of PIK3CA can
block the growth inhibitory effect of miR-490-5p, and over-expression of PIK3CA
can reverse the inhibitory effect of miR-490-5p on renal cancer cell
tumourigenicity. CONCLUSIONS: Taken together, our results indicated for the first
time that miR-490-5p functions as a tumour suppressor in renal carcinoma by
targeting PIK3CA. SIGNIFICANCE: Our findings suggest that miR-490-5p may be a
potential gene therapy target for the treatment of renal cell carcinoma.
PMID- 26559015
TI - The effect of tranexamic acid on blood coagulation in total hip replacement
arthroplasty: rotational thromboelastographic (ROTEM(r)) analysis.
AB - We evaluated changes in rotational thromboelastometry (ROTEM((r)) ) parameters
and clinical outcomes in patients undergoing total hip replacement arthroplasty,
with concomitant infusions of tranexamic acid and of 6% hydroxyethyl starch
130/0.4. Fifty-five patients were randomly assigned to either the tranexamic acid
(n = 29) or the control (n = 26) group. Hydroxyethyl starch was administered in
the range of 10-15 ml.kg(-1) during the operation in both groups. In the control
group, the clot formation time and maximum clot firmness of APTEM showed
significant differences when compared with those of EXTEM at one hour
postoperatively, suggestive of fibrinolysis. In the tranexamic acid group, there
was no significant difference between each postoperative EXTEM and APTEM
parameter. In the tranexamic acid and control group, postoperative blood loss was
308 ml (210-420 [106-745]) and 488 ml (375-620 [170-910], p = 0.002),
respectively, and total blood loss was 1168 ml (922-1470 [663-2107]) and 1563 ml
(1276-1708 [887-1494], p = 0.003). Haemoglobin concentration was higher in the
tranexamic acid group on the second postoperative day (10.5 (9.4-12.1 [7.9-14.0])
vs. 9.6 (8.9-10.5[7.3-16.0]) g.dl(-1) , p = 0.027). In patients undergoing total
hip replacement arthroplasty, postoperative fibrinolysis aggravated by
hydroxyethyl starch was attenuated by co-administration of 10 mg.kg(-1)
tranexamic acid, which may have led to less postoperative blood loss.
PMID- 26559016
TI - Community health workers as chronic care coordinators: evaluation of an
Australian Indigenous primary health care program.
AB - OBJECTIVES: To explore how a client-centred Chronic Care model was implemented by
Indigenous Health Workers (IHWs) at participating sites in a trial of IHW-led
case management. To understand the experiences of engaging with the model from
the perspective of the IHWs, health team members and clients. METHODS: The review
was conducted within a cluster randomised trial of the model in six remote
Indigenous communities in north Queensland over 18 months. Content analysis was
undertaken on 377 project records of health worker activity. Descriptive coding
was used to classify issues that were grouped under key themes. Open-ended
interviews were conducted with 21 stakeholders and analysed using the key themes.
RESULTS: Implementation of all elements of the intervention was not achieved. Key
themes identified that describe the issues affecting the IHWs' capacity to
implement the model were: service management, training, client engagement,
clarification of IHW role and infrastructure. CONCLUSIONS: Placing skilled and
dedicated IHWs to improve care coordination is insufficient to improve chronic
disease outcomes. A supportive and systematic service delivery system is also
required. IMPLICATIONS: The PHC model in remote Indigenous communities needs to
be re-oriented to actively support the unique contributions of IHWs to chronic
care coordination.
PMID- 26559018
TI - Human resources for health development: toward realizing Universal Health
Coverage in Japan.
AB - Human resources are an important factor in establishing universal health coverage
(UHC). We examined Japan's health policies related to development of human
resources for health (HRH) toward establishing UHC, and tried to formulate a
model for other countries wanting to introduce UHC through reviewing existing
data and documents related to Japan's history in developing HRH. In the results,
there were four phases of HRH development in Japan: Phase 1 involved a shortage
of HRH; Phase 2 was characterized by rapid production of less-educated HRH; Phase
3 involved introduction of quality improvement procedures such as upgrade
education for nursing staff or licensing examination for physicians; Phase 4 was
characterized by a predominance of formal health professionals. To encourage
transition between these phrases, Japan utilized several procedures, including:
(i) offering shorter professional education, (ii) fewer admission requirements
for professional education, (iii) widespread location of schools, and (iv) the
aforementioned quality improvement procedures. Japan was able to introduce UHC
during Phase 3, and Japanese health indicators have improved gradually through
these phases. Consequently, the government of Japan focused on increasing the
quantity of HRH through relaxed admission requirements, shorter education
periods, and increasing the numbers of educational facilities, before introducing
UHC. Subsequently, the government began focusing on improving quality through
procedures such as upgrade education or licensing examination programs to enable
less-educated HRH to become fully educated professionals. For governments wanting
to introduce UHC, the Japanese model can be a suitable option for HRH
development, particularly in resource-poor countries.
PMID- 26559017
TI - Diffusion-weighted MRI for early detection and characterization of prostate
cancer in the transgenic adenocarcinoma of the mouse prostate model.
AB - PURPOSE: To improve early diagnosis of prostate cancer to aid clinical decision
making. Diffusion-weighted magnetic resonance imaging (DW-MRI) is sensitive to
water diffusion throughout tissues, which correlates with Gleason score, a
histological measure of prostate cancer aggressiveness. In this study the ability
of DW-MRI to detect prostate cancer onset and development was evaluated in
transgenic adenocarcinoma of the mouse prostate (TRAMP) mice. MATERIALS AND
METHODS: T2 -weighted and DW-MRI were acquired using a 7T MR scanner, 200 mm bore
diameter; 10 TRAMP and 6 C57BL/6 control mice were scanned every 4 weeks from 8
weeks of age until sacrifice at 28-30 weeks. After sacrifice, the genitourinary
tract was excised and sectioned for histological analysis. Histology slides
registered with DW-MR images allowed for validation of DW-MR images and the
apparent diffusion coefficient (ADC) as tools for cancer detection and disease
stratification. An automated early assessment tool based on ADC threshold values
was developed to aid cancer detection and progression monitoring. RESULTS: The
ADC differentiated between control prostate ((1.86 +/- 0.20) * 10(-3) mm(2) /s)
and normal TRAMP prostate ((1.38 +/- 0.10) * 10(-3) mm(2) /s) (P = 0.0001),
between TRAMP prostate and well-differentiated cancer ((0.93 +/- 0.18) * 10(-3)
mm(2) /s) (P = 0.0006), and between well-differentiated cancer and poorly
differentiated cancer ((0.63 +/- 0.06) * 10(-3) mm(2) /s) (P = 0.02). CONCLUSION:
DW-MRI is a tool for early detection of cancer, and discrimination between cancer
stages in the TRAMP model. The incorporation of DW-MRI-based prostate cancer
stratification and monitoring could increase the accuracy of preclinical trials
using TRAMP mice.
PMID- 26559019
TI - Associating liver partition and portal vein ligation (ALPPS): Taking a view of
trails.
AB - Associating liver partition and portal vein ligation (ALPPS) is introduced as a
modified two-staged hepatectomy for advanced liver malignancies, which requires
extended hepatectomy with very small future remnant liver volume. It is
characterized by rapid and large growth of future remnant liver and potential of
widening the indication of curative resection with extended major hepatectomy for
liver malignancies. It showed, however, much higher morbidity and mortality than
extended hepatectomy after portal vein embolization. Here, we review the
literatures and examine the role of ALPPS in Japan, where zero mortality after
hepatectomy is highly expected.
PMID- 26559020
TI - A systematic review and meta-analysis of feasibility, safety and efficacy of
associating liver partition and portal vein ligation for staged hepatectomy
(ALPPS) versus two-stage hepatectomy (TSH).
AB - This meta-analysis aimed to review the regeneration rate of future liver remnant
(FLR) and perioperative outcomes after associating liver partition and portal
vein ligation for staged hepatectomy (ALPPS) and two-stage hepatectomy (TSH). A
web search was performed in "MEDLINE", "EMBASE", and "SCIENCE DIRECT" databases
using both subject headings (MeSH) and truncated word to identify all the
articles published that related to this topic. Pooled risk ratios were calculated
for categorical variables and mean differences for continuous variables using the
fixed-effects and random-effects models for meta-analysis. Three studies involved
282 patients, of whom 234 were in the TSH group and 48 in the ALPPS group.
Morbidity was experienced in 56.3% patients in the ALPPS group and 36.1% in the
TSH group. There was a statistical difference (RR = 1.08; Z = 3.24; 95% CI, p =
0.001). Second surgeries were performed successfully in 79.1% patients in the
portal vein embolization (PVE) group and 100% in the ALPPS group. There was a
statistical difference (Z = 2.48; 95% CI, p = 0.01). The mean regeneration rate
of FLR in the ALPPS group was 56.4% compared with 52.8% in the TSH group. There
was no statistical difference (95% CI, p = 0.34). So from the outcome of this
meta-analysis, TSH had a similar remnant liver regeneration ability compared to
ALPPS while the morbidity and mortality rates were relatively low. Cancer
progression while waiting for the staged liver resection after portal vein
embolization was a drawback for TSH.
PMID- 26559021
TI - Transarterial Y90 radioembolization versus chemoembolization for patients with
hepatocellular carcinoma: A meta-analysis.
AB - Transarterial chemoembolization (TACE) is one of the standard locoregional
treatments for intermediate stage hepatocellular carcinoma (HCC). Transarterial
radioembolization (TARE) using beta-emitting yttrium-90 (90Y) integral to the
glass matrix of the microspheres has been developed as an alternative to TACE in
recent years. Thus, we conducted a meta analysis to evaluate the safety and
efficacy of TARE versus TACE for unresectable HCC. We searched PubMed, EMBASE,
Web of science and the Cochrane Library for clinical trials comparing TARE with
TACE for unresectable HCC. Response rate, overall survival (OS), time to
progression (TTP), hospitalization time days and clinical complications were
analyzed and compared. Eight studies published from 2009 to 2014, with a total of
1,499 patients, were included in this meta-analysis. The pooled results showed
that TARE (90Y) is significantly better in OS (HR = 0.74; 95% CI: 0.61-0.90), 3
year OS rates (RR = 1.75; 95% CI = 1.01-3.03, p = 0.05), TTP (HR = 0.61; 95% CI:
0.41-0.89), hospitalization time days (mean difference = -2.66; 95% CI: -4.08 -
1.24) and some complications (abdominal pain [RR = 0.30, 95% CI: 0.11-0.83, p =
0.02]) for patients with HCC, but did not affect tumor response (CR [RR = 1.06;
95% CI = 0.51-2.22], PR [RR = 1.24; 95% CI = 0.79-1.94], SD [RR = 1.13; 95% CI =
0.92-1.39], PD[RR = 0.75; 95% CI = 0.37-1.51], over-all tumor control [RR = 1.16;
95 % CI = 0.94-1.44]). The current meta-analysis suggests that TARE (Y90) is
significantly better in OS, 3-year OS rates, TTP, hospitalization time days and
some complications for patients with HCC.
PMID- 26559022
TI - Adenovirus-mediated P311 inhibits TGF-beta1-induced epithelial-mesenchymal
transition in NRK-52E cells via TGF-beta1-Smad-ILK pathway.
AB - P311, a highly conserved 8-kDa intracellular protein, has been indicated as an
important factor in myofibroblast transformation and in the progression of
fibrosis. In the present study, we constructed a recombinant adenovirus vector of
p311 (called Ad-P311) and transferred it into rat renal proximal tubular
epithelial cells (NRK-52E) to explore the effect of P311 on epithelial
mesenchymal transition (EMT) of NRK-52E cells induced by TGF-beta1 and to
elucidate its underlying mechanism against EMT. After successfully construction
of Ad-P311 and transfer into NRK-52E cells, the proliferation and growth of P311
expressing cells was detected by MTT assay. TGF-beta1 was used to induce NRK-52E
cells and Western blot analysis was used to examine the EMT markers (E-cadherin
and alpha-smooth muscle actin (alpha-SMA)), signal transducers (p-Smad2/3 and
Smad7). Integrin Linked Kinase (ILK) as a key intracellular mediator that
controls TGF-beta1-induced-EMT was also assayed by Western blot analysis. The
results showed that P311 transfection could significantly inhibit the
proliferation and growth of TGF-beta1 induced NRK-52E cells. The results also
showed that TGF-beta1 could induce EMT in NRK-52E cells through Smad-ILK
signaling pathway with an increase in alpha-SMA, pSmad2/3 and ILK expression, and
a decrease in E-cadherin and Smad7 expression. However, P311 efficiently blocked
Smad-ILK pathway activation and attenuated all these EMT changes induced by TGF
beta1. These findings suggest that P311 might be involved in the pathogenesis of
renal fibrosis by inhibiting the EMT process via TGF-beta1-Smad-ILK pathway. P311
might be a novel target for the control of renal fibrosis and the progression of
CKD.
PMID- 26559023
TI - DHEA promotes osteoblast differentiation by regulating the expression of
osteoblast-related genes and Foxp3(+) regulatory T cells.
AB - Several studies have reported that dehydroepiandrosterone (DHEA) promotes
osteoblast proliferation and inhibits osteoblast apoptosis and that DHEA inhibits
osteoclast maturation. However, whether DHEA regulates osteoblast differentiation
remains unclear. The present study first examined the effect of DHEA on bone
morphology in vivo. DHEA was found to increase bone volume (BV), bone mineral
density (BMD), and the number of trabeculae in bone (Th.N) and it was found to
decrease trabecular spacing in bone (Th.sp) in ovariectomized (OVX) mice. Next,
the effect of DHEA on osteoblast differentiation was examined in vitro and
osteoblastogenesis-related marker genes, such as Runx2, Osterix, Collagen1, and
Osteocalcin, were also detected. DHEA increased osteoblast production in
mesenchymal stem cells (MSCs) cultured in osteoblastogenic medium, and DHEA
increased the expression of Runx2 and osterix, thereby increasing the expression
of osteocalcin and collagen1. Immune cells and bone interact, so changes in
immune cells were detected in vivo. DHEA increased the number of Foxp3(+)
regulatory T cells (Tregs) in the spleen but it did not affect CTLA-4 or IL-10.
When MSCs were treated with DHEA in the presence of Tregs, alkaline phosphatase
(ALP) activity increased. Osteoblasts and adipocytes are both generated by MSCs.
If osteoblast differentiation increases, adipocyte differentiation will decrease,
and the reverse also holds true. DHEA was found to increase the number of
adipocytes in osteoblastogenic medium but it had no effect on the number of
adipocytes and expression of PPARgamma mRNA in adipogenic medium. This finding
suggests that osteoblasts may be involved in adipocyte production. In conclusion,
the current results suggest that DHEA can improve postmenopausal osteoporosis
(PMO) by up-regulating osteoblast differentiation via the up-regulation of the
expression of osteoblastogenesis-related genes and via an increase in Foxp3(+)
Tregs.
PMID- 26559024
TI - Protective effect of oleanolic acid on oxidized-low density lipoprotein induced
endothelial cell apoptosis.
AB - Oleanolic acid (3beta-hydroxyolean-12-en-28-oic acid, OA) is a naturally
occurring triterpenoid with various promising pharmacological properties. The
present study was conducted to determine the protective effects of OA against
oxidized low-density lipoprotein (ox-LDL) induced endothelial cell apoptosis and
the possible underlying mechanisms. Our results showed that ox-LDL significantly
decreased cell viability and induced apoptosis in human umbilical vein
endothelial cells (HUVECs). OA in the co-treatment showed a protective effect
against ox-LDL induced loss in cell viability and an increase in apoptosis, which
was associated with the modulating effect of OA on ox-LDL induced hypoxia
inducible factor 1alpha(HIF-1alpha) expression. Moreover, our results showed that
the modulating effect of OA against ox-LDL induced HIF-1alpha expression was
obtained via inhibition of lipoprotein receptor 1 (LOX-1)/reactive oxygen species
(ROS) signaling. Collectively, we suggested that the protective effect of OA
against ox-LDL induced HUVEC apoptosis might, at least in part, be obtained via
inhibition of the LOX-1/ROS/HIF-1alpha signaling pathway.
PMID- 26559025
TI - Decrease of ZEB1 expression inhibits the B16F10 cancer stem-like properties.
AB - Increasing evidence supports that cancer stem cells (CSCs) are responsible for
driving tumor initiation and maintenance. Zinc-finger E-box binding homeobox 1
(ZEB1) is a transcription factor for regulating tumor progression, and
contributes to maintenance of CSC-like properties. The goal of the present study
is to investigate the effect of decreasing ZEB1 expression on the B16F10 CSC-like
properties. The recombinant shRNA targeting ZEB1 were transfected into melanoma
B16F10 cells, and shZEB1-CD133(+)CD44(+) CSCs were isolated from the stable
transfected cells using the magnetic-associated cell sorting method. The shZEB1
CD133(+)CD44(+) CSC-like properties were systematically analyzed. The results
show the B16F10 shZEB1-CD133(+)CD44(+) CSCs significantly decreased the ability
of clonogenicity, cellular proliferation, migration, and invasion. Importantly,
tumorigenicity and tumor lung metastasis was significantly inhibited in B16F10
shZEB1-CD133(+)CD44(+) CSCs compared with B16F10 scramble-CD133(+)CD44(+) CSCs.
The decrease of ZEB1 expression markedly resulted in down-regulation of vimentin
and N-cadherin expression as well as up-regulation of E-cadherin expression in
tumor tissues from the mice injected with B16F10 shZEB1-CD44(+)CD133(+) CSCs.
These findings contribute to understanding the maintenance of B16F10
CD133(+)CD44(+) CSC-like properties that was closely associated with ZEB1
expression. ZEB1 may serve as a new therapeutic target for treatment of malignant
melanoma.
PMID- 26559026
TI - The risk factors for suboptimal CD4 recovery in HIV infected population: an
observational and retrospective study in Shanghai, China.
AB - Although the initiation of antiretroviral therapy (ART) has promoted the
reconstitution of CD4+ T-cell count in the HIV infected population, not all
patients can achieve the normalization of their immunologic functions. We
analysed the variables associated with immunologic recovery, which is commonly
regarded as the increase of CD4 to 350 cell/MUL after a year of ART. We collected
data from 3,485 patients attending a university-based HIV clinic from June 2005
to July 2014 in Shanghai, China. Logistic regression test was performed to
analyse the risk factors for suboptimal CD4+ recovery following yearlong ART. The
CD4+ T-cell of 723 participants (41.5% of the 1744 subjects) showed more than 350
cell/MUL after one year of ART. Compared with baseline CD4 > 350 cell/MUL,
patients with baseline CD4 <= 200 cell/MUL or 200 < CD4 <= 350 cell/MUL were
42.6, 4.5 times more likely to be incomplete CD4 recovery, respectively. The risk
of suboptimal immunologic recovery among patients with regimen including AZT or
d4T were 2.1, 2.4 times higher compared with TDF, respectively. In our study,
between optimal CD4 recovery group and suboptimal recovery group, there were no
significant differences in age, gender, marital status, transmission routes, WHO
stage, and CD4 recovery rates. As for the dynamic CD4 change, we found the CD4
recovery rates were 49.9% and 61.8% in the second and third year of ART,
respectively. Patients who had a low level of CD4+ T-cell count (< 200 cell/MUL)
during the initiation of ART exhibited more difficulties recovering to a normal
level. Furthermore, the regimen, including AZT or d4T, was not beneficial to CD4
recovery. So, more efforts should be made to guarantee the early diagnosis and
timely treatment for HIV/AIDS patients, and simultaneously optimize
antiretroviral therapy.
PMID- 26559027
TI - Serum concentrations of Flt-3 ligand in rheumatic diseases.
AB - Fms-like tyrosine kinase 3 (Flt-3) is a cytokine receptor expressed on the
surface of bone-marrow progenitor of hematopoietic cells. Flt-3 ligands are
produced by peripheral blood mononuclear cells, and found in various human body
fluids. Flt-3 signal is involved in the regulation of vessel formation as well as
B cell differentiation, suggesting that Flt-3 signal contributes to the
pathogenesis of vascular abnormalities and immune dysregulation in rheumatic
diseases. The aim of the present study is to examine serum Flt-3 ligand levels in
patients with various rheumatic diseases, and to evaluate the possibility that
serum Flt-3 ligand levels can be a useful disease marker. Sera were obtained from
20 dermatomyositis (DM) patients, 36 systemic sclerosis (SSc) patients, 10
systemic lupus erythematosus (SLE) patients, 10 scleroderma spectrum disorder
(SSD) patients, 4 mixed connective tissue disease (MCTD) patients, and 12 normal
subjects. Flt-3 ligand levels were determined with ELISA. Serum Flt-3 ligand
levels were significantly elevated in patients with DM, SSc, SSD and MCTD
compared to those in normal subjects. DM patients with elevated Flt-3 ligand
levels were accompanied with significantly increased CRP levels and increased
frequency of heliotrope rash than those with normal levels. In addition, SSc
patients with elevated Flt-3 ligand levels showed significantly reduced frequency
of nailfold bleeding. Serum Flt-3 ligand levels can be a marker of cutaneous
manifestation in DM and a marker of microangiopathy in SSc. Clarifying the role
of Flt-3 ligand in rheumatic diseases may lead to further understanding of these
diseases and new therapeutic approaches.
PMID- 26559028
TI - Fluorescent Biotin Analogues for Microstructure Patterning and Selective Protein
Immobilization.
AB - Benzyl substitution on ureido nitrogens of biotin led to manifestation of
aggregation-induced emission, which was studied by steady-state fluorescence,
microscopy, and TD-DFT, providing a rationale into the observed photophysical
behavior. Besides exhibiting solvatochromism, the biotin derivatives revealed
emission peaks centered at ~430 and 545 nm, which has been attributed to the pi
pi stacking interactions. Our TD-DFT results also correlate the spectroscopic
data and quantify the nature of transitions involved. The isothermal titration
calorimetry data substantiates that the binding of the biotin derivatives with
avidin are pretty strong. These derivatives on lithographic patterning present a
platform for site specific strept(avidin) immobilization, thus opening avenues
for potential applications exploiting these interactions. The fluorescent biotin
derivatives can thus find applications in cellular biology and imaging.
PMID- 26559029
TI - Remote Construction of Chiral Vicinal Tertiary and Quaternary Centers by
Catalytic Asymmetric 1,6-Conjugate Addition of Prochiral Carbon Nucleophiles to
Cyclic Dienones.
AB - An unprecedented remote construction of chiral vicinal tertiary and quaternary
centers by a catalytic asymmetric 1,6-conjugate addition of prochiral carbon
nucleophiles to cyclic dienones has been developed. Both 5H-oxazol-4-ones and 2
oxindoles were found to be very efficient carbon nucleophiles in this reaction at
a remote position, giving products with excellent enantio- and
diastereoselectivities (up to 99% ee and >19:1 d.r. for 5H-oxazol-4-ones and up
to 97% ee and >19:1 d.r. for 2-oxindoles).
PMID- 26559030
TI - Hierarchical Nitrogen-Doped Graphene/Carbon Nanotube Composite Cathode for
Lithium-Oxygen Batteries.
AB - The lithium-oxygen (Li-O2 ) battery is a very appealing candidate for advanced
high energy applications owing to its exceptionally high specific energy.
However, its poor energy efficiency, rate capability, and cyclability remain key
barriers to its practical application. In this work, using a rationally designed
cathode based on a bimodal mesoporous nitrogen-doped graphene/carbon nanotube
(NGC) composite, we have developed a Li-O2 battery demonstrating enhanced round
trip efficiency (ca. 85 %) and excellent cyclability over 400 cycles under a high
current rate of 500 mA g(-1) . The excellent cyclability and rate capability are
attributed to improved stability of the aggressive LiO2 intermediate on the
nitrogen-doped carbon surface in addition to the favorable hierarchical
architecture of NGC. These results demonstrate a valuable research direction to
achieve highly stable and reversible Li-O2 batteries through tuning the surface
chemistry of the cathode in addition to finding a stable electrolyte solvent.
PMID- 26559031
TI - New efficiencies in the NHS-how shall we recognize them?
PMID- 26559032
TI - Counting practice: the impact of information systems on community nursing.
AB - The act of collecting data is not neutral, it exerts an influence on the activity
it is intended to reflect. This paper examines three basic assumptions which
underpin the collection of information for minimum data-sets from community
nurses, and suggests that influence has the potential to drive practitioners
towards a model of practice which is fundamentally flawed. The paper criticizes
the use of a single episode of care as the base unit of activity in a long-term,
continuing service. It argues that the focus on single individuals as recipients
of a service is inappropriate for family- or community-based nursing. Further, it
challenges the idea that the complex, multi-faceted and compound interactions
encompassed within community nursing practice can be separated into single
activities to be counted or measured. It concludes that identified deficiencies
in the information systems stem from an inadequate base of theoretical knowledge,
and not from personal failings on the part of managers or their staff. It warns
that the inappropriate basis for collecting information may promote insensitive
and ineffective community nursing practice.
PMID- 26559033
TI - Integrating nurse education with higher education.
PMID- 26559034
TI - Nurse management-a case of competing rationalities.
AB - Nurse management has been characterized by problems throughout its development.
Through the application of a Weberian theoretical framework the origins of these
problems are identified and their influence on the current situation discussed.
The suggestion is that the difficulties of nurse management arise from an
inherent conflict between the notions of caring and management. This must be
resolved if nurses are to be effective health care managers and are to safeguard
the interests of patients.
PMID- 26559035
TI - The increasing differentiation of the Health Service.
PMID- 26559036
TI - Increasing concern is expressed over stress in the workplace. How far should
stress management be a responsibility of individuals or organizations?
PMID- 26559037
TI - Satisfaction with nursing care and work during a year of clinical supervision and
individualized care. Comparison between two wards for the care of severely
demented patients.
AB - An intervention study was set up to explore the effects of the implementation of
planned individualized care and regular systematic clinical supervision regarding
nurses' degree of satisfaction with nursing care and work. All nurses on two
similar wards caring for severely demented patients participated as experimental
ward (EW) (n = 19) and control ward (CW) (n = 20). Data were collected before
intervention and at 6 months and 12 months during intervention, by means of a
questionnaire developed in Sweden which assesses aspects of satisfaction with
nursing care and work. Analysis was performed by means of principal component
analysis, Wilcoxon rank sum test and Friedman two-way ANOVA. The baseline data
showed no significant differences in the responses from the nurses on the two
wards; they were found to be more satisfied than dissatisfied with their job
although recognition from management was poor. During the intervention, the
experimental ward nurses' experience of praise, professional growth, autonomy and
quality of care, as well as their feeling of co-operation with colleagues and
comfort improved significantly, while no changes occurred on the control ward.
The quality of the written documentation on patients' needs and care improved
significantly, and the experimental ward nurses also rejected significantly more
strongly that their knowledge about patients and work was unsatisfactory. Their
improved satisfaction with nursing care and work was believed to be related to
the support they received in cognitive and emotional coping, the possibility of
reflective learning and the change in management style associated with
intervention.
PMID- 26559039
TI - Double single-port procedure for transanal intersphincteric proctectomy and
abdominal ileorectal anastomosis - a video vignette.
PMID- 26559040
TI - Is Australasia producing too many emergency physicians? Yes.
PMID- 26559042
TI - Controlling droplet-based deposition uniformity of long silver nanowires by
micrometer scale substrate patterning.
AB - We report control of droplet-deposit uniformity of long silver nanowires
suspended in solutions by microscopic influence of the liquid contact line.
Substrates with microfabricated line patterns with a pitch far smaller than mean
wire length lead to deposit thickness uniformity compared to unpatterned
substrates. For high boiling-point solvents, two significant effects were
observed: The substrate patterns suppressed coffee ring staining, and the wire
deposits exhibited a common orientation lying perpendicular over top the lines.
The latter result is completely distinct from previously reported substrate
groove channeling effects. This work shows that microscopic influence of the
droplet contact line geometry including the contact angle by altered substrate
wetting allows significant and advantageous influence of deposition patterns of
wire-like solutes as the drop dries.
PMID- 26559041
TI - Signal dependent ER export of lemur tyrosine kinase 2.
AB - BACKGROUND: The membrane anchored kinase, LMTK2, is a serine/threonine kinase
predominantly localized to endosomal compartments. LMTK2 has been shown to be
involved in the trafficking of the CFTR ion channel, the androgen receptor, as
well as modulating neurodegeneration. As a membrane anchored protein, LMTK2 must
be exported from the ER, yet the mechanisms whereby LMTK2 is sequestered within
the ER for efficient export are unknown. METHODS: Sequence analysis of the
carboxyl tail of LMTK2 revealed a putative di-acidic ER export motif. Site
directed mutagenesis was utilized to ablate this potential motif. Subcellular
fractionation, immunofluorescence microscopy, and transferrin recycling assays
were used to determine the consequence of mutating LMTK2's export motif. RESULTS:
Mutation of the di-acidic export motif led to ER retention of LMTK2, and an
increase in protein half-life and a concomitant loss of LMTK2 from its
appropriate terminal destination. Loss of LMTK2 from endosomal compartments by
preventing its release from the ER is linked to a reduction in transferrin
recycling. CONCLUSIONS: We have identified a di-acidic ER export motif within the
carboxyl tail of the membrane anchored kinase LMTK2. This sequence is used by
LMTK2 for its efficient export from the ER.
PMID- 26559043
TI - New understanding of nonconvulsive status epilepticus in adults: treatments and
challenges.
AB - Nonconvulsive status epilepticus (NCSE) in adults represents a constellation of
conditions producing ongoing ictal impairment with myriad clinical presentations.
These may vary from minimal obtundation, to psychosis to coma for which
electroencephalography is essential to diagnosis. Treatment is highly variable
and is tailored to the level of consciousness, probable outcome and underlying
etiology. There is a new and emerging understanding of the nature of NCSE, its
classification and the challenges in treatment. The aim of this article is to
review the classic and most recent literature on NCSE in adults focusing
attention on the clinical settings in which NCSE frequently occurs, in order to
improve awareness of the issues involved in electroclinical diagnosis, treatment
and prognostication.
PMID- 26559044
TI - Pneumo-orbita mimicking hordeolum.
PMID- 26559045
TI - Two-State Intramolecular Charge Transfer (ICT) with 3,5-Dimethyl-4
(dimethylamino)benzonitrile (MMD) and Its Meta-Isomer mMMD. Ground State Amino
Twist Not Essential for ICT.
AB - From X-ray structure analysis, amino twist angles of 90.0 degrees for 2,4
dimethyl-3-(dimethylamino)benzonitrile (mMMD), 82.7 degrees for 4-(di-tert
butylamino)benzonitrile (DTABN), and 88.7 degrees for 6-cyanobenzoquinuclidine
(CBQ) are determined, all considerably larger than the 57.4 degrees of 3,5
dimethyl-4-(dimethylamino)benzonitrile (MMD). This large twist leads to
lengthening of the amino-phenyl bond, 143.5 pm (mMMD), 144.1 pm (DTABN), 144.6 pm
(CBQ), and 141.4 pm (MMD), as compared with 136.5 pm for the planar 4
(dimethylamino)benzonitrile (DMABN). As a consequence, the electronic coupling
between the amino and phenyl subgroups in mMMD, DTABN, CBQ, and MMD is much
weaker than in DMABN, as seen from the strongly reduced molar absorption
coefficients. The fluorescence spectrum of MMD in n-hexane at 25 degrees C
consists of two emissions, from a locally excited (LE) and an intramolecular
charge transfer (ICT) state, with a fluorescence quantum yield ratio
Phi'(ICT)/Phi(LE) of 12.8. In MeCN, a single ICT emission is found. With mMMD in
n-hexane, in contrast, only LE fluorescence is observed, whereas the spectrum in
MeCN originates from the ICT state. These differences are also seen from the half
widths of the overall fluorescence bands, which in n-hexane are larger for MMD
than for mMMD, decreasing with solvent polarity for MMD and increasing for mMMD,
reflecting the disappearance of LE and the onset of ICT in the overall spectra,
respectively. From solvatochromic measurements the dipole moments MUe(ICT) of MMD
(16 D) and mMMD (15 D) are obtained. Femtosecond excited state absorption (ESA)
spectra at 22 degrees C, together with the dual (LE + ICT) fluorescence, reveal
that MMD in n-hexane undergoes a reversible LE ? ICT reaction, with LE as the
precursor, with a forward rate constant ka = 5.6 * 10(12) s(-1) and a back
reaction kd ~ 0.05 * 10(12) s(-1). With MMD in the strongly polar solvent MeCN,
ICT is faster: ka = 10 * 10(12) s(-1). In the case of mMMD in n-hexane, the ESA
spectra show that ICT does not take place, contrary to MeCN, in which ka = 2.5 *
10(12) s(-1). The ICT reactions with MMD and mMMD are much faster than that of
the parent compound DMABN in MeCN, with ka = 0.24 * 10(12) s(-1). Because of the
very short ICT reaction times of 180 fs (MMD, n-hexane), 100 fs (MMD, MeCN), and
400 fs (mMMD, MeCN), it is clear that the picosecond fluorescence decays of these
systems appear to be single exponential, due to the insufficient time resolution
of 3 ps. It is concluded that the faster LE -> ICT reaction of MMD as compared
with DMABN (ka = 0.24 * 10(12) s(-1) in MeCN) is caused by a smaller energy gap
DeltaE(S1,S2) between the lowest singlet excited states and not by the large
amino twist angle. Similarly, the larger DeltaE(S1,S2) of mMMD as compared with
MMD is held responsible for its smaller ICT efficiency (no reaction in n-hexane).
PMID- 26559046
TI - The Baykal phenomenon: large congenital melanocytic nevi involving the breast
tend to spare the nipple and areola.
PMID- 26559047
TI - Switching Transient Generation in Surface Interrogation Scanning Electrochemical
Microscopy and Time-of-Flight Techniques.
AB - In surface interrogation scanning electrochemical microscopy (SI-SECM), fine and
accurate control of the delay time between substrate generation and tip
interrogation (tdelay) is crucial because tdelay defines the decay time of the
reactive intermediate. In previous applications of the SI-SECM, the resolution in
the control of tdelay has been limited to several hundreds of milliseconds due to
the slow switching of the bipotentiostat. In this work, we have improved the time
resolution of tdelay control up to ca. 1 MUs, enhancing the SI-SECM to be
competitive in the time domain with the decay of many reactive intermediates. The
rapid switching SI-SECM has been implemented in a substrate generation-tip
collection time-of-flight (SG-TC TOF) experiment of a solution redox mediator,
and the results obtained from the experiment exhibited good agreement with that
obtained from digital simulation. The reaction rate constant of surface Co(IV) on
oxygen-evolving catalyst film, which was inaccessible thus far due to the lack of
tdelay control, has been measured by the rapid switching SI-SECM.
PMID- 26559048
TI - Stochastic model explains formation of cell arrays on H/O-diamond patterns.
AB - Cell migration plays an important role in many biological systems. A relatively
simple stochastic model is developed and used to describe cell behavior on
chemically patterned substrates. The model is based on three parameters: the
speed of cell movement (own and external), the probability of cell adhesion, and
the probability of cell division on the substrate. The model is calibrated and
validated by experimental data obtained on hydrogen- and oxygen-terminated
patterns on diamond. Thereby, the simulations reveal that: (1) the difference in
the cell movement speed on these surfaces (about 1.5*) is the key factor behind
the formation of cell arrays on the patterns, (2) this difference is provided by
the presence of fetal bovine serum (validated by experiments), and (3) the
directional cell flow promotes the array formation. The model also predicts that
the array formation requires mean distance of cell travel at least 10% of
intended stripe width. The model is generally applicable for biosensors using
diverse cells, materials, and structures.
PMID- 26559049
TI - Initiation of glucose-lowering treatment decreases international normalized ratio
levels among users of vitamin K antagonists: a self-controlled register study.
AB - ESSENTIALS: It is not known if initiation of glucose-lowering drugs alters the
efficacy of vitamin K antagonists (VKA). We examined if glucose-lowering drugs
affected international normalized ratio (INR) in VKA-treated patients. Upon
initiating glucose-lowering drugs, 51% of patients had INR values below the
therapeutic window. Monitoring of INR levels should be intensified upon
initiation of glucose-lowering drugs. BACKGROUND: It is not known whether
initiation of antidiabetic treatment affects the effect of vitamin K antagonists
(VKAs). It was previously shown that metformin affects the effect of one VKA,
phenprocoumon. OBJECTIVES: The aim of this study was to determine if initiation
of glucose-lowering treatment affects the international normalized ratio (INR)
and dose requirements of the anticoagulant VKAs warfarin and phenprocoumon.
PATIENTS/METHODS: We performed a self-controlled retrospective register-based
study. A total of 118 patients commencing glucose-lowering treatment while being
treated with warfarin or phenprocoumon were included in the study. We compared
INR, dose/INR and proportion of patients with at least one sub-therapeutic INR
measurement before and after initiation of glucose-lowering treatment. RESULTS:
Initiation of glucose-lowering treatment caused mean INR to decrease from 2.5 to
2.2 (decrease of -0.3 [95% CI: -0.1; -0.5]) and led to more than half of the
patients having at least one sub-therapeutic INR measurement. Six to 12 weeks
later, the VKA dose/INR was increased by 11%, indicating a weakened effect of the
VKA. CONCLUSION: Initiation of glucose-lowering treatment reduces the
anticoagulant effect of VKAs to an extent that is likely to be clinically
relevant. This finding needs confirmation and mechanistic explanation.
PMID- 26559050
TI - Black Jobs Matter: Racial Inequalities in Conditions of Employment and Subsequent
Health Outcomes.
AB - African-Americans shoulder an excessive burden of unemployment, precarious
employment, and low paying jobs in the United States, which may help explain why
they experience some of the worst health outcomes among U.S. citizens. This paper
presents a conceptual framework describing this phenomenon. The social
determinants of health as described by this framework include racism, social and
public policy formation, socioeconomic status, and conditions of employment. The
intermediate determinants of health, which include the ability to afford health
behavior, depression and addiction, environmental exposures, and access to
primary care, are informed by conditions of employment, which leads to poor
health outcomes for African-Americans. This paper will explore in detail these
relationships.
PMID- 26559051
TI - Prevalence and Patterns of Tobacco Use in Bangladesh from 2009 to 2012: Evidence
from International Tobacco Control (ITC) Study.
AB - BACKGROUND: Smoking and passive smoking are collectively the biggest preventable
cause of death in Bangladesh, with major public health burden of morbidity,
disability, mortality and community costs. The available studies of tobacco use
in Bangladesh, however, do not necessarily employ nationally representative
samples needed to monitor the problem at a national scale. This paper examines
the prevalence and patterns of tobacco use among adults in Bangladesh and the
changes over time using large nationally representative comparable surveys.
METHODS: Using data from two enumerations of the International Tobacco Control
(ITC) Bangladesh Project conducted in 2009 and 2012, prevalence estimates are
obtained for all tobacco products by socio-economic determinants and sample types
of over 90,000 individuals drawn from over 30,000 households. Household level
sample weights are used to obtain nationally representative prevalence estimates
and standard errors. Statistical tests of difference in the estimates between two
time periods are based on a logistic regression model that accounts for the
complex sampling design. Using a multinomial logit model, the time trend in
tobacco use status is identified to capture the effects of macro level
determinants including changes in tobacco control policies. RESULTS: Between 2009
and 2012, overall tobacco use went down from 42.4% to 36.3%. The decline is more
pronounced with respect to smokeless tobacco use than smoking. The prevalence of
exclusive cigarette smoking went up from 7.2% to 10.6%; exclusive bidi smoking
remained stable at around 2%; while smoking both cigarette and bidi went down
from 4.6% to 1.8%; exclusive smokeless tobacco use went down from 20.2% to 16.9%;
and both smokeless tobacco use and smoking went down from 8.4% to 5.1%. In
general, the prevalence of tobacco use is higher among men, increases from
younger to older age groups, and is higher among poorer people. Smoking
prevalence is the highest among the slum population, followed by the tribal
population, the national population and the border area population, suggesting
greater burden of tobacco use among the disadvantaged groups. CONCLUSIONS: The
overall decline in tobacco use can be viewed as a structural shift in the tobacco
market in Bangladesh from low value products such as bidi and smokeless tobacco
to high value cigarettes, which is expected with the growth in income and
purchasing power of the general population. Despite the reduction in overall
tobacco use, the male smoking prevalence in Bangladesh is still high at 37%. The
world average of daily smoking among men is 31.1%. The Tobacco Control Act 2005
and the Amendment have yet to make a significant impact in curbing tobacco usage
in Bangladesh. The findings in this paper further suggest that the tobacco
control policies in Bangladesh need to include targeted interventions to restrain
the use of particular types of tobacco products among specific demographic and
socio-economic groups of the population, such as smoked tobacco among men,
smokeless tobacco among women, and both smoked and smokeless tobacco among those
living in rural areas, those in low socio-economic status and those belonging to
the tribal and the slum population.
PMID- 26559052
TI - Biomedical applications of microneedles in therapeutics: recent advancements and
implications in drug delivery.
AB - INTRODUCTION: The skin, as the largest organ, is a better option for drug
delivery in many diseases. However, most transdermal delivery is difficult due to
the low permeability of therapeutics across the various skin layers. There have
been many innovations in transdermal drug delivery to enhance the therapeutic
efficacy of the drugs administered. Microneedles (MN), micron sized needles, are
of great interest to scientists as a new therapeutic vehicle through transdermal
routes, especially for vaccines, drugs, small molecules, etc. AREAS COVERED: This
review covers new insights into different types of MNs such as solid, hollow,
coated and dissolving MNs (SMNs, HMNs, CMNs, and DMNs) for selected biomedical
applications in detail. Specific focus has been given to CMNs and DMNs for
vaccine and drug delivery applications with recent developments in new MNs
covered. EXPERT OPINION: This review explores the feasibility of innovative MNs
used as a drug delivery carrier. Because most of the SMNs and HMNs have many
limitations, it is difficult to achieve therapeutic efficacy. Therefore, many
scientists are investigating functional modifications of MNs through covalent and
non-covalent methods, especially for CMNs and DMNs. The biomedical applications
of MNs are growing and new exciting improvements could be achieved, thus
resulting in better micro/nano technologies in the near future.
PMID- 26559053
TI - Current Issues in Molecular Catalysis Illustrated by Iron Porphyrins as Catalysts
of the CO2-to-CO Electrochemical Conversion.
AB - Recent attention aroused by the reduction of carbon dioxide has as main objective
the production of useful products, the "solar fuels", in which solar energy would
be stored. One route to this goal is the design of photochemical schemes that
would operate this conversion using directly sun light energy. An indirect
approach consists in first converting sunlight energy into electricity then using
it to reduce CO2 electrochemically. Conversion of carbon dioxide into carbon
monoxide is thus a key step through the classical dihydrogen-reductive Fischer
Tropsch chemistry. Direct and catalytic electrochemical CO2 reduction already
aroused active interest during the 1980-1990 period. The new wave of interest for
these matters that has been growing since 2012 is in direct conjunction with
modern energy issues. Among molecular catalysts, electrogenerated Fe(0)
porphyrins have proved to be particularly efficient and robust. Recent progress
in this field has closely associated the search of more and more efficient
catalysts in the iron porphyrin family with an unprecedentedly rigorous
deciphering of mechanisms. Accordingly, the coupling of proton transfer with
electron transfer and breaking of one of the two C-O bonds of CO2 have been the
subjects of relentless scrutiny and mechanistic analysis with systematic
investigation of the degree of concertedness of these three events. Catalysis of
the electrochemical CO2-to-CO conversion has thus been a good testing ground for
the mechanism diagnostic strategies and the all concerted reactivity model
proposed then. The role of added Bronsted acids, both as H-bond providers and
proton donors, has been elucidated. These efforts have been a preliminary to the
inclusion of the acid functionalities within the catalyst molecule, giving rise
to considerable increase of the catalytic efficiency. The design of more and more
efficient catalysts made it necessary to propose "catalytic Tafel plots" relating
the turnover frequency to the overpotential as a rational way of benchmarking the
catalysts within iron porphyrins and among all available molecular catalysts,
independently of the characteristics of the electrolytic cell in use. To be
reliable, such assignments of the intrinsic characteristics of catalysts are
grounded in the accurate elucidation of mechanisms. Without forgetting the
importance of large scale electrolysis, not only mobilization of all resources of
nondestructive techniques such as cyclic voltammetry was necessary to achieve
this challenge, but also new approaches, such as foot-of-the-wave analysis
combined with raising of scan rate, had to be applied. The latest improvement in
catalyst design was to render it water-soluble while preserving, or even
augmenting, its catalytic efficiency. The replacement of the nonaqueous solvents
so far used by water makes the CO2-to-CO half-cell reaction much more attractive
for applications, allowing its association with a water-oxidation anode through a
proton-exchange membrane. Manipulation of pH and buffering then allow CO2-to-CO
conversions from those involving complete CO-selectivity to ones with prescribed
CO-H2 mixtures. Overall, it appears that not only are iron porphyrins the most
efficient catalysts of the CO2-to-CO electrochemical conversion but also they can
serve to illustrate general issues concerning the field of molecular catalysis as
a whole, including other reductive or oxidative processes.
PMID- 26559054
TI - Corticosteroid Risk Function of Severe Infection in Primary Immune
Thrombocytopenia Adults. A Nationwide Nested Case-Control Study.
AB - Corticosteroid (CS)-related infection risk in immune thrombocytopenia (ITP) is
unknown. The aim of this study was to assess the adjusted CS risk function of
severe infection in persistent or chronic primary ITP adults. We designed a
nested case-control study in the FAITH cohort. This cohort is built through the
French national health insurance database named SNIIRAM and includes all treated
incident persistent or chronic primary ITP adults in France (ENCePP n degrees
4574). Patients who entered the FAITH cohort between 2009 and 2012 were eligible
(n = 1805). Cases were patients with infection as primary diagnosis code during
hospitalization. Index date was the date of first hospitalization for infection.
A 2:1 matching was performed on age and entry date in the cohort. Various CS
exposure time-windows were defined: current user, exposure during the 1/3/6
months preceding index date and from the entry date. CS doses were converted in
prednisone equivalent (PEQ). The cumulative CS doses were averaged in each time
window to obtain daily PEQ dosages. Each CS exposure definition was assessed
using multivariate conditional regression models. During the study period, 161
cases (9 opportunistic) occurred. The model with the best goodness of fit was CS
exposure during the month before the index date (OR: 2.48, 95% CI: 1.61-3.83).
The dose-effect relation showed that the risk existed from averaged daily doses
>=5 mg PEQ (vs. <5 mg: 2.09, 95% CI: 1.17-3.71). The risk of infection was mainly
supported by current or recent exposure to CS, even with low doses.
PMID- 26559056
TI - Erratum to: Age-related delay in urinary stone clearance in elderly patients with
solitary proximal ureteral calculi treated by extracorporeal shock wave
lithotripsy.
PMID- 26559055
TI - Type 2 Diabetes Mellitus and Kidney Cancer Risk: A Retrospective Cohort Analysis
of the National Health Insurance.
AB - PURPOSE: To evaluate the association between incidence of any kidney cancer and
type 2 diabetes mellitus. METHODS: A random sample of 1,000,000 subjects covered
by the National Health Insurance was recruited. A total of 998728 people (115655
diabetes and 883073 non-diabetes) without kidney cancer at recruitment were
followed from 2003 to 2005. The cumulative incidence of kidney cancer from 2003
to 2005 in diabetic patients and non-diabetic people in all ages and in age <40,
40-64, 65-74 and >= 75 years were calculated in the diabetic patients and the non
diabetic people, respectively. Logistic regression was used to estimate the odds
ratios comparing diabetic patients to non-diabetic people in the respective age
groups. Multivariable-adjusted odds ratios for kidney cancer with regards to
diabetes status and diabetes duration (as a continuous variable or categorized
into subgroups of non-diabetes, diabetes duration <1 year, 1-2.9 years, 3-4.9
years and >= 5 years) were estimated after multivariable adjustment. The
multivariable-adjusted odds ratios for all baseline variables were also estimated
for diabetic patients and non-diabetic people, respectively. RESULTS: The 3-year
cumulative incidence of kidney cancer in the diabetic patients and the non
diabetic people was 166.9 and 33.1 per 100,000 person-years, respectively. The
incidence increased with regards to increasing age in both the diabetic patients
and the non-diabetic people, but a higher risk of kidney cancer for the diabetic
patients compared to the non-diabetic people was consistently observed in
different age groups. After multivariable adjustment, the odds ratio for diabetic
patients versus non-diabetic people was 1.7 (95% confidence interval: 1.3-2.1,
P<0.01). While compared to the non-diabetic people, the odds ratio (95%
confidence interval) for diabetes duration <1, 1-2.9 years, 3-4.9 years and >= 5
years was 1.5 (0.8-2.7), 1.6 (1.0-2.4), 1.6 (1.1-2.4) and 1.7 (1.3-2.3),
respectively (P-trend <0.01). Analyses conducted in the diabetic patients and the
non-diabetic people, respectively, consistently showed age, nephropathy and end
stage renal disease as significant risk factors of kidney cancer. Additionally,
living in metropolitan Taipei region might also be associated with a higher risk
of kidney cancer in the non-diabetic people, indicating a potential link between
kidney cancer and some factors related to urbanization. CONCLUSIONS: Patients
with type 2 diabetes mellitus have a significantly higher risk of kidney cancer.
PMID- 26559057
TI - Epidemiology of bladder stone of children: precipitating events.
AB - Urological surgery evolved from the ancient practice of removing primary bladder
stones from young boys. Bladder stones, once ubiquitous, long ago disappeared
from the developed world while pockets of disease still exist in developing
countries. Two epidemiological studies identified as precipitating events of
bladder stone formation the practice of substitutive carbohydrate feedings to
newborns. In Southeast Asia, masticated rice is fed to newborns in stone-endemic
villages while in England, during the eighteenth and nineteenth centuries pap or
panada was used to hand-feed infants when breast milk was not available. Fresh
milk from dairy animals was deemed preferable to pap. Lack of access to dairy
cattle enhanced need for hand-feeding. In an epidemiological study, during mid
nineteenth century in England the prevalence of dairy cattle was inversely
related to the prevalence of bladder stones. These epidemiological data relate
stone formation to nutrition during the first few days or weeks of life. It is
surmised that frequent use of or exclusive reliance on carbohydrate foods
replacing milk feedings leads to a relative dietary deficiency in phosphates and
the formation of insoluble urinary salts. Girls, with short, nontortuous urethras
may pass much of the calculus debris without retaining nuclei in the bladder. In
some males, stone nuclei are formed and retained. The growth of stones is
determined thereafter by the net effect of depository and resorptive mechanisms
operating over time distributing over many years the age that patients present
for surgical stone removal. The role of early introduction of carbohydrate foods
and reduced milk intake of neonates has not been incorporated into
recommendations for feeding newborns in endemic countries nor comprehensively
modeled in animals.
PMID- 26559059
TI - Self-nanoemulsifying drug delivery system of docosahexanoic acid: development, in
vitro, in vivo characterization.
AB - CONTEXT: Docosahexanoic acid (DHA) is an essential omega-3 fatty acid for normal
brain development and its use has increased considerably in recent years.
OBJECTIVE: The aim of this study is to develop and evaluate self-nanoemulsifying
drug delivery systems (SNEDDS) of DHA for improved palatability, dispersibility
and bioavailability. METHODS: The SNEDDS were prepared and evaluated for
miscibility, employing different combinations of olive oil and soyabean oil as
oil phase, Span 80, Span 20, soya phosphatidylcholine, Labrafil M 1944 CS as
surfactants while Tween 80, PEG 400, Cremophor RH40 and propylene glycol as
cosurfactants. Thermodynamically stable SNEDDS were characterized for
dispersibility, self-emulsification time, droplet size, zeta potential along with
sensory analysis. The optimized formulation was subjected to ex vivo and in vivo
evaluation such as intestinal permeability, memory performance test, brain
concentration and histopathology studies. RESULTS: The optimized SNEDDS
formulation showed emulsification time of 27 +/- 4.7 s with droplet size of 17.6
+/- 3.5 nm and zeta potential of -37.6 +/- 0.5 mV. Intestinal absorption study
depicted 18.3%, 21.5%, 41.5%, 98.7% absorption of DHA with SNEDDS-based
formulation in comparison to 8.2%, 15.1%, 28.8%, 46.1% absorption of DHA with oil
based marketed formulation after 0.5, 1, 2 and 4 h. DHA concentration in brain
homogenate was found to be increased to 2.6-fold in comparison to DHA-marketed
formulation. This could be ascribed to enhanced dispersibility and
bioavailability of DHA from nanosized formulation. CONCLUSION: The developed
formulation led to enhanced dispersibility and bioavailability of DHA due to the
formation of nanodroplets.
PMID- 26559058
TI - Association of Alcohol Consumption with Markers of Prostate Health and
Reproductive Hormone Profiles: A Multi-Center Study of 4,535 Men in China.
AB - BACKGROUND: The effect of alcohol consumption on prostate health and reproductive
hormone profiles has long been investigated and currently, no consensus has been
reached. Additionally, large studies focusing on this topic are relatively rare
in China. PURPOSE: To investigate the association of alcohol consumption with
prostate measurements and reproductive hormone profiles in Chinese population;
and to examine the relationship between hormone levels and prostate measurements.
METHODS: This cross-sectional study included 4535 men from four representative
provinces of China. Demographic details, family history of prostate disease,
tobacco and alcohol consumption, as well as International Prostate Symptom Score
(I-PSS) were collected through a questionnaire. Total prostate specific antingen
(total PSA), free PSA, free PSA/total PSA ratio (f/tPSA), and reproductive
hormones were measured in serum. Multi-variable regression models were used to
test for association of alcohol consumption with markers of prostate health, used
to test for association of alcohol consumption with reproductive hormones, and
reproductive hormones with markers of prostate health. RESULTS: Alcohol
consumption had no obvious impact on total PSA concentration and I-PSS. Current
drinkers had lower level of free PSA (beta = -0.11, p = 0.02) and f/tPSA (beta =
0.03, p = 0.005), former drinkers also had lower level of free PSA (beta = -0.19,
p = 0.02) when compared with never drinkers. Lower Luteinizing hormone (LH) (beta
= -1.05, p = 0.01), sex hormone-binding globulin (SHBG) (beta = -4.71, p = 0.01)
and higher estradiol (beta = 7.81, p = 0.01) was found in current drinkers than
never drinkers, whereas higher LH (beta = 1.04, p = 0.04) and free testosterone
(FT) (beta = 0.03, p = 0.02) was detected in former drinkers than never drinkers.
Furthermore, LH was positively associated with f/tPSA (beta = 0.002, p = 0.006),
SHBG was also positively related with free PSA (beta = 0.003, p = 0.003) and
f/tPSA (beta = 0.0004, p = 0.01). Both total testosterone (TT) and FT were
inversely related with I-PSS (OR = 0.97, 95% CI, 0.95-0.98; OR = 0.23, 95% CI,
0.11-0.45, respectively). CONCLUSIONS: Alcohol consumption could affect serum
free PSA concentration and also f/tPSA ratio, and also acts as an endocrine
disruptor on the male reproductive hormone profiles. LH and SHBG were positively
related with fPSA and f/tPSA, and higher level of TT and FT may be helpful for
improving participants' subjective symptoms.
PMID- 26559060
TI - First Record of Soft Tissue Preservation in the Upper Devonian of Poland.
AB - Soft tissue preservation is reported from Upper Devonian deposits of the Holy
Cross Mountains, central Poland, for the first time. The preserved soft tissues
are muscles associated with arthropod cuticle fragments. The muscles are
phosphatized with variable states of preservation. Well-preserved specimens
display the typical banding of striated muscles. Other muscle fragments are
highly degraded and/or recrystallized such that their microstructure is barely
visible. The phosphatized muscles and associated cuticle are fragmented, occur in
patches and some are scattered on the bedding plane. Due to the state of
preservation and the lack of diagnostic features, the cuticle identification is
problematic; however, it may have belonged to a phyllocarid crustacean.
Taphonomic features of the remains indicate that they do not represent fossilized
fecal matter (coprolite) but may represent a regurgitate, but the hypothesis is
difficult to test. Most probably they represent the leftover remains after
arthropod or fish scavenging. The present study shows that soft tissues, which
even earlier were manipulated by scavenger, may be preserved if only special
microenvironmental conditions within and around the animal remains are
established.
PMID- 26559061
TI - Morphological Analysis of Reticuloendothelial System in Capuchin Monkeys (Sapajus
spp.) after Meso-2,3-Dimercaptosuccinic Acid (DMSA) Coated Magnetic Nanoparticles
Administration.
AB - Magnetic nanoparticles can be used for numerous in vitro and in vivo
applications. However, since uptake by the reticuloendothelial system represents
an obstacle for the achievement of nanoparticle diagnostic and therapeutic goals,
the aim of the present study was to evaluate the uptake of dimercaptosuccinic
acid coated magnetic nanoparticles by reticuloendothelial system phagocytic cells
present in lymph nodes, spleen, and liver tissue and how the presence of these
particles could have an impact on the morphology of these organs in capuchin
monkeys (Sapajus spp.). Animals were intravenously injected with
dimercaptosuccinic acid coated magnetic nanoparticles and euthanized 12 hours and
90 days post-injection. Organs were processed by transmission electron microscopy
and histological techniques. Samples of spleen and lymph nodes showed no
morphological changes. Nevertheless, liver samples collected 90 days post
administration showed slight morphological alteration in space of Disse.
Moreover, morphometrical analysis of hepatic mitochondria was performed,
suggesting a clear positive correlation between mitochondrial area and
dimercaptosuccinic acid coated magnetic nanoparticles administration time. The
present results are directly relevant to current safety considerations in
clinical diagnostic and therapeutic uses of magnetic nanoparticles.
PMID- 26559062
TI - Benthic Trophic Interactions in an Antarctic Shallow Water Ecosystem Affected by
Recent Glacier Retreat.
AB - The western Antarctic Peninsula is experiencing strong environmental changes as a
consequence of ongoing regional warming. Glaciers in the area are retreating
rapidly and increased sediment-laden meltwater runoff threatens the benthic
biodiversity at shallow depths. We identified three sites with a distinct glacier
retreat related history and different levels of glacial influence in the inner
part of Potter Cove (King George Island, South Shetland Islands), a fjord-like
embayment impacted since the 1950s by a tidewater glacier retreat. We compared
the soft sediment meio- and macrofauna isotopic niche widths (delta13C and
delta15N stable isotope analysis) at the three sites to investigate possible
glacier retreat-related influences on benthic trophic interactions. The isotopic
niches were locally shaped by the different degrees of glacier retreat-related
disturbance within the Cove. Wider isotopic niche widths were found at the site
that has become ice-free most recently, and narrower niches at the older ice-free
sites. At an intermediate state of glacier retreat-related disturbance (e.g. via
ice-growler scouring) species with different strategies could settle. The site at
the earliest stage of post-retreat development was characterized by an assemblage
with lower trophic redundancy. Generally, the isotopic niche widths increased
with increasing size spectra of organisms within the community, excepting the
youngest assemblage, where the pioneer colonizer meiofauna size class displayed
the highest isotopic niche width. Meiofauna at all sites generally occupied
positions in the isotopic space that suggested a detrital-pool food source and/or
the presence of predatory taxa. In general ice scour and glacial impact appeared
to play a two-fold role within the Cove: i) either stimulating trophic diversity
by allowing continuous re-colonization of meiofaunal species or, ii) over time
driving the benthic assemblages into a more compact trophic structure with
increased connectedness and resource recycling.
PMID- 26559063
TI - Early effects of vertebroplasty or kyphoplasty versus conservative treatment of
vertebral compression fractures in elderly polytrauma patients.
AB - OBJECTIVE: This study aims to assess the early effects of operatively and
nonoperatively managed vertebral compression fractures (VCFs) in elderly
polytrauma patients. METHODS: A multi-center retrospective cohort study of
operative treatment [vertebroplasty (VP) or kyphoplasty (KP)] versus nonoperative
treatment (bed rest). RESULTS: A total of 40 patients received operative
treatment and 59 patients received nonoperative treatment. The mean length of
hospital stay was 15.53 +/- 6.994 days in the operative and 19.54 +/- 12.012 days
in the nonoperative group (P = 0.039). The incidence of complication was higher
in the nonoperative group than the operative group (P = 0.009), especially the
incidence of bed rest complication (P = 0.024). Mortality rate was not
significant difference between two groups (P = 0.172). CONCLUSIONS: For VCFs in
elderly polytrauma patients, VP or KP can reduce length of hospital stay and
complications, especially bed rest complication compared with nonoperative
treatment.
PMID- 26559064
TI - Acute kidney injury after heart transplant in young children: risk factors and
outcomes.
AB - BACKGROUND: Critical illness following heart transplantation can include acute
kidney injury (AKI). Study objectives were to define the epidemiology of, risk
factors for, or impact on outcomes of AKI after pediatric heart transplant.
METHODS: Using data from a prospective study of 66 young children, we evaluated:
(1) post-operative AKI rate (by pediatric modified RIFLE criteria); (2) pre,
intra, and early post-operative AKI risk factors using stepwise logistic
regression (3) effect of AKI on short-term outcomes (ventilation and length of
pediatric intensive care unit (PICU) stay) using stepwise multiple regression.
RESULTS: AKI occurred in 73 % of children. Pre-transplant ventilation and higher
baseline estimated creatinine clearance (eCCl) were independent risk factors for
AKI. Pre-operative inotrope use was associated with reduced risk of AKI.
Tacrolimus level emerged as important in multivariable risk prediction. Children
with AKI had a longer duration of ventilation and length of pediatric intensive
care unit (PICU) stay, with AKI being an independent predictor. CONCLUSIONS: AKI
was common after heart transplant and associated with more complicated early post
transplant course. Lower baseline eCCl was associated with lower incidence of
AKI; this merits further investigation. The association of pre-operative
inotropes with less AKI may reflect a pathophysiological mechanism or be a
surrogate for clinical factors and management prior to transplant. Avoiding high
tacrolimus levels may be a modifiable risk factor for AKI.
PMID- 26559065
TI - Effect of Porphyromonas gingivalis lipopolysaccharide (Pg-LPS) on the expression
of EphA2 in osteoblasts and osteoclasts.
AB - Porphyromonas gingivalis (Pg) as the major pathogenic bacterium of chronic
periodontitis can cause alveolar bone resorption. Lipopolysaccharide (LPS) is its
main virulence factor. The Eph family plays an important role in maintaining bone
homeostasis. In this study, the effects of P. gingivalis lipopolysaccharide (Pg
LPS) on the expression of EphA2 in osteoblasts and osteoclasts were investigated.
MC3T3-E1 cells and RAW264.7 cells were separately cultured in osteoblast
conditioned medium and osteoclast-conditioned medium to induce their
differentiation into osteoblasts and osteoclasts, respectively. MC3T3-E1 cells
were treated with 1 MUg/mL of Pg-LPS 3, 7, and 14 d later, while RAW264.7 cells
were treated with 10 MUg/mL of Pg-LPS 1, 3, and 5 d later. The results have shown
that Pg-LPS increased the expression of EphA2 both in osteoblasts and
osteoclasts, decreased the expression of osteogenic-related genes (ALP, Sp7), and
increased the expression of osteoclast-related genes (MMP9, c-fos, ACP5, CtsK,
and NFATc1). Tartrate-resistant acid phosphatase (TRAP) staining illustrated that
Pg-LPS promoted osteoclast differentiation and decreased the activity of alkaline
phosphatase. Therefore, analysis indicates that, when treated with Pg-LPS, the
expression of EphA2 is upregulated while the activity of osteoblasts and
osteoclasts was reduced and increased, respectively. Our data suggest that EphA2
is closely related to the formation of osteoblasts and resorption of osteoclast
and is likely to play an role in bone resorption induced in chronic
periodontitis. These findings may provide information on new targets for
prevention and treatment of chronic periodontitis.
PMID- 26559066
TI - In vitro production of cloned and transgenically cloned embryos from Guangxi
Huanjiang Xiang pig.
AB - Guangxi Huanjiang Xiang pig is a unique miniature pig strain that is originally
from Huanjiang Maonan Autonomous County of Guangxi province, China, and shows
great potential in agricultural and biomedical research. Although cloning and
genetic modification of this pig would enhance its application value, cloning of
this strain has not yet been reported. We sought to establish appropriate cloning
procedures and produce transgenic embryos in Huanjiang Xiang pigs through the
following methods. We isolated fibroblasts from tails of Huanjiang Xiang pig and
genetically modified them using Xfect transfection. Fibroblasts, either in non
transgenic or transgenic forms, were used as donor cells for reconstructed
embryos by somatic cell nuclear transfer (SCNT), and in vitro development was
monitored after the reconstruction. We found no difference in blastocyst
formation rate between non-transgenic and transgenic embryos (10.8% vs. 10.3%; P
>= 0.05). In addition, we tested whether Scriptaid, a widely used histone
deacetylase inhibitor, could enhance the in vitro development of Huanjiang Xiang
pig cloned embryos. Treatment with 500 nM Scriptaid for 16 h post-activation
significantly increased the blastocyst formation rate (26.1% vs. 10.8% for non
transgenic nuclear transfer groups with vs. without the Scriptaid treatment and
28.5% vs. 10.3% for transgenic nuclear transfer groups with vs. without the
Scriptaid treatment; P < 0.05). This study provided a basis for further
generation of cloned and transgenically cloned Huanjiang Xiang pigs used in
agricultural and biomedical research.
PMID- 26559067
TI - Augmentation of oxidative stress-induced apoptosis in MCF7 cells by ascorbate
tamoxifen and/or ascorbate-juglone treatments.
AB - Since reactive oxygen species (ROS) play diverse roles in cancer, modulating the
redox status of cancerous cells seems to be a promising therapeutic approach.
Oxidant-targeted therapy appears logical for intervention with the acquired
adaptive response to oxidative stress in cancer. In this study, we investigated
the cytotoxic effects of juglone (J) and tamoxifen (T) and also the combination
of each with ascorbate (A): tamoxifen/ascorbate (TA) and/or juglone/ascorbate
(JA) on MCF7 cancerous cells. The results revealed that the growth inhibitory
effects of juglone and tamoxifen were each associated with enhanced levels of ROS
production and lipid peroxidation. These effects were markedly intensified in
tamoxifen/ascorbate and juglone/ascorbate co-treatments. On the other hand, the
intracellular anti-oxidant components such as reduced glutathione (GSH),
catalase, superoxide dismutase (SOD), and glutathione peroxidase significantly
declined in cells subjected to combination treatments compared to that in cells
exposed solely to tamoxifen, juglone, and the untreated control cells. In
addition, ascorbate association induced more apoptotic and necrotic or necrotic
like cell death than cells treated with each drug alone. These results were
further confirmed by comparing the Bax/Bcl2 ratio in combination-treated cells.
Additionally, ascorbate was able to potentiate the cytotoxic effects of
combination therapy via activation of ROS-responsive factors including Foxo
family members.
PMID- 26559069
TI - Edoxaban for reducing the risk of stroke and systemic embolism in patients with
non-valvular atrial fibrillation.
AB - INTRODUCTION: Oral anticoagulation is central to the management of patients with
atrial fibrillation (AF) and at least one additional stroke risk factor. For
decades, the vitamin K antagonists (e.g. warfarin) remained the only oral
anticoagulant available for stroke prevention in AF. The non-vitamin K oral
anticoagulants (NOACs) are now available, and these drugs include the direct
thrombin inhibitors and factor Xa inhibitors. The latter class includes edoxaban,
which has recently been approved for stroke prevention in AF by the United States
Food and Drug Administration and the European Medicine Agency. In line with other
NOACs, edoxaban avoids the many limitations of warfarin associated with
variability of anticoagulation effect and multiple food and drug interactions.
AREAS COVERED: In this review, the currently available evidence on edoxaban in
patients with non-valvular AF is discussed. The pharmacology, efficacy and
safety, and current aspects of use of edoxaban in patients with non-valvular AF
for stroke and thromboembolism prevention are reviewed. EXPERT OPINION: Phase III
trials on edoxaban for stroke prevention in non-valvular AF confirms non
inferiority of edoxaban compared to well-managed warfarin both in terms of
efficacy and safety. Currently ongoing and future trials as well as real-world
data are warranted to confirm its effectiveness and safety for chronic
anticoagulation and improve evidence in other areas which are lacking evidence
where NOAC use remains controversial.
PMID- 26559068
TI - Generation of cleidocranial dysplasia-specific human induced pluripotent stem
cells in completely serum-, feeder-, and integration-free culture.
AB - Human pluripotent stem cells hold great promise for their practical and
scientific potentials. To improve understanding of self-renewal and
differentiation, we previously reported a defined serum-free medium hESF9 could
generate and maintain human induced pluripotent stem cells (iPSCs) in serum- and
feeder-free culture conditions using retroviral vectors. To avoid the
unpredictable side effects associated with retrovirus integration, we report here
the successful generation of hiPSCs from dental pulp cells with a non-integrating
replication-defective and persistent Sendai virus (SeVdp) vector expressing four
key reprogramming genes. We found that hESF9 medium in combination with
fibronectin are effective for generating and maintaining hiPSCs with SeVdp
(KOSM). Using this system, pluripotent and self-renewing hiPSCs could be easily
and stably generated and propagated. With this system, we successfully generated
hiPSCs from cleidocranial dysplasia (CCD) caused by a heterozygous germ-line
mutation of runt-related protein2 (RUNX2), which has an important role in the
differentiation of osteoblasts and maturation of chondrocytes. This is the first
report of the establishment of CCD-specific iPSCs. The cartilage in the teratomas
of CCD-iPSCs showed abnormalities. These CCD-iPSCs would be beneficial to clarify
the molecular mechanism and for development of medical applications. Moreover, it
brings new pathophysiological role of RUNX2 in the differentiation of the human
chondrocytes and osteocytes.
PMID- 26559070
TI - An acute knee injury: tracking a two-year recovery online.
AB - Current government policy aims to deliver high quality healthcare by recording
accurate data at the point of giving care, storing it efficiently and displaying
it in a format, which is easily interpreted by healthcare professionals and
patients. Few published reports describe the benefits of collecting and reporting
such data from the point of view of the patient. We report the case of a 62-year
old lorry driver who used a web-based system to track patient reported outcome
measures (PROMs) following an acute knee injury to full recovery over a 24-month
period. The system reports clinical outcome scores in real-time to the patient
and their medical team, clearly illustrating and enhancing recovery from injury.
This case reveals how local software meets the needs of the individual patient
linking and integrating such local systems must be the future focus of eHealth
within the NHS to release the benefits presented by the information revolution.
PMID- 26559071
TI - Role-based access control through on-demand classification of electronic health
record.
AB - Electronic health records (EHR) provides convenient method to exchange medical
information of patients between different healthcare providers. Access control
mechanism in healthcare services characterises authorising users to access EHR
records. Role Based Access Control helps to restrict EHRs to users in a certain
role. Significant works have been carried out for access control since last one
decade but little emphasis has been given to on-demand role based access control.
Presented work achieved access control through physical data isolation which is
more robust and secure. We propose an algorithm in which selective combination of
policies for each user of the EHR database has been defined. We extend well known
data mining technique 'classification' to group EHRs with respect to the given
role. Algorithm works by taking various roles as class and defined their features
as a vector. Here, features are used as a Feature Vector for classification to
describe user authority.
PMID- 26559072
TI - Applying CHAID algorithm to investigate critical attributes of secured
interoperable health data exchange.
AB - With enhanced interoperability in healthcare environment the exchange of
electronic health records (EHRs), both intra and inter organisations, has
increased manifold. Sharing of the EHR creates room for illegal disclosures and
confidentiality breaches. Interoperable healthcare is a complex system with many
independent components. To design a secured framework for such a system, one need
to understand the most important security attributes and predict various
dependencies among them. The security attributes selected for statistical
analysis are taken from the real-time study of patient-doctor relationship
existing in any hospital or clinic. Hospitals with functional EHR-systems are the
prerequisite of this study. The dependencies in the obtained data are generated
through classification technique, chi-squared automatic interaction detection
(CHAID). The decision tree obtained is analysed and verified using regression.
The paper enabled the identification of the salient feature controlling which
would maximally reduce security threats while sharing EHRs in interoperable
healthcare environment.
PMID- 26559073
TI - Exploring mobile health in a private online social network.
AB - Health information is very vulnerable. Certain individuals or corporate
organisations will continue to steal it similar to bank account data once data is
on wireless channels. Once health information is part of a social network,
corresponding privacy issues also surface. Insufficiently trained employees at
hospitals that pay less attention to creating a privacy-aware culture will suffer
loss when mobile devices containing health information are lost, stolen or
sniffed. In this work, a social network system is explored as a m-health system
from a privacy perspective. A model is developed within a framework of data
driven privacy and implemented on Android operating system. In order to check
feasibility of the proposed model, a prototype application is developed on
Facebook for different services, including: i) sharing user location; ii) showing
nearby friends; iii) calculating and sharing distance moved, and calories burned;
iv) calculating, tracking and sharing user heart rate; etc.
PMID- 26559074
TI - Macro influencers of electronic health records adoption.
AB - While adoption rates for electronic health records (EHRs) have improved, the
reasons for significant geographical differences in EHR adoption within the USA
have remained unclear. To understand the reasons for these variations across
states, we have compiled from secondary sources a profile of different states
within the USA, based on macroeconomic and macro health-environment factors.
Regression analyses were performed using these indicator factors on EHR adoption.
The results showed that internet usage and literacy are significantly associated
with certain measures of EHR adoption. Income level was not significantly
associated with EHR adoption. Per capita patient days (a proxy for healthcare
need intensity within a state) is negatively correlated with EHR adoption rate.
Health insurance coverage is positively correlated with EHR adoption rate. Older
physicians (>60 years) tend to adopt EHR systems less than their younger
counterparts. These findings have policy implications on formulating regionally
focused incentive programs.
PMID- 26559075
TI - Culture, Development and Self: The Work of Robert A. LeVine.
PMID- 26559076
TI - 1H, 15N and 13C chemical shift assignment of the Gram-positive conjugative
transfer protein TraHpIP501.
AB - Conjugative transfer of DNA represents the most important transmission pathway in
terms of antibiotic resistance and virulence gene dissemination among bacteria.
TraH is a putative transfer protein of the type IV secretion system (T4SS)
encoded by the Gram-positive (G+) conjugative plasmid pIP501. This molecular
machine involves a multi-protein core complex spanning the bacterial envelope
thereby serving as a macromolecular secretion channel. Here, we report the near
complete (1)H, (13)C and (15)N resonance assignment of a soluble TraH variant
comprising the C-terminal domain.
PMID- 26559077
TI - Direct calculation of anisotropic surface stresses during deformation of a
particle-covered drop.
AB - The modification of the surface tension and the surface shear elasticity by
particles in particle-covered drops can be attributed to a particle-induced
surface stress. This stress represents at the macroscopic, continuum level the
microscopic effect of lateral particle-particle interactions. Understanding the
link between the isotropic and anisotropic components of the surface stress and
the particle microstructure, and how these components change when structured
interfaces deform, is a crucial problem in the field of particle-laden
interfaces. In this paper, we analyse static and transient three-dimensional
simulations of a pendant drop whose surface is covered by colloidal particles
displaying purely repulsive particle-particle interactions. We compute the
isotropic and anisotropic surface stress from the inter-particle forces using a
version of the Kirkwood-Irving formula suitable for interfacial suspensions; we
validate the approach by comparing against surface tension values obtained using
Fordham's method (Proc. R. Soc. London, Ser. A, 1948, 194). In the parameter
range simulated, the combination of parameters for which the drop does not pinch
off (stable drop) gives rise to a homogeneous and isotropic surface stress; we
argue that in the absence of attractive interactions the drop becomes unstable
before anisotropic effects can manifest themselves. For unstable drops, stress
non-uniformity and anisotropy are significant when the drop deformation and the
solid area fraction are sufficiently large. Our results have implications for the
dynamic deformation of structured interfaces with geometrically complex and time
dependent morphologies.
PMID- 26559078
TI - Broadening the differential diagnosis in extracranial giant cell arteritis.
PMID- 26559080
TI - Chronic psychosis, delayed diagnosis and Wilson's disease.
PMID- 26559079
TI - Inhaled treatment for chronic obstructive pulmonary disease: what's new and how
does it fit?
AB - Since chronic obstructive pulmonary disease (COPD) is characterized by
progressive airflow obstruction, inhaled bronchodilators form the mainstay of
treatment. A variety of new inhaled drugs and inhaler devices have recently been
licensed and approved for prescribing to patients with COPD; many such drugs have
been formulated in devices to deliver two different drugs at the same time. The
evidence based review article highlights all of the drugs now licensed, describes
some of the evidence surrounding their use and highlights practical steps in
helping decide when these drugs should be considered in the context of
guidelines.
PMID- 26559082
TI - Severe cutaneous vasculitis: an unusual complication of equine antithymocyte
globulin treatment.
PMID- 26559081
TI - Expression of Na+-K+-2Cl- cotransporter isoform 1 (NKCC1) predicts poor prognosis
in lung adenocarcinoma and EGFR-mutated adenocarcinoma patients.
AB - BACKGROUND: Sodium-potassium-chloride cotransporter isoform 1 (NKCC1) is an
active ions cotransporter and modulates cellular volume and migration. NKCC1
blockers can inhibit cancer cell growth. AIM: We aimed to elucidate the
expression and prognostic significance of NKCC1 in non-small cell lung cancer
(NSCLC). METHODS: We retrospectively analyzed 788 NSCLC patients with either
adenocarcinoma (n = 503) or squamous cell carcinoma (n = 285) by
immunohistochemistry to correlate NKCC1 expression with clinicopathologic and
survival outcomes. RESULTS: In adenocarcinoma, high NKCC1 expression was
associated with larger tumor size (P = 0.013), vascular invasion (P < 0.001),
lymphatic invasion (P < 0.001), perineural invasion (P = 0.019) and advanced
pathologic stage (P < 0.001), but there are no significant correlations between
NKCC1 expression and clinicopathological parameters in squamous cell carcinoma.
Patients with high NKCC1 expression had significantly shorter disease-free
survival (DFS;P < 0.001) and shorter overall survival (OS;P < 0.001) than those
with low NKCC1 expression in adenocarcinoma. In squamous cell carcinoma, NKCC1
expression was not associated with prognosis. Multivariate analysis revealed that
high NKCC1 expression was an independent prognostic factor for DFS in lung
adenocarcinomas (HR, 1.709; 95% CI 1.029-2.130;P = 0.033) and for OS inEGFR
mutated adenocarcinoma patients (HR, 3.165; 95% CI 1.424-7.035;P = 0.005).
CONCLUSION: NKCC1 high expression predicted a bad clinical outcome for lung
adenocarcinoma patients andEGFR-mutated subgroup. Therefore, NKCC1 may play a
role in lung adenocarcinoma and novel therapeutic tactics could be developed by
targeting NKCC1 protein.
PMID- 26559083
TI - Tracheo-carotid artery fistula: an unusual cause of tracheostomy bleeding.
PMID- 26559084
TI - Pharmacologic management of neuropsychiatric lupus.
AB - Neuropsychiatric lupus affects above 50% of patients with systemic lupus
erythematosus and may span from mild symptoms to acute devastating life
threatening ones. Owing to the clinical variability, most pharmacological data
rely on small, uncontrolled trials and case reports. The mainstay of therapy
relies on immune-suppression by glucocorticoids, in adjunction with
cyclophosphamide or anti-B-cell therapy, in moderate to severe cases. In selected
scenarios (e.g., chorea) intravenous immunoglobulin or plasmapheresis may be
effective. Anticoagulation is warranted if anti-phospholipid antibodies are
present. In parallel there may be a need for symptomatic treatment such as anti
epileptic or anti-depressive treatments, etc. In the future, more studies
addressed to assess pathogenesis and preferred treatments of specific
manifestations are needed in order to personalize treatments.
PMID- 26559085
TI - Revalidation built on appraisal may have led to confusion.
PMID- 26559086
TI - Once-daily luliconazole cream 1% for the treatment of interdigital tinea pedis.
AB - Luliconazole is an imidazole antifungal agent with a unique chemical structure.
In this article, we summarize the in vitro data, animal studies and clinical
trial data relating to the use of topical luliconazole cream 1% in the treatment
of tinea pedis. Preclinical studies have demonstrated potent activity against
dermatophytes. Luliconazole has strong fungicidal activity against Trichophyton
spp., similar to that seen with terbinafine. Evidence from clinical trials in
tinea pedis have shown once-daily application of luliconazole cream 1% for 14
days to be effective and well tolerated.
PMID- 26559087
TI - Glioblastoma Multiforme: The Genetic Perspective of the Treatment Planning.
AB - Glioblastoma multiforme (GBM) is divided into two distinct disease entities
called primary and secondary GBM. The genetic and the epigenetic background of
these tumors are highly variable. These tumors are not successfully treated
because of their cellular heterogeneity and intrinsic ability of the tumor cells
to invade healthy tissues. The fatal outcomes of these tumors promote researchers
to find new markers associated with prognosis and treatment planning. A better
understanding of stem-like cells and the genetic and the epigenetic background of
GBM are necessary for designing new effective treatments and developing novel
molecular strategies to target tumor cells and glioblastoma stem cells. In this
review, we discuss the new therapeutic targets. Focusing on inhibiting the
signaling pathways, which are associated with hypoxia-mediated maintenance of
glioblastoma stem cells or the knockdown of the hypoxia-inducible factor 1-alpha
(HIF1alpha), may help to the develop new target-specific treatments.
PMID- 26559088
TI - Ligands and Regulatory Modes of Peroxisome Proliferator-Activated Receptor Gamma
(PPARgamma) in Avians.
AB - Nutrient and gene interaction is an important aspect of poultry metabolism that
determines performance capacity. New technological tools in biochemistry and
biotechnology make it possible to explore the molecular base of phenotypic
characteristics of poultry production. Fats act as energy deposits in the poultry
body and are an essential constituent of animal cell membranes. From a functional
standpoint, it has been suggested that ingested lipids change liver fatty acid
synthesis and other lipogenic enzymes by regulating mRNA synthesis. Nuclear
hormone receptors are ligand-activated transcription factors that control several
genes involved in lipid metabolism. The peroxisome proliferator-activated
receptors (PPARs) are members of the nuclear hormone receptor superfamily of
transcription factors. Three separate PPAR genes have been identified; they are
known as alpha, delta, and gamma. The most important metabolic effect of
PPARgamma in chicken is its task in adipogenesis. Reviewing the ligands of
chicken PPARgamma gene can be useful to a better understanding of PPARgamma
regulatory functions.
PMID- 26559089
TI - MicroRNA-34 Family and Its Role in Cardiovascular Disease.
AB - MicroRNAs (miRNAs) have been considered important regulators in both
physiological and disease contexts. Among all miRNAs, the miR-34 family (miR-34a,
-34b, -34c), which has been well characterized as a tumor suppressor, displays
diverse functions in noncancerous diseases. MiR-34 levels are relatively low in
the cardiovascular system, but recently they have been reported to function in
cardiovascular disorders by regulating apoptosis, telomere attrition, DNA damage,
and inflammatory response. In this review, we mainly summarize their roles in
cardiac senescence and ischemic diseases.
PMID- 26559090
TI - Advances in Molecular Biomarkers for Gastric Cancer.
AB - Gastric cancer (GC) is the second most frequent oncological cause of death, the
fifth most common malignancy in the world, and accounts for 6.8% of all tumors.
As an aggressive disease, GC is often diagnosed at an advanced stage, which is
why it is a major cause of cancer-related death. In the last several decades, the
incidence of GC has decreased, which should be credited to advances in diagnostic
and therapeutic technologies including tumor-marker detection systems, imaging
modalities, pathological methods, gastroscopy, and particularly surgical and
pharmacologic interventions. Because they are economical, convenient, and
noninvasive, the detection of conventional serum tumor biomarkers (e.g., CEA,
CA19-9, and CA72-4) has been widely employed in the diagnosis and evaluation of
GC. However, due to their poor specificity and sensitivity, these molecular
markers cannot meet the demand of early GC detection. Hence, new and reliable
tumor biomarkers are desperately needed. This review systematically summarizes
the three most commonly used biomarkers of GC (e.g., CEA, CA19-9, and CA72-4) and
addresses two categories of potential molecular biomarkers for the diagnosis of
GC: microRNA and methylated DNA.
PMID- 26559091
TI - Cell Competition: Roles and Importance as a Central Phenomenon.
AB - Cell competition is a type of short-range cell-cell interaction first observed in
Drosophila melanogaster. In two heterogeneous cell populations, cells that have a
higher fitness level would have a competitive advantage and grow at the cost of
neighbor cells that have comparatively lower fitness. This interaction is due to
differences in expression levels of a specific protein in the two cell
populations, and it is known as cell competition. In this review, we have studied
recent findings of cell competition in different biological processes in
Drosophila as well as mammalian systems. The purpose of this review is to collate
important studies of competitive cell interactions, and to understand its roles
and importance as a central phenomenon. This review provides evidence of the
relevance of cell competition in various physiological and pathological
conditions, such as size control in organ development, stem cell maintenance,
tissue repair, organ regeneration, aging, formation of memory, and cancer.
PMID- 26559092
TI - Implications of Mycobacterium Major Facilitator Superfamily for Novel Measures
against Tuberculosis.
AB - Major facilitator superfamily (MFS) is an important secondary membrane transport
protein superfamily conserved from prokaryotes to eukaryotes. The MFS proteins
are widespread among bacteria and are responsible for the transfer of substrates.
Pathogenic Mycobacterium MFS transporters, their distribution, function,
phylogeny, and predicted crystal structures were studied to better understand the
function of MFS and to discover specific inhibitors of MFS for better
tuberculosis control.
PMID- 26559093
TI - Improving the Reprogramming Efficiency of Somatic Cells to Induced Pluripotent
Stem Cells.
AB - Reprogramming shifts somatic cells toward a pluripotent state, resulting in cells
that are capable of producing any tissue type, which provides a unique system for
regenerative medicine. However, the poor efficiency of this process has prevented
the use of induced pluripotent stem cells (iPSCs) in clinical application of stem
cell biology. Importantly, more recent work has highlighted novel reprogramming
factors and new strategies to enhance the reprogramming process. In this review,
we focus on the transition of somatic cells to iPSCs, effective routes to improve
the reprogramming efficacy, and the potential mechanism underlying this process.
PMID- 26559094
TI - TGF-beta in Inflammatory Bowel Diseases: A Tale of the Janus-Like Cytokine.
AB - Transforming growth factor-beta (TGF-beta) is a pleiotropic cytokine that
controls an immense number of cellular responses and plays a prominent role in
maintaining homeostasis of most human organs. In the intestine, the TGF-beta is
involved in mucosal defense, immune tolerance, inhibition of acute inflammation,
promoting the wound-healing process, and suppressing tumor initiation. However,
TGF-beta exhibits Janus-like features under certain physiologic conditions and
chronic inflammation (e.g., inflammatory bowel diseases [IBDs]). Excessive TGF
beta in IBDs favors chronic inflammation, incurs pathologic remolding, and
facilitates the progression of colitis-associated inflammation (CAC). Considering
the increasingly crucial roles of TGF-Beta in the development of IBDs, we
overview its pathways and multifaceted effects at the steady-state and IBD
conditions of the gut, respectively. In addition, we present potential
therapeutic strategies aimed at restoring normal TGF-beta signaling in IBDs.
PMID- 26559095
TI - The Epigenetic Modifications of Genes Associated with Tuberculosis Susceptibility
and Implications for Epi-Drugs.
AB - Epigenetics of genes associated with tuberculosis susceptibility such as DNA
methylation, posttranslational histone modifications, and non-coding RNA remain
largely untapped field for better tuberculosis control. Many genes involved in
tuberculosis susceptibility (e.g., NRAMP1 (SLC11A1), IFNG, NOS2A, VDR, ISG15,
TACO, TLR1, TLR, IL18R1, chemokines, PADI, DUSP14, MBL, and MASP-2) have been
subjected to epigenetic modification. Our summary of these modifications provides
fresh insights into the pathogenesis of tuberculosis and inspires targets
discovery for host-derived therapy.
PMID- 26559096
TI - Hypoxia Is the Driving Force Behind GBM and Could Be a New Tool in GBM Treatment.
AB - Glioblastoma (GBM) can be divided into two distinct disease entities according to
the genetic and the epigenetic background of the tumor. Tumor location is
associated with high variability in its genetic abnormalities. The treatment
procedures for these tumors are often unsuccessful because of the cellular
heterogeneity and intrinsic ability of the tumor cells to invade healthy tissues.
The fatal outcomes of these tumors have encouraged researchers to find new
markers associated with prognosis and treatment planning. In the present
communication, we discuss hypoxia as a new therapeutic target of glioblastoma
multiforme and the molecular and phenotypic effects of hypoxia on cancer cells.
We focus on the inhibition of the signaling pathways, which is associated with
the hypoxia-mediated maintenance of glioblastoma stem cells and the knockdown of
the hypoxia-inducible factor 1-alpha (HIFlalpha). This discussion may contribute
to the development of new specifically targeted treatments. Furthermore, we
highlight the idea that hypoxia-inducible factors (HIFs) could be attractive
molecular targets for GBM therapeutics.
PMID- 26559098
TI - Anti-adhesion therapy following operative hysteroscopy for treatment of female
subfertility.
AB - BACKGROUND: Limited observational evidence suggests potential benefit for
subfertile women undergoing operative hysteroscopy with several anti-adhesion
therapies (e.g. insertion of an intrauterine device (IUD) or balloon, hormonal
treatment, barrier gels or human amniotic membrane grafting) to decrease
intrauterine adhesions (IUAs). OBJECTIVES: To assess the effectiveness of anti
adhesion therapies versus placebo, no treatment or any other anti-adhesion
therapy following operative hysteroscopy for treatment of female subfertility.
SEARCH METHODS: We searched the following databases from inception to March 2015:
the Cochrane Menstrual Disorders and Subfertility Specialised Register, the
Cochrane Central Register of Controlled Trials (2015, Issue 2), MEDLINE, EMBASE,
the Cumulative Index to Nursing and Allied Health Literature (CINAHL) and other
electronic sources of trials, including trial registers, sources of unpublished
literature and reference lists. We handsearched The Journal of Minimally Invasive
Gynecology, and we contacted experts in the field. SELECTION CRITERIA: Randomised
comparisons of anti-adhesion therapies versus placebo, no treatment or any other
anti-adhesion therapy following operative hysteroscopy in subfertile women. The
primary outcome was live birth or ongoing pregnancy. Secondary outcomes were
clinical pregnancy, miscarriage and IUAs present at second look, along with their
mean adhesion scores or severity. DATA COLLECTION AND ANALYSIS: Two review
authors independently selected studies, assessed risk of bias, extracted data and
evaluated quality of the evidence using the GRADE (Grades of Recommendation,
Assessment, Development and Evaluation) method. MAIN RESULTS: We included 11
randomised studies on use of an inserted device versus no treatment (two studies;
84 women) or another inserted device (one study; 162 women), hormonal treatment
versus no treatment or placebo (two studies; 131 women), gel versus no treatment
(five studies; 383 women) and graft versus no graft (one study; 43 women). The
total number of women randomly assigned was 924, but data on only 803
participants were available for analysis. The proportion of subfertile women
varied from 0% (one study; 41 women), to less than 50% (six studies; 487 women),
to 100% (one study; 43 women); the proportion was unknown in three studies (232
women). Most studies (9/11) were at high risk of bias with respect to one or more
methodological criteria.We found no evidence of differences between anti-adhesion
therapy and no treatment or placebo with respect to live birth rates (odds ratio
(OR) 0.99, 95% confidence interval (CI) 0.46 to 2.13, P value = 0.98, three
studies, 150 women; low-quality evidence) and no statistical heterogeneity
(Chi(2) = 0.14, df = 2 (P value = 0.93), I(2) = 0%).Anti-adhesion therapy was
associated with fewer IUAs at any second-look hysteroscopy when compared with no
treatment or placebo (OR 0.36, 95% CI 0.20 to 0.64, P value = 0.0005, seven
studies, 528 women; very low-quality evidence). We found no statistical
heterogeneity (Chi(2) = 2.65, df = 5 (P value = 0.75), I(2) = 0%). The number
needed to treat for an additional beneficial outcome (NNTB) was 9 (95% CI 6 to
20).No evidence suggested differences between an IUD and an intrauterine balloon
with respect to IUAs at second-look hysteroscopy (OR 1.23, 95% CI 0.64 to 2.37, P
value = 0.54, one study, 162 women; very low-quality evidence). AUTHORS'
CONCLUSIONS: Implications for clinical practiceThe quality of the evidence
retrieved was low or very low for all outcomes. Clinical effectiveness of anti
adhesion treatment for improving key reproductive outcomes or for decreasing IUAs
following operative hysteroscopy in subfertile women remains uncertain.
Implications for researchAdditional studies are needed to assess the
effectiveness of different anti-adhesion therapies for improving reproductive
outcomes in subfertile women treated by operative hysteroscopy.
PMID- 26559097
TI - In vivo epigenetic effects induced by engineered nanomaterials: A case study of
copper oxide and laser printer-emitted engineered nanoparticles.
AB - Evidence continues to grow on potential environmental health hazards associated
with engineered nanomaterials (ENMs). While the geno- and cytotoxic effects of
ENMs have been investigated, their potential to target the epigenome remains
largely unknown. The aim of this study is two-fold: 1) determining whether or not
industry relevant ENMs can affect the epigenome in vivo and 2) validating a
recently developed in vitro epigenetic screening platform for inhaled ENMs. Laser
printer-emitted engineered nanoparticles (PEPs) released from nano-enabled toners
during consumer use and copper oxide (CuO) were chosen since these particles
induced significant epigenetic changes in a recent in vitro companion study. In
this study, the epigenetic alterations in lung tissue, alveolar macrophages and
peripheral blood from intratracheally instilled mice were evaluated. The
methylation of global DNA and transposable elements (TEs), the expression of the
DNA methylation machinery and TEs, in addition to general toxicological effects
in the lung were assessed. CuO exhibited higher cell-damaging potential to the
lung, while PEPs showed a greater ability to target the epigenome. Alterations in
the methylation status of global DNA and TEs, and expression of TEs and DNA
machinery in mouse lung were observed after exposure to CuO and PEPs.
Additionally, epigenetic changes were detected in the peripheral blood after PEPs
exposure. Altogether, CuO and PEPs can induce epigenetic alterations in a mouse
experimental model, which in turn confirms that the recently developed in vitro
epigenetic platform using macrophage and epithelial cell lines can be
successfully utilized in the epigenetic screening of ENMs.
PMID- 26559099
TI - Fair and equal treatment.
PMID- 26559100
TI - The clinician's role as patient educator.
PMID- 26559101
TI - Introducing a buddying scheme for first year pre-registration students.
AB - Student buddying schemes have been found to be helpful for a variety of different
university students. This article describes a scheme where first year pre
registration child nursing students are buddied with second-year students, which
was first initiated in the academic year 2012/2013. The first year students were
aware that peer support was available but contact was only maintained by a
minority of students. At present it is uncertain what impact the scheme has had
on attrition figures, particularly in the first year. Initial evaluation
indicates that students found the scheme helpful and would like it to continue to
be available to first-year students.
PMID- 26559102
TI - Preceptors' views on their role in supporting newly qualified nurses.
AB - BACKGROUND: Retention, stress and difficult transition are issues associated with
new graduates entering the workforce. International literature has suggested
there is a problem and preceptorship models have been introduced to assist new
registrants. It is recognised that new registrants require support from a
preceptor, however, the literature appears to focus attention on the preceptee's
views, rather than the preceptor's. RESEARCH QUESTION: How do preceptors perceive
their role in supporting newly qualified nurses/midwives? AIM: The aim of this
article is to review the literature pertaining to preceptorship and explore the
perceptions held by preceptors in relation to their role supporting newly
qualified registrants. METHOD: A qualitative exploratory study was conducted
using a purposive sample. Interviews were used to capture the data. FINDINGS AND
DISCUSSION: The data analysis identified that overall preceptors do feel prepared
for their role, however, they highlighted the benefits of preceptor updates and
regular support. Preceptors identified rewards and challenges associated with
this role. CONCLUSIONS: The first 6 months of being a registrant has challenges
for both the preceptee and the preceptor. The implementation of preceptorship
models that include preparation and support for preceptors is essential to
alleviate issues of transition, retention and stress. Preceptors identified areas
for improvement such as regular update sessions, formal peer support, and
protected time to discuss and complete the documentation.
PMID- 26559103
TI - Heart failure care for patients who do not speak English.
AB - Heart failure affects 1-2% of the UK population with prevalence rates predicted
to rise over the next decade. Ineffective education for patients with heart
failure can lead to a failure to adhere to guidance, reduced self-care and
increased hospital readmissions. The National Institute for Health and Care
Excellence (NICE) and the World Health Organization (WHO) have issued clear
guidelines on patient-centred care in heart failure, particularly in relation to
patients' cultural and linguistic needs. Patients with heart failure should have
access to an interpreter or advocate if needed. Furthermore, heart failure
educational materials should be tailored to suit the individual and be accessible
to people who do not speak or read English. This article explores the practice
recommendations for these patients with heart failure and provides an overview of
current guidelines associated with optimal patient outcomes. It also includes
practical advice on translation services, and information and educational
materials available for patients with heart failure who do not speak English.
PMID- 26559104
TI - Meeting the unmet support needs of BME men with prostate cancer.
AB - Men with prostate cancer from black and minority ethnic (BME) communities have
reported many unmet information and support needs. A literature review identified
the many challenges health professionals face in addressing these unmet needs,
and suggested that not enough is known about the psychosocial effects of a
prostate cancer diagnosis on men from BME communities in the UK. Affected men may
have little or no awareness or knowledge of prostate cancer, receive inadequate
information and fear the disease. Nurses can address these issues through
improving patients' knowledge and awareness of prostate cancer, providing high
quality information to patients and their families, alleviating fear and
promoting survivorship among this minority group; they can also facilitate staff
training. Health professionals should look beyond the physical effects and
consider the psychosocial effects of such a diagnosis when assessing the needs of
these men. Practitioners need to overcome the various challenges to meeting these
needs to provide more effective care to men from BME groups.
PMID- 26559105
TI - Quality of life in intensive care survivors.
PMID- 26559106
TI - Ethnic differences in diabetes prevalence and ICT use.
AB - BACKGROUND: Uptake of information and communication technology (ICT) by
individuals with diabetes can assist nursing care delivery, and improve patient
outcomes. However, it is unclear how such uptake relates to ethnic differences in
diabetes risk. AIM: To assess the moderating effects of ICT uptake on South Asian
excess diabetes prevalence over a specific elapsed timeframe, accounting for
selected environmental, socio-economic, and behavioural risk factors. METHOD:
Archived data from a UK Office for National Statistics household survey 2006-2011
(120 621 partly non-orthogonal participant records) were analysed using
hierarchical binary logistic regression analyses. RESULTS: ICT uptake qualified
ethnic differences in diabetes prevalence. Non-smoking diabetes cases living in
terraced housing with a home computer were more likely to be South Asian than
Caucasian. By contrast, such cases were more likely to be Caucasian if a computer
was unavailable (OR: 0.61; CI: 0.43-0.86; P=0.005). Furthermore, diabetes cases
from low-income, mobile-dependent homes were probably South Asian (OR: 0.05; CI:
0.00-0.50; P=0.012). CONCLUSIONS: Home computing was linked to better tobacco
control among South Asians with diabetes living in terraced properties. Mobile
phone dependence was pronounced in those that received income support.
Implications for nursing care are considered.
PMID- 26559107
TI - COPD: should we go for GOLD?
PMID- 26559108
TI - Street triage for mental health crises.
PMID- 26559109
TI - Learning disability community nursing team.
PMID- 26559110
TI - BJN Award Runner-Up.
PMID- 26559111
TI - BJN Award Runner-Up.
PMID- 26559112
TI - Writing for publication: from staff nurse to nurse consultant.
PMID- 26559113
TI - Reaffirming the need for good communication.
AB - Michelle Gracia wasn't looking forward to her placement in a mental health
hospital. Yet it turned out to be one of her most fulfilling, not least for what
it taught her about communication.
PMID- 26559114
TI - New language controls for European nurses and midwives.
AB - Emeritus professor Alan Glasper, of the University of Southampton, discusses the
new initiative by the Nursing and Midwifery Council (NMC) to ensure that all
registrants have a sufficient grasp of the English language to fulfil the
requirements of the Code.
PMID- 26559115
TI - Health and adult social care in England: patient safety failings.
AB - John Tingle discusses the Care Quality Commission's recent analysis of health and
social care in England as it relates to the safety of acute NHS hospitals.
PMID- 26559116
TI - Setting our sights on a Pathway to practice improvement.
PMID- 26559117
TI - Comparison of common platelet receptors between the chacma baboon (Papio ursinus)
and human for use in pre-clinical human-targeted anti-platelet studies.
AB - Anti-platelet agents play a central part in the treatment and prevention of acute
thrombotic events. Discriminating animal models are needed for the development of
novel agents. The chacma baboon has been extensively used as a model to evaluate
anti-platelet agents. However, limited data exist to prove the translatability of
this species to humans. We aimed to determine the suitability of the chacma
baboon in preclinical human targeted GPIIb/IIIa, GPIbalpha and P2Y12 studies.
Light-transmission platelet aggregometry (LTA), whole blood impedance
aggregometry, receptor number quantification and genomic DNA sequencing were
performed. Baboon ADP and arachidonic acid-induced LTA aggregation results
differed significantly from human values, even at increased concentrations. LTA
ristocetin-induced agglutination was comparable between species, but baboon
platelets needed twice the concentration of ristocetin to elicit a similar
response. Citrated baboon blood had significantly less aggregation than humans
when evaluated with impedance aggregometry. However, hirudinised baboon whole
blood gave similar aggregation as humans at the same agonist concentrations.
GPIIb, GPIIIa and GPIbalpha numbers were significantly more on the baboon
platelets. None of the amino acids deemed vital for receptor function, ligand
binding or receptor inhibition, were radically different between the species.
However, a conservative change in a calcium-binding region of GPIIb may render
the baboon platelets more sensitive to calcium-binding agents. The chacma baboon
may be used for the evaluation of human-targeted GPIIb/IIIa-, GPIbalpha- and
P2Y12-inhibiting agents. However, the best anticoagulant, optimal agonist
concentrations, increase in receptor number and sequence differences must be
considered for any future studies.
PMID- 26559118
TI - Public policies and health systems in Sahelian Africa: theoretical context and
empirical specificity.
AB - This research on user fee removal in three African countries is located at the
interface of public policy analysis and health systems research. Public policy
analysis has gradually become a vast and multifaceted area of research consisting
of a number of perspectives. But the context of public policies in Sahelian
Africa has some specific characteristics. They are largely shaped by
international institutions and development agencies, on the basis of very common
'one-size-fits-all' models; the practical norms that govern the actual behaviour
of employees are far removed from official norms; public goods and services are
co-delivered by a string of different actors and institutions, with little
coordination between them; the State is widely regarded by the majority of
citizens as untrustworthy. In such a context, setting up and implementing health
user fee exemptions in Burkina Faso, Mali and Niger was beset by major problems,
lack of coherence and bottlenecks that affect public policy-making and
implementation in these countries.
PMID- 26559120
TI - Infrared spectroscopic and chemometric approach for identifying binding medium in
Sukias mansion's wall paintings.
AB - This paper addresses the application of infrared spectroscopy in combination with
chemometrics to identify wall painting's binding medium while employing pattern
recognition techniques to process FTIR data-set of complex samples. In this
regard, based on the historical documents and previous researches, firstly 56
standard samples were prepared to represent strata of Persian wall paintings in
the Safavid period in addition to real historic samples from the case study;
Sukias mansion. Then, each sample was analysed by the means of FTIR and
chemometrics. Finally, SIMCA was applied to the whole region of studied IR
spectra which predicted egg yolk as the binding medium of Sukias mansion samples.
PMID- 26559119
TI - Reactions of electrophiles with nucleophilic thiolate sites: relevance to
pathophysiological mechanisms and remediation.
AB - Electrophiles are electron-deficient species that form covalent bonds with
electron-rich nucleophiles. In biological systems, reversible electrophile
nucleophile interactions mediate basal cytophysiological functions (e.g. enzyme
regulation through S-nitrosylation), whereas irreversible electrophilic adduction
of cellular macromolecules is involved in pathogenic processes that underlie many
disease and injury states. The nucleophiles most often targeted by electrophiles
are side chains on protein amino acids (e.g. Cys, His, and Lys) and aromatic
nitrogen sites on DNA bases (e.g. guanine N7). The sulfhydryl thiol (RSH) side
chain of cysteine residues is a weak nucleophile that can be ionized in specific
conditions to a more reactive nucleophilic thiolate (RS(-)). This review will
focus on electrophile interactions with cysteine thiolates and the
pathophysiological consequences that result from irreversible electrophile
modification of this anionic sulfur. According to the Hard and Soft, Acids and
Bases (HSAB) theory of Pearson, electrophiles and nucleophiles can be classified
as either soft or hard depending on their relative polarizability. HSAB theory
suggests that electrophiles will preferentially and more rapidly form covalent
adducts with nucleophiles of comparable softness or hardness. Application of HSAB
principles, in conjunction with in vitro and proteomic studies, have indicated
that soft electrophiles of broad chemical classes selectively form covalent
Michael-type adducts with soft, highly reactive cysteine thiolate nucleophiles.
Therefore, these electrophiles exhibit a common mechanism of cytotoxicity. As we
will discuss, this level of detailed mechanistic understanding is a necessary
prerequisite for the rational development of effective prevention and treatment
strategies for electrophile-based pathogenic states.
PMID- 26559121
TI - Expert Opinion: International Task Force Criteria for the Clinical Diagnosis of
Arrhythmogenic Right Ventricular Cardiomyopathy.
PMID- 26559122
TI - Predicting future trends in the burden of pertussis in the 21st century:
implications for infant pertussis and the success of maternal immunization.
AB - Support is growing for maternal immunization using acellular pertussis (aP)
vaccines to prevent severe pertussis disease and deaths among very young,
unvaccinated infants. Vaccine effectiveness of maternal immunization is 91% in
preventing laboratory-confirmed pertussis in infants aged <3 months. To date,
most mothers were primed in childhood with whole-cell pertussis vaccines. Soon,
the generation of aP-primed individuals will become the new mothers-to-be. The
shorter duration of protection afforded by aP vaccines, which is more pronounced
with repeated aP boosters, may lead to increased pertussis circulation among aP
primed parents. Maternal Tdap immunization in aP-primed mothers-to-be may become
less effective. Additional measures to protect young infants may eventually be
needed, along with new vaccines that induce higher quality and more durable
responses.
PMID- 26559123
TI - Probing the dynamics of highly excited toluene on the fs timescale.
AB - Investigation of the dynamics of toluene-h8 (C6H5CH3), toluene-d8 (C6D5CD3) and
toluene-alpha,alpha,alpha-d3 (C6H5CD3) has been performed utilizing the VUV pump
IR probe technique on the fs timescale. Using the 5th harmonic (~160 nm) of a
Ti:sapphire laser as the pump beam, two superimposed electronic states, the
valence S3 and the Rydberg 4p, were excited by one-photon absorption, followed by
ionization and dissociation induced by the probe beam (800 nm). Analysis of the
transient signal of the parent (P(+)) and fragment ions ([P-H](+) or [P-D](+))
implies the existence of two different relaxation processes: (i) from the Rydberg
and (ii) from the S3 valence state. Using a rate equation model, the decay times
have been determined and comparison between the different isotopologues has been
made. Conclusions on the relaxation path, the relative displacements of the
potential energy surfaces and the activation energies needed have been drawn from
the decay times. The signals corresponding to the fragment ions present a small
in amplitude, but nonetheless, unambiguous periodical modulation, which is
attributed to out-of-plane bending oscillation, involving also the methyl group.
The dynamics of the H- and D-loss channels has been investigated. Especially for
the case of toluene-alpha,alpha,alpha-d3, where both channels are in operation,
it was found that the ratio of the abundance of H/D-loss dissociation reactions
decreases as the pump-probe delay time increases.
PMID- 26559124
TI - Antidote for Factor Xa Anticoagulants.
PMID- 26559125
TI - Pharmacologic Characterization of AMG 334, a Potent and Selective Human
Monoclonal Antibody against the Calcitonin Gene-Related Peptide Receptor.
AB - Therapeutic agents that block the calcitonin gene-related peptide (CGRP)
signaling pathway are a highly anticipated and promising new drug class for
migraine therapy, especially after reports that small-molecule CGRP-receptor
antagonists are efficacious for both acute migraine treatment and migraine
prevention. Using XenoMouse technology, we successfully generated AMG 334, a
fully human monoclonal antibody against the CGRP receptor. Here we show that AMG
334 competes with [(125)I]-CGRP binding to the human CGRP receptor, with a Ki of
0.02 nM. AMG 334 fully inhibited CGRP-stimulated cAMP production with an IC50 of
2.3 nM in cell-based functional assays (human CGRP receptor) and was 5000-fold
more selective for the CGRP receptor than other human calcitonin family
receptors, including adrenomedullin, calcitonin, and amylin receptors. The
potency of AMG 334 at the cynomolgus monkey (cyno) CGRP receptor was similar to
that at the human receptor, with an IC50 of 5.7 nM, but its potency at dog,
rabbit, and rat receptors was significantly reduced (>5000-fold). Therefore, in
vivo target coverage of AMG 334 was assessed in cynos using the capsaicin-induced
increase in dermal blood flow model. AMG 334 dose-dependently prevented capsaicin
induced increases in dermal blood flow on days 2 and 4 postdosing. These results
indicate AMG 334 is a potent, selective, full antagonist of the CGRP receptor and
show in vivo dose-dependent target coverage in cynos. AMG 334 is currently in
clinical development for the prevention of migraine.
PMID- 26559126
TI - Angiotensin II-Induced Hypertension Is Attenuated by Reduction of Sympathetic
Output in NO-Sensitive Guanylyl Cyclase 1 Knockout Mice.
AB - In the regulation of vascular tone, the dilatory nitric oxide (NO)/cGMP pathway
balances vasoconstriction induced by the renin-angiotensin and sympathetic
nervous systems. NO-induced cGMP formation is catalyzed by two guanylyl cyclases
(GC), NO-sensitive guanylyl cyclase 1 (NO-GC1) and NO-GC2, with indistinguishable
enzymatic properties. In vascular smooth muscle cells, NO-GC1 is the major
isoform and is responsible for more than 90% of cGMP formation. Despite reduced
vasorelaxation, NO-GC1-deficient mice are not hypertensive. Here, the role of NO
GC1 in hypertension provoked by contractile agonists angiotensin II (Ang II) and
norepinephrine (NE) was evaluated in NO-GC1-deficient mice. Hypertension induced
by chronic Ang II treatment did not differ between wild-type (WT) and NO-GC1
knockout mice (KO). Also, attenuation of NO-dependent aortic relaxation induced
by the Ang II treatment was similar in both genotypes and was most probably
attributable to an increase of phosphodiesterase 1 expression. Analysis of plasma
NE content-known to be influenced by Ang II-revealed lower NE in the NO-GC1 KO
under Ang II-treated- and nontreated conditions. The finding indicates reduced
sympathetic output and is underlined by the lower heart rate in the NO-GC1 KO. To
find out whether the lack of higher blood pressure in the NO-GC1 KO is a result
of reduced sympathetic activity counterbalancing the reduced vascular relaxation,
mice were challenged with chronic NE application. As the resulting blood pressure
was higher in the NO-GC1 KO than in WT, we conclude that the reduced sympathetic
activity in the NO-GC1 KO prevents hypertension and postulate a possible sympatho
excitatory action of NO-GC1 counteracting NO-GC1's dilatory effect in the
vasculature.
PMID- 26559127
TI - Trouble in Paradise: Unmeasured Confounding in Registry-based Studies of
Etiologic Factors.
PMID- 26559128
TI - Partial USH2A deletions contribute to Usher syndrome in Denmark.
PMID- 26559129
TI - Biallelic RFX6 mutations can cause childhood as well as neonatal onset diabetes
mellitus.
PMID- 26559130
TI - Onset-related subtypes of Parkinson's disease differ in the patterns of striatal
dopaminergic dysfunction: A positron emission tomography study.
AB - PURPOSE: The young-onset subtype of Parkinson's disease (YOPD) differs from the
late-onset subtype (LOPD) in drug responsiveness, incidence of motor
complications, and prognosis. The pathophysiology underlying these differences
remains largely unknown. This study investigated whether the two subtypes differ
in the pattern of dysfunction in striatal (caudate and putamen) dopaminergic
system and if the dopamine transporter (DAT) imaging patterns are associated with
the clinical features of corresponding PD subtype. METHODS: We assessed the
spatial pattern of striatal dopaminergic dysfunction in 40 YOPD and 47 LOPD with
early to mid-stage PD with DAT imaging by positron emission tomography. Two sub
regional parameters (caudate/putamen ratio and asymmetry index) were calculated
to measure the spatial pattern of striatal dopaminergic dysfunction. RESULTS: The
caudate/anterior putamen ratios were significantly higher in YOPD than that in
the LOPD (P = 0.03 contralateral to the most affected side of the body and P =
0.004 ipsilateral), which was supported by significantly inverse correlations
between age of onset and caudate/anterior putamen ratios (r = -0.428, P < 0.001
for the contralateral and r = -0.576, P < 0.001 for the ipsilateral). Sub
regional DAT binding in caudate ipsilateral to affected limbs was significantly
correlated with age, while DAT bindings in putamen were significantly inversely
correlated with disease duration and UPDRS motor scores. CONCLUSION: The YOPD
subtype suffers from an uneven pattern of dopaminergic dysfunction: more sparing
of the caudate compared with the putamen, while the LOPD patients is with a
relatively uniform pattern.
PMID- 26559132
TI - Magnetite nanoparticles facilitate methane production from ethanol via acting as
electron acceptors.
AB - Potential for interspecies hydrogen transfer within paddy soil enrichments
obtained via addition of magnetite nanoparticles and ethanol (named as PEM) was
investigated. To do this, PEM derived from rice field of Hangzhou (named as PEM
HZ) was employed, because it offered the best methane production performance.
Methane production and Fe (III) reduction proceeded in parallel in the presence
of magnetite. Inhibition experiments with 2-bromoethane sulfonate (BES) or
phosphate showed that interspecies hydrogen transfer and Fe (III) reduction also
occurred in methane production from ethanol. 16S rRNA-based Illumina sequencing
results showed that Dechloromonas, Thauera, Desulfovibrio and Clostridium were
the dominant putative Fe (III) -reducers, and that hydrogenotrophic
Methanobacterium accounted for about 88% of the total archaeal community. These
results indicated that magnetite nanoparticles that acted as electron acceptor
could facilitate rapid oxidation of ethanol by members of the Fe (III) -reducers
in PEM-HZ and establishment of the syntrophic relationship of Fe (III) -reducers
with Methanobacterium via interspecies hydrogen transfer. Our results could offer
a model to understand the microbial interaction with magnetite from a novel angle
during methanogenesis.
PMID- 26559131
TI - Intervention fidelity in a school-based diet and physical activity intervention
in the UK: Active for Life Year 5.
AB - BACKGROUND: Active for Life Year 5 (AFLY5) is an educational programme for Year 5
children (aged 9-10) designed to increase children's physical activity, decrease
sedentary behaviour and increase fruit and vegetable intake. This paper reports
findings from a process evaluation embedded within a randomised controlled trial
evaluating the programme's effectiveness. It considers the fidelity of
implementation of AFLY5 with a focus on three research questions: 1. To what
extent was the intervention delivered as planned? 2. In what ways, if any, did
the teachers amend the programme? and 3. What were the reasons for any
amendments? METHODS: Mixed methods were used including data collection via
observation of the intervention delivery, questionnaire, teacher's intervention
delivery log and semi-structured interviews with teachers and parents.
Qualitative data were analysed thematically and quantitative data were summarised
using descriptive statistics. RESULTS: Following training, 42 of the 43
intervention school teachers/teaching staff (98%) were confident they could
deliver the nutrition and physical activity lessons according to plan. The mean
number of lessons taught was 12.3 (s.d. 3.7), equating to 77% of the
intervention. Reach was high with 95% of children in intervention schools
receiving lessons. A mean of 6.2 (s.d. 2.6) out of 10 homeworks were delivered.
Median lesson preparation time was 10 min (IQR 10-20) and 28% of lessons were
reported as having been amended. Qualitative findings revealed that those who
amended the lessons did so to differentiate for student ability, update them for
use with new technologies and to enhance teacher and student engagement. Teachers
endorsed the aims of the intervention, but some were frustrated with having to
adapt the lesson materials. Teachers also a reported tendency to delegate the
physical activity lessons to other staff not trained in the intervention.
CONCLUSIONS: Fidelity of intervention implementation was good but teachers'
enthusiasm for the AFLY5 programme was mixed despite them believing that the
messages behind the lessons were important. This may have meant that the
intervention messages were not delivered as anticipated and explain why the
intervention was found not to be effective. TRIAL REGISTRATION: ISRCTN50133740.
PMID- 26559133
TI - Bt crops benefit natural enemies to control non-target pests.
AB - Crops producing insecticidal crystal (Cry) proteins from Bacillus thuringiensis
(Bt) control important lepidopteran pests. However, pests such as aphids not
susceptible to Cry proteins may require other integrated pest management (IPM)
tactics, including biological control. We fed aphids on Bt and non-Bt plants and
analyzed the Bt protein residue in aphids and compared the effects of Bt plants
and a pyrethroid, lambda-cyhalothrin, on the performance of three natural enemies
(predators: Coleomegilla maculata and Eupeodes americanus; parasitoid Aphidius
colemani) of the green peach aphid, Myzus persicae. No Bt protein residues in
aphids were detected and no significant differences were recorded in the
performance of pyrethroid-resistant aphids that fed on Bt broccoli expressing
Cry1Ab or Cry1C, or on non-Bt broccoli plants treated or not treated with the
pyrethroid. This indicated the aphids were not affected by the Cry proteins or
the pyrethroid, thus removing any effect of prey quality. Tri-trophic experiments
demonstrated that no C. maculata and E. americanus survived consumption of
pyrethroid-treated aphids and that ovipositional behavior of A. colemani was
impaired when provided with pyrethroid-treated aphids. In contrast, natural
enemies were not affected when fed aphids reared on Bt broccoli, thus
demonstrating the safety of these Bt plants for IPM.
PMID- 26559134
TI - Demystifying Infant Mental Health: What the Primary Care Provider Needs to Know.
AB - Infant mental health is an interdisciplinary professional field of inquiry,
practice, and policy that is concerned with alleviating suffering and enhancing
the social and emotional competence of young children. The focus of this field of
practice is supporting the relationships between infants and toddlers and their
primary caregivers to ensure healthy social and emotional development. Notably,
the connection between early life experiences and lifelong health has been well
established in the scientific literature. Without appropriate regulation from a
supportive caregiver, exposure to extreme stressors in early childhood can result
in wide-ranging physiological disruptions, including alterations to the
developing brain and immune, metabolic, and cardiovascular systems. As part of
this interdisciplinary team, pediatric primary care clinicians are in a unique
position to incorporate infant mental health practice tenets during their
frequent office visits with infants and toddlers. This article provides pediatric
primary care clinicians with an overview of infant mental health practice and
suggestions for the conscious promotion of positive early relationships as an
integral component of well-child care.
PMID- 26559135
TI - Missed Medical Appointments and Disease Control in Children With Type 1 Diabetes.
AB - The need exists to identify children with type 1 diabetes who are at risk for
poor outcomes, and we hypothesized that missed appointments could be a useful
indicator. We aimed to describe the frequency of missed medical appointments in
children with type 1 diabetes and evaluate the relationship between missed
appointments and poor disease control. Medical records of 1,002 children aged 0
17 years with type 1 diabetes and two or more scheduled appointments during a 43
month period were reviewed. Sixty-eight percent of patients missed no
appointments, 17% missed one appointment, and 15% missed two or more
appointments. Compared with patients who missed no appointments, patients who
missed two or more appointments were three times more likely to have a diabetic
ketoacidosis episode and three times more likely to have a hemoglobin A1c level
equal to or greater than 8.5%. They were also more likely to be a member of a
racial/ethnic minority group and be publicly insured. Missed appointments may be
an important indicator of poor treatment adherence, requiring targeted
interventions.
PMID- 26559136
TI - Parent Training Intervention to Manage Externalizing Behaviors in Children With
Autism.
AB - Children with autism spectrum disorder (ASD) are more likely than their typically
developing peers to exhibit externalizing behaviors; however, the etiology in
children with ASD may be different and related to the core deficits of the
disorder. Although parent training interventions have been effective in
decreasing externalizing behaviors in typically developing children, the
effectiveness in children with ASD has not been established. An in-depth analysis
of the child's behavior may provide the foundation upon which to develop an
individualized parent training approach. This case study illustrates how a
functional assessment interview was used to obtain in-depth information about
externalizing behaviors exhibited by a child with ASD who is high functioning and
how this information was used to develop an individualized parent training
intervention.
PMID- 26559137
TI - Discharge Facilitation: An Innovative PNP Role.
AB - Efficient and safe transition from the hospital to the community setting remains
a priority in health care. Providers face mounting pressure of both timely
discharges and minimizing readmissions, because these factor have an impact on
provider reimbursement. Traditionally in academic medical centers, rotating teams
of resident physicians have been responsible for discharging inpatients. The
acute care pediatric nurse practitioner (PNP), when discharging patients, may
arrange follow-up care, prescribe medications, and sign discharge orders, as the
resident physician does. Additionally, the PNP is positioned to provide
continuity of care and provide detailed discharge teaching and care coordination.
The goal of this article is to review the literature pertaining to the nurse
practitioner role in discharge facilitation and describe the creation and impact
of an innovative nurse practitioner discharge coordinator role at a large urban
pediatric medical center where improved discharge times were achieved.
PMID- 26559138
TI - Mortality and drug therapy in patients with chronic obstructive pulmonary
disease: a network meta-analysis.
AB - BACKGROUND: Increasing evidence suggests pharmacological treatments may impact on
overall survival in Chronic Obstructive Pulmonary Disease (COPD) patients.
Individual clinical trials are rarely powered to detect mortality differences
between treatments and may not include all treatment options relevant to
healthcare decision makers. METHODS: A systematic review was conducted to
identify RCTs of COPD treatments reporting mortality; evidence was synthesised
using network meta-analysis (NMA). The analysis included 40 RCTs; a quantitative
indirect comparison between 14 treatments using data from 55,220 patients was
conducted. RESULTS: The analysis reported two treatments reducing all-cause
mortality; salmeterol/fluticasone propionate combination (SFC) was associated
with a reduction in mortality versus placebo in the fixed effects (HR 0.79; 95 %
Crl 0.67, 0.94) but not the random effects model (0.79; 0.56, 1.09). Indacaterol
was associated with a reduction in mortality versus placebo in fixed (0.28; 0.08
to 0.85) and random effects (0.29; 0.08, 0.89) models. Mean estimates and
credible intervals for hazard ratios for indacaterol versus placebo are based on
a small number of events; estimates may change when the results of future studies
are included. These results were maintained across a variety of assumptions and
provide evidence that SFC and indacaterol may lead to improved survival in COPD
patients. CONCLUSION: Results of an NMA of COPD treatments suggest that SFC and
indacaterol may reduce mortality. Further research is warranted to strengthen
this conclusion.
PMID- 26559139
TI - Immunological and short-term brain volume changes in relapsing forms of multiple
sclerosis treated with interferon beta-1a subcutaneously three times weekly: an
open-label two-arm trial.
AB - BACKGROUND: Brain volume atrophy is observed in relapsing-remitting multiple
sclerosis (RRMS). METHODS: Brain volume changes were evaluated in 23 patients
with RRMS treated with interferon beta-1a 44 MUg given subcutaneously (SC) three
times a week (tiw) and 15 healthy controls. Percentages of whole brain and tissue
specific volume change were measured from baseline (0 months) to 3 months, from 3
to 6 months, and from baseline to 6 months using SIENAX Multi Time Point (SX-MTP)
algorithms. Immunological status of patients was also determined and correlations
between subsets of T cells and changes in brain volume were assessed. RESULTS:
Interferon beta-1a 44 MUg SC tiw in 23 patients with RRMS resulted in significant
reductions in whole brain and gray matter tissue volume early in the treatment
course (baseline to 3 months; mean change; -0.95%; P = 0.030, -1.52%; P = 0.004,
respectively), suggesting a short-term treatment-induced pseudoatrophy effect.
From baseline to 6 months, there were significant correlations observed between
decreased T- cell expression of IL-17 F and decreased whole brain and brain
tissue-specific volume. CONCLUSIONS: These findings are consistent with the
interpretation of the pseudoatrophy effect as resolution of inflammation
following treatment initiation with interferon beta-1a 44 MUg SC tiw, rather than
disease-related tissue loss. TRIAL REGISTRATION: ClinicalTrials.gov; NCT01085318.
PMID- 26559140
TI - Modular approach to customise sample preparation procedures for viral
metagenomics: a reproducible protocol for virome analysis.
AB - A major limitation for better understanding the role of the human gut virome in
health and disease is the lack of validated methods that allow high throughput
virome analysis. To overcome this, we evaluated the quantitative effect of
homogenisation, centrifugation, filtration, chloroform treatment and random
amplification on a mock-virome (containing nine highly diverse viruses) and a
bacterial mock-community (containing four faecal bacterial species) using
quantitative PCR and next-generation sequencing. This resulted in an optimised
protocol that was able to recover all viruses present in the mock-virome and
strongly alters the ratio of viral versus bacterial and 16S rRNA genetic material
in favour of viruses (from 43.2% to 96.7% viral reads and from 47.6% to 0.19%
bacterial reads). Furthermore, our study indicated that most of the currently
used virome protocols, using small filter pores and/or stringent centrifugation
conditions may have largely overlooked large viruses present in viromes. We
propose NetoVIR (Novel enrichment technique of VIRomes), which allows for a fast,
reproducible and high throughput sample preparation for viral metagenomics
studies, introducing minimal bias. This procedure is optimised mainly for faecal
samples, but with appropriate concentration steps can also be used for other
sample types with lower initial viral loads.
PMID- 26559141
TI - Acid-induced autophagy protects human lung cancer cells from apoptosis by
activating ER stress.
AB - An acidic tumor microenvironment exists widely in solid tumors. However, the
detailed mechanism of cell survival under acidic stress remains unclear. The aim
of this study is to clarify whether acid-induced autophagy exists and to
determine the function and mechanism of autophagy in lung cancer cells. We have
found that acute low pH stimulated autophagy by increasing LC3-positive punctate
vesicles, increasing LC3 II expression levels and reducing p62 protein levels.
Additionally, autophagy was inhibited by the addition of Baf or knockdown of
Beclin 1, and cell apoptosis was increased markedly. In mouse tumors, the
expression of cleaved caspase3 and p62 was enhanced by oral treatment with sodium
bicarbonate, which can raise the intratumoral pH. Furthermore, the protein levels
of ER stress markers, including p-PERK, p-eIF2alpha, CHOP, XBP-1s and GRP78, were
also increased in response to acidic pH. The antioxidant NAC, which reduces ROS
accumulation, alleviated acid-mediated ER stress and autophagy, and knocking down
GRP78 reduced autophagy activation under acidic conditions, which suggests that
autophagy was induced by acidic pH through ER stress. Taken together, these
results indicate that the acidic microenvironment in non-small cell lung cancer
cells promotes autophagy by increasing ROS-ER stress, which serves as a survival
adaption in this setting.
PMID- 26559143
TI - Research Domain Criteria (RDoC) and the DSM--Two Methodological Approaches to
Mental Health Diagnosis.
PMID- 26559142
TI - Statistical analysis plan for the Laser-1st versus Drops-1st for Glaucoma and
Ocular Hypertension Trial (LiGHT): a multi-centre randomised controlled trial.
AB - BACKGROUND: The LiGHT trial (Laser-1st versus Drops-1st for Glaucoma and Ocular
Hypertension Trial) is a multicentre randomised controlled trial of two treatment
pathways for patients who are newly diagnosed with open-angle glaucoma (OAG) and
ocular hypertension (OHT). The main hypothesis for the trial is that lowering
intraocular pressure (IOP) with selective laser trabeculoplasty (SLT) as the
primary treatment ('Laser-1st') leads to a better health-related quality of life
than for those started on IOP-lowering drops as their primary treatment
('Medicine-1st') and that this is associated with reduced costs and improved
tolerability of treatment. This paper describes the statistical analysis plan for
the study. METHODS/DESIGN: The LiGHT trial is an unmasked, multi-centre
randomised controlled trial. A total of 718 patients (359 per arm) are being
randomised to two groups: medicine-first or laser-first treatment. Outcomes are
recorded at baseline and at 6-month intervals up to 36 months. The primary
outcome measure is health-related quality of life (HRQL) at 36 months measured
using the EQ-5D-5L. The main secondary outcome is the Glaucoma Utility Index. We
plan to analyse the patient outcome data according to the group to which the
patient was originally assigned. Methods of statistical analysis are described,
including the handling of missing data, the covariates used in the adjusted
analyses and the planned sensitivity analyses. TRIAL REGISTRATION: The trial was
registered with the ISRCTN register on 23/07/2012, number ISRCTN32038223 .
PMID- 26559144
TI - Evaluation of a fitness intervention for new firefighters: injury reduction and
economic benefits.
AB - BACKGROUND: Firefighting is a hazardous profession and firefighters suffer
workplace injury at a higher rate than most US workers. Decreased physical
fitness is associated with injury in firefighters. A physical fitness
intervention was implemented among Tucson Fire Department recruit firefighters
with the goals of decreasing injury and compensation claims frequency and costs
during the recruit academy, and over the subsequent probationary year. METHODS:
Department injury records were analysed and described by body part, injury type
and mechanism of injury. Injury and workers' compensation claims outcomes from
the recruit academy initiation through the 12-month probationary period for the
intervention recruit class were compared with controls from three historical
classes. RESULTS: The majority of injuries were sprains and strains (65.4%), the
most common mechanism of injury was acute overexertion (67.9%) and the lower
extremity was the most commonly affected body region (61.7%). The intervention
class experienced significantly fewer injuries overall and during the
probationary year (p=0.009), filed fewer claims (p=0.028) and experienced claims
cost savings of approximately US$33 000 (2013) from avoided injury and reduced
claims costs. The estimated costs for programme implementation were $32 192
leading to a 1-year return on investment of 2.4%. CONCLUSIONS: We observed
reductions in injury occurrence and compensation costs among Probationary
Firefighter Fitness (PFF-Fit) programme participants compared with historical
controls. The initiation of the PFF-Fit programme has demonstrated promise in
reducing injury and claims costs; however, continued research is needed to better
understand the programme's potential effectiveness with additional recruit
classes and carryover effects into the recruit's career injury potential.
PMID- 26559145
TI - Potential underestimation of pesticide suicide and its impact on secular trends
in South Korea, 1991-2012.
AB - BACKGROUND: Pesticide ingestion is among the most commonly used suicide methods
globally. Underestimation of deaths by pesticide self-poisoning is thought to be
common but under-researched. We investigated potential misclassification and
underestimation of suicide by pesticide poisoning and their impact on trends in
pesticide suicide in South Korea. METHODS: We compared sociodemographic profiles
between deaths certified as suicide, undetermined deaths and injuries by
poisoning using pesticides, unspecified chemicals and medicines/alcohol in South
Korea (1991-2012), and calculated similarity scores. Joinpoint regression
analysis was used to examine time trends. RESULTS: The profiles of deaths
classified as pesticide suicides showed generally similar patterns to those for
undetermined deaths/injuries by poisoning using pesticides and
suicides/undetermined deaths/injuries by poisoning using unspecified chemicals.
Over the study period, the number of pesticide suicides (on average 2033 per
year; range 623-3530) would increase by 15%, 23% and 31%, when assuming that 20%,
30% and 40% of deaths in alternative cause-of-death categories were misclassified
pesticide suicides respectively. Age-standardised rates of pesticide suicide
increased more than four times in 1991-2003 but fell by around 50% in 2003-2012.
This trend did not change when the assumed proportion of misclassification was
20%, whereas the rapid increase in 2000-2003 would be lessened if
misclassification occurred by 30% or more. CONCLUSIONS: Pesticide suicides may be
underestimated in South Korea as some are possibly misclassified as deaths due to
other causes; however, such underestimation would not substantially affect
estimated secular trends.
PMID- 26559146
TI - Spencermartinsiella silvicola sp. nov., a yeast species isolated from rotting
wood.
AB - Three strains of a new xylanase-producing yeast species were isolated from
rotting wood samples collected in the Atlantic Rain Forest of Brazil. The
sequences of the internal transcribed spacer region and D1/D2 domains of the
large subunit of the rRNA gene showed that this novel yeast species belongs to
the genus Spencermartinsiella, and its closest relatives among recognized species
are Spencermartinsiella europaea and Spencermartinsiella ligniputridi. A novel
species, named Spencermartinsiella silvicola sp. nov., is proposed to accommodate
these isolates. The type strain is UFMG-CM-Y274T ( = CBS 13490T). The MycoBank
number is MB 813053. In addition, Candida cellulosicola is reassigned to the
genus Spencermartinsiella as a new combination.
PMID- 26559147
TI - Editorial overview: Endocrine and metabolic diseases: Waistline weapons: new
therapeutic avenues for the treatment of obesity and metabolic disease.
PMID- 26559148
TI - Public health challenges and legacies of Japan's response to the Ebola virus
disease outbreak in West Africa 2014 to 2015.
AB - The largest outbreak of Ebola virus disease occurred in West Africa in 2014 and
resulted in unprecedented transmission even in distant countries. In Japan, only
nine individuals were screened for Ebola and there was no confirmed case.
However, the government promoted the reinforcement of response measures and
interagency collaboration, with training and simulation exercises conducted
country-wide. The legacies included: publication of a communication policy on
case disclosure, a protocol for collaboration between public health and other
agencies, and establishing an expert committee to assemble the limited available
expertise. There were challenges in taking proportionate and flexible measures in
the management of people identified to be at high risk at entry points to Japan,
in the decentralised medical response strategy, and in the medical
countermeasures preparedness. The Ebola outbreak in West Africa provided a
crucial opportunity to reveal the challenges and improve the preparedness for
rare but high impact emerging diseases that are prone to be neglected. Efforts to
uphold the lessons learnt and maintain public health preparedness should help
prepare for future emerging diseases, including bioterrorist acts and pandemics.
PMID- 26559149
TI - Selectivity of flesh-footed shearwaters for plastic colour: Evidence for
differential provisioning in adults and fledglings.
AB - The ingestion of plastic by seabirds has been used as an indicator of population
and ocean health. However, few studies have examined adults and juveniles of the
same species concurrent with the availability of plastic in the local marine
environment. In King George Sound (KGS), Western Australia, 13% of adult flesh
footed shearwaters (Ardenna carneipes) and 90% of fledglings contained plastic
items in their digestive tract. On Lord Howe Island (LHI), New South Wales, 75%
of adult shearwaters and 100% of fledglings contained plastic. Ingested items
were assessed using Jaccard's Index (where J = 0 indicates complete dissimilarity
and J = 1 complete similarity). The colour of items ingested by self- and chick
provisioning shearwaters from KGS exhibited broad overlap with plastic available
in the local environment (J = 0.78-0.80), and plastic in adults and fledglings
from LHI were less similar to those available (J = 0.31-0.58). Additional data on
seabird colour selection would improve our understanding of the factors
influencing the behaviour of ingesting plastic, and its contribution to the
decline of some species.
PMID- 26559150
TI - The behaviors of microplastics in the marine environment.
AB - Despite the pollution of microplastics being internationally recognized, the
understanding of their behaviors in marine environment is still developing.
Microplastics are ubiquitous in the marine environment, with the potential to
cause harm to marine ecosystem. Here, we would classify the behaviors of
microplastics as physical behaviors (i.e. migration, sedimentation and
accumulation), chemical behaviors (i.e. degradation and adsorption) and
biobehaviors (i.e. ingestion, translocation and biodegradation), and a further
discussion on their behavioral mechanisms were presented to better understand
their impacts for the marine environment.
PMID- 26559151
TI - Association Between MC-2 Peptide and Hepatic Perfusion and Liver Injury Following
Resuscitated Hemorrhagic Shock.
AB - IMPORTANCE: Hemorrhagic shock (HS) due to trauma remains a major cause of
morbidity and mortality in the United States, despite continuing progression of
advanced life support and treatment. Trauma is the third most common cause of
death worldwide and is the leading cause of death in the 1- to 44-year-old age
group. Hemorrhagic shock often progresses to multiple organ failure despite
conventional resuscitation (CR) that restores central hemodynamics. OBJECTIVE: To
examine whether MC-2 would bind glycosaminoglycans to decrease proinflammatory
cytokines' influence in the liver, minimize organ edema, prevent liver injury,
and improve hepatic perfusion. MC-2, a synthetic octapeptide derived from the
heparin-binding domain of murine interferon gamma (IFN-gamma), binds
glycosaminoglycans to modulate serum and interstitial cytokine levels and
activity. DESIGN, SETTING, AND PARTICIPANTS: A controlled laboratory study of 3y
male Sprague-Dawley rats that were randomized to 4 groups of 8 each: sham,
sham+MC-2 (50 mg/kg), HS/CR, or HS/CR+MC-2 (HS = 40% of baseline mean arterial
pressure for 60 minutes; CR = return of shed blood and 2 volumes of saline). The
study began in March, 2013. MAIN OUTCOMES AND MEASURES: Effective hepatic blood
flow (EHBF) by galactose clearance, wet-dry weights, cytokines, histopathology,
complete metabolic panel, and complete blood cell count were performed at 4 hours
after CR. RESULTS: MC-2 partially reversed the HS/CR-induced hepatic
hypoperfusion at 3 and 4 hours postresuscitation compared with HS/CR alone.
Effective hepatic blood flow decreased during the HS period from a mean (SD) of
7.4 (0.3) mL/min/100 g and 7.5 (0.5) mL/min/100g at baseline to 3.7 (0.4)
mL/min/100g and 5.9 (0.5) mL/min/100g for the HS/CR and HS/CR+MC-2 groups,
respectively (P <.05). Effective hepatic blood flow remained constant in the sham
groups throughout the experimental protocol. Organ edema was increased in the
ileum and liver in the HS/CR vs sham group, and MC-2 decreased edema in the ileum
vs the HS/CR group. MC-2 in HS also decreased levels of alanine aminotransferase,
zonula occludens-1, and interleukin-1beta compared with HS/CR alone. CONCLUSIONS
AND RELEVANCE: MC-2 was associated with decreased liver injury, enhanced
effective hepatic blood flow, decreased cytokines, and prevention of edema
formation in the ileum when administered with CR following HS. These data suggest
that the MC-2 peptide could be a potential therapeutic approach to target
cytokine and chemokine interactions, which might limit multiple organ failure and
decrease mortality in hemorrhagic shock.
PMID- 26559152
TI - Exome sequencing reveals a high genetic heterogeneity on familial Hirschsprung
disease.
AB - Hirschsprung disease (HSCR; OMIM 142623) is a developmental disorder
characterized by aganglionosis along variable lengths of the distal
gastrointestinal tract, which results in intestinal obstruction. Interactions
among known HSCR genes and/or unknown disease susceptibility loci lead to
variable severity of phenotype. Neither linkage nor genome-wide association
studies have efficiently contributed to completely dissect the genetic pathways
underlying this complex genetic disorder. We have performed whole exome
sequencing of 16 HSCR patients from 8 unrelated families with SOLID platform.
Variants shared by affected relatives were validated by Sanger sequencing. We
searched for genes recurrently mutated across families. Only variations in the
FAT3 gene were significantly enriched in five families. Within-family analysis
identified compound heterozygotes for AHNAK and several genes (N = 23) with
heterozygous variants that co-segregated with the phenotype. Network and pathway
analyses facilitated the discovery of polygenic inheritance involving FAT3, HSCR
known genes and their gene partners. Altogether, our approach has facilitated the
detection of more than one damaging variant in biologically plausible genes that
could jointly contribute to the phenotype. Our data may contribute to the
understanding of the complex interactions that occur during enteric nervous
system development and the etiopathology of familial HSCR.
PMID- 26559153
TI - miR-539 induces cell cycle arrest in nasopharyngeal carcinoma by targeting cyclin
dependent kinase 4.
AB - Dysregulation of microRNAs has been demonstrated to contribute to malignant
progression of cancers, including nasopharyngeal carcinoma (NPC). miR-539 was
previously reported to be significantly downregulated in osteosarcoma. However,
the potential role and mechanism of action of miR-539 in the initiation and
progression of NPC remain largely unknown. Quantitative reverse transcription
(RT)-PCR demonstrated that miR-539 was significantly downregulated in NPC tumour
tissues compared with nontumour tissues. The cell viability, colony formation
assay and tumourigenicity assays in nude mice showed that miR-539 could inhibit
NPC cell growth in vitro and in vivo. The cyclin-dependent kinase 4 (CDK4) was
verified as a miR-539 target gene using dual-luciferase reporter assays,
quantitative RT-PCR and Western blotting and was involved in miR-539-regulated
NPC cell growth. These results indicated that miR-539 plays an important role in
the initiation and progression of NPC by targeting CDK4 and the miR-539/CDK4
pathway may contribute to the development of novel therapeutic strategies for NPC
in the future.
PMID- 26559154
TI - The effect of fibreoptic bronchoscopy in acute respiratory distress syndrome:
experimental evidence from a lung model.
AB - Flexible bronchoscopy is essential for appropriate care during mechanical
ventilation, but can significantly affect mechanical ventilation of the lungs,
particularly for patients with acute respiratory distress syndrome. We aimed to
describe the consequences of bronchoscopy during lung-protective ventilation in a
bench study, and thereby to determine the optimal diameter of the bronchoscope
for avoiding disruption of the protective-ventilation strategy during the
procedure. Immediately following the insertion of the bronchoscope into the
tracheal tube, either minute ventilation decreased significantly, or positive end
expiratory pressure increased substantially, according to the setting of the
inspiratory pressure limit. The increase in end-expiratory pressure led to an
equivalent increase in the plateau pressure, and lung-protective ventilation was
significantly altered during the procedure. We showed that a bronchoscope with an
external diameter of 4 mm (or less) would allow safer bronchoscopic interventions
in patients with severe acute respiratory distress syndrome.
PMID- 26559155
TI - Establishing a process for conducting cross-jurisdictional record linkage in
Australia.
AB - OBJECTIVE: To describe the realities of conducting a cross-jurisdictional data
linkage project involving state and Australian Government-based data collections
to inform future national data linkage programs of work. METHODS: We outline the
processes involved in conducting a Proof of Concept data linkage project
including the implementation of national data integration principles, data
custodian and ethical approval requirements, and establishment of data flows.
RESULTS: The approval process involved nine approval and regulatory bodies and
took more than two years. Data will be linked across 12 datasets involving three
data linkage centres. A framework was established to allow data to flow between
these centres while maintaining the separation principle that serves to protect
the privacy of the individual. CONCLUSIONS: This will be the first project to
link child immunisation records from an Australian Government dataset to other
administrative health datasets for a population cohort covering 2 million births
in two Australian states. IMPLICATIONS: Although the project experienced some
delays, positive outcomes were realised, primarily the development of strong
collaborations across key stakeholder groups including community engagement. We
have identified several recommendations and enhancements to this now established
framework to further streamline the process for data linkage studies involving
Australian Government data.
PMID- 26559156
TI - Fruit and vegetable intakes and risk of colorectal cancer and incident and
recurrent adenomas in the PLCO cancer screening trial.
AB - The roles of fruits and vegetables in colorectal cancer development are unclear.
Few prospective studies have assessed the association with adenoma, a known
precursor to colorectal cancer. Our aim was to evaluate the association between
fruit and vegetable intake and colorectal cancer development by evaluating the
risk of incident and recurrent colorectal adenoma and colorectal cancer. Study
participants were identified from the intervention arm of the Prostate, Lung,
Colorectal and Ovarian Cancer Screening Trial. Fruit and vegetable intake was
measured using a self-reported dietary questionnaire. Total fruit and vegetable
intake was not associated with reduced incident or recurrent adenoma risk
overall, but a protective association was observed for multiple adenomas (Odds
ratio 3rd tertile vs. 1st tertile = 0.61, 95% confidence interval (CI): 0.38,
1.00). Higher fruit and vegetable intakes were associated with a borderline
reduced risk of colorectal cancer (Hazard ratio (HR) 3rd tertile vs. 1st tertile
= 0.82, 95% CI: 0.67, 1.01), which reached significance amongst individuals with
high processed meat intakes (HR = 0.74, 95% CI: 0.55, 0.99). Our results suggest
that increased fruit and vegetable intake may protect against multiple adenoma
development and may reduce the detrimental effects of high processed meat intakes
on colorectal cancer risk.
PMID- 26559157
TI - How reader perception of capsule affects interpretation of washout in
hypervascular liver nodules in patients at risk for hepatocellular carcinoma.
AB - PURPOSE: To determine whether reader perception of a capsule affects reader
interpretation of washout in hypervascular liver nodules at dynamic magnetic
resonance imaging (MRI) in patients at risk for hepatocellular carcinoma (HCC).
MATERIALS AND METHODS: This retrospective study was Institutional Review Board
(IRB)-approved and Health Insurance Portability and Accountability Act (HIPAA)
compliant, with waiver of informed consent. MRI reports for 111 hypervascular
liver nodules (median 2.0 cm, range 1.0-17.8 cm) in 62 patients were reviewed,
and the presence/absence of capsule and washout were recorded for one reading. A
second independent study reading was also performed. The signal intensity ratio
(SIR) for each nodule and liver parenchyma was measured. An objective SIR
threshold was identified for nodules without capsules that correctly classified
the presence/absence of washout, then applied to nodules with capsules to
classify them as having / not having objective washout. Nodules were categorized
as definite / not definite HCC using subjective and objective washout, based on
LI-RADS, OPTN, AASLD, and EASL criteria, and proportions compared using McNemar's
test. RESULTS: Agreement on nodule features was high for Readings 1 and 2 (kappa
= 0.70-0.82). For Reading 1, 71 nodules lacked capsules (43 with and 28 without
subjective washout); an SIR threshold of 0.88 classified the presence/absence of
washout correctly in 94% (67/71, P < 0.001). Forty nodules had capsules; although
all had subjective washout (100%, 40/40), 75% (30/40) had objective washout (P <
0.05). Using objective washout caused 4.5% (3/66; LI-RADS, OPTN) and 12% (10/83;
AASLD, EASL) of nodules to be recategorized from definite HCC to not definite
HCC. CONCLUSION: Reader perception of capsule affects interpretation of washout.
This effect can influence nodule categorization using imaging-based diagnostic
systems. J. Magn. Reson. Imaging 2016;43:1337-1345.
PMID- 26559158
TI - Thin Water Films at Multifaceted Hematite Particle Surfaces.
AB - Mineral surfaces exposed to moist air stabilize nanometer- to micrometer-thick
water films. This study resolves the nature of thin water film formation at
multifaceted hematite (alpha-Fe2O3) nanoparticle surfaces with crystallographic
faces resolved by selected area electron diffraction. Dynamic vapor adsorption
(DVA) in the 0-19 Torr range at 298 K showed that these particles stabilize water
films consisting of up to 4-5 monolayers. Modeling of these data predicts water
loadings in terms of an "adsorption regime" (up to 16 H2O/nm(2)) involving direct
water binding to hematite surface sites, and of a "condensation regime" (up to 34
H2O/nm(2)) involving water binding to hematite-bound water nanoclusters.
Vibration spectroscopy identified the predominant hematite surface hydroxo groups
(-OH, MU-OH, MU3-OH) through which first layer water molecules formed hydrogen
bonds, as well as surface iron sites directly coordinating water molecules (i.e.,
as geminal eta-(OH2)2 sites). Chemometric analyses of the vibration spectra also
revealed a strong correspondence in the response of hematite surface hydroxo
groups to DVA-derived water loadings. These findings point to a near-saturation
of the hydrogen-bonding environment of surface hydroxo groups at a partial water
vapor pressure of ~8 Torr (~40% relative humidity). Classical molecular dynamics
(MD) resolved the interfacial water structures and hydrogen bonding populations
at five representative crystallographic faces expressed in these nanoparticles.
Simulations of single oriented slabs underscored the individual roles of all
(hydro)oxo groups in donating and accepting hydrogen bonds with first layer water
in the "adsorption regime". These analyses pointed to the preponderance of
hydrogen bond-donating -OH groups in the stabilization of thin water films.
Contributions of MU-OH and MU3-OH groups are secondary, yet remain essential in
the stabilization of thin water films. MD simulations also helped resolve
crystallographic controls on water-water interactions occurring in the
"condensation regime". Water-water hydrogen bond populations are greatest on the
(001) face, and decrease in importance in the order (001) > (012) ~ (110) > (014)
? (100). Simulations of a single (~5 nm * ~ 6 nm * ~ 6 nm) nanometric hematite
particle terminated by the (001), (110), (012), and (100) faces also highlighted
the key roles that sites at particle edges play in interconnecting thin water
films grown along contiguous crystallographic faces. Hydroxo-water hydrogen bond
populations showed that edges were the preferential loci of binding. These
simulations also suggested that equilibration times for water binding at edges
were slower than on crystallographic faces. In this regard, edges, and by
extension roughened surfaces, are expected to play commanding roles in the
stabilization of thin water films. Thus, in focusing on the properties of
nanometric-thick water layers at hematite surfaces, this study revealed the
nature of interactions between water and multifaced particle surfaces. Our
results pave the way for furthering our understanding of mineral-thin water film
interfacial structure and reactivity on a broader range of materials.
PMID- 26559159
TI - CE: Inside an Ebola Treatment Unit: A Nurse's Report.
AB - In December 2013, the first cases of the most recent outbreak of Ebola virus
disease (formerly known as Ebola hemorrhagic fever) emerged in the West African
nation of Guinea. Within months the disease had spread to the neighboring
countries of Liberia and Sierra Leone. The international humanitarian aid
organization Medecins Sans Frontieres (MSF; known in English as Doctors Without
Borders) soon responded by sending staff to set up treatment centers and outreach
triage teams in all three countries. In August 2014, the World Health
Organization declared the outbreak an international public health emergency.In
September 2014, the author was sent by MSF to work as a nurse in an Ebola
treatment unit in Liberia for five weeks. This article describes her experiences
there. It provides some background, outlines the practices and teams involved,
and aims to convey a sense of what it's like to work during an Ebola outbreak and
to put a human face on this devastating epidemic.
PMID- 26559160
TI - CE: Incorporating Acupressure into Nursing Practice.
AB - Rooted in traditional Chinese medicine, the use of acupressure to alleviate
symptoms, support the healing process, promote relaxation, and improve overall
health has grown considerably in the West. The effects of acupressure--like those
of acupuncture, with which it shares a theoretical framework--cannot always be
explained in terms of Western anatomical and physiologic concepts, but this
noninvasive practice involves minimal risk, can be easily integrated into nursing
practice, and has been shown to be effective in treating nausea as well as low
back, neck, labor, and menstrual pain. The author discusses potential clinical
indications for the use of acupressure, describes the technique, explains how to
evaluate patient outcomes, and suggests how future research into this integrative
intervention might be improved.
PMID- 26559161
TI - Time to first antibiotic and mortality in adults hospitalised with community
acquired pneumonia: a matched-propensity analysis.
AB - A matched-propensity analysis of national data from the British Thoracic Society
community-acquired pneumonia audit was conducted (n=13 725). Overall, time to
first antibiotic (TFA) was <=4 h in 63%. Adjusted 30-day inpatient (IP) mortality
was lower for adults with TFA <=4 h compared with TFA >4 h (adjusted OR 0.84, 95%
CI 0.74 to 0.94; p=0.003). Increasing TFA was associated with greater OR of 30
day IP mortality (p value for trend=0.001), but no TFA threshold was evident.
Although we found an association between TFA and mortality, we cannot say whether
this is causal or whether TFA might just be a quality measure for overall or
other processes of care.
PMID- 26559162
TI - Annual volume of very low-birth-weight infant deliveries appears to be more
important than level of neonatal intensive care unit for optimising perinatal
care.
PMID- 26559163
TI - Observational studies are compatible with an association between saturated and
trans fats and cardiovascular disease.
PMID- 26559164
TI - Evaluation of the Synthetic Potential of an AHBA Knockout Mutant of the Rifamycin
Producer Amycolatopsis mediterranei.
AB - Supplementing an AHBA(-) mutant strain of Amycolatopsis mediterranei, the
rifamycin producer, with a series of benzoic acid derivatives yielded new
tetraketides containing different phenyl groups. These mutasynthetic studies
revealed unique reductive properties of A. mediterranei towards nitro- and
azidoarenes, leading to the corresponding anilines. In selected cases, the yields
of mutaproducts (fermentation products isolated after feeding bacteria with
chemically prepared analogs of natural building blocks) obtained are in a range
(up to 118 mg L(-1)) that renders them useful as chiral building blocks for
further synthetic endeavors. The configuration of the stereogenic centers at C6
and C7 was determined to be 6R,7S for one representative tetraketide.
Importantly, processing beyond the tetraketide stage is not always blocked when
the formation of the bicyclic naphthalene precursor cannot occur. This was proven
by formation of a bromo undecaketide, an observation that has implications
regarding the evolutionary development of rifamycin biosynthesis.
PMID- 26559165
TI - Paternal-specific S-allele transmission in sweet cherry (Prunus avium L.): the
potential for sexual selection.
AB - Homomorphic self-incompatibility is a well-studied example of a physiological
process that is thought to increase population diversity and reduce the
expression of inbreeding depression. Whereas theoretical models predict the
presence of a large number of S-haplotypes with equal frequencies at equilibrium,
unequal allele frequencies have been repeatedly reported and attributed to
sampling effects, population structure, demographic perturbation, sheltered
deleterious mutations or selection pressure on linked genes. However, it is
unclear to what extent unequal segregations are the results of gametophytic or
sexual selection. Although these two forces are difficult to disentangle, testing
S-alleles in the offspring of controlled crosses provides an opportunity to
separate these two phenomena. In this work, segregation and transmission of S
alleles have been characterized in progenies of mixed donors and fully compatible
pollinations under field conditions in Prunus avium. Seed set patterns and pollen
performance have also been characterized. The results reveal paternal-specific
distorted transmission of S-alleles in most of the crosses. Interestingly, S
allele segregation within any given paternal or maternal S-locus was random.
Observations on pollen germination, pollen tube growth rate, pollen tube cohort
size, seed set dynamics and transmission patterns strongly suggest post
pollination, prezygotic sexual selection, with male-male competition as the most
likely mechanism. According to these results, post-pollination sexual selection
takes precedence over frequency-dependent selection in explaining unequal S
haplotype frequencies.
PMID- 26559166
TI - Utility of Continuous Metabolic Syndrome Score in Assessing Risk of Type 2
Diabetes: The Isfahan Diabetes Prevention Study.
AB - BACKGROUND/AIM: It is not clear whether levels of continuous metabolic syndrome
(cMetS) are associated with type 2 diabetes (T2D). The aim of this study was to
determine the ability of the cMetS score to predict progression to T2D in non
diabetic first-degree relatives (FDRs) of patients with T2D in Isfahan, Iran.
METHODS: A total of 1,869 non-diabetic FDRs 30-70 years old in 2003-2005 were
followed through 2014 for the occurrence of T2D. At baseline and through follow
ups, participants underwent a standard 75 g 2-h oral glucose tolerance test. MetS
was defined by the National Cholesterol Education Program-Adult Treatment Panel
III. The cMetS score was calculated using age- and gender-standardized Z-score
for MetS components. Receiver operating characteristic (ROC) curve was used to
assess the association between cMetS and components of MetS with T2D. RESULTS:
During 13,571 person-years of follow-up, 72 men and 210 women developed diabetes.
Those in the top quartile of cMetS were 8.0 times more likely to develop diabetes
than those in the bottom quartile (OR 7.96; 95% CI 4.88-12.99). On ROC curve
analysis, a higher area under the ROC were found for FPG (74.3%; 95% CI 70.8
77.8), than for cMetS (69.4%; 95% CI 66.0-72.8). CONCLUSIONS: The cMetS score is
a robust predictor of T2D and may be more effective and efficient than the
current binary definition of MetS in predicting progression to T2D in our study
population.
PMID- 26559167
TI - Systematic review of guidelines for the assessment and management of high-grade
anal intraepithelial neoplasia (AIN II/III).
AB - AIM: There is ambiguity with regard to the optimal management of anal
intraepithelial neoplasia (AIN) III. The aim of this review was to assess and
compare international/national society guidelines currently available in the
literature on the management, treatment and surveillance of AIN III. We also
aimed to assess the quality of the studies used to compile the guidelines and to
clarify the terminology used in histological assessment. METHOD: An electronic
search of PubMed and Embase was performed using the search terms 'anal
intraepithelial neoplasia', 'AIN', 'anal cancer', 'guidelines', 'surveillance'
and 'management'. Literature reviews and guidelines or practice guidelines in
peer reviewed journals from 1 January 2000 to 31 December 2014 assessing the
treatment, surveillance or management of patients with AIN related to human
papilloma virus were included. The guidelines identified by the search were
assessed for the quality of evidence behind them using the Oxford Centre for
Evidence-based Medicine 2011 Levels of Evidence. RESULTS: The database search
identified 5159 articles and two further guidelines were sourced from official
body guidelines. After inclusion criteria were applied, 28 full-text papers were
reviewed. Twenty-five of these were excluded, leaving three guidelines for
inclusion in the systematic review: those published by the Association of
Coloproctology of Great Britain and Ireland, the American Society of Colon and
Rectal Surgeons and the Italian Society of Colorectal Surgery. No guidelines were
identified on the management of AIN III from human papilloma virus associations
and societies. All three guidelines agree that a high index of clinical suspicion
is essential for diagnosing AIN with a disease-specific history, physical
examination, digital rectal examination and anal cytology. There is interchange
of terminology from high-grade AIN (HGAIN) (which incorporates AIN II/III) and
AIN III in the literature leading to confusion in therapy use. Treatment varies
from immunomodulation and photodynamic therapy to targeted destruction of areas
of HGAIN/AIN II/III using infrared coagulation, electrocautery, cryotherapy or
surgical excision but with little consensus between the guidelines.
Recommendations on surveillance strategies are similarly discordant, ranging from
6-monthly physical examination to annual anoscopy +/- biopsy. Over 50% of the
recommendations are based on Level 3 or Level 4 evidence and many were compiled
using studies that were more than 10 years old. CONCLUSION: Despite concordance
regarding diagnosis, there is significant variation in the guidelines over
recommendations on the treatment and surveillance of patients with HGAIN/AIN
II/III. All three sets of guidelines are based on low level, outdated evidence
originating from the 1980s and 1990s.
PMID- 26559168
TI - Australasian specialist emergency medicine workforce: Here and now ... and
beyond.
PMID- 26559169
TI - Not all the number of skeletal muscle fibers is determined prenatally.
AB - BACKGROUND: The investigation of skeletal muscle development is of importance in
stock farming and biomedicine. It is still ambiguous that whether animals are
born with the full set of skeletal muscle fibers or if the number of myofibers
continues to increase postnatally. RESULTS: Here, an inducible lineage-tracing
system was employed to monitor the changes of myofiber number in various skeletal
muscles during development. We confirm that the total myofiber number of
longissimus dorsi, gastrocnemius and rectus femoris is determined prenatally.
However, tibialis anterior and extensor digitorum longus have a different
development pattern, and their myofiber number still increases in the first
postnatal week and then remains stable afterwards. CONCLUSIONS: Our results
highlight different development time frames of anatomically distinct skeletal
muscles.
PMID- 26559170
TI - Barriers to the use of genetic information for the development of new epilepsy
treatments.
AB - Genetic analysis is providing new information on the biological basis of epilepsy
at a rapid pace; this article identifies factors acting as major barriers to use
of these data for therapy development. Disease heterogeneity is a primary
obstacle since so many genes can cause or predispose to epilepsy and the clinical
presentation of epilepsy is so diverse, thus making it difficult to define the
most therapeutically relevant targets. Further, many epilepsy genes affect brain
development, an observation that represents a barrier unto itself given the
challenge of reversing or preventing genetically mediated alterations of brain
pathway formation. Finally, the lack of appropriate models for testing new
therapies is also recognized as a fundamental limitation. Overcoming these
barriers will be aided by full characterization of the genetic landscape of
epilepsy, elucidation of key pathway points for therapeutic intervention and
creation of unique experimental models to validate results.
PMID- 26559171
TI - Simple assembly of long nanowires through substrate stretching.
AB - Although nanowire (NW) alignment has been previously investigated, minimizing
limitations such as process complexity and NW breakage, as well as quantifying
the quality of alignment, have not been sufficiently addressed. A simple, low
cost, large-area, and versatile alignment method is reported that is applicable
for NWs either grown on a substrate or synthesized in solution. Metal and
semiconductor NWs with average lengths of up to 16 MUm are aligned through the
stretching of polyvinyl alcohol (PVA) films, which compared to other stretching
methods results in superior alignment because of the large stretching ratio of
PVA. Poly[oxy(methyl-1,2-ethanediyl)] is employed as lubricant to prevent NW
breakage. To quantify NW alignment, a simple and effective image processing
method is presented. The alignment process results in an order parameter (S) of
NW alignment as high as 0.97.
PMID- 26559173
TI - Erratum: ECRJ Corrigendum.
AB - [This corrects the article DOI: 10.3402/ecrj.v2.26634.].
PMID- 26559172
TI - The efficacy of dantrolene sodium for muscle cramps in patients with localized
scleroderma.
PMID- 26559174
TI - Detecting Arbitrary DNA Mutations Using Graphene Oxide and Ethidium Bromide.
AB - We propose a simple and fast method for detecting arbitrary DNA mutations. Single
stranded DNA probes labeled with fluorescein amidite (FAM-ssDNA), ethidium
bromide (EB), and graphene oxide (GO) are employed in the sensing system. The
detection is achieved in two steps. In the first step, the sensing system
contains FAM-ssDNA probes and EB molecules. It exhibits different fluorescence
emissions in the presence of perfectly matched, mismatched, and random DNA
sequences. With the addition of GO in the second step, the fluorescence signal
for perfectly matched and random DNA does not vary greatly, which, however,
experiences a significant change for mismatched DNA targets. The signal ratio
before and after the addition of GO can clearly distinguish mutations from normal
and random DNA sequences. The detection method works well regardless of the
mutation positions and only requires "mix-and-detect" steps, which are completed
within 15 min.
PMID- 26559175
TI - Gene mapping and functional analysis of the novel leaf color gene SiYGL1 in
foxtail millet [Setaria italica (L.) P. Beauv].
AB - Setaria italica and its wild ancestor Setaria viridis are emerging as model
systems for genetics and functional genomics research. However, few systematic
gene mapping or functional analyses have been reported in these promising C4
models. We herein isolated the yellow-green leaf mutant (siygl1) in S. italica
using forward genetics approaches. Map-based cloning revealed that SiYGL1, which
is a recessive nuclear gene encoding a magnesium-chelatase D subunit (CHLD), is
responsible for the mutant phenotype. A single Phe to Leu amino acid change
occurring near the ATPase-conserved domain resulted in decreased chlorophyll
(Chl) accumulation and modified chloroplast ultrastructure. However, the mutation
enhanced the light-use efficiency of the siygl1 mutant, suggesting that the
mutated CHLD protein does not completely lose its original activity, but instead,
gains novel features. A transcriptional analysis of Chl a oxygenase revealed that
there is a strong negative feedback control of Chl b biosynthesis in S. italica.
The SiYGL1 mRNA was expressed in all examined tissues, with higher expression
observed in the leaves. Comparison of gene expression profiles in wild-type and
siygl1 mutant plants indicated that SiYGL1 regulates a subset of genes involved
in photosynthesis (rbcL and LHCB1), thylakoid development (DEG2) and chloroplast
signaling (SRP54CP). These results provide information regarding the mutant
phenotype at the transcriptional level. This study demonstrated that the genetic
material of a Setaria species could be ideal for gene discovery investigations
using forward genetics approaches and may help to explain the molecular
mechanisms associated with leaf color variation.
PMID- 26559176
TI - Multidetector computed tomographic pulmonary angiography in patients with a high
clinical probability of pulmonary embolism.
AB - ESSENTIALS: When high probability of pulmonary embolism (PE), sensitivity of
computed tomography (CT) is unclear. We investigated the sensitivity of
multidetector CT among 134 patients with a high probability of PE. A normal CT
alone may not safely exclude PE in patients with a high clinical pretest
probability. In patients with no clear alternative diagnosis after CTPA, further
testing should be strongly considered. BACKGROUND: Whether patients with a
negative multidetector computed tomographic pulmonary angiography (CTPA) result
and a high clinical pretest probability of pulmonary embolism (PE) should be
further investigated is controversial. METHODS: This was a prospective
investigation of the sensitivity of multidetector CTPA among patients with a
priori clinical assessment of a high probability of PE according to the Wells
criteria. Among patients with a negative CTPA result, the diagnosis of PE
required at least one of the following conditions: ventilation/perfusion lung
scan showing a high probability of PE in a patient with no history of PE,
abnormal findings on venous ultrasonography in a patient without previous deep
vein thrombosis at that site, or the occurrence of venous thromboembolism (VTE)
in a 3-month follow-up period after anticoagulation was withheld because of a
negative multidetector CTPA result. RESULTS: We identified 498 patients with a
priori clinical assessment of a high probability of PE and a completed CTPA
study. CTPA excluded PE in 134 patients; in these patients, the pooled incidence
of VTE was 5.2% (seven of 134 patients; 95% confidence interval [CI] 1.5-9.0).
Five patients had VTEs that were confirmed by an additional imaging test despite
a negative CTPA result (five of 48 patients; 10.4%; 95% CI 1.8-19.1), and two
patients had objectively confirmed VTEs that occurred during clinical follow-up
of at least 3 months (two of 86 patients; 2.3%; 95% CI 0-5.5). None of the
patients had a fatal PE during follow-up. CONCLUSIONS: A normal multidetector
CTPA result alone may not safely exclude PE in patients with a high clinical
pretest probability.
PMID- 26559177
TI - The vastus lateralis free flap for lower extremity gustilo grade III
reconstruction.
AB - BACKGROUND: Orthopedic trauma patients with Gustilo grade III injuries to the
distal third of lower extremity present challenges to optimum reconstructive
management. There is no consensus on the ideal autologous tissue for transfer in
large lower extremity defect reconstruction. We present a large case series
utilizing the vastus lateralis (VL) free flap for lower extremity Gustilo grade
III reconstruction. PATIENTS AND METHODS: This is a case series of patients who
underwent VL free tissue transfer for Gustilo grade III injuries. A total of 38
free tissue transfers were performed for lower extremity reconstruction, 19 of
which were VL flaps. Mean interval between injury and reconstruction was 46 days
(range 7-240 days). RESULTS: The mean wound size was 11.37 cm x 11.42 cm and all
cases underwent delayed reconstruction. Seven day flap viability was 100% and 30
day flap viability was 17/19 (89%). There were six complications: two hematomas
requiring drainage, one flap dehiscence, one distal flap loss requiring a reverse
saphenous vein graft extension, and two complete flap losses. Of the two failed
flaps, one was attributed to heparin-induced thrombocytopenia and the other to
venous congestion complicated by methicillin-resistant Staphylococcus aureus
infection. CONCLUSIONS: The VL free flap is a reliable and versatile flap that
can be tailored and tangentially thinned to match the shape and size of a defect,
and the long pedicle allows the surgeon to stay away from the zone of injury.
This flap should be strongly considered for lower extremity reconstruction,
especially in salvage operations for large defects. (c) 2015 Wiley Periodicals,
Inc. Microsurgery 37:212-217, 2017.
PMID- 26559178
TI - Photosensitizer-assembled PEGylated graphene-copper sulfide nanohybrids as a
synergistic near-infrared phototherapeutic agent.
AB - OBJECTIVES: Stimulative nanostructures play a crucial role in developing the
smart nanomedicine for high therapeutic efficacy with minimum adverse effects.
Herein, a near-infrared (NIR) light-responsive nanohybrids p-nanographene oxide
(GO)-copper sulfide (CuS)/indocyanine green (ICG) comprised of GO, CuS
nanoparticles and photosensitizer ICG was fabricated to couple the photothermal
property of CuS and photodynamic effect of ICG in one system in order to achieve
the synergistic phototherapy. METHODS: pGO-CuS/ICG was constructed by self
assembling ICG on pGO-CuS nanostructure. Its physicochemical, photothermal and
photodynamic properties were studied by spectroscopic methods. The in vitro
cellular uptake, cytotoxicity, the single/combined photothermal therapeutic (PTT)
and photodynamic therapeutic (PDT) effects were investigated with biological
techniques. RESULTS: pGO-CuS/ICG exhibited high efficacy of photothermal
conversation and singlet oxygen generation under NIR laser excitation. It entered
into the target cancer cells probably via passive transmembrane pathway and
exerted obvious PTT and PDT effect against the tumor cells upon irradiation with
the respective 940 and 808 nm lasers. In particular, the tremendous synergistic
efficacy of PDT and PTT had been demonstrated by tuning the NIR laser combined
irradiation. CONCLUSIONS: This study promises the future applications of pGO
CuS/ICG as a NIR light activable theranostic nanodrug for deep-seated cancer
noninvasive phototherapy.
PMID- 26559179
TI - Fluorescent Analogue of Batimastat Enables Imaging of alpha-Secretase in Living
Cells.
AB - The ADAM family of metalloproteases cleave a diverse range of transmembrane
substrates, resulting in the release of their soluble ectodomains. This process
of protein shedding, termed alpha-secretase processing, is involved in many
facets of both normal and disease related cellular function. While the processing
of substrates has been well documented, the regulation and trafficking of the
ADAMs are less well understood. Tools that allow for the study of ADAMs under
their native environment will allow for a better understanding of their
regulation and activity. Here we describe the design and evaluation of a novel
fluorescent analogue of a well-characterized ADAM inhibitor, Batimastat. This
probe exhibited similar activity for inhibiting alpha-secretase processing in
cells as did Batimastat. Importantly, this probe specifically labeled ADAMs
fluorescently in both fixed and living cells, enabling the possibility to study
the trafficking of alpha-secretase proteins in a dynamic environment.
PMID- 26559180
TI - Interferon-Free Treatment of Hepatitis C Virus in HIV/Hepatitis C Virus
Coinfected Subjects Results in Increased Serum Low-Density Lipoprotein
Concentration.
AB - Chronic hepatitis C virus (HCV) infection is associated with lower serum
concentration of low-density lipoprotein (LDL-C), the primary cholesterol
metabolite targeted pharmaceutically to modulate cardiovascular risk. Chronic
infection with human immunodeficiency virus (HIV) and treatment with
antiretrovirals (ARVs) are associated with dyslipidemia and increased risk of
cardiovascular disease. In subjects coinfected with HIV and HCV, lipid
abnormalities associated with either infection alone are often attenuated.
Treatment of chronic HCV infection in HIV/HCV-coinfected subjects is now possible
with interferon (IFN)-free regimens composed of directly acting antivirals
(DAAs). We previously observed a marked increase in serum LDL-C in HCV
monoinfected subjects treated with sofosbuvir and ribavirin (SOF/RBV) that
correlated with viral decline in serum, suggesting a direct influence of HCV
clearance on serum cholesterol. In the present study, we assessed longitudinal
changes in cholesterol in HIV/HCV-coinfected subjects during treatment of HCV
genotype-1 (GT1) infection with combination DAA therapy. We report a rapid
increase in LDL-C and LDL particle size by week 2 of treatment that was sustained
during and after treatment in HIV/HCV-coinfected subjects. No change in serum LDL
C was observed at day 3 of treatment, in spite of a marked reduction in serum HCV
viral load, suggesting LDL-C increases do not directly reflect HCV clearance as
measured in peripheral blood. After effective DAA therapy for HCV, an increase in
LDL should be anticipated in HIV/HCV-coinfected subjects.
PMID- 26559181
TI - Preparation of hybrid materials for controlled drug release.
AB - Authors obtained hybrid organic-inorganic materials applied in sustained drug
delivery. The materials are ibuprofen as a model drug, hydroxyapatite and three
different polymers as supports. Influence of the type of employed polymer, an
inorganic carrier, on the properties and drug release profiles was estimated.
Flory-Huggins interaction parameters, the dispersive component of surface free
energy and acid-base characteristic of the surface were used to assess the
behavior of the composites in terms of drug release. The experiments were carried
out with the use of inverse gas chromatography (IGC), Fourier transform infrared
(FTIR) and ultraviolet (UV) techniques. FTIR and ATR-FTIR spectra were collected.
The values of [Formula: see text] parameter obtained for all investigated
materials (excluding poly(L-lactide) (PLA2)) indicate low or medium activity. The
strongest interactions (the lowest values of the Flory-Huggins [Formula: see
text] parameter) are observed for PLA2 composition, while the weakest
interactions for systems with polyethylene glycol (PEG). Finally, drug release
profiles are shown. For materials prepared with Eudragit(r) (EUD) and PLA, the
release of drug was much smaller, which corresponds to lower values of Flory
Huggins parameter. The executed experiments allowed the estimation of the
properties of prepared composites. Prepared materials present properties required
in sustained drug release and may be successfully applied as drug delivery
systems.
PMID- 26559182
TI - Xeroderma Pigmentosum Group A Suppresses Mutagenesis Caused by Clustered
Oxidative DNA Adducts in the Human Genome.
AB - Clustered DNA damage is defined as multiple sites of DNA damage within one or two
helical turns of the duplex DNA. This complex damage is often formed by exposure
of the genome to ionizing radiation and is difficult to repair. The mutagenic
potential and repair mechanisms of clustered DNA damage in human cells remain to
be elucidated. In this study, we investigated the involvement of nucleotide
excision repair (NER) in clustered oxidative DNA adducts. To identify the in vivo
protective roles of NER, we established a human cell line lacking the NER gene
xeroderma pigmentosum group A (XPA). XPA knockout (KO) cells were generated from
TSCER122 cells derived from the human lymphoblastoid TK6 cell line. To analyze
the mutagenic events in DNA adducts in vivo, we previously employed a system of
tracing DNA adducts in the targeted mutagenesis (TATAM), in which DNA adducts
were site-specifically introduced into intron 4 of thymidine kinase genes. Using
the TATAM system, one or two tandem 7,8-dihydro-8-oxoguanine (8-oxoG) adducts
were introduced into the genomes of TSCER122 or XPA KO cells. In XPA KO cells,
the proportion of mutants induced by a single 8-oxoG (7.6%) was comparable with
that in TSCER122 cells (8.1%). In contrast, the lack of XPA significantly
enhanced the mutant proportion of tandem 8-oxoG in the transcribed strand (12%)
compared with that in TSCER122 cells (7.4%) but not in the non-transcribed strand
(12% and 11% in XPA KO and TSCER122 cells, respectively). By sequencing the
tandem 8-oxoG-integrated loci in the transcribed strand, we found that the
proportion of tandem mutations was markedly increased in XPA KO cells. These
results indicate that NER is involved in repairing clustered DNA adducts in the
transcribed strand in vivo.
PMID- 26559183
TI - Syngonanthus androgynus, a Striking New Species from South America, its
Phylogenetic Placement and Implications for Evolution of Bisexuality in
Eriocaulaceae.
AB - In the present study, we describe and illustrate a remarkable new species of
Syngonanthus from South America (Bolivia, Brazil and Peru). This new species is
quickly distinguished from all species in the genus by trimerous and bisexual
flowers, a unique set of characteristics in Syngonanthus. Complementary to this
study, sequences of 33 species were downloaded from GenBank and four species had
sequences newly generated for this study. Molecular phylogenetic analyses based
on nuclear ribosomal ITS and the plastid regions psbA-trnH and trnL-F were
performed to determine its systematic position. The results have shown S.
androgynus closely related to a well-supported clade that has been treated as
Syngonanthus sect. Carphocephalus. Floral traits associated with this new plant
also were surveyed. Character reconstruction suggests that the bisexual flowers
originated independently more than once in the genus. However, trimerous flowers
appear to be an ancestral condition of the whole genus.
PMID- 26559184
TI - A Cross-Sectional Survey of Healthcare Workers on the Knowledge and Attitudes
towards Polio Vaccination in Pakistan.
AB - INTRODUCTION: Pakistan accounts for 85.2% of the total polio cases reported
worldwide. Healthcare workers (HCWs) are an integral part of immunization
campaigns and source of education for the general public. This study aimed to
assess the knowledge and attitudes towards polio vaccination among HCWs providing
immunisation and education to general public in Quetta and Peshawar divisions of
Pakistan. METHODS: A cross-sectional survey of 490 HCWs was conducted in two
major referral public teaching hospitals of Quetta and Peshawar divisions. During
February to April, 2015, a random sample of 490 HCWs was invited to participate
in this study. Knowledge and attitudes were assessed by using self-administered,
anonymous and pretested questionnaire. Descriptive and logistic regression
analyses were used to express the results. RESULTS: A total of 468 participants
responded to the questionnaire, giving a response rate of 95.5%. Overall,
participants demonstrated good knowledge and positive attitudes towards polio
vaccination. The mean knowledge score of HCWs about polio was 13.42 +/- 2.39
(based on 18 knowledge questions) while the mean attitude score was 28.75 +/- 5.5
(based on 9 attitudes statements). Knowledge gaps were identified about the
incubation period of poliovirus (19.5%), management issues (31.9%), use of polio
vaccine in mild illnesses (34.7%) and the consequences of the polio virus
(36.9%). The majority of participants agreed that all children should be
vaccinated for polio (95.1%), while reservations were noted about the need of a
booster (38.9%), and sterility issues associated with polio vaccines (43.6%).
Internet (n = 167, 37%) and Posters (n = 158, 35%) were the main sources used by
HCWs to educate themselves about polio. CONCLUSION: Participants in this study
had good knowledge and positive attitudes towards polio vaccination. Although the
data are indicative of gaps in the knowledge of HCWs, the findings may not be
generalized to other hospitals in Pakistan.
PMID- 26559185
TI - Accuracy of Blood Pressure-to-Height Ratio to Define Elevated Blood Pressure in
Children and Adolescents: The CASPIAN-IV Study.
AB - The aim of this study was to propose a simple practical diagnostic criterion for
pre-hypertension (pre-HTN) and hypertension (HTN) in the pediatric age group.
This study was conducted on a nationally representative sample of 14,880
students, aged 6-18 years. HTN and pre-HTN were defined as systolic blood
pressure (SBP) and/or diastolic blood pressure (DBP) >= 95 and 90-95th percentile
for age, gender, and height, respectively. By using the area under the curve
(AUC) of the receiver operator characteristic curves, we estimated the diagnostic
accuracy of two indexes of SBP-to-height ratio (SBPHR) and DBP-to-height (DBPHR)
to define pre-HTN and HTN. Overall, SBPHR performed relatively well in
classifying subjects to HTN (AUC 0.80-0.85) and pre-HTN (AUC 0.84-0.90).
Likewise, DBPHR performed relatively well in classifying subjects to HTN (AUC
0.90-0.97) and pre-HTN (AUC 0.70-0.83). Two indexes of SBPHR and DBPHR are
considered as valid, simple, inexpensive, and accurate tools to diagnose pre-HTN
and HTN in pediatric age group.
PMID- 26559186
TI - Isotopic Differences between Forage Consumed by a Large Herbivore in Open,
Closed, and Coastal Habitats: New Evidence from a Boreal Study System.
AB - Documenting habitat-related patterns in foraging behaviour at the individual
level and over large temporal scales remains challenging for large herbivores.
Stable isotope analysis could represent a valuable tool to quantify habitat
related foraging behaviour at the scale of individuals and over large temporal
scales in forest dwelling large herbivores living in coastal environments,
because the carbon (delta13C) or nitrogen (delta15N) isotopic signatures of
forage can differ between open and closed habitats or between terrestrial and
littoral forage, respectively. Here, we examined if we could detect isotopic
differences between the different assemblages of forage taxa consumed by white
tailed deer that can be found in open, closed, supralittoral, and littoral
habitats. We showed that delta13C of assemblages of forage taxa were 3.0 0/00
lower in closed than in open habitats, while delta15N were 2.0 0/00 and 7.4 0/00
higher in supralittoral and littoral habitats, respectively, than in terrestrial
habitats. Stable isotope analysis may represent an additional technique for
ecologists interested in quantifiying the consumption of terrestrial vs. marine
autotrophs. Yet, given the relative isotopic proximity and the overlap between
forage from open, closed, and supralittoral habitats, the next step would be to
determine the potential to estimate their contribution to herbivore diet.
PMID- 26559187
TI - Comments on "Local Anesthetic Systemic Toxicity".
PMID- 26559188
TI - Response to "Comments on 'Local Anesthetic Systemic Toxicity'".
PMID- 26559189
TI - A 1H NMR Investigation of the Interaction between Phenolic Acids Found in Mango
(Manguifera indica cv Ataulfo) and Papaya (Carica papaya cv Maradol) and 1,1
diphenyl-2-picrylhydrazyl (DPPH) Free Radicals.
AB - The benefits of phenolic acids on human health are very often ascribed to their
potential to counteract free radicals to provide antioxidant protection. This
potential has been attributed to their acidic chemical structure, which possesses
hydroxyl groups in different positions. Phenolic acids can interact between
themselves and exhibit an additive, antagonistic or synergistic effect. In this
paper, we used 1H NMR to analyze the interactions and mechanisms that are present
in major phenolic acids found in mango (gallic, protocatechuic, chlorogenic and
vanillic acids) and papaya (caffeic, ferulic and p-coumaric acids), and the DPPH
radical was used to evaluate the effect of the antioxidant mixtures. The
interactions were found to occur via hydrogen bonds between the -OH and -COOH
groups. Moreover, the phenolic acids exhibit two types of mechanisms for the
neutralization of the DPPH radical. According to the results, these two
mechanisms are Hydrogen Atom Transfer (HAT) and Single Electron Transfer (SET).
The ability of the phenolic acid to neutralize the DPPH radical decreases in the
following order in mango: gallic > chlorogenic > protocatechuic > vanillic.
Moreover, within the acids found in papaya, the order was as follows: caffeic > p
coumaric > ferulic.
PMID- 26559190
TI - Polymorphisms at Locus 4p14 of Toll-Like Receptors TLR-1 and TLR-10 Confer
Susceptibility to Gastric Carcinoma in Helicobacter pylori Infection.
AB - Helicobacter pylori (H. pylori) -induced gastric inflammation impacts the
functions of leptin- and ghrelin-producing cells in the gastroduodenum.
Inflammation resulting from H. pylori sensing via Toll-like receptors (TLRs) and
the associated downstream signaling largely remain ambiguous. Here, we
investigated the role of gut hormones, pro-inflammatory cytokines and single
nucleotide polymorphisms (SNPs) associated with TLR 4p14 in H. pylori disease in
30 subjects with non-ulcer dyspepsia (NUD), 40 with peptic ulcer disease (PUD)
and 15 with gastric cancer (GC) subjects positive and negative for H. pylori
infection. The level of pro-inflammatory cytokines was directly proportional to
the severity of gastritis, and disease status influenced the levels of gut
hormones and pro-inflammatory cytokines. TLR-1 SNPs rs4833095 and TLR-10 SNPs
rs10004195 and were directly associated with H. pylori disease, and were up
regulated in the presence of H. pylori in a genotype-independent manner. We
concluded that TLR-1 rs4833095 and TLR10 rs10004195 confer susceptibility to
development of gastroduodenal disease, especially GC in H.pylori disease.
PMID- 26559191
TI - Measuring and Validating a General Cancer Predisposition Perception Scale: An
Adaptation of the Revised-IPQ-Genetic Predisposition Scale.
AB - BACKGROUND: Illness perceptions are linked to individual help-seeking and
preventive behaviors. Previous illness perception studies have identified five
dimensions of illness-related experience and behaviour. The Revised Illness
Perception Questionnaire (IPQ-R) for genetic predisposition (IPQ-R-GP) was
developed to measure illness perceptions in those genetically-predisposed to
blood disease. We adapted the IPQ-R-GP to measure perceptions of generalized
cancer predisposition. This paper describes the development and validation of the
Cancer Predisposition Perception Scale (CPPS). METHODS: The draft CPPS scale was
first administered to 167 well Hepatitis B carriers and 123 other healthy
individuals and the factor structure was examined using Exploratory Factor
Analysis. Then the factor structure was confirmed in a second sample comprising
148 healthy controls, 150 smokers and 152 passive smokers using Confirmatory
Factor Analysis (CFA). RESULTS: Six-factors comprising 26 items provided optimal
fit by eigen and scree-plot methods, accounting for 58.9% of the total variance.
CFA indicated good fit of the six-factor model after further excluding three
items. The six factors, Emotional representation (5 items), Illness coherence (4
items), Treatment control (3 items), Consequences (5 items), Internal locus of
control (2 items) and External locus of control (4 items) demonstrated adequate
to-good subscale internal consistency (Cronbach's alpha = 0.63-0.90). Divergent
validity was suggested by low correlations with optimism, self-efficacy, and
scales for measuring physical and psychological health symptoms. CONCLUSION: The
CPPS appears to be a valid measure of perceived predisposition to generic cancer
risks and can be used to examine cancer-risk-related cognitions in individuals at
higher and lower cancer risk.
PMID- 26559192
TI - Fifth metacarpal neck fractures treated with soft wrap/buddy taping compared to
reduction and casting: results of a prospective, multicenter, randomized trial.
AB - INTRODUCTION: The majority of fifth metacarpal neck fractures (boxers fracture)
are treated conservatively without surgery. The purpose of this prospective,
randomized, multicenter trial was to determine if the outcomes of soft wrap and
buddy taping (SW) was noninferior to reduction and cast (RC) in boxer's fracture
with palmar angulation <=70 degrees and no rotational deformity. MATERIALS AND
METHODS: Sixty-eight patients with similar characteristics were prospectively
enrolled and randomized at four institutions. Our primary outcome was measured by
the shortened Disabilities of the Arm, Shoulder and Hand (quickDASH)
questionnaire at 4 months. Noninferiority was claimed if there was no more than
+10 points difference in the quickDASH. Other secondary radiographic and clinical
outcomes were measured. RESULTS: At 4 months, mean difference in the quickDASH
between the two groups was -10.4 (95 % confidence interval, -27.0; +6.2) which
was under the pre-specified margin. There was no significant difference between
both groups' secondary outcomes of pain, satisfaction with the esthetic
appearance, mobility of the metacarpophalangeal-joint at flexion and extension,
or power grip. Increased fracture angulation, as measured on follow-up
radiographs, was not significantly different between both groups. The degree of
palmar fracture angulation was not related to work leave or profession. Duration
of time off from work was 11 days shorter in SW compared to RC (P = 0.03).
CONCLUSION: This study supports the use of soft wrap and buddy taping for
treatment of boxer's fracture with palmar angulation <=70 degrees and no
rotational deformity. Although there was no statistical difference in
satisfaction with the esthetic appearance, the patient must be willing to accept
the loss of the "knuckle" with this treatment method.
PMID- 26559193
TI - Cognitive function in cancer survivors: analysis of the 1999-2002 National Health
and Nutrition Examination Survey.
AB - PURPOSE: Cancer and its treatment may affect cognitive function through a number
of direct and indirect pathways including inflammation, lipid metabolism,
vascular damage, and changes in the blood-brain barrier. While short-term
treatment-related cognitive changes are well recognized, only limited research is
available in older, long-term survivors of cancer. METHODS: Using NHANES data
from 1999 to 2002, 408 cancer survivors and 2639 non-cancer participants aged 60
years old and above were identified. Cognitive function of these groups were
compared using the Digit Symbol Substitution Test (DSST) and self-reported
problems with memory or confusion. RESULTS: After adjustment for covariates,
cancer survivors scored, on average, 1.99 points lower on the DSST compared to
non-cancer survivors (-1.99, 95 % CI -3.94, -0.05). Cancer survivors also had 17
% higher odds of self-reporting problems with memory or confusion (OR 1.17, 95 %
CI 0.89, 1.53). CONCLUSION: In this nationally representative sample of older US
adults, cancer survivors had lower DSST scores than non-survivors and had more
self-reported problems with memory or confusion.
PMID- 26559194
TI - Clinical characteristics and prognostic factors of patients with mature T-cell
lymphoid malignancies: a single-institution study of 225 cases.
AB - Mature T-cell lymphoid malignancies comprise a group of heterogeneous diseases
that vary in clinicopathological features, biological behavior, treatment
response, and prognosis. Bone marrow (BM) infiltration is more commonly present
in mature T-cell lymphoid malignancies compared with their B-cell counterparts
and hence important for differential diagnosis. In this study, clinical
characteristics and prognostic factors were analyzed in 225 patients with mature
T-cell lymphoid malignancies treated in a single institution. These included 29
cases of T-cell lymphoproliferative disorders (T-LPD, all with BM infiltration)
and 196 cases of T-/natural-killer-cell lymphoma (T/NKCL, 56 with BM infiltration
and 140 without BM infiltration). The estimated 5-year overall survival (OS)
rates of T-LPD and T/NKCL were 96.6% and 37.3%, respectively. T-LPD patients were
less likely to exhibit poor performance status, advanced disease stage, presence
of B symptoms, or abnormal level of serum beta-2 microglobulin. With similar
pathological characteristics, T/NKCL patients with BM infiltration showed
significantly lower response rates and shorter OS than those without BM
infiltration (P = 0.0264 and P < 0.0001, respectively). Multivariate analysis
indicated that poor performance status, advanced disease stage, elevated serum
lactate dehydrogenase level, and BM involvement were independent unfavorable
prognostic factors. The Glasgow Prognostic Score may be more efficient than the
International Prognostic Index in predicting disease outcome in T/NKCL. In
conclusion, clinical characteristics may be useful in more effectively
stratifying patients with mature T-cell lymphoid malignancies.
PMID- 26559195
TI - Regorafenib in the treatment of colorectal cancer.
AB - INTRODUCTION: Colorectal cancer (CRC) is among the most frequently diagnosed
malignancies, and is commonly associated with metastatic disease at presentation.
While chemotherapy represents a mainstay of management, options at the time of
disease progression are limited. Regorafenib is a novel multikinase inhibitor
which has been evaluated for patients with chemo-refractory metastatic CRC (mCRC)
and is currently approved for use in a last-line-of-treatment setting. AREAS
COVERED: Articles searchable on MEDLINE/PubMed were reviewed to provide context
for use of regorafenib in the management of mCRC. Specific drug properties are
discussed, including chemistry, pharmacodynamics, pharmacokinetics, and
metabolism. Additionally, clinical efficacy is reported with consideration of
Phases I-III data. EXPERT OPINION: Phase III evaluation has confirmed the
efficacy of regorafenib for patients with chemo-refractory mCRC. Importantly, the
rapid accrual of the CORRECT trial revealed the degree of unmet need for this
patient population, and proved that it was feasible to compare novel agents to
placebo when multiple lines of standard therapy have failed. In the coming years,
the role of regorafenib in the management of mCRC should be further clarified,
especially through identification of the patient population with greatest
anticipated benefit and exploration of its use as an adjuvant or maintenance
agent.
PMID- 26559198
TI - A complementary method to CD4 counting: measurement of CD4+/CD8+ T lymphocyte
ratio in a tandem affinity microfluidic system.
AB - We describe a tandem affinity microfluidic separation that measures the ratio of
CD4+/CD8+ T lymphocytes from blood samples. It is performed by injecting 2 MUL of
lysed blood samples at 1800-2700 cells MUL(-1) into a microfluidic device
containing two serially linked affinity regions, followed with a stop flow
incubation that captures CD4+/CD8+ T lymphocytes on the corresponding affinity
regions. Fluorophore conjugated antibodies are then injected at a controlled
shear stress of 1.7 dyn cm(-2) to label target cells while eluting non-specific
cells; and at last the CD4/CD8 ratio is calculated after the cell enumeration.
The ratio of CD4+/CD8+ T lymphocytes achieved by our tandem affinity microfluidic
system was in close agreement with that performed using conventional flow
cytometry (R (2) = 0.97) over a wide range (0.4-2.5) that covered the reference
values from immune deficient patients to healthy people. This approach may
represent an inexpensive and powerful tool in diagnosis of immunodeficiency
disorders including HIV or mycobacterium tuberculosis.
PMID- 26559197
TI - Curcumin protects against nicotine-induced stress during protein malnutrition in
female rat through immunomodulation with cellular amelioration.
AB - Nicotine aggravates many chronic inflammatory disorders in females under the
protein-malnourished conditions because women are more susceptible to nicotine
induced diseases due to their low innate immunity. Although curcumin have been
found to obliterate the nicotine-induced disorders through its anti-nicotinic
activity under the protein-malnourished condition, the exact mechanism of
protective action of curcumin is still unclear. Female Wister rats maintained
under the normal and protein-restricted diets in two separate groups were
injected with the effective dose of nicotine-tartrate (2.5 mg/kg body weight/day,
subcutaneously) and supplemented with the effective dose of curcumin (80 mg/kg
body weight/day, orally) for 21 days. The morphology of red blood cells (RBCs),
molecular docking, lipid profile and activities of antioxidant enzymes in
tissues, cytokines profiling (T helper cell type 1; and T helper cell type 2),
mRNA and protein expression of cytokines, transcription factors (activator
protein 1), regulatory molecule (P(53)), growth factors (Granulocyte-macrophage
colony-stimulating factor; Transforming growth factor beta) were determined to
establish the mechanism of actions of curcumin against the nicotine-mediated
stress in the protein-malnourished rats. This study revealed that curcumin bound
to the Histidine 87 residues of haemoglobin with a greater binding affinity and
significantly protected the RBCs against nicotine-induced damage. Furthermore,
the nicotine-mediated disruption of Th1/Th2 balance through upregulation and
downregulation of different factors was effectively restored by curcumin under
the protein-malnourished conditions. The study demonstrated that curcumin was a
potent protective compound against the nicotine-induced stress and offered a
probable biochemical and immunomodulatory mechanism of protective action of
curcumin.
PMID- 26559200
TI - Comment on Alquraini et al.: reliability of Canadian Emergency Department Triage
and Acuity Scale (CTAS) in Saudi Arabia.
AB - It is very common to examine reliability of triage scales using (weighted) kappa
statistics. The point is that weighted kappa has grossly underestimated
disagreements by one category and put more emphasis on extreme category
disagreements; therefore, low prevalence of critically-ill and non-urgent
patients has excluded the effect of extreme categories disagreement from
calculated kappa coefficient and also contributed to significant overestimation.
As a result, weighted kappa coefficient as an estimate of scale reliability is
overestimated by the anchoring effect.
PMID- 26559199
TI - Retina-on-a-chip: a microfluidic platform for point access signaling studies.
AB - We report on a microfluidic platform for culture of whole organs or tissue slices
with the capability of point access reagent delivery to probe the transport of
signaling events. Whole mice retina were maintained for multiple days with
negative pressure applied to tightly but gently bind the bottom of the retina to
a thin poly-(dimethylsiloxane) membrane, through which twelve 100 MUm diameter
through-holes served as fluidic access points. Staining with toluidine blue,
transport of locally applied cholera toxin beta, and transient response to
lipopolysaccharide in the retina demonstrated the capability of the microfluidic
platform. The point access fluidic delivery capability could enable new assays in
the study of various kinds of excised tissues, including retina.
PMID- 26559202
TI - [Editorial].
PMID- 26559201
TI - Pharmacological approaches to CNS vasculitis: where are we at now?
AB - The diagnosis and treatment of central nervous system (CNS) vasculitis is
extremely challenging. Several conditions can mimic CNS vasculitis and require
totally different treatment. CNS vasculitis, once confirmed, may result from
infections or systemic diseases that will warrant specific treatments, or, more
rarely, be primary and isolated (PCNSV). Prospective trials to help determine the
optimal treatment for PCNSV are lacking, but data from several cohorts have
provided seminal data on its management. The consensus is to use glucocorticoids
as first-line agents, combined with additional immunosuppressants for the most
severe cases, mainly cyclophosphamide for induction, followed by less-toxic
maintenance therapy with azathioprine, methotrexate, or mycophenolate mofetil.
The recent identification of PCNSV subgroups and predictors of outcomes might
help in deciding the adequate treatment for each patient, keeping in mind that
these data are based on a small number of patients. Other agents and biologics
can be considered for patients with relapsing and/or refractory disease, but
evidence is limited. In practice, the diagnosis must be re-questioned in patients
with PCNSV refractory to standard treatment, especially with diagnoses not based
on pathology.
PMID- 26559203
TI - [History of the department of Psychiatry at the University of Montreal].
AB - In its current form, the Departement de psychiatrie at the Universite de Montreal
(UdeM) was created in 1964. The first person to have headed was Dr. Gerard
Beaudoin... Between 1948 and 1964, several others psychiatrists were heading the
Departement without necessary bearing a particular title.The directors of the
Departement from 1951 to now were: Drs. Fernand Cote, Camille Laurin, Gerard
Beaudoin, Yvon Gauthier, Arthur Amyot, Francis Borgeat, Hugues Cormier, Sylvain
Palardy, Jean Hebert, and Emmanuel Stip.When the Departement opened, it was the
second institution in Montreal that was training psychiatrists. During the first
year, there were 3 psychiatric residents, but within 20 years this number had
increased to 63. From the early years, teaching psychiatry to residents, and
subsequently to all UdeM medical students, has been a priority in the
Departement, and over the years many psychiatrists trained at UdeM have attained
leadership positions elsewhere. The Departement attained an early reputation for
excellence in both clinical and basic research.The strengths the Departement
developed in its early years in clinical psychopharmacology, in basic research in
neurotransmitters, sleep, cognition, forensic, and in community psychiatry have
been augmented more recently with active programs in psychotherapy research,
substance abuse research, psychoneuroendocrinology, developmental aspects of
behavior, genetics, epigenetics as well as the study of the brain through a
variety of brain scanning techniques.The history of the Departement de
psychiatrie de l'Universite de Montreal is largely dependent on that of each of
the institutions affiliated to the Universite: the Pavillon Albert-Prevost de
l'Hopital du Sacre-Coeur de Montreal (HSCM), the Institut universitaire en sante
mentale de Montreal (IUSMM) and the CHU Sainte-Justine. We must also remember
that the discovery of the potentiating of lithium by antidepressants was made by
Dr. Demontigny team at the Hopital Louis-H. Lafontaine (now IUSMM). Significant
advances related to the interaction between the psychoanalytic movement and
community psychiatry were greatly influenced by the work at the Pavillon Albert
Prevost and the emergence of behavioral therapies (Dr. Yves Lamontagne) and
cognitive studies conducted by the Hopital Louis-H. Lafontaine. Great discoveries
about sleep were performed at the Hopital du Sacre-Coeur de Montreal by teams
gathered around Jacques-Yves Montplaisir.We also recall that two ministers from
the Quebec government with important political responsibilities were members or
directors of the Departement de psychiatrie. These are Drs. Camille Laurin and
Denis Lazure.The Departement aims to strengthen clinical and basic research by
contributing new knowledge that will improve care for people with mental
disorders. These efforts benefit both patients and the medical students and
residents being trained to care for them. The Departement remains committed to
its program, to pre-doctoral education (ensuring that all medical students at the
Universite are trained to recognize, diagnose, and be familiar with treatment
options for mental disorders), to post-doctoral education for future
psychiatrists, and to the care of Quebec's patients.For over 50 years, the
academic department has played a key role in attracting and recruiting excellent
academic and clinical resources to staff the programs and services of our
hospital partners.
PMID- 26559204
TI - [To become a psychiatrist in Quebec in the 50s to 60s].
AB - The author is the witness of a historic period of the psychiatry in the province
of Quebec in Canada, widely francophone. He describes the context, the training
in psychiatry, and the care. In Quebec, in the 1950s, the psychiatry did not
exist as such as speciality. There was however a discipline: the neuropsychiatry.
It was managed by the neurologists who agreed to take care of cases of
psychiatry, which few doctors wanted to treat at this moment. The religious and
rural society in Quebec of the 1950s got ready for the "Revolution tranquille".
The latter finally burst after 1960. But the artistic environment was already in
full excitement, and from 1948, it showed its opposition to the values which were
current with the publication of the Refus global. Among the signatories of the
latter, we find Bruno Cormier as medical student who will become, after 1950, a
psychiatrist and a psychoanalyst. To become a psychiatrist, it was necessary to
be trained as an intern in a residency program in the USA, UK or in France. The
residency in the United States in the 1950s represented a great adventure for the
young doctors of Quebec, especially for the French speakers. At the end of 1950s,
the pharmacology emerged. However, he described his own experience as an observer
or an actor with ECT, Sakel cure and about the lobotomy.
PMID- 26559205
TI - [Madness is Conforming to One's Own Norms, and No Others: Psychiatry in Post-war
Quebec].
AB - In the early 1950s, both the publication of the first Diagnostic and Statistical
Manual (DSM-I) and the advent of psychopharmacology - particularly the
development of chlorpromazine (Thorazine - RP4650) - set the stage for models of
psychiatric thought, research and practice that remain dominant today. It was
during this pivotal period, in 1955, that the Departement de psychiatrie de
l'Universite de Montreal was founded by a cohort of young researchers newly
arrived from well-known universities in France and the northeastern United
States. This influential group quickly became staunch critics of the province's
religion-based asylum system and lobbied for a government review that culminated
into the 1962 Commission d'etude des hopitaux psychiatriques (popularly known as
the Bedard Report). What followed in Quebec between 1965 and 1975 was the
secularization of psychiatric institutions and widespread deinstitutionalization.
This paper illuminates cultural changes and intellectual shifts that have been
overlooked in historical studies of post-war psychiatry by exploring the
expansion of such "anti-psychiatry" schools of thought in Quebec in this period.
PMID- 26559206
TI - [What is the future of the Louis-H. Lafontaine Hospital?].
AB - Most of the time, a government manages in order to keep the public support and
tries to make others look responsible for the difficulties generated by his
inertia. The history of various changes in the transformation of of the Hopital
Saint-Jean-de-Dieu to the Hopital Louis-Hippolyte-Lafontaine had led to three
administrative guardianships illustrates this, if we consider that the first one
avoid such name. This merely about the events that led from the first one to the
second guardianship.
PMID- 26559207
TI - [From the Ecole Gamelin to the Riviere-des-Prairies Hospital: from scandals to
its restoration].
AB - The history of the Hopital Riviere-des-Prairies from its origins up to today is
like the history of psychiatric institutions in French Canada: it constitutes a
condensed of nearly 80 years: evolution marked by alternating generous
initiatives and regrettable events if not scandals with nevertheless a recent
successful evolution in its participation within the Institut universitaire en
sante de Montreal. From the institutional presented case, this story invites us
to keep in mind on the vulnerability of the psychiatric institutions to the
perversion of its innovations.
PMID- 26559208
TI - [The role of psychoanalysts in the history of the department of Psychiatry of the
University of Montreal].
AB - OBJECTIVES: The authors want to illustrate how psychoanalysis or best a certain
psychoanalytical vision without dogmatism and theoretical exclusivism have played
an important role in the history of the university department. METHODS: The
authors have made a recension of the principal activities of three psychoanalysts
and their immediate collaborators: Dr. Camille Laurin, Dr. Yvon Gauthier and Dr.
Arthur Amyot. RESULTS: Dr. Laurin permitted psychiatry to become a distinct
discipline from neurology. He greatly emphasized the pre-graduate and post
graduate cursus: he has also endowed the department with the first complete
program of residency. Dr. Gauthier, in a way, built the administrative
organization by creating its principal committees. Dr. Amyot really formed the
university network by integrating the different hospitals in the projects and the
decisions of the department. CONCLUSION: Each one, in his own way, contributed to
one of the distinctive marks of the department, that is the importance given to
psychotherapy training. In so doing, they favoured the transmission of psychiatry
in which the bio-psycho-social perspective is not a vain word.
PMID- 26559209
TI - [History lived, history told: Psychiatrists' perspectives on the development of
the department of Psychiatry of the University of Montreal].
AB - OBJECTIVES: We have interviewed psychiatrists from different generations at the
Departement de psychiatrie de l'Universite de Montreal to discern the history
lived and told by those who have made (and still make) the history of the
Department. The goal of this approach was to grasp the past in order to enlighten
the future of the Departement de psychiatrie de l'Universite de Montreal. METHOD:
Thirteen psychiatrists of the department have been interviewed about their
perspective on the history of the Departement de psychiatrie de l'Universite de
Montreal. RESULTS: Interviews have identified an issue in the communication of
history among the Department. Indeed, most of the younger psychiatrists were not
aware of some of the main events and figures which were part of the development
of the Department. The older psychiatrists mention Dr Camille Laurin as an
important figure of the Department's early stages. Psychotherapy, education and
clinical practice appear as key aspects of the Department's history. CONCLUSION:
Many aspects of the Department's history appear unknown to the younger
psychiatrists. A course on History of Psychiatry, including the Department's
history, would be a great addition to the psychiatry residency program.
PMID- 26559210
TI - [Fifty years of public service for Quebec community psychiatry services. Part I].
AB - This essay comprises 2 parts. It aims to recognize the public service of
psychiatrists of the Departement de psychiatrie de l'Universite de Montreal who
served at the provincial level of the Ministry of Health and Social Services for
deinstitutionalisation of policies and organisation of services, at the service
of people with severe mental disorders. First with Dr. Camille Laurin post-face
of the 1962 book Les fous crient au secours! (Mentally ill patients cry for
help); then the insight on the latest phase of differentiated specialised clinics
by Dr. Denis Lazure, who participated in 1962 to the Bedard, Lazure, Roberts
commission that launched community psychiatry, but who will also be Social
Affairs Minister in the late '70 s; Dr. Arthur Amyot will sail through the
budgetary issues when in the beginning of the '80s the mental health directorate
was under Social Affairs; Dr. Luc Blanchet will be associated to a rich
production of interdisciplinary reports by the advisory Mental Health Committee
until its dismissal in 2003; and finally, Dr. Andre Delorme, who probably has the
record of longevity at the head of the mental health directorate, transferred in
2003 under the deputy minister for medical and university affairs.The essay will
propose since the beginning a grid or referential of four health services
analysis. First; the arguments for community care by British and Italian
psychiatrists and researchers, Thornicroft and Tansella. Second; system issues of
mental health reforms proposed by Canadian psychiatric nurse and researcher Paula
Goering. Third; the model of socio-political regulation of health system proposed
by the Universite de Montreal' health administration researcher Dr. Andre-Pierre
Contandriopoulos; and Fourth; the structural tension between the medical and
social sector signaled by the American medical sociologist, Leutz.The same phases
of deinstitutionalization in other countries as UK, took place as followed: a)
the asylum phase (before 1960); b) the psychiatry community (1960-2000); and c)
the differentiated system (since 2000). The essay will evidence the long march
towards hospitals without walls, interdisciplinary work, tension between cure and
rehabilitation and a relative decreasing budget. This in conjunction with
vulnerability in organisation and leadership, attributed to tension or burn out
has revealed system deficits like the trans-institution towards homelessness or
towards the judiciary system. The essay will conclude with a discussion on the
opportunities and challenges of the very new Law 10 that reforms the general
governance of the provincial and regional health and social services and hopes
for a more balanced mental health care system in Quebec.
PMID- 26559211
TI - [Fifty years of public service for Quebec community psychiatry services. Part II
(2003-2015 and beyond)].
PMID- 26559212
TI - [Psychiatry in Quebec. Then and now].
AB - This text narrates the evolution, since the 1960s, of different events that
marked the history of psychiatry in the French-Canadian province of Quebec. From
his personal experience, the author discusses. The evolution of the Department de
psychiatric de l'Universite de Montreal fro where were issued more than 1000
psychiatrists who shaped clinical practice and research developments worthy of
mention throughout the years. The evolution of diagnostic noselogy from the DSM
ii, very influenced by psychoanalysis, to the DSM-5 that is more atheortical, but
that is still not based on objective data, which remains a challenge to the
etiology of mental illness. The psychiatric drugs that we have learned to
prescribe in the past 50 years in a more rational way thanks to a better
understanding of their action mechanisms. In reality, there has been no discovery
of new drug categories; rather it is the way we prescribe medication that
evolved. The great adventure of the first textbook of Quebec psychiatry, which
was first published in 1980, and is forthcoming in its 4th edition in 2015 in an
improved and expanded format. The forthcoming version takes into consideration
the developments in psychiatry. The creation of the Young Adults Clinic in 1988,
providing treatment and rehabilitation to young adults in the early stages of
schizophrenia, as well as psychoeducational support and information to heir
family members. Through the years, this clinic had a considerable acknowledgement
in Quebec and other French-speaking nations.
PMID- 26559213
TI - [The changes in values and beliefs through the first 50 years of the department
of Psychiatry at the University of Montreal].
AB - This essay attempts to describe and discuss the major changes in values and
fundamental beliefs related to clinical practice within the Departement de
psychiatrie de l'Universite de Montreal since its creation fifty years ago.Being
an essay, the methods include shared recollections, discussions with colleagues,
especially between the co-authors, and the study of some documents related to the
practice of psychiatry 40 to 50 years ago.Five major axes of change are proposed:
1- From psychoanalysis to brain diseases, 2- From "Can a non-physician practice
psychoanalysis?" to "Can a psychiatrist still perform psychotherapy?" 3- From
continuity of care to episodes of treatment, 4- From treatment first to repeated
assessments of patients, 5- From love that can heal and repair to a taboo of
love.Finally it is suggested that the increasing emphasis on psychopharmacology
and on DSM classifications has contributed to a shift from attempts to understand
the intimate nature of symptoms and suffering to a priority given to rather
mechanical clinical assessments in search of "objective" criteria.
PMID- 26559214
TI - [Is psychiatry relevant in autism? A brief historical perspective on the role of
psychiatry in diagnosis, and support to autistic people].
AB - Based on an overview of the recent history of professional roles in autism
diagnosis and support in the province of Quebec, this paper supports the view
that hearing what autistic people say, combined with interdisciplinary, but
hierarchically ruled task sharing in clinical settings, and to a pacific
confrontation between scientific and clinical demands, prevents the high jacking
of autism for corporatist or ideological purposes.
PMID- 26559215
TI - [Crossroads, 50 years of child care].
AB - INTRODUCTION: Through a journey in time, we propose to revisit the birth of the
first mental health care settings for children with mental disorders affiliated
with Universite de Montreal, Quebec, Canada. METHODS: The main centers of child
psychiatry affiliated with the Universite de Montreal are presented with the
outstanding figures of the time. RESULTS: Philosophical transitions and
therapeutic paradigms are also briefly discussed. The creation of subspecialty
clinics and training approved by the Royal College of Psychiatry of Child and
Adolescent marked the contemporary era. CONCLUSION: The contribution to training
medical students, residents and researchers in the field of Child and Adolescent
Psychiatry reflects the important milestones since the foundation of the
Universite de Montreal Department of Psychiatry. So much achieved in half a
century.
PMID- 26559216
TI - [The history of geriatric psychiatry at the University of Montreal: Pioneers,
milestones, and future outlook].
AB - OBJECTIVES: Geriatric psychiatry became an official psychiatric subspecialty in
Canada in 2009. The first board examinations from the Royal College of Physicians
and Surgeons of Canada (RCPSC) took place in 2013. Geriatric Psychiatry focuses
on the assessment, diagnosis, and treatment of complex mental disorders in late
life, a time when the interface between physical and mental health issues often
adds a new level of complexity.Little has been written on the history of
geriatric psychiatry in Quebec and in Canada. A lesser-known aspect is that from
the 1970's onwards, the department of psychiatry at the Universite de Montreal
(UdeM) and its network of teaching hospitals have played a pioneering role in the
development of geriatric psychiatry services and training. We seek to recount the
history of geriatric psychiatry at UdeM, by tracing the milestones and
identifying the main actors responsible for its development, from the inception
of the department of psychiatry 50 years ago. This leads us to share reflections
on some of the issues geriatric psychiatry is facing in Quebec. METHODS: We
interviewed several key actors, past and present, of geriatric psychiatry at
UdeM. We read through relevant sources such as articles and monographs on the
local history of psychiatric services, information bulletins and annual reports
from hospitals and from UdeM, as well as other documentation from personal
archives. RESULTS: One of the very first geriatric psychiatry services in Canada
was founded in 1978 at the Institut universitaire en sante mentale de Montreal,
with a dedicated inpatient unit for new admissions of elderly psychiatric
patients. A geriatric psychiatry outpatient clinic was inaugurated the same year
at the Pavillon Albert-Prevost. Throughout the years, geriatric psychiatry
services were also developed in the remaining hospital sites affiliated with the
department of psychiatry at the UdeM (Hopital Maisonneuve-Rosemont, Centre
hospitalier de l'Universite de Montreal, Institut universitaire de geriatrie de
Montreal), driven by dedicated individuals, in accordance with their respective
historical background and mission. Clinical training is provided in each of these
sites for medical students, psychiatry residents and more recently, for future
geriatric psychiatrists. In 2014, the geriatric psychiatry residency program at
UdeM was the first to be accredited in Quebec by the RCPSC, as well as the first
French language geriatric psychiatry program in North America. CONCLUSION:
Geriatric psychiatry in 2015 is a burgeoning field, in a challenging demographic
context. Despite the clinical need, major obstacles remain, particularly in the
absence of specific geriatric psychiatry positions. Furthermore, the Quebec
healthcare system is going through a major restructuration in 2015, adding to the
uncertainty. The 50th anniversary of the department of psychiatry at UdeM is an
opportunity to underline the contribution of UdeM to the development of geriatric
psychiatry in Quebec, and to emphasize the specific needs of the elderly in terms
of mental health care and geriatric psychiatry services.
PMID- 26559217
TI - [The Institute Philippe-Pinel and the department of Psychiatry of the University
of Montreal: intricate paths].
AB - The Institute Philippe-Pinel (IPPM) and the Departement de psychiatrie de
l'Universite de Montreal, who are both celebrating their 50 years, have
intricated paths and a long history of collaboration. Since its conception
(1964), the Institute Philippe-Pinel was planned to become a site for training
and teaching in the bosom of the Universite de Montreal.Unique in its mission to
offer state of the art psychiatric care and forensic assessments to patients
presenting a high risk of violence, the Institute Philippe-Pinel, throughout the
years, was also able to leave its mark by the way of academic teaching to
psychiatric residents and trainees of all disciplines, of organizing national and
international conferences, of research and by the publication of numerous
articles and books in the field of forensic psychiatry.With, from its beginning,
psychiatrists at its helm, the Institute Philippe-Pinel has at all times greatly
contributed to the academic activity of the department. Highly committed
psychiatric clinicians and professors were always involved at all levels of the
psychiatric department. With the coming of the forensic subspecialty, the
commitment and influence can only continue.
PMID- 26559218
TI - [Sleep Medicine: 1965 to 2015].
AB - The Departement de psychiatrie de l'Universite de Montreal houses one of the
first sleep centers founded 40 years ago. This center contributed to virtually
every aspect of sleep medicine. It grew considerably over time to become one of
the largest sleep centers worldwide. It is now called the Center for Advanced
Research in Sleep Medicine (CARSM). Fourteen researchers and more than 30
research PhDs and postdoctoral fellows are working together in a 1,500 square
meter facility that includes separate units for fundamental and clinical studies
and for the sleep disorders clinic. It has 10 polysomnographic recording rooms, 3
isolated units devoted to chronobiological studies, a high resolution SPECT
imaging laboratory specifically devoted to sleep research, a high-density EEG
unit and a psychophysiological laboratory to study the interaction between pain
and sleep. This article relates the history of the CARSM and also presents a
personal sleep odyssey.The CARSM has been very active in the description of
clinical features and definitions of the phenotype of most sleep disorders. It
contributed specifically to the development of diagnostic tools in narcolepsy
(the multiple sleep latency test in different age groups), in nocturnal epilepsy
(development of a method to localize the primary focus using in-depth electrodes
recording during rapid eye movement sleep), in sleep bruxism (a method for
scoring masticatory muscle activity during sleep and definition of cut-off
values), in the restless legs syndrome (RLS: the suggested immobilisation test),
in sleepwalking (sleep deprivation and experimental awakenings) and REM sleep
behaviour disorder (RBD: development of the first polygraphic method to diagnose
RBD).The CARSM also contributed to the knowledge on the epidemiology of sleep
disorders, conducting the first population-based prevalence study of RLS and of
sleep bruxism. Researchers at the CARMS also looked at the impact of sleep
disorders like narcolepsy, RLS, sleep apnea and the parasomnias on daytime
cognitive functions and cardiovascular health. The CARSM collaborates with
researchers throughout the world to elucidate the genetic bases of several
disorders, especially narcolepsy, RLS, RBD and parasomnias in children and
adults, through large well-defined cohorts of patients and normal
subjects.Finally, the CARSM has made original contributions to the development of
new treatments of sleep disorders, especially the dopaminergic treatments of RLS
(first with levodopa and then with pramipexole). Current research also involves
the identification of prodromal markers of Parkinson disease and dementia in
patients with RBD as to build a ready-to trial cohort to test the efficacy of
neuroprotective agents.In summary, the CARSM is a center dedicated to basic and
clinical research on sleep and circadian rhythms. It is located at the Hopital du
Sacre-Coeur de Montreal but involves several other researchers at the Universite
de Montreal and at other universities in Canada and in the world.
PMID- 26559219
TI - [Treatment of sleep disorders in children with a psychiatric diagnosis].
AB - OBJECTIVES: Health sciences suffer from insomnia: experts too often concentrate
their efforts on the wake state. Fortunately enough, some of them have taken the
road towards the "Dark Third of Life": sleep. This article gives an historical
account of the development of the first Canadian sleep disorders laboratory and
clinic specifically and selectively designed for children and adolescents with a
psychiatric diagnosis. It then stresses the importance of sleep in children
bearing a psychiatric diagnosis and summarizes therapeutic strategies. METHODS:
Data-on-file and selective review of literature. RESULTS: An innovative scheme
matching sleep psychologists and psychiatrists with expertise in
neurodevelopmental disorders led to the creation of a sleep research laboratory
on mental health disorders. The initial research projects on the sleep and dreams
of patients with schizophrenia and persons with autism are summarized. The Sleep
Disorders Clinic for Children and Adolescents was then created at the Hopital
Riviere-des-Prairies, leading to much needed activities focused on youth. Indeed,
sleep disorders show a high prevalence in children with a psychiatric diagnosis
and the literature shows that these children have an increased sensitivity for
diurnal effects of poor sleep. The main sleep-relevant issues at stake are
reviewed, including the high frequency of sleep disorders in pedopsychiatric
patients. Clinical challenges are described and the operating mode of the Sleep
Disorders Clinic is illustrated. CONCLUSION: Sleep disorders and their effects on
daytime functioning need to be assessed in children with a psychiatric diagnosis
in order to generate a full clinical picture. Appropriate tools and know-how are
readily available in order to achieve this goal.
PMID- 26559220
TI - [The history of the Science of Stress: From Hans Selye to the discovery of anti
inflammatory medication].
AB - To make an important scientific discovery that will make history takes a lot of
determination, creativity, perseverance and luck! The story behind the discovery
of stress and its biological basis is a fascinating one that places Dr. Hans
Selye in the forefront. Dr. Selye was a great scientist that taught at the
Universite de Montreal from 1945 to his death in 1982. Dr. Selye was curious and
hard working. He was determined to understand how various disorders can lead to
similar physical manifestations, and this interest led him to discover the role
of the adrenal glands involved in the stress response and to better understand
the effects of glucocorticoids on the body. Today, the science of stress is based
on the foundations established by Dr. Selye. In celebration of the 50th
anniversary of the Departement de psychiatrie de l'Universite de Montreal, and
the special issue of the Revue Sante Mentale au Quebec, this historical review
summarizes the discoveries of this great scientist who worked in Quebec.
PMID- 26559221
TI - ["Les Impatients": expression through art].
AB - The organization called "Les Impatients" was founded in 1992. Using a unique
model, Les Impatients welcomes those with mental health issues who would like to
express themselves through art. Les Impatients offers free creative workshops and
encourages exchanges with the community through the sharing of its participants'
creations. The name Les Impatients reinforces the idea that the organization does
not consider those attending its workshops as patients, but rather creators who
are eager to heal, develop their craft and find their place in society. The
participants contribute to the collective objective of breaking down the stigma
that surrounds mental illness.Les Impatients collaborates with various mental
health organizations in Quebec, such as the Institut universitaire en sante
mentale de Montreal (IUSMM) affiliated to the Universite de Montreal, Douglas
Mental Health University Institute (DMHUI), the Centre de sante et services
sociaux Drummond (CSSS Drummond) and the Centre de sante et services sociaux
Pierre-Boucher (CSSS Pierre-Boucher). Les Impatients offers more than 48
workshops in eight different locations to around 450 participants each
week.Dissemination activities, remarkable events, original projects: Les
Impatients stands out through its realizations. Examples are exhibitions,
collections of love letters, comic books, CD, concerts, and reading nights. The
organization's originality resides in the exploration of the links between the
work of the participants and that of professional artists. An illustration of
this interest is the annual Parle-moi d'amour auction-exhibition, which has been
one of Les Impatients' major events since 1999.As part of its mission, Les
Impatients conserves the works of art created by the participants during the
workshops. Its collection includes more than 15,000 works of art from Les
Impatients as well as pieces donated by collectors of unconventional art,
commonly known as "art brut" or "outsider art". The Collection is characterized
by its scientific and artistic potential as well as its connection with the art
brut/outsider art movement. That makes it an exciting endeavour for the world of
art and social sciences.Les Impatients workshops are appreciated by the
participants because they improve self-esteem, stimulate creativity and
curiosity, break isolation, contribute to develop a sense of belonging and reduce
both the frequency and the length of hospitalization. For these reasons and many
more, many participants have reported the positive impacts of their involvement
in the arts on their recovery. The innovative activities can also be seen as
enhancing the patients' recovery process.Pioneers in the field of recovery, Les
Impatients is a forum where the participants, their loved ones, the team, the
artists and the community can meet and exchange. Those encounters constitute
great opportunities to break the stigma that surrounds mental illness, one of Les
Impatients' core objectives.
PMID- 26559222
TI - [Fifty years of residency in Psychiatry at the University of Montreal: relevance
and necessity of the Residents' Association].
AB - OBJECTIVES: In the context of the fiftieth anniversary celebrations of the
Departement de psychiatrie de l'Universite de Montreal, the present article
offers to retrace the history of the Psychiatry Resident's Association (ARPUM).
Since the Association's activities and demands reflected the concerns of the
time, a depiction of the Residency Program and exploration of the historical and
administrative context, in each key period, is also undertaken. METHODS: Multiple
psychiatrists from every decade, who were once active members of the Association,
were interviewed and asked to describe the Residency Program at their time, with
its positive and negative aspects, based on their own personal experience as a
resident, but also as a member of the organization. The interviewees were also
invited to share their recollections of the various Association's demands,
representations, activities and functioning, depending on the issues and periods.
Various private and public archives were also used, in order to contextualize the
residents' experiences and the Association's work. RESULTS: A brief exploration
of the historical and political context that led to the creation of the
organization is explained. Training and working conditions of residents at that
time are reported, enabling the understanding of the first demands when the group
was born. Historical jumps are then proposed, from decades to decades, in order
to depict key issues, whether they were academic, clinical or organizational,
through which the Association worked, over the evolution of the Residency
Program. The internal functioning and its occasional problems throughout the
years are also described, as is the role in organizing social and educational
events. CONCLUSIONS: The Residency Program is in constant mutation, and the
Association has played its part in shaping the psychiatric training at the
Universite de Montreal. Multiple positive and tangible impacts were and are still
made possible from the collaborative work between the Departement de psychiatrie,
the Residency Program and the Resident's Association.
PMID- 26559223
TI - Personalizing therapy for multidrug resistant TB: the potential of Rapid Whole
Genome Sequencing.
AB - Multidrug resistant tuberculosis is an increasing problem globally. The current
gold standard in drug sensitivity testing is slow and cumbersome. To tackle drug
resistance effectively, a more rapid method of testing is required. Current
molecular tests are fast, but only offer information on a limited number of
genetic loci. Whole genome sequencing presents an attractive alternative that can
provide comprehensive, clinically relevant information on all described loci.
Although the standard approach to whole genome sequencing of Mycobacterium
tuberculosis is slow due to the requirement of culture, this article will
describe recent advances that mean it has the potential to provide results within
days.
PMID- 26559225
TI - Electromechanical and robot-assisted arm training for improving activities of
daily living, arm function, and arm muscle strength after stroke.
AB - BACKGROUND: Electromechanical and robot-assisted arm training devices are used in
rehabilitation, and may help to improve arm function after stroke. OBJECTIVES: To
assess the effectiveness of electromechanical and robot-assisted arm training for
improving activities of daily living, arm function, and arm muscle strength in
people after stroke. We also assessed the acceptability and safety of the
therapy. SEARCH METHODS: We searched the Cochrane Stroke Group's Trials Register
(last searched February 2015), the Cochrane Central Register of Controlled Trials
(CENTRAL) (the Cochrane Library 2015, Issue 3), MEDLINE (1950 to March 2015),
EMBASE (1980 to March 2015), CINAHL (1982 to March 2015), AMED (1985 to March
2015), SPORTDiscus (1949 to March 2015), PEDro (searched April 2015), Compendex
(1972 to March 2015), and Inspec (1969 to March 2015). We also handsearched
relevant conference proceedings, searched trials and research registers, checked
reference lists, and contacted trialists, experts, and researchers in our field,
as well as manufacturers of commercial devices. SELECTION CRITERIA: Randomised
controlled trials comparing electromechanical and robot-assisted arm training for
recovery of arm function with other rehabilitation or placebo interventions, or
no treatment, for people after stroke. DATA COLLECTION AND ANALYSIS: Two review
authors independently selected trials for inclusion, assessed trial quality and
risk of bias, and extracted data. We contacted trialists for additional
information. We analysed the results as standardised mean differences (SMDs) for
continuous variables and risk differences (RDs) for dichotomous variables. MAIN
RESULTS: We included 34 trials (involving 1160 participants) in this update of
our review. Electromechanical and robot-assisted arm training improved activities
of daily living scores (SMD 0.37, 95% confidence interval (CI) 0.11 to 0.64, P =
0.005, I2 = 62%), arm function (SMD 0.35, 95% CI 0.18 to 0.51, P < 0.0001, I2 =
36%), and arm muscle strength (SMD 0.36, 95% CI 0.01 to 0.70, P = 0.04, I2 =
72%), but the quality of the evidence was low to very low. Electromechanical and
robot-assisted arm training did not increase the risk of participant drop-out (RD
0.00, 95% CI -0.02 to 0.03, P = 0.84, I2 = 0%) with moderate-quality evidence,
and adverse events were rare. AUTHORS' CONCLUSIONS: People who receive
electromechanical and robot-assisted arm and hand training after stroke might
improve their activities of daily living, arm and hand function, and arm and hand
muscle strength. However, the results must be interpreted with caution because
the quality of the evidence was low to very low, and there were variations
between the trials in the intensity, duration, and amount of training; type of
treatment; and participant characteristics.
PMID- 26559226
TI - Roles of Complement C1q in Pneumococcus-Host Interactions.
AB - The fight between a human host and a bacterial pathogen is highly complicated;
each party tries to outshine the other in the race for survival. In humans, the
innate immune system--in particular the complement system--functions as the first
line of defence against invading pathogens. During the course of evolution,
however, pathogens, in order to survive and perpetuate within a host, developed
multiple strategies to counteract the host complement system and to colonize. One
such pathogen is Streptococcus pneumoniae (pneumococcus), a gram-positive
bacterial pathogen often commensal in the human respiratory tract. Depending on
the host's susceptibility, pneumococci can transform into an infectious agent,
disseminating within the human host and causing mild to life-threatening
diseases. This transition from commensal to infectious agent is a highly complex
process, and understanding of this mechanism is essential in controlling the
pathogenicity of pneumococci. Using its intricate arsenal of weapons, such as
surface-presenting adhesins as well as recruitment of host factor, pneumococci
successfully colonize the host, a prerequisite for establishing infection. This
review describes C1q, the first subunit of the classical complement pathway, and
its role in pneumococcus-host interactions, whereby pneumococci exploit C1q as a
molecular bridge facilitating host cellular adherence and invasion, a function
not akin to the role of C1q in the defence mechanism.
PMID- 26559227
TI - Understanding Lung Immunopathology Caused by the Human Metapneumovirus:
Implications for Rational Vaccine Design.
AB - Acute respiratory tract infections (ARTIs) are the major cause of child mortality
worldwide. The human metapneumovirus (hMPV) is one of the leading causes of child
hospitalizations due to pneumonia. The adaptive immune response generated by the
host against hMPV is usually inefficient at protecting from reinfections, which
is repeat throughout life, from childhood to old age. Despite considerable
research efforts, to date there are no licensed vaccines to prevent respiratory
disease caused by hMPV infection. In this article we review current vaccine
strategies tested in animal models and the implication of such studies in
understanding the different immune cell populations that contribute to hMPV
clearance and the prevention and resolution of lung inflammation upon exposure to
the virus.
PMID- 26559224
TI - Intracellular trafficking pathways in silver nanoparticle uptake and toxicity in
Caenorhabditis elegans.
AB - We used the nematode Caenorhabditis elegans to study the roles of endocytosis and
lysosomal function in uptake and subsequent toxicity of silver nanoparticles
(AgNP) in vivo. To focus on AgNP uptake and effects rather than silver ion
(AgNO3) effects, we used a minimally dissolvable AgNP, citrate-coated AgNPs (CIT
AgNPs). We found that the clathrin-mediated endocytosis inhibitor chlorpromazine
reduced the toxicity of CIT-AgNPs but not AgNO3. We also tested the sensitivity
of three endocytosis-deficient mutants (rme-1, rme-6 and rme-8) and two lysosomal
function deficient mutants (cup-5 and glo-1) as compared to wild-type (N2
strain). One of the endocytosis-deficient mutants (rme-6) took up less silver and
was resistant to the acute toxicity of CIT-AgNPs compared to N2s. None of those
mutants showed altered sensitivity to AgNO3. Lysosome and lysosome-related
organelle mutants were more sensitive to the growth-inhibiting effects of both
CIT-AgNPs and AgNO3. Our study provides mechanistic evidence suggesting that
early endosome formation is necessary for AgNP-induced toxicity in vivo, as rme-6
mutants were less sensitive to the toxic effects of AgNPs than C. elegans with
mutations involved in later steps in the endocytic process.
PMID- 26559228
TI - MHC Genes Linked to Autoimmune Disease.
AB - Autoimmune diseases (ADs), or autoinflammatoiy diseases, are growing in
complexity as diagnoses improve and many factors escalate disease risk.
Considerable genetic similarity is found among ADs, and they are frequently
associated with major histocompatibility complex (MHC) genes. However, a given
disease may be associated with more than one human leukocyte antigen (HLA)
allotype, and a given HLA may be associated with more than one AD. The
associations of non-MHC genes with AD present an additional problem, and the
situation is further complicated by the role that other factors, such as age,
diet, therapeutic drugs, and regional influences, play in disease. This review
discusses some of the genetics and biochemistry of HLA-linked AD and
inflammation, covering some of the best-studied examples and summarizing
indicators for class I- and II-mediated disease. However, the scope of this
review limits a detailed discussion of all known ADs.
PMID- 26559229
TI - Innate Antiviral Immunity against Dengue Virus.
AB - Dengue virus (DENV), the most prevalent mosquito-borne viral diseases in humans
worldwide, causes dengue fever, a mild form of the disease, as well as dengue
hemorrhagic fever/dengue shock syndrome, a more severe form which can be life
threatening. The four serotypes of DENV (DENV1-4) are positive-sense, single
stranded RNA virus belonging to the Flaviviridae family and are transmitted by
Aedes aegypti and Aedes albopictus mosquitoes. Together, they are estimated to
cause almost 100 million symptomatic cases, 2.1 million cases of dengue
hemorrhagic fever/dengue shock syndrome, and 21,000 deaths per year worldwide.
There are currently no effective vaccines or antiviral treatment for DENV. Innate
immune defenses play a key role in controlling DENV infection in the early
stages. Herein we review the innate antiviral immunity against DENV by
delineating the intracellular mechanisms of the immune response and the evasion
mechanisms evolved by the virus. A better understanding of the innate immune
response will impact the development of novel animal models, antiviral drugs as
well as potential targeted adjuvants for DENV vaccines.
PMID- 26559230
TI - Regulating tissue behaviour with air-cell-based cushions.
PMID- 26559231
TI - The role of intravenous drug use in venous leg ulceration.
PMID- 26559232
TI - Recommended frequency of ABPI review for patients wearing compression hosiery.
AB - This paper is a sequel to the article 'How often should patients in compression
have ABPI recorded?' ( Furlong, 2013 ). Monitoring ankle brachial pressure index
(ABPI) is essential, especially in those patients wearing compression hosiery, as
it can change over time ( Simon et al, 1994 ; Pankhurst, 2004 ), particularly in
the presence of peripheral arterial disease (PAD). Leg ulceration caused by
venous disease requires graduated compression ( Wounds UK, 2002 ; Anderson,
2008). Once healed, compression hosiery is required to help prevent ulcer
recurrence ( Vandongen and Stacey, 2000 ). The Royal College of Nursing ( RCN,
2006 ) guidelines suggest 3-monthly reviews, including ABPI, with no further
guidance. Wounds UK (2002) suggests that patients who have ABPI<0.9, diabetes,
reduced mobility or symptoms of claudication should have at least 3/12 Doppler,
and that those in compression hosiery without complications who are able to
report should have vascular assessment yearly.
PMID- 26559233
TI - Efficacy of a superabsorbent dressing with Hydration Response Technology.
AB - Moderately and heavily exuding wounds are associated with delayed healing and an
increased risk of infection. Elevated proteases found in chronic wound fluid keep
a sustained state of inflammation. Superabsorbent dressings can absorb large
quantities of exudate without losing their structure. Some are also able to bind
and sequester proteases and bacteria within their structure. This article
summarises the in-vitro and clinical evidence on the efficacy of Cutimed(r)
Sorbion(r) Sachet S. The findings indicate that it helps facilitate autolytic
debridement, absorbs exudate and reduces inflammation, and thus the risk of
infection.
PMID- 26559234
TI - Woundcare4Heroes.
PMID- 26559235
TI - A 6 month evaluation of a non-powered hybrid mattress replacement system.
AB - In 2013, the Royal Cornwall Hospitals NHS Trust undertook a 6-week evaluation of
the AtmosAir 4000 non-powered reactive pressure redistribution mattress
replacement system to determine its suitability in supporting the prevention of
pressure ulcers in high-risk patients (phase one). The results demonstrated that
the AtmosAir 4000 mattress system, together with skin assessment and
repositioning regimes, met the pressure ulcer preventative needs of patients at
high and very high risk of developing pressure ulcers. It also resulted in a
notable reduction in the use of dynamic air mattresses. Consequently, 50 AtmosAir
4000 mattresses were purchased for two acute medical wards in the evaluation
site, where the majority of patients are elderly with an acute medical condition.
This article discusses the second phase where an audit compares the number of
hospital-acquired pressure ulcers reported over a 6-month period with the
equivalent time period in 2014. Results show that the number of pressure ulcers
reduced by 65% and 50% in the two wards. The number of dynamic mattresses used on
the two wards reduced significantly from 28 to 7, which represents a 75%
reduction in usage.
PMID- 26559236
TI - Wound exudate assessment and management: a challenge for clinicans.
AB - The production of wound exudate is a natural and normal consequence of healing.
However, it is when the constituents, volume and consistency of the exudate alter
that problems can occur. This article discusses the different types of exudate,
particularly highly viscous exudate, its impact on both the patient and the
clinician, and appropriate exudate assessment, effective management and dressing
selection.
PMID- 26559237
TI - The use of smart technology to deliver efficient and effective pressure-damage
education.
AB - This article outlines an innovative joint working strategy, as well as a
partnership project, between two NHS Foundation Trusts-a community trust and
industry partner-to develop a mobile training app to deliver pressure ulcer
prevention and management for clinical staff. The aim of the innovation was to
enable a new way of delivering education to large numbers of staff by moving away
from traditional classroom-based training. The process included development of
the app, along with testing and implementation, followed by a review of the
qualitative data after the app's implementation. The review takes into account
the key outcomes that have had an impact on this method of delivering education,
its challenges and how it has been received by clinical staff and patients.
PMID- 26559238
TI - Effect of a new compression garment on adherence: results of a patient
satisfaction survey.
AB - Traditionally, knee-high compression stockings apply a slightly higher pressure
at the top band to keep them in place. However, some patients find this
uncomfortable, which can affect adherence. The Jobst Opaque SoftFit stocking
contains a silicone yarn in the top band, which is designed to keep it in place
without exerting extra pressure. A survey was undertaken to determine if the
SoftFit stocking was more acceptable to patients than the compression stockings
they had worn previously, and to identify its effects on the symptoms of chronic
venous disease (CVD). Patients with CVD living in the community were recruited
from four federal states in Germany. They wore the test stocking for 7 days. Data
collected on days 1 and 7 compared their perceptions of the test stocking with
those of the conventional compression stockings worn previously. The results
showed that, compared with the previous stockings used, the majority of patients
considered the test stocking to be more comfortable at the top band and more
likely to stay in place, while many no longer experienced some of the clinical
symptoms of CVD such as heavy legs, pain in the legs and itching or dry skin.
PMID- 26559239
TI - Wound-bed preparation: the importance of rapid and effective desloughing to
promote healing.
AB - This article describes effective ways of diagnosing and removing slough from a
wound bed. It highlights how slough is a key contributor to wound chronicity, and
gives practical clinical information on how to address this. The various methods
of removing slough will be discussed including the mechanism of action of
dressings and other mechanical methods. The ultimate objective of the article is
to put the term desloughing on the clinical agenda and increase clinician
familiarity with it. The practical focus of the article will help clinicians
select a proven method to facilitate the rapid removal of slough, it is hoped
that in doing so this will help to prevent chronicity, reduce the potential for
bacterial proliferation and promote rapid and effective wound healing outcomes.
PMID- 26559240
TI - Going green: using a bio-cellulose membrane for patients with chronic non-healing
wounds.
AB - A 20-patient evaluation was undertaken on the most chronic non-healing wounds
that had been present in excess of 6 months. Patients were treated with a
biotechnology dressing that is provided in a mesh and gel combination. Eighteen
of out 20 patients went on to heal. Cost effectiveness examined the cost
associated with maintaining non-healing wounds where all alternative therapies
had failed. Nursing time both in outpatients and home visits were included. A
wide variety of secondary dressings were applied according to clinical preference
as the new dressings are designed as a direct wound contact mesh. This is a very
new concept to wound care, with initial unit cost being high, but the
possibilities of natural plant extracts that can mimic collagen synthesis is
exciting. Both the staff and patients have seen a marked improvement, with up to
date no recurrence. Further studies need to be undertaken to establish if these
early findings are repeatable.
PMID- 26559242
TI - Identification of rare high-risk copy number variants affecting the dopamine
transporter gene in mental disorders.
AB - BACKGROUND: The dopamine transporter, also known as solute carrier 6A3 (SLC6A3),
plays an important role in synaptic transmission by regulating the reuptake of
dopamine in the synapses. In line with this, variations in the gene encoding this
transporter have been linked to both schizophrenia and affective disorders.
Recently, copy number variants (CNVs) in SLC6A3 have been identified in healthy
subjects but so far, the implication of CNVs affecting this gene in psychiatric
diseases has not been addressed. AIMS: In the present study, we aimed to
investigate whether CNVs affecting SLC6A3 represent rare high-risk variants of
psychiatric disorders. METHODS: We performed a systematic screening for CNVs
affecting SLC6A3 in 761 healthy controls, 672 schizophrenia patients, and 194
patients with bipolar disorder in addition to 253 family members from six large
pedigrees affected by mental disorders using single nucleotide polymorphism
arrays and subsequent verification by real-time polymerase chain reaction.
RESULTS: We identified two duplications and one deletion affecting SLC6A3 in the
patients, while no such CNVs were identified in any of the controls. The
identified CNVs were of different sizes and two affected several genes in
addition to SLC6A3. CONCLUSION: Our findings suggest that rare high-risk CNVs
affecting the gene encoding the dopamine transporter contribute to the
pathogenesis of schizophrenia and affective disorders.
PMID- 26559241
TI - Computerised cognitive behaviour therapy (cCBT) as treatment for depression in
primary care (REEACT trial): large scale pragmatic randomised controlled trial.
AB - STUDY QUESTION: How effective is supported computerised cognitive behaviour
therapy (cCBT) as an adjunct to usual primary care for adults with depression?
METHODS: This was a pragmatic, multicentre, three arm, parallel randomised
controlled trial with simple randomisation. Treatment allocation was not blinded.
Participants were adults with symptoms of depression (score >= 10 on nine item
patient health questionnaire, PHQ-9) who were randomised to receive a
commercially produced cCBT programme ("Beating the Blues") or a free to use cCBT
programme (MoodGYM) in addition to usual GP care. Participants were supported and
encouraged to complete the programme via weekly telephone calls. Control
participants were offered usual GP care, with no constraints on the range of
treatments that could be accessed. The primary outcome was severity of depression
assessed with the PHQ-9 at four months. Secondary outcomes included health
related quality of life (measured by SF-36) and psychological wellbeing (measured
by CORE-OM) at four, 12, and 24 months and depression at 12 and 24 months. STUDY
ANSWER AND LIMITATIONS: Participants offered commercial or free to use cCBT
experienced no additional improvement in depression compared with usual GP care
at four months (odds ratio 1.19 (95% confidence interval 0.75 to 1.88) for
Beating the Blues v usual GP care; 0.98 (0.62 to 1.56) for MoodGYM v usual GP
care). There was no evidence of an overall difference between either programme
compared with usual GP care (0.99 (0.57 to 1.70) and 0.68 (0.42 to 1.10),
respectively) at any time point. Commercially provided cCBT conferred no
additional benefit over free to use cCBT or usual GP care at any follow-up point.
Uptake and use of cCBT was low, despite regular telephone support. Nearly a
quarter of participants (24%) had dropped out by four months. The study did not
have enough power to detect small differences so these cannot be ruled out.
Findings cannot be generalised to cCBT offered with a much higher level of
guidance and support. WHAT THIS STUDY ADDS: Supported cCBT does not substantially
improve depression outcomes compared with usual GP care alone. In this study,
neither a commercially available nor free to use computerised CBT intervention
was superior to usual GP care. FUNDING, COMPETING INTERESTS, DATA SHARING:
Commissioned and funded by the UK National Institute for Health Research (NIHR)
Health Technology Assessment (HTA) programme (project No 06/43/05). The authors
have no competing interests. Requests for patient level data will be considered
by the REEACT trial management groupTrial registration Current Controlled Trials
ISRCTN91947481.
PMID- 26559243
TI - Health fee exemptions: controversies and misunderstandings around a research
programme. Researchers and the public debate.
AB - Our research programme on fee exemption policies in Burkina Faso, Mali and Niger
involved sensitive topics with strong ideological and political connotations for
the decision-makers, for health-workers, and for users. Thus we were confronted
with reluctance, criticism, pressures and accusations. Our frank description of
the shortcomings of these policies, based on rigorous research, and never
polemical or accusatory, surprises political leaders and health managers, who are
accustomed to official data, censored evaluations and discourse of justification.
PMID- 26559244
TI - A new triterpenoid saponin from Gleditsia sinensis and its antiproliferative
activity.
AB - Chemical investigation of the anomalous fruits of Gleditsia sinensis led to the
isolation and identification of a new triterpenoid saponin, 3-O-beta-D
xylopyranosyl-(1 -> 2)-alpha-L-arabinopyranosyl-(1 -> 6)-beta-D-glucopyranosyl
oleanolic acid 28-O-beta-D-xylopyranosyl-(1 -> 4)-alpha-L-rhamnopyrano--syl-(1 ->
4)-beta-D-xylopyranosyl-(1 -> 4)-alpha-L-rhamnopyranosyl-(1 -> 3)-beta-D
glucopyranosyl ester (1), along with other nine known compounds (2-10). All the
isolates from this species were reported for the first time. The structure of
Compound 1 was determined by a detailed analysis using various analytical
techniques, including 1D and 2D NMR. In vitro antiproliferative activities of
Compound 1 on MCF-7 and Hep-G2 tumor cell lines were evaluated. IC50 values
against the two cell lines were 9.5 and 11.6 MUM, respectively.
PMID- 26559245
TI - Evidence for localized moment picture in Mn-based Heusler compounds.
AB - X-ray absorption spectroscopy (XAS) and X-ray magnetic circular dichroism (XMCD)
were used to probe the electronic structure and magnetic moment of Mn in Heusler
compounds with different crystallographic structure. The results were compared
with theoretical calculations of the magnetic and electronic properties, and it
was found that in full and half Heusler alloys, Mn is metallic on both
sublattices. The magnetic moment is large and localized when octahedrally
coordinated by the main group element, consistent with previous theoretical work,
and reduced when the main group coordination is tetrahedral. The magnetic and
electronic properties of Mn in full and half Heusler compounds are strongly
dependent on the structure and sublattice, a fact that can be exploited to design
new materials.
PMID- 26559246
TI - Effectiveness of Mindfulness-based Therapy for Reducing Anxiety and Depression in
Patients With Cancer: A Meta-analysis.
AB - Anxiety and depression are common among patients with cancer, and are often
treated with psychological interventions including mindfulness-based therapy.The
aim of the study was to perform a meta-analysis of the effectiveness of
mindfulness-based interventions for improving anxiety and depression in patients
with cancer.Medline, the Cochrane Library, EMBASE, and Google Scholar were
searched. The randomized controlled trials designed for patients diagnosed with
cancer were included. Mindfulness-based interventions were provided.The outcomes
assessed were the changes in anxiety and depression scores from before to after
the intervention. The treatment response was determined by calculating the
standardized mean difference (SMD) for individual studies and for pooled study
results. Subgroup analyses by cancer type, type of therapy, and length of follow
up were performed.Seven studies, involving 469 participants who received
mindfulness-based interventions and 419 participants in a control group, were
included in the meta-analysis. Mindfulness-based stress reduction and art therapy
were the most common interventions (5/7 studies). All studies reported anxiety
and depression scores. The pooled SMD of the change in anxiety significantly
favored mindfulness-based therapy over control treatment (-0.75, 95% confidence
interval -1.28, -0.22, P = 0.005). Likewise, the pooled SMD of the change in
depression also significantly favored mindfulness-based therapy over control (
0.90, 95% confidence interval -1.53, -0.26, P = 0.006). During the length of
follow-ups less than 12 weeks, mindfulness-based therapy significantly improved
anxiety for follow-up <=12 weeks after the start of therapy, but not >12 weeks
after the start of therapy.There was a lack of consistency between the studies in
the type of mindfulness-based/control intervention implemented. Patients had
different forms of cancer. Subgroup analyses included a relatively small number
of studies and did not account for factors such as the severity of anxiety and/or
depression, the time since diagnosis, and cancer stage.Mindfulness-based
interventions effectively relieved anxiety and depression among patients with
cancer. However, additional research is still warranted to determine how long the
beneficial effects of mindfulness-based therapy persist.
PMID- 26559247
TI - No Association of SERPINE1 -675 Polymorphism With Sepsis Susceptibility: A Meta
Analysis.
AB - The serine protease inhibitor clade E member 1 (SERPINE1) gene has been suggested
to exert great influence on the development of sepsis. But there is little
overlap in the results of association between SERPINE1 -675 4G/5G polymorphism
and sepsis.To get a more precise estimation of this association, we conducted a
meta-analysis with a relatively larger sample size including 1806 cases and 2239
controls. Odds ratio (OR) with 95% confidence interval (CI) was used to evaluate
the relationship between -675 4G/5G polymorphism and sepsis susceptibility.
Subgroup analyses were conducted based on ethnicity and source of controls.The
results showed that there was no association of the SERPINE1 polymorphism and
sepsis susceptibility (5G5G vs 4G4G: OR = 0.87, CI = 0.75-1.03; 5G5G+4G5G vs
4G4G: OR = 0.93, CI = 0.84-1.02; 5G5G vs 4G4G+4G5G: OR = 0.96, CI = 0.83-1.11; 5G
vs 4G: OR = 0.94, CI = 0.86-1.01; 4G5G vs 4G4G: OR = 0.90, CI = 0.80-1.01). Nor
did any subgroup analysis indicate a significant association.In conclusion, -675
4G/5G polymorphism in the SERPINE1 gene may not be associated with the risk of
sepsis.
PMID- 26559248
TI - Red and Processed Meat Consumption Increases Risk for Non-Hodgkin Lymphoma: A
PRISMA-Compliant Meta-Analysis of Observational Studies.
AB - The association between consumption of red and processed meat and non-Hodgkin
lymphoma (NHL) remains unclear. We performed a meta-analysis of the published
observational studies to explore this relationship.We searched databases in
MEDLINE and EMBASE to identify observational studies which evaluated the
association between consumption of red and processed meat and risk of NHL.
Quality of included studies was evaluated using Newcastle-Ottawa Quality
Assessment Scale (NOS). Random-effects models were used to calculate summary
relative risk (SRR) and the corresponding 95% confidence interval (CI).We
identified a total of 16 case-control and 4 prospective cohort studies, including
15,189 subjects with NHL. The SRR of NHL comparing the highest and lowest
categories were 1.32 (95% CI: 1.12-1.55) for red meat and 1.17 (95% CI: 1.07
1.29) for processed meat intake. Stratified analysis indicated that a
statistically significant risk association between consumption of red and
processed meat and NHL risk was observed in case-control studies, but not in
cohort studies. The SRR was 1.11 (95% CI: 1.04-1.18) for per 100 g/day increment
in red meat intake and 1.28 (95% CI: 1.08-1.53) for per 50 g/day increment in
processed meat intake. There was evidence of a nonlinear association for intake
of processed meat, but not for intake of red meat.Findings from our meta-analysis
indicate that consumption of red and processed meat may be related to NHL risk.
More prospective epidemiological studies that control for important confounders
and focus on the NHL risk related with different levels of meat consumption are
required to clarify this association.
PMID- 26559249
TI - Antithrombotic Treatment for Recurrent Miscarriage: Bayesian Network Meta
Analysis and Systematic Review.
AB - Combined use of heparin and aspirin is frequently prescribed for treatment of
recurrent miscarriage (RM) in patients with antiphospholipid syndrome (APS), or
in those without apparent cause of RM other than thrombophilia; however, this
strategy is largely based on expert opinion and has not been well studied. The
option for the use of different antithrombotic therapies to improve live birth
remains unclear. In this network meta-analysis, we incorporated direct and
indirect evidence to evaluate effects of different antithrombotic treatments on
prevention of pregnancy losses.We searched PubMed and Embase for randomized
clinical trials comparing effects of at least 2 antithrombotic treatments on live
birth in RM patients published from 1965 through the early of May 2015. Potential
risk bias of eligible trials was evaluated according to the Cochrane
Collaboration guidelines. Bayesian network meta-analysis was used to estimate
relative effects on live birth.A total of 19 trials involving 2391 RM patients
with or without thrombophilia and 543 with APS were included. No beneficial
effect of antithrombotic treatment was observed either in RM patients with or
without thrombophilia or in patients with APS; however, for patients with or
without thrombophilia, low molecular weight heparin therapy had the greatest
probability (61.48%) of being the best option in terms of live birth; for
patients with APS, unfractionated heparin plus aspirin was the superior treatment
for RM with the highest possibility (75.15%) of being top 2 places for reducing
pregnancy losses. Aspirin was inferior in both groups.Our results do not support
the use of combined low molecular weight heparin and aspirin for RM treatment,
and suggested aspirin may have negative effects for lowering the risk of
pregnancy loss.
PMID- 26559250
TI - Enterohepatic Helicobacter Species as a Potential Causative Factor in
Inflammatory Bowel Disease: A Meta-Analysis.
AB - The Helicobacter species in the gut microbiota comprise Helicobacter pylori (H
pylori) and enterohepatic Helicobacter species (EHS), which can colonize the
intestinal mucosa. However, it is unclear whether EHS are associated with
inflammatory bowel disease (IBD). Therefore, we conducted this meta-analysis to
examine the association between EHS and IBD.PubMed, Scopus, Cochrane Library, and
Web of Science databases, as well as abstracts from conference proceedings were
searched to identify studies that used polymerase chain reaction to detect
Helicobacter species in intestinal samples from patients with IBD.After
screening, we carefully reviewed 20 of the 2955 identified studies, and performed
a meta-analysis of the findings from 14 studies (11 adult studies and 3 pediatric
studies) using STATA v12.0. These studies evaluated 1407 individuals, including
433 patients with Crohn's disease, 306 patients with ulcerative colitis, and 668
controls. The prevalence of Helicobacter species was higher among the patients
with IBD, compared to that among the controls, which corresponded to a pooled
risk ratio (RR) of 1.59 (95% confidence interval [CI]: 1.12-2.27). The RRs for
adult and pediatric patients with IBD were 1.61 (95% CI: 1.03-2.52) and 1.76 (95%
CI: 1.17-2.64), respectively. Compared to the controls, the patients with IBD
tended to have a higher prevalence of EHS in the intestinal mucosa (RR: 2.01, 95%
CI: 1.36-2.98), although the prevalence of H pylori was not significantly higher
(RR: 1.22, 95% CI: 0.77-1.95). Compared to the controls, the RRs for EHS in
patients with Crohn's disease and ulcerative colitis were 1.72 (95% CI: 1.20
2.47) and 3.27 (95% CI: 0.93-11.44), respectively.It appears that EHS was
associated with IBD, while intestinal H pylori infection was not significantly
associated with IBD. Further studies are needed to determine the involvement of
EHS in the microbiological etiology of IBD.
PMID- 26559251
TI - Elevated CA19-9 as the Most Significant Prognostic Factor in Locally Advanced
Rectal Cancer Following Neoadjuvant Chemoradiotherapy.
AB - It remains controversial regarding the prognostic significance of carbohydrate
antigen 19-9 (CA19-9) for locally advanced rectal cancer (LARC) (T3-4/N+)
patients with neoadjuvant chemoradiotherapy (neo-CRT). And it is unknown whether
CA19-9 can identify patients who may benefit from adjuvant chemotherapy.Overall,
303 LARC patients with neo-CRT between 2004 and 2010 were recruited. Overall
survival (OS), disease-free survival (DFS), distant metastasis-free survival
(DMFS), and local recurrence-free survival across pretreatment CA19-9 were
estimated by Kaplan-Meier method and Cox regression model.In univariate analysis,
elevated CA19-9 (>35 U/mL) was significantly correlated with poor OS (P = 0.003),
DFS (P = 0.001), and DMFS (P = 0.039). Adjusting for the known covariates, CA19-9
was significantly associated with OS (HR = 1.86, 95% CI 1.03-3.34, P = 0.039) and
DFS (HR = 1.74, 95% CI 1.08-2.80, P = 0.024). In the elevated CA19-9 subgroup,
patients with adjuvant chemotherapy got much better OS (P < 0.001) and DFS (P =
0.016) than those without. In consideration of both CA19-9 and carcinoembryonic
antigen (CEA), we found that patients with both elevated CA19-9 and CEA (>5
ng/mL) got the worst OS (P = 0.021) and DFS (P = 0.006), and significantly
benefited from adjuvant chemotherapy in OS (P < 0.001) and DFS (P =
0.026).Pretreatment CA19-9 level is a significant prognostic indicator in
patients with LARC following neo-CRT. The addition of CA19-9 to CEA is valuable
to discriminate the appropriate patients for adjuvant chemotherapy.
PMID- 26559252
TI - Paradoxical Autoinflammatory Skin Reaction to Tumor Necrosis Factor Alpha
Blockers Manifesting as Amicrobial Pustulosis of the Folds in Patients With
Inflammatory Bowel Diseases.
AB - The therapy of inflammatory bowel disease, particularly with tumor necrosis
factor (TNF) blockers, may be associated with a number of cutaneous adverse
effects, including psoriasis-like, eczema-like, and lichenoid eruptions. Other
rare skin complications are neutrophilic dermatoses such as amicrobial pustulosis
of the folds (APF), which is a chronic relapsing pustular disorder classified in
this spectrum.The authors analyzed clinical, histopathologic, and cytokine
expression profiles of 3 inflammatory bowel disease patients with APF triggered
by adalimumab (patient 1) and infliximab (patients 2 and 3).All 3 patients
presented with sterile pustules involving the cutaneous folds, genital regions,
and scalp 6 months after starting adalimumab (patient 1) and 9 months after
starting infliximab (patients 2 and 3). Histology was characterized by epidermal
spongiform pustules with a dermal neutrophilic and lymphocytic infiltrate. Tumor
necrosis factor blocker withdrawal associated with topical and systemic
corticosteroids induced complete remission of APF in all 3 patients. The
expressions of interleukin (IL)-1 beta and its receptors as well as TNF alpha and
its receptors were significantly higher in APF than in controls. Also IL-17,
leukocyte selectin, and chemokines, such as IL-8, [C-X-C motif] chemokine ligand
1/2/3 (C = cysteine, X = any amino acid), [C-X-C motif] chemokine ligand 16 (C =
cysteine, X = any amino acid), and RANTES (regulated on activation, normal T cell
expressed and secreted) were significantly overexpressed. Finally, the authors
found significant overexpression of both metalloproteinases 2/9 and their
inhibitors 1/2.The observation of 3 patients with APF following anti-TNF therapy
expands not only the clinical context of APF but also the spectrum of anti-TNF
side effects. Overexpression of cytokines/chemokines and molecules amplifying the
inflammatory network supports the view that APF is autoinflammatory in origin.
PMID- 26559253
TI - Role of Endoglin Insertion and rs1800956 Polymorphisms in Intracranial Aneurysm
Susceptibility: A Meta-Analysis.
AB - Endoglin is an essential molecule during angiogenesis, vascular development, and
integrity. Till now, many studies have investigated the association between
endoglin polymorphisms and intracranial aneurysm (IA) risk, with the results
remained inconclusive. Therefore, we performed a meta-analysis to summarize the
possible association.We searched PubMed and Embase until June 2015 to identify
studies addressing the association between endoglin polymorphisms and IA risk.
The summary odds ratios (ORs) and their corresponding 95% confidence interval
(CI) were calculated to assess the strength of the association.Eleven studies
with a total of 1501 cases and 2012 controls were finally included in this meta
analysis, with 10 studies investigating endoglin 6-bp insertion (6bINS)
polymorphism and 4 studies investigating 1800956 polymorphism. No significant
association between endoglin 6bINS polymorphism and IA risk was detected in
overall estimation (I/I vs wt/I + wt/wt: OR = 1.21, 95% CI = 0.87-1.69) or in the
subgroup analysis by ethnicity, control source, or ruptured status. However, we
observed an association with borderline significance of 6bINS with IA occurrence
(I/I vs wt/I + wt/wt: OR = 1.49, 95% CI = 0.99-2.25, P = 0.058) in studies
applying matched controls. Furthermore, we detected a significant association for
6bINS polymorphism of endoglin with increased risk of familial IA (I vs wt, OR =
1.64, 95% CI = 1.10-2.42) but not sporadic IA (I vs wt, OR = 1.09, 95% CI = 0.68
1.45). With regard to rs1800956, our pooled results indicated a significantly
decreased IA risk in individuals carrying C allele (C/C vs G/C + G/G: OR = 0.65;
95% CI = 0.45-0.94).This meta-analysis provided no evidence for the association
between 6bINS polymorphism with overall IA risk. However, we detected a
significant association of 6bINS allele with increased risk of familial IA. Also,
we found that rs1800956 was significantly related to IA occurrence. Further, well
designed studies with large sample size are warranted and updated meta-analysis
is needed to verify our findings.
PMID- 26559254
TI - Tigecycline-induced Drug Fever and Leukemoid Reaction: A Case Report.
AB - In this study, we describe a patient in whom tigecycline-induced drug fever and
leukemoid reaction (LR) after 3 weeks of therapy for pneumonia.A 62-year-old man
developed aspiration pneumonia on February 1, 2015. He had received multiple
antibiotics at another hospital, but did not respond well. Disease rapidly
progressed, and he was referred to our department on February 14. We adjusted the
antibiotic therapy to tigecycline + vancomycin, and added voriconazole to empiric
antifungal therapy. Pneumonia largely improved, and we discontinued vancomycin
and voriconazole on February 28. With tigecycline monotherapy, his clinical
status remained stable.On March 7, he developed high fever and LR (white blood
cell count: 38.25 * 10(9)/L). Erythrocyte sedimentation rate and C-reactive
protein were elevated, and CD8+ T cells had been abnormally activated. After a
careful physical examination and laboratory investigation, we confirmed that
primary infection did not progress and no other cause was evident. So we figured
fever and LR might be induced by tigecycline. After discontinuing tigecycline and
adding low-dose steroid, fever and LR totally resolved in 3 days, which further
confirmed our diagnosis.According to this case and literature review, drug
induced hypersensitivity should be considered in the differential diagnosis of
fever and LR when the therapeutic duration of tetracycline approximates 3 weeks.
Monitoring T-cell subsets may facilitate early diagnosis. When necessary, we
should discontinue the suspected drug to confirm diagnosis.
PMID- 26559255
TI - Protective Effect of Folic Acid on Oxidative DNA Damage: A Randomized, Double
Blind, and Placebo Controlled Clinical Trial.
AB - Although previous reports have linked DNA damage with both transmissions across
generations as well as our own survival, it is unknown how to reverse the lesion.
Based on the data from a Randomized, Double-blind, Placebo Controlled Clinical
Trial, this study aimed to assess the efficacy of folic acid supplementation
(FAS) on DNA oxidative damage reversal.In this randomized clinical trial (RCT), a
total of 450 participants were enrolled and randomly assigned to 3 groups to
receive folic acid (FA) 0.4 mg/day (low-FA), 0.8 mg/day (high-FA), or placebo
(control) for 8 weeks. The urinary 8-hydroxy-2'-deoxyguanosine (8-OHdG) and
creatinine (Cr) concentration at pre- and post-FAS were measured with modified
enzyme-linked immunosorbent assay (ELISA) and high-performance liquid
chromatography (HPLC), respectively. A multivariate general linear model was
applied to assess the individual effects of FAS and the joint effects between FAS
and hypercholesterolemia on oxidative DNA damage improvement. This clinical trial
was registered with ClinicalTrials.gov, number NCT02235948.Of the 438 subjects
that received FA fortification or placebo, the median (first quartile, third
quartile) of urinary 8-OHdG/Cr for placebo, low-FA, and high-FA groups were 58.19
(43.90, 82.26), 53.51 (38.97, 72.74), 54.73 (39.58, 76.63) ng/mg at baseline and
57.77 (44.35, 81.33), 51.73 (38.20, 71.30), and 50.65 (37.64, 76.17) ng/mg at the
56th day, respectively. A significant decrease of urinary 8-OHdG was observed
after 56 days FA fortification (P < 0.001). Compared with the placebo, after
adjusting for some potential confounding factors, including the baseline urinary
8-OHdG/Cr, the urinary 8-OHdG/Cr concentration significantly decreased after 56
days FAS [beta (95% confidence interval) = -0.88 (-1.62, -0.14) and P = 0.020 for
low-FA; and beta (95% confidence interval) = -2.68 (-3.42, -1.94) and P < 0.001
for high-FA] in a dose-response fashion (Ptrend < 0.001). Test of interaction
between hypercholesterolemia and FA supplementation on urinary 8-OHdG reduction
was significant (P = 0.001).The present study demonstrates that FA fortification
is independently linked to the reduction of urinary 8-OHdG/Cr in a dose-related
pattern, which suggests that FA is beneficial to protect against oxidative damage
to DNA. This effect is apparently stronger in those with hypercholesterolemia.
The authors provide a new insight into the prevention and reversal of oxidative
DNA damage.
PMID- 26559256
TI - Low Serum Levels of Uric Acid are Associated With Development of Poststroke
Depression.
AB - Poststroke depression (PSD) is a frequent complication of stroke that has been
associated with poorer outcome of stroke patients. This study sought to examine
the possible association between serum uric acid levels and the development of
PSD.We recruited 196 patients with acute ischemic stroke and 100 healthy
volunteers. Serum uric acid levels were tested by uricase-PAP method within 24 hr
after admission. Neuropsychological evaluations were conducted at 3-month
poststroke. The 17-item Hamilton Depression Scale was used to assess depressive
symptoms. Diagnosis of PSD was made in accordance with DSM-IV criteria for
depression. Multivariate analyses were conducted using logistic regression
models.Fifty-six patients (28.6%) were diagnosed as having PSD at 3 months. PSD
patients showed significantly lower levels of uric acid at baseline as compared
to non-PSD patients (237.02 +/- 43.43 vs 309.10 +/- 67.44 MUmol/L, t = -8.86, P <
0.001). In multivariate analyses, uric acid levels (<=239.0 and >=328.1 MUmol/L)
were independently associated with the development of PSD (OR, 7.76; 95%
confidence interval [CI], 2.56-23.47, P < 0.001 and OR, 0.05; 95% CI, 0.01-0.43,
P = 0.01, respectively) after adjustment for possible variables.Serum uric acid
levels at admission are found to be correlated with PSD and may predict its
development at 3 months after stroke.
PMID- 26559257
TI - Left Ventricular Myxoma Leading to Stroke: A Rare Case Report.
AB - Primary cardiac tumors are rare, and most are myxomas. Only approximately 5% of
cardiac myxomas originate from the ventricles.We report the case of a 23-year-old
man presenting with right hemiplegia and muscle strength degeneration under a
diagnosis of stroke. Transthoracic echocardiography revealed a 29 * 26 mm mass
arising from the anterior interventricular septum. The tumor was surgically
removed, and histology confirmed the diagnosis of left ventricular myxoma.We
report its clinical features and treatment to add to the current knowledge.
PMID- 26559258
TI - Soluble Serum alphaKlotho Is a Potential Predictive Marker of Disease Progression
in Clear Cell Renal Cell Carcinoma.
AB - Renal cell carcinoma (RCC) accounts for approximately 3% of adult malignancies,
and clear cell RCC (ccRCC), that has a high metastatic index and high relapse
rate, is the most common histological subtype. The identification of new
biomarkers in ccRCC is fundamental for stratifying patients into prognostic risk
groups and to guide therapy. The renoprotective antiaging gene, alphaKlotho, has
recently been found to work as a tumor suppressor in different human cancers.
Here, we evaluated alphaKlotho expression in tissue and serum of ccRCC patients
and correlated it with disease progression. Tissue alphaKlotho expression was
studied by quantitative RT-PCR and immunohistochemistry. In addition, soluble
serum alphaKlotho levels were preoperatively measured in 160 patients who
underwent nephrectomy for RCC with ELISA. Estimates of cancer-specific (CSS) and
progression-free survival (PFS) were calculated according to the Kaplan-Meier
method. Multivariate analysis was performed to identify the most significant
variables for predicting CSS and PFS. alphaKlotho protein levels were
significantly decreased in RCC tissues compared with normal tissues (P < 0.01)
and the more advanced the disease, the more evident the down-regulation. This
trend was also observed in serum samples. Statistically significant differences
resulted between serum alphaKlotho levels and tumor size (P = 0.003), Fuhrman
grade (P = 0.007), and clinical stage (P = 0.0004). CSS and PFS were
significantly shorter in patients with lower levels of alphaKlotho (P < 0.0001
and P = 0.0004, respectively). At multivariate analysis low serum levels of
alphaKlotho were independent adverse prognostic factors for CSS (HR = 2.11; P =
0.03) and PFS (HR = 2.18; P = 0.03).These results indicate that a decreased
alphaKlotho expression is correlated with RCC progression, and suggest a key role
of declining alphaKlotho in the onset of cancer metastasis.
PMID- 26559259
TI - Frontal Lobe Function and Risk of Hip Fracture in Patient With Alzheimer Disease:
An Analysis of Linked Data.
AB - To determine the association between frontal lobe function and risk of hip
fracture in patients with Alzheimer disease (AD).Retrospective cohort study using
multicenter hospital-based dementia registry and national health insurance claim
data was done. Participants who had available data of neuropsychological test,
national health insurance claim, and other covariates were included. A total of
1660 patients with AD were included based on Stroop Test results. A total of 1563
patients with AD were included based on the Controlled Oral Word Association Test
(COWAT) results. Hip fracture was measured by validated identification criteria
using national health insurance claim data. Frontal lobe function was measured by
Stroop Test and COWAT at baseline.After adjusting for potential covariates,
including cognitive function in other domains (language, verbal and nonverbal
memory, and attention), the Cox proportional hazard regression analysis revealed
that risk of a hip fracture was decreased with a hazard ratio (HR) of 0.98 per
one point of increase in the Stroop Test (adjusted HR = 0.98, 95% confidence
interval [CI]: 0.97-1.00) and 0.93 per one point increase in COWAT (adjusted HR =
0.93, 95% CI: 0.88-0.99).The risk of hip fracture in AD patients was associated
with baseline frontal lobe function. The result of this research presents
evidence of association between frontal lobe function and risk of hip fracture in
patients with AD.
PMID- 26559260
TI - Prevalence of Resistant Gram-Negative Bacilli in Bloodstream Infection in Febrile
Neutropenia Patients Undergoing Hematopoietic Stem Cell Transplantation: A Single
Center Retrospective Cohort Study.
AB - Bloodstream infection (BSI) is an important cause of morbidity and mortality in
patients undergoing hematopoietic stem cell transplantation (HSCT). To evaluate
the causative bacteria and identify risk factors for BSI associated mortality in
febrile neutropenia patients undergoing HSCT, we collected the clinical and
microbiological data from patients underwent HSCT between 2008 and 2014 and
performed a retrospective analysis. Throughout the study period, among 348
episodes of neutropenic fever in patients underwent HSCT, 89 episodes in 85
patients had microbiological defined BSI with a total of 108 isolates. Gram
negative bacteria (GNB) were the most common isolates (76, 70.3%) followed by
gram-positive bacteria (GPB, 29, 26.9%) and fungus (3, 2.8%). As to the drug
resistance, 26 multiple drug resistance (MDR) isolates were identified. Resistant
isolates (n = 23) were more common documented in GNB, mostly Escherichia coli
(9/36, 25%) and Klebsiella pneumonia (6/24, 25%). A total of 12 isolated were
resistant to carbapenem including 4 K pneumoniae (4/24, 16.7%), 3
Stenotrophomonas maltophilia, and 1 Pseudomonas aeruginosa and other 4 GNB
isolates (Citrobacter freumdii, Pseudomonas stutzeri, Acinetobacter baumanii, and
Chryseobacterium indologenes). As to the GPB, only 3 resistant isolates were
documented including 2 methicillin-resistant isolates (Staphylococcus hominis and
Arcanobacterium hemolysis) and 1 vancomycin-resistant Enterococcus faecium. Among
these 85 patients with documented BSI, 11 patients died of BSI as primary or
associated cause with a BSI-related mortality of 13.1 +/- 3.7% and 90-day overall
survival after transplantation at 80.0 +/- 4.3%. Patients with high-risk disease
undergoing allo-HSCT, prolonged neutropenia (>=15 days) and infection with
carbapenem-resistant GNB were associated with BSI associated mortality in
univariate and multivariate analyses. Our report revealed a prevalence of GNB in
BSI of neutropenic patients undergoing HSCT. Patients with high-risk diseases
with prolonged neutropenia and carbapenem-resistant GNB were independent risk
factors for BSI-related mortality.
PMID- 26559261
TI - Skin Autofluorescence and Mortality in Patients on Peritoneal Dialysis.
AB - Skin autofluorescence (SAF) is a proven prognostic factor of mortality in
hemodialysis patients. Traditional and nontraditional risk factors are almost
equivalent in peritoneal dialysis (PD), and cardiovascular disease (CVD) is the
leading cause of death. Moreover, peritoneal glucose absorption accelerates the
degenerative processes of connective tissues as in diabetes. In our study, we
examined the predictive value of SAF for total mortality in the PD population.
Data were collected from 198 prevalently adult Caucasian PD patients. One hundred
twenty-six patients (mean age 66.2 y, men [n = 73], diabetes ratio 75/126) had
anamnestic CVD (coronary heart disease, cerebrovascular disease, peripheral
arterial disease). Initially, we evaluated factors affecting SAF and CVD by
multivariate linear regression. Survival rates were estimated by recording
clinical and demographic data associated with mortality during a 36-month follow
up using the Kaplan-Meier method. Analyses were further stratified based on the
presence or absence of CVD and SAF levels above or below the upper tercile 3.61
arbitrary units.Skin autofluorescence was influenced by CVD (P < 0.01, 95%
confidence interval [CI] 0.1-0.5) and white blood cell counts (P < 0.001, 95% CI
0.031-0.117). According to the Spearman correlation, SAF correlated with
peritoneal cumulative glucose exposure (P = 0.02) and elapsed time in PD (P =
0.008). CVD correlated with age (P < 0.001, 95% CI 1.24-1.65) and diabetes (P <
0.001, 95% CI 2.58-10.66). More deaths were observed in the high SAF group than
in the low SAF group (34/68 vs 44/130; P = 0.04). Comparing the CVD(-) low SAF
group survival (mean 33.9 mos, standard error [SE] 1.39) to CVD(+) low SAF (mean
30.5 mos, SE 1.37, P = 0.03) and to CVD(+) high SAF group (mean 27.1 mos, SE
1.83, P = 0.001), the difference was significant.In conclusion, among PD
patients, SAF values over 3.61 arbitrary units seem to be a predictor of
mortality. The relationship among peritoneal glucose exposure, CVD, and diabetes
suggests its suitability to characterize systemic cumulative glucose load in this
patient population.
PMID- 26559262
TI - Angioedema Related to Angiotensin-Converting Enzyme Inhibitors: Attack Severity,
Treatment, and Hospital Admission in a Prospective Multicenter Study.
AB - The number of cases of acquired angioedema related to angiotensin converting
enzyme inhibitors induced (ACEI-AAE) is on the increase, with a potential
concomitant increase in life-threatening attacks of laryngeal edema. Our
objective was to determine the main characteristics of ACEI-AAE attacks and, in
doing so, the factors associated with likelihood of hospital admission from the
emergency department (ED) after a visit for an attack.A prospective, multicenter,
observational study (April 2012-December 2014) was conducted in EDs of 4 French
hospitals in collaboration with emergency services (SAMU 93) and a reference
center for bradykinin-mediated angioedema. For each patient presenting with an
attack, emergency physicians collected demographic and clinical presentation
data, treatments, and clinical course. They recorded time intervals from symptom
onset to ED arrival and to treatment decision, from ED arrival to specific
treatment with plasma-derived C1-inhibitor (C1-INH) or icatibant, and from
specific treatment to onset of symptom relief. Attacks requiring hospital
admission were compared with those not requiring admission.Sixty-two eligible
patients with ACEI-AAE (56% men, median age 63 years) were included. Symptom
relief occurred significantly earlier in patients receiving specific treatment
than in untreated patients (0.5 [0.5-1.0] versus 3.9 [2.5-7.0] hours; P <
0.0001). Even though icatibant was injected more promptly than plasma-derived C1
INH, there, however, was no significant difference in median time to onset of
symptom relief between the 2 drugs (0.5 [0.5-1.3] versus 0.5 [0.4-1.0] hours for
C1-INH and icatibant, respectively, P = 0.49). Of the 62 patients, 27 (44%) were
admitted to hospital from the ED. In multivariate analysis, laryngeal involvement
and progressive swelling at ED arrival were independently associated with
admission (Odds ratio [95% confidence interval] = 6.2 [1.3-28.2] and 5.9 [1.3
26.5], respectively). A favorable course was observed in all patients. Three
patients (5%) experienced a recurrence after angiotensin-converting enzyme
inhibitor discontinuation after a median follow-up of 18 (11-30) months.Two
severity criteria-laryngeal edema and the progression of the edema-were
independent factors associated with likelihood of hospital admission. Appropriate
specific treatments (plasma-derived C1-INH or icatibant) should be available in
EDs to prevent possibly life-threatening complications.
PMID- 26559263
TI - Cytomegalovirus-Associated Gastroduodenal Ulcers in a Patient With Functional
Hypercortisolism: A Case Report.
AB - Cytomegalovirus (CMV)-associated gastroduodenal ulcers (GDU) are a rare digestive
disease, which principally affect immunocompromised patients. We recently
experienced CMV-associated GDU occurring in a seemingly immunocompetent patient.
The rarity of such a condition was inimical to a correct clinical diagnosis.A 77
year-old woman with Alzheimer's disease was admitted to our hospital because of
vomiting and anorexia. Her general condition was extremely poor due to severe
dehydration. Any invasive procedures including gastroduodenal endoscopy could not
be performed. Laboratory test results showed electrolyte imbalance,
hyperglycemia, and hypercortisolemia. The plasma adrenocorticotropic hormone
level was rather low. On her 11th day in hospital, she suddenly fell into shock
status. Despite intensive care, the patient could not be rescued. An autopsy was
performed and revealed that she had suffered from CMV-associated GDU and died of
candidemia that invaded through the ulcer. Her adrenal glands showed neither
neoplasm nor hyperplasia, suggesting that her hypercortisolism was a purely
functional disorder. We concluded that the severe opportunistic infections were
developed in association with functional hypercortisolism.This case suggests that
functional hypercortisolism, even though transient, can cause a patient to be
immunocompromised.
PMID- 26559264
TI - Eosinophilic Granulomatosis With Polyangiitis With Thrombotic Microangiopathy: Is
Simultaneous Systemic Lupus Erythematosus Associated With Clinical
Manifestations?: A Case Report and Review of the Literature.
AB - Eosinophilic granulomatosis with polyangiitis (EGPA) is one of the antineutrophil
cytoplasmic antibody (ANCA)-associated vasculitis, which is characterized by
vasculitis of the small to medium-sized vessels. On the contrary, thrombotic
microangiopathy (TMA) is a life-threatening condition which can cause ischemic
organ injury. Although several case reports have described patients with TMA
associated with ANCA-associated vasculitis except for EGPA, there are no previous
case reports of EGPA associated with TMA.A 71-year-old Japanese man was diagnosed
with EGPA based on his asthma, eosinophilia, lung opacity, refractory sinusitis,
and positive myeloperoxidase-ANCA. He was also diagnosed with TMA based on
peripheral schizocytes and hemolytic anemia. We performed plasmapheresis and
started high-dose corticosteroid therapy; thereafter, he improved promptly. His
case also fulfilled the classification criteria of systemic lupus erythematosus
(SLE) based on the pleural effusion, renal disorder, anemia, thrombocytopenia,
positive antidouble-stranded DNA antibody, and low complement. Elements of SLE
were thought to affect his clinical course.We reviewed 11 patients with EGPA or
hypereosinophilic syndrome (HES) associated with SLE, including our case.
Patients with EGPA or HES associated with SLE had more heart complications than
patients with simple EGPA or simple HES did. Patients with EGPA or HES associated
with SLE had more pleural effusion than patients with simple SLE did.Clinical
manifestations of eosinophilia with SLE or SLE with eosinophilia may differ from
simple SLE or simple eosinophilia.
PMID- 26559265
TI - Is Preoperative Biochemical Testing for Pheochromocytoma Necessary for All
Adrenal Incidentalomas?
AB - This study examined whether imaging phenotypes obtained from computed tomography
(CT) can replace biochemical tests to exclude pheochromocytoma among adrenal
incidentalomas (AIs) in the preoperative setting.We retrospectively reviewed the
medical records of all patients (n = 251) who were admitted for operations and
underwent adrenal-protocol CT for an incidentally discovered adrenal mass from
January 2011 to December 2012. Various imaging phenotypes were assessed for their
screening power for pheochromocytoma. Final diagnosis was confirmed by biopsy,
biochemical tests, and follow-up CT.Pheochromocytomas showed similar imaging
phenotypes as malignancies, but were significantly different from adenomas.
Unenhanced attenuation values <=10 Hounsfield units (HU) showed the highest
specificity (97%) for excluding pheochromocytoma as a single phenotype. A
combination of size <=3 cm, unenhanced attenuation values <= 10 HU, and absence
of suspicious morphology showed 100% specificity for excluding
pheochromocytoma.Routine noncontrast CT can be used as a screening tool for
pheochromocytoma by combining 3 imaging phenotypes: size <=3 cm, unenhanced
attenuation values <=10 HU, and absence of suspicious morphology, and may
substitute for biochemical testing in the preoperative setting.
PMID- 26559266
TI - Surgical Versus Conservative Intervention for Acute Achilles Tendon Rupture: A
PRISMA-Compliant Systematic Review of Overlapping Meta-Analyses.
AB - Although many meta-analyses comparing surgical intervention with conservative
treatment have been conducted for acute Achilles tendon rupture, discordant
conclusions are shown. This study systematically reviewed the overlapping meta
analyses relating to surgical versus conservative intervention of acute Achilles
tendon rupture to assist decision makers select among conflicting meta-analyses,
and to offer intervention recommendations based on the currently best
evidence.Multiple databases were comprehensively searched for meta-analyses
comparing surgical with conservative treatment of acute Achilles tendon rupture.
Meta-analyses only comprising randomized controlled trials (RCTs) were included.
Two authors independently evaluated the meta-analysis quality and extracted data.
The Jadad decision algorithm was applied to ascertain which meta-analysis offered
the best evidence.A total of 9 meta-analyses were included. Only RCTs were
determined as Level-II evidence. The scores of Assessment of Multiple Systematic
Reviews (AMSTAR) ranged from 5 to 10 (median 7). A high-quality meta-analysis
with more RCTs was selected according to the Jadad decision algorithm. This study
found that when functional rehabilitation was used, conservative intervention was
equal to surgical treatment regarding the incidence of rerupture, range of
motion, calf circumference, and functional outcomes, while reducing the incidence
of other complications. Where functional rehabilitation was not performed,
conservative intervention could significantly increase rerupture
rate.Conservative intervention may be preferred for acute Achilles tendon rupture
at centers offering functional rehabilitation, because it shows a similar
rerupture rate with a lower risk of other complications when compared with
surgical treatment. However, surgical treatment should be considered at centers
without functional rehabilitation as this can reduce the incidence of rerupture.
PMID- 26559267
TI - 3D Image-Guided Percutaneous Radiofrequency Thermocoagulation of the Maxillary
Branch of the Trigeminal Nerve Through Foramen Rotundum for the Treatment of
Trigeminal Neuralgia.
AB - Percutaneous radiofrequency thermocoagulation of the trigeminal ganglion through
the foramen ovale is a well-established procedure for the treatment of trigeminal
neuralgia (TN). However, this approach can be tricky when individual trigeminal
sub-branch nerve block is required. We report our initial experience of image
guided radiofrequency thermocoagulation of the maxillary branch through the use
of foramen rotundum.From February 2012 to February 2015, we treated 25 patients
with isolated TN of the maxillary branch. Radiofrequency thermocoagulation of the
maxillary branch through the foramen rotundum was performed under fluoroscopy. TN
pain was evaluated using the visual analogue scale both before and after the
procedure.The mean preoperative visual analogue scale score was 8.6 +/- 0.8. The
pain completely disappeared after the initial procedure in 22 patients and after
a second procedure in 2 patients. An additional patient had a postoperative
visual analogue scale score of 2 and did not undergo further treatment. Facial
numbness occurred in 23 patients but was tolerable. Patients were followed up for
a mean of 14.74 months (range, 1-29 months). Recurrence was observed in 9
patients (36%) during the follow-up period. All recurrences were well managed
with repeat procedures.Percutaneous radiofrequency thermocoagulation of the
maxillary branch through the foramen rotundum under fluoroscopy is a safe and
effective procedure for the treatment of isolated TN of the maxillary branch.
PMID- 26559268
TI - TNF rs1799964 as a Predictive Factor of Acute Toxicities in Chinese Rectal Cancer
Patients Treated With Chemoradiotherapy.
AB - Acute toxicity is the main dose-limiting factor in the chemoradiotherapy of
rectal cancer patients and depends on several pro-inflammatory factors, including
interleukin-1 (IL-1), IL-6, and tumor necrosis factor-alpha (TNF-alpha). It is
unknown whether genetic factors, such as single-nucleotide polymorphisms (SNPs)
in the IL-1, IL-6, and TNF genes, are also associated with acute toxicity in the
process.We genotyped 5 potentially functional SNPs in these 3 genes (TNF
rs1799964, TNF rs1800629, IL-6 rs1800796, and IL-1 rs1143623, IL-1 rs1143627) and
estimated their associations with severe acute radiation injury (grade >=2) in
356 rectal cancer patients.We found a predictive role of the TNF rs1799964 T
variant allele in the development of acute injury (for CT vs CC: adjusted odds
ratio [OR] = 4.718, 95% confidence interval [CI] = 1.152-19.328, P = 0.031; for
TT vs CC: adjusted OR = 4.443, 95% CI = 1.123-17.581, P = 0.034). In the dominant
model, for CT/TT vs CC, the adjusted OR = 4.132, 95% CI = 1.069-15.966, and P =
0.04.Our results suggested that genetic variants in the TNF gene may influence
acute injury in rectal cancer patients treated with chemoradiotherapy and may be
a predictor for personalized treatment. Additional larger and independent studies
are needed to confirm our findings.
PMID- 26559269
TI - Randomized Clinical Trial Comparing Efficacy of Simo Decoction and Acupuncture or
Chewing Gum Alone on Postoperative Ileus in Patients With Hepatocellular
Carcinoma After Hepatectomy.
AB - To compare the efficacy of simo decoction (SMD) combined with acupuncture at the
tsusanli acupoint or chewing gum alone for treating postoperative ileus in
patients with hepatocellular carcinoma (HCC) after hepatectomy.In postoperative
ileus, a frequent complication following hepatectomy, bowel function recovery is
delayed, which increases length of hospital stay. Studies suggest that chewing
gum may reduce postoperative ileus; SMD and acupuncture at the tsusanli acupoint
have long been used in China to promote bowel movement.Patients with primary HCC
undergoing hepatectomy between January 2015 and August 2015 were randomized to
receive SMD and acupuncture (n = 55) or chewing gum (n = 53) or no intervention
(n = 54) starting on postoperative day 1 and continuing for 6 consecutive days or
until flatus. Primary endpoints were occurrence of postoperative ileus and length
of hospital stay; secondary endpoints were surgical complications.Groups treated
with SMD and acupuncture or with chewing gum experienced significantly shorter
time to first peristalsis, flatus, and defecation than the no-intervention group
(all P < 0.05). Hospital stay was significantly shorter in the combined SMD and
acupuncture group (mean 14.0 d, SD 4.9) than in the no-intervention group (mean
16.5 d, SD 6.8; P = 0.014), while length of stay was similar between the chewing
gum group (mean 14.7, SD 6.2) and the no-intervention group (P = 0.147).
Incidence of grades I and II complications was slightly lower in both
intervention groups than in the no-intervention group.The combination of SMD and
acupuncture may reduce incidence of postoperative ileus and shorten hospital stay
in HCC patients after hepatectomy. Chewing gum may also reduce incidence of ileus
but does not appear to affect hospital stay. (Clinicaltrials.gov registration
number: NCT02438436.).
PMID- 26559270
TI - Antifungal Treatment is Not Required for Immunocompetent Individuals With
Asymptomatic Esophageal Candidiasis.
AB - Although esophageal candidiasis (EC) is an opportunistic infection, asymptomatic
EC (AEC) is occasionally encountered in otherwise healthy individuals. This study
evaluates the impact of antifungal treatment in immunocompetent individuals with
AEC and investigates risk factors for persistent or recurrent EC. The authors
identified patients with biopsy-proven AEC from the database of individuals
receiving screening endoscopy (n = 99,255). After excluding patients receiving
immunosuppressive therapy, being positive for human immunodeficiency virus,
receiving no follow-up endoscopy, or having no antifungal treatment data, a total
of 142 patients were divided into remission and nonremission groups. Remission
was defined when EC was not detectable on follow-up endoscopy. On baseline
comparison, nonremission group was older (57.5 +/- 10.3 versus 52.5 +/- 10.5
years, P = 0.017) and more likely to have cardiovascular disease (12.9% versus
1.8%, P = 0.021) and history of pulmonary tuberculosis (PTB) (22.6% versus 4.5%,
P = 0.004) and exhibited a lower triglyceride level (101.4 +/- 37.4 versus 122.6
+/- 79.6 mg/dL, P = 0.039) than remission group, whereas grade of EC and
concomitant endoscopic findings did not differ between 2 groups. Antifungal
treatment was also similarly performed between 2 groups. Multivariate analysis
revealed that history of PTB is independently associated with nonremission (odds
ratio 4.495, 95% confidence interval 1.023-19.762, P = 0.047). No patients
demonstrated EC-related complications during a mean follow-up of 28.0 +/- 12.0
months. In conclusion, our results suggested that antifungal treatment is not
required for immunocompetent individuals with AEC and past history of PTB is an
independent predictor for persistent or recurrent EC.
PMID- 26559271
TI - Clinicopathologic Features of Gastric Schwannoma: 8-Year Experience at a Single
Institution in China.
AB - To explore the clinicopathologic characteristics, diagnosis, treatment, and
prognosis of gastric schwannoma in the imatinib era.The clinicopathologic
characteristics and postoperative outcomes of patients diagnosed with gastric
schwannoma at our institution between January 2007 and February 2015 were
retrospectively collected and analyzed.The main patient complaint was epigastric
pain or discomfort. Tumor sizes ranged from 15 to 80 mm (mean, 57.1 mm). In 17
patients, the tumors were located in the body of the stomach. A total of 20
patients were preoperatively misdiagnosed with a gastrointestinal stromal tumor.
The rate of correct preoperative diagnosis was only 3.3%. All patients underwent
surgical resection and showed strong S-100 protein positivity. Laparoscopic
surgery for gastric schwannoma was associated with less blood loss and a shorter
postoperative hospital stay than open surgery (P < 0.01). Total 28 patients were
disease free without recurrence or metastasis at a median follow-up time of 50
months.Gastric schwannoma is often preoperatively misdiagnosed as gastric
gastrointestinal stromal tumor. Laparoscopic resection of gastric schwannoma is
considered safe and effective, and it may be the preferred surgery for most small
and moderate-sized tumors. The long-term outcome is excellent, as this type of
neoplasm is uniformly benign.
PMID- 26559272
TI - Predictors of Pathologic Complete Response in Rectal Cancer Patients Undergoing
Total Mesorectal Excision After Preoperative Chemoradiation.
AB - Preoperative chemoradiotherapy (CRT) is the standard of care for patients with
stage II and III rectal cancer. This strategy leads to pathologic complete
response (pCR) in a significant number of patients. Factors predictive of pCR are
currently being extensively investigated. The aim of this study was to analyze
clinical factors that might be predictive of pCR.This study was a retrospective
analysis of rectal cancer patients from January 2004 through December 2012. A
total of 332 stage II and III patients with middle and low rectal cancer (<=10
cm) who received CRT and underwent curative total mesorectal excision were
eligible. The median radiation dose was 50.4 Gy, and 72.6% of patients received
infusional 5-fluorouracil with leucovorin, whereas 19.6% of patients received TS
1 with irinotecan, and 7.8% of patients received xeloda only. Pathologic complete
response was confirmed by using pathologic specimens and analyzed based on
predictive clinical factors.Among the 332 patients, 27.4% (n = 91) achieved pCR.
Age, sex, body mass index, clinical T and N stages, tumor differentiation, the
chemotherapy agent for CRT, and the time interval between CRT and surgery did not
differ between the pCR and non-pCR groups. Carcinoembryogenic antigen (CEA)
levels before CRT were 4.61 +/- 7.38 ng/mL in the pCR group and 10.49 +/- 23.83
ng/mL in the non-pCR group (P = 0.035). Post-CRT CEA levels were 1.4 +/- 1.07
ng/mL in the pCR group and 2.16 +/- 2.8 ng/mL in the non-pCR group (P = 0.014),
and the proportion of middle rectal cancer patients was higher in pCR group
(54.9%, P = 0.028). The results from multivariate logistic regression analysis
indicated that higher tumor location (odds ratio 2.151; P = 0.003) and low post
CRT CEA level (odds ratio 0.789; P = 0.04) were independent predictive factors
for pCR.Tumor location and post-CRT CEA level were predictive factors in pCR for
rectal cancer patients. Therefore, these factors may be important determinants in
achieving pCR, and may also be used to predict oncologic outcomes.
PMID- 26559273
TI - Identification of Poor-outcome Biliopancreatic Carcinoma Patients With Two-marker
Signature Based on ATF6alpha and p-p38 "STARD Compliant".
AB - Biliopancreatic cancer is one of the most aggressive solid neoplasms, and
incidence is rising worldwide. It is known that ATF6alpha is one of the
transmembrane proteins that acts crucially in endoplasmic reticulum stress
response, and knockdown induces apoptosis of pancreatic cells. Apart from this, p
p38 has been previously correlated with better outcome in pancreatic cancer.
Interestingly, ATF6alpha knockdown pancreatic cells showed increased p-p38. The
aim of this study was to evaluate the expression of these 2 proteins, p-p38 and
ATF6alpha, and their correlation with the outcome of biliopancreatic
adenocarcinoma patients. Samples from patients with biliopancreatic
adenocarcinoma that underwent pancreaticoduodenectomy from 2007 to 2013 were used
to construct a tissue microarray to evaluate p-p38 and ATF6alpha proteins by
immunohistochemistry. We observed that both markers showed a tendency to impact
in the time to recurrence; then a combination of these 2 proteins was analyzed.
Combination of ATF6alpha(high) and p-p38(low) was strongly associated with a
higher risk of recurrence (hazard ratio 2.918, P = 0.013). This 2-protein model
remained significant after multivariate adjustment.We proposed a 2-protein
signature based on ATF6alpha(high) and p-p38(low) as a potential biomarker of
risk of recurrence in resected biliopancreatic adenocarcinoma patients.
PMID- 26559274
TI - Microcystic/Reticular Schwannoma of the Mandible First Case Report and Review of
the Literature.
AB - Schwannoma comprises a group of nerve sheath tumors. Morphologic variants of
schwannoma have no distinct relationship to clinical behavior, but unawareness of
rare variants may lead to diagnostic pitfall and risk of mistreatment.
Microcystic/reticular schwannoma is a recently described rare variant of
schwannoma. We report a case of a 61-year-old female with a 5.0 cm * 3.5 cm * 3.0
cm mass in the right mandible, which has never been reported to date. Light
microscopic evaluation showed that the mass was circumscribed with focal
infiltration. Arranged in a prominent microcystic and reticular growth pattern,
tumor cells were spindle-shaped with eosinophilic cytoplasm. No evidence of
cytologic atypia, mitosis, or necrosis was observed. The stroma of the tumor
mainly contained myxoid material with local infiltration of hyalinized collagen.
Tumor cells showed diffuse and strong nuclear and cytoplasmic immunoreactivity
for S100 protein. Tumor cells were also positive for CD34, CD99, and NSE, but
negative for CK, EMA, CK5/6, P63, Calponin, CD10, SMA, Desmin, GFAP, NF, Syn, and
CgA. The proliferation marker MIB-1 showed <1% nuclear reaction. Furthermore, we
reviewed the clinical and pathological features of 24 previously reported cases
of microcystic/reticular schwannoma. Unlike classic schwannoma, the reticular
variant showed striking microcystic and reticular architecture microscopically.
Recognition of these distinct entities is essential in avoiding misdiagnosis.
Unlike classic schwannoma with a complete capsule, some masses were reported to
lack encapsulation or contain focal infiltration. Further follow-up of tentative
or identified cases is necessary to better understand this schwannoma.
PMID- 26559275
TI - Comparative Short-Term Clinical Outcomes of Mediastinum Tumor Excision Performed
by Conventional VATS and Single-Port VATS: Is It Worthwhile?
AB - Single-port video-assisted thoracoscopic surgery (VATS) has been widely applied
recently. However, there are still only few reports describing its use in
mediastinum tumor resection. We present the technique of single-port video
assisted thoracoscopic mediastinum tumor resection and compare it with
conventional VATS with regard to short-term outcome.We retrospectively enrolled
105 patients who received mediastinum surgery in Chang Gung Memorial Hospital.
Sixteen patients received sternotomy or thoracotomy, 29 patients received single
port VATS, and 60 patients received conventional VATS (3 ports). The operative
time, blood loss, postoperation day 1 pain score, discharge day pain score, and
postoperative hospital stay were compared. In order to establish a well balanced
cohort study, we also use propensity scores match (1:1) to compare the short-term
clinical outcome in 2 groups.No operative deaths occurred in this study. Single
port VATS was associated with shorter operative time, lower postoperation day 1
pain score, and shorter postoperation hospital stay in our cohort study (P =
0.001, <0.001, and 0.039), and propensity scores matched cohort study (P = 0.003,
<0.001, and <0.001).Single-port VATS for mediastinum tumor appears to be a safe
and promising technique with short-term outcome not inferior to conventional VATS
in our cohort study. The long-term oncology outcome may require time and more
enrolled patients to be further evaluated.
PMID- 26559276
TI - Prevalence of Myopia in France: A Cross-Sectional Analysis.
AB - Refractive error (RE), particularly myopia, is the first cause of visual
impairment throughout the world. This study aimed to depict the prevalence of
myopia in a multicentric series of French individuals.This cross-sectional
analysis was carried out between January 2012 and November 2013 in eye clinics
dedicated to REs. Data collection included age, gender, best-corrected visual
acuity, RE, and any relevant medical history involving laser refractive surgery
and cataract surgery. Exclusion criteria consisted of monophthalm patients or
those with incomplete demographic data.Prevalences in the overall population, by
gender and by age groups were reported for mild myopia (-0.50 to -2.75 diopter
[D]), moderate myopia (-3 to -5.75 D), high myopia (less than -6 D), and very
high myopia (less than -10 D).The analysis included 100,429 individuals, mean age
38.5 years (+/- 16.9). Overall prevalence of myopia was 39.1% (95% CI 38.8-39.4).
Prevalences of mild, moderate, high and very high myopia were respectively 25.1%
(95% CI 25.4-24.9), 10.6% (95% CI 10.4-10.8), 3.4% (95% CI 3.3-3.5) and 0.5% (95%
CI 0.48-0.57).Even if possible bias occurred in recruitment, our results are
similar to RE data collected in nationally representative samples of Caucasians
in other studies. This is to our knowledge, one of the largest European series of
individuals dedicated to myopia prevalences in different age groups. These
results confirm the importance of myopia as a major health issue in Western
countries.
PMID- 26559277
TI - Cortical Activation During Levitation and Tentacular Movements of Corticobasal
Syndrome.
AB - Levitation and tentacular movements (LTM) are considered specific, yet rare
(30%), features of Corticobasal Syndrome (CBS), and are erroneously classified as
alien hand. Our study focuses on these typical involuntary movements and aims to
highlight possible neural correlates.LTM were recognizable during functional
magnetic resonance imaging (fMRI) in 4 of 19 CBS patients. FMRI activity was
evaluated with an activation recognition program for movements, during LTM,
consisting of levitaton and finger writhing, and compared with the absence of
movement (rest) and voluntary movements (VM), similar to LTM, of affected and
unaffected arm-hand. FMRI acquisition blocks were balanced in order to match LTM
blocks with rest and VM conditions. In 1 of the 4 patients, fMRI was acquired
only during LTM and with a different equipment.Despite variable intensity and
range of involuntary movements, evidenced by videos, fMRI showed, during LTM, a
significant (P<0.05-0.001) activation only of the contralateral primary motor
cortex (M1). Voluntary movements of the affected and unaffected arm elicited the
known network including frontal, supplementary, sensory-motor cortex, and
cerebellum. Willed movements of the LTM-affected arm induced higher and wider
activation of contralateral M1 compared with the unaffected arm.The isolated
activation of M1 suggests that LTM is a cortical disinhibition symptom, not
involving a network. Higher activation of M1 during VM confirms that M1
excitability changes occur in CBS. Our study calls, finally, attention to the
necessity to separate LTM from other alien hand phenomena.
PMID- 26559278
TI - Spontaneous Intra-Abdominal Hemorrhage Due to Rupture of Jejunal Artery Aneurysm
in Behcet Disease: Case Report and Literature Review.
AB - Rupture of jejunal artery aneurysm is a very rare event resulting in life
threatening hemorrhage in Behcet disease (BD). We report a case of ruptured
jejunal artery aneurysm in a 35-year-old patient with BD. The patient had a 1
year history of intermittent abdominal pain caused by superior mesenteric artery
aneurysm with thrombosis. Anticoagulation treatment showed a good response. Past
surgical history included stenting for aortic pseudoaneurysm. On admission, the
patient underwent an urgent operation due to sudden hemorrhagic shock. Resection
was performed for jejunal artery aneurysm and partial ischemia of intestine. The
patient was diagnosed with BD, based on a history of recurrent oral and skin
lesions over the past 6 years. Treatment with anti-inflammatory medications
showed a good response during the 8-month follow-up.An increased awareness of BD
and its vascular complications is essential. Aneurysms in BD involving jejunal
artery are rare, neglected and require proper management to prevent rupture and
death. To our knowledge, this is the first reported case of jejunal artery
aneurysm caused by BD.
PMID- 26559279
TI - Maternal Blood Pressure During Pregnancy and Early Childhood Blood Pressures in
the Offspring: The GUSTO Birth Cohort Study.
AB - Although epidemiological studies suggest that offspring of women with
preeclampsia are at increased risk to higher blood pressures and cardiovascular
disease, little is known about the nature of blood pressures between the mother
and her offspring. As blood pressures comprise of both pulsatile (systolic blood
pressure [SBP] and pulse pressure [PP]) and stable (diastolic blood pressure
[DBP]) components, and they differ between central and peripheral sites, we
sought to examine maternal peripheral and central blood pressure components in
relation to offspring early childhood blood pressures. A prospective birth cohort
of 567 Chinese, Malay, and Indian mother-offspring with complete blood pressure
information were studied. Maternal brachial artery SBP, DBP, and PP were measured
at 26 to 28 weeks gestation; and central SBP and PP were estimated from radial
artery waveforms. Offspring brachial artery SBP, DBP, and PP were measured at 3
years of age. Associations between continuous variables of maternal blood
pressures (peripheral SBP, DBP, PP, central SBP, and PP) and offspring blood
pressures (peripheral SBP, DBP, and PP) were examined using multiple linear
regression with adjustment for maternal characteristics (age, education level,
parity, smoking status, alcohol consumption and physical activity during
pregnancy, and pre-pregnancy BMI) and offspring characteristics (sex, ethnicity,
BMI, and height at 3 years of age). In the multivariate models, offspring
peripheral SBP increased by 0.08 (95% confidence interval 0.00-0.17, P = 0.06)
mmHg with every 1-mmHg increase in maternal central SBP, and offspring peripheral
PP increased by 0.10 (0.01-0.18, P = 0.03) mmHg for every 1-mmHg increase in
maternal central PP. The relations of maternal-offspring peripheral blood
pressures (SBP, DBP, and PP) were positive but not statistically significant, and
the corresponding values were 0.05 (-0.03 to 0.13; P = 0.21), 0.03 (-0.04 to
0.10; P = 0.35), and 0.05 (-0.02 to 0.13; P = 0.14), respectively. Maternal
central pulsatile blood pressure components (SBP and PP) during pregnancy are
associated with higher blood pressures in the offspring. This positive
correlation is already evident at 3-years old. Studies are needed to further
evaluate the effects of maternal central pulsatile blood pressure components
during pregnancy and long-term cardiovascular health in the offspring.
PMID- 26559280
TI - Dementia Risk in Irradiated Patients With Head and Neck Cancer.
AB - Patients with head and neck cancer are treated through surgery, radiotherapy
(RT), and chemotherapy (CT). Carotid artery damage and neurotoxicity were
previously observed in these patients. This study estimated the dementia risk
associated with different treatment modalities in a head and neck cancer
population with long-term follow-up. Taiwan's National Health Insurance claims
database and a cancer registry database from the Collaboration Center of Health
Information Application were linked for the present analysis. Patients with head
and neck cancer, treated from January 1, 2002 to December 31, 2010, were included
in the study. The follow-up duration was the period from the index date to
December 31, 2012. Inclusion criteria were head and neck cancer; an age >20
years; and having undergone surgery, CT, concurrent CT, or surgery with adjuvant
treatment. Exclusion criteria were another cancer diagnosed before the head and
neck cancer, death or being diagnosed with dementia within 2 years after the
treatment of the head and neck cancer, stroke before the index date, distant
metastasis, in situ carcinoma, sarcoma, head and neck cancer recurrence, an
unknown sex, and an age <20 years. In total, 20,135 patients were included. In
patient groups that underwent surgery alone, surgery and adjuvant
chemoradiotherapy, and chemoradiotherapy alone, the dementia incidence per 1000
person-years was 1.44, 1.04, and 1.98, respectively. The crude hazard ratio (HR)
of dementia was 1.84 (95% confidence interval [CI] 1.21-2.81) in the RT with or
without CT group. After adjustment for age, sex, clinical stage, and comorbidity,
the HR was 1.92 (95% CI 1.14-3.24). Examining the dementia risk in patients who
received different treatment modalities according to the Cox proportional-hazard
model revealed that an age >65 years and having undergone RT with or without CT
were risk factors (P < 0.001 and P = 0.015; and HRs of 16.5 and 1.92,
respectively). The dementia risk in patients at different clinical stages was not
significantly different among the various treatment groups, regardless of whether
the patients received RT. However, younger (<65 y) patients who received RT with
or without CT had a 2.96-fold (95% CI 1.24-7.08) higher risk of dementia and a
3.54-fold (95% CI 1.32-9.51) higher adjusted HR compared with the surgery-alone
group. Patients who received a total radiation dose >6660 cGy exhibited a 1.69
fold (95% CI 0.97-2.95, P = 0.063) higher dementia risk compared with those who
received a total radiation dose <6660 cGy. Receiving a higher radiation dose
increased the dementia risk and persistently escalated the dementia incidence
even 9 years after RT. Younger (<65 y) patients have a high risk of dementia
after RT. The selection of young patients for dose de-escalation requires
improvement for reducing irradiation to the neck and areas near brain tissues,
particularly in Taiwan, where the median patient age is 53 years.
PMID- 26559281
TI - Protective Effect of Surgery Against Early Subtalar Arthrodesis in Displaced
Intra-articular Calcaneal Fractures: A Meta-Analysis.
AB - The aim of this study is to determine whether surgery offers protection against
early subtalar arthrodesis in displaced intraarticular calcaneal fractures.
Systematic review and meta-analysis: searches of electronic databases 1980 to
August 2014, checking of reference lists, hand searching of journals, and contact
with experts. Randomized controlled trials (RCTs) in which surgical treatment was
compared with nonsurgical treatment of displaced intra-articular calcaneal
fractures from 1980 to 2014. The modified Jadad scale was used for trial quality
and effective data were pooled for meta-analysis. Study results related to early
subtalar arthrodesis were extracted and risk assessment was combined with
surgical treatment and nonsurgical treatment. The primary analysis included 4
studies and 966 participants. The estimated overall risk ratio was 4.40 (95%
confidence interval 2.67-7.39), indicating the incidence of early subtalar
arthrodesis in nonsurgical group is 4.4 times the surgical group. The results
showed that surgical treatment was superior to nonsurgical treatment in
protection against early subtalar arthrodesis in displaced intra-articular
calcaneal fractures (Z = 5.600, P < 0.001).Surgery offers protection against
early subtalar arthrodesis in displaced intra-articular calcaneal fractures.
PMID- 26559282
TI - Classification and Morphological Parameters of the Scapular Spine: Implications
for Surgery.
AB - Incidence of scapular spine (SS) fractures as a result of complications of
reverse total shoulder arthroplasty is relatively high leading to inferior
clinical outcomes and an increased risk of revision and dislocation. Fractures of
SS because of trauma, including the acromion, constitute 6% to 23% of scapula
fractures. The purpose of this study was to classify the SS and present specific
geometrical parameters according to osteologic features. A total of 319 intact
dry scapulae were collected and classified based on morphological characteristics
and shape of the SS. Nine bony landmarks were also chosen and described for their
relevance to regions of interest for scapular fixation. Five specific types of SS
were noted and the most prevalent groups were Type 1 (Fusiform shape) (47.17%)
and Type 5 (Horizontal S-shape) (19.18%). Overall, Types 3, 4, and 1 showed
thicker landmark values compared to Type 5, with Type 2 having smaller values.
Our classification into 5 distinct types allowed appreciation of the anatomical
variance of SSs. The contours of Types 5 and 1 presented a more complex
morphology and may lead to a worse surgical approach due to a fracture. As Types
2 and 5 were much thinner than the other types, these may be more susceptible to
fractures.
PMID- 26559283
TI - Preoperative Intra-abdominal Sepsis, Not Penetrating Behavior Itself, Is
Associated With Worse Postoperative Outcome After Bowel Resection for Crohn
Disease: A Retrospective Cohort Study.
AB - It is generally believed that penetrating behavior is associated with worse
surgical outcomes in Crohn disease (CD). We hypothesized that intra-abdominal
sepsis (IAS), but not penetrating behavior itself, contributes to postoperative
morbidity in patients undergoing bowel resection for CD.Patients who underwent
surgery from April 2010 to April 2014 were retrospectively identified from a
prospectively maintained database. Demographic information and preoperative and
operative data were collected. The outcomes following surgery in patients who had
penetrating disease with or without IAS versus nonpenetrating CD were compared.Of
288 patients, 180 had penetrating CD, including 54 who had IAS. Preoperative
characteristics were similar between the groups, except for serum albumin,
abdominal drainage, and prior bowel resection. Patients with penetrating CD with
IAS were more likely to have a stoma, surgical site complications, postoperative
IAS complications, and major complications than patients with penetrating CD
without IAS or nonpenetrating CD. There were no significant differences between
patients with penetrating CD without IAS and nonpenetrating CD. The postoperative
outcome was strengthened after propensity-score matching analysis. Moreover,
penetrating CD with IAS (odds ratio [OR], 13.034; P = 0.004) is a risk predictor
for major postoperative complications, and preoperative serum albumin (OR, 0.095;
P = 0.002) and preoperative enteral nutrition (OR, 0.203, P = 0.049) are
protective.Penetrating CD without IAS did not adversely affect postoperative
outcome after bowel resection compared with penetrating CD with IAS. These
results may revise the notion that all patients with penetrating CD have worse
postoperative complications.
PMID- 26559284
TI - Metabolomic Analysis of Plasma From Patients With Acute Mountain Sickness Using
Chromatography-Mass Spectrometry.
AB - Although acute mountain sickness (AMS) has long been recognized, little is known
about this condition to date. The current study was conducted to explore changes
in the metabolomic profiles of AMS patients and to further assess the potential
of using these changes for the diagnosis of AMS. Plasma samples from 12 patients
with AMS and 12 individuals without AMS were collected and used for further
bioinformatics analysis by gas chromatography-mass spectrometry (GC-MS). The
following analytical methods were used: gas chromatography-mass spectrometry data
preprocessing, principal components analysis, partial least squares-discriminant
analysis, model validation, orthogonal partial least squares-discriminant
analysis, and the screening and identification of differences in metabolites. The
results revealed a significantly difference between the subjects with AMS and
those in the control group. Compared with plasma from the controls, plasma from
the AMS patients contained significantly increased hypoxanthine,
cysteinylglycine, D-arabitol, L-allothreonine, 2-ketobutyric acid, and succinate
semialdehyde. The identification of metabolomic changes may be useful for the
diagnosis of AMS in the future and may lay the foundation for further study of
AMS pathogenesis.
PMID- 26559285
TI - Strategies to Screen for Diabetic Retinopathy in Chinese Patients with Newly
Diagnosed Type 2 Diabetes: A Cost-Effectiveness Analysis.
AB - To investigate the cost-effectiveness of different screening intervals for
diabetic retinopathy (DR) in Chinese patients with newly diagnosed type 2
diabetes mellitus (T2DM). Chinese healthcare system.Chinese general clinical
setting. A cost-effectiveness model was developed to simulate the disease course
of Chinese population with newly diagnosed with diabetes. Different DR screening
programs were modeled to project economic outcomes. To develop the economic
model, we calibrated the progression rates of DR that fit Chinese epidemiologic
data derived from the published literature. Costs were estimated from the
perspective of the Chinese healthcare system, and the analysis was run over a
lifetime horizon. One-way and probabilistic sensitivity analyses were performed.
Total costs, vision outcomes, costs per quality-adjusted life year (QALY), the
incremental cost-effectiveness ratio (ICER) of screening strategies compared to
no screening. DR screening is effective in Chinese patients with newly diagnosed
T2DM, and screen strategies with >=4-year intervals were cost-effective (ICER
<$7,485 per QALY) compared to no screening. Screening every 4 years produced the
greatest increase in QALYs (11.066) among the cost-effective strategies. The
screening intervals could be varied dramatically by age at T2DM diagnosis.
Probabilistic sensitivity analyses demonstrated the consistency and robustness of
the cost-effectiveness of the 4-year interval screening strategy. The findings
suggest that a 4-year interval screening strategy is likely to be more cost
effective than screening every 1 to 3 years in comparison with no screening in
the Chinese setting. The screening intervals might be tailored according to the
age at T2DM diagnosis.
PMID- 26559286
TI - Anthropometric Assessment of Neck Adipose Tissue and Airway Volume Using
Multidetector Computed Tomography: An Imaging Approach and Association With
Overall Mortality.
AB - Neck adiposity tissue volume (NATV) accumulation is an indicator for metabolic
syndrome and cardiovascular disease (CVD). Neck circumference is a poor measure
of NATV, and a quantifier for this entity has not yet been established. To
evaluate volumetric quantification by multidetector computed tomography (MDCT) as
a reproducible anthropometric tool to measure NATV and airway volume (AWV). A
total of 519 patients, including a subset of 70 random patients who underwent
head and neck CT scanning in our hospital within 1 year (2013), were studied.
Included patients were all those undergoing nonenhanced CT (NECT) or CT
angiography (CTA). Neck cross-sectional areas (NCSA) were measured at 2 separate
levels of the neck, and 3D postprocessing tissue reconstruction was performed,
and NATV and AWVs were quantified volumetrically for all patients within the
year. The average NCSA at the level of the soft palate and thyroid cartilage was
22,579 and 14,500 mm, respectively. NATV when compared to the upper and lower
levels of NCSA showed correlations of 0.64 and 0.79, respectively (P < 0.001).
Interobserver analysis showed mean deviations of 0.46% and 0.32% for NATV and
AWV, respectively. A strong correlation between NATV and body mass index (BMI)
was found (r = 0.658, P < 0.001), and the top quartile of NATV:AWV patients (out
of 519 patients) displayed a statistically significant mortality rate during 670
days of follow-up (d = 7.5%, P = 0.032). After adjustment for age and gender, the
association between NATV:AWV and mortality was close to significant (P = 0.072).
Volumetric quantification of NATV and AWV is a reproducible and prognostic
anthropometric tool, as a high NATV:AWV demonstrated a significant risk factor
for mortality; future research may further advance our understanding of this
phenomenon.
PMID- 26559287
TI - Hematological Parameters Improve Prediction of Mortality and Secondary Adverse
Events in Coronary Angiography Patients: A Longitudinal Cohort Study.
AB - Prediction of primary cardiovascular events has been thoroughly investigated
since the landmark Framingham risk score was introduced. However, prediction of
secondary events after initial events of coronary artery disease (CAD) poses a
new challenge. In a cohort of coronary angiography patients (n = 1760), we
examined readily available hematological parameters from the UPOD (Utrecht
Patient Oriented Database) and their addition to prediction of secondary
cardiovascular events. Backward stepwise multivariable Cox regression analysis
was used to test their ability to predict death and major adverse cardiovascular
events (MACE). Continuous net reclassification improvement (cNRI) and integrated
discrimination improvement (IDI) measures were calculated for the hematological
parameters on top of traditional risk factors to assess prediction improvement.
Panels of 3 to 8 hematological parameters significantly improved prediction of
death and adverse events. The IDIs ranged from 0.02 to 0.07 (all P < 0.001) among
outcome measures and the cNRIs from 0.11 to 0.40 (P < 0.001 in 5 of 6 outcome
measures). In the hematological panels red cell distribution width (RDW) appeared
most often. The multivariable adjusted hazard ratio of RDW per 1 standard
deviation (SD) increase for MACE was 1.19 [1.08-1.32], P < 0.001. Routinely
measured hematological parameters significantly improved prediction of mortality
and adverse events in coronary angiography patients. Accurately indicating high
risk patients is of paramount importance in clinical decision-making.
PMID- 26559288
TI - The Long-Term Effective Rate of Different Branches of Idiopathic Trigeminal
Neuralgia After Single Radiofrequency Thermocoagulation: A Cohort Study.
AB - To evaluate the efficacy of computed tomography (CT) guided single radiofrequency
thermocoagualtion (RFT) in 1137 patients with idiopathic trigeminal neuralgia
after a follow-up period of 11 years, specially focused on duration of pain
relief in different branches of trigeminal nerve, side effect, and complications.
Retrospective study of patients with idiopathic trigeminal neuralgia treated with
a single CT guided RFT procedure between January 2002 and December 2013. The mean
follow-up time was 46.14 +/- 30.91 months. Immediate postprocedure pain relief
was 98.4%. V2 division obtained the best pain relief rate: 91%, 89%, 80%, 72%,
60%, and 54% at 1, 3, 5, 7, 9, and 11 years, respectively. No statistical
difference pairwise comparison was in other groups. The complications included
masseter muscle weakness, corneitis, diplopia, ptosis, hearing loss, limited
mouth opening, and low pressure headache. Masticatory weakness mostly occurred in
patients with V3 branch involvement, while Corneitis and Diplopia all in patients
with V1 branch involvement. No mortalities observed during or after RFT. All
different branches division of trigeminal neuralgia achieved comparable
satisfactory curative effect; V2 obtained the best excellent pain relief, after
RFT procedure. Facial numbness is inevitable after RFT, which patients who have
pain in all 3 trigeminal divisions and patients who desire no facial numbness
should be cautious. Masticatory weakness is mainly related with V3 injured, while
Corneitis and Diplopia in patients with V1 injured by RFT.
PMID- 26559289
TI - Sagittal Balance in Adolescent Idiopathic Scoliosis: A Radiographic Study of
Spinopelvic Compensation After Selective Posterior Fusion of Thoracolumbar/Lumbar
(Lenke 5C) Curves.
AB - The relationship between spinal sagittal alignment and pelvic parameters is well
known in adolescent idiopathic scoliosis. However, few studies have reported the
sagittal spinopelvic relationship after selective posterior fusion of
thoracolumbar/lumbar (TL/L) curves. We evaluated the relationship between spinal
sagittal alignment and the pelvis, and analyzed how the pelvic sagittal state is
adjusted in Lenke type 5C patients. We conducted a retrospective study of 36
patients with Lenke type 5C curves who received selective posterior TL/L curve
fusion. Coronal and spinopelvic sagittal parameters were pre and postoperatively
compared. Pearson coefficients were used to analyze the correlation between all
spinopelvic sagittal parameters before and after surgery. We also evaluated 3
pelvic morphologies (anteverted, normal, and retroverted) before and after
surgery. Preoperatively, the mean pelvic incidence was 46.0 degrees , with a
pelvic tilt and sacral slope (SS) of 8.2 degrees and 37.8 degrees ,
respectively, and 25% (9/36) of patients had an anteverted pelvis, whereas the
other 75% had a normal pelvis. Postoperatively, 42% (15/36) of patients had a
retroverted pelvis, 53% (19/36) had a normal pelvis, and 2 patients had an
anteverted pelvis. Logistic regression analyses yielded 2 factors that were
significantly associated with the risk for a postoperative unrecovered anteverted
pelvis, including increased lumbar lordosis (LL) (odds ratio [OR] 4.8, P = 0.029)
and increased SS (OR 5.6, P = 0.018). Four factors were significantly associated
with the risk of a postoperative newly anteverted pelvis, including LL at the
final follow-up (OR 6.9, P = 0.009), increased LL (OR 8.9, P = 0.003), LL below
fusion (OR 9.4, P = 0.002), and increased SS (OR 11.5, P = 0.001). The pelvic
state may be adjusted after selective posterior TL/L curve fusion in Lenke 5C
adolescent idiopathic scoliosis patients. It is difficult to improve an
anteverted pelvis in patients who have an LL that is increased by more than 11.6
degrees or an SS that is increased by more than 4.7 degrees after surgery. The
anteverted pelvic state will generally occur with posterior correction surgery
for patients with an LL that is greater than 63.7 degrees , or an LL or SS that
is respectively increased by more than 17.6 degrees or 9.0 degrees
postoperatively.
PMID- 26559290
TI - Diagnostic Performance of Diffusion-weighted Magnetic Resonance Imaging in Bone
Malignancy: Evidence From a Meta-Analysis.
AB - Current state-of-the-art nuclear medicine imaging methods (such as PET/CT or bone
scintigraphy) may have insufficient sensitivity for predicting bone tumor, and
substantial exposure to ionizing radiation is associated with the risk of
secondary cancer development. Diffusion-weighted MRI (DW-MRI) is radiation free
and requires no intravenous contrast media, and hence is more suitable for
population groups that are vulnerable to ionizing radiation and/or impaired renal
functions. This meta-analysis was conducted to investigate whether whole-body DW
MRI is a viable means in differentiating bone malignancy. Medline and Embase
databases were searched from their inception to May 2015 without language
restriction for studies evaluating DW-MRI for detection of bone lesions.
Methodological quality was assessed by the quality assessment of diagnostic
studies (QUADAS-2) instrument. Sensitivities, specificities, diagnostic odds
ratio (DOR), and areas under the curve (AUC) were used as measures of the
diagnostic accuracy. We combined the effects by using the random-effects mode.
Potential threshold effects and publication bias were investigated. We included
data from 32 studies with 1507 patients. The pooled sensitivity, specificity, and
AUC were 0.95 (95% CI, 0.90-0.97), 0.92 (95% CI, 0.88-0.95), and 0.98 on a per
patient basis, and they were 0.91 (95% CI, 0.87-0.94), 0.94 (95% CI, 0.90-0.96),
and 0.97 on a per-lesion basis. In subgroup analysis, there is no statistical
significance found in the sensitivity and specificity of using DWI only and DWI
combined with other morphological or functional imaging sequence in both basis (P
> 0.05). A b value of 750 to 1000 s/mm enables higher AUC and DOR for whole-body
imaging purpose when compared with other values in both basis either (P < 0.01).
The ROC space did not show a curvilinear trend of points and a threshold effect
was not observed. According to the Deek's plots, there was no publication bias on
both basis. Our results support the use of DWI as an effective means for
distinguishing malignant bone lesions; however, various imaging parameters need
to be standardized prior to its broad use in clinical practice.
PMID- 26559291
TI - Kinetic Changes of Viremia and Viral Antigens of Hepatitis B Virus During and
After Pregnancy.
AB - Whether pregnancy may influence the replication of hepatitis B virus (HBV)
remains unknown. The authors aimed to clarify this issue by observing the
kinetics of HBV deoxyribonucleic acid (DNA) and viral antigens in women during
and after pregnancy. Total, 371 pregnant women with positive hepatitis B surface
antigen (HBsAg) were enrolled. Serial sera collected during and after pregnancy
were quantitatively measured for HBV DNA, HBsAg, and hepatitis B e antigen
(HBeAg). Total, 34 HBeAg-positive women underwent alanine aminotransferase (ALT)
elevation during or after pregnancy; levels of HBV DNA and HBsAg in them showed
no obvious change between second trimester or delivery and 7 to 12 months
postpartum (P > 0.05). The 337 others had normal alanine aminotransferase levels
during pregnancy and postpartum. In 147 HBeAg-positive women with follow-up 7 to
12 months postpartum, the average levels of HBV DNA (>7.0 log10 IU/mL), HBsAg
(>4.0 log10 IU/mL), and HBeAg (>3.0 log10 S/CO) were longitudinally constant
during pregnancy and postpartum, respectively. In 173 women with follow-up 4.8
years postpartum, neither HBV DNA levels nor antigen titers showed significant
difference between second trimester and 4.8 years postpartum, regardless of the
HBeAg status. In addition, levels of HBV DNA and viral antigens in second
trimester, around delivery, 6 to 8 weeks and 7 to 12 months postpartum showed no
marked fluctuations, respectively. Serum levels of HBV DNA and viral antigens in
HBsAg-positive women are highly constant during pregnancy and postpartum,
regardless of the HBeAg status and alanine aminotransferase levels. This
demonstrates that pregnancy has little influence on the HBV replication and
antigen expression.
PMID- 26559292
TI - Selected Cytokines Serve as Potential Biomarkers for Predicting Liver
Inflammation and Fibrosis in Chronic Hepatitis B Patients With Normal to Mildly
Elevated Aminotransferases.
AB - Previous studies of small cohorts have implicated several circulating cytokines
with progression of chronic hepatitis B (CHB). However, to date there have been
no reliable biomarkers for assessing histological liver damage in CHB patients
with normal or mildly elevated alanine aminotransferase (ALT). The aim of the
present study was to investigate the association between circulating cytokines
and histological liver damage in a large cohort. Also, this study was designed to
assess the utility of circulating cytokines in diagnosing liver inflammation and
fibrosis in CHB patients with ALT less than 2 times the upper limit of normal
range (ULN). A total of 227 CHB patients were prospectively enrolled. All
patients underwent liver biopsy and staging by Ishak system. Patients with at
least moderate inflammation showed significantly higher levels of CXCL-11, CXCL
10, and interleukin (IL)-2 receptor (R) than patients with less than moderate
inflammation (P < 0.001). Patients with significant fibrosis had higher levels of
IL-8 (P = 0.027), transforming growth factor alpha (TGF-alpha) (P = 0.011), IL-2R
(P = 0.002), and CXCL-11 (P = 0.032) than the group without significant fibrosis.
In addition, 31.8% and 29.1% of 151 patients with ALT < 2 * ULN had at least
moderate inflammation and significant fibrosis, respectively. Multivariate
analysis demonstrated that CXCL-11 was independently associated with at least
moderate inflammation, and TGF-alpha and IL-2R independently correlated with
significant fibrosis in patients with ALT < 2 * ULN. Based on certain cytokines
and clinical parameters, an inflammation-index and fib-index were developed,
which showed areas under the receiver operating characteristics curve (AUROC) of
0.75 (95% CI 0.66-0.84) for at least moderate inflammation and 0.82 (95% CI 0.75
0.90) for significant fibrosis, correspondingly. Compared to existing scores, fib
index was significantly superior to aspartate aminotransferase (AST) to platelet
ratio index (APRI) and FIB-4 score for significant fibrosis. In conclusion, CXCL
11 was independently associated with at least moderate inflammation, whereas IL
2R and TGF-alpha were independent indicators of significant fibrosis in both,
total CHB patients and patients with normal or mildly elevated ALT. An IL-2R and
TGF-alpha based score (fib-index) was superior to APRI and FIB-4 for the
diagnosis of significant fibrosis in patients with normal or mildly elevated ALT.
PMID- 26559293
TI - Decreased Expression of SETD2 Predicts Unfavorable Prognosis in Patients With
Nonmetastatic Clear-Cell Renal Cell Carcinoma.
AB - DNA sequencing revealed that mutations in SETD2 occur in 3% to 12% of clear-cell
renal cell carcinoma (ccRCC) cases and are associated with poor clinical outcome.
In this study, we used an immunohistochemistry (IHC) assay to evaluate the impact
of SETD2 loss, with expression of H3K36me3, a nonredundantly histone modification
by SETD2, on recurrence and survival of nonmetastatic ccRCC patients after
nephrectomy.SETD2 and H3K36me3 were assessed in 192 nonmetastatic ccRCC patients
enrolled retrospectively from a single institution. Kaplan-Meier and Cox
regression analysis were used to associate prespecified SETD2/H3K36me3 score with
overall survival (OS) and recurrence-free survival (RFS). And a nomogram was
constructed to predict OS at 10 years.Patients with low expression of SETD2 were
prone to possess large tumor size and advanced pT stage. And low H3K36me3
expression was associated with larger tumor size. A prespecified combined score
based on SETD2 and H3K36me3 expression remained an independent prognosticator for
OS and RFS, which was associated with tumor size, pT stage, and sarcomatoid.
Furthermore, using prespecified SETD2/H3K36me3 score could stratify nonmetastatic
ccRCC patients into different risk subgroups, especially in patients dichotomized
by pT stage and Fuhrman grade, respectively. Finally, the C-index for predicting
OS increased from 0.727 to 0.747, after adding SETD2/H3K36me3 score to pT stage
and Fuhrman grade.The combined score based on expression of SETD2 and H3K36me3
using IHC could predict poor clinical outcomes in nonmetastatic ccRCC patients,
and it may benefit preoperative risk stratification and guide treatment planning
in the future.
PMID- 26559294
TI - Continuous Local Infiltration Analgesia for Pain Control After Total Knee
Arthroplasty: A Meta-analysis of Randomized Controlled Trials.
AB - A total knee arthroplasty (TKA) has always been associated with moderate to
severe pain. As more research is conducted on the use of continuous local
infiltration analgesia (CLIA) to manage pain after a TKA, it is necessary to
reassess the efficacy and safety of the TKA method. The purpose of this
systematic review and meta-analysis of randomized controlled trials was to
evaluate the efficacy and safety of pain control of CLIA versus placebo after a
TKA. In January 2015, a systematic computer-based search was conducted in the
Medline, Embase, PubMed, CENTRAL (Cochrane Controlled Trials Register), Web of
Science, Google database, and Chinese Wanfang databases. This systematic review
and meta-analysis were performed according to the Preferred Reporting Items for
Systematic Reviews and Meta-analyses statement criteria. The primary endpoint was
the visual analog scale score after a TKA with rest or mobilization at 24, 48,
and 72 hours, which represents the effect of pain control after TKA. The
complications of infection, nausea, and whether it prolonged wound drainage were
also compiled to assess the safety of CLIA. RevMan 5.30 software was used for the
meta-analysis. After testing for publication bias and heterogeneity across
studies, data were aggregated for random-effects modeling when necessary. Ten
studies involving 735 patients met the inclusion criteria. The meta-analysis
revealed that continuous infusion analgesia provided better pain control with
rest at 24 hours (mean difference [MD] -12.54, 95% confidence interval [CI]
16.63 to 8.45), and with mobilization at 24 hours (MD -18.27, 95% CI -27.52 to
9.02) and 48 hours (MD -14.19, 95% CI -21.46 to 6.93). There was no significant
difference with respect to the visual analog scale score at 48 hours (MD -6.15,
95% CI -13.51 to 1.22, P = 0.10) and 72 hours (MD -3.63, 95% CI -10.43 to 3.16, P
= 0.29) with rest and at 72 hours with mobilization (MD -4.25, 95% CI -16.27 to
7.77, P = 0.49). However, CLIA increased the rate of infection (relative risk
[RR] 3.16, 95% CI 1.18-8.50, P = 0.02) and the rate of nausea or vomiting (RR
0.60, 95% CI 0.37-0.96, P = 0.03). There were no significant differences in the
length of hospital stay (MD -0.34, 95% CI -1.09 to 0.42, P = 0.38), deep venous
thrombosis (RR 1.02, 95% CI 0.30 to 1.41, P = 0.99), or duration of surgery (MD
1.20, 95% CI -4.59 to 6.98, P = 0.69). On the basis of the current meta-analysis,
CLIA was more efficacious for reducing postoperative pain than the placebo at 24
hours with rest and at 24 and 48 hours with mobilization, but it increased the
risk of infection. However, CLIA did not prolong the length of hospital stay or
the duration of surgery. There was also a higher heterogeneity of different
analgesic drugs mixed and a high risk of selection bias in this analysis;
therefore, more high-quality randomized controlled trials with standardized CLIA
are necessary for proper comparisons of this technique with other methods.
PMID- 26559295
TI - Neuron-Specific Enolase, S100 Calcium-Binding Protein B, and Heat Shock Protein
70 Levels in Patients With Intracranial Hemorrhage.
AB - The authors evaluated neuron-specific enolase (NSE), S100 calcium-binding protein
B (S100B), and heat shock protein 70 (HSP 70) levels and their relationships with
in-hospital mortality, Glasgow Coma Scale (GCS) scores, and National Institute of
Health Stroke Scale (NIHSS) scores. In total, 35 patients older than 18 years
were presented to our emergency department and were diagnosed with non-traumatic
intracranial hemorrhage (ICH) and 32 healthy controls were included. Blood
samples were drawn on days 0 and 5. S100 calcium-binding protein B and HSP levels
were significantly higher in patients than in controls on days 0 and 5. Neuron
specific enolase levels were higher in patients than in controls on day 0, but
there was no significant difference on day 5. S100 calcium-binding protein B was
negatively correlated with GCS, whereas it was positively correlated with NIHSS
and bleeding volume. There was also a negative correlation between NSE and GCS,
but it was not statistically significant. In addition, no significant correlation
was found in terms of bleeding volume or NIHSS. Heat shock protein 70 was
negatively correlated with GCS and positively correlated with bleeding volume and
NIHSS, but these results were not statistically significant. S100 calcium-binding
protein B and HSP 70 levels were significantly higher in those who died compared
with survivors. The areas under the curve of S100 B, NSE, and HSP 70 for
mortality were 0.635, 0.477, and 0.770, respectively. Neuron-specific enolase,
S100B, and HSP 70 levels are simple, inexpensive, and objective measures in cases
of ICH. These tests can be used to support an assessment for screening ICH
patients with clinical scoring systems, such as GCS and NIHSS.
PMID- 26559296
TI - Postoperative Pain and Intravenous Patient-Controlled Analgesia-Related Adverse
Effects in Young and Elderly Patients: A Retrospective Analysis of 10,575
Patients.
AB - In this retrospective analysis of 10,575 patients who used fentanyl-based
intravenous patient-controlled analgesia (IV-PCA) after surgery, we evaluated
difference between young and elderly patients on their characteristic of adverse
effects.We reviewed the data collected from the patients who were provided IV-PCA
for pain control following elective surgery under either general or spinal
anesthesia between September 2010 and March 2014. Postoperative pain, incidence
of PCA-related adverse effects, and risk factors for the need of rescue
analgesics and antiemetics for postoperative 48 hours were analyzed.Pain
intensity (numerical rating scale [NRS]) at postoperative 6 to 12 hours (4.68 vs
4.58, P < 0.01) and incidence of nausea or vomiting (23.8% vs 20.6%, P < 0.001)
were higher in young patients, while incidence of PCA discontinuation (9.9% vs
11.5%, P < 0.01) and sedation (0.1% vs 0.7%, P < 0.001) was higher in elderly
patients. Despite larger fentanyl dose used, a greater proportion of young
patients required rescue analgesics (53.8% vs 47.9%, P < 0.001) while addition of
ketorolac was effective in reducing postoperative pain. Despite lower incidence
of postoperative nausea and vomiting (PONV), a larger proportion of elderly
patients required rescue antiemetics (10.1% vs 12.2%, P < 0.001) while addition
of ramosetron was effective in reducing PONV.In conclusion, when fentanyl-based
IV-PCA is used for postoperative pain control, a larger proportion of young
patients may require rescue analgesics while elderly patients may require more
rescue antiemetics. The addition of ketorolac or ramosetron to the PCA of young
and elderly patients can be effective to prevent rescue analgesics or antiemetics
use.
PMID- 26559297
TI - Monitoring Hepatocyte Dysfunction and Biliary Complication After Liver
Transplantation Using Quantitative Hepatobiliary Scintigraphy.
AB - The significance of hepatobiliary scintigraphy (HBS) for hepatic graft function
assessment was established mostly on retrospective studies and was not widely
recognized due to the lack of quantitative data and variation in accuracy. This
prospective study was performed to investigate the effectiveness of quantitative
HBS for assessing hepatocyte dysfunction and biliary complication in liver
transplant recipients.In 57 recipients who had undergone orthotopic liver
transplantation, a total of 67 dynamic Tc-EHIDA scans were performed and
quantitative parameters including the hepatocyte extraction fraction (HEF), time
to maximum hepatic radioactivity (Tmax), and time for peak activity to decrease
by 50% (T1/2) were calculated. The scintigraphic results based on the 3
parameters were compared against the final diagnosis. A ROC curve analysis was
carried out to identify the cutoff value of Tmax for diagnosis of biliary
stricture. Correlation between the parameters of postoperative HBS and
conventional biochemical liver function indices were also analyzed.Quantitative
Tc-EHIDA HBS had an overall sensitivity of 94.12% (16/17), specificity of 93.33%
(42/45), and diagnostic accuracy of 93.55% (58/62) for detecting hepatocyte
dysfunction and biliary complication in liver transplant recipients. The
recommended cutoff value of Tmax for diagnosis of post-transplant biliary
stricture was set at 15.75 min with a sensitivity of 100.0% and a specificity of
94.0%. The scintigraphic parameters (HEF, Tmax) were statistically significantly
associated with the conventional liver function parameters.Quantitative Tc-EHIDA
HBS offers a noninvasive imaging modality with high sensitivity and specificity
to diagnose hepatocyte dysfunction as well as distinguish between patients with
or without biliary stricture following liver transplantation. Furthermore, HEF
and Tmax values obtained from dynamic HBS show good correlation with conventional
liver function parameters.
PMID- 26559298
TI - Survival Prediction Model Using Clinico-Pathologic Characteristics for Nonsmall
Cell Lung Cancer Patients After Curative Resection.
AB - The current TNM staging system did not provide disease relapse information. The
aim of study was try to establish a predictive survival model for disease and
overall survival in nonsmall cell lung cancer patients who presented as
resectable disease and to develop a reference for follow-up imaging tool
selection.From January 2005 to December 2011, 442 patients who initially
presented as resectable disease (stages I-IIIa) and received anatomic resection
and mediastinal lymph node dissection were included in the study.Medical charts
were thoroughly reviewed and clinico-pathologic factors were collected and
analyzed.Visceral pleural invasion, tumor size >5 cm, and postoperative adjuvant
therapy were identified as risk factors for poorer disease-free survival. The 5
year disease-free survival from score 0 to 3 was 68.7%, 46.6%, 31.9%, and 26.1%,
respectively. The disease relapse percentage for scores 0 to 3 were 26.49%,
50.61%, 65.05%, and 73.81%, respectively. For analysis of overall survival, age
>60 years, tumor size >3 cm, and total metastatic lymph node ratio >0.05 were
correlated to worse overall survival. Because greater age may be correlated with
poor general condition, we re-scored risk factors that correlated to disease
severity that ranging from 0 to 2. The 5-year overall survival range from score 0
to 2 was 56.3%, 43.1%, and 13.1%, respectively.Poor prognostic factors correlated
to disease-free survival were tumor size >5 cm, visceral pleural invasion, and
patients needing to receive postoperative adjuvant therapy. Disease-free survival
of resectable nonsmall cell lung cancer patients and disease relapse can be
stratified by these 3 factors. Chest tomography may be recommended for patients
with 1 or more poor disease-free survival risk factors.
PMID- 26559299
TI - Prognostic Value of Serum Tumor Markers in Medullary Thyroid Cancer Patients
Undergoing Vandetanib Treatment.
AB - Tyrosine kinase inhibitors (TKIs) such as vandetanib have shown clinical
effectiveness in advanced medullary thyroid cancer (MTC). During TKI treatment,
fluctuations in the tumor markers carcinoembryonic antigen (CEA) and calcitonin
(CTN) are frequently observed. Their role for treatment monitoring and the
decision-making process has not been fully elucidated yet.Twenty-one patients
(male, 16, female, 5; mean age, 49 +/- 13 years) with progressive MTC receiving
vandetanib (300 mg orally per day) were considered. Tumor restaging was performed
every 3 months including contrast-enhanced computed tomography (CT). Response was
assessed according to recent criteria (Response Evaluation Criteria in Solid
Tumors, RECIST 1.1). Additionally, CEA and CTN were measured at the day of CT
imaging and alterations observed in tumor markers were compared to respective
imaging findings (partial response, PR; stable disease, SD; progressive disease,
PD).During long-term follow-up (510 +/- 350 days [range, 97-1140 days]), CTN and
CEA levels initially dropped in 71.4% and 61.9% of the patients followed by
fluctuations in serum marker levels. A rise in CTN >=39.5% between 2 subsequent
measurements (defined by ROC analysis) had a sensitivity of 70.6% and a
specificity of 83.2% in predicting PD with an accuracy of 82.0% (area under the
curve (AUC), 0.76). Oscillations in CEA levels were not predictive for PD.Whereas
tumor marker fluctuations in MTC patients undergoing TKI treatment are a frequent
phenomenon, a significant rise in CTN >=40% turns out to as an early indicator of
tumor progression.
PMID- 26559300
TI - Prevalence and Predictive Factors of Chronic Postsurgical Pain and Global
Surgical Recovery 1 Year After Outpatient Knee Arthroscopy: A Prospective Cohort
Study.
AB - Outpatient knee arthroscopy is one of the most commonly performed surgical
procedures. Previous research has demonstrated that chronic postsurgical pain
(CPSP) after outpatient surgery is prevalent. Our objective was to determine the
prevalence and predictive factors of CPSP and Global Surgical Recovery (GSR) 1
year after knee arthroscopy.A prospective longitudinal cohort study was
performed. Patients were included during an 18-month period. Data were collected
by using 3 questionnaires: at 1 week preoperatively, 4 days postoperatively, and
1 year postoperatively. A value of >3 on an 11-point numeric rating scale (NRS)
was defined as moderate to severe pain. A score of <=80% on the Global Surgical
Recovery Index was defined as poor GSR. Stepwise logistic regression analysis was
performed to determine which variables were predictors for CPSP and poor GSR.The
prevalence of moderate to severe preoperative pain in patients undergoing knee
arthroscopy (n = 104) was 71.2%, of acute postsurgical pain 37.5%, and of CPSP
32.7%. Risk factors for CPSP were the presence of preoperative pain and
preoperative analgesic use, with odds ratios of 6.31 (1.25-31.74) and 4.36 (1.58
12.07), respectively. The prevalence of poor GSR 1 year after outpatient knee
arthrosocpy was 50.0%. Poor GSR 4 days after the surgery was a risk factor with
an odds ratio of 8.38 (0.92-76.58) and quality of life 4 days after surgery was a
protective factor with and odds ratio of 0.10 (0.02-0.64).Both CPSP and poor GSR
are common 1 year after knee arthroscopy. Patients at risk for CPSP can be
identified during the preoperative phase. Prediction of poor GSR 1 year after
surgery is mainly related to early postoperative recovery.
PMID- 26559301
TI - Association Between Statin Use and Open-angle Glaucoma in Hyperlipidemia
Patients: A Taiwanese Population-based Case-control Study.
AB - The aim of the study was to investigate the association between statin use and
open-angle glaucoma (OAG) risk in hyperlipidemia patients.We used the research
database of the Taiwan National Health Insurance program to conduct a population
based case-control study. A total of 1276 patients with newly diagnosed OAG were
identified from 2004 to 2011. Controls comprised of 12,760 patients without
glaucoma and were frequency-matched for age, sex, history of diabetes mellitus,
and year of hyperlipidemia diagnosis at a 1:10 ratio. Accumulated defined daily
doses (DDDs) of statins prescribed during follow-up were calculated. Average
statin use was calculated as the sum of DDDs divided by the duration from the
initial statin prescription date to the index date (per year), and was subdivided
into 3 levels: <30, 30 to 119, and >=120 DDDs. Comorbidity, including
hypertension, depression, and the Charlson comorbidity index, the frequency of
eye care visits, and the use of nonstatin cholesterol-lowering drugs, were all
considered as confounding factors.For the group with statin use, the adjusted
odds ratio of OAG was 1.02 (95% confidence interval 0.90-1.15) when compared with
the group without statin use. Subanalysis showed that a high dosage of statin use
(>=120 DDD/y) resulted in a1.24-fold increased risk of OAG (odds ratio 1.24, 95%
confidence interval 1.03-1.49). The incidence of OAG was increased with the
increase of the dosage of statin use (P for trend = 0.0458).Clinicians should be
cautious of hyperlipidemia patients with a high dosage of statin use because it
might be associated with an increased risk of OAG. Ophthalmologist consultation
is necessary for this high-risk group.
PMID- 26559303
TI - Case Report of Multimodality Imaging in Omental Cake: Plain Radiograph, Computed
Tomography, and Ultrasonography: A Care-Compliant Article.
AB - The imaging finding of omental cake has been demonstrated in other modalities,
such as computed tomography, magnetic resonance imaging, and ultrasonography.
However, to the best of our knowledge, the image presentation of omental cake on
a routine kidney-ureter-bladder film has not been reported before in the
literature. We presented a unique case of a 61-year-old woman, with known
advanced cecal colon mucinous adenocarcinoma, presented to our institution with
abdominal fullness, poor appetite, and decreased stool passage for 20 days.
Physical examination was unremarkable, except distended abdomen. Subsequent study
revealed massive post-pigtail catheter drainage ascites with a prominent soft
tissue mass-causing centralization and tethering of focally distended small bowel
gas, suggestive of omental cake on plain radiograph. The imaging finding in plain
radiograph corresponds to the findings in other imaging modalities, including
abdominal sonography and computed tomography. The patient underwent subtotal
colectomy and ileostomy during later courses of chemotherapy due to adhesion
ileus and possible intraabdominal abscess, and pathologic study confirmed the
diagnosis of cecal mucinous adenocarcinoma and peritoneal carcinomatosis.
Although the image finding of omental cake on plain radiograph has never been
described, this image finding is unique and should be recognized, as it may
suggest the presence of omental cake when first identified in the emergency
department from patients with abdominal distension and warrant further evaluation
to evaluate the underlying cause.
PMID- 26559302
TI - Increased Risk of Acute Coronary Syndrome in Patients With Diverticular Disease:
A Nationwide Population-Based Study.
AB - Diverticular disease and acute coronary syndrome (ACS) are common disorders that
share several risk factors. Few researchers have evaluated the association
between diverticular disease and ACS. We aimed to assess the risk of ACS in
patients with diverticular disease. A nationwide retrospective cohort study was
conducted by analyzing data from the National Health Insurance Research Database
in Taiwan. All patients aged >=20 years with a diagnosis of diverticular disease
from January 1, 2000, to December 31, 2011, were included in this study. For
comparison, patients without diverticular disease were randomly selected and
matched with the study cohort at a 4:1 ratio according to age, sex, and the year
of the diagnosis of diverticular disease. Patients with incomplete age or sex
information and a history of cardiovascular diseases were excluded from the
study. All patients were followed until an ACS event, withdrawal from the
insurance program, or December 31, 2011. In this study, 52,681 patients with
diverticular disease and 210,724 patients without diverticular disease were
included. Men accounted for 56.1% of patients and 57.8% of patients were >=50
years old. The overall incidence density of ACS in patients with diverticular
disease (45.5 per 10,000 person-years) was significantly higher than in those
without diverticular disease (30.3 per 10,000 person-years), with an adjusted
hazard ratio (HR) of 1.23 (95% confidence interval [CI], 1.14-1.32) after
adjustment for age, sex, and comorbidities. The cumulative incidence of ACS in
patients with diverticular disease was significantly higher than that in the
control cohort (log-rank test, P < 0.001). The adjusted HRs for the development
of ACS were 1.25 (95% CI, 1.15-1.37) and 1.19 (95% CI, 1.07-1.32) in patients
with diverticulitis and diverticulosis, respectively. The adjusted HRs of ACS in
patients with diverticular disease additionally increased from 1.97 (95% CI, 1.73
2.23) in patients with 1 comorbidity to 5.51 (95% CI, 3.88-7.84) in those with
>=5 comorbidities. This large population-based retrospective study revealed an
association between diverticular disease and ACS. Further research is warranted
to determine the exact mechanism of the link between these diseases.
PMID- 26559304
TI - Serum TRSUT Titer >=1: 16 Is a Predictor for Neurosyphilis Among HIV-Infected
Patients With Concurrent Syphilis and No Neurological Symptoms.
AB - Investigating the predictors for lumbar puncture to diagnose the asymptomatic
neurosyphilis among HIV and syphilis co-infected patients in Shanghai, China.
Respectively, screening the medical records from August 1, 2009 to June 30, 2015.
Those HIV-infected patients with concurrent syphilis who had received lumbar
puncture were selected and their clinical and demographic data were recorded.
Participants comprised symptomatic and asymptomatic patients. The latter ones
could be further divided into 3 groups: late syphilis, early syphilis with anti
syphilis treatment failure, and early syphilis with serum toludine red unheated
serum test (TRUST) >=1:32. Both syphilis stage and anti-syphilis treatment effect
were defined by common criteria, and syphilis of unknown duration was considered
as late syphilis. Asymptomatic neurosyphilis was defined as neurosyphilis without
neurological symptoms such as headache, cognitive dysfunction, motor deficits,
auditory or ophthalmic abnormalities, and stroke. Neurosyphilis was defined as
reactive cerebrospinal fluid (CSF) TRUST and/or CSF white blood cell >20
cells/MUL without other reasons. Mann-Whitney test and Fisher's exact test were
used for analyzing the difference between neurosyphilis and non-neurosyphilis
group. Logistic regression test was performed to analyze the risk factors for
neurosyphilis. In total, 170 participants were collected, and the rate of
neurosyphilis was 32.35%. Among all the 105 participants without neurological
symptoms, 80 patients were with late syphilis and 25 were with early syphilis.
Among the early syphilis patients, 23 had a TRUST >=1:32 and the other 2
experienced an anti-syphilis treatment failure. The differences of clinical and
demographic variables between neurosyphilis and non-neurosyphilis group were not
statistically significant except the serum TRUST titer (P < 0.01). From
HIV/syphilis co-infected patients with or without neurological symptom, those who
had neurological symptoms, CD4 <350 per MUL and serological TRUST titer >=1:16
were 4.9-fold (95% confidence interval [CI]: 2.37-10.31), 4.3-fold (95% CI: 1.17
15.78), and 4.1-fold (95% CI: 1.58-10.76), respectively, more likely to be
diagnosed with neurosyphilis. Asymptomatic patients whose serum TRUST titer
>=1:16 were 8.48-fold (95% CI: 1.08-66.63) more likely to have asymptomatic
neurosyphilis. Among asymptomatic HIV-infected patients with late syphilis or
early syphilis experienced an anti-syphilis treatment failure, those who have a
serum TRUST titer >=1:16 are suggested to perform lumbar puncture in order to
avoid delayed diagnosis and the occurrence of severe sequelae of syphilis.
PMID- 26559305
TI - Risk Factors for Long-Term Mortality and Progressive Chronic Kidney Disease
Associated With Acute Kidney Injury After Cardiac Surgery.
AB - The aim of the study was to evaluate risk factors for long-term mortality and
progressive chronic kidney disease (CKD) after cardiac surgery in patients with
normal preoperative renal function and postoperative acute kidney injury (AKI).
From April 2009 to December 2012, we prospectively enrolled 3245 cardiac surgery
patients of our hospital. The primary endpoints included survival rates and the
secondary endpoint was the incidence of progressive chronic kidney disease (CKD)
in a follow-up period of 2 years. Acute kidney injury was staged by KDIGO
classification. Progressive CKD was defined as GFR <= 30 mL/min/1.73 m or end
stage renal disease (ESRD) (starting renal replacement therapy or renal
transplantation).The AKI incidence was 39.9% (n = 1295). The 1 and 2 year overall
survival (OS) rates of AKI patients were significantly lower than that for non
AKI patients (85.9% and 82.3% vs 98.1% and 93.7%, P < 0.001), even after complete
recovery of renal function during 2 years after intervention (P < 0.001). The 2
year overall survival (OS) rates of patients with AKI stage 1, 2, and 3 were
89.9%, 78.6%, and 61.4% (P < 0.001), respectively. Multivariate Cox regression
analysis of factors for 2-year survival rates revealed that besides age (P <
0.001), chronic cardiac failure (P < 0.001), diabetes (P < 0.001),
cardiopulmonary bypass time (P < 0.01), and length of intensive care unit (ICU)
stay (P = 0.004), AKI was a significant risk factor for reducing 2-year survival
rates even after complete recovery of renal function (P < 0.001). The accumulated
progressive CKD prevalence was significantly higher in AKI than in non-AKI
patients (6.8% vs 0.2%, P < 0.001) in the 2 years after surgery. Even with
complete recovery of renal function at discharge, AKI was still a risk factor for
accumulated progressive CKD (RR 1.92, 95% CI 1.37-2.69).The 2-year mortality and
progressive CKD incidence even after complete recovery of renal function were
significantly increased in cardiac surgery patients with postoperative AKI.
PMID- 26559306
TI - Medical Malpractice in Wuhan, China: A 10-Year Autopsy-Based Single-Center Study.
AB - Medical disputes in China are historically poorly documented. In particular,
autopsy-based evaluation and its impact on medical malpractice claims remain
largely unstudied. This study aims to document autopsy findings and medical
malpractice in one of the largest cities of China, Wuhan, located in Hubei
Province. A total of 519 autopsies were performed by the Department of Forensic
Medicine, Wuhan University School of Medicine, Wuhan, China, over a 10-year
period between 2004 and 2013. Of these cases, 190 (36.6%) were associated with
medical malpractice claims. Joint evaluation by forensic pathologists and
clinicians confirmed that 97 (51.1%) of the 190 claims were approved medical
malpractice cases. The percentage of approved malpractice cases increased with
patient age and varied according to medical setting, physician specialty, and
organ system. The clinico-pathological diagnostic discrepancy was significantly
different among various physician specialties (P = 0.031) and organ systems (P =
0.000). Of those cases involved in malpractice claims, aortic dissection,
coronary heart disease, and acute respiratory infection were most common.
Association between incorrect diagnosis and malpractice was significant (P =
0.001). This is the first report on China's medical malpractice and findings at
autopsy which reflects the current state of health care services in one of the
biggest cities in China.
PMID- 26559307
TI - Comparison of Clinical and Radiological Improvement Between the Modified Trephine
and High-speed Drill as Main Osteotomy Instrument in Pedicle Subtraction
Osteotomy.
AB - High-speed drill is the main osteotomy instrument in pedicle subtraction
osteotomy (PSO) currently. Considering the long duration of surgery, the large
amount of blood loss, and the high incidence of neurovascular injury, the
osteotomy procedure is challenging. Use of trephine for the osteotomy displays
high efficiency by shortening surgery time and reducing blood loss in anterior
cervical corpectomy and fusion. However, the potential risk of neurological
injury is high. We modified the trephine by adding locking instrument, when the
serrated top of the trephine reaches the tip of the probe; the locking instrument
on the probe restricts the trephine and improves security during the osteotomy
procedure.The aim of this study was to compare the clinical and radiological
improvement between the modified trephine and high-speed drill as main osteotomy
instrument in PSO.From February 2009 to 2013, 50 patients with severe
thoracolumbar kyphotic deformity caused by old compressive vertebrae were
prospectively reviewed. All patients were randomly assigned to the experimental
group (27 patients received PSO with modified trephine) and the control group (23
patients received PSO with high-speed drill). The clinical records were reviewed
and compared for surgical time, operative blood loss, functional improvement
(Oswestry Disability Index), and pain relief (visual analog scale). The
radiological records were reviewed and compared for correction of kyphotic
deformity postoperatively and correction loss at 2-year follow-up.All patients
successfully finished the PSO procedure, and got satisfactory kyphotic deformity
correction and overall function improvement. The surgery time was shorter in the
experimental group than that in the control group (132.7 +/- 12.6 vs 141.7 +/-
16.7 min; P = 0.03). No significant difference was found in blood loss (882.9 +/-
98.9 mL vs 902.2 +/- 84.9 mL; P = 0.47) or correction of the kyphotic angle (33.4
+/- 3.4 degrees vs 32.1 +/- 2.5 degrees , P = 0.13) postoperatively between the
2 groups. At 24-month follow-up, no difference was discovered in loss of the
correction (4.9 +/- 1.6 degrees vs 4.5 +/- 1.6 degrees ; P = 0.42), change of
Oswestry Disability Index (49.4 +/- 6.2% vs 48.2 +/- 4.2%; P = 0.44), or in back
pain relief (6.2 +/- 1.4 vs 6.4 +/- 1.2 min; P = 0.51) between the 2 groups. No
internal fixation related complication occurred and bony fusion was detected in
lateral X-ray in all patients. In the control group, 2 patients had transient
nerve root deficit, 14 patients at 3-month follow-up and 3 patients at 2-year
follow-up experienced graft donor site morbidity, and pain killer medicine was
always required.In conclusion, the modified trephine obviously shortens surgery
time, and prevents graft donor site morbidity when compared to a high-speed
drill. The learning curve for using the modified trephine in PSO procedure is
short.
PMID- 26559308
TI - An Exploration of the Role of MicroRNAs in Psoriasis: A Systematic Review of the
Literature.
AB - Psoriasis is recently characterized by a specific microRNAs (miRNAs) expression
profile, which guides the researchers' efforts to explore the therapeutic targets
and objective biomarkers that reflect the diagnosis and disease activity in
clinical use for psoriasis.The paper presents a state-of-the-art review of
expression and function of miRNAs in psoriasis along with its clinical
implications.We analyzed all literature searched by keywords "microRNA" and
"psoriasis" in PubMed (Medline) from inception up to July 2015, and the
references in the literature searched were also considered.Relevant literature
was chosen according to the objective of this review. Relevant literature was
searched by 3 independent investigators, and experts in the field of miRNAs and
psoriasis were involved in analyzing process.We included any study in which role
of miRNAs in psoriasis was examined in relation to disease pathogenesis,
diagnosis, and treatment.The specific miRNAs profile has been identified from
human psoriatic skin, blood, and hair samples. It is found that genetic
polymorphisms related to some of specific miRNAs, miR-146a for example, are
associated with psoriasis susceptibility. Key roles of several unique miRNAs,
such as miR-203 and miR-125b, in inflammatory responses and immune dysfunction,
as well as hyperproliferative disorders of psoriatic lesions have been revealed.
Moreover, circulating miRNAs detected from blood samples have a potential of
clinic application to be the biomarkers of diagnosis, prognosis, and treatment
responses. Additionally, a new layer of regulatory mechanisms mediated by miRNAs
is to some extent revealed in pathogenesis of psoriasis.The dramatically altered
mRNA expression profiles are displayed in psoriasis, and some of these may become
disease markers and therapeutic targets. Herein, this work underscores the
potential importance of miRNAs to diagnosis, prognosis, and treatment of
psoriasis. However, further study in this field is worth doing in the future, as
the exact roles of miRNAs in psoriasis have not been fully elucidated.Systematic
review registration number is not registered.
PMID- 26559309
TI - Antidepressant Effects of Electroconvulsive Therapy Correlate With Subgenual
Anterior Cingulate Activity and Connectivity in Depression.
AB - The mechanisms underlying the effects of electroconvulsive therapy (ECT) in major
depressive disorder (MDD) are not fully understood. Resting-state functional
magnetic resonance imaging (rs-fMRI) is a new tool to study the effects of brain
stimulation interventions, particularly ECT. The authors aim to investigate the
mechanisms of ECT in MDD by rs-fMRI.They used rs-fMRI to measure functional
changes in the brain of first-episode, treatment-naive MDD patients (n = 23)
immediately before and then following 8 ECT sessions (brief-pulse square-wave
apparatus, bitemporal). They also computed voxel-wise amplitude of low-frequency
fluctuation (ALFF) as a measure of regional brain activity and selected the left
subgenual anterior cingulate cortex (sgACC) to evaluate functional connectivity
between the sgACC and other brain regions.Increased regional brain activity
measured by ALFF mainly in the left sgACC following ECT. Functional connectivity
of the left sgACC increased in the ipsilateral parahippocampal gyrus, pregenual
ACC, contralateral middle temporal pole, and orbitofrontal cortex. Importantly,
reduction in depressive symptoms were negatively correlated with increased ALFF
in the left sgACC and left hippocampus, and with distant functional connectivity
between the left sgACC and contralateral middle temporal pole. That is, across
subjects, as depression improved, regional brain activity in sgACC and its
functional connectivity increased in the brain.Eight ECT sessions in MDD patients
modulated activity in the sgACC and its networks. The antidepressant effects of
ECT were negatively correlated with sgACC brain activity and connectivity. These
findings suggest that sgACC-associated prefrontal-limbic structures are
associated with the therapeutic effects of ECT in MDD.
PMID- 26559310
TI - Perioperative Blood Glucose Levels <150 mg/dL are Associated With Improved 5-Year
Survival in Patients Undergoing On-Pump Cardiac Surgery: A Prospective,
Observational Cohort Study.
AB - Hyperglycemia is common during and after Coronary Artery Bypass Graft Surgery
(CABGS) and has been shown to be associated with poor clinical outcomes. In this
study, we hypothesized that a moderate perioperative mean blood glucose level of
<150 mg/dL improves long-term survival in cardiac surgery patients. We conducted
a prospective, observational cohort study in the heart center of the University
Medical Center of Goettingen, Germany. Patients undergoing on-pump cardiac
surgery were enrolled in this investigation. After evaluating perioperative blood
glucose levels, patients were classified into 2 groups based on mean glucose
levels: Glucose >=150 mg/dL and Glucose <150 mg/dL. Patients were followed up for
5 years, and mortality within this period was recorded as the primary outcome
parameter. Secondary outcome parameters included the length of ICU stay, the use
of inotropic agents, the length of hospital stay, and the in-hospital mortality.
A total of 455 consecutive patients who underwent cardiac surgery with
cardiopulmonary bypass were enrolled in this investigation. A Kaplan-Meier
survival analysis of the 5-year mortality risk revealed a higher mortality risk
among patients with glucose levels >=150 mg/dL (P = 0.0043, log-rank test). After
adjustment for confounders in a multivariate Cox regression model, the
association between glucose >=150 mg/dL and 5-year mortality remained significant
(hazard ratio, 2.10; 95% CI, 1.30-3.39; P = 0.0023). This association was
corroborated by propensity score matching, in which Kaplan-Meier survival
analysis demonstrated significant improvement in the 5-year survival of patients
with glucose levels <150 mg/dL (P = 0.0339). Similarly, in-hospital mortality was
significantly higher in patients with glucose >=150 mg/dL compared with patients
with glucose <150 mg/dL. Moreover, patients in the Glucose >=150 mg/dL group
required significantly higher doses of the inotropic agent Dobutamine (mg/d)
compared with patients in the Glucose <150 mg/dL group (20.6 +/- 62.3 and 10.5 +/
40.7, respectively; P = 0.0104). Moreover, patients in the Glucose >=150 mg/dL
group showed a significantly longer hospital stay compared with patients in the
Glucose <150 mg/dL group (28 +/- 23 and 24 +/- 19, respectively; P = 0.0297). We
conclude that perioperative blood glucose levels <150 mg/dL are associated with
improved 5-year survival in patients undergoing cardiac surgery. More studies are
warranted to explain this effect.
PMID- 26559311
TI - Long-Term Use of Selective Serotonin Reuptake Inhibitors and Risk of Glaucoma in
Depression Patients.
AB - This study investigated whether the long-term use of selective serotonin reuptake
inhibitors (SSRIs) influences the risk of primary open-angle glaucoma (POAG) and
primary angle-closure glaucoma (PACG) in the Chinese ethnic population in
Taiwan.The authors retrieved the data under analysis from the National Health
Insurance Research Database in Taiwan and identified 26,186 newly diagnosed
depression patients without preexisting glaucoma. The study cohort included
13,093 patients with over 1 year of SSRI use, and a comparison cohort of 13,093
patients who had never used SSRIs. The main outcome was a diagnosis of POAG or
PACG during follow-up. The authors used univariable and multivariable Cox
proportional hazards regression models to assess the effects of SSRIs on the risk
of POAG and PACG.The cumulative incidences of POAG and PACG between the SSRI and
comparison cohorts exhibited nonsignificant differences (log-rank test P = .52
for POAG, P = .32 for PACG). The overall incidence of POAG in the SSRI cohort was
nonsignificantly higher than that in the comparison cohort (1.51 versus 1.39 per
1000 person-years), with an adjusted hazard ratio of 1.07 (95% confidence
interval = 0.82-1.40). The overall incidence of PACG in the SSRI cohort was
nonsignificantly lower than that in the comparison cohort (0.95 versus 1.11 per
1000 person-years), with an adjusted hazard ratio of 0.85 (95% confidence
interval = 0.62-1.18).The long-term use of SSRIs does not influence the risk of
POAG or PACG in depression patients.
PMID- 26559312
TI - Extensive Metastatic Cholangiocarcinoma Associated With IgG4-Related Sclerosing
Cholangitis Misdiagnosed as Isolated IgG4-Related Sclerosing Cholangitis: A Case
Report and Literature Review.
AB - As cholangiographic features of IgG4-related sclerosing cholangitis (IgG4-SC)
resemble those of cholangiocarcinoma, it is highly confusing between the 2
conditions on the basis of cholangiographic findings. This study presents a case
of extensive metastatic cholangiocarcinoma with IgG4-SC misdiagnosed as isolated
IgG4-SC, and reviews recent studies of the 2 diseases.A 56-year-old man with no
family history of malignant tumors or liver diseases presented with recurrent
mild abdominal pain and distention for 3 months. Magnetic resonance
cholangiopancreatography showed a 3.7 cm nodular lesion with unclear boundary in
segment VI of the liver. Serum IgG4 and CA19-9 were slightly elevated.
Histopathological examination was consistent with the consensus statement on the
pathology of IgG4-SC. IgG4-SC was thus considered. Due to his mild symptoms,
glucocorticoid was not given at first. However, 3 months after his first
admission, he had more severe abdominal pain and further elevated serum CA19-9.
Actually he was found suffering from extensive metastatic cholangiocarcinoma with
IgG4-SC by exploratory laparotomy.The present case serves as a reminder that
extensive metastatic cholangiocarcinoma with or without IgG4-SC may be
misdiagnosed as an isolated IgG4-SC case if one relies solely on elevated serum
and tissue IgG4 levels. We emphasize on the importance of repeated core needle
biopsy or exploratory laparoscopy/laparotomy before immunosuppressive drugs are
given, and on follow-up of imaging findings and serum CA19-9 once
immunosuppressive therapy is started.
PMID- 26559313
TI - Initial and Middle-Term Outcome of Treatment for Spontaneous Isolated Dissection
of Superior Mesenteric Artery.
AB - Symptomatic isolated dissection of the superior mesenteric artery (SIDSMA)
represents an extremely rare condition. Although various treatments including
conservative treatment, endovascular stenting (ES), and surgical repair are
currently available, consensus treatment guideline is absent due to scarce of
SIDSMA cases. Thus, we present our experience in the treatment of SIDSMA at our
single center.Fourteen cases of SIDSMA were treated with conservative treatment,
catheter-directed thrombolysis (CDT), endovascular stenting (ES), or surgical
repair at our center between January 2008 and January 2014. Demographics,
clinical manifestations, coexisting medical conditions, imaging feature,
treatments, and follow-up outcome of these patients were retrospectively
collected and analyzed.For 13 patients without peritonitis, conservative
treatment was given for 4 to 6 days initially. After the first observation cycle,
symptoms and signs were alleviated in 8 patients, and conservative treatments
were continued. The remaining 5 patients received technically and clinically
successful ES (in 4) or CDT (in 1) due to worsening symptoms and signs during
conservative treatment. One patient with peritonitis underwent emergency surgery,
with the necrotic small intestine resected. However, the abdominal pain was not
alleviated 17 days postoperatively, ES was thus performed and symptoms relieved
immediately. Two weeks after ES, a new aneurysm and partial thrombosis in the
distal part of the stent were found by computed tomography angiography in this
patient. No intestinal infarction or mortality developed during hospitalization.
Follow-up was accomplished in 11 cases, ranging from 4 to 74 months (23.5 +/-
21.3). Except that one complained with mild abdominal pain, the other 10 achieved
complete remission. All patients were free from new aneurysmal formation of SMA
and all stents remained patent.For SIDSMA without peritonitis, conservative
treatment can be provided with reasonable success rate, while ES may serve as an
effective alternative once conservative treatment fails. For SIDSMA with
peritonitis, open surgery remains the treatment of choice by resection of
necrotic intestine and revasculization.
PMID- 26559314
TI - Factors Associated With Oncologic Outcomes Following Abdominoperineal or
Intersphincteric Resection in Patients Treated With Preoperative
Chemoradiotherapy: A Propensity Score Analysis.
AB - Due to selection bias, the oncologic outcomes of APR and ISR have not been
compared in an interpretable manner, especially in patients treated with
preoperative CRT. To assess factors influencing oncologic outcomes in patients
with locally advanced low rectal cancer treated with preoperative
chemoradiotherapy (CRT) followed by abdominoperineal resection (APR) or
intersphincteric resection (ISR).Between 2006 and 2011, 202 consecutive patients
who underwent APR or ISR after preoperative CRT for locally advanced rectal
cancer were enrolled in this study. The median follow-up period was 45.3 months
(range: 5-85.2 months). Multivariate and propensity score matching (PSM) analyses
were performed to reduce selection bias.Of the 202 patients, 40 patients (19.8%)
underwent APR and 162 (80.2%) required ISR. In unadjusted analysis, patients
undergoing APR had a higher 5-year local recurrence (P < 0.001) and distant
metastasis rate (P = 0.01), respectively. However, the higher local recurrence
rate for APR persisted even after PSM, and these findings were verified in the
multivariate analyses. Moreover, patients with advanced tumors, as assessed by
restaging magnetic resonance imaging and luminal circumferential involvement, had
a significantly higher local recurrence rate after APR compared with ISR.This is
the first PSM based analysis providing evidence of a worse oncologic outcome
after APR compared with ISR. In addition, the results of the subgroup analysis
suggest that a more radical modification of the current APR is required in cases
of advanced cancer.
PMID- 26559315
TI - Higher Frequency of CD4+CXCR5+ICOS+PD1+ T Follicular Helper Cells in Patients
With Infectious Mononucleosis.
AB - Follicular helper T (Tfh) cells are recognized as a distinct CD4helper T cell
subset, and mainly dysregulated in the autoimmune disease, whether it plays a
role in the infectious mononucleosis (IM) diseases is unknown. In this study, we
found that the CD4CXCR5 Tfh cells were not significantly changed, but the
CD4CXCR5ICOS and CD4CXCR5ICOSPD1 Tfh subsets were significantly increased in the
IM patients, and all these cells were significantly changed after antiviral
therapy. Second, only the numbers of CD4CXCR5ICOSPD1 Tfh cells correlated with
the Epstein-Barr virus (EBV) DNA load, negatively correlated with the numbers of
naive B cells and amount of IL-21, and positively correlated with the numbers of
plasma cells, memory B cells, and atypical lymphocytes. Third, the frequency of
CD4CXCR5ICOSPD1 Tfh subset was significantly higher in lymphadenectasis or
hepatosplenomegaly patients, and associated with the level of alanine
aminotransferase (ALT). All together, our findings discovered this
CD4CXCR5ICOSPD1 Tfh cell subset might play an important role in the pathogenesis
of IM.
PMID- 26559316
TI - Vaccine candidates for malaria: what's new?
AB - Although it is more than a decade since the parasite genome information was
obtained, standardized novel genome-wide selection/prioritization strategies for
candidacy of malaria vaccine antigens are still sought. In the quest to
systematically identify candidates, it is impossible to overemphasize the
usefulness of wheat germ cell-free technology in expressing quality proteins for
the post-genome vaccine candidate discovery.
PMID- 26559317
TI - Andexanet Alfa for the Reversal of Factor Xa Inhibitor Activity.
AB - BACKGROUND: Bleeding is a complication of treatment with factor Xa inhibitors,
but there are no specific agents for the reversal of the effects of these drugs.
Andexanet is designed to reverse the anticoagulant effects of factor Xa
inhibitors. METHODS: Healthy older volunteers were given 5 mg of apixaban twice
daily or 20 mg of rivaroxaban daily. For each factor Xa inhibitor, a two-part
randomized placebo-controlled study was conducted to evaluate andexanet
administered as a bolus or as a bolus plus a 2-hour infusion. The primary outcome
was the mean percent change in anti-factor Xa activity, which is a measure of
factor Xa inhibition by the anticoagulant. RESULTS: Among the apixaban-treated
participants, anti-factor Xa activity was reduced by 94% among those who received
an andexanet bolus (24 participants), as compared with 21% among those who
received placebo (9 participants) (P<0.001), and unbound apixaban concentration
was reduced by 9.3 ng per milliliter versus 1.9 ng per milliliter (P<0.001);
thrombin generation was fully restored in 100% versus 11% of the participants
(P<0.001) within 2 to 5 minutes. Among the rivaroxaban-treated participants, anti
factor Xa activity was reduced by 92% among those who received an andexanet bolus
(27 participants), as compared with 18% among those who received placebo (14
participants) (P<0.001), and unbound rivaroxaban concentration was reduced by
23.4 ng per milliliter versus 4.2 ng per milliliter (P<0.001); thrombin
generation was fully restored in 96% versus 7% of the participants (P<0.001).
These effects were sustained when andexanet was administered as a bolus plus an
infusion. In a subgroup of participants, transient increases in levels of d-dimer
and prothrombin fragments 1 and 2 were observed, which resolved within 24 to 72
hours. No serious adverse or thrombotic events were reported. CONCLUSIONS:
Andexanet reversed the anticoagulant activity of apixaban and rivaroxaban in
older healthy participants within minutes after administration and for the
duration of infusion, without evidence of clinical toxic effects. (Funded by
Portola Pharmaceuticals and others; ANNEXA-A and ANNEXA-R ClinicalTrials.gov
numbers, NCT02207725 and NCT02220725.).
PMID- 26559318
TI - Posterior-anterior(PA) pressure Puffin for measuring and treating spinal
stiffness: Mechanism and repeatability.
AB - BACKGROUND: Posterior-anterior (PA) pressure technique is widely used for
assessing and treating spinal segments. PA pressure is manually applied and
stiffness is subjectively assessed. The method has been deemed unreliable and is
associated with occupational strain. OBJECTIVES: To introduce a new ergonomically
designed hand-held device measuring spinal stiffness, and to assess its
repeatability. DESIGN: Quasi experimental study. METHOD: A convenience sample of
30 university students, 20-30 years old was used. The participants were tested
two consecutive days by two physical therapy students using the new device; the
PA pressure Puffin. The spinal segments under study were L1, Th12, Th7 and Th6
which all were tested three times with 9 kg force by both testers, both days.
Intra-class correlation coefficients (ICC3,k) were used to assess intra- and
inter-tester repeatability and analysis of variance with alpha-level at 0.05 was
used to assess differences in joint mobility at the four segments measured.
Linear regression analyses were used to assess repeatability. RESULTS: Inter
tester and intra-tester coefficients (ICCs) ranged from 0.88 to 0.97 and from
0.83 to 0.97, respectively. There was no significant difference in displacement
between Th6 and Th7 but all other joints were significantly different from each
other. Displacement was always significantly greater the second day compared with
day one (p < 0.05). CONCLUSIONS: This close to final prototype of the PA pressure
Puffin measures segmental spinal stiffness and its ergonomically designed handle
provides a promising tool for physical therapists applying PA pressure. Further
research is needed for validation and reliability assessments.
PMID- 26559319
TI - Immediate effects of hip mobilization with movement in patients with hip
osteoarthritis: A randomised controlled trial.
AB - BACKGROUND: Mobilization with movement (MWM) has been shown to reduce pain,
increase range of motion (ROM) and physical function in a range of different
musculoskeletal disorders. Despite this evidence, there is a lack of studies
evaluating the effects of MWM for hip osteoarthritis (OA). OBJECTIVES: To
determine the immediate effects of MWM on pain, ROM and functional performance in
patients with hip OA. DESIGN: Randomized controlled trial with immediate follow
up. METHOD: Forty consenting patients (mean age 78 +/- 6 years; 54% female)
satisfied the eligibility criteria. All participants completed the study. Two
forms of MWM techniques (n = 20) or a simulated MWM (sham) (n = 20) were applied.
PRIMARY OUTCOMES: pain recorded by numerical rating scale (NRS). SECONDARY
OUTCOMES: hip flexion and internal rotation ROM, and physical performance (timed
up and go, sit to stand, and 40 m self placed walk test) were assessed before and
after the intervention. RESULTS: For the MWM group, pain decreased by 2 points on
the NRS, hip flexion increased by 12.2 degrees , internal rotation by 4.4 degrees
, and functional tests were also improved with clinically relevant effects
following the MWM. There were no significant changes in the sham group for any
outcome variable. CONCLUSIONS: Pain, hip flexion ROM and physical performance
immediately improved after the application of MWM in elderly patients suffering
hip OA. The observed immediate changes were of clinical relevance. Future studies
are required to determine the long-term effects of this intervention.
PMID- 26559320
TI - Letter to the Editor regarding "Reliability and validity of non-radiographic
methods of thoracic kyphosis measurement: A systematic review".
PMID- 26559322
TI - Omalizumab reduces cysteinyl leukotriene and 9alpha,11beta-prostaglandin F2
overproduction in aspirin-exacerbated respiratory disease.
PMID- 26559321
TI - Persistence and evolution of allergen-specific IgE repertoires during
subcutaneous specific immunotherapy.
AB - BACKGROUND: Specific immunotherapy (SIT) is the only treatment with proved long
term curative potential in patients with allergic disease. Allergen-specific IgE
is the causative agent of allergic disease, and antibodies contribute to SIT, but
the effects of SIT on aeroallergen-specific B-cell repertoires are not well
understood. OBJECTIVE: We sought to characterize the IgE sequences expressed by
allergen-specific B cells and track the fate of these B-cell clones during SIT.
METHODS: We used high-throughput antibody gene sequencing and identification of
allergen-specific IgE with combinatorial antibody fragment library technology to
analyze immunoglobulin repertoires of blood and the nasal mucosa from
aeroallergen-sensitized subjects before and during the first year of subcutaneous
SIT. RESULTS: Of 52 distinct allergen-specific IgE heavy chains from 8 allergic
donors, 37 were also detected by using high-throughput antibody gene sequencing
of blood samples, nasal mucosal samples, or both. The allergen-specific clones
had increased persistence, higher likelihood of belonging to clones expressing
other switched isotypes, and possibly larger clone size than the rest of the IgE
repertoire. Clone members in nasal tissue showed close mutational relationships.
CONCLUSION: In the future, combining functional binding studies, deep antibody
repertoire sequencing, and information on clinical outcomes in larger studies
might aid assessment of SIT mechanisms and efficacy.
PMID- 26559324
TI - A challenging undertaking: Stem cell transplantation for immune dysregulation,
polyendocrinopathy, enteropathy, X-linked (IPEX) syndrome.
PMID- 26559323
TI - Fold stability during endolysosomal acidification is a key factor for
allergenicity and immunogenicity of the major birch pollen allergen.
AB - BACKGROUND: The search for intrinsic factors, which account for a protein's
capability to act as an allergen, is ongoing. Fold stability has been identified
as a molecular feature that affects processing and presentation, thereby
influencing an antigen's immunologic properties. OBJECTIVE: We assessed how
changes in fold stability modulate the immunogenicity and sensitization capacity
of the major birch pollen allergen Bet v 1. METHODS: By exploiting an exhaustive
virtual mutation screening, we generated mutants of the prototype allergen Bet v
1 with enhanced thermal and chemical stability and rigidity. Structural changes
were analyzed by means of x-ray crystallography, nuclear magnetic resonance, and
molecular dynamics simulations. Stability was monitored by using differential
scanning calorimetry, circular dichroism, and Fourier transform infrared
spectroscopy. Endolysosomal degradation was simulated in vitro by using the
microsomal fraction of JAWS II cells, followed by liquid chromatography coupled
to mass spectrometry. Immunologic properties were characterized in vitro by using
a human T-cell line specific for the immunodominant epitope of Bet v 1 and in
vivo in an adjuvant-free BALB/c mouse model. RESULTS: Fold stabilization of Bet v
1 was pH dependent and resulted in resistance to endosomal degradation at a pH of
5 or greater, affecting presentation of the immunodominant T-cell epitope in
vitro. These properties translated in vivo into a strong allergy-promoting TH2
type immune response. Efficient TH2 cell activation required both an increased
stability at the pH of the early endosome and efficient degradation at lower pH
in the late endosomal/lysosomal compartment. CONCLUSIONS: Our data indicate that
differential pH-dependent fold stability along endosomal maturation is an
essential protein-inherent determinant of allergenicity.
PMID- 26559326
TI - Staphylococcus aureus density on lesional and nonlesional skin is strongly
associated with disease severity in atopic dermatitis.
PMID- 26559325
TI - Inhibition of IgE-mediated allergic reactions by pharmacologically targeting the
circadian clock.
AB - BACKGROUND: The circadian clock temporally gates signaling through the high
affinity IgE receptor (FcepsilonRI) in mast cells, thereby generating a marked
day/night variation in allergic reactions. Thus manipulation of the molecular
clock in mast cells might have therapeutic potential for IgE-mediated allergic
reactions. OBJECTIVE: We determined whether pharmacologically resetting the
molecular clock in mast cells or basophils to times when FcepsilonRI signaling
was reduced (ie, when core circadian protein period 2 [PER2] is upregulated)
resulted in suppression of IgE-mediated allergic reactions. METHODS: We examined
the effects of PF670462, a selective inhibitor of the key clock component casein
kinase 1delta/epsilon, or glucocorticoid, both of which upregulated PER2 in mast
cells, on IgE-mediated allergic reactions both in vitro and in vivo. RESULTS:
PF670462 or corticosterone (or dexamethasone) suppressed IgE-mediated allergic
reactions in mouse bone marrow-derived mast cells or basophils and passive
cutaneous anaphylactic reactions in mice in association with increased PER2
levels in mast cells or basophils. PF670462 or dexamethasone also ameliorated
allergic symptoms in a mouse model of allergic rhinitis and downregulated
allergen-specific basophil reactivity in patients with allergic rhinitis.
CONCLUSION: Pharmacologically resetting the molecular clock in mast cells or
basophils to times when FcepsilonRI signaling is reduced can inhibit IgE-mediated
allergic reactions. The results suggest a new strategy for controlling IgE
mediated allergic diseases. Additionally, this study suggests a novel mechanism
underlying the antiallergic actions of glucocorticoids that relies on the
circadian clock, which might provide a novel insight into the pharmacology of
this drug in allergic patients.
PMID- 26559327
TI - Ocean acidification and global warming impair shark hunting behaviour and growth.
AB - Alterations in predation pressure can have large effects on trophically
structured systems. Modification of predator behaviour via ocean warming has been
assessed by laboratory experimentation and metabolic theory. However, the
influence of ocean acidification with ocean warming remains largely unexplored
for mesopredators, including experimental assessments that incorporate key
components of the assemblages in which animals naturally live. We employ a
combination of long-term laboratory and mesocosm experiments containing natural
prey and habitat to assess how warming and acidification affect the development,
growth, and hunting behaviour in sharks. Although embryonic development was
faster due to temperature, elevated temperature and CO2 had detrimental effects
on sharks by not only increasing energetic demands, but also by decreasing
metabolic efficiency and reducing their ability to locate food through olfaction.
The combination of these effects led to considerable reductions in growth rates
of sharks held in natural mesocosms with elevated CO2, either alone or in
combination with higher temperature. Our results suggest a more complex reality
for predators, where ocean acidification reduces their ability to effectively
hunt and exert strong top-down control over food webs.
PMID- 26559328
TI - Breastfeeding and childhood hospitalizations for asthma and other wheezing
disorders.
AB - PURPOSE: Observationally in Western settings, breastfeeding is associated with
less childhood wheezing disorders but may be confounded by socioeconomic
position. We examined the association of breastfeeding with asthma and other
wheezing disorders in a developed non-Western setting with unique social
patterning of breastfeeding. METHODS: Using Cox regression, we examined the
adjusted associations of breastfeeding with public hospital admissions for
asthma, bronchitis, and bronchiolitis (International Classification of Diseases,
Ninth Version. Clinical Modification: 466, 490, and 493) from 3 months to 12
years in a population-representative birth cohort of 8327 Hong Kong Chinese
children. RESULTS: We did not find an association of exclusive breastfeeding for
3 months or more, compared with never breastfeeding, with hospitalization for
asthma, bronchitis, and bronchiolitis to 12 years (hazard ratio [HR], 0.89; 95%
confidence interval [CI], 0.63-1.25) nor for partial breastfeeding for any length
of time or exclusive breastfeeding for less than 3 months (HR, 1.02; 95% CI, 0.86
1.21), adjusted for infant and parental characteristics and socioeconomic
position. We also did not find an association of exclusive breastfeeding for 3
months or more with hospitalization for asthma only (International Classification
of Diseases, Ninth Version. Clinical Modification: 493) (HR, 1.27; 95% CI, 0.82
1.98). CONCLUSIONS: In a large population-representative Chinese birth cohort, we
did not find an association of breastfeeding with childhood hospitalizations for
asthma and other wheezing disorders.
PMID- 26559329
TI - Unmeasured confounding in pharmacoepidemiology.
PMID- 26559331
TI - Weekend-weekday differences in diet among U.S. adults, 2003-2012.
AB - PURPOSE: Dietary patterns differ by day of the week. This study examined weekend
weekday differences in diet among U.S. adults. METHODS: Nationally representative
data of 11,646 adults 18 years of age and above from the National Health and
Nutrition Examination Survey 2003-2012 waves were analyzed. Individual fixed
effect regressions were performed using data from two nonconsecutive 24-hour
dietary recalls. RESULTS: Weekend diet was less healthful than weekday, with diet
on Saturday the worst. Compared with weekday consumption, consumption on Saturday
was associated with an increase in daily intakes of total energy by 181.04 kcal,
energy from sugar-sweetened beverages 18.34 kcal, energy from alcohol 46.65 kcal,
energy from discretionary foods 48.77 kcal, total fat 8.16 g, saturated fat 2.88
g, sugar 5.37 g, sodium 205.59 mg, and cholesterol 43.17 mg, a decrease in
intakes of fruit by 13.90 g, vegetable 16.76 g, and fiber 0.67 g, a decrease in
the Healthy Eating Index-2010 score by 2.32, and an increase in the prevalence of
fast-food and full-service restaurant consumption by 10.21% and 17.79%,
respectively. Weekend-weekday differences in diet varied by sex, age, race and/or
ethnicity, education, income, and body weight status. CONCLUSIONS: Americans'
weekend consumption was associated with increased calorie intake and poorer diet
quality.
PMID- 26559330
TI - Quantifying the improvement in sepsis diagnosis, documentation, and coding: the
marginal causal effect of year of hospitalization on sepsis diagnosis.
AB - PURPOSE: To quantify the coinciding improvement in the clinical diagnosis of
sepsis, its documentation in the electronic health records, and subsequent
medical coding of sepsis for billing purposes in recent years. METHODS: We
examined 98,267 hospitalizations in 66,208 patients who met systemic inflammatory
response syndrome criteria at a tertiary care center from 2008 to 2012. We used g
computation to estimate the causal effect of the year of hospitalization on
receiving an International Classification of Diseases, Ninth Revision, Clinical
Modification discharge diagnosis code for sepsis by estimating changes in the
probability of getting diagnosed and coded for sepsis during the study period.
RESULTS: When adjusted for demographics, Charlson-Deyo comorbidity index, blood
culture frequency per hospitalization, and intensive care unit admission, the
causal risk difference for receiving a discharge code for sepsis per 100
hospitalizations with systemic inflammatory response syndrome, had the
hospitalization occurred in 2012, was estimated to be 3.9% (95% confidence
interval [CI], 3.8%-4.0%), 3.4% (95% CI, 3.3%-3.5%), 2.2% (95% CI, 2.1%-2.3%),
and 0.9% (95% CI, 0.8%-1.1%) from 2008 to 2011, respectively. CONCLUSIONS:
Patients with similar characteristics and risk factors had a higher of
probability of getting diagnosed, documented, and coded for sepsis in 2012 than
in previous years, which contributed to an apparent increase in sepsis incidence.
PMID- 26559332
TI - Species-specific duplications of NBS-encoding genes in Chinese chestnut (Castanea
mollissima).
AB - The disease resistance (R) genes play an important role in protecting plants from
infection by diverse pathogens in the environment. The nucleotide-binding site
(NBS)-leucine-rich repeat (LRR) class of genes is one of the largest R gene
families. Chinese chestnut (Castanea mollissima) is resistant to Chestnut Blight
Disease, but relatively little is known about the resistance mechanism. We
identified 519 NBS-encoding genes, including 374 NBS-LRR genes and 145 NBS-only
genes. The majority of Ka/Ks were less than 1, suggesting the purifying selection
operated during the evolutionary history of NBS-encoding genes. A minority (4/34)
of Ka/Ks in non-TIR gene families were greater than 1, showing that some genes
were under positive selection pressure. Furthermore, Ks peaked at a range of 0.4
to 0.5, indicating that ancient duplications arose during the evolution. The
relationship between Ka/Ks and Ks indicated greater selective pressure on the
newer and older genes with the critical value of Ks = 0.4-0.5. Notably, species
specific duplications were detected in NBS-encoding genes. In addition, the group
of RPW8-NBS-encoding genes clustered together as an independent clade located at
a relatively basal position in the phylogenetic tree. Many cis-acting elements
related to plant defense responses were detected in promoters of NBS-encoding
genes.
PMID- 26559333
TI - Annual changes in seminal variables of golden lanchead pitvipers (Bothrops
insularis) maintained in captivity.
AB - Bothrops insularis is an endemic and critically endangered snake with an
estimated population of 2000 individuals restricted to Queimada Grande Island, in
southeastern Brazil. Brazilian researchers established a captive breeding program
for the species that includes the application of assisted reproductive
technologies. The present study, therefore, aimed to evaluate semen samples from
captive B. insularis throughout the year to ascertain seasonal differences in
semen traits as well as correlations with body size and weight. Eighteen males
with snout-vent length (SVL) ranging from 43.5 to 73.7 cm were collected at
quarterly basis between August 2012 and May 2013. Macroscopic analysis revealed
semen volumes ranging from 0.5 to 6.0 MUL with samples featuring whitish to
yellowish color and creamy and thick consistency. Viable sperm was obtained from
all males indicating that individuals with SVL equal to or greater than 43.5 cm
are sexually developed. However, adult and immature males (estimated by SVL)
exhibited different seasonal profiles for motility and progressive motility.
Adult males had a decrease in sperm motility and progressive motility during
summer and spring, respectively, whereas the same variables did not vary
throughout the year in immature snakes. Sperm concentration in all individuals
was less (0.5 * 10(9) MUL) during the winter, but no seasonal fluctuations were
detected in semen volume. These findings are of particular importance to the
development of reproductive tools such as male selection, artificial insemination
and sperm freezing for the genetic management of this critically endangered
snake.
PMID- 26559334
TI - Effects of Bel Canto Training on Acoustic and Aerodynamic Characteristics of the
Singing Voice.
AB - OBJECTIVES: This study was designed to assess the impact of 2 years of operatic
training on acoustic and aerodynamic characteristics of the singing voice. STUDY
DESIGN: This is a longitudinal study. METHODS: Participants were 21 graduate
students and 16 undergraduate students. They completed a variety of tasks,
including laryngeal videostroboscopy, audio recording of pitch range, and singing
of syllable trains at full voice in chest, passaggio, and head registers.
Inspiration, intraoral pressure, airflow, and sound pressure level (SPL) were
captured during the syllable productions. RESULTS: Both graduate and
undergraduate students significantly increased semitone range and SPL. The
contributions to increased SPL were typically increased inspiration, increased
airflow, and reduced laryngeal resistance, although there were individual
differences. Two graduate students increased SPL without increased airflow and
likely used supraglottal strategies to do so. CONCLUSIONS: Students demonstrated
improvements in both acoustic and aerodynamic components of singing. Increasing
SPL primarily through respiratory drive is a healthy strategy and results from
intensive training.
PMID- 26559335
TI - The influence of non-DNA-targeted effects on carbon ion-induced low-dose hyper
radiosensitivity in MRC-5 cells.
AB - Low-dose hyper-radiosensitivity (LDHRS) is a hot topic in normal tissue radiation
protection. However, the primary causes for LDHRS still remain unclear. In this
study, the impact of non-DNA-targeted effects (NTEs) on high-LET radiation
induced LDHRS was investigated. Human normal lung fibroblast MRC-5 cells were
irradiated with high-LET carbon ions, and low-dose biological effects (in terms
of various bio-endpoints, including colony formation, DNA damage and micronuclei
formation) were detected under conditions with and without gap junctional
intercellular communication (GJIC) inhibition. LDHRS was observed when the
radiation dose was <0.2 Gy for all bio-endpoints under investigation, but
vanished when the GJIC was suppressed. Based on the probability of cells being
hit and micro-dose per cell calculation, we deduced that the LDHRS phenomenon
came from the combined action of direct hits and NTEs. We concluded that GJIC
definitely plays an important role in cytotoxic substance spreading in high-LET
carbon ion-induced LDHRS.
PMID- 26559337
TI - Spin Exchange Interaction in Substituted Copper Phthalocyanine Crystalline Thin
Films.
AB - The origins of spin exchange in crystalline thin films of Copper Octabutoxy
Phthalocyanine (Cu-OBPc) are investigated using Magnetic Circular Dichroism (MCD)
spectroscopy. These studies are made possible by a solution deposition technique
which produces highly ordered films with macroscopic grain sizes suitable for
optical studies. For temperatures lower than 2 K, the contribution of a specific
state in the valence band manifold originating from the hybridized lone pair in
nitrogen orbitals of the Phthalocyanine ring, bears the Brillouin-like signature
of an exchange interaction with the localized d-shell Cu spins. A comprehensive
MCD spectral analysis coupled with a molecular field model of a sigmapi - d
exchange analogous to sp-d interactions in Diluted Magnetic Semiconductors (DMS)
renders an enhanced Zeeman splitting and a modified g-factor of -4 for the
electrons that mediate the interaction. These studies define an experimental tool
for identifying electronic states involved in spin-dependent exchange
interactions in organic materials.
PMID- 26559336
TI - Cost effectiveness of a mail-delivered individually tailored physical activity
intervention for Latinas vs. a mailed contact control.
AB - BACKGROUND: Physical inactivity is high in Latinas, as are chronic health
conditions. There is a need for physical activity (PA) interventions that are not
only effective but have potential for cost-effective widespread dissemination.
The purpose of this paper was to assess the costs and cost effectiveness of a
Spanish-language print-based mail-delivered PA intervention that was
linguistically and culturally adapted for Latinas. METHODS: Adult Latinas (N =
266) were randomly assigned to receive mail-delivered individually tailored
intervention materials or wellness information mailed on the same schedule
(control). PA was assessed at baseline, six months (post-intervention) and 12
months (maintenance phase) using the 7-Day Physical Activity Recall Interview.
Costs were calculated from a payer perspective, and included personnel time
(wage, fringe, and overhead), materials, equipment, software, and postage costs.
RESULTS: At six months, the PA intervention cost $29/person/month, compared to
$15/person/month for wellness control. These costs fell to $17 and $9 at 12
months, respectively. Intervention participants increased their PA by an average
of 72 min/week at six months and 94 min/week at 12 months, while wellness control
participants increased their PA by an average of 30 min/week and 40 min/week,
respectively. At six months, each minute increase in PA cost $0.18 in the
intervention group compared to $0.23 in wellness control, which fell to $0.07 and
$0.08 at 12 months, respectively. The incremental cost per increase in physical
activity associated with the intervention was $0.15 at 6 months and $0.05 at 12
months. CONCLUSIONS: While the intervention was more costly than the wellness
control, costs per minute of increase in PA were lower in the intervention. The
print-based mail-delivered format has potential for broad, cost-effective
dissemination, which could help address disparities in this at-risk population.
TRIAL REGISTRATION: NCT01583140; Date of Registration: 03/06/2012; Funding Source
of Trial: National Institute of Nursing Research (NINR); Name of Institutional
Review Board: Brown University IRB; Date of Approval: 05/19/2009.
PMID- 26559341
TI - Skin Autotomization and Regeneration--The African Spiny Mouse.
PMID- 26559338
TI - Involvement of the extrinsic and intrinsic pathways in ultraviolet B-induced
apoptosis of corneal epithelial cells.
AB - The goal of this study was to elucidate the pathway by which UVB initiates efflux
of K(+) and subsequently apoptosis in human corneal limbal epithelial (HCLE)
cells. The initial focus of the study was on the extrinsic pathway involving Fas.
HCLE cells transfected with Fas siRNA were exposed to 80-150 mJ/cm(2) UVB and
incubated in culture medium with 5.5 mM K(+). Knockdown of Fas resulted in
limited reduction in UVB-induced caspase-8 and -3 activity. Patch-clamp
recordings showed no difference in UVB-induced normalized K(+) currents between
Fas transfected and control cells. Knockdown of caspase-8 had no effect on the
activation of caspase-3 following UVB exposure, while a caspase-8 inhibitor
completely eliminated UVB activation of caspase-3. This suggests that caspase-8
is a robust enzyme, able to activate caspase-3 via residual caspase-8 present
after knockdown, and that caspase-8 is directly involved in the UVB activation of
caspase-3. Inhibition of caspase-9 significantly decreased the activation of
caspases-8 and -3 in response to UVB. Knockdown of Apaf-1, required for
activation of caspase-9, resulted in a significant reduction in UVB-induced
activation of caspases-9, -8, and -3. Knockdown of Apaf-1 also inhibited
intrinsic and UVB-induced levels of apoptosis, as determined by DNA fragmentation
measured by TUNEL assay. In UVB exposed cultures treated with caspase-3
inhibitor, the percentage of apoptotic cells was reduced to control levels,
confirming the necessity of caspase-3 activation in DNA fragmentation. The lack
of effect of Fas knockdown on K(+) channel activation, as well as the limited
effect on activation of caspases-8 and -3, strongly suggest that Fas and the
extrinsic pathway is not of primary importance in the initiation of apoptosis in
response to UVB in HCLE cells. Inhibition of caspase-8 and -3 activation
following inhibition of caspase-9, as well as reduction in activation of caspases
9, -8, and -3 and DNA fragmentation in response to Apaf-1 knockdown support the
conclusion that the intrinsic pathway is more important in UVB-induced apoptosis
in HCLE cells.
PMID- 26559342
TI - The Nevus in Literature--More Than a Mark.
PMID- 26559343
TI - Streaks of White Hair in Popular Culture: Not as Simple as Black and White.
PMID- 26559344
TI - Victorian Vampires Validated--The Similarities Between a Legendary Creature and a
Dermatologic Pathology.
PMID- 26559345
TI - Dermatologic Etymology: Configuration.
PMID- 26559346
TI - Conrad Jobst: Great Inventor and Stocking Maker.
PMID- 26559347
TI - Concerns About Presence of a Wild-Type BAP1 Allele in Absence of Nuclear Protein
Expression.
PMID- 26559348
TI - Concerns About Presence of a Wild-Type BAP1 Allele in Absence of Nuclear Protein
Expression-Reply.
PMID- 26559349
TI - Progressive transcortical sensory aphasia and progressive ideational apraxia
owing to temporoparietal cortical atrophy.
AB - BACKGROUND: In contrast to frontotemporal lobar degeneration, atrophy of the
focal posterior lateral cortex has not been thoroughly studied. Three clinical
types of focal cortical atrophy have been described: 1) logopenic variant of
primary progressive aphasia, which presents with impaired repetition despite
normal articulation; 2) posterior cortical atrophy, which presents with prominent
visuospatial deficits; and 3) primary progressive apraxia. All three clinical
types are characterized by specific patterns of hypometabolism/hypoperfusion: the
left posterior perisylvian area in the logopenic variant of primary progressive
aphasia, bilateral parietooccipital areas in posterior cortical atrophy, and the
parietal cortex in primary progressive apraxia. However, not every patient
clearly fits into one of these categories. CASE PRESENTATION: Here we describe
two patients with atypical focal cortical presentations. They presented with a
history of a few years of progressive transcortical sensory aphasia characterized
by fluent output with normal grammar and syntax, normal repetition, sentence
comprehension deficits, and anomia without loss of word meaning. They also
presented with progressive apraxia that began at the initial stages. Some forms
of posterior symptoms including acalculia, agraphia, and visuospatial deficits
were also observed. Hypoperfusion was noted mainly in the left temporoparietal
region, which is slightly posterior to the perisylvian area. CONCLUSIONS:
Although our cases lack in CSF findings and PIB scan, these two cases and
previous reports might suggest the existence of a subgroup of patients presenting
with transcortical sensory aphasia, apraxia, and posterior symptoms (acalculia,
agraphia, and visuospatial deficits) in the setting of Alzheimer's disease. This
subgroup may reflect the spectrum of clinical manifestations between logopenic
variant of primary progressive aphasia and posterior cortical atrophy.
PMID- 26559351
TI - A competency-based approach to nurses' continuing education for clinical
reasoning and leadership through reflective practice in a care situation.
AB - Newly graduated nurses need to demonstrate high levels of competencies when they
enter the workplace. A competency-based approach to their education is
recommended to ensure patients' needs are met. A continuing education
intervention consistent with the competency-based approach to education was
designed and implemented in eight care units in two teaching hospitals. It
consists of a series of 30-min reflective practice groups on clinical events that
newly graduated nurses encountered in their practice. It was evaluated using a
descriptive longitudinal evaluative research design, combining individual and
group interviews with stakeholders, the analysis of facilitators' journal
entries, and a research assistant's field notes. The results suggest that issues
associated with the implementation of the continuing education intervention
revolved around leadership for managers, flexibility for nursing staff, and role
shifting for the facilitators. Newly graduated nurses who participated in the
study noted that the reflective practice sessions contributed to the development
of both clinical reasoning and leadership. Nursing managers stated the advantages
of the intervention on nurses' professional development and for the quality and
safety of care. Following the end of the study, participants from two units
managed to pursue the activity during their work time.
PMID- 26559350
TI - Performance of noninvasive ventilation in acute respiratory failure in critically
ill patients: a prospective, observational, cohort study.
AB - BACKGROUND: Noninvasive ventilation (NIV) is used in critically ill patients with
acute respiratory failure (ARF) to avoid endotracheal intubation. However, the
impact of NIV use on ARF patient's outcomes is still unclear. Our objectives were
to evaluate the rate of NIV failure in hypoxemic patients with an arterial carbon
dioxide partial pressure (PaCO2) < 45 mmHg or >= 45 mmHg at ICU admission, the
predictors of NIV failure, ICU and hospital length of stay and 28-day mortality.
METHODS: Prospective single center cohort study. All consecutive patients
admitted to a mixed ICU during a three-month period who received NIV, except for
palliative care purposes, were included in this study. Demographic data, APACHE
II score, cause of ARF, number of patients that received NIV, incidence of NIV
failure, length of ICU, hospital stay and mortality rate were compared between
NIV failure and success groups. RESULTS: Eighty-five from 462 patients (18.4 %)
received NIV and 26/85 (30.6 %) required invasive mechanical ventilation. NIV
failure patients were comparatively younger (67 +/- 21 vs. 77 +/- 14 years; p =
0.031), had lower arterial bicarbonate (p = 0.005), lower PaCO2 levels (p =
0.032), higher arterial lactate levels (p = 0.046) and APACHE II score (p =
0.034) compared to NIV success patients. NIV failure occurred in 25.0 % of
patients with PaCO2 >= 45 mmHg and in 33.3 % of patients with PaCO2 < 45 mmHg (p
= 0.435). NIV failure was associated with an increased risk of in-hospital death
(OR 4.64, 95 % CI 1.52 to 14.18; p = 0.007) and length [median (IQR)] of ICU [12
days (8-31) vs. 2 days (1-4); p < 0.001] and hospital [30 (19-42) vs. 15 (9-33)
days; p = 0.010] stay. Predictors of NIV failure included age (OR 0.96, 95 % CI
0.93 to 0.99; p = 0.007) and APACHE II score (OR 1.13, 95 % CI 1.02 to 1.25; p =
0.018). CONCLUSION: NIV failure was associated with an increased risk of in
hospital death, ICU and hospital stay and was not affected by baseline PaCO2
levels. Patients that failed were comparatively younger and had higher APACHE II
score, suggesting the need for a careful selection of patients that might benefit
from NIV. A well-designed study on the impact of a short monitored NIV trial on
outcomes is needed.
PMID- 26559352
TI - Long-term follow-up of trauma patients before and after implementation of a
physician-staffed helicopter: A prospective observational study.
AB - INTRODUCTION: The first Danish Helicopter Emergency Medical Service (HEMS) was
introduced May 1st 2010. The implementation was associated with lower 30-day
mortality in severely injured patients. The aim of this study was to assess the
long-term effects of HEMS on labour market affiliation and mortality of trauma
patients. METHODS: Prospective, observational study with a maximum follow-up time
of 4.5 years. Trauma patients from a 5-month period prior to the implementation
of HEMS (pre-HEMS) were compared with patients from the first 12 months after
implementation (post-HEMS). All analyses were adjusted for sex, age and Injury
Severity Score. RESULTS: Of the total 1994 patients, 1790 were eligible for
mortality analyses and 1172 (n=297 pre-HEMS and n=875 post-HEMS) for labour
market analyses. Incidence rates of involuntary early retirement or death were
2.40 per 100 person-years pre-HEMS and 2.00 post-HEMS; corresponding to a hazard
ratio (HR) of 0.72 (95% confidence interval (CI) 0.44-1.17; p=0.18). The HR of
involuntary early retirement was 0.79 (95% CI 0.44-1.43; p=0.43). The prevalence
of reduced work ability after three years were 21.4% vs. 17.7%, odds ratio
(OR)=0.78 (CI 0.53-1.14; p=0.20). The proportions of patients on social transfer
payments at least half the time during the three-year period were 30.5% vs.
23.4%, OR=0.68 (CI 0.49-0.96; p=0.03). HR for mortality was 0.92 (CI 0.62-1.35;
p=0.66). CONCLUSIONS: The implementation of HEMS was associated with a
significant reduction in time on social transfer payments. No significant
differences were found in involuntary early retirement rate, long-term mortality,
or work ability.
PMID- 26559353
TI - Drink-driving: India slow on enforcement.
PMID- 26559355
TI - Haloimpatiens lingqiaonensis gen. nov., sp. nov., an anaerobic bacterium isolated
from paper-mill wastewater.
AB - An anaerobic bacterium, strain ZC-CMC3T, was isolated from a wastewater sample in
Zhejiang, China. Cells were Gram-stain-positive, peritrichous, non-spore-forming,
rod-shaped (0.6-1.2 * 2.9-5.1 MUm) and catalase- and oxidase-negative. Strain ZC
CMC3T was able to grow at 25-48 degrees C (optimum 43 degrees C) and pH 5.5-8.0
(optimum pH 7.0). The NaCl concentration range for growth was 0-3 % (w/v)
(optimum 0 %). The major polar lipids of the isolate were diphosphatidylglycerol,
phosphatidylglycerol, several phospholipids and glycolipids. Main fermentation
products from PYG medium were formate, acetate, lactate and ethanol. Substrates
which could be utilized were peptone, tryptone, yeast extract and beef extract.
No respiratory quinone was detected. The main fatty acids were C14 : 0, C16 : 0,
C16 : 1cis 7 and C16 : 1cis 9. The DNA G+C content was 30.0 mol%. 16S rRNA gene
sequence analysis revealed that the isolate belonged to the family
Clostridiaceae. Phylogenetically, the most closely related species were
Oceanirhabdus sediminicola NH-JN4T (92.8 % 16S rRNA gene sequence similarity) and
Clostridium tepidiprofundi SG 508T (92.6 %). On the basis of phylogenetic,
chemotaxonomic and phenotypic characteristics, strain ZC-CMC3T represents a novel
species of a new genus in the family Clostridiaceae, for which the name
Haloimpatiens lingqiaonensis gen. nov., sp. nov. is proposed. The type strain of
the type species is ZC-CMC3T ( = KCTC 15321T = JCM 19210T = CCTCC AB 2013104T).
PMID- 26559354
TI - Does extreme asymmetric dominance promote hybridization between Anopheles
coluzzii and Anopheles gambiae s.s. in seasonal malaria mosquito communities of
West Africa?
AB - BACKGROUND: Anopheles gambiae s.s. and An. coluzzii are two of the most important
malaria vector species in sub-Saharan Africa. These recently-diverged sibling
species do not exhibit intrinsic post-zygotic barriers to reproduction and are
thought to be separated by strong assortative mating combined with selection
against hybrids. At present, little is known about the ecological conditions that
determine hybridization and introgression between these cryptic taxa. METHODS:
Swarm segregation and assortative mating were studied in Western Burkina Faso in
the villages of Vallee du Kou (VK7) and Soumousso which differed in terms of
which sibling species was much rarer than the other, and in Bana where both
occurred in similar proportions. Swarms and pairs in copula were collected and
genotyped, the proportion of intra and interspecific mating determined, and
interspecific sperm transfer checked genetically. Females were collected through
larval and adult indoor collections and genotyped or sexed-and-genotyped via a
novel multiplex PCR. RESULTS: A total of 3,687 males and 220 females were
collected and genotyped from 109 swarms. Only 3 swarms were composed of males
from both species, and these were from the village of VK7 where An. gambiae s.s.
was comparatively rare. Mixed-species pairs captured in copula were only detected
in that area and made for 3.62 % and 100 % of mating pairs involving An. coluzzii
and An. gambiae s.s. individuals, respectively. The high An. gambiae s.s. cross
mating rate was mirrored by high rates of hybridizations estimated from female
larvae and adults indoor collections. This contrasted with Soumousso, where
despite being much less common than An. gambiae s.s., An. coluzzii males did not
form mixed swarms, females were not found in interspecific swarms or copula and
hybridization rates were low in both sibling species. CONCLUSIONS: These data
suggest that ecological conditions leading to rare An. gambiae s.s. in
populations dominated by An. coluzzii may promote a breakdown of spatial swarm
segregation and assortative mating between the two species. The lower overall
hybridization rates observed at the larval and adult indoor stages compared to
cross-mating rates support the idea that post-mating selection processes acting
against hybrids may occur mostly prior to and/or at the early larval stages.
PMID- 26559356
TI - Cell mechanosensory recognizes ligand compliance at biomaterial interface.
AB - Cells activate signalling through ligand-receptor bonds by sensing the mechanical
properties of the surrounding extracellular matrix (ECM). Ligands, indeed, have
to withstand the pulling force elicited by cell receptors through focal adhesions
(FAs). On this basis, we developed functional ligands to be simply adsorbed on
surfaces and constituted by a two-domain peptide: one derived from ECM proteins
and available to receptors to offer biochemical cues, and another adsorbed on
material to withstand the tension upon receptor engagement. Tuneable compliance
of the anchoring domain of the peptide ligand was verified by single peptide
analysis through molecular dynamics and adsorption measurements. We showed that
the highest adsorbed peptides combined with integrin cell-binding motifs allow
for the cell recognition and polarization with larger mature FA areas. On the
contrary, the lowest adsorbed sequences did not provide mechanical resistance to
the integrin pulling action, leading to more rounded cells with smaller FA areas.
This evidence demonstrates that cell mechanosensory can discriminate ligands on
surfaces and should be considered as a criterion in ligand design for material
bioactivation.
PMID- 26559357
TI - Novel antioxidative nanotherapeutics in a rat periodontitis model: Reactive
oxygen species scavenging by redox injectable gel suppresses alveolar bone
resorption.
AB - The excessive production of reactive oxygen species (ROS) has been implicated in
a variety of disorders, but to date, ROS scavengers have not been widely used for
local treatment of inflammation, because they are rapidly eliminated from the
inflamed site. We have designed a novel redox injectable gel (RIG) that is formed
at 37 degrees C after disintegration of nano-assembled flower micelles allowing
nitroxide radicals to act locally as specific ROS scavengers for the treatment of
periodontitis. In the present study, we have confirmed retention of the RIG in
the periodontal region, along with its antioxidant-related anti-inflammatory
effects, and we have subsequently evaluated the inhibitory effect of the RIG
against Porphyromonas gingivalis (P. gingivalis)-induced alveolar bone loss
attributed to ROS. Alveolar bone loss was estimated by morphometry, gingival
blood flow was measured using laser Doppler flowmetry, and osteoclast
differentiation was evaluated by tartrate-resistant acid phosphatase staining.
The results show that the RIG can inhibit P. gingivalis-induced bone loss by
antioxidant-related anti-inflammatory actions, and this suggests that the RIG is
a promising novel therapeutic agent for the treatment of P. gingivalis-induced
periodontitis.
PMID- 26559358
TI - Integrative medicine, or not integrative medicine: that is the question.
AB - On September 26-27, 2015, the 8th European Congress for Integrative Medicine
convened the Global Summit on Integrative Medicine and Healthcare in Greater
Copenhagen and Helsingor, Denmark at the Culture Yard just across from Kronborg
Castle, which is home to William Shakespeare's Hamlet. This article is a summary
of the author's presentation about integrative medicine within the Nordic region,
driving factors that determine value in healthcare, key tenets of integrative
medicine that lead to healthcare cost savings and the potential for a Nordic
healthcare renaissance.
PMID- 26559359
TI - Integrating traditional Chinese medicine into mainstream healthcare system in
Hong Kong, China-A model of integrative medicine in the HKU-SZ Hospital.
AB - The European Congress for Integrative Medicine 2015 Global Summit on Integrative
Medicine and Healthcare in Greater Copenhagen has successfully promoted
integrative medicine to the public once again. Integrative medicine, which is
called the art and science of healthcare by Nordic Integrative Medicine, has been
widely used in the world. In Hong Kong, integrated traditional Chinese and
Western medicine, which is also known as the Chinese version of integrative
medicine, provides a valuable reference for the development of integrative
medicine in the world. In this article, we introduce the development of
traditional Chinese medicine in Hong Kong and an integrated traditional Chinese
and Western medicine model in the University of Hong Kong-Shenzhen Hospital.
PMID- 26559360
TI - The evolution of integrative medical education: the influence of the University
of Arizona Center for Integrative Medicine.
AB - The University of Arizona Center for Integrative Medicine (AzCIM) was founded in
1994 with a primary focus of educating physicians in integrative medicine (IM).
Twenty years later, IM has become an internationally recognized movement in
medicine. With 40% of United States' medical schools having membership in the
Academic Consortium for Integrative Medicine and Health it is foreseeable that
all medical students and residents will soon receive training in the principles
and practices of IM. The AzCIM has the broadest range and depth of IM educational
programs and has had a major influence on integrative medical education in the
United States. This review describes the fellowship, residency and medical
student programs at AzCIM as well as other significant national drivers of IM
education; it also points out the challenges faced in developing IM initiatives.
The field of IM has matured with new national board certification in IM requiring
fellowship training. Allied health professional IM educational courses, as well
as integrative health coaching, assure that all members of the health care team
can receive training. This review describes the evolution of IM education and
will be helpful to academic centers, health care institutions, and countries
seeking to introduce IM initiatives.
PMID- 26559361
TI - The use of Chinese herbal drugs in Islamic medicine.
AB - This paper investigates some of the ways that Chinese medicine has been
transferred to the Western world and to Islamic territories. During the Golden
Age of Islam (8th to 13th century CE), the herbal drug trade promoted significant
commercial and scientific exchange between China and the Muslim world. Chinese
herbal drugs have been described by medieval Muslim medical scholars such as
Tabari (870 CE), Rhazes (925 CE), Haly Abbas (982 CE), Avicenna (1037 CE) and
Jurjani (1137 CE). The term al-sin (the Arabic word for China) is used 46 times
in Avicenna's Canon of Medicine in reference to herbal drugs imported from China.
Cinnamon (dar sini; "Chinese herb"), wild ginger (asaron), rhubarb (rivand-e
sini), nutmeg (basbasa), incense tree wood (ood), cubeb (kababe) and sandalwood
(sandal) were the most frequently mentioned Chinese herbs in Islamic medical
books. There are also multiple similarities between the clinical uses of these
herbs in both medical systems. It appears that Chinese herbal drugs were a major
component of the exchange of goods and knowledge between China and the Islamic
and later to the Western world amid this era.
PMID- 26559362
TI - Phytochemistry and pharmacology of ornamental gingers, Hedychium coronarium and
Alpinia purpurata: a review.
AB - In this review, the phytochemistry and pharmacology of two ornamental gingers,
Hedychium coronarium (butterfly ginger) and Alpinia purpurata (red ginger), are
updated, and their botany and uses are described. Flowers of H. coronarium are
large, showy, white, yellow or white with a yellow centre and highly fragrant.
Inflorescences of A. purpurata are erect spikes with attractive red or pink
bracts. Phytochemical investigations on the rhizomes of H. coronarium generated
research interest globally. This resulted in the isolation of 53 labdane-type
diterpenes, with little work done on the leaves and flowers. Pharmacological
properties of H. coronarium included antioxidant, antibacterial, antifungal,
cytotoxic, chemopreventive, anti-allergic, larvicidal, anthelminthic, analgesic,
anti-inflammatory, anti-urolithiatic, anti-angiogenic, neuro-pharmacological,
fibrinogenolytic, coagulant and hepatoprotective activities. On the contrary,
little is known on the phytochemistry of A. purpurata with pharmacological
properties of antioxidant, antibacterial, larvicidal, cytotoxic and vasodilator
activities reported in the leaves and rhizomes. There is much disparity in terms
of research effort within and between these two ornamental gingers.
PMID- 26559363
TI - The effect of acupuncture on mood and working memory in patients with depression
and schizophrenia.
AB - BACKGROUND: In patients with depression, as well as in patients with
schizophrenia, both mood and working memory performance are often impaired. Both
issues can only be addressed and improved with medication to some extent.
OBJECTIVE: This study investigates the mood and the working memory performance in
patients with depression or schizophrenia and whether acupuncture can improve
these. DESIGN, SETTING, PARTICIPANTS AND INTERVENTIONS: A pragmatic clinical
trial design was used. The study was conducted in a psychiatric clinic. Fifty
patients with depression and 50 with schizophrenia were randomly divided into an
experimental and a waiting-list group. Additionally, 25 healthy control
participants were included. Twelve weeks of individualized acupuncture treatment
was used as the clinical intervention. MAIN OUTCOME MEASURES: All patients were
tested before (T1) and after (T2) acupuncture treatment on a mood scale (Beck
Depression Inventory-II, BDI-II), a simple working memory task (digit span), and
a complex working memory task (letter-number sequencing); the healthy controls
were tested at T1 only. RESULTS: Patients with depression scored worse than the
others on the BDI-II, and patients with schizophrenia scored worse than the
healthy controls. On the digit span, patients with schizophrenia did not differ
from healthy controls whereas they scored worse of all on the letter-number
sequencing. With respect to the acupuncture findings, first, the present study
showed that the use of acupuncture to treat patients with schizophrenia was both
practical and safe. Moreover, acupuncture had a positive effect on the BDI-II for
the depression group, but acupuncture had no effect on the digit span and on the
letter-number sequencing performance for the two clinical groups. CONCLUSION: The
clinical improvement in patients with depression after acupuncture treatment was
not accompanied by any significant change in a simple working memory task or in a
more complex working memory task; the same was true for the patients with
schizophrenia. TRIAL REGISTRATION: Dutch Trial Register NTR3132.
PMID- 26559364
TI - Effects of wet-cupping on blood pressure in hypertensive patients: a randomized
controlled trial.
AB - BACKGROUND: Although cupping remains a popular treatment modality worldwide, its
efficacy for most diseases, including hypertension, has not been scientifically
evaluated. OBJECTIVE: We aimed to determine the efficacy of wet-cupping for high
blood pressure, and the incidence of the procedure's side effects in the
intervention group. DESIGN, SETTING, PARTICIPANTS AND INTERVENTIONS: This is a
randomized controlled trial conducted in the General Practice Department at King
Abdulaziz University Hospital, Jeddah, Saudi Arabia, between May 2013 and
February 2014. There were two groups (40 participants each): intervention group
undergoing wet-cupping (hijama) in addition to conventional hypertension
treatment, and a control group undergoing only conventional hypertension
treatment. Three wet-cupping sessions were performed every other day. MAIN
OUTCOME MEASURE: The mean systolic and diastolic blood pressures were measured
using a validated automatic sphygmomanometer. The follow-up period was 8 weeks.
RESULTS: Wet-cupping provided an immediate reduction of systolic blood pressure.
After 4 weeks of follow-up, the mean systolic blood pressure in the intervention
group was 8.4 mmHg less than in the control group (P=0.046). After 8 weeks, there
were no significant differences in blood pressures between the intervention and
control groups. In this study, wet-cupping did not result in any serious side
effects. CONCLUSION: Wet-cupping therapy is effective for reducing systolic blood
pressure in hypertensive patients for up to 4 weeks, without serious side
effects. Wet-cupping should be considered as a complementary hypertension
treatment, and further studies are needed. TRIAL REGISTRATION: ClinicalTrials.gov
Identifier NCT01987583.
PMID- 26559365
TI - Ultra-highly diluted plant extracts of Hydrastis canadensis and Marsdenia
condurango induce epigenetic modifications and alter gene expression profiles in
HeLa cells in vitro.
AB - OBJECTIVE: Methylation-specific epigenetic process and gene expression profiles
of HeLa cells treated with ultra-high dilutions (HDs) of two plant extracts,
Hydrastis canadensis (HC-30) and Marsdenia condurango (Condu-30), diluted 1060
times, were analyzed against placebo 30C (Pl-30) for alterations in gene profiles
linked to epigenetic modifications. METHODS: Separate groups of cells were
subjected to treatment of Condu-30, HC-30, and Pl-30 prepared by serial dilutions
and succussions. Global microarray data recorded on Affymetrix platform, using 25
mer probes were provided by iLifeDiscoveries, India. Slides were scanned with
3000 7G microarray scanner and raw data sets were extracted from Cel (raw
intensity) files. Analyses of global microarray data profile, differential gene
expression, fold change and clusters were made using GeneSpring GX12.5 software
and standard normalization procedure. Before microarray study, concentration of
RNA (ng/MUL), RIN value and rRNA ratio for all the samples were analysed by
Agilant Bioanalyzer 2100. Reverse transcriptase polymerase chain reaction (RT
PCR) and quantitative RT-PCR were done for analyzing SMAD-4 expression.
Fluorescence-activated cell sorting study was further made to elucidate fate of
cells at divisional stages. Methylation-specific restriction enzyme assay was
conducted for ascertaining methylation status of DNA at specific sites. RESULTS:
HDs of HC-30 and Condu-30 differentially altered methylation in specific regions
of DNA and expression profiles of certain genes linked to carcinogenesis, as
compared to Pl-30. Two separate cut sites were found in genomic DNA of untreated
and placebo-treated HeLa cells when digested with McrBC, compared to a single cut
observed in Condu-30-treated genomic DNA. SMAD-4 gene expression validated the
expression pattern observed in microarray profile. Methylation-specific
restriction enzyme assay elucidated differential epigenetic modifications in drug
treated and control cells. CONCLUSION: HDs triggered epigenetic modifications and
alterations in microarray gene expression profiles of many genes associated with
carcinogenesis in HeLa cells in vitro.
PMID- 26559366
TI - Attitudes of medical students toward the practice and teaching of integrative
medicine.
AB - The General Medical Council encourages the integration of complementary and
alternative medicine (CAM) teaching into basic medical education. We wished to
explore the attitudes of medical students to CAM and its inclusion in their
undergraduate curriculum. Medical students were invited to complete the validated
Integrative Medicine Attitude Questionnaire (IMAQ) and to state whether they
considered it appropriate for them to learn about CAM in medical school. The
questionnaire was completed by 308 students (65.8% response rate). CAM had been
received by a majority of respondents and their families. Participants believed
that doctors with knowledge of CAM provide better patient care and that it is
desirable for physicians to exploit the placebo effect. Most students expressed
the view that doctors should be able to answer patients' questions about herbal
medicines. There was a belief that patients should be warned to avoid using
supplements which have not undergone rigorous testing. Students who were current
or previous users of CAM or whose family members used CAM had higher total IMAQ
scores and openness subscale scores than those who did not report use of CAM. Two
hundred and nine (68%) students expressed a desire to study CAM as part of their
medical curriculum. This study reveals a positive attitude towards a holistic
approach to patient care which embraces CAM. Medical students believe that
integrative medicine should be taught in medical school.
PMID- 26559367
TI - Health effects of natural spring waters: A protocol for systematic reviews with a
regional case example.
AB - BACKGROUND: Spring water therapies have been used since at least 1550 BC. Despite
the growing body of evidence supporting these therapies for a range of
conditions, including musculoskeletal, dermatological, respiratory and
cardiovascular conditions, they do not currently form part of mainstream
healthcare in many countries. The protocol established in this paper aims to
support systematic reviews that examine the health outcomes associated with human
exposure to regional spring waters, using the Australia and New Zealand context
as a case study. METHODS/DESIGN: The protocol searches for studies in eight
health/medical databases, searches three local health/medical journals, and
includes forwards and backwards searching. Standard systematic review methods are
used including: specifying pre-determined inclusion criteria and data management
plans, appraising the studies for bias, and allocation to a hierarchy of
evidence. DISCUSSION: The protocol supports a review and comprehensive synthesis
of the current evidence regarding the health effects of natural spring water, and
can be adapted for reviews in other regions. From this evidence, recommendations
regarding practice and future research can be made on the therapeutic role of
spring water.
PMID- 26559368
TI - Defining Rates and Risk Factors for Readmissions Following Emergency General
Surgery.
AB - IMPORTANCE: Hospital readmission rates following surgery are increasingly being
used as a marker of quality of care and are used in pay-for-performance metrics.
To our knowledge, comprehensive data on readmissions to the initial hospital or a
different hospital after emergency general surgery (EGS) procedures do not exist.
OBJECTIVE: To define readmission rates and identify risk factors for readmission
after common EGS procedures. DESIGN, SETTING, AND PARTICIPANTS: Patients
undergoing EGS, as defined by the American Association for the Surgery of Trauma,
were identified in the California State Inpatient Database (2007-2011) on January
15, 2015. Patients were 18 years and older. We identified the 5 most commonly
performed EGS procedures in each of 11 EGS diagnosis groups. Patient demographics
(sex, age, race/ethnicity, and insurance type) as well as Charlson Comorbidity
Index score, length of stay, complications, and discharge disposition were
collected. Factors associated with readmission were determined using multivariate
logistic regression models analysis. MAIN OUTCOMES AND MEASURES: Thirty-day
hospital readmission. RESULTS: Among 177,511 patients meeting inclusion criteria,
57.1% were white, 48.8% were privately insured, and most were 45 years and older
(51.3%). Laparoscopic appendectomy (35.2%) and laparoscopic cholecystectomy
(19.3%) were the most common procedures. The overall 30-day readmission rate was
5.91%. Readmission rates ranged from 4.1% (upper gastrointestinal) to 16.8%
(cardiothoracic). Of readmitted patients, 16.8% were readmitted at a different
hospital. Predictors of readmission included Charlson Comorbidity Index score of
2 or greater (adjusted odds ratio: 2.26 [95% CI, 2.14-2.39]), leaving against
medical advice (adjusted odds ratio: 2.24 [95% CI, 1.89-2.66]), and public
insurance (adjusted odds ratio: 1.55 [95% CI, 1.47-1.64]). The most common
reasons for readmission were surgical site infections (16.9%), gastrointestinal
complications (11.3%), and pulmonary complications (3.6%). CONCLUSIONS AND
RELEVANCE: Readmission after EGS procedures is common and varies widely depending
on patient factors and diagnosis categories. One in 5 readmitted patients will go
to a different hospital, causing fragmentation of care and potentially obscuring
the utility of readmission as a quality metric. Assisting socially vulnerable
patients and reducing postoperative complications, including infections, are
targets to reduce readmissions.
PMID- 26559369
TI - Pressure-induced superconductivity in H2-containing hydride PbH4(H2)2.
AB - High pressure structure, stability, metallization, and superconductivity of
PbH4(H2)2, a H2-containing compound combining one of the heaviest elements with
the lightest element, are investigated by the first-principles calculations. The
metallic character is found over the whole studied pressure range, although
PbH4(H2)2 is metastable and easily decompose at low pressure. The decomposition
pressure point of 133 GPa is predicted above which PbH4(H2)2 is stable both
thermodynamically and dynamically with the C2/m symmetry. Interestedly, all
hydrogen atoms pairwise couple into H2 quasi-molecules and remain this style up
to 400 GPa in the C2/m structure. At high-pressure, PbH4(H2)2 tends to form the
Pb-H2 alloy. The superconductivity of Tc firstly rising and then falling is
observed in the C2/m PbH4(H2)2. The maximum of Tc is about 107 K at 230 GPa. The
softening of intermediate-frequency phonon induced by more inserted H2 molecules
is the main origin of the high Tc. The results obtained represent a significant
step toward the understanding of the high pressure behavior of metallic hydrogen
and hydrogen-rich materials, which is helpful for obtaining the higher Tc.
PMID- 26559370
TI - Faecal contamination of echinoderms: first report of heavy Escherichia coli
loading of sea urchins from a natural growing area.
AB - Although little evidence existed to support that view, European countries and in
particular France, have regarded echinoderms, including sea urchins, as low risk
in terms of feacal contamination. It is hypothesized that the sea urchins mode of
feeding, which is based on grazing and differs from bivalve molluscs, would
prevent it from concentrating high levels of Escherichia coli. Here, we monitored
E. coli levels in sea urchins (Paracentrotus lividus) and in filter-feeder
mussels (Mytillus galloprovincialis), collected concurrently from the same
natural area over a 1-year period to verify this assumption. Sea urchins were
collected on the seafloor, whereas mussels were collected from the water column
at a depth of 4 m. Our results showed heavy bacterial loading of sea urchins in a
natural growing environment. Moreover, we highlighted that E. coli contamination
of sea urchins could, in certain conditions, be higher than those detected in
filter-feeding mussels collected at the same location. Finally, the results
showed a significant correlation between rainfall and E. coli concentrations in
sea urchins, suggesting that the bacterial safety of sea urchin could be linked
to the quality of the surrounding water. SIGNIFICANCE AND IMPACT OF THE STUDY:
The European regulation requires competent authorities to monitor the sanitary
status of shellfish, including live echinoderms, through faecal indicator
organisms. In the French Mediterranean, sea urchin production is significant.
Until now, as no data showed significant E. coli contamination levels, no
monitoring programs focused on this species. This study demonstrates that sea
urchins are more vulnerable to faecal contamination than previously hypothesized,
especially during heavy rainfall. In consequence, the European authority general
approach to microbiological management of shellfish should be applied to sea
urchins.
PMID- 26559371
TI - Development of a new system for guidewire-assisted tracheal intubation: manikin
and cadaver evaluation.
AB - Guided intubation using a tracheal tube and semi-rigid introducer is associated
with technical difficulties, failure and traumatic complications. We describe the
development of a new system of guidewire-assisted tracheal intubation that may
circumvent these problems. A reinforced silicone tracheal tube was modified with
a guide channel built inside its wall, and a nitinol non-kinking guidewire was
matched to this channel. Both anterograde and retrograde tracheal intubation were
evaluated in a test rig, an airway manikin and then in preserved and fresh
cadavers. There was minimal resistance to passage of the guidewire through the
guide channel when the modified tube was in an anatomical configuration, in
contrast to moderate resistance when an Airway Exchange Catheter was passed
through a PVC tracheal tube. Intubation using the new equipment required
increased force in the manikin and preserved cadavers, but minimal force in fresh
cadavers. Resistance to tracheal tube advancement in preserved cadavers was
overcome by withdrawal followed by 90 degrees rotation, but this manoeuvre was
not required in fresh cadavers. We suggest that the combination of the modified
tracheal tube and matching guidewire may allow easy and reliable single-step
guided tracheal intubation when used in patients.
PMID- 26559372
TI - Obesity, socio-demographic and attitudinal factors associated with sugar
sweetened beverage consumption: Australian evidence.
AB - OBJECTIVE: To explore factors associated with sugar-sweetened beverage (SSB)
consumption in Australia. METHODS: Pooled data from Western Australian (WA) and
South Australian (SA) 2009 and 2012 nutrition monitoring survey series interviews
of 2,832 WA and 10,764 SA adults aged 18 to 64 years. Demographic data were
collected and independent samples t-test, analysis of variance, multiple logistic
regression performed. RESULTS: Obese participants were more likely to consume SSB
than healthy weight participants (SA: OR=1.77; 95% CI 1.56-2.02; WA: OR=1.53;
1.05-2.24). SA obese participants consumed more SSB per day (152.0 mL; 140.7
163.5) than healthy weight (80.1 mL; 73.2-88.2; p<0.001) and overweight
participants (106.9 mL; 99.0, 114.8; p<0.001). Males were more likely to consume
SSB than females (SA: OR 1.80; 1.35-2.40; WA: 1.81; 1.64-2.00). WA participants
who didn't think about the healthiness of food (4.55; 2.71-7.64) and bought meals
away from home the day prior (1.55; 1.15-2.09) were more likely to consume SSB.
SA adults rating their health highest were less likely to consume SSB (0.62; 0.54
0.72). CONCLUSIONS: SSB consumers are more likely to be male, have little
interest in health, or have purchased a meal away from home. IMPLICATIONS:
Increasing awareness of the adverse health effects of consumption may be a first
step in curbing SSB intake.
PMID- 26559374
TI - A Survey of Spinal Cord Stimulator Use by Chronic Pain Patients While Driving.
AB - OBJECTIVE: The study aims to assess utilization of spinal cord stimulator (SCS)
devices while driving a vehicle and potential association with motor vehicle
accidents (MVAs). MATERIALS AND METHODS: A telephone survey study was conducted
in two phases, from 2001 to 2008 and from 2009 to 2011. Patients selected for the
survey were age 18 or older and at least one year post-SCS implantation,
demonstrating stable analgesic use for at least six months, and reporting a
minimum of 50% pain relief and enhancement of activities of daily living. Both
phases of the study evaluated for degree of utilization of SCS while driving a
motor vehicle as well as associated accidents. Additionally, patients with active
SCS use while driving were further asked in the second phase of the study about
mileage per week and first activation of the device postimplant. RESULTS: Ninety
seven percent of the 78 patients contacted were active drivers and of these, 80%
reported chronic and consistent use of SCS for the analgesic benefit while
operating a motor vehicle. Eleven percent reported MVAs, which were not related
to SCS. In the second phase of the study, participants reported a median of 100
miles driven per week with the SCS device on and began use of the device at a
median of 21 days postimplant for up to 49 months. CONCLUSION: Because of the
perceived benefits of pain reduction, there is high utilization of active SCS
while driving a motor vehicle and that does not seem predispose toward MVAs.
PMID- 26559373
TI - Constitutive activation of STAT3 in breast cancer cells: A review.
AB - Signal transducer and activator of transcription 3 (STAT3) is constitutively
activated in numerous cancer types, including more than 40% of breast cancers. In
contrast to tight regulation of STAT3 as a latent transcription factor in normal
cells, its signaling in breast cancer oncogenesis is multifaceted. Signaling
through the IL-6/JAK/STAT3 pathway initiated by the binding of IL-6 family of
cytokines (i.e., IL-6 and IL-11) to their receptors have been implicated in
breast cancer development. Receptors with intrinsic kinase activity such as EGFR
and VEGFR directly or indirectly induce STAT3 activation in various breast cancer
types. Aberrant STAT3 signaling promotes breast tumor progression through
deregulation of the expression of downstream target genes which control
proliferation (Bcl-2, Bcl-xL, Survivin, Cyclin D1, c-Myc and Mcl-1), angiogenesis
(Hif1alpha and VEGF) and epithelial-mesenchymal transition (Vimentin, TWIST, MMP
9 and MMP-7). These multiple modes of STAT3 regulation therefore make it a
central linking point for a multitude of signaling processes. Extensive efforts
to target STAT3 activation in breast cancer had no remarkable success in the past
because the highly interconnected nature of STAT3 signaling introduces lack of
selectivity in pathway identification for STAT3 targeted molecular therapies or
because its role in tumorigenesis may not be as critical as it was thought. This
review provides a full spectrum of STAT3's involvement in breast cancer by
consolidating the knowledge about its role in breast cancer development at
multiple levels: its differential regulation by different receptor signaling
pathways, its downstream target genes, and modification of its transcriptional
activity by its coregulatory transcription factors.
PMID- 26559375
TI - Nanoparticle Vesicles with Controllable Surface Topographies through Block
Copolymer-Mediated Self-Assembly of Silica Nanospheres.
AB - Silica nanoparticle vesicles (NPVs) with encapsulating capability and surface
permeability are highly attractive in nanocatalysis, biosensing, and drug
delivery systems. Herein, we report the facile fabrication of silica NPVs
composed of a monolayer of silica nanospheres (SNSs, ca. 15 nm in diameter)
through the block copolymer-mediated self-assembly of SNSs. The silica NPVs gain
different surface topographies, such as raspberry- and brain coral-like
topographies, under controlled heat treatment conditions. The vesicular assembly
of SNSs is successful with a series of poly(propylene oxide)-poly(ethylene oxide)
poly(propylene oxide) block copolymers, and the size of NPVs can be tuned by
changing their molecular weight. The polymer is easily extracted from the NPVs
with their colloidal dispersibility and structural integrity intact. The polymer
free silica NPVs further serve as a reaction vessel and host for functional
materials such as tin oxide nanoparticles.
PMID- 26559376
TI - Serous Neoplasms of the Pancreas: A Clinicopathologic Analysis of 193 Cases and
Literature Review With New Insights on Macrocystic and Solid Variants and
Critical Reappraisal of So-called "Serous Cystadenocarcinoma".
AB - The literature on "variants" and "malignant" counterparts of pancreatic serous
cystic neoplasms (SCNs) is highly conflicted. Clinicopathologic characteristics
of 193 SCNs were investigated, along with a critical literature review. For the
macrocystic (oligocystic) variant, in this largest series, a demographic profile
in contrast to current literature was elucidated, with 21% frequency,
predominance in female individuals (4:1), body/tail location (1.7*), younger age
of patients (mean age, 50 y), and frequent radiologic misdiagnosis as other
megacystic neoplasms. Solid SCNs were rare (n=4, 2%) and often misinterpreted
radiologically as neuroendocrine tumors. Available fine-needle aspiration in 11
cases was diagnostic in only 1. Radiologic impression was "malignancy" in 5%.
Associated secondary tumors were detected in 13% of resections, mostly
neuroendocrine. Secondary "infiltration" (direct adhesion/penetration) of spleen,
stomach, colon, and/or adjacent nodes was seen in 6 (3%) fairly large SCNs (mean,
11 cm) with no distant metastasis. Three SCNs recurred locally, but completeness
of original resection could not be verified. Our only hepatic SCN lacked a
concurrent pancreatic tumor. Literature appraisal revealed that there are
virtually no deaths that are directly attributable to dissemination/malignant
behavior of SCNs, and most cases reported as "malignant" in fact would no longer
fulfill the more recent World Health Organization criteria but instead would
represent either (1) local adhesion/persistence of tumor, (2) cases with no
histologic verification of malignancy, or (3) liver SCNs with benevolent behavior
(likely representing multifocality, rather than true metastasis, especially
considering there was no fatality related to this and no reported metastases to
other remote sites). In conclusion, in contrast to the literature, the
clinicopathologic characteristics of solid and macrocystic SCN variants are
similar to their microcystic counterpart, although their radiologic diagnosis is
challenging. Recurrence/secondary invasion of neighboring organs occurs rarely in
larger SCNs but seems innocuous. An SCN should not be classified as "malignant"
unless there is clear-cut evidence of histologic malignancy or documented distant
metastasis.
PMID- 26559378
TI - TTF-1 and Napsin-A Are Not Markers for Biliary Phenotype: An Immunohistochemical
Study of Gallbladder Adenocarcinomas.
PMID- 26559379
TI - Hereditary Renal Cell Carcinoma Syndromes: Clinical, Pathologic, and Genetic
Features.
AB - Renal cell carcinomas associated with syndromes of a heritable nature account for
about 4% of all renal cell carcinomas. They are characterized by an earlier age
of onset, and are often multicentric and bilateral. Some of these patients may
fit into well-characterized kidney cancer syndromes, while many more may have a
genetic component that is not fully recognized or understood. The presence of
extrarenal clinical features may suggest a specific renal tumor susceptibility
syndrome. Moreover, each syndrome is associated with specific renal pathology
findings. Recognition of individuals and families with a high risk of renal
neoplasia is important so that surveillance for renal tumors may be initiated.
This manuscript reviews the clinical, pathological, and molecular features of
hereditary renal cell carcinoma syndromes with emphasis on the morphologic
features of these tumors and the molecular mechanisms of hereditary renal
tumorigenesis.
PMID- 26559377
TI - A Revised Classification System and Recommendations From the Baltimore Consensus
Meeting for Neoplastic Precursor Lesions in the Pancreas.
AB - International experts met to discuss recent advances and to revise the 2004
recommendations for assessing and reporting precursor lesions to invasive
carcinomas of the pancreas, including pancreatic intraepithelial neoplasia
(PanIN), intraductal papillary mucinous neoplasm (IPMN), mucinous cystic
neoplasm, and other lesions. Consensus recommendations include the following: (1)
To improve concordance and to align with practical consequences, a 2-tiered
system (low vs. high grade) is proposed for all precursor lesions, with the
provision that the current PanIN-2 and neoplasms with intermediate-grade
dysplasia now be categorized as low grade. Thus, "high-grade dysplasia" is to be
reserved for only the uppermost end of the spectrum ("carcinoma in situ"-type
lesions). (2) Current data indicate that PanIN of any grade at a margin of a
resected pancreas with invasive carcinoma does not have prognostic implications;
the clinical significance of dysplasia at a margin in a resected pancreas with
IPMN lacking invasive carcinoma remains to be determined. (3) Intraductal lesions
0.5 to 1 cm can be either large PanINs or small IPMNs. The term "incipient IPMN"
should be reserved for lesions in this size with intestinal or oncocytic papillae
or GNAS mutations. (4) Measurement of the distance between an IPMN and invasive
carcinoma and sampling of intervening tissue are recommended to assess
concomitant versus associated status. Conceptually, concomitant invasive
carcinoma (in contrast with the "associated" group) ought to be genetically
distinct from an IPMN elsewhere in the gland. (5) "Intraductal spread of invasive
carcinoma" (aka, "colonization") is recommended to describe lesions of invasive
carcinoma invading back into and extending along the ductal system, which may
morphologically mimic high-grade PanIN or even IPMN. (6) "Simple mucinous cyst"
is recommended to describe cysts >1 cm having gastric-type flat mucinous lining
at most minimal atypia without ovarian-type stroma to distinguish them from IPMN.
(7) Human lesions resembling the acinar to ductal metaplasia and atypical flat
lesions of genetically engineered mouse models exist and may reflect an alternate
pathway of carcinogenesis; however, their biological significance requires
further study. These revised recommendations are expected to improve our
management and understanding of precursor lesions in the pancreas.
PMID- 26559380
TI - The Influence of Unsportsmanlike Fouls on Basketball Teams' Performance According
to Context-Related Variables.
AB - The aim of the current study was to analyze the temporal effects that
unsportsmanlike fouls may have on basketball teams' scoring performance under
consideration of context-related variables. The authors analyzed 130
unsportsmanlike fouls from 362 elite basketball games (men's and women's Olympic
Games, European and World Championships). The context-related variables studied
were score-line, quality of opposition, timeout situation, minutes remaining, and
player status. The data were analyzed with linear-regression models. The results
showed that both teams (the team that made the foul and the opponent) had similar
positive scoring performances during 1 and 3 ball possessions after the
unsportsmanlike foul (short-term effect). However, 5 ball possessions after the
foul (midterm effect), the team that made the foul had a scoring disadvantage (
0.96) and the opponent team an advantage (0.78). The context-related variable
quality of opposition was significant only during 1 ball possession, with
negative effects for the team that made the foul and positive effects for the
opponent. The final outcome showed a positive effect for score-line when the
unsportsmanlike foul was made (0.96) and for quality of opposition (0.64).
PMID- 26559381
TI - Confined Polymerization in Highly Ordered Mesoporous Organosilicas.
AB - Hybrid mesoporous organosilica exhibiting crystal-like order in the walls
provided an ideal channel reaction vessel for the confined polymerization of
acrylonitrile (PAN). The resulting high-molecular-mass PAN fills the channels at
high yield and forms an ordered nanostructure of polymer nanobundles enclosed
into the hybrid matrix. The in situ thermal transformation of PAN into rigid
polyconjugated and, eventually, into condensed polyaromatic carbon nanofibers,
retains the periodic architecture. Simultaneously, the matrix evolves showing the
fusion of the p-phenylene rings and the cleavage of carbon?silicon bonds: this
gives rise to graphitic-carbon/silica nanocomposites containing hyper-oxydrylated
silica nanophases. Interestingly, the 3D hexagonal mesostructure survives in the
carbonaceous material. The exploitation of porous materials of high capacity and
a hybrid nature, for polymerization in the confined state, followed by high
temperature treatments, allowed us to achieve unique and precisely fabricated
nanostructures, thus paving the way for the construction of fine-tuned electronic
and light-harvesting materials.
PMID- 26559382
TI - Anatomy of the Murine Hepatobiliary System: A Whole-Organ-Level Analysis Using a
Transparency Method.
AB - The biliary tract is a well-branched ductal structure that exhibits great
variation in morphology among vertebrates. Its function is maintained by complex
constructions of blood vessels, nerves, and smooth muscles, the so-called
hepatobiliary system. Although the mouse (Mus musculus) has been used as a model
organism for humans, the morphology of its hepatobiliary system has not been well
documented at the topographical level, mostly because of its small size and
complexity. To reconcile this, we conducted whole-mount anatomical descriptions
of the murine extrahepatic biliary tracts with related blood vessels, nerves, and
smooth muscles using a recently developed transparentizing method, CUBIC. Several
major differences from humans were found in mice: (1) among the biliary arteries,
the arteria gastrica sinistra accessoria was commonly found, which rarely appears
in humans; (2) the sphincter muscle in the choledochoduodenal junction is
unseparated from the duodenal muscle; (3) the pancreatic duct opens to the bile
duct without any sphincter muscles because of its distance from the duodenum.
This state is identical to a human congenital malformation, an anomalous
arrangement of pancreaticobiliary ducts. However, other parts of the murine
hepatobiliary system (such as the branching patterns of the biliary tract, blood
vessels, and nerves) presented the same patterns as humans and other mammals
topologically. Thus, the mouse is useful as an experimental model for studying
the human hepatobiliary system.
PMID- 26559383
TI - Transoral robotic surgery for early T classification hypopharyngeal cancer.
AB - BACKGROUND: For hypopharyngeal cancer, transoral robotic surgery (TORS) has been
reported as a new organ preserving treatment but outcomes are rarely reported.
METHODS: From 2010 to 2013, 10 patients with early T classification pyriform
sinus cancer were selected to receive TORS and conventional neck dissection. The
clinical parameters, including rates of adjuvant radiotherapy, survivals, as well
as organ and function preservation, were retrospectively analyzed. RESULTS: TORS
was successful in all 10 patients, and 5 patients received adjuvant radiotherapy.
After mean follow-up of 26 months, 1 patient died of distant metastasis and 1
patient died of other malignancy. There was no local recurrence and larynxes were
all preserved. Eight surviving patients who were followed up continuously could
receive oral intake and had a serviceable voice without tracheostomy or feeding
tubes. CONCLUSION: TORS is a feasible transoral approach for selected patients
with early T classification hypopharyngeal cancer. The reported
oncologic/functional outcomes are satisfactory. (c) 2015 Wiley Periodicals, Inc.
Head Neck 38: 857-862, 2016.
PMID- 26559384
TI - Causes of endogenous uveitis in cats presented to referral clinics in North
Carolina.
AB - OBJECTIVE: To investigate the causes of endogenous uveitis in cats presenting to
referral ophthalmology clinics in North Carolina. PROCEDURE: Medical records of
cats diagnosed with endogenous uveitis at North Carolina State University's
College of Veterinary Medicine (NCSU-CVM) or Animal Eye Care Associates of Cary,
NC between 2003 and 2015 were reviewed. Inclusion criteria were cats that had
complete diagnostic workups, including clinical, clinicopathological,
serological, and histopathological data, as well as imaging modalities. Serology
was consistently completed for feline leukemia virus (FeLV), feline
immunodeficiency virus (FIV), feline coronavirus (FCoV), Toxoplasma gondii, and
Bartonella spp. RESULTS: One hundred and twenty cats met the inclusion criteria.
Seroprevalence of FeLV (2.7%), FIV (7.3%), FCoV (34.7%), T. gondii (23.7%), and
Bartonella spp. (43.2%) was observed, with a combined seroprevalence of 59.2%.
Nineteen cats (15.8%) were diagnosed with feline infectious peritonitis (FIP)
based on clinical, hematological, serological, histopathological, and necropsy
findings. The average age of all cases was 7.62 years, while the average age of
cats diagnosed with FIP was 1.82 years. Neoplasia was diagnosed in six cats
(5.0%). No underlying etiology was found in 49 cats (40.8%). CONCLUSIONS: Both
idiopathic and neoplastic causes of uveitis were less prevalent than previously
reported in studies, while seropositivity was higher than previously reported for
the study area. This may be due to improved diagnostic capabilities or that cats
with infectious disease were more likely to be referred. Because of the high
prevalence of FIP, young cats with uveitis should be evaluated for
hyperglobulinemia and FCoV serology should be performed as minimal diagnostics.
PMID- 26559385
TI - Testicular Functions and Clinical Characterization of Patients with Gender
Dysphoria (GD) Undergoing Sex Reassignment Surgery (SRS).
AB - INTRODUCTION: Cross-sex hormone treatment of gender dysphoria (GD) patients
changing from male to female a prerequisite for sex reassignment. For initial
physical adaptation, a combined treatment of anti-androgens and estrogens is
used. Provided that patients fulfill specific criteria, sex reassignment surgery
(SRS) presents the final step toward physical adaptation. However, systematic
studies analyzing effects of hormone treatment regimens are lacking. AIM: The aim
of this study was to compare the effects of three different hormonal treatment
strategies regarding endocrinological parameters and testicular histology.
METHODS: Testicular tissues were obtained in a multicenter study from 108
patients on the day of SRS from three clinics following different treatment
strategies. Patients either discontinued treatment 6 weeks (clinic A) or 2 weeks
(clinic B) prior to SRS or not at all (clinic C). Testicular tissues,
ethylenediaminetetraacetic acid blood and questionnaires were obtained on the day
of SRS. MAIN OUTCOME MEASURES: Blood hormone and intratesticular testosterone
(ITT) levels were measured. Testicular weight and histology were evaluated and
the percentage of luteinizing hormone/choriogonadotropin receptor (LHCGR)
positive cells was determined. RESULTS: According to the questionnaires, patients
showed desired phenotypical changes including breast growth (75%) and smooth skin
(32%). While patients from clinics A and B presented with rather virilized
hormonal levels, patients from clinic C showed generally feminized blood serum
levels. Histological evaluation revealed highly heterogeneous results with about
24% of patients presenting with qualitatively normal spermatogenesis. In
accordance with serum endocrine profile, ITT levels were lowest in clinic C and
correlated with testosterone and free testosterone, but not with the
spermatogenic state. The percentage of LHCGR-positive cells and ITT levels did
not correlate. CONCLUSION: Only patients that did not discontinue hormonal
treatment showed feminized blood levels on the day of SRS. The ones who stopped
re-virilized quickly. Interestingly, testicular histology was highly
heterogeneous irrespective of the treatment strategy, a phenomenon that requires
further investigation.
PMID- 26559386
TI - Aortic valve replacement with mechanical vs. biological prostheses in patients
aged 50-69 years.
AB - AIMS: The objective was to investigate the long-term all-cause mortality in
patients aged 50-69 years after aortic valve replacement (AVR) with bioprosthetic
or mechanical valves. METHODS AND RESULTS: All patients aged 50-69 years who had
undergone AVR in Sweden 1997-2013 were identified from the Swedish Web-system for
Enhancement and Development of Evidence-based care in Heart disease Evaluated
According to Recommended Therapies register. Subsequent patient-level record
linkage with national health-data registers provided patient characteristics,
vital status, and clinical outcomes. Of the 4545 patients, 60% (2713/4545) had
received mechanical valves and 40% (1832/4545) bioprostheses. In 1099 propensity
score-matched patient pairs, 16% (180/1099) had died in the mechanical valve
group and 20% (217/1099) in the bioprosthetic group; mean follow-up 6.6 (maximum
17.2) years. Survival was higher in the mechanical than in the bioprosthetic
group: 5-, 10-, and 15-year survival 92, 79, and 59% vs. 89, 75, and 50%; hazard
ratio 1.34; 95% confidence interval (CI) 1.09-1.66; P = 0.006. There was no
difference in stroke [subdistribution hazard ratio (sHR) 1.04; 95% CI 0.72-1.50,
P = 0.848]; however, the risk for aortic valve reoperation was higher (sHR 2.36;
95% CI 1.42-3.94, P = 0.001), and for major bleeding lower (sHR 0.49; 95% CI 0.34
0.70, P < 0.001), in patients who had received bioprostheses than in those with
mechanical valves. CONCLUSION: Patients aged 50-69 years who received mechanical
valves had better long-term survival after AVR than those with bioprostheses. The
risk of stroke was similar; however, patients with bioprostheses had a higher
risk of aortic valve reoperation and a lower risk of major bleeding. CLINICAL
TRIAL REGISTRATION: http://clinicaltrials.gov/show/NCT02276950. CLINICALTRIALSGOV
IDENTIFIER: NCT02276950.
PMID- 26559387
TI - The Australian and New Zealand Intensive Care Research Centre.
PMID- 26559388
TI - Comparative phylogeography of endemic Azorean arthropods.
AB - BACKGROUND: For a remote oceanic archipelago of up to 8 Myr age, the Azores have
a comparatively low level of endemism. We present an analysis of phylogeographic
patterns of endemic Azorean island arthropods aimed at testing patterns of
diversification in relation to the ontogeny of the archipelago, in order to
distinguish between alternative models of evolutionary dynamics on islands. We
collected individuals of six species (representing Araneae, Hemiptera and
Coleoptera) from 16 forest fragments from 7 islands. Using three mtDNA markers,
we analysed the distribution of genetic diversity within and between islands,
inferred the differentiation time-frames and investigated the inter-island
migration routes and colonization patterns. RESULTS: Each species exhibited very
low levels of mtDNA divergence, both within and between islands. The two oldest
islands were not strongly involved in the diffusion of genetic diversity within
the archipelago. The most haplotype-rich islands varied according to species but
the younger, central islands contributed the most to haplotype diversity.
Colonization events both in concordance with and in contradiction to an inter
island progression rule were inferred, while a non-intuitive pattern of
colonization from western to eastern islands was also inferred. CONCLUSIONS: The
geological development of the Azores has followed a less tidy progression
compared to classic hotspot archipelagos, and this is reflected in our findings.
The study species appear to have been differentiating within the Azores for <2
Myr, a fraction of the apparent life span of the archipelago, which may indicate
that extinction events linked to active volcanism have played an important role.
Assuming that after each extinction event, colonization was initiated from a
nearby island hosting derived haplotypes, the apparent age of species
diversification in the archipelago would be moved closer to the present after
each extinction-recolonization cycle. Exploiting these ideas, we propose a
general model for future testing.
PMID- 26559389
TI - Immune therapy in autoimmune encephalitis: a systematic review.
AB - We have reviewed the literature of immune therapy in autoimmune encephalitis
associated with antibodies to cell surface antigens including N-methyl-D
aspartate receptor (NMDAR), leucine-rich, glioma-inactivated protein-1 (LGI1),
contactin-associated protein-2 (Caspr2), the alpha-amino-3-hydroxy-5-methyl-4
isoxazolepropionic acid receptor (AMPAR), gamma-aminobutyric acid-A receptor
(GABAAR), gamma-aminobutyric acid-B receptor (GABABR), Glycine R and other rarer
antigens. Most studies are retrospective cohorts, and there are no randomised
controlled trials. Most clinicians use first-line therapy (steroids, intravenous
immunoglobulin, plasma exchange), and if severe or refractory, second-line
therapy (rituximab, cyclophosphamide). When present, tumours should be removed.
There are common therapeutic themes emerging. Firstly, patients given immune
therapy do better and relapse less than patients given no treatment. Secondly,
patients given early treatment do better. And thirdly, when patients fail first
line therapy, second-line therapy improves outcomes and reduces relapses. Given
the retrospective uncontrolled data, the literature has inherent bias, including
severity and reporting bias.
PMID- 26559390
TI - Selective in-plane nitrogen doping of graphene by an energy-controlled neutral
beam.
AB - Nitrogen-doped graphene promises to improve current electronic devices, sensors,
and energy-based devices. To this end, the bonding states between carbon and
nitrogen atoms can be manipulated to tailor the properties of the doped graphene.
For example, graphitic nitrogen is known to promote desired catalytic activities
in graphene fuel-cell systems, resulting from a four-electron reaction. However,
established nitrogen-doping methods lack selectivity in dopant chemical identity
and in dopant location; both are key factors in graphene property design because
the properties depend on the chemical identity and location of the dopant. Here,
we utilize a nitrogen neutral beam (NB) technique-with exquisite beam energy
control-to dope graphene with nitrogen. Using x-ray photoelectron and Raman
spectroscopy, we show that the energy of the nitrogen NB not only determines the
chemistry of the nitrogen dopant introduced to graphene, but it also dictates the
doping locations within graphene layers.
PMID- 26559392
TI - Operating microscope with near infrared imaging function for indocyanine green
lymphography in prevention of lymphedema with lymphaticovenous anastomosis
immediately after mastectomy and axillary dissection.
PMID- 26559391
TI - The role of ADAMTS-13 activity and complement mutational analysis in
differentiating acute thrombotic microangiopathies.
AB - ESSENTIALS: Molecular diagnostics has improved the differentiation of acute
thrombotic microangiopathys (TMAs). Atypical hemolytic uremic syndrome may have
features mimicking thrombotic thrombocytopenic purpura. We identified novel
complement mutations and a high incidence of CD46, with favorable long term
outcomes. Complement mutation analysis in TMA where the diagnosis is unclear and
ADAMTS-13 activity is >10%. BACKGROUND: Differentiation of acute thrombotic
microangiopathy (TMA) at presentation has historically been dependent on clinical
parameters. Confirmation of thrombotic thrombocytopenic purpura (TTP) is
increasingly reliant on demonstrating deficient ADAMTS-13 activity. The
identification of alternative complement pathway abnormalities in atypical
hemolytic uremic syndrome (aHUS), along with the proven efficacy of terminal
complement inhibitors in treatment, has increased the need for rapid
differentiation of TTP from aHUS. OBJECTIVES: We describe the clinical phenotype
and nature of complement mutations in a cohort of aHUS patients referred as acute
TMAs. PATIENTS/METHODS: Fourteen consecutive aHUS patients were screened for
mutations in C3, CD46, CFH, CFI, and CFB, as well as factor H (FH) antibodies.
All aHUS patients had ADAMTS-13 activity > 10%. RESULTS: Of 14 aHUS patients, 11
(79%) had platelet counts < 30 * 10(9) /L during the acute phase. Median
presenting creatinine level was 295 MUmol L(-1) , while five (36%) of 14
presented with a serum creatinine level < 200 MUmol L(-1) . Alternative
complement pathway mutations were detected in 9 (64%) of 14 patients, including
CD46 mutations in five (36%) of 14 patients. Patients were identified with novel
mutations in CFB and C3 that have not been previously reported. CONCLUSIONS: We
demonstrate that diagnostic differentiation based on platelet count and renal
function is insufficient to predict an underlying complement mutation in some
aHUS cases. Specifically, we demonstrate a high frequency of functionally
significant CD46 mutations which may mimic TTP. ADAMTS-13 activity > 10% in a
patient with a TMA should necessitate genetic screening for complement
abnormalities.
PMID- 26559393
TI - Successful pregnancy outcome under prolonged ustekinumab treatment in a patient
with Crohn's disease and paradoxical psoriasis.
PMID- 26559394
TI - Pomegranate's Neuroprotective Effects against Alzheimer's Disease Are Mediated by
Urolithins, Its Ellagitannin-Gut Microbial Derived Metabolites.
AB - Pomegranate shows neuroprotective effects against Alzheimer's disease (AD) in
several reported animal studies. However, whether its constituent ellagitannins
and/or their physiologically relevant gut microbiota-derived metabolites, namely,
urolithins (6H-dibenzo[b,d]pyran-6-one derivatives), are the responsible
bioactive constituents is unknown. Therefore, from a pomegranate extract (PE),
previously reported by our group to have anti-AD effects in vivo, 21
constituents, which were primarily ellagitannins, were isolated and identified
(by HPLC, NMR, and HRESIMS). In silico computational studies, used to predict
blood-brain barrier permeability, revealed that none of the PE constituents, but
the urolithins, fulfilled criteria required for penetration. Urolithins prevented
beta-amyloid fibrillation in vitro and methyl-urolithin B (3-methoxy-6H
dibenzo[b,d]pyran-6-one), but not PE or its predominant ellagitannins, had a
protective effect in Caenorhabditis elegans post induction of amyloid beta(1-42)
induced neurotoxicity and paralysis. Therefore, urolithins are the possible brain
absorbable compounds which contribute to pomegranate's anti-AD effects warranting
further in vivo studies on these compounds.
PMID- 26559395
TI - Design and development of controlled release floating matrix tablet of Nicorandil
using hydrophilic cellulose and pH-independent acrylic polymer: in-vitro and in
vivo evaluations.
AB - OBJECTIVES: The purpose of the study was to develop a floating matrix tablet of
Nicorandil using blends of hydrophilic cellulose and pH-independent acrylic
polymer to improve the therapeutic effectiveness of the drug in cardiovascular
disease. METHODS: Nicorandil tablets were prepared by direct compression and
evaluated for drug-excipients compatibility, in-vitro buoyancy and in-vivo gamma
scintigraphy study. The selected formulation (FT5) was also evaluated for
stability study and the in-vivo absorption in rabbits to compare the
pharmacokinetic parameters with the commercially available immediate release
tablet of Nicorandil. RESULTS: DSC and FT-IR studies confirmed the absence of
incompatibility and were found stable at refrigerator temperature (2-8 degrees C)
and at 25oC/60% RH. The in-vivo gamma-scintigraphy studies revealed that the
system was floated for a period of 6 -7 h in the stomach and in-vivo absorption
study showed a significant difference (p < 0.05) in the pharmacokinetic
parameters (AUC increased by 3 fold and MRT by 2.5 fold) as compared to the
marketed formulation. CONCLUSION: In conclusion, the developed Nicorandil
floating matrix tablet improved the pharmacokinetics parameters (AUC and MRT) in
rabbit plasma with expected lowering in side effects potential.
PMID- 26559396
TI - The strengths and limitations of animal models in assessing the effects of red
blood cell storage age on clinical outcomes.
PMID- 26559397
TI - The promise of extended donor antigen typing.
PMID- 26559398
TI - Donor blood lead levels and transfusion safety in a vulnerable population.
PMID- 26559399
TI - Transfusion medicine illustrated. The antibody identification card in action.
PMID- 26559401
TI - Effect of storage-aged red blood cell transfusions on endothelial function in
healthy subjects.
PMID- 26559402
TI - Transfusion management of patients receiving daratumumab therapy for advanced
plasma cell myeloma.
PMID- 26559403
TI - Severely elevated C-reactive protein accompanied by prolonged high fever and
leukocytosis in a healthy peripheral blood stem cell donor: an atypical
granulocyte-colony-stimulating factor reaction?
PMID- 26559404
TI - Nanoformulation and antimicrobial evaluation of newly synthesized thiouracil
derivatives.
AB - The present work reports the synthesis of a new series of pyridopyrimidine
derivatives. The newly synthesized compounds were characterized by various
analytical and spectral techniques. In addition, their antimicrobial activity was
evaluated as well as modeling studies were performed to investigate their ability
to recognize and bind to the biotin carboxylase (BC)-active site. The results
showed a broad spectrum antibacterial and antifungal profile of the synthesized
derivatives. Docking results demonstrated that all members of this class of new
derivatives were able to recognize the active site of Escherichia coli BC and
form different types of bonding interactions with key active site amino acid
residues. Besides the compounds with promising antimicrobial activity in addition
to 6-aminothiouracil, as control, were incorporated into polycaprolactone
nanoparticles to improve their water solubility, permeability through
physiological barriers and consequently enhanced therapeutic efficacy. The
compounds-loaded nanoparticles were prepared using single emulsion-solvent
evaporation technique, and their diameters were found to be in the range 136 +/-
30 to 213 +/- 28 nm. Transmission electron microscopy (TEM) showed a spherical
and dense morphology of the nanoparticles. The results also showed high
entrapment efficiency of the synthesized bioactive compounds in the nanoparticles
(85 +/- 5% to 91 +/- 2%) with a desirable in vitro biodegradation and release
profiles.
PMID- 26559405
TI - Correlates of Prevalent Disability Among HIV-Infected Elderly Patients.
AB - The growing elderly population of HIV-infected patients is leading to a
significant epidemiological transition and HIV infection has been proposed as a
premature and accelerated aging model rending the individual more susceptible to
premature disability. However, the determinants of disability among this emergent
population are still lacking. Therefore, the aim of this study is to determine
the correlates of prevalent disability in adults >=50 years with HIV infection. A
cross-sectional study of 184 HIV-infected adults receiving ambulatory care in an
HIV clinic of a tertiary care, university-affiliated hospital in Mexico City was
conducted. Disability for instrumental (IADL) and basic activities of daily
living (ADL) was established. Sociodemographic factors, clinical variables,
current CD4(+) cell count, and HIV viral load (VL) were tested as potential
determinants of disability. Multivariate logistic regression analyses were used
to identify the correlates of both types of disability. The mean age was 59.3
years. All participants were receiving highly active antiretroviral therapy. Of
participants 17.9% had disability for IADL and 26.1% for ADL. Multivariate
logistic regression analyses indicated that being older; having a lower CD4(+)
cell count, and having a detectable HIV VL were independently associated with
both types of disability. In addition, educational level was also independently
associated with ADL disability. Age, educational level, low CD4(+) cell count,
and detectable HIV VL were independently associated with disability. Whether
effective and timely antiretroviral therapy will reduce the risk of disability in
HIV-infected elderly patients needs to be evaluated.
PMID- 26559406
TI - The Activity of Neutral alpha-Glucosidase and Selected Biochemical Parameters in
the Annual Cycle of Breeding Carp (Cyprinus carpio L.).
AB - The aim of the study was to demonstrate seasonal changes in the hydrolytic and
transferase activity of neutral alpha-glucosidase, the level of glucose,
cholesterol, triglycerides and total protein in the annual breeding cycle of the
carp. The study was conducted on fish from a fish farm in Lower Silesia (Poland).
Blood serum was collected from the heart in: June, September and December of two
consecutive years. The results of the study show that the hydrolytic and
transferase activity of neutral alpha-glucosidase, as well as the results of
basic biochemical parameters are highest in summer, when the fish seek and intake
food intensively. The lowest values were observed in spring, when carp have the
lowest metabolism after the wintering period.
PMID- 26559407
TI - Analysis of the Optimal Duration of Behavioral Observations Based on an Automated
Continuous Monitoring System in Tree Swallows (Tachycineta bicolor): Is One Hour
Good Enough?
AB - Studies of animal behavior often rely on human observation, which introduces a
number of limitations on sampling. Recent developments in automated logging of
behaviors make it possible to circumvent some of these problems. Once verified
for efficacy and accuracy, these automated systems can be used to determine
optimal sampling regimes for behavioral studies. Here, we used a radio-frequency
identification (RFID) system to quantify parental effort in a bi-parental
songbird species: the tree swallow (Tachycineta bicolor). We found that the
accuracy of the RFID monitoring system was similar to that of video-recorded
behavioral observations for quantifying parental visits. Using RFID monitoring,
we also quantified the optimum duration of sampling periods for male and female
parental effort by looking at the relationship between nest visit rates estimated
from sampling periods with different durations and the total visit numbers for
the day. The optimum sampling duration (the shortest observation time that
explained the most variation in total daily visits per unit time) was 1h for both
sexes. These results show that RFID and other automated technologies can be used
to quantify behavior when human observation is constrained, and the information
from these monitoring technologies can be useful for evaluating the efficacy of
human observation methods.
PMID- 26559408
TI - A hydrodynamic view of the first-passage folding of Trp-cage miniprotein.
AB - We study folding of Trp-cage miniprotein in the conditions when the native state
of the protein is stable and unfolding events are improbable, which corresponds
to physiological conditions. Using molecular dynamics simulations with an
implicit solvent model, an ensemble of folding trajectories from unfolded
(practically extended) states of the protein to the native state was generated.
To get insight into the folding kinetics, the free energy surface and kinetic
network projected on this surface were constructed. This, "conventional" analysis
of the folding reaction was followed by a recently proposed hydrodynamic
description of protein folding (Chekmarev et al. in Phys Rev Lett 100(1):018107,
2008), in which the process of the first-passage folding is viewed as a
stationary flow of a folding "fluid" from the unfolded to native state. This
approach is conceptually different from the previously used approaches and thus
allows an alternative view of the folding dynamics and kinetics of Trp-cage, the
conclusions about which are very diverse. In agreement with most previous
studies, we observed two characteristic folding pathways: in one pathway (I), the
collapse of the hydrophobic core precedes the formation of the [Formula: see
text]-helix, and in the other pathway (II), these events occur in the reverse
order. We found that although pathway II is complicated by a repeated partial
protein unfolding, it contributes to the total folding flow as little as ~10%, so
that the folding kinetics remain essentially single-exponential.
PMID- 26559409
TI - Behavioral Disinhibition Can Foster Intentions to Healthy Lifestyle Change by
Overcoming Commitment to Past Behavior.
AB - To curb the trend towards obesity and unhealthy living, people may need to change
their entire lifestyle to a healthier alternative, something that is frequently
perceived to be problematic. The present research, using a large, representative
community sample, hypothesized and found that a key factor responsible for why
people do not intend to change lifestyles is a sense of commitment to past
behavior. However we also found that the contribution of commitment was
attenuated for individuals with a stronger tendency for behavioral disinhibition
thus underscoring the "bright side" of this individual difference characteristic
that traditionally has been mainly associated with impulsive and indulging
behavior. Overall, the present findings add to our understanding of factors
inhibiting and promoting healthy behavior change.
PMID- 26559410
TI - Interactions between Canopy Structure and Herbaceous Biomass along Environmental
Gradients in Moist Forest and Dry Miombo Woodland of Tanzania.
AB - We have limited understanding of how tropical canopy foliage varies along
environmental gradients, and how this may in turn affect forest processes and
functions. Here, we analyse the relationships between canopy leaf area index
(LAI) and above ground herbaceous biomass (AGBH) along environmental gradients in
a moist forest and miombo woodland in Tanzania. We recorded canopy structure and
herbaceous biomass in 100 permanent vegetation plots (20 m * 40 m), stratified by
elevation. We quantified tree species richness, evenness, Shannon diversity and
predominant height as measures of structural variability, and disturbance (tree
stumps), soil nutrients and elevation as indicators of environmental variability.
Moist forest and miombo woodland differed substantially with respect to nearly
all variables tested. Both structural and environmental variables were found to
affect LAI and AGBH, the latter being additionally dependent on LAI in moist
forest but not in miombo, where other factors are limiting. Combining structural
and environmental predictors yielded the most powerful models. In moist forest,
they explained 76% and 25% of deviance in LAI and AGBH, respectively. In miombo
woodland, they explained 82% and 45% of deviance in LAI and AGBH. In moist
forest, LAI increased non-linearly with predominant height and linearly with tree
richness, and decreased with soil nitrogen except under high disturbance. Miombo
woodland LAI increased linearly with stem density, soil phosphorous and nitrogen,
and decreased linearly with tree species evenness. AGBH in moist forest decreased
with LAI at lower elevations whilst increasing slightly at higher elevations.
AGBH in miombo woodland increased linearly with soil nitrogen and soil pH.
Overall, moist forest plots had denser canopies and lower AGBH compared with
miombo plots. Further field studies are encouraged, to disentangle the direct
influence of LAI on AGBH from complex interrelationships between stand structure,
environmental gradients and disturbance in African forests and woodlands.
PMID- 26559411
TI - Molecular and Morphological Differentiation of Common Dolphins (Delphinus sp.) in
the Southwestern Atlantic: Testing the Two Species Hypothesis in Sympatry.
AB - The taxonomy of common dolphins (Delphinus sp.) has always been controversial,
with over twenty described species since the original description of the type
species of the genus (Delphinus delphis Linnaeus, 1758). Two species and four
subspecies are currently accepted, but recent molecular data have challenged this
view. In this study we investigated the molecular taxonomy of common dolphins
through analyses of cytochrome b sequences of 297 individuals from most of their
distribution. We included 37 novel sequences from the Southwestern Atlantic
Ocean, a region where the short- and long-beaked morphotypes occur in sympatry,
but which had not been well sampled before. Skulls of individuals from the
Southwestern Atlantic were measured to test the validity of the rostral index as
a diagnostic character and confirmed the presence of the two morphotypes in our
genetic sample. Our genetic results show that all common dolphins in the Atlantic
Ocean belong to a single species, Delphinus delphis. According to genetic data,
the species Delphinus capensis is invalid. Long-beaked common dolphins from the
Northeastern Pacific Ocean may constitute a different species. Our conclusions
prompt the need for revision of currently accepted common dolphin species and
subspecies and of Delphinus delphis distribution.
PMID- 26559412
TI - Dexmedetomidine reduces postoperative delirium after joint replacement in elderly
patients with mild cognitive impairment.
AB - BACKGROUND AND AIMS: Postoperative delirium (POD) is a common and serious
surgical complication among the elderly, especially in those with amnestic mild
cognitive impairment (aMCI). Dexmedetomidine (DEX) is neuroprotective for
delirium. In this study, we determined the effect of intravenously administered
DEX during general anesthesia on POD in elderly aMCI patients undergoing elective
hip joint or knee joint or shoulder joint replacement surgery. METHODS: This was
a prospective, randomized parallel-group study of aMCI (n = 80) and normal
elderly patients (n = 120). Prior to surgery, all subjects underwent
neuropsychological assessment and were assigned to one of four groups: the aMCI
DEX group (MD group, n = 40), the aMCI normal saline group (MN group, n = 40),
the control DEX group (CD group, n = 60), and the control normal saline group (CN
group, n = 60). The confusion assessment method was used to screen POD on
postoperative days 1, 3, and 7. RESULTS: We found patients age was positively
correlated with POD incidence in the MN group (p < 0.05) but not in the CN group
(p < 0.05). DEX treatment significantly decreased POD incidence in both control
and aMCI groups relative to their respective placebo groups (all p < 0.05). The
fraction of patients whose normal cognitive function was not restored by day 7
after surgery was significantly higher in the MN group than the MD and CN groups
(all p < 0.05). CONCLUSIONS: These findings suggested that DEX treatment during
surgery significantly reduced POD incidence in both normal and aMCI elderly
patients, suggesting that it may be an effective option for the prevention of
POD.
PMID- 26559413
TI - Assessment of fatigability of older women during sit-to-stand performance.
AB - BACKGROUND: Fatigability of older adults is relevant with regard to physical
performance, falls and physical activity. Objective and inexpensive assessment
tools for testing fatigability in the persons' home environment are not
available. AIMS: The aim of this study was to develop a protocol to objectively
measure fatigability during repeated sit-to-stand performance in older persons.
METHODS: Decrease of maximum velocity of performance during repeated sit-to-stand
transfers and the number of repetition when achieving a 10, 15, and 20 % fatigue
threshold were measured in 49 community-dwelling older women using a linear
encoder. RESULTS: Mean maximum velocity of the sit-to-stand performance was 1.12
m/s (SD 0.17 m/s) with an estimated change of velocity per repetition of -0.0037
m/s (95 % CI -0.0039 to -0.0035) during the test. The mean number of repetitions
representing 10, 15, and 20 % fatigue threshold was 8.1, 13.8, and 21,
respectively. DISCUSSION: This simple test protocol provides objective
information about the decrease of performance of a daily task in older adults.
CONCLUSION: Fatigability of the sit-to-stand performance can be measured
objectively by measuring the decrease of maximum velocity of consecutive
repetitions and the repetition number achieving a 20 % fatigue threshold.
PMID- 26559414
TI - Is it always Alzheimer's? Let's talk to our patients about
"cardiocerebrovascular" prevention.
AB - Unlike Alzheimer's, vascular dementia can, in part, be prevented. The preventive
approach foresees treatment for high blood pressure, atrial fibrillation,
diabetes, high cholesterol, low HDL cholesterol, sedentary lifestyle, smoking,
alcohol abuse, obesity, and sleep apnea. Moreover, also a well-balanced diet and
physical activity are cornerstones of prevention, with beneficial effects on the
brain and cognition.
PMID- 26559415
TI - A comparison of the femur heads histomorphometrically regarding trabecular bone
properties in the patients with osteoporosis and osteoarthritis.
AB - The purpose was to compare the bone samples histomorphometrically regarding
trabecular bone properties in the patients with osteoarthritis (OA) and
osteoporosis (OP). Femoral head specimens were obtained from 18 patients with OA
and 17 patients with femoral neck fracture due to OP during hip arthroplasty.
Histomorphometric analyses were performed by soft ware program (Carl Zeiss) to
measure trabecular area (Tb.a, mm(3)), trabecular thickness (Tb.th, um) and
trabecular separation (Tb.s, um). In the results, Tb.a and Tb.th values were
significantly lower (p < 0.05), Tb.s was higher in the patients with OP (p <
0.05). Bone metabolism parameters were different between the groups (p < 0.05).
All histomorphometric parameters were highly correlated with the BMDs (p < 0.01).
This study showed inverse relation between OA and OP regarding trabecular bone
properties, BMD and bone turnover metabolism markers. The strong relations
between results suggest that either BMDs or bone turnover markers can be used for
prescience of the fractures.
PMID- 26559416
TI - Management of hepatitis B during pregnancy.
AB - INTRODUCTION: Women of childbearing age or who are pregnant and have hepatitis B
infection require specialized management both during and after pregnancy.
Effective maternal screening along with judicious use of available antivirals and
immunoprophylaxis greatly reduces the perinatal transmission of hepatitis B virus
(HBV) and dramatically declines the incidence and prevalence of chronic hepatitis
B and its sequelae. AREAS COVERED: A systematic literature search was done using
Embase, Medline and Cochrane library from January 1990 to July 2015 and
appropriate articles selected for this review. This review highlights the timing
of therapy, choice of antiviral agent along with passive and active
immunoprophylaxis for infants. Issues regarding breastfeeding in HBV-infected
women and who are on antiviral therapy are addressed. EXPERT OPINION: All
decisions about starting, continuing or stopping antiviral therapy must consider
maternal and fetal risks. Antiviral therapy during the third trimester of
pregnancy in women with active disease reduces the risk of perinatal
transmission. Safety data in pregnancy are mostly available for lamivudine and
tenofovir. However, recent studies have also advocated use of telbivudine in such
patients. Detailed discussion with the patient regarding the risks and benefits
of therapy is very important. Prophylaxis remains the best method of prevention
of perinatal transmission.
PMID- 26559417
TI - Public Attitudes to Housing Systems for Pregnant Pigs.
AB - Understanding concerns about the welfare of farm animals is important for the
development of socially sustainable production practices. This study used an
online survey to test how views on group versus stall housing for pregnant sows
varied when Canadian and US participants were provided information about these
systems, including access to scientific papers, YouTube videos, Google images,
and a frequently-asked-questions page (S1 Appendix). Initial responses and
changes in responses after accessing the information were analyzed from Likert
scores of 242 participants and from their written comments. Participants were
less willing to accept the use of gestation stalls after viewing information on
sow housing. For example, initially 30.4% of respondents indicated that they
supported the use of gestation stalls; this declined to 17.8% after participants
were provided additional information. Qualitative analysis of comments showed
that supporters of gestation stalls expressed concern about the spread of disease
and aggression between animals in less confined systems, whereas supporters of
group housing placed more emphasis on the sow's ability to interact socially and
perform natural behaviors. These results point to public opposition to the use of
gestation stalls, and indicate that the more that the public learns about
gestation stalls the less willing they will be to accept their use.
PMID- 26559419
TI - On-site hemostatic suturing for placenta previa: concerns and clarifications.
PMID- 26559418
TI - Application of Pseudomonas fluorescens to Blackberry under Field Conditions
Improves Fruit Quality by Modifying Flavonoid Metabolism.
AB - Application of a plant growth promoting rhizobacterium (PGPR), Pseudomonas
fluorescens N21.4, to roots of blackberries (Rubus sp.) is part of an optimised
cultivation practice to improve yields and quality of fruit throughout the year
in this important fruit crop. Blackberries are especially rich in flavonoids and
therefore offer potential benefits for human health in prevention or amelioration
of chronic diseases. However, the phenylpropanoid pathway and its regulation
during ripening have not been studied in detail, in this species. PGPR may
trigger flavonoid biosynthesis as part of an induced systemic response (ISR)
given the important role of this pathway in plant defence, to cause increased
levels of flavonoids in the fruit. We have identified structural genes encoding
enzymes of the phenylpropanoid and flavonoid biosynthetic pathways catalysing the
conversion of phenylalanine to the final products including flavonols,
anthocyanins and catechins from blackberry, and regulatory genes likely involved
in controlling the activity of pathway branches. We have also measured the major
flavonols, anthocyanins and catechins at three stages during ripening. Our
results demonstrate the coordinated expression of flavonoid biosynthetic genes
with the accumulation of anthocyanins, catechins, and flavonols in developing
fruits of blackberry. Elicitation of blackberry plants by treatment of roots with
P.fluorescens N21.4, caused increased expression of some flavonoid biosynthetic
genes and an accompanying increase in the concentration of selected flavonoids in
fruits. Our data demonstrate the physiological mechanisms involved in the
improvement of fruit quality by PGPR under field conditions, and highlight some
of the genetic targets of elicitation by beneficial bacteria.
PMID- 26559420
TI - Predictive value of pregnancy-associated plasma protein-A (PAPP-A) and free beta
hCG on fetal growth restriction: results of a prospective study.
AB - PURPOSE: Low levels of plasmatic pregnancy-associated plasma protein-A (PAPP-A)
and high levels of free-beta human chorionic gonadotropin (beta-hCG) could
influence the outcome of pregnancy. The objective of this study is to assess the
correlation between PAPP-A and free beta-hCG and birth weight. MATERIALS AND
METHODS: Prospective follow-up study performed on 3332 patients in the first
trimester of pregnancy who were subjected to a screening test focused on
evaluation of fetal aneuploidy (SCA-TEST). The values of PAPP-A and free beta-hCG
were both analyzed as raw values and subsequently converted to a multiple of the
median (MoM). Statistical analysis was performed using SPSS version 17.0.1 (SPSS
Inc., Chicago, USA). RESULTS: The incidence of "small for gestational age" in
patients with PAPP-A MoM <1st and <5th 0/00 was statistically significant (12 and
9.8 %; p < 0.0001). Also statistically significant data have been highlighted
about free beta MoM > 95th 0/00 (7 %; p = 0.03). The values of PAPP-A MoM > 99th
0/00 are significantly correlated with an increased risk of "large for
gestational age" (16.7 %; p < 0.0001). CONCLUSION: Our study demonstrates that
specific values of PAPP-A and free beta-hCG could identify the risk of low or
high birth weight since the first trimester of pregnancy.
PMID- 26559421
TI - Q fever and pregnancy: experience from the Limoges Regional University Hospital.
AB - INTRODUCTION: Q fever is an ubiquitous zoonosis caused by Coxiella burnetii. Its
tropism for the uterus is a potential source of obstetric complications.
MATERIALS AND METHODS: We describe the obstetric consequences of Q fever
diagnosed during pregnancy from a series of cases. When an antenatal diagnosis
was made, antibiotic therapy with roxithromycin (Rulid((r))) was started until
delivery. RESULTS: Between 2007 and 2012, 30 patients were treated for Q fever
diagnosed during pregnancy, i.e. 1.9 cases per 1000 people. The most common
reasons for performing serology was intrauterine growth retardation, preterm
labor and oligoamnios. Q fever was diagnosed as acute and chronic in 26 and 4
cases, respectively. Progression to chronic disease occurred in 8 % of acute
forms of the diseases. The prevalence of obstetric complications was 66 %,
including 10 % foetal deaths, 31 % preterm delivery and 27 % low birthweight
<10th percentile. The obstetric complication rate amongst the 22 patients treated
with ante partum macrolides was 60, 30 % of which involved prematurity and 33 %
involved low growth. No cases of foetal death were found on treatment and no
congenital malformation and placental or neonatal injury was found. No case of
disease reactivation was diagnosed in the eight patients who became pregnant
again. CONCLUSION: Q fever during pregnancy is responsible for severe obstetric
complications. It must be diagnosed early and its clinical forms known in order
to start appropriate antibiotic therapy.
PMID- 26559422
TI - Recurrent implantation failure in IVF: features of cycles that eventually ended
in conception.
AB - PURPOSE: To evaluate the characteristics of patients and IVF cycles with
recurrent implantation failure who eventually succeeded to conceive compared to
those who failed to do so. METHODS: In a retrospective study, we explored our
database for patients younger than 35 years old who underwent at least three
unsuccessful fresh IVF cycles. The following parameters were analyzed: cause of
infertility, FSH level, stimulation cycle characteristics, fertilization rate,
the type of luteal support, and cycle outcome. Uterine cavity assessment was also
included. The relationship between endometrial scratching and the outcome of the
following IVF cycle was assessed for the subsequent pregnancy rate. RESULTS: The
study included 184 patients who underwent 854 IVF cycles. There were no
statistically significant differences between patients who eventually conceived
and those who did not in terms of ovarian reserve and response to gonadotropin
treatment. IVF cycles that eventually ended with conception were characterized by
shorter stimulation (10.87 +/- 2.17 versus 11.34 +/- 2.33 days, p < 0.05), higher
estrogen level on the day of hCG administration (1661 +/- 667 versus 1472 +/- 633
pg/ml, p = 0.009), more fertilized oocytes via ICSI (5.04 +/- 4.29 versus 3.85 +/
3.45, p = 0.002), and more embryos available for transfer (5.98 +/- 3.89 versus
5.12 +/- 3.31, p = 0.002). Combined estrogen and progesterone luteal support
combined with endometrial scratching prior to the subsequent IVF cycle has been
positively related to increased pregnancy rates. CONCLUSIONS: Young patients with
RIF having a normal ovarian reserve and satisfactory ovarian response to
superovulation should be encouraged to pursue IVF, even though the probability to
conceive is relatively low compared to the general IVF population.
PMID- 26559423
TI - Balloon kyphoplasty of T8 in an osteoporotic fracture.
PMID- 26559424
TI - Extension type fracture of the ankylotic thoracic spine with gross displacement
causing esophageal rupture.
AB - PURPOSE: This study aimed at discussing the relevance of the type B3 fracture of
the new AOSpine classification. METHODS: Hyperextension fractures of the spine
are rare in the general population, but common in the ankylotic spine. We present
a case of a severe spinal fracture with concomitant esophageal rupture, which was
diagnosed early and could be treated during the initial trauma care. RESULTS: The
spinal column was stabilized using a percutaneous technique after which the
perforated esophagus was sutured through a thoracotomy. The spinal injury was
classified a type B3 fracture using the new AOSpine classification. CONCLUSION:
The B3 typification raised a lot of discussion during the development of the new
classification system and may be controversial. This case, however, nicely
illustrates the relevance of an intact posterior hinge as compared to C-type
injuries where complete dissociation is present with inherent spinal cord damage.
PMID- 26559425
TI - Nondestructive evaluation of photosynthesis by delayed luminescence in
Arabidopsis in Petri dishes.
AB - Nondestructive evaluation of photosynthesis is a valuable tool in the field and
laboratory. Delayed luminescence (DL) can reflect charge recombination through
the backflow of electrons. However, DL detection has not yet been adapted for
whole plants in Petri dishes. To compensate for differences in DL decay between
sibling Arabidopsis plants grown under the same conditions, we developed a time
sequential double measurement method. Using this method, we examined the
influence of photosynthetic electron flow inhibitors, and differences in the DL
decay curves were categorized by considering the initial and late phases of the
decay curves, as well as their intermediate slopes. The appearance of concavity
and convexity in DL curves in Arabidopsis was different from unicellular algae,
suggesting complexity in the photosynthetic machinery of higher plants. This
detection method should be invaluable for evaluating photosynthetic defects in
higher plants under sterile conditions without interrupting plant culture.
PMID- 26559426
TI - Prevalence of Obstructive Sleep Apnoea Among Patients Admitted for Bariatric
Surgery. A Prospective Multicentre Trial.
AB - BACKGROUND: Obesity has become one of the greatest public health concerns
worldwide and is known to be the most important risk factor for obstructive sleep
apnoea (OSA). Prevalence of OSA has increased over the last two decades, but it
is estimated that the majority of cases still remain undiagnosed. The aim of this
study was to investigate the prevalence of OSA in Finnish bariatric surgery
candidates. METHODS: In this prospective multicentre study, standard overnight
cardiorespiratory recording was conducted in 197 consecutive patients from three
different hospitals. A sleep questionnaire was also administered. Anthropometric
and demographic measurements included age, weight, body mass index (BMI) and
waist and neck circumference. RESULTS: Altogether, 71 % of the patients were
diagnosed with OSA. The prevalence was higher in males (90 %) than in females (60
%) (p < 0.001). In OSA patients' group, the mean neck and waist circumference was
larger (p < 0.001) and the body weight higher (p < 0.01) than in non-OSA group.
When separating patients by gender, a significant difference remained only
concerning neck circumference in female patients. CONCLUSIONS: OSA is very common
among bariatric surgery patients, especially in men. Considering this and the
increased long-term morbidity and mortality generally related to OSA, a routine
screening for OSA seems indicated in bariatric patients, particularly men.
PMID- 26559427
TI - Solid cancers after antiplatelet therapy: Confirmations, controversies, and
challenges.
AB - The role of anticoagulants and antiplatelet agents in tumour growth and prognosis
is not new, and currently under intense investigation. Some randomised data
strongly suggest that this association exists, but it is complex, and not
necessarily pointed at the same direction. The potential mechanisms responsible
for such harmful association include a direct hazard of novel antithrombotics on
cancer, indirect promotion of tumour growth, easier metastatic dissemination due
to instability of platelet-tumour cell aggregates, or/and inability to keep
cancer cells locally in situ are considered. The latest randomised evidence
ultimately rejected the drug-specific cancer risks, clearly indicating the class
effect. In lay terms "cancers follow bleeding", which seems to be true for
antithrombotic agents in general. Significant excess of solid cancers which was
similar after prasugrel in TRITON, and with vorapaxar in TRACER trials was
confirmed by the FDA reviews. Later, extra cancer deaths reported following
clopidogrel and prasugrel in DAPT, and after ticagrelor in PEGASUS are also of
concern. However, there are remaining controversies with regard to published
cancer risks after ticagrelor (PLATO), or another vorapaxar trial (TRA2P), while
full disclosure of separate clopidogrel and prasugrel cancer data in DAPT is
still lacking. In short, if we apply moderate antiplatelet strategies for over
two years, or aggressive regimens including triple therapy for much less than one
year, the solid cancer risks emerge. Currently, more delicate platelet
inhibition, and shorter exposure to dual oral antiplatelet agents should prevail.
PMID- 26559428
TI - The use of transthoracic echocardiography for the assessment of left ventricular
systolic and diastolic function in patients with suspected or ascertained chronic
heart failure.
AB - Several indices are available to assess left ventricular (LV) function. Although
ejection fraction (EF) is widely used, it has many limitations. An assessment of
LV longitudinal function should be therefore provided as it precedes the
impairment of EF. In this context, speckle tracking derived global longitudinal
strain is the gold standard but S' velocity of mitral annulus (by pulsed tissue
Doppler) and mitral annular plane systolic excursion (by M-mode) represent more
than simple surrogates. LV diastolic assessment should be oriented not to the
simple classification of transmitral patterns (E/A ratio and E velocity
deceleration time) but to non-invasive estimation of LV filling pressures. This
can be mainly obtained from E/e' ratio, with additional calculation of other
measurements such as pulmonary flow atrial reverse velocity, systolic pulmonary
arterial pressure and left atrial volume index. This comprehensive assessment
could also be useful to differentiate heart failure with reduced and preserved EF
in particular.
PMID- 26559429
TI - Antigen Detection in the Diagnosis of Histoplasmosis: A Meta-analysis of
Diagnostic Performance.
AB - We performed a meta-analysis of diagnostic data to evaluate the performance of
Histoplasma antigen detection tests for diagnosing histoplasmosis. We included
all studies involving human subjects that assessed the performance of any antigen
detection test for histoplasmosis in urine or serum by carrying out an exhaustive
and reproducible search of the literature between 1980 and 2014 from four
databases. Quality of the articles was assessed, and meta-analysis was performed
under the random effects model, calculating sensitivity, specificity, likelihood
and odds ratios, and ROC curve using Meta-DiSc(es). Nine out of a total of 23
studies met strict quality criteria and were therefore included. The overall
sensitivity for antigen detection in serum and urine was 81% (95% CI 78-83%),
while specificity was 99% (95% CI 98-99%). Sensitivity for antigenuria and
antigenemia was 79% (95% CI 76-82%) and 82% (95% CI 79-85%), respectively;
specificity values were 99% (95% CI 98-100%) in urine and 97% (95% CI 96-98%) in
serum. The positive and negative likelihood ratios were 49.5 (95% CI 20.7-118.7)
and 0.19 (95% CI 0.14-0.26), respectively, while the diagnostic OR was 362 (95%
CI 121.2-1080.3) and area under the curve was 0.99. In conclusion, the
performance of Histoplasma antigen detection assay of urine was not significantly
different from that of blood, indicating that antigenuria and antigenemia have
equal diagnostic value in histoplasmosis.
PMID- 26559430
TI - Efavirenz-based antiretroviral therapy versus nevirapine-including regimens for
prevention of mother-to-child transmission of HIV option B plus in resource
limited settings: is there anything missing?
AB - In 2013, an estimated 1.5 million HIV-positive pregnant women gave birth, with
240,000 children worldwide acquiring HIV. More than 90% of new pediatric
infections occurred in Sub-Saharan Africa. The latest WHO guidelines recommended
efavirenz (EFV)-based antiretroviral therapy as the first-line regimen for
prevention of mother-to-child transmission of HIV (PMTCT). On the other hand,
some data suggest that nevirapine (NVP), a well-known antiretroviral, could still
play a relevant role in PMTCT, especially in resource-limited settings (RLSs)
where the fertility rate is dramatically high compared to developed countries.
Given the lack of an unanimous consensus and definitive opinions, this paper goes
through the reasons for WHO decisions and aims at refreshing the debate about NVP
and EFV pros and cons for PMTCT in RLSs.
PMID- 26559431
TI - 7-formyl-10-methylisoellipticine, a novel ellipticine derivative, induces
mitochondrial reactive oxygen species (ROS) and shows anti-leukaemic activity in
mice.
AB - Acute myeloid leukaemia (AML) is the most common type of leukaemia in adults and
is associated with high relapse rates. Current treatment options have made
significant progress but the 5 year survival for AML remains low and therefore,
there is an urgent need to develop novel therapeutics. Ellipticines, a class of
cancer chemotherapeutic agents, have had limited success clinically due to low
solubility and toxic side effects. Isoellipticines, novel isomers of ellipticine,
have been designed to overcome these limitations. One particular isoellipticine,
7-formyl-10-methylisoellipticine, has previously showed strong ability to inhibit
the growth of leukaemia cell lines. In this study the anti-leukaemia effect of
this compound was investigated in detail on an AML cell line, MV4-11. Over a
period of 24 h 7-formyl-10-methyl isoellipticine at a concentration of 5 MUM can
kill up to 40 % of MV4-11 cells. Our research suggests that the cytotoxicity of 7
formyl-10-methylisoellipticine is partially mediated by an induction of
mitochondrial reactive oxygen species (ROS). Furthermore, 7-formyl-10
methylisoellipticine demonstrated promising anti-tumour activity in an AML
xenograft mouse model without causing toxicity, implying the potential of
isoellipticines as novel chemotherapeutic agents in the treatment of leukaemia.
PMID- 26559432
TI - Oral Absorption Promoters: Opportunities, Issues, and Challenges.
AB - Transport of a drug across the biological membrane of the gastrointestinal tract
has turned out to be a critical barrier against the success of any oral drug
delivery technology. The unique advantages of the oral route, along with need for
an oral substitute of invasive parenteral formulations and the reduction of
intersubject variability in plasma profiles, has been an incentive for the use of
excipients with absorption-enhancing properties to boost the bioavailability of
poorly absorbed drugs. The development of such excipients is not a simple task,
so understanding enhancement mechanisms in relation to physiology can facilitate
the identification of structure-function relationships as well as the development
of newer agents for customary applications. The literature is replete with
reports of absorption promoters, the selection of which is influenced by the
mechanisms, safety, pharmacological inertness, rapidity of action, reversibility
of induced membrane alterations and excipient compatibility. Despite promising
results in preliminary screenings, the development process is hindered by low
reproducible efficacy and pharmacologically driven safety issues. In this review,
we elaborate on the importance of permeation enhancers in oral drug delivery,
their current status, and issues at the forefront of the development of
formulations using absorption promoter technologies.
PMID- 26559433
TI - Nanotechnology-Based Photodynamic Therapy: Concepts, Advances, and Perspectives.
AB - Photodynamic therapy (PDT) is a photoactive process that uses the combination of
photosensitizers (PSs) and specific wavelengths of light for the treatment of
solid tumors and other diseases. PDT received increased attention after
regulatory approval of several photosensitizing drugs and light applicators
worldwide. With the advent of newer PSs, the role of PDT in the treatment of
cancer and other diseases has been revolutionized. In addition, various targeting
strategies developed for site-specific delivery of PSs will be helpful for
avoiding phototoxicity to normal tissues. Receptor-mediated targeted PDT
approaches using nanocarriers offer the opportunity of enhancing photodynamic
efficiency by directly targeting diseased cells and tissues. At present, clinical
application of PDT is well established in medicine and surgery. Successfully used
in dermatology, urology, gastroenterology, and neurosurgery, PDT has also seen
much progress in basic sciences and clinical photodynamics in recent years.
Currently, the use of PDT is just beginning, and more research must be performed
to prove its therapeutic efficacy. However, nontoxic compounds involved in PDT
provide a certain hope that it will evolve to be an effective mechanism for
combating chronic diseases.
PMID- 26559434
TI - Myriad Molecules to Overcome Efflux Drug Transporters and Drug-Metabolizing
Enzymes: A Journey from Synthetic to Natural.
AB - A vast range of prescribed drugs suffers from low and variable bioavailability
mainly because of metabolism and permeation complications. This issue of
bioavailability is a key problem that has been ongoing for many years. Various
tactics have been introduced that have been quite beneficial for improving the
bioavailability of poorly bioavailable drugs. Some of these tactics are targeted
on cytochrome-P450 (CYP) enzymes and the permeability-glycoprotein (P-gp) efflux
pump. Strategies include small-molecule inhibitors, novel drug-delivery systems,
pharmaceutical synthetic excipients, and natural bioenhancers. This review
discusses the role of synthetic excipients and natural bioenhancers in
potentiating the activity of poorly bioavailable drugs, including their
pharmacological background and their future applicability to health care. The
molecules of synthetic origin such as Gelucire and those of natural origin such
as quercetin and silibinin can provide noteworthy benefits to patients and the
health care system by helping to reduce dosing and drug side effects.
PMID- 26559435
TI - A Whole-Body Physiologically Based Pharmacokinetic Model of Gefitinib in Mice and
Scale-Up to Humans.
AB - Gefitinib (Iressa) is a selective and potent EGFR tyrosine kinase inhibitor. It
received an accelerated FDA approval in 2003 for the treatment of patients with
nonsmall cell lung cancer (NSCLC) and represents the first-line therapy for NSCLC
with EGFR mutations. In the work presented herein, the disposition of gefitinib
was investigated extensively in mouse in both plasma and 11 organs (liver, heart,
lung, spleen, gut, brain, skin, fat, eye, kidney, and muscle) after a single IV
dose of 20 mg/kg. Gefitinib demonstrated extensive distribution in most tissues,
except for the brain, and tissue to plasma partition coefficients (K pt) ranged
from 0.71 (brain) to 40.5 (liver). A comprehensive whole-body physiologically
based pharmacokinetic (PBPK) model of gefitinib in mice was developed, which
adequately captured gefitinib concentration-time profiles in plasma and various
tissues. Predicted plasma and tissue AUC values agreed well with the values
calculated using the noncompartmental analysis (<25% difference). The PBPK model
was further extrapolated to humans after taking into account the interspecies
differences in physiological parameters. The simulated concentrations in human
plasma were in line with the observed concentrations in healthy volunteers and
patients with solid malignant tumors after both IV infusion and oral
administration. Considering the extensive tissue distribution of gefitinib,
plasma concentration may not be an ideal surrogate marker for gefitinib exposure
at the target site or organ of toxicity (such as the skin). Since our whole-body
PBPK model can predict gefitinib concentrations not only in plasma but also in
various organs, our model may have clinical applications in efficacy and safety
assessment of gefitinib.
PMID- 26559436
TI - Antiviral treatment for Bell's palsy (idiopathic facial paralysis).
AB - BACKGROUND: Corticosteroids are widely used in the treatment of idiopathic facial
paralysis (Bell's palsy), but the effectiveness of additional treatment with an
antiviral agent is uncertain. Significant morbidity can be associated with severe
cases of Bell's palsy. This review was first published in 2001 and revised
several times, most recently in 2009. This version replaces an update of the
review in Issue 7 of the Cochrane Library subsequently withdrawn because of an
ongoing investigation into the reliability of data from an included study.
OBJECTIVES: To assess the effects of antiviral treatments alone or in combination
with any other therapy for Bell's palsy. SEARCH METHODS: On 7 October 2014 we
searched the Cochrane Neuromuscular Disease Group Specialized Register, CENTRAL,
MEDLINE, EMBASE, LILACS, DARE, NHS EED, and HTA. We also reviewed the
bibliographies of the identified trials and contacted trial authors and known
experts in the field and relevant drug companies to identify additional published
or unpublished data. We searched clinical trials registries for ongoing studies.
SELECTION CRITERIA: We considered randomised controlled trials or quasi
randomised controlled trials of antivirals with and without corticosteroids
versus control therapies for the treatment of Bell's palsy. We excluded trials
that had a high risk of bias in several domains. DATA COLLECTION AND ANALYSIS:
Pairs of authors independently assessed trials for relevance, eligibility, and
risk of bias, using standard Cochrane procedures. MAIN RESULTS: Ten trials,
including 2280 participants, met the inclusion criteria and are included in the
final analysis. Some of the trials were small, and a number were at high or
unclear risk of bias. Other trials did not meet current best standards in
allocation concealment and blinding. Incomplete recoveryWe found a significant
benefit from adding antivirals to corticosteroids in comparison with
corticosteroids alone for people with Bell's palsy (risk ratio (RR) 0.61, 95%
confidence interval (CI) 0.39 to 0.97, n = 1315). For people with severe Bell's
palsy (House-Brackmann scores of 5 and 6 or the equivalent in other scales), we
found a reduction in the rate of incomplete recovery at month six when antivirals
plus corticosteroids were used, compared to corticosteroids alone (RR 0.64, 95%
CI 0.41 to 0.99, n = 478). The outcome for the participants receiving
corticosteroids alone was significantly better than for those receiving
antivirals alone (RR 2.82, 95% CI 1.09 to 7.32, n = 768). The treatment effect of
placebo was significantly lower than that of antivirals plus corticosteroids (RR
0.56, 95% CI 0.41 to 0.76, n = 658). Antivirals alone produced no benefit
compared with placebo (RR 1.10, 95% CI 0.87 to 1.40, n = 658). Motor synkinesis
or crocodile tearsIn two trials comparing antivirals and corticosteroids with
corticosteroids and placebo that assessed this outcome, we found a significant
difference in long-term sequelae in favour of antivirals plus corticosteroids (RR
0.56, 95% CI 0.36 to 0.87, n = 469). Two trials comparing antivirals alone with
corticosteroids alone investigating this outcome showed fewer sequelae with
corticosteroids (RR 1.52, 95% CI 1.08 to 2.12, n = 472). We found no data on long
term sequelae for other comparisons. Adverse events Adverse event data were
available in three studies giving comparison data on 1528 participants. None of
the four comparisons (antivirals plus corticosteroids versus corticosteroids plus
placebo or no treatment; antivirals versus corticosteroids; antivirals plus
corticosteroids versus placebo; antivirals versus placebo) showed significant
differences in adverse events between treatment and control arms. We could find
no correlation with specific treatment within these results. AUTHORS'
CONCLUSIONS: Low-quality evidence from randomised controlled trials showed a
benefit from the combination of antivirals with corticosteroids compared to
corticosteroids alone for the treatment of Bell's palsy of various degrees of
severity. Low-quality evidence showed a benefit of combination therapy compared
with corticosteroids alone in severe Bell's palsy. Corticosteroids alone were
more effective than antivirals alone and antivirals plus corticosteroids were
more effective than placebo or no treatment. There was no benefit from antivirals
alone over placebo.Moderate-quality evidence indicated that the combination of
antivirals and corticosteroids reduced sequelae of Bell's palsy compared with
corticosteroids alone.We found no significant increase in adverse events from the
use of antivirals compared with either placebo or corticosteroids, based on low
quality evidence.
PMID- 26559438
TI - Radiation dose efficiency of dual-energy CT benchmarked against single-source,
kilovoltage-optimized scans.
AB - OBJECTIVE: This study evaluated the radiation dose and image quality implications
of dual-energy CT (DECT) use, compared with kilovoltage-optimized single
source/single-energy CT (SECT) on a dual-source Siemens Somatom((r)) Definition
Flash CT scanner (Siemens Healthcare, Forcheim, Germany). METHODS: With equalized
radiation dose (volumetric CT dose index), image noise (standard deviation of CT
number) and signal-difference-to-noise ratio (SDNR) were measured and compared
across three techniques: 100, 120 and 100/140 kVp (dual energy). Noise in a 30-cm
diameter water phantom and SDNR within unenhanced soft-tissue regions of a small
adult (50 kg/165 cm) anthropomorphic phantom were utilized for the assessment.
RESULTS: Water phantom image noise decreased with DECT compared with the lower
noise SECT setting of 120 kVp (p = 0.046). A decrease in SDNR within the
anthropomorphic phantom was demonstrated at 120 kVp compared with the SECT
kilovoltage-optimized setting of 100 kVp (p = 0.001). A further decrease in SDNR
was observed for the DECT technique when compared with 120 kVp (p = 0.01).
CONCLUSION: On the Siemens Somatom Definition Flash system (Siemens Healthcare),
and for equalized radiation dose conditions, image quality expressed as SDNR of
unenhanced soft tissue may be compromised for DECT when compared with kilovoltage
optimized SECT, particularly for smaller patients. ADVANCES IN KNOWLEDGE: DECT on
a dual-source CT scanner may require a radiation dose increase to maintain
unenhanced soft-tissue contrast detectability, particularly for smaller patients.
PMID- 26559437
TI - Toxicity assessment and bioaccumulation in zebrafish embryos exposed to carbon
nanotubes suspended in Pluronic(r) F-108.
AB - Carbon nanotubes (CNTs) are often suspended in Pluronic(r) surfactants by
sonication, which may confound toxicity studies because sonication of surfactants
can create degradation products that are toxic to mammalian cells. Here, we
present a toxicity assessment of Pluronic(r) F-108 with and without suspended
CNTs using embryonic zebrafish as an in vivo model. Pluronic(r) sonolytic
degradation products were toxic to zebrafish embryos just as they were to
mammalian cells. When the toxic Pluronic(r) fragments were removed, there was
little effect of pristine multi-walled CNTs (pMWNTs), carboxylated MWNTs (cMWNTs)
or pristine single-walled carbon nanotubes (pSWNTs) on embryo viability and
development, even at high concentrations. A gel electrophoretic method coupled
with Raman imaging was developed to measure the bioaccumulation of CNTs by
zebrafish embryos, and dose-dependent uptake of CNTs was observed. These data
indicate that embryos accumulate pMWNTs, cMWNTs and pSWNTs yet there is very
little embryo toxicity.
PMID- 26559439
TI - Nodal metastasis and elective nodal level treatment in sinonasal small-cell and
sinonasal undifferentiated carcinoma: a surveillance, epidemiology and end
results analysis.
AB - OBJECTIVE: Risk of nodal involvement in patients with sinonasal small-cell
carcinoma and sinonasal undifferentiated carcinoma (SNUC) has not been well
defined because of their rarity. We describe a population-based assessment of
specific nodal level involvement in this group of rare neuroectodermal tumours.
METHODS: The Surveillance, Epidemiology and End Results (SEER) database from 2004
to 2011 identified patients with SNUC and sinonasal small-cell carcinoma. Overall
neck involvement and individual nodal level involvement at presentation were
assessed, and comparison was made with a contemporaneous cohort of patients with
a borderline clinically significant risk of nodal involvement and recurrence.
RESULTS: Of 141 patients, 31 (22%) had gross nodal involvement at presentation
(range 14-33% by site and histology). Non-nasal, non-ethmoid site with SNUC
histology has the highest rates of initial nodal involvement, whereas higher
stage and size do not predict for higher nodal involvement rates. Bilateral
Levels 2-3 for all sinonasal small cell; Levels 2-3 for nasal or ethmoid SNUC;
and bilateral Levels 1-3 in non-nasal/non-ethmoid SNUC have the highest rates of
involvement compared with a clinical reference standard. CONCLUSION: We found
high rates of initial nodal involvement in all SNUC and sinonasal small-cell
carcinoma. We found higher initial involvement of Levels 2 and 3 and in certain
cases to the Level 1 nodal levels, hypothesizing benefit for elective treatment
to those levels. ADVANCES IN KNOWLEDGE: With small single-institution series
reporting conflicting nodal involvement rates, our data support high rates of
nodal presentation at diagnosis, hypothesizing benefit for elective nodal
treatment in this cohort.
PMID- 26559440
TI - Effect of total lung capacity and gender on CT densitometry indexes.
AB - OBJECTIVE: Testing the hypothesis that CT densitometry indexes could be
influenced by total lung capacity (TLC), gender and height in normal individuals.
METHODS: In this ethics committee-approved prospective study, 100 healthy non
smoking volunteers who provided written informed consent were included. From a
helical scan of the chest, the relative area (RA) of the lung with attenuation
coefficients lower than -960 HU and the 1st and 15th percentiles of the
distribution of attenuation coefficients were calculated. Regression lines were
drawn between each CT index and volunteers' height and total TLC at CT. RESULTS:
In males, there was no statistically significant correlation between any CT index
and height. In females, there was a statistically significant correlation between
the RA of the lung with attenuation coefficients lower than -960 HU and the 1st
percentile and height but not with the 15th percentile. For both genders, there
were significant correlations between all CT indexes and TLC. The relationships
between CT indexes and TLC were different in males and females. CONCLUSION: CT
indexes are correlated with TLC and height but more closely with TLC than with
height, and differently in males and females. ADVANCES IN KNOWLEDGE: CT indexes
are influenced by TLC, gender and height in normal individuals and are more
closely correlated with TLC than with height. The relationships between CT
indexes and TLC or height are different in males and females. CT indexes used to
quantify emphysema should thus be adjusted according to TLC and gender.
PMID- 26559441
TI - Accuracy of GE digital breast tomosynthesis vs supplementary mammographic views
for diagnosis of screen-detected soft-tissue breast lesions.
AB - OBJECTIVE: To compare the accuracy of standard supplementary views and GE digital
breast tomosynthesis (DBT) for assessment of soft-tissue mammographic
abnormalities. METHODS: Women recalled for further assessment of soft-tissue
abnormalities were recruited and received standard supplementary views (typically
spot compression views) and two-view GE DBT. The added value of DBT in the
assessment process was determined by analysing data collected prospectively by
radiologists working up the cases. Following anonymization of cases, there was
also a retrospective multireader review. The readers first read bilateral
standard two-view digital mammography (DM) together with the supplementary
mammographic views and gave a combined score for suspicion of malignancy on a
five-point scale. The same readers then read bilateral standard two-view DM
together with two-view DBT. Pathology data were obtained. Differences were
assessed using receiver operating characteristic analysis. RESULTS: The study
population was 342 lesions in 322 patients. The final diagnosis was malignant in
113 cases (33%) and benign/normal in 229 cases (67%). In the prospective
analysis, the performance of two-view DM plus DBT was at least equivalent to the
performance of two-view DM and standard mammographic supplementary views-the area
under the curve (AUC) was 0.946 and 0.922, respectively, which did not reach
statistical significance. Similar results were obtained for the retrospective
review-AUC was 0.900 (DBT) and 0.873 (supplementary views), which did not reach
statistical significance. CONCLUSION: The accuracy of GE DBT in the assessment of
screen detected soft-tissue abnormalities is equivalent to the use of standard
supplementary mammographic views. ADVANCES IN KNOWLEDGE: The vast majority of
evidence relating to the use of DBT has been gathered from research using Hologic
equipment. This study provides evidence for the use of the commercially available
GE DBT system demonstrating that it is at least equivalent to supplementary
mammographic views in the assessment of soft-tissue screen-detected
abnormalities.
PMID- 26559442
TI - An unusual cause of cardiothyreosis.
AB - Severe hyperthyroidism can cause cardiac complications, such as severe rhythm
disturbances, heart failure and angina. Gestational trophoblastic disease (GTD)
is a rare complication of pregnancy, ranging from benign hydatidiform mole to
malignant form. Clinical hyperthyroidism may occur in GTD, as human chorionic
gonadotropin (hCG) secreted by molar tissue is structurally similar to thyroid
stimulating hormone. Cardiothyreosis in this context is exceptional. We report
the case of a nulligravida 42-year-old woman without thyroid or cardiac history
who presented to the emergency department for dyspnoea. Examinations revealed an
acute pulmonary oedema and sinus tachycardia. Serum hCG concentration was
abnormally high (762 878 UI/l, N < 5). CT scan showed a voluminous uterine mass
and eliminated pulmonary embolism. Cardiac output was increased in
echocardiography. Complementary blood tests showed a peripheral hyperthyroidism.
GTD was evoked in the context of uterine mass and high hCG concentration, which
was responsible for inducing clinical hyperthyroidism and cardiothyreosis. A
total hysterectomy was performed and histopathological examinations concluded to
a non-invasive complete hydatidiform mole (begnin form). hCG fell to normal
within 12 weeks, cardiac and thyroid functions normalized after mole evacuation.
PMID- 26559443
TI - Anterior cruciate ligament injuries in elite and high school rugby players: a 11
year review.
AB - OBJECTIVES: Over a 11-year period, we investigated the incidence of anterior
cruciate ligament (ACL) injuries and the clinical outcomes after ACL
reconstruction with hamstring autografts in two homogenous cohorts of rugby
players. METHODS: Two teams, including those in elite (94 players) and high
school (290 players) clubs, were followed. RESULTS: Isolated ACL injuries
occurred in 28 players (12 elite, 16 high school). The incidence during match
play was 1.26 per 1000 player-hours (95% confidence interval [95% CI]: 0.48-2.05)
among elite players and 0.97 per 1000 player-hours (95% CI: 0.30-1.64) among high
school players. After ACL reconstruction, 26 players (12 elite, 14 high school)
were successfully contacted for follow-up at a mean of 71.9 months. None (0%) of
the elite and 4 (29%) of the high school players experienced graft ruptures.
Seven (58%) elite and 10 (91%) high school players were fearful of reinjury; the
mean time to overcome this fear was 6.1 +/- 4.9 months among elite players and
17.5 +/- 26.0 months among high school players. CONCLUSION: In conclusion, young
rugby players experienced inferior outcomes after ACL reconstruction with
hamstring autografts compared with their older counterparts.
PMID- 26559444
TI - Local sustainability and scaling up for user fee exemptions: medical NGOs vis-a
vis health systems.
AB - Free healthcare obviously works when a partner from abroad supplies a health
centre or a health district with medicines and funding on a regular basis,
provides medical, administrative and managerial training, and gives incentive
bonuses and daily subsistence allowances to staff. The experiments by three
international NGO in Burkina Faso, Mali and Niger have all been success stories.
But withdrawing NGO support means that health centres that have enjoyed a time of
plenty under NGO management will return to the fold of health centres run by the
state in its present condition and the health system in its present condition,
with the everyday consequences of late reimbursements and stock shortages. The
local support given by international NGOs has more often than not an effect of
triggering an addiction to aid instead of inducing local sustainability without
infusion. In the same way, scaling up to the entire country a local pilot
experiment conducted under an NGO involves its insertion into a national
bureaucratic machine with its multiple levels, all of which are potential
bottlenecks. Only experiments carried out under the "ordinary" management of the
state are capable of laying bare the problems associated with this process.
Without reformers 'on the inside' (within the health system itself and among
health workers), no real reform of the health system induced by reformers 'from
the outside' can succeed.
PMID- 26559445
TI - Theoretical study of the coordination behavior of formate and formamidoximate
with dioxovanadium(V) cation: implications for selectivity towards uranyl.
AB - Poly(acrylamidoxime)-based fibers bearing random mixtures of carboxylate and
amidoxime groups are the most widely utilized materials for extracting uranium
from seawater. However, the competition between uranyl (UO2(2+)) and vanadium
ions poses a significant challenge to the industrial mining of uranium from
seawater using the current generation of adsorbents. To design more selective
adsorbents, a detailed understanding of how major competing ions interact with
carboxylate and amidoxime ligands is required. In this work, we employ density
functional theory (DFT) and wave-function methods to investigate potential
binding motifs of the dioxovanadium ion, VO2(+), with water, formate, and
formamidoximate ligands. Employing higher level of theory calculations (CCSD(T))
resolve the existing controversy between the experimental results and previous
DFT calculations for the structure of the hydrated VO2(+) ion. Consistent with
the EXAFS data, CCSD(T) calculations predict higher stability of the distorted
octahedral geometry of VO2(+)(H2O)4 compared to the five-coordinate complex with
a single water molecule in the second hydration shell, while all seven tested DFT
methods yield the reverse stability of the two conformations. Analysis of the
relative stabilities of formate-VO2(+) complexes indicates that both monodentate
and bidentate forms may coexist in thermodynamic equilibrium in solution.
Investigations of VO2(+) coordination with the formamidoximate anion has revealed
the existence of seven possible binding motifs, four of which are within ~4.0
kcal mol(-1) of each other. Calculations establish that the most stable binding
motif entails the coordination of oxime oxygen and amide nitrogen atoms via a
tautomeric rearrangement of amidoxime to imino hydroxylamine. The difference in
the most stable VO2(+) and UO2(2+) binding conformation has important
implications for the design of more selective UO2(2+) ligands.
PMID- 26559446
TI - Being positive despite illness: The contribution of positivity to the quality of
life of cancer patients.
AB - OBJECTIVE: The purpose of this study was to examine the longitudinal relationship
between Positivity (POS), defined as a stable disposition to view at experience
under a positive outlook, and physical and psychological functioning in a sample
of cancer patients immediately after diagnosis and one year later. METHODS: A
total of 110 patients (40% males) with pulmonary, colorectal and breast cancer,
aged 30-75 (M age = 59.62; SD = 10.33), have been prospectively enrolled between
2012 and 2013, at the S. Andrea Hospital in Rome. All patients were previously
aware of their diagnosis. A follow-up one year after diagnosis was conducted. We
used structural equation modeling in order to analyse the specific effects of POS
on functioning impairment from diagnosis to follow up. RESULTS: POS was
associated with less functioning impairment both at diagnosis and follow-up
assessments. Furthermore, POS level at diagnosis continued to be associated with
less functioning impairment one year later, after controlling for its stability.
CONCLUSIONS: Patients with higher level of POS tended to report less symptoms
associated with negative affect such as anxiety and despondency and to preserve
their habitual relationships and social roles. POS may act as a basic disposition
that sustains patients' efforts to deal efficaciously with severe illness, by
complying with medical treatment and using cognitive strategies that enable
individuals to cope with concurrent and prospective challenges of illness.
PMID- 26559447
TI - Cycling Time Trial Is More Altered in Hypobaric than Normobaric Hypoxia.
AB - PURPOSE: Slight physiological differences between acute exposure in normobaric
hypoxia (NH) and hypobaric hypoxia (HH) have been reported. Taken together, these
differences suggest different physiological responses to hypoxic exposure to a
simulated altitude (NH) versus a terrestrial altitude (HH). For this purpose, in
the present study, we aimed to directly compare the time-trial performance after
acute hypoxia exposure (26 h, 3450 min) by the same subjects under three
different conditions: NH, HH, and normobaric normoxia (NN). Based on all of the
preceding studies examining the differences among these hypoxic conditions, we
hypothesized greater performance impairment in HH than in NH. METHODS: The
experimental design consisted of three sessions: NN (Sion: FiO2, 20.93), NH
(Sion, hypoxic room: FiO2, 13.6%; barometric pressure, 716 mm Hg), and HH
(Jungfraujoch: FiO2, 20.93; barometric pressure, 481 mm Hg). The performance was
evaluated at the end of each session with a cycle time trial of 250 kJ. RESULTS:
The mean time trial duration in NN was significantly shorter than under the two
hypoxic conditions (P < 0.001). In addition, the mean duration in NH was
significantly shorter than that in HH (P < 0.01). The mean pulse oxygen
saturation during the time trial was significantly lower for HH than for NH (P <
0.05), and it was significantly higher in NN than for the two other sessions (P <
0.001). CONCLUSION: As previously suggested, HH seems to be a more stressful
stimulus, and NH and HH should not be used interchangeability when endurance
performance is the main objective. The principal factor in this performance
difference between hypoxic conditions seemed to be the lower peripheral oxygen
saturation in HH at rest, as well as during exercise.
PMID- 26559448
TI - Effects of Sprint Interval Cycling on Fatigue, Energy, and Cerebral Oxygenation.
AB - INTRODUCTION: Feelings of fatigue are reduced after a session of continuous
exercise of low-to-moderate intensity lasting 20 min or more, but only when
feelings of energy are increased. Feelings of fatigue and energy have not been
described after fatiguing, high-intensity interval exercise. Cerebral oxygenation
has been implicated as a central correlate of fatigability, but it has not been
studied concurrent with perceived fatigue during or after exercise. METHODS:
Fifteen recreationally active participants (8 women, 7 men) completed bouts of
sprint interval cycling (four, 30-s all-out sprints each followed by 4 min of
active recovery) and a time- and work-matched bout of constant resistance
cycling. Oxygenation (oxygenated hemoglobin [HbO2]) and deoxygenation
(deoxygenated hemoglobin [HHb]) in the dorsolateral prefrontal cortex were
measured using near-infrared spectroscopy. Fatigue ratings during each sprint and
feelings of fatigue and energy during recovery were assessed. RESULTS: Increases
in HbO2 and HHb in frontal cortex were greater during sprint cycling than during
constant resistance cycling (P = 0.001). Fatigability (decreased power output)
increased over successive sprints (P = 0.001). About 95% of the increase in
fatigue ratings across sprints (P < 0.001) was accounted for by fatigability and
cortical HbO2. Feelings of fatigue were decreased (P < 0.001) and feelings of
energy were increased (P < 0.05) across sprint recovery periods but were
unchanged during constant resistance cycling. About 85% of the changes in
feelings of fatigue or energy during recovery were explained by fatigue ratings
across sprints and maximum HbO2 in the cortex during recovery. CONCLUSION:
Repeated, high-intensity sprints were fatiguing, but paradoxical reductions in
feelings of fatigue and increases in feelings of energy occurred during recovery
that were accounted for by ratings of fatigue during exercise and oxygenation in
the dorsolateral prefrontal cortex during recovery.
PMID- 26559449
TI - Exercise and Preexercise Nutrition as Treatment for McArdle Disease.
AB - McArdle disease is due to an inborn defect in the muscle isoform of glycogen
phosphorylase (or "myophosphorylase"), the enzyme that catalyzes the first step
of glycogenolysis. This condition is still not fully understood, and although
advances in research would help patients immeasurably, these would also enhance
our understanding of exercise metabolism. It has been 10 yr since the first
published report demonstrating the benefits of regular aerobic exercise for these
patients. However, misconceptions remain and the value of exercise prescription
for patients with McArdle disease is still overlooked. Here, we review the role
of exercise in McArdle disease with the aim to better inform health-care
professionals and thus better serve the interests of patients. Recommendations
for regular exercise together with preexercise nutrition in children and adult
patients are also provided along with examples of exercise practice and its
benefits.
PMID- 26559450
TI - Hemodynamic Responses to Resistance Exercise in Patients with Coronary Artery
Disease.
AB - PURPOSE: Investigate hemodynamic responses of resistance exercise (RE) with
moderate load (i.e., international guidelines for RE of patients) versus RE with
high load in patients with coronary artery disease (CAD). METHODS: Medically
stable male (n = 11) and female patients (n = 4) treated with PCI or percutaneous
coronary intervention, or coronary artery bypass surgery a minimum of 6 months
before this study, performed three sets of 15RM and 4RM RE in a randomized order
on separate days. Beat-to-beat systolic (SBP), diastolic (DBP) blood pressure,
heart rate (HR), stroke volume (SV), cardiac output (CO), and systemic vascular
resistance (SVR) were monitored at preexercise, and continuously during RE.
RESULTS: Compared with preexercise, SBP and DBP (mean of three sets) increased by
12% to 13% (both; P < 0.001) and 35% to 40% after 15RM RE (both; P < 0.001). 15RM
SBP and DBP were higher than 4RM SBP and DBP (both; P < 0.001). The SBP of the
fourth repetition of 15 RM RE was similar to the SBP of the fourth repetition of
4RM RE. Compared with preexercise, SV increased moderately after 4RM and 15 RM
RE, respectively (both, P < 0.001). HR increased more after 15RM compared with
4RM RE (P < 0.05); thus, higher CO after 15RM (compared with 4RM RE; P < 0.05)
was mainly caused by higher HR. SVR decreased by 15% (P < 0.001) and 50% (P <
0.01) after 4RM and 15RM RE. CONCLUSIONS: SBP and DBP increased significantly
more during moderate load RE; thus, the magnitude of the external load is not the
prime determinant of the pressure response during RE. If management of blood
pressure is of concern, high load/low rep RE is preferable to medium load/high
rep RE.
PMID- 26559451
TI - Sedentary Sphere: Wrist-Worn Accelerometer-Brand Independent Posture
Classification.
AB - INTRODUCTION: Access to raw acceleration data should facilitate comparisons
between accelerometer outputs regardless of monitor brand. PURPOSE: To evaluate
the accuracy of posture classification using the Sedentary Sphere in data from
two widely used wrist-worn triaxial accelerometers. METHODS: Laboratory: Thirty
four adults wore a GENEActiv and an ActiGraph GT3X+ on their nondominant wrist
while performing four lying, seven sitting, and five upright activities. Free
living: The same participants wore both accelerometers on their nondominant wrist
and an activPAL3 on their right thigh during waking hours for 2 d. RESULTS:
Laboratory: Using the Sedentary Sphere with 15-s epoch GENEActiv data, sedentary
and upright postures were correctly identified 74% and 91% of the time,
respectively. Corresponding values for the ActiGraph data were 75% and 90%. Free
living: Total sedentary time was estimated at 534 +/- 144, 523 +/- 143, and 528
+/- 137 min by the activPAL, the Sedentary Sphere with GENEActiv data and with
ActiGraph data, respectively. The mean bias, relative to the activPAL, was small
with moderate limits of agreement (LoA) for both the GENEActiv (mean bias = -12.5
min, LoA = -117 to 92 min) and ActiGraph (mean bias = -8 min, LoA = -103 to 88
min). Strong intraclass correlations (ICC) were evident for the activPAL with the
GENEActiv (0.93, 0.84-0.97 (95% confidence interval) and the ActiGraph (0.94,
0.86-0.97). Agreement between the GENEActiv and ActiGraph posture classifications
was very high (ICC = 0.98 (0.94-0.99), mean bias = +3 min, LoA = -58 to 63 min).
CONCLUSIONS: These data support the efficacy of the Sedentary Sphere for
classification of posture from a wrist-worn accelerometer in adults. The approach
is equally valid with data from both the GENEActiv and ActiGraph accelerometers.
PMID- 26559452
TI - Risk Factors for Knee Injuries in Children 8 to 15 Years: The CHAMPS Study DK.
AB - INTRODUCTION: Knee injuries are frequent in children, with most studies reporting
traumatic knee injuries. Evidence of risk factors for knee injuries in children
is sparse. The purpose of this study was to report the extent of traumatic and
overuse knee injuries in children and to evaluate intrinsic and extrinsic factors
for risk of these injuries. METHODS: Weekly musculoskeletal pain, sport
participation, and sports type were reported by 1326 school children (8-15 yr).
Knee injuries were classified as traumatic or overuse. Multinomial logistic
regression was used for analyses. RESULTS: During the study period, 952 (15%
traumatic and 85% overuse) knee injuries were diagnosed. Period prevalence for
traumatic and overuse knee injuries were 0.8/1000 and 5.4/1000 sport
participations, respectively. Participation in tumbling gymnastics was a risk
factor for traumatic knee injuries (OR, 2.14). For overuse knee injuries,
intrinsic risk factors were sex (girls OR, 1.38) and previous knee injury (OR,
1.78), whereas participation in soccer (OR, 1.64), handball (OR, 1.95), basket
(OR, 2.07), rhythmic (OR, 1.98), and tumbling gymnastics (OR, 1.74) were
additional risk factors. For both injury types, sport participation above two
times per week increased odds (OR, 1.46-2.40). CONCLUSIONS: Overuse knee injuries
were the most frequent injury type. For traumatic knee injuries, participation in
tumbling gymnastics was a risk factor. Risk factors for overuse knee injuries
were being a girl; previous knee injury; and participation in soccer, handball,
basket, and rhythmic and tumbling gymnastics. Further risk factors for both types
of injury were participation in sports above two times per week. Although growth
related overuse knee injuries are a self-limiting condition, a major part of
children are affected by these injuries with unknown short- and long-term
consequences.
PMID- 26559453
TI - Muscle Activity during Rapid Wrist Extension in People with Lateral
Epicondylalgia.
AB - BACKGROUND: Individuals with lateral epicondylalgia (LE) have delayed upper limb
reaction time (RT); however, it is unknown if the mechanisms of this dysfunction
are related to neural processing or the affected forearm muscles. The aim of this
study was to examine the timing of processes that occur before and after forearm
muscles are activated during the RT task. METHODS: Eleven LE (42 +/- 11 yr) and
11 healthy controls (42 +/- 11 yr) performed rapid wrist extension in response to
an audio cue. Intramuscular EMG was obtained from extensor carpi radialis brevis
(ECRB), extensor digitorum communis (EDC), extensor carpi ulnaris (ECU), and
anconeus. Premotor time (PMT) was the duration from an audio cue to the onset of
muscle activity, and motor time (MT) was the onset of muscle activity to the
onset of wrist extension. Standard clinical assessments of LE were also
performed. RESULTS: RT was significantly slower (33; 95% CI, 1-66 ms) in the LE
group. There were no group differences in PMT and the order of muscle activation.
Instead, the MT of ECRB (18; 95% CI, 6-31 ms), EDC (12; 95% CI, 1-23 ms), ECU
(28; 95% CI, 9-46 ms), and anconeus (33; 95% CI, 11-56 ms) showed significant
delay in LE group. Regression analyses revealed that the duration of LE could
predict RT, ECRB, and anconeus PMT, whereas cold pain threshold predicted ECRB
MT. CONCLUSIONS: Delayed RT in LE was predominantly caused by deficits in ECRB
and EDC MT. This study provides preliminary evidence that in the people with
longer LE symptoms, duration appeared to have faster RT, although confirmation of
this finding is required before firm conclusions can be drawn.
PMID- 26559454
TI - Displacing Sedentary Time: Association with Cardiovascular Disease Prevalence.
AB - PURPOSE: Isotemporal substitution analysis offers new insights for public health
but has only recently been applied to sedentary behavior research. We aimed to
quantify associations between the substitution of 10 min of sedentary behavior
with 10 min of light physical activity (LPA) or moderate-to-vigorous physical
activity (MVPA) and the prevalence of cardiovascular disease (CVD). Age was also
explored as a potential effect modifier. METHODS: We completed a secondary
analysis of data from 1477 adults from the Health Survey for England (2008).
Sedentary time, LPA, and MVPA were measured using accelerometry. We applied
isotemporal models to quantify the relationship with CVD prevalence of replacing
10 min of sedentary time with equivalent amounts of LPA or MVPA. Prevalence risk
ratios (RR) with 95% confidence intervals (CI) are presented, adjusted for
covariates. The role of age as an effect modifier was explored via age-MVPA and
age-LPA interactions. CVD was defined as per the International Classification of
Diseases. RESULTS: The prevalence of CVD was 24%. The RR was 0.97 (95% CI, 0.96
0.99) for LPA and 0.88 (0.81-0.96) for MVPA. Substitution of approximately 50 min
of LPA would be required for an association equivalent to 10 min of MVPA. The
beneficial association of MVPA was attenuated with age, with a decrease in the
relative risk reduction of approximately 7% per decade. CONCLUSIONS: Isotemporal
substitution of sedentary time with LPA was associated with a trivial relative
risk reduction for CVD, whereas the equivalent replacement with MVPA had a small
beneficial relationship. With respect to CVD prevalence, MVPA might become
decreasingly important in older individuals. Prospective studies are needed to
investigate causality.
PMID- 26559456
TI - Vaccines for tick-borne diseases and cost-effectiveness of vaccination: a public
health challenge to reduce the diseases' burden.
AB - Tick-borne encephalitis (TBE) and Lyme borreliosis (LB) are tick-borne diseases
(TBDs), and both present an increasing burden worldwide. Vaccination as public
health intervention could be the most effective way to reduce this burden. TBE
vaccines are available, but vaccines against LB are still in the phase of
development. At the European level, TBE vaccines are likely under-administered to
effectively prevent the disease. Cost-effectiveness of vaccination is a helpful
tool in the decision making process to include novel vaccines in the national
vaccination program or to extend current programs, and its role is only
increasing. Cost-effectiveness studies on TBE vaccines have been performed in
Slovenia, Sweden, Finland and Estonia so far. Cost-effectiveness studies with the
novel vaccines against LB are expected to be performed in the near future.
PMID- 26559455
TI - Effects of Pedal Speed and Crank Length on Pedaling Mechanics during Submaximal
Cycling.
AB - During submaximal cycling, the neuromuscular system has the freedom to select
different intermuscular coordination strategies. From both a basic science and an
applied perspective, it is important to understand how the central nervous system
adjusts pedaling mechanics in response to changes in pedaling conditions.
PURPOSE: To determine the effect of changes in pedal speed (a marker of muscle
shortening velocity) and crank length (a marker of muscle length) on pedaling
mechanics during submaximal cycling. METHODS: Fifteen trained cyclists performed
submaximal isokinetic cycling trials (90 rpm, 240 W) using pedal speeds of 1.41
to 1.61 m.s(-1) and crank lengths of 150 to 190 mm. Joint powers were calculated
using inverse dynamics. RESULTS: Increases in pedal speed and crank length caused
large increases knee and hip angular excursions and velocities (P < 0.05),
whereas ankle angular kinematics stayed relatively constant (P > 0.05). Joint
moments and joint powers were less affected by changes in the independent
variables, but some interesting effects and trends were observed. Most
noteworthy, knee extension moments and powers tended to decrease, whereas hip
extension power tended to increase with an increase in crank length. CONCLUSIONS:
The distribution of joint moments and powers is largely maintained across a range
of pedaling conditions. The crank length induced differences in knee extension
moments, and powers may represent a trade-off between the central nervous
system's attempts to simultaneously minimize muscle metabolic and mechanical
stresses. These results increase our understanding of the neural and mechanical
mechanisms underlying multi-joint task performance, and they have practical
relevance to coaches, athletes, and clinicians.
PMID- 26559457
TI - Improving Diagnosis in Health Care--The Next Imperative for Patient Safety.
PMID- 26559458
TI - Designing a better appraisal system that meets the needs of trainees and the
public.
PMID- 26559459
TI - HER2 insertion YVMA mutant lung cancer: Long natural history and response to
afatinib.
AB - Human epidermal growth factor 2 (HER2, ERBB2) mutations in lung cancers are
oncogenic drivers that respond to HER2 targeted therapies. Little is known about
the sensitivity of subtypes of HER2 mutant lung cancers to targeted agents. We
present a patient with HER2 mutant lung cancer with a 12 base pair insertion YVMA
(p.A775_G776insYVMA), who had a long natural history and durable partial response
to afatinib. We demonstrate that afatinib has activity in patients with HER2
mutant lung cancers with exon 20 YVMA insertions, the most common variant.
PMID- 26559460
TI - Ten years later: Evaluation of the effectiveness of 12.5% amitraz against a field
population of Rhipicephalus (Boophilus) microplus using field studies, artificial
infestation (Stall tests) and adult immersion tests.
AB - Using field trials, artificial infestations (Stall tests) and in vitro adult
immersion tests, the present study evaluated the acaricidal efficacy of 12.5%
amitraz administered via whole body spraying against a Rhipicephalus (Boophilus)
microplus population that did not have any contact with chemical products
belonging to this acaricide family for 10 years (approximately 40 generations).
Two natural infestation trials, two artificial infestation trials (Stall tests)
and two adult immersion tests were performed in two different stages in 2005 and
2015. Between 2002 and 2015, the bovine herd of this property was formed by
approximately 450 animals from the Simmental breed that were divided into nine
paddocks formed by Cynodon dactylon (L.) Pers. For the natural infestation
experiments in 2005 and 2015, we selected nearly 70 animals naturally infested
with ticks from the same herd that belonged to the "Sao Paulo" farm located in
Sao Jose do Rio Pardo, Sao Paulo, Brazil. Field studies were performed in the
same paddock (9). To evaluate anti-R. (B.) microplus activity in the artificially
infested cattle (Stall tests) and adult immersion tests, two experiments of each
methodology were performed at CPPAR (the Center of Research in Animal Health
located on the FCAV/UNESP campus in Jaboticabal, Sao Paulo, Brazil) in 2005 and
2015. R. (B.) microplus used in the artificial infestation, and adult immersion
test experiments were obtained from paddocks 1-9 in 2005 and 2015 from the
commercial farm where the field studies were performed. Based on the obtained
results, it was possible to conclude that amitraz use in rotation with
pyrethroids every 28 days for three consecutive years (2002-2004) previous to the
beginning of the first trial (2005) was sufficient to generate a R. (B.)
microplus strain resistant to amitraz. Moreover, using field trials, artificial
infestations (Stall tests) and adult immersion tests, we verified that 40
generations of the tick species with no contact to the aforementioned compound
(amitraz) were not sufficient to revert or modify the efficacy/resistance of
amitraz for this analyzed R. (B.) microplus strain. The reversion of amitraz
efficacy values in R. (B.) microplus may only occur when resistance of the field
strain is incipient. Alternatively, the differences in the results may be due to
differences in the Rhipicephalus spp. species between current study locations.
Therefore, future studies must be performed to prove this hypothesis.
PMID- 26559461
TI - FGF10: A multifunctional mesenchymal-epithelial signaling growth factor in
development, health, and disease.
AB - The FGF family comprises 22 members with diverse functions in development and
health. FGF10 specifically activates FGFR2b in a paracrine manner with heparan
sulfate as a co-factor. FGF10and FGFR2b are preferentially expressed in the
mesenchyme and epithelium, respectively. FGF10 is a mesenchymal signaling
molecule in the epithelium. FGF10 knockout mice die shortly after birth due to
the complete absence of lungs as well as fore- and hindlimbs. FGF10 is also
essential for the development of multiple organs. The phenotypes of Fgf10
knockout mice are very similar to those of FGFR2b knockout mice, indicating that
FGF10 acts as a ligand that is specific to FGFR2b in mouse multi-organ
development. FGF10 also plays roles in epithelial-mesenchymal transition, the
repair of tissue injury, and embryonic stem cell differentiation. In humans,
FGF10 loss-of-function mutations result in inherited diseases including aplasia
of lacrimal and salivary gland, lacrimo-auriculo-dento-digital syndrome, and
chronic obstructive pulmonary disease. FGF10 is also involved in the oncogenicity
of pancreatic and breast cancers. Single nucleotide polymorphisms in FGF10 are
also potential risk factors for limb deficiencies, cleft lip and palate, and
extreme myopia. These findings indicate that FGF10 is a crucial paracrine signal
from the mesenchyme to epithelium for development, health, and disease.
PMID- 26559462
TI - Electromyographic assessment of forearm muscle function in tennis players with
and without Lateral Epicondylitis.
AB - There is no consensus about the main aetiology of Lateral Epicondylitis (LE) or
Tennis Elbow. While electromyographic assessment of alterations in neuromuscular
control and activation patterns of forearm muscles has received increasing
interest as potential intrinsic factors in non-tennis players, there has been
insufficient attention in tennis players. The purpose of present review was to
search the literature for the electromyographic studies of forearm muscles in
tennis players in order to (1) identify related implications for LE, (2)
highlight key technical and methodological shortcomings, and (3) suggest
potential pathways for future research. An electronic search of PubMed, Scopus,
Web of Science, and Google Scholars (1980 to October 2014) was conducted. Titles,
abstracts, and full-text articles were screened to identify "peer-reviewed"
studies specifically looking into "electromyographic assessment of forearm
muscles" in "tennis players". After screening 104 articles, 13 original articles
were considered in the main review involving a total of 216 participants (78%
male, 22% female). There were indications of increased wrist extensor activity in
all tennis strokes and less experienced single-handed players, however with
insufficient evidence to support their relationship with the development of LE.
Studies varied widely in study population, sample size, gender, level of tennis
skills, electrode type, forearm muscles studied, EMG recording protocol, EMG
normalisation method, and reported parameters. As a result, it was not possible
to present combined results of existing studies and draw concrete conclusions in
terms of clinical implications of findings. There is a need for establishment of
specific guidelines and recommendations for EMG assessment of forearm musculature
particularly in terms of electrode and muscle selection. Further studies of both
healthy controls and tennis players suffering from LE with adequate sample sizes
and well-defined demographics are warranted.
PMID- 26559463
TI - Factors to consider in identifying critical points in lumbar spine flexion
relaxation.
AB - Flexion relaxation (FR), a myoelectric silence of extensor muscles near end range
of lumbar flexion, is commonly reported as the lumbar flexion angle at the
instant the extensor muscles become silent. However, lumbar flexion angle alone
is insufficient to characterize mechanisms that modulate FR. As FR requires the
moment generated by passive lumbar extensor tissues to equilibrate the moment due
to gravity, the inter-relationships between lumbar moment, flexion angle, and
myoelectrical silence will provide added information in the understanding of FR.
The purpose of this study was to examine the relationship between lumbar moment
and flexion angle throughout various flexion manoeuvres. It was hypothesized that
lumbar moment and flexion angle would not be linearly related and would be
affected by lower limb position, range of motion, and the addition of mass to the
torso. Eleven participants performed four different lumbar flexion trials.
Results showed that lumbar flexion was correlated with the lumbar moment (r =
0.92); however an analysis of residuals found that these measures were not
linearly related. The moment was, however, correlated (r = 0.99) and linearly
related to the sine of trunk inclination (T12 rigid body with respect to global
horizontal). Future studies of FR could use trunk inclination as a simple
kinematic measure to predict relative changes in lumbar moment with flexion.
PMID- 26559464
TI - Obesity is associated with prolonged activity of the quadriceps and gastrocnemii
during gait.
AB - PURPOSE: To examine the effect of obesity and its potential interaction with knee
OA presence on the electromyography patterns of the major knee joint
periarticular muscles during walking. SCOPE: One hundred and eighteen
asymptomatic adults and 177 adults with moderate knee osteoarthritis were
subdivided into categories of healthy weight (n = 77; 20 kg/m(2) < BMI < 25
kg/m(2)), overweight (n = 117; 25 kg/m(2) ? BMI < 30 kg/m(2)), and obese (n =
101; BMI ? 30 kg/m(2) based on their body mass index (BMI). All individuals
underwent a three-dimensional gait analysis. Surface electromyograms from the
lateral and medial gastrocnemii, lateral and medial hamstrings, vastus lateralis,
vastus medialis, and rectus femoris were recorded during self-selected speed
walking. Principal component analysis was used to extract major features of
amplitude and temporal pattern variability from the electromyograms of each
muscle group (gastrocnemii, quadriceps, hamstrings separately). Analysis of
variance models tested for main BMI category effects and interaction effects for
these features (alpha = 0.05). Statistically significant BMI category (i.e.
obesity) effects were found for features that described more prolonged
activations of the gastrocnemii and quadriceps muscles during the stance phase of
gait with obesity (P < 0.05). CONCLUSIONS: Obesity was associated with prolonged
activation of quadriceps and gastrocnemii, which can result in prolonged knee
joint contact loading, and thereby may contribute to the predisposition of knee
OA development and progression in obese individuals.
PMID- 26559465
TI - Loss resilience for two-qubit state transmission using distributed phase
sensitive amplification.
AB - We transmit phase-encoded non-orthogonal quantum states through a 5-km long fibre
based distributed optical phase-sensitive amplifier (OPSA) using telecom
wavelength photonic qubit pairs. The gain is set to equal the transmission loss
to probabilistically preserve input states during transmission. While neither
state is optimally aligned to the OPSA, each input state is equally amplified
with no measurable degradation in state quality. These results promise a new
approach to reduce the effects of loss by encoding quantum information in a two
qubit Hilbert space which is designed to benefit from transmission through an
OPSA.
PMID- 26559467
TI - Pregnancy outcomes using stallion epididymal sperm stored at 5 degrees C for 24
or 48 hours before harvest.
AB - The cryopreservation of epididymal sperm can be useful in a variety of
circumstances for ensuring genetic preservation of a valued stallion. Although
early studies have reported pregnancy rates significantly lower than those
achieved with cryopreserved ejaculated sperm, two recent studies report over 60%
one-cycle pregnancy rates with epididymal sperm stored for 24 hours at 5 degrees
C before harvest and cryopreservation. The aims of this study were to: (1)
attempt to replicate the one-cycle pregnancy rate of over 60% using epididymal
sperm cooled and stored within the epididymis for 24 hours before harvest and
cryopreservation and (2) evaluate pregnancy outcome with sperm cooled and stored
within the epididymis for 48 hours before sperm harvest and cryopreservation.
Testicles were obtained from 13 stallions undergoing routine castration. The
epididymides were stored at 5 degrees C for either 24 or 48 hours before sperm
harvest and cryopreservation in an egg yolk and dimethylformamide-based freezing
extender. Thirteen mares were bred on one cycle with cryopreserved epididymal
sperm stored for 24 hours before harvest, and 10 of those 13 mares were also bred
on a previous or subsequent cycle with samples from the same stallion that had
been stored for 48 hours before harvest. Pregnancy occurred in 7 of the 13
inseminations of sperm stored for 24 hours before harvest, and in 4 of the 10
inseminations of sperm stored for 48 hours before harvest. The pregnancy rate
using epididymal sperm stored for 24 hours before harvest is consistent with that
of previous reports. In addition, these results provide evidence that pregnancies
can be achieved when the epididymides are cooled and stored for 48 hours before
sperm harvest and cryopreservation.
PMID- 26559466
TI - Deceleration of single-stranded DNA passing through a nanopore using a nanometre
sized bead structure.
AB - DNA sequencing with a solid-state nanopore requires a reduction of the
translocation speeds of single-stranded DNA (ssDNA) over 10 MUs/base. In this
study, we report that a nanometre-sized bead structure constructed around a
nanopore can reduce the moving speed of ssDNA to 270 MUs/base by adjusting the
diameter of the bead and its surface chemical group. This decelerating effect
originates from the strong interaction between ssDNA and the chemical group on
the surface of the bead. This nanostructure was simply prepared by dip coating in
which a substrate with a nanopore was immersed in a silica bead solution and then
dried in an oven. As compared with conventional approaches, our novel method is
less laborious, simpler to perform and more effective in reducing ssDNA
translocation speed.
PMID- 26559468
TI - Form of supplemental selenium fed to cycling cows affects systemic concentrations
of progesterone but not those of estradiol.
AB - In areas where soils are deficient in selenium (Se), dietary supplementation of
this trace mineral directly to cattle is recommended. Selenium status affects
fertility, and the form of Se supplemented to cows affects tissue-specific gene
expression profiles. The objective of this study was to determine whether the
form of Se consumed by cows would affect follicular growth and the production of
steroids. Thirty-three Angus-cross cows that had ad libitum access of a mineral
mix containing 35 ppm of Se in free-choice vitamin-mineral mixes as either
inorganic (ISe), organic (OSe), or a 50/50 mix of ISe and OSe (MIX) for 180 days
were used. After 170 days of supplementation, all cows were injected with 25-mg
PGF2alpha to induce regression of the CL and then monitored for behavioral estrus
(Day 0). From Day 4 to Day 8 after estrus, follicular growth was determined by
transrectal ultrasonography. On Day 6, cows were injected with PGF2alpha (20 then
15 mg, 8-12 hours apart) to induce regression of the developing CL and
differentiation of the dominant follicle of the first follicular wave into a
preovulatory follicle. On Day 8, 36 hours after PGF2alpha (20 mg), the contents
of the preovulatory follicle were aspirated by ultrasound-guided follicular
puncture. Blood collected on Days 6 and 8 and follicular fluid collected on Day 8
was analyzed for concentrations of progesterone and estradiol. Form of Se
supplemented to cows affected (P = 0.04) the systemic concentration of
progesterone on Day 6, but not on Day 8. Form of Se did not affect the systemic
concentration of estradiol on Day 6 or Day 8. Form of Se tended to affect (P =
0.07) the concentration of progesterone, but not that of estradiol, in the
follicular fluid. Form of Se did not affect diameter of the dominant ovarian
follicle on Days 4 to 6, but tended to affect (P = 0.08) the diameter of the
preovulatory follicle on Day 8. Our results suggest that form of Se fed to cows
affects the production of progesterone but not that of estradiol. Further
investigation of organic Se-induced increases in progesterone and potentially the
effects of increased progesterone on the establishment of pregnancy, especially
in cows of lower fertility, is warranted.
PMID- 26559469
TI - Gene expression profiles of some cytokines, growth factors, receptors, and
enzymes (GM-CSF, IFNgamma, MMP-2, IGF-II, EGF, TGF-beta, IGF-IIR) during
pregnancy in the cat uterus.
AB - Early pregnancy is one of the most critical periods of pregnancy, and many
factors such as cytokines, enzymes, and members of the immune system have to
cooperate in a balanced way. In the present study, the gene expression profiles
of factors associated with pregnancy such as EGF, transforming growth factor
beta, granulocyte-macrophage colony-stimulating factor, interferon gamma, insulin
like growth factor 2, insulin-like growth factor 2 receptor, and matrix
metalloproteinase 2 were analyzed in uterine tissues of female cats. The cats
were assigned to five groups: G1 (embryo positive, n = 7; 7th day after mating),
G2 (after implantation, n = 7; 20th day after mating), G3 (midgestation, n = 7;
24-25th day after mating), G4 (late gestation, n = 7; 30-45th day after mating),
G5 (oocyte group, n = 7; 7th day after estrus). Tissue samples from the uterus
and placenta were collected after ovariohysterectomy. Relative messenger RNA
levels were determined by real-time polymerase chain reaction. All the factors
examined were detected in all tissue samples. In the course of pregnancy,
significantly higher expression of EGF and matrix metalloproteinase 2 in G2 than
in G1 was observed (P < 0.05). Insulin-like growth factor 2 expression was higher
in all groups than in G1 (P < 0.05). Upregulation of EGF during implantation was
detected. The expression of interferon gamma was significantly higher in G3 than
in G1 (P < 0.05). Transforming growth factor beta and granulocyte-macrophage
colony-stimulating factor were constantly expressed in all groups. In conclusion,
the expressions of these factors in feline uterine tissue at different stages of
pregnancy might indicate that these factors play roles in the development of
pregnancy such as trophoblast invasion, vascularization, implantation, and
placentation.
PMID- 26559470
TI - Treatment with protein kinase C activator is effective for improvement of male
pronucleus formation and further embryonic development of sperm-injected oocytes
in pigs.
AB - To assist the process of oocyte activation, which is essential for promotion of
fertilization events, i.e., resumption of meiosis, extrusion of the second polar
body and formation of the pronucleus (PN), artificial stimuli such as an
electrical pulse have been applied to porcine oocytes after injection of sperm.
However, the efficiency of fertilization and embryonic development remains low.
It is well known that in vertebrates, inactivation of mitogen-activated protein
(MAP) kinase is required for oocyte activation. We have hypothesized that even
after electrical stimulation of sperm-injected oocytes, MAP kinase may not be
inactivated. As it has been reported that MAP kinase activity is regulated by
protein kinase C, we examined the effectiveness of phorbol 12-myristate 13
acetate (PMA), a protein kinase C activator, for improvement of fertilization and
embryonic development of sperm-injected porcine oocytes. First, we examined the
concentrations (0, 0.01, 0.1, 1, and 10 MUM) and durations (0, 1, 3, 5 hours) of
PMA treatment that were efficient for the extrusion of two polar bodies and
formation of two PNs (2PB+2PN) and embryonic development. When the sperm-injected
oocytes were treated with 0.01-MUM PMA for 3 hours after electrical stimulation,
the rates of 2PB+2PN and embryonic development were higher than those in the
other treatment groups. We then examined the effect of PMA treatment (0.01 MUM, 3
hours) on MAP kinase activity. Unexpectedly, after electrical stimulation, the
activity remained low until PN formation, irrespective of whether or not the
oocytes had been treated with PMA. On the other hand, transformation of the
injected sperm nucleus into the male PN was accelerated after the PMA treatment.
Our present results suggest that the low efficiency of fertilization and
embryonic development in sperm-injected oocytes is not due to high activity of
MAP kinase but due to poor transformation of the injected sperm nucleus into the
male PN. Furthermore, a combination of electrical stimulation and PMA is a fairly
effective artificial protocol for promoting 2PB+2PN and embryonic development in
sperm-injected porcine oocytes.
PMID- 26559471
TI - The birth of a giant panda: Tracking the biological factors that successfully
contribute to conception through to postnatal development.
AB - Reproducing giant pandas (Ailuropoda melanoleuca) remains the most challenging
aspect of managed care of this species. However, advancement in knowledge
stemming from basic science research on the giant panda has facilitated a growth
in the population. Here, we report the successful application of reproductive
technologies, including noninvasive hormone monitoring, behavioral/morphometric
observations, ultrasonographic evaluations, and acute phase protein assessment,
in an individual female. By applying these approaches to one female, we report
the practicality and usefulness of a multidisciplinary approach to reproductive
care of the species. In addition, the utilization of various technologies across
multiple physiological states also provided us an opportunity to record
previously understudied events, such as maternal response to weaning and growth
of a conceptus.
PMID- 26559472
TI - Modeling human target reaching with an adaptive observer implemented with dynamic
neural fields.
AB - Humans can point fairly accurately to memorized states when closing their eyes
despite slow or even missing sensory feedback. It is also common that the arm
dynamics changes during development or from injuries. We propose a biologically
motivated implementation of an arm controller that includes an adaptive observer.
Our implementation is based on the neural field framework, and we show how a path
integration mechanism can be trained from few examples. Our results illustrate
successful generalization of path integration with a dynamic neural field by
which the robotic arm can move in arbitrary directions and velocities. Also, by
adapting the strength of the motor effect the observer implicitly learns to
compensate an image acquisition delay in the sensory system. Our dynamic
implementation of an observer successfully guides the arm toward the target in
the dark, and the model produces movements with a bell-shaped velocity profile,
consistent with human behavior data.
PMID- 26559473
TI - Improvement in Mouse iPSC Induction by Rab32 Reveals the Importance of Lipid
Metabolism during Reprogramming.
AB - Induced pluripotent stem cells (iPSCs) have variable expression levels of a
series of genes that affect their pluripotent potential, but the regulatory
mechanisms controlling reprogramming remain unclear. By testing the efficiency of
iPSC generation using Oct4, Sox2, Klf4 (termed OSK) plus one additional gene, we
found that Rab32 improved reprogramming efficiency. We established a system for
detecting the number and the size of lipid droplets and autophagosomes per cell
for tracking their morphological changes during reprogramming. Our results showed
that Rab32 increased lipid storage during the early and middle stages, and also
increased autophagy during the middle stage of reprogramming. These findings were
further confirmed by the up-regulation of lipid biosynthesis and autophagosome
formation related genes, of which their expression could improve iPSC induction.
The inhibition of lipid biosynthesis and autophagosome formation significantly
reduced reprogramming efficiency, and the inhibition of lipid synthesis phenotype
could be rescued by the overexpression of Rab32. In addition, the expression of
pluripotency genes such as Klf2, Nr5a2 and Tbx3, was up-regulated by Rab32. These
results demonstrated that Rab32 could improve the induction of iPSCs through the
enhancement of lipid biosynthesis, highlighting the importance of lipid
metabolism during reprogramming.
PMID- 26559474
TI - Laterality effects in functional connectivity of the angular gyrus during rest
and episodic retrieval.
AB - INTRODUCTION: The angular gyrus (AG) is consistently reported in neuroimaging
studies of episodic memory retrieval and is a fundamental node within the default
mode network (DMN). Its specific contribution to episodic memory is debated, with
some suggesting it is important for the subjective experience of episodic
recollection, rather than retrieval of objective episodic details. Across studies
of episodic retrieval, the left AG is recruited more reliably than the right. We
explored functional connectivity of the right and left AG with the DMN during
rest and retrieval to assess whether connectivity could provide insight into the
nature of this laterality effect. METHODS: Using data from the publically
available 1000 Functional Connectome Project, 8min of resting fMRI data from 180
healthy young adults were analysed. Whole-brain functional connectivity at rest
was measured using a seed-based Partial Least Squares (seed-PLS) approach
(McIntosh and Lobaugh, 2004) with bilateral AG seeds. A subsequent analysis used
6-min of rest and 6-min of unconstrained, silent retrieval of autobiographical
events from a new sample of 20 younger adults. Analysis of this dataset took a
more targeted approach to functional connectivity analysis, consisting of
univariate pairwise correlations restricted to nodes of the DMN. RESULTS: The
seed-PLS analysis resulted in two Latent Variables that together explained ~86%
of the shared cross-block covariance. The first LV revealed a common network
consistent with the DMN and engaging the AG bilaterally, whereas the second LV
revealed a less robust, yet significant, laterality effect in connectivity - the
left AG was more strongly connected to the DMN. Univariate analyses of the second
sample again revealed better connectivity between the left AG and the DMN at
rest. However, during retrieval the left AG was more strongly connected than the
right to non-medial temporal (MTL) nodes of the DMN, and MTL nodes were more
strongly connected to the right AG. DISCUSSION: The multivariate analysis of
resting connectivity revealed that the left and right AG show similar
connectivity with the DMN. Only after accounting for this commonality were we
able to detect a left laterality effect in DMN connectivity. Further probing with
univariate connectivity analyses during retrieval demonstrates that the left
preference we observe is restricted to the non-MTL regions of the DMN, whereas
the right AG shows significantly better connectivity with the MTL. These data
suggest bilateral involvement of the AG during retrieval, despite the focus on
the left AG in the literature. Furthermore, the results suggest that the
contribution of the left AG to retrieval may be separable from that of the MTL,
consistent with a role for the left AG in the subjective aspects of recollection
in memory, whereas the MTL and the right AG may contribute to objective
recollection of specific memory details.
PMID- 26559475
TI - The Role of Insulin-Like Growth Factor Binding Protein 2 (IGFBP2) in the
Regulation of Corneal Fibroblast Differentiation.
AB - PURPOSE: Previously, we reported that keratocyte-conditioned medium (KCM)
facilitates the differentiation of human mesenchymal stem cells (hMSCs) into
corneal keratocyte-like cells. This study is designed to investigate the roles of
insulin-like growth factor binding protein 2 (IGFBP2) for the regulation of
corneal fibroblast differentiation as a newly unveiled component of KCM. METHODS:
Immunodot blot analysis was performed to identify the factors that are highly
secreted, especially in KCM. Then, we investigated whether IGFBP2 differentiates
hMSCs into keratocyte-like cells and whether maintains the phenotypes of
keratocyte in human corneal fibroblasts (HCFs) by analyzing expression patterns
of alpha-smooth muscle actin (alpha-SMA) and keratocyte markers including
keratocan, lumican and aldehyde dehydrogenase 1 family member A1 (ALDH1A1).
Furthermore, to specify the role of IGFBP2, the expression of alpha-SMA and
keratocyte markers was determined in transforming growth factor beta 1 (TGFbeta1)
induced corneal myofibroblast and in HCFs after knockdown of IGFBP2. RESULTS: The
most prominent factor in both KCM and amniotic membrane extract was IGFBP2.
Insulin-like growth factor binding protein 2 increased the expression of IGFBP2,
keratocan, and ALDH1A1, and decreased alpha-SMA expression in hMSCs and HCFs.
Insulin-like growth factor binding protein 2 inhibited TGFbeta1-induced
upregulation of alpha-SMA and increased expressions of keratocan and ALDH1A1 in
HCFs. Furthermore, the knockdown of IGFBP2 increased alpha-SMA expression and
decreased ALDH1A1 level in HCFs. CONCLUSIONS: Insulin-like growth factor binding
protein 2 is strongly associated with restoration of keratocyte phenotype in
HCFs. Our results show an important novel role of IGFBP2 in regulation of corneal
fibroblast differentiation and suggest that IGFBP2 can be a therapeutic candidate
for corneal antifibrotic strategy.
PMID- 26559477
TI - Palate Lung Nasal Clone (PLUNC), a Novel Protein of the Tear Film: Three
Dimensional Structure, Immune Activation, and Involvement in Dry Eye Disease
(DED).
AB - PURPOSE: Palate Lung Nasal Clone (PLUNC) is a hydrophobic protein belonging to
the family of surfactant proteins that is involved in fluid balance regulation of
the lung. Moreover, it is known to directly act against gram-negative bacteria.
The purpose of this study was to investigate the possible expression and
antimicrobial role of PLUNC at the healthy ocular surface and in tears of
patients suffering from dry eye disease (DED). METHODS: Bioinformatics and
biochemical and immunologic methods were combined to elucidate the structure and
function of PLUNC at the ocular surface. Tissue-specific localization was
performed by using immunohistochemistry. The PLUNC levels in tear samples from
non-Sjogren's DED patients with moderate dry eye suffering either from
hyperevaporation or tear deficiency were analyzed by ELISA and compared with
tears from healthy volunteers. RESULTS: Palate Lung Nasal Clone is expressed
under healthy conditions at the ocular surface and secreted into the tear film.
Protein modeling studies and molecular dynamics simulations performed indicated
surface activity of PLUNC. In vitro experiments revealed that proinflammatory
cytokines and bacterial supernatants have only a slight effect on the expression
of PLUNC in HCE and HCjE cell lines. In tears from DED patients, the PLUNC
concentration is significantly increased (7-fold in evaporative dry eye tears and
17-fold in tears from patients with tear deficiency) compared with healthy
subjects. CONCLUSIONS: The results show that PLUNC is a protein of the tear film
and suggest that it plays a role in fluid balance and surface tension regulation
at the ocular surface.
PMID- 26559476
TI - Blood-Retinal Barrier Compromise and Endogenous Staphylococcus aureus
Endophthalmitis.
AB - PURPOSE: To test the hypothesis that blood-retinal barrier compromise is
associated with the development of endogenous Staphylococcus aureus
endophthalmitis. METHODS: To compromise the blood-retinal barrier in vivo,
streptozotocin-induced diabetes was induced in C57BL/6J mice for 1, 3, or 5
months. Diabetic and age-matched nondiabetic mice were intravenously injected
with 108 colony-forming units (cfu) of S. aureus, a common cause of endogenous
endophthalmitis in diabetics. After 4 days post infection, electroretinography,
histology, and bacterial counts were performed. Staphylococcus aureus-induced
alterations in in vitro retinal pigment epithelial (RPE) cell barrier structure
and function were assessed by anti-ZO-1 immunohistochemistry, FITC-dextran
conjugate diffusion, and bacterial transmigration assays. RESULTS: We observed
one bilateral infection in a control, nondiabetic animal (mean = 1.54 * 103 +/-
1.78 * 102 cfu/eye, 7% incidence). Among the 1-month diabetic mice, we observed
culture-confirmed unilateral infections in two animals (mean = 5.54 * 102 +/-
7.09 * 102 cfu/eye, 12% incidence). Among the 3-month diabetic mice, infections
were observed in 11 animals, three with bilateral infections (mean = 2.67 * 102
+/- 2.49 * 102 cfu/eye, 58% incidence). Among the 5-month diabetic mice, we
observed infections in five animals (mean = 7.88 * 102 +/- 1.08 * 103 cfu/eye,
33% incidence). In vitro, S. aureus infection reduced ZO-1 immunostaining and
disrupted the barrier function of cultured RPE cells, resulting in diffusion of
fluorophore-conjugated dextrans and transmigration of live bacteria across a
permeabilized RPE barrier. CONCLUSIONS: Taken together, these results indicated
that S. aureus is capable of inducing blood-retinal barrier permeability and
causing endogenous bacterial endophthalmitis in normal and diabetic animals.
PMID- 26559478
TI - Membrane Peeling-Induced Retinal Alterations on Intraoperative OCT in
Vitreomacular Interface Disorders From the PIONEER Study.
AB - PURPOSE: To assess retinal architectural alterations that occur following
membrane peeling procedures and the impact of peel technique on these alterations
utilizing intraoperative optical coherence tomography (iOCT). METHODS: This is a
subanalysis of the prospective PIONEER iOCT study of eyes undergoing a membrane
peeling for a vitreomacular interface (VMI) disorder. Intraoperative scanning was
performed with a microscope-mounted OCT system. Macroarchitectural alterations
(e.g., full-thickness retinal elevations) and microarchitectural alterations
(e.g., relative layer thickness alterations) were analyzed. Video/iOCT
correlation was performed to identify instrument-tissue manipulations resulting
in macroarchitectural alterations. RESULTS: One hundred sixty-three eyes were
included in the macroarchitectural analysis. Instrumentation utilized for
membrane peeling included forceps alone for 73 eyes (45%), combined diamond
dusted membrane scraper (DDMS) and forceps for 87 eyes (53%), and other
techniques in three eyes (2%). Focal retinal elevations were identified in 45 of
163 eyes (28%). Video/iOCT correlation identified 69% of alterations involved
forceps compared to 26% due to DDMS. Sixteen percent of retinal alterations
persisted 1 month following surgery. The microarchitectural analysis included 134
eyes. Immediately following membrane peeling, there was a significant increase in
the ellipsoid zone to retinal pigment epithelium height (+20%, P < 0.00001) and
the cone outer segment tips to retinal pigment epithelium height (+18%, P <
0.00001). CONCLUSIONS: Significant subclinical retinal architectural changes
occur during membrane peeling for VMI conditions. Differences in surgical
instruments may impact these architectural alterations.
PMID- 26559479
TI - In Vitro and In Vivo Sustained Zero-Order Delivery of Rapamycin (Sirolimus) From
a Biodegradable Intraocular Device.
AB - PURPOSE: We created implantable intraocular devices capable of constant and
continuous rapamycin release on the scale of months to years. METHODS:
Polycaprolactone (PCL) thin films were used to encapsulate rapamycin to create
implantable and biodegradable intraocular devices. Different film devices were
studied by modifying the size, thickness, and porosity of the PCL films. RESULTS:
In vitro release of rapamycin was observed to be constant (zero-order) through 14
weeks of study. Release rates were tunable by altering PCL film porosity and
thickness. In vivo release of rapamycin was observed out through 16 weeks with
concentrations in the retina-choroid in the therapeutic range. Rapamycin
concentration in the blood was below the lower limit of quantification. The drug
remaining in the device was chemically stable in vitro and in vivo, and was
sufficient to last for upwards of 2 years of total release. The mechanism of
release is related to the dissolution kinetics of crystalline rapamycin.
CONCLUSIONS: Microporous PCL thin film devices demonstrate good ocular
compatibility and the ability to release rapamycin locally to the eye over the
course of many weeks.
PMID- 26559480
TI - Immunofluorescence Tomography of Mouse Ocular Surface Epithelial Stem Cells and
Their Niche Microenvironment.
AB - PURPOSE: Currently, there are no definitive immunomarkers for epithelial stem
cells (corneal and conjunctival) or their poorly understood niche
microenvironment. The H2B-GFP/K5tTA mouse enables visualization of label
retaining cells (LRCs), which exhibit the functional marker of stem cell
quiescence. We used immunofluorescence tomography to evaluate putative stem cell
markers and LRCs of the mouse ocular surface. METHODS: H2B-GFP/K5tTA mice were
pulsed for 56 days and then chased with doxycycline to label LRCs. Limbus and
eyelid tissue was 3-dimensionally (3-D) reconstructed using immunofluorescence
tomography to identify and characterize LRCs using the putative stem cell markers
sox9, keratin 19, lrig1, blimp1, and abcb5. RESULTS: After 28 days of chase, LRCs
were localized to the entire limbus epithelium and, infrequently, the anterior
limbal stroma. Label-retaining cells comprised 3% of limbal epithelial cells
after 56 days of chase. Conjunctival LRCs were localized to the fornix and
comprised 4% of the total fornix epithelial cells. No stem cell immunomarker was
specific for ocular surface LRCs; however, blimp1 enriched for limbal basal
epithelial cells and 100% of green fluorescent protein-positive (GFP+) cells at
the limbus and fornix were found to be lrig1-positive. CONCLUSIONS: Label
retaining cells represent a larger population of the mouse limbus than previously
thought. They decrease in number with increased doxycycline chase, suggesting
that LRC populations with different cell cycle lengths exist at the limbus. We
conclude that current immunomarkers are unable to colocalize with the functional
marker of epithelial stem cell quiescence; however, blimp1 may enrich for limbal
epithelial basal cells.
PMID- 26559481
TI - The skin as an orchestrator of influenza immunity.
PMID- 26559482
TI - Topical imiquimod before intradermal trivalent influenza vaccine for protection
against heterologous non-vaccine and antigenically drifted viruses: a single
centre, double-blind, randomised, controlled phase 2b/3 trial.
AB - BACKGROUND: Pretreatment with topical imiquimod, a synthetic agonist of toll-like
receptor 7, significantly improved the immunogenicity of influenza vaccination in
elderly people. We aimed to clarify its effect in a younger age group. METHODS:
In this double-blind, randomised controlled trial, we enrolled healthy volunteers
aged 18-30 years in early 2014 to receive the 2013-14 northern-hemisphere winter
trivalent influenza vaccine at the Queen Mary Hospital, (Hong Kong, China).
Eligible participants were randomly assigned (1:1:1:1) to one of the four
vaccination groups: the study group, topical imiquimod-cream followed by
intradermal trivalent influenza vaccine (INF-Q-ID), or one of three control
groups, topical aqueous-cream control followed by intradermal trivalent influenza
vaccine (INF-C-ID), topical aqueous-cream control followed by intramuscular
trivalent influenza vaccine (INF-C-IM), and topical imiquimod-cream followed by
intradermal normal-saline injection (SAL-Q-ID). Randomisation was by computer
generated lists in blocks of four. The type of topical treatment was masked from
volunteers and investigators, although not from the study nurse. Serum
haemagglutination-inhibition and microneutralisation-antibody titres were
assayed. The primary outcome was seroconversion at day 7 after treatment for
three vaccine strains of influenza (A/California/07/2009 H1N1-like virus
[A/California/H1N1], A/Victoria/361/2011 H3N2-like virus [A/Victoria/H3N2], and
B/Massachusetts/2/2012-like virus [B/Yamagata lineage]) and four non-vaccine
strains (A/HK/485197/14 [H3N2 Switzerland-like lineage], prototype A/WSN/1933
[H1N1], A/HK/408027/09 [prepandemic seasonal H1N1], and B/HK/418078/11 [Victoria
lineage]). Analysis was done on an intention-to-treat basis. This trial is
registered with ClinicalTrials.gov, number NCT02103023. FINDINGS: We enrolled 160
healthy volunteers between March 1 and May 31, 2014, and 40 participants were
randomly assigned to each study group. For the A/California/H1N1 strain,
seroconversion at day 7 occurred in 39 participants (98%) in the INF-Q-ID group,
25 (63%) in the INF-C-ID group, 18 (45%) in the INF-C-IM group, and none in the
SAL-Q-ID group; for the A/Victoria/H3N2, this was 30 (75%) in the INF-Q-ID group,
four (10%) in the INF-C-ID group, four (10%) in the INF-C-IM group, and none in
the SAL-Q-ID group; and for the B/Massachusetts (Yamagata lineage) strain, this
was 36 (90%) in the INF-Q-ID group, 27 (68%) in the INF-C-ID group, 17 (43%) in
the INF-C-IM group, and one (3%) in the SAL-Q-ID group (p<0.0001 for all three
vaccine strains). Adverse reactions were infrequent and self-limited and did not
differ between the four groups. Furthermore, the seroconversion rate against the
four non-vaccine strains was better in the INF-Q-ID group than in the control
groups on days 7 and 21 (p<0.0001). The most common adverse events were grade 1
redness (five participants in the INF-Q-ID group, three in INF-C-ID, one in INF-C
IM, and one in SAL-Q-ID) and grade 1 swelling (seven participants in INF-Q-ID
group, five in INF-C-ID, three in INF-C-IM, and two in SAL-Q-ID. INTERPRETATION:
Topical application of imiquimod before intradermal trivalent influenza vaccine
significantly improved immunogenicity against the vaccine influenza strains in
young healthy individuals and increased immunogenicity against the non-vaccine
strains, especially the antigenically drifted H3N2 strain of 2015, which was not
included in the 2013-14 recommended vaccine. Further studies should be done to
establish the efficacy and safety of this approach for other injectable vaccines
to augment the onset and range of protection. FUNDING: The Shaw Foundation Hong
Kong, Health and Medical Research Fund (Hong Kong, China), The Consultancy
Service for Enhancing Laboratory Surveillance of Emerging Infectious Disease for
the HKSAR (Department of Health, Hong Kong, China), The Providence Foundation,
Respiratory Viral Research Foundation.
PMID- 26559483
TI - Prevalence of overweight and obesity among nurses in Scotland: A cross-sectional
study using the Scottish Health Survey.
AB - BACKGROUND: Increasing prevalence of overweight and obesity represents a global
pandemic. As the largest occupational group in international healthcare systems
nurses are at the forefront of health promotion to address this pandemic.
However, nurses own health behaviours are known to influence the extent to which
they engage in health promotion and the public's confidence in advice offered.
Estimating the prevalence of overweight and obesity among nurses is therefore
important. However, to date, prevalence estimates have been based on non
representative samples and internationally no studies have compared prevalence of
overweight and obesity among nurses to other healthcare professionals using
representative data. OBJECTIVES: To estimate overweight and obesity prevalence
among nurses in Scotland, and compare to other healthcare professionals and those
working in non-heath related occupations. DESIGN: Cross-sectional study using a
nationally representative sample of five aggregated annual rounds (2008-2012) of
the Scottish Health Survey. SETTING: Scotland. PARTICIPANTS: 13,483 adults aged
17-65 indicating they had worked in the past 4 weeks, classified in four
occupational groups: nurses (n=411), other healthcare professionals (n=320),
unqualified care staff (n=685), and individuals employed in non-health related
occupations (n=12,067). MAIN OUTCOME MEASURES: Prevalence of overweight and
obesity defined as Body Mass Index>=25.0. METHODS: Estimates of overweight and
obesity prevalence in each occupational group were calculated with 95% confidence
intervals (CI). A logistic regression model was then built to compare the odds of
being overweight or obese with not being overweight or obese for nurses in
comparison to the other occupational categories. Data were analysed using SAS
9.1.3. RESULTS: 69.1% (95% CI 64.6, 73.6) of Scottish nurses were overweight or
obese. Prevalence of overweight and obesity was higher in nurses than other
healthcare professionals (51.3%, CI 45.8, 56.7), unqualified care staff (68.5%,
CI 65.0, 72.0) and those in non-health related occupations (68.9%, CI 68.1,
69.7). A logistic regression model adjusted for socio-demographic composition
indicated that, compared to nurses, the odds of being overweight or obese was
statistically significantly lower for other healthcare professionals (Odds Ratio
[OR] 0.45, CI 0.33, 0.61) and those in non-health related occupations (OR 0.78,
CI 0.62, 0.97). CONCLUSIONS: Prevalence of overweight and obesity among Scottish
nurses is worryingly high, and significantly higher than those in other
healthcare professionals and non-health related occupations. High prevalence of
overweight and obesity potentially harms nurses' own health and hampers the
effectiveness of nurses' health promotion role. Interventions are therefore
urgently required to address overweight and obesity among the Scottish nursing
workforce.
PMID- 26559484
TI - Self-reactive CD4(+) T cells activated during viral-induced demyelination do not
prevent clinical recovery.
AB - BACKGROUND: Microbial infections have been implicated in initiating and enhancing
severity of autoimmune diseases including the demyelinating disease multiple
sclerosis (MS). Nevertheless, the incidence of both acute and persisting viral
infections without evidence of autoimmune sequelae suggests that this process is
well controlled. The conditions promoting or stemming self-reactive (SR) T cells
following viral-induced tissue damage thus need to be better defined. Using a non
fatal viral mouse model of encephalomyelitis associated with demyelination and
disability, yet ultimate clinical improvement, this study set out to monitor
uptake and presentation of endogenous myelin antigens, as well as induction and
fate of SR T cells. METHODS: Activation and central nervous system (CNS)
recruitment of myelin-specific CD4 T cells was analyzed by flow cytometry during
encephalomyelitis induced by a glia tropic murine coronavirus. Potential antigen
presenting cells (APC) ingesting myelin were characterized by flow cytometry and
their ability to activate SR T cells tested by co-culture with carboxyfluorescein
succinimidyl ester (CFSE)-labeled myelin-specific CD4 T cells. Endogenous SR T
cell kinetics was analyzed within both cervical lymph nodes and CNS by Enzyme
Linked ImmunoSpot (ELISPOT) following viral infection. RESULTS: The data
demonstrate the presence of APC capable of activating SR T cells in both draining
lymph nodes and the CNS temporally correlating with overt demyelination. While
both the CNS-infiltrating myeloid population and microglia ingested myelin, only
CNS-infiltrating APC were capable of presenting endogenous myelin antigen to SR T
cells ex vivo. Finally, SR T cell activation from the endogenous T cell
repertoire was most notable when infectious virus was controlled and paralleled
myelin damage. Although SR T cell accumulation peaked in the persistently
infected CNS during maximal demyelination, they were not preferentially retained.
Their gradual decline, despite ongoing demyelination, suggested minimal re
stimulation and pathogenic function in vivo consistent with the lack of
autoimmune symptoms. CONCLUSIONS: The results demonstrate the potential for CNS
tissue destruction to induce and recruit SR T cells to the injury site and
support a host suppressive mechanism limiting development of autoimmunity.
PMID- 26559485
TI - In vitro effects of bis(1,2-dimethyl-3-hydroxy-4-pyridinonato)oxidovanadium(IV),
or VO(dmpp)2, on insulin secretion in pancreatic islets of type 2 diabetic Goto
Kakizaki rats.
AB - Vanadium compounds have been explored as therapy of diabetes, and most studies
have focussed on insulin mimetic effects, i.e. reducing hyperglycemia by
improving glucose sensitivity and thus glucose uptake in sensitive tissues. We
have recently shown that bis(1,2-dimethyl-3-hydroxy-4
pyridinonato)oxidovanadium(IV), VO(dmpp)2, has promising effects when compared to
another vanadium compound, bis(maltolato)oxidovanadium(IV), BMOV, and insulin
itself, in isolated adipocytes and in vivo in Goto-Kakizaki (GK) rats, an animal
model of hereditary type 2 diabetes (T2D).We now have investigated in GK rats
whether VO(dmpp)2 also modulates another important defect in T2D, impaired
insulin secretion. VO(dmpp)2, but not BMOV, stimulated insulin secretion from
isolated GK rat pancreatic islets at high, 16.7mM, but not at low-normal, 3.3 mM,
glucose concentration. Mechanistic studies demonstrate that the insulin releasing
effect of VO(dmpp)2 is due to its interaction with several steps in the stimulus
secretion coupling for glucose, including islet glucose metabolism and K-ATP
channels, L-type Ca2+ channels, modulation by protein kinases A and C, as well as
the exocytotic machinery. In conclusion, VO(dmpp)2 exhibits properties of
interest for treatment of the insulin secretory defect in T2D, in addition to its
well-described insulin mimetic activity.
PMID- 26559486
TI - Fertility desires, family planning use and pregnancy experience: longitudinal
examination of urban areas in three African countries.
AB - BACKGROUND: Many women have inconsistent fertility desires and contraceptive use
behaviors. This increases their risk of unintended pregnancies. Inconsistencies
may reflect barriers to family planning (FP) use but may also reflect ambivalence
toward future childbearing. Using urban data from Kenya, Nigeria, and Senegal,
this study examines the role of fertility desires and FP use behaviors on
pregnancy experience over a 2-year follow-up period. METHODS: Data come from
baseline and 2-year follow-up among urban women interviewed in Kenya, Nigeria,
and Senegal. At baseline (2010/2011), women were asked about their future
fertility desires (want child soon, want to delay >2 years, does not want) and
current FP use. At midterm (2012/2013), women were asked if they were currently
pregnant or had a birth in the 2-year period. We examine the association between
baseline fertility desires and FP use with pregnancy experience and desirability
of an experienced pregnancy. RESULTS: In the 2-year follow-up period, 27-39% of
women in union experienced a pregnancy or birth. In Kenya and Nigeria, 30-35% of
women using a modern FP method experienced a pregnancy/birth; the percentage with
a pregnancy/birth was slightly higher among women not using at baseline (41% in
both countries). In Senegal, the distinction between pregnancy experience between
users and non-users was greater (16% vs. 31%, respectively). In all countries,
pregnancy was less common among users of long-acting and permanent methods; only
a small percentage of women use these methods. Women not wanting any(more)
children were the least likely to experience a pregnancy in the 2-year follow-up
period. No differences were observed between those who wanted to delay and those
who wanted soon. Multivariate findings demonstrate distinctions in pregnancy
experience by fertility desires among modern FP users. Non-users have similar
pregnancy experience by fertility desires. CONCLUSIONS: Fertility desires are not
stable; providers need to consider the fluidity of fertility desires in
counseling clients. Programs focusing on new FP users may miss women who are the
most motivated to avoid a pregnancy and need to switch to a more effective
method; this will result in less unintended pregnancies overall.
PMID- 26559487
TI - The British Society for Rheumatology Biologics Registers in Ankylosing
Spondylitis (BSRBR-AS) study: Protocol for a prospective cohort study of the long
term safety and quality of life outcomes of biologic treatment.
AB - BACKGROUND: Axial spondyloarthropathy typically has its onset in early adulthood
and can impact significantly on quality of life. In the UK, biologic anti-tumour
necrosis factor therapy is recommended for patients who are unresponsive to non
steroidal anti-inflammatory drugs. There remain several unresolved issues about
the long-term safety and quality of life outcomes of biologic treatment in axial
spondyloarthropathy. Long-term "real-world" surveillance data are required to
complement data from randomised controlled trials. METHODS/DESIGN: We are
conducting a UK-wide prospective cohort study of patients with axial
spondyloarthropathy who are naive to biologic therapy at the time of recruitment.
Those about to commence anti-tumour necrosis factor biologic therapy will enter a
"biologic" sub-cohort with other patients assigned to a "non-biologic" sub
cohort. The primary objective is to determine whether the use of biologic therapy
is associated with an increased risk of serious infection, while secondary
objectives are to assess differences in malignancy, serious comorbidity, all
cause mortality but also assess impact on specific clinical domains (physical
health, mental health and quality of life) including work outcomes between
biologic and non-biologic patient cohorts. Patients will be followed-up for up to
5 years. Data are obtained at baseline and at standard clinical follow-up visits
at 3, 6 and 12 months and then annually for the biologic cohort and annually for
the non-biologic cohort. This study will also collect biological samples for
genetic analysis. DISCUSSION: Although biologic therapy is widely used for
ankylosing spondylitis patients who are unresponsive to non-steroidal anti
inflammatory drugs, the majority of the available safety information comes from
rheumatoid arthritis, where increased infection risk has consistently been shown.
However, given the typical demographic differences between rheumatoid arthritis
and axial spondyloarthropathy patients, it is important to develop an
epidemiologically rigorous cohort of patients receiving biologic therapy to
effectively evaluate outcomes with regard not only to safety but also to quantify
benefits across clinical, psychosocial and work outcomes. CLINICAL TRIAL
REGISTRATION: This is an observational cohort study and clinical trial
registration was not required or obtained.
PMID- 26559488
TI - Association Between Clinically Staged Node-Negative Esophageal Adenocarcinoma and
Overall Survival Benefit From Neoadjuvant Chemoradiation.
AB - IMPORTANCE: While neoadjuvant chemoradiation for esophageal cancer improves
oncologic outcomes for a broad group of patients with locally advanced and/or
node-positive tumors, it is less clear which specific subset of patients derives
most benefit in terms of overall survival (OS). OBJECTIVE: To determine whether
neoadjuvant chemoradiation based on esophageal adenocarcinoma histology has
similar oncologic outcomes for patients treated with surgery alone when
stratified by clinical nodal status. DESIGN, SETTING, AND PARTICIPANTS: A
retrospective analysis using the American College of Surgeons National Cancer
Database from 1998 to 2006. Patients with esophageal adenocarcinoma histology and
clinical stage T1bN1-N3 or T2-T4aN-/+M0 were divided into 2 treatment groups: (1)
neoadjuvant chemoradiation followed by surgery and (2) surgery alone. Subset
analysis within each treatment group was performed for clinically node-negative
patients (cN-) vs node-positive patients (cN+) in conjunction with pathological
nodal status. A propensity score-adjusted analysis, which included patient
demographics, comorbidity status, and clinical T stage, was also performed. MAIN
OUTCOME AND MEASURES: The primary outcome was 3-year OS. Secondary outcomes
included margin status, postoperative length of stay, unplanned readmission rate,
and 30-day mortality. RESULTS: A total of 1309 patients were identified, of whom
539 received neoadjuvant chemoradiation followed by surgery and 770 received
surgery alone. Of the 1309 patients, 41.2% (n = 539) received neoadjuvant
chemoradiation and 47.2% (n = 618) were cN+. Median follow-up for the entire
cohort was 73.3 months (interquartile range, 64.1-93.5 months). The 3-year OS was
better for neoadjuvant chemoradiation followed by surgery compared with surgery
alone (49% vs 38%, respectively; P < .001). Stratifying based on clinical nodal
status, the propensity score-adjusted OS was significantly better for cN+
patients who received neoadjuvant chemoradiation (hazard ratio, 0.52; 95% CI,
0.42-0.66; P < .001). In contrast, there was no difference in OS for cN- patients
based on treatment (hazard ratio, 0.84; 95% CI, 0.65-1.10; P = .22). CONCLUSIONS
AND RELEVANCE: Patients with cN+ esophageal adenocarcinoma benefit significantly
from neoadjuvant chemoradiation. However, patients with cN- tumors treated with
neoadjuvant chemoradiation plus surgery do not derive a significant OS benefit
compared with surgery alone. This finding may have significant implications on
the use of neoadjuvant chemoradiation in patients with cN- disease.
PMID- 26559489
TI - Generation of enterocyte-like cells from human induced pluripotent stem cells for
drug absorption and metabolism studies in human small intestine.
AB - Enterocytes play an important role in drug absorption and metabolism. However, a
widely used enterocyte model, Caco-2 cell, has difficulty in evaluating both drug
absorption and metabolism because the expression levels of some drug absorption
and metabolism-related genes in these cells differ largely from those of human
enterocytes. Therefore, we decided to generate the enterocyte-like cells from
human induced pluripotent stem (iPS) cells (hiPS-ELCs), which are applicable to
drug absorption and metabolism studies. The efficiency of enterocyte
differentiation from human iPS cells was significantly improved by using EGF,
SB431542, and Wnt3A, and extending the differentiation period. The gene
expression levels of cytochrome P450 3A4 (CYP3A4) and peptide transporter 1 in
the hiPS-ELCs were higher than those in Caco-2 cells. In addition, CYP3A4
expression in the hiPS-ELCs was induced by treatment with 1, 25-dihydroxyvitamin
D3 or rifampicin, which are known to induce CYP3A4 expression, indicating that
the hiPS-ELCs have CYP3A4 induction potency. Moreover, the transendothelial
electrical resistance (TEER) value of the hiPS-ELC monolayer was approximately
240 Omega*cm(2), suggesting that the hiPS-ELC monolayer could form a barrier. In
conclusion, we succeeded in establishing an enterocyte model from human iPS cells
which have potential to be applied for drug absorption and metabolism studies.
PMID- 26559490
TI - Inbreeding and purging at the genomic Level: the Chillingham cattle reveal
extensive, non-random SNP heterozygosity.
AB - Local breeds of livestock are of conservation significance as components of
global biodiversity and as reservoirs of genetic variation relevant to the future
sustainability of agriculture. One such rare historic breed, the Chillingham
cattle of northern England, has a 350-year history of isolation and inbreeding
yet shows no diminution of viability or fertility. The Chillingham cattle have
not been subjected to selective breeding. It has been suggested previously that
the herd has minimal genetic variation. In this study, high-density SNP
genotyping with the 777K SNP chip showed that 9.1% of loci on the chip are
polymorphic in the herd, compared with 62-90% seen in commercial cattle breeds.
Instead of being homogeneously distributed along the genome, these loci are
clustered at specific chromosomal locations. A high proportion of the Chillingham
individuals examined were heterozygous at many of these polymorphic loci,
suggesting that some loci are under balancing selection. Some of these frequently
heterozygous loci have been implicated as sites of recessive lethal mutations in
cattle. Linkage disequilibrium equal or close to 100% was found to span up to
1350 kb, and LD was above r(2) = 0.25 up to more than 5000 kb. This strong LD is
consistent with the lack of polymorphic loci in the herd. The heterozygous
regions in the Chillingham cattle may be the locations of genes relevant to
fitness or survival, which may help elucidate the biology of local adaptation in
traditional breeds and facilitate selection for such traits in commercial cattle.
PMID- 26559491
TI - Financial team incentives improved recording of diagnoses in primary care: a
quasi-experimental longitudinal follow-up study with controls.
AB - BACKGROUND: In primary care, financial incentives have usually been directed to
physicians because they are thought to make the key decisions in order to change
the functions of a medical organization. There are no studies regarding the
impact that directing these incentives to all disciplines of the care team (e.g.
group bonuses for both nurses and doctors) may have, despite the low frequency
with which diagnoses were being recorded for primary care visits to doctors. This
study tested the effect of offering group bonuses to the care teams. METHODS:
This was a retrospective quasi-experimental study with before-and-after settings
and two control groups. In the intervention group, the mean percentage of visits
to a doctor for which a diagnosis was recorded by each individual care team (mean
team-based percentage of monthly visits to a doctor with recorded diagnoses) and
simultaneously the same data was gathered from two different primary care
settings where no team bonuses were applied. To study the sustainability of
changes obtained with the group bonuses the respective data were derived from the
electronic health record system for 2 years after the cessation of the
intervention. The differences in the rate of marking diagnoses was analyzed with
ANOVA and RM-ANOVA with appropriate post hoc tests, and the differences in the
rate of change in marking diagnoses was analyzed with linear regression followed
by t-test. RESULTS: The proportion of doctor visits having recorded diagnoses in
the teams was about 55 % before starting to use group bonuses and 90 % after this
intervention. There was no such increase in control units. The effect of the
intervention weakened slightly after cessation of the group bonuses. CONCLUSION:
Group bonuses may provide a method to alter clinical practices in primary care.
However, sustainability of these interventions may diminish after ceasing this
type of financial incentive.
PMID- 26559492
TI - Saccharibacillus deserti sp. nov., isolated from desert soil.
AB - A Gram-stain-positive, facultatively anaerobic bacterial strain, designated
WLJ055T, with polar and subpolar flagella was isolated from the top layer of
desert soil from Erdos, Inner Mongolia, northern China. Phylogenetic analysis,
based on 16S rRNA gene sequences, revealed that strain WLJ055T was a member of
the genus Saccharibacillus, and shared 97.17-97.24 % 16S rRNA gene sequence
similarities with Saccharibacillus sacchari GR21T and Saccharibacillus kuerlensis
HR1T. The major polar lipids of strain WLJ055T were diphosphatidylglycerol,
phosphatidylglycerol, an unknown aminophospholipid, two unknown glycolipids and
an unknown phosphoglycolipid. MK-7 was the predominant menaquinone, while anteiso
C15 : 0, C16 : 0, iso-C16 : 0, and anteiso-C17 : 0 were the major cellular fatty
acids. Its genomic DNA G+C content was 55.5 mol%. DNA-DNA hybridization revealed
that strain WLJ055T showed 45 +/- 5 % and 40 +/- 5 % genomic DNA relatedness with
its two closest relatives, S. sacchari GR21T and S. kuerlensis HR1T,
respectively. The results of physiological and biochemical tests allowed the
discrimination of strain WLJ055T from its phylogenetic relatives.
Saccharibacillus deserti sp. nov. is therefore proposed to be a novel species of
the genus Saccharibacillus, with strain WLJ055T ( = CGMCC 1.15276T = KCTC 33693T)
as the type strain.
PMID- 26559493
TI - The growth of a skin emergency teledermatology service from 2008 to 2014.
AB - OBJECTIVE: To conduct an audit of the Skin Emergency Telemedicine Service at
Princess Alexandra Hospital in Brisbane from January to December 2014, and
determine whether there has been any change in the number, type and location of
referrals. METHODS: Retrospective analyses of referrals to the teledermatology
service were conducted and compared with the 2012 audit. Main outcomes assessed
included the number of referrals, referral site location, telediagnosis
categories, image characteristics and response times. RESULTS: In 2014, 318 cases
were referred to the teledermatology service. The highest number of cases (n =
140) were referred from Princess Alexandra Hospital and Queen Elizabeth II
Jubilee Hospital emergency departments. However, referrals to the teledermatology
service came from as far as 1600 km distant from Brisbane. The most common
provisional telediagnoses were dermatitis or eczema, skin infection or drug
eruption. Over half of all referrals (62%) received a response within 3 h and a
further 20% were responded to within 3-6 h. Almost half all referring doctors
(48%) attached very large image files (> 500 kb) to their referral. CONCLUSIONS:
The Skin Emergency Telemedicine Service has proved to be a successful,
sustainable and valuable addition to the specialist dermatology services provided
across Queensland. With adequate funding and resources and appropriate attention
to ethical and legal considerations, our service could significantly change the
management of Queenslanders with acute skin conditions.
PMID- 26559494
TI - Parents' perception of their child's weight status and intention to intervene: a
Western Australian cross-sectional population survey, 2009-12.
AB - OBJECTIVE: To report on parents' perceptions of their child's weight status and
how the child's body mass index (BMI) is associated with parent intentions to
change or maintain the child's weight. METHODS: Estimates were derived via data
collected from 4,437 parents from 2009 to 2012 as part of the Western Australian
Health and Wellbeing Surveillance System. To measure weight perceptions, parents
were asked, "Is your child underweight, normal weight, overweight or very
overweight?" BMI values were also derived via parent-reported height and weight.
Parent intentions were assessed by asking parents, "What are your intentions
regarding your child's weight?" RESULTS: Significantly fewer parents perceived
their child as overweight (8.2%) or very overweight (0.2%) than was derived via
parent-reported height and weight (16.3% and 5.8%, respectively). More than half
the parents with children above or below the healthy BMI range reported an
intention to "do nothing" about their child's weight (between 54.5% and 70.0%).
CONCLUSIONS: A large proportion of Western Australian parents misjudge their
child's weight status and the majority express no intention to help their child
achieve a healthy weight. IMPLICATIONS: The results reinforce the importance of
population-level, parent-focussed interventions targeting perceptions of
children's weight and appropriate action.
PMID- 26559495
TI - A benign juvenile environment reduces the strength of antagonistic pleiotropy and
genetic variation in the rate of senescence.
AB - The environment can play an important role in the evolution of senescence because
the optimal allocation between somatic maintenance and reproduction depends on
external factors influencing life expectancy. The aims of this study were to
experimentally test whether environmental conditions during early life can shape
senescence schedules, and if so, to examine whether variation among individuals
or genotypes with respect to the degree of ageing differs across environments. We
tested life-history plasticity and quantified genetic effects on the pattern of
senescence across different environments within a reaction norm framework by
using an experiment on the three-spined stickleback (Gasterosteus aculeatus,
Linnaeus) in which F1 families originating from a wild annual population
experienced different temperature regimes. Male sticklebacks that had experienced
a more benign environment earlier in life subsequently reduced their investment
in carotenoid-based sexual signals early in the breeding season, and consequently
senesced at a slower rate later in the season, compared to those that had
developed under harsher conditions. This plasticity of ageing was genetically
determined. Both antagonistic pleiotropy and genetic variation in the rate of
senescence were evident only in the individuals raised in the harsher
environment. The experimental demonstration of genotype-by-environment
interactions influencing the rate of reproductive senescence provides interesting
insights into the role of the environment in the evolution of life histories. The
results suggest that benign conditions weaken the scope for senescence to evolve
and that the dependence on the environment may maintain genetic variation under
selection.
PMID- 26559496
TI - Time to talk about work-hour impact on anesthesiologists: The effects of sleep
deprivation on Profile of Mood States and cognitive tasks.
AB - BACKGROUND: A physician's fatigue raises significant concerns regarding personal
and patient safety. Effects of sleep deprivation on clinical performance and the
quality of patient care are major considerations of today's health care
environment. AIM: To evaluate the impact of partial sleep deprivation after a 17
h overnight call (3 pm-7 am) on the mood status and cognitive skills of
anesthesiologists in an academic clinical hospital setting, as compared to these
parameters during regular working hours. METHODS: Taking circadian rhythm into
account, the following measures were assessed in 21 pediatric anesthesiologists
at two time points over the course of the study; (i) between 7 and 8 am on a
regular non call day, and (ii) between 7 and 8 am after a 17-h in-house call (3
pm-7 am). Six mood states were assessed using the Profile of Mood States. A Total
Mood Disturbance (TMD) score was obtained as the sum of all mood scores minus
vigor. The total score provides a global estimate of affective state. Simple
cognitive tests were similarly administered to assess cognitive skills. A two
tailed paired t-test was used to compare data between regular and post call days.
A P < 0.05 was used. RESULTS: The study cohort included 21 pediatric
anesthesiologists at a tertiary care children's hospital. Tension, anger,
fatigue, confusion, TMD, irritability, feeling jittery, and sleepiness were
significantly affected (P < 0.05). A decrease in vigor, energy, and confidence
was observed after a night call shift (P < 0.05). There was also a decrease in
being "talkative" after the call shift (P < 0.05). CONCLUSION: Partial sleep
deprivation affects the total mood status of anesthesiologists and impacts their
cognitive skills. These findings are particularly relevant in a context of
increased work expectation, particularly on clinical performance in our modern
medical system. Such observations suggest that there may be changes that impact
the safety of our patients and the quality of health care that is provided.
PMID- 26559497
TI - In vitro model for gastroduodenal reflux-induced nuclear factor-kappaB activation
and its role in hypopharyngeal carcinogenesis.
AB - BACKGROUND: The purpose of this study was to investigate whether gastroduodenal
reflux can play a role in the pathogenesis of hypopharyngeal cancer; therefore,
we assessed its effect on the nuclear factor-kappa B (NF-kappaB) pathway, as
similarly noted in the esophagus. METHODS: We exposed human cells derived from
the hypopharyngeal epithelium to bile acids or deoxycholic acid. We centered our
study on the transcriptional activation of NF-kappaB pathway, previously linked
to head and neck squamous cell carcinoma (HNSCC). RESULTS: We show that acidic
bile salts induce: (1) NF-kappaB activation with high cytoplasmic Bcl-2
expression; (2) significant increase in expression v-rel avian
reticuloendotheliosis viral oncogene homolog A (RELA(p65)), v-rel avian
reticuloendotheliosis viral oncogene homolog (c-REL) signal transducer and
activator of transcription 3 (STAT3), isoform of transformation related protein
p63 (DeltaNp63), B-cell lymphoma 2 (Bcl-2), tumor necrosis factor alpha (TNF
alpha), epidermal growth factor receptor (EGFR), and wingless type MMTV
integration site family member 5A (WNT5A) and a decrease in tumor protein p53
(Tp53); and (3) phenotypic changes that are similar to the phenotype of the
untreated hypopharyngeal cancer cell line, University of Michigan squamous cell
carcinoma (UMSCC)-11B. These changes are not seen when cells were exposed to
neutral control or acid alone. CONCLUSION: Our findings in vitro are consistent
with the hypothesis that gastroduodenal reflux plays a role in hypopharyngeal
carcinogenesis and its effect is mediated through activation of NF-kappaB
pathway. (c) 2015 Wiley Periodicals, Inc. Head Neck 38: E1381-E1391, 2016.
PMID- 26559498
TI - Relation of Elite Rifle Shooters' Technique-Test Measures to Competition
Performance.
AB - PURPOSE: To describe the long-term changes in shooting technique in relation to
competition performances in elite air-rifle shooters. METHODS: Seventeen elite
shooters completed simulated air-rifle shooting-competition series in 3
consecutive seasons, participating on 15 +/- 7 testing occasions. Shooting score
and aiming-point-trajectory variables were obtained with an optoelectronic
shooting device, and postural-balance variables were measured with force
platform. Shooters' competition results were collected from all international and
national competitions during the 3-y period. RESULTS: Mean test score, stability
of hold, aiming accuracy, cleanness of triggering, and postural balance improved
during the 3-y period (ANOVA, time, P < .05-.01). Seasonal mean test results in
stability of hold (R = -.70, P = .000) and cleanness of triggering (R = -.75, P =
.000) were related to competition performances. Changes in stability of hold (R =
-.61, P = .000) and cleanness of triggering (R = -.39, P = .022) were also
related to the changes in competition performances. Postural balance in shooting
direction was more related to cleanness of triggering (R = .57, P = .000),
whereas balance in cross-shooting direction was more related to stability of hold
(R = .70, P = .000). CONCLUSIONS: The shooting-technique testing used in the
current study seems to be a valid and useful tool for long-term performance
assessment. Stability of hold, cleanness of triggering, and postural balance can
be further developed even at the elite level, resulting in improved competition
performances.
PMID- 26559499
TI - Use of a porcine urinary bladder acellular matrix for corneal reconstruction in
dogs and cats.
AB - OBJECTIVE: To describe the use of a porcine urinary bladder acellular matrix for
surgical reconstruction of the cornea in cases of canine and feline deep corneal
ulcers, and feline corneal sequestra. MATERIALS AND METHODS: Twenty-seven dogs
and three cats with deep corneal ulcers and seven cats with corneal sequestra
were included in the study with overall 38 eyes. For each patient, the necrotic
material (ie corneal sequestrum or collagenolytic tissue) was removed by circular
lamellar keratectomy. The collagen graft was then cut and prepared to match the
stromal defect and then sutured into the lamellar keratectomy bed using
interrupted and continuous patterns of absorbable polyglactin 9-0 sutures.
Postoperative medical treatment consisted of topical and systemic administration
of antibiotics, combined with topical administration of atropine sulfate. The
animals were examined 18, 45, and 90 days after the surgery. RESULTS:
Postoperative examination revealed complete integration of the biomaterial in
93.5% of ulceration cases in both species and in 100% of feline corneal
sequestrum cases. In two cases of ulceration (1 dog and 1 cat), progression of
the collagenolytic process at the graft periphery required an additional
conjunctival graft 7 days after the first surgery. At 90 days post-op, 100% of
the eyes were sighted. CONCLUSION: Use of a porcine urinary bladder acellular
matrix appears to be effective in the surgical management of deep corneal ulcers
and feline corneal sequestra.
PMID- 26559500
TI - Influence of Solvent-Like Sidechains on the Adsorption of Light Hydrocarbons in
Metal-Organic Frameworks.
AB - A variety of strategies have been developed to adsorb and separate light
hydrocarbons in metal-organic frameworks. Here, we present a new approach in
which the pores of a framework are lined with four different C3 sidechains that
feature various degrees of branching and saturation. These pendant groups, which
essentially mimic a low-density solvent with restricted degrees of freedom, offer
tunable control of dispersive host-guest interactions. The performance of a
series of frameworks of the type Zn2 (fu-bdc)2 (dabco) (fu-bdc(2-)
=functionalized 1,4-benzenedicarboxylate; dabco=1,4-diazabicyclo[2.2.2]octane),
which feature a pillared layer structure, were investigated for the adsorption
and separation of methane, ethane, ethylene, and acetylene. The four frameworks
exhibit low methane uptake, whereas C2 hydrocarbon uptake is substantially higher
as a result of the enhanced interaction of these molecules with the ligand
sidechains. Most significantly, the adsorption quantities and selectivity were
found to depend strongly upon the type of sidechains attached to the framework
scaffold.
PMID- 26559501
TI - Testosterone Concentrations and Cardiovascular Autonomic Neuropathy in Men with
Type 1 Diabetes in the Epidemiology of Diabetes Interventions and Complications
Study (EDIC).
AB - INTRODUCTION: Previous studies have reported that lower testosterone
concentrations are associated with cardiovascular autonomic neuropathy (CAN), a
risk factor for cardiovascular events. However, no studies have examined this
relationship in men with type 1 diabetes, who are at high risk for CAN. AIM: The
aim of this study was to examine the associations between testosterone
concentrations and measures of CAN in a large, well-characterized cohort of men
with type 1 diabetes. METHODS: We conducted an analysis of men in the Diabetes
Control and Complications Trial (DCCT), a randomized trial of intensive glucose
control, and its observational follow-up the Epidemiology of Diabetes
Intervention and Complications (EDIC) Study. Testosterone was measured by liquid
chromatography mass spectrometry in stored samples from EDIC follow-up years 10
and 17. Regression models were used to assess the cross-sectional relationships
between testosterone and CAN measures. MAIN OUTCOME MEASURES: The main CAN
measure from EDIC follow-up year 17 was a standardized composite of R-R variation
with paced breathing < 15, or R-R variation 15-20 combined with either a Valsalva
ratio <= 1.5 or a decrease in diastolic blood pressure > 10 mm Hg upon standing.
Continuous R-R variation and Valsalva ratio were secondary outcomes. RESULTS:
Lower total and bioavailable testosterone concentrations at follow-up years 10
and 17 were not associated with the presence of CAN at year 17. In analyses using
Valsalva ratio as a continuous measure, higher total (P = 0.01) and bioavailable
testosterone concentrations (P = 0.005) were associated with a higher (more
favorable) Valsalva ratio after adjustment for covariates including age, body
mass index, smoking status, hypertension, and glycemia. CONCLUSIONS: Testosterone
levels are not associated with CAN among men with type 1 diabetes. Although
testosterone is associated with a higher Valsalva ratio, a more favorable
indicator, the clinical significance of this association is not known.
PMID- 26559502
TI - The Anthropoid Crista Trochanterica and the Hip Joint Capsule.
AB - The tubercle on the posterior aspect of the femoral neck (the crista
trochanterica) has been repeatedly remarked upon because of its presence in early
fossil apes, yet the function of this tubercle has eluded researchers. The
prevailing explanation for the tubercle is that it relates to a strong
ischiofemoral ligament, although none of the hypotheses for this bony projection
have been systematically evaluated. This study surveyed 41 extant anthropoid
species (n = 267 individuals) for the presence of a crista trochanterica. The
soft tissue structures of the hip joint were then dissected and described for a
sample of anthropoid cadavers (n = 14) in order to evaluate different hypotheses
related to function of this tubercle. This study confirmed that the crista
trochanterica is found in most cercopithecoids and platyrrhines, and is not
present in great apes. The tubercle is rarely present in hylobatids, contrary to
prior reports. The ischiofemoral ligament is not usually well-developed in
anthropoids and does not fully explain the crista trochanterica morphology,
although all cadavers displayed a well-developed zona orbicularis running along
the posterior aspect of the joint capsule. The hip joint capsule itself inserted
along the crista trochanterica in some individuals, typically those with an
elongate crista trochanterica, but was highly variable in regards to the position
of the tubercle. The hypotheses for the crista trochanterica are considered
within the context of these findings, although the exact function of the tubercle
remains unresolved.
PMID- 26559504
TI - Current-induced forces: a new mechanism to induce negative differential
resistance and current-switching effect in molecular junctions.
AB - Current-induced forces can excite molecules, polymers and other low-dimensional
materials, which in turn leads to an effective gate voltage through Holstein
interaction. Here, by taking a short asymmetric DNA junction as an example, and
using the Langevin approach, we find that when suppression of charge transport by
the effective gate voltage surpasses the current increase from an elevated
voltage bias, the current-voltage (I-V) curves display strong negative
differential resistance (NDR) and perfect current-switching characteristics. The
asymmetric DNA chain differs in mechanical stability under inverse voltages and
the I-V curve is asymmetric about inverse biases, which can be used to understand
recent transport experiments on DNA chains, and meanwhile provides a new strategy
to realize NDR in molecular junctions and other low-dimensional quantum systems.
PMID- 26559503
TI - Testing for oncogenic molecular aberrations in cell-free DNA-based liquid
biopsies in the clinic: are we there yet?
AB - The optimal choice of cancer therapy depends upon analysis of the tumor genome
for druggable molecular alterations. The spatial and temporal intratumor
heterogeneity of cancers creates substantial challenges, as molecular profile
depends on time and site of tumor tissue collection. To capture the entire
molecular profile, multiple biopsies from primary and metastatic sites at
different time points would be required, which is not feasible for ethical or
economic reasons. Molecular analysis of circulating cell-free DNA offers a novel,
minimally invasive method that can be performed at multiple time-points and
plausibly better represents the prevailing molecular profile of the cancer.
Molecular analysis of this cell-free DNA offers multiple clinically useful
applications, such as identification of molecular targets for cancer therapy,
monitoring of tumor molecular profile in real time, detection of emerging
molecular aberrations associated with resistance to particular therapy,
determination of cancer prognosis and diagnosis of cancer recurrence or
progression.
PMID- 26559505
TI - Identification of differentially methylated loci using wavelet-based functional
mixed models.
AB - MOTIVATION: DNA methylation is a key epigenetic modification that can modulate
gene expression. Over the past decade, a lot of studies have focused on profiling
DNA methylation and investigating its alterations in complex diseases such as
cancer. While early studies were mostly restricted to CpG islands or promoter
regions, recent findings indicate that many of important DNA methylation changes
can occur in other regions and DNA methylation needs to be examined on a genome
wide scale. In this article, we apply the wavelet-based functional mixed model
methodology to analyze the high-throughput methylation data for identifying
differentially methylated loci across the genome. Contrary to many commonly-used
methods that model probes independently, this framework accommodates spatial
correlations across the genome through basis function modeling as well as
correlations between samples through functional random effects, which allows it
to be applied to many different settings and potentially leads to more power in
detection of differential methylation. RESULTS: We applied this framework to
three different high-dimensional methylation data sets (CpG Shore data, THREE
data and NIH Roadmap Epigenomics data), studied previously in other works. A
simulation study based on CpG Shore data suggested that in terms of detection of
differentially methylated loci, this modeling approach using wavelets outperforms
analogous approaches modeling the loci as independent. For the THREE data, the
method suggests newly detected regions of differential methylation, which were
not reported in the original study. AVAILABILITY AND IMPLEMENTATION: Automated
software called WFMM is available at
https://biostatistics.mdanderson.org/SoftwareDownload CpG Shore data is available
at http://rafalab.dfci.harvard.edu NIH Roadmap Epigenomics data is available at
http://compbio.mit.edu/roadmap SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online. CONTACT: jefmorris@mdanderson.org.
PMID- 26559506
TI - WormExp: a web-based application for a Caenorhabditis elegans-specific gene
expression enrichment analysis.
AB - MOTIVATION: A particular challenge of the current omics age is to make sense of
the inferred differential expression of genes and proteins. The most common
approach is to perform a gene ontology (GO) enrichment analysis, thereby relying
on a database that has been extracted from a variety of organisms and that can
therefore only yield reliable information on evolutionary conserved functions.
RESULTS: We here present a web-based application for a taxon-specific gene set
exploration and enrichment analysis, which is expected to yield novel functional
insights into newly determined gene sets. The approach is based on the complete
collection of curated high-throughput gene expression data sets for the model
nematode Caenorhabditis elegans, including 1786 gene sets from more than 350
studies. AVAILABILITY AND IMPLEMENTATION: WormExp is available at
http://wormexp.zoologie.uni-kiel.de CONTACTS: hschulenburg@zoologie.uni-kiel.de
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online.
PMID- 26559507
TI - BRAKER1: Unsupervised RNA-Seq-Based Genome Annotation with GeneMark-ET and
AUGUSTUS.
AB - MOTIVATION: Gene finding in eukaryotic genomes is notoriously difficult to
automate. The task is to design a work flow with a minimal set of tools that
would reach state-of-the-art performance across a wide range of species. GeneMark
ET is a gene prediction tool that incorporates RNA-Seq data into unsupervised
training and subsequently generates ab initio gene predictions. AUGUSTUS is a
gene finder that usually requires supervised training and uses information from
RNA-Seq reads in the prediction step. Complementary strengths of GeneMark-ET and
AUGUSTUS provided motivation for designing a new combined tool for automatic gene
prediction. RESULTS: We present BRAKER1, a pipeline for unsupervised RNA-Seq
based genome annotation that combines the advantages of GeneMark-ET and AUGUSTUS.
As input, BRAKER1 requires a genome assembly file and a file in bam-format with
spliced alignments of RNA-Seq reads to the genome. First, GeneMark-ET performs
iterative training and generates initial gene structures. Second, AUGUSTUS uses
predicted genes for training and then integrates RNA-Seq read information into
final gene predictions. In our experiments, we observed that BRAKER1 was more
accurate than MAKER2 when it is using RNA-Seq as sole source for training and
prediction. BRAKER1 does not require pre-trained parameters or a separate expert
prepared training step. AVAILABILITY AND IMPLEMENTATION: BRAKER1 is available for
download at http://bioinf.uni-greifswald.de/bioinf/braker/ and
http://exon.gatech.edu/GeneMark/ CONTACT: katharina.hoff@uni-greifswald.de or
borodovsky@gatech.edu SUPPLEMENTARY INFORMATION: Supplementary data are available
at Bioinformatics online.
PMID- 26559508
TI - Annotation of rule-based models with formal semantics to enable creation,
analysis, reuse and visualization.
AB - MOTIVATION: Biological systems are complex and challenging to model and therefore
model reuse is highly desirable. To promote model reuse, models should include
both information about the specifics of simulations and the underlying biology in
the form of metadata. The availability of computationally tractable metadata is
especially important for the effective automated interpretation and processing of
models. Metadata are typically represented as machine-readable annotations which
enhance programmatic access to information about models. Rule-based languages
have emerged as a modelling framework to represent the complexity of biological
systems. Annotation approaches have been widely used for reaction-based
formalisms such as SBML. However, rule-based languages still lack a rich
annotation framework to add semantic information, such as machine-readable
descriptions, to the components of a model. RESULTS: We present an annotation
framework and guidelines for annotating rule-based models, encoded in the
commonly used Kappa and BioNetGen languages. We adapt widely adopted annotation
approaches to rule-based models. We initially propose a syntax to store machine
readable annotations and describe a mapping between rule-based modelling
entities, such as agents and rules, and their annotations. We then describe an
ontology to both annotate these models and capture the information contained
therein, and demonstrate annotating these models using examples. Finally, we
present a proof of concept tool for extracting annotations from a model that can
be queried and analyzed in a uniform way. The uniform representation of the
annotations can be used to facilitate the creation, analysis, reuse and
visualization of rule-based models. Although examples are given, using specific
implementations the proposed techniques can be applied to rule-based models in
general. AVAILABILITY AND IMPLEMENTATION: The annotation ontology for rule-based
models can be found at http://purl.org/rbm/rbmo The krdf tool and associated
executable examples are available at http://purl.org/rbm/rbmo/krdf CONTACT:
anil.wipat@newcastle.ac.uk or vdanos@inf.ed.ac.uk.
PMID- 26559509
TI - Mapping of the Retrotransposable Elements Rex1 and Rex3 in Chromosomes of
Eigenmannia (Teleostei, Gymnotiformes, Sternopygidae).
AB - Transposable elements constitute a remarkable fraction of the eukaryote genome
and show particular capacity to move and insert in specific regions of the
genome. This study identified the retrotransposable elements Rex1 and Rex3 in the
genomes of 6 cytotypes of Eigenmannia. The sequences were isolated by PCR,
sequenced and physically mapped in the chromosomes of these cytotypes, aiming to
investigate the organization and distribution of these elements in this fish
group, mainly in the sex chromosomes. The FISH physical mapping revealed that
both Rex1 and Rex3 elements are dispersed in small clusters throughout the
chromosomes of all cytotypes analyzed. However, conspicuous blocks occur in
several samples, including an accentuated accumulation of the Rex3 element in
X(1) and X(2) chromosomes of Eigenmannia sp. 2 and in the X chromosome of E.
virescens. The accumulations are coincident with heterochromatin-rich regions,
suggesting that Rex3 played a role in the differentiation process of the sex
chromosomes.
PMID- 26559511
TI - Environmental risk factors associated with bovine tuberculosis among cattle in
high-risk areas.
AB - Our research shows that environmental features are important predictors of bovine
tuberculosis (bTB) in British cattle herds in high-prevalence regions. Data from
503 case and 808 control farms included in the randomized badger culling trial
(RBCT) were analysed. bTB risk increased in larger herds and on farms with
greater areas of maize, deciduous woodland and marsh, whereas a higher percentage
of boundaries composed of hedgerows decreased the risk. The model was tested on
another case-control study outside RBCT areas, and here it had a much smaller
predictive power. This suggests that different infection dynamics operate outside
high-risk areas, although it is possible that unknown confounding factors may
also have played a role.
PMID- 26559510
TI - Tissue-specific transcriptomics and proteomics of a filarial nematode and its
Wolbachia endosymbiont.
AB - BACKGROUND: Filarial nematodes cause debilitating human diseases. While
treatable, recent evidence suggests drug resistance is developing, necessitating
the development of novel targets and new treatment options. Although
transcriptomic and proteomic studies around the nematode life cycle have greatly
enhanced our knowledge, whole organism approaches have not provided spatial
resolution of gene expression, which can be gained by examining individual
tissues. Generally, due to their small size, tissue dissection of human-infecting
filarial nematodes remains extremely challenging. However, canine heartworm
disease is caused by a closely related and much larger filarial nematode,
Dirofilaria immitis. As with many other filarial nematodes, D. immitis contains
Wolbachia, an obligate bacterial endosymbiont present in the hypodermis and
developing oocytes within the uterus. Here, we describe the first concurrent
tissue-specific transcriptomic and proteomic profiling of a filarial nematode (D.
immitis) and its Wolbachia (wDi) in order to better understand tissue functions
and identify tissue-specific antigens that may be used for the development of new
diagnostic and therapeutic tools. METHODS: Adult D. immitis worms were dissected
into female body wall (FBW), female uterus (FU), female intestine (FI), female
head (FH), male body wall (MBW), male testis (MT), male intestine (MI), male head
(MH) and 10.1186/s12864-015-2083-2 male spicule (MS) and used to prepare
transcriptomic and proteomic libraries. RESULTS: Transcriptomic and proteomic
analysis of several D. immitis tissues identified many biological functions
enriched within certain tissues. Hierarchical clustering of the D. immitis tissue
transcriptomes, along with the recently published whole-worm adult male and
female D. immitis transcriptomes, revealed that the whole-worm transcriptome is
typically dominated by transcripts originating from reproductive tissue. The
uterus appeared to have the most variable transcriptome, possibly due to age.
Although many functions are shared between the reproductive tissues, the most
significant differences in gene expression were observed between the uterus and
testis. Interestingly, wDi gene expression in the male and female body wall is
fairly similar, yet slightly different to that of Wolbachia gene expression in
the uterus. Proteomic methods verified 32 % of the predicted D. immitis proteome,
including over 700 hypothetical proteins of D. immitis. Of note, hypothetical
proteins were among some of the most abundant Wolbachia proteins identified,
which may fulfill some important yet still uncharacterized biological function.
CONCLUSIONS: The spatial resolution gained from this parallel transcriptomic and
proteomic analysis adds to our understanding of filarial biology and serves as a
resource with which to develop future therapeutic strategies against filarial
nematodes and their Wolbachia endosymbionts.
PMID- 26559512
TI - Bayesian hierarchical models suggest oldest known plant-visiting bat was
omnivorous.
AB - The earliest record of plant visiting in bats dates to the Middle Miocene of La
Venta, the world's most diverse tropical palaeocommunity. Palynephyllum
antimaster is known from molars that indicate nectarivory. Skull length, an
important indicator of key traits such as body size, bite force and trophic
specialization, remains unknown. We developed Bayesian models to infer skull
length based on dental measurements. These models account for variation within
and between species, variation between clades, and phylogenetic error structure.
Models relating skull length to trophic level for nectarivorous bats were then
used to infer the diet of the fossil. The skull length estimate for Palynephyllum
places it among the larger lonchophylline bats. The inferred diet suggests
Palynephyllum fed on nectar and insects, similar to its living relatives.
Omnivory has persisted since the mid-Miocene. This is the first study to
corroborate with fossil data that highly specialized nectarivory in bats requires
an omnivorous transition.
PMID- 26559513
TI - Transfer of humoural immunity over two generations in urban pigeons.
AB - Maternal antibodies (MatAb) are known to provide passive protection early in life
for young vertebrates but their effects on the development of offspring immune
response across generations are still unknown. Here, we investigated the effects
of antigen exposure (keyhole limpet haemocyanin, KLH) experienced by urban pigeon
(Columba livia) females on the amount of antigen-specific antibodies (Abs)
transferred into the egg yolk of their daughters and on the humoural immune
response towards this same antigen in their grandchildren. We found that chicks
from KLH-injected maternal grandmothers had a higher humoural response than
chicks from sham-injected grandmothers. However, we did not detect a significant
effect of female KLH exposure on the ability of their daughters to transmit anti
KLH Abs into their eggs. These results suggest that antigen exposure at one
generation may shape the immune profile of offspring over two next generations,
although the underlying mechanisms remain to be investigated.
PMID- 26559514
TI - Electric shock causes physiological stress responses in shore crabs, consistent
with prediction of pain.
AB - Animal pain is defined by a series of expectations or criteria, one of which is
that there should be a physiological stress response associated with noxious
stimuli. While crustacean stress responses have been demonstrated they are
typically preceded by escape behaviour and thus the physiological change might be
attributed to the behaviour rather than a pain experience. We found higher levels
of stress as measured by lactate in shore crabs exposed to brief electric shock
than non-shocked controls. However, shocked crabs showed more vigorous behaviour
than controls. We then matched crabs with the same level of behaviour and still
found that shocked crabs had stronger stress response compared with controls. The
finding of the stress response, coupled with previous findings of long-term
motivational change and avoidance learning, fulfils the criteria expected of a
pain experience.
PMID- 26559515
TI - Social organization in Eulipotyphla: evidence for a social shrew.
AB - Shrews and their close relatives (order Eulipotyphla) are typically considered to
be solitary. This impacts our understanding of mammalian social evolution: (i)
the ancestor of mammals is believed to have been shrew-like, and even though
Eulipotyphla are not more basal than other mammalian orders, this might have been
one reason why the first mammals have been assumed to be solitary-living; (ii)
Eulipotyphla are the third largest mammalian order, with hundreds of species
entering comparative analyses. We review primary field studies reporting the
social organization of Eulipotyphla, doing a literature research on 445 species.
Primary literature was only available for 16 of the 445 species. We found 56% of
the studied species to be social (38% were living in pairs), which is in sharp
contrast to the 0.5 and 8% reported in other databases. We conclude that the
available information indicates that shrews are more sociable than generally
believed. An interesting alternative hypothesis is that the mammalian ancestor
might have been pair-living. To understand the social evolution of mammals,
comparative studies must be based on reliable and specific information, and more
species of all orders must be studied in the field.
PMID- 26559516
TI - Teaching examples for the design of experiments: geographical sensitivity and the
self-fulfilling prophecy.
AB - Many scientists believe that small experiments, guided by scientific intuition,
are simpler and more efficient than design of experiments. This belief is strong
and persists even in the face of data demonstrating that it is clearly wrong. In
this paper, we present two powerful teaching examples illustrating the dangers of
small experiments guided by scientific intuition. We describe two, simple, two
dimensional spaces. These two spaces give rise to, and at the same time appear to
generate supporting data for, scientific intuitions that are deeply flawed or
wholly incorrect. We find these spaces useful in unfreezing scientific thinking
and challenging the misplaced confidence in scientific intuition.
PMID- 26559517
TI - Profunda artery perforator flap for perineal reconstruction: A new indication.
PMID- 26559518
TI - Communicating information to the general practitioner: the example of vemurafenib
for metastatic melanoma.
PMID- 26559519
TI - Oromucosal film preparations: points to consider for patient centricity and
manufacturing processes.
AB - INTRODUCTION: According to the European Pharmacopoeia, oromucosal films comprise
mucoadhesive buccal films and orodispersible films. Both oral dosage forms
receive considerable interest in the recent years as commercially available
pharmaceutical products and as small scale personalized extemporaneous
preparations. AREAS COVERED: In this review, technological issues such as
viscosity of the casting liquid, mechanical properties of the film, upscaling and
the stability of the casting solution and produced films will be discussed.
Furthermore, patient-related problems like appearance, mucosal irritation, taste,
drug load, safety and biopharmaceutics are described. Current knowledge and
directions for solutions are summarized. EXPERT OPINION: The viscosity of the
casting solution is a key factor for producing suitable films. This parameter is
amongst others dependent on the polymer and active pharmaceutical ingredient, and
the further excipients that are used. For optimal patient compliance, an
acceptable taste and palatability are desirable. Safe and inert excipients should
be used and appropriate packaging should be provided to produced films.
Absorption through the oral mucosa will vary for each active compound,
formulation and patient, which gives rise to pharmacokinetic questions. Finally,
the European Pharmacopoeia needs to specify methods, requirement and definitions
for oromucosal film preparations based on bio-relevant data.
PMID- 26559520
TI - Electronic enhancements to blood ordering reduce component waste.
AB - BACKGROUND: The effect on component wastage after enhancing the clinician's
ability to order blood products in the computerized physician order entry (CPOE)
system was investigated in a multihospital network. METHODS: A novel field was
added to the CPOE of eight hospitals within a health care system allowing the
physician to reserve a red blood cell (RBC) unit for transfusion at a later time.
Simultaneously, an electronic means of communication with the blood bank was
implemented requiring the nurse to ensure that the patient was prepared for the
transfusion before a product could be issued. The wastage rates in the 12 months
after these electronic enhancements (Phase 2) was compared to the preceding 19
months of a non-CPOE-based waste reduction campaign (Phase 1) and to the 24
months before the campaign (baseline period). RESULTS: There were significant
reductions in platelet (PLT) waste between the baseline period and Phase 1 (p <
0.05) and between Phase 2 and both Phase 1 and the baseline period (p < 0.05).
The annual systemwide cost savings in wasted PLTs between the baseline period and
Phase 2 was approximately $123,300. RBC waste was significantly reduced between
Phase 2 and both Phase 1 and the baseline period (p < 0.05). Cryoprecipitate
waste was reduced between Phase 2 and the baseline period (p < 0.05), while
plasma waste did not change between the three periods. CONCLUSIONS: Implementing
a multifaceted approach to waste reduction led to a significant reduction in
wastage for RBCs, PLTs, and cryoprecipitate.
PMID- 26559521
TI - Novel Predictors of Poor Retention Following a Down-Referral from a Hospital
Based Antiretroviral Therapy Program in South Africa.
AB - Worldwide, HIV care is becoming increasingly decentralized. For patients in care
at centralized facilities, this requires down-referral to local clinics for their
HIV care. Information on the real-world experience and predictors of retention in
care at the time of down-referral is lacking. We sought to evaluate the effect of
patient-level factors on retention in care surrounding a period of down-referral
to new clinics for patients with and without virologic failure (VF) on their
first-line ART. We conducted a secondary analysis of a case-control study of
people living with HIV attending the Sinikethemba (SKT) Clinic at McCord Hospital
in Durban, South Africa. Cases (VF) and controls (no VF) responded to a
questionnaire focused on individual-level factors. Subsequently, participants
self-reported either changing service provider (retained in care), were unable to
be reached, died or reported not attending a new provider visit (not retained in
care). Multivariate logistic regression was conducted with factors associated
with not being retained in care in a univariate analysis. In all, 458 patients
were enrolled in the parent study (158 cases and 300 controls) with a median age
of 38 years old and with 65% women. A total of 436 (95%) participants
successfully established care at the down-referral clinic. In the multivariate
analysis, not being pleased with the clinic (SKT), lower adherence scores, and
shorter duration of ART predicted failure of down-referral. Down-referral was
successful even for patients with VF. Individual-level factors could act as
predictors for patients at increased risk for poor retention during the down
referral process to a local clinic.
PMID- 26559522
TI - Formulation, optimization and characterization of cationic polymeric
nanoparticles of mast cell stabilizing agent using the Box-Behnken experimental
design.
AB - OBJECTIVE: The present research work was intended to develop and optimize
sustained release of biodegradable chitosan nanoparticles (CSNPs) as delivery
vehicle for sodium cromoglicate (SCG) using the circumscribed Box-Behnken
experimental design (BBD) and evaluate its potential for oral permeability
enhancement. METHODS: The 3-factor, 3-level BBD was employed to investigate the
combined influence of formulation variables on particle size and entrapment
efficiency (%EE) of SCG-CSNPs prepared by ionic gelation method. The generated
polynomial equation was validated and desirability function was utilized for
optimization. Optimized SCG-CSNPs were evaluated for physicochemical,
morphological, in-vitro characterizations and permeability enhancement potential
by ex-vivo and uptake study using CLSM. RESULTS: SCG-CSNPs exhibited particle
size of 200.4 +/- 4.06 nm and %EE of 62.68 +/- 2.4% with unimodal size
distribution having cationic, spherical, smooth surface. Physicochemical and in
vitro characterization revealed existence of SCG in amorphous form inside CSNPs
without interaction and showed sustained release profile. Ex-vivo and uptake
study showed the permeability enhancement potential of CSNPs. CONCLUSIONS: The
developed SCG-CSNPs can be considered as promising delivery strategy with respect
to improved permeability and sustained drug release, proving importance of CSNPs
as potential oral delivery system for treatment of allergic rhinitis. Hence,
further studies should be performed for establishing the pharmacokinetic
potential of the CSNPs.
PMID- 26559523
TI - Social influence and peer review: Why traditional peer review is no longer
adapted, and how it should evolve.
PMID- 26559524
TI - The meaningless pseudo-category of "GMOs": The trouble with the "new techniques"
for genetically modifying crops demonstrates the illogical process-based
definition of GMOs in EU regulation.
PMID- 26559525
TI - Profiling of Discrete Gynecological Cancers Reveals Novel Transcriptional Modules
and Common Features Shared by Other Cancer Types and Embryonic Stem Cells.
AB - Studies on individual types of gynecological cancers (GCs), utilizing novel
expression technologies, have revealed specific pathogenetic patterns and gene
markers for cervical (CC), endometrial (EC) and vulvar cancer (VC). Although the
clinical phenotypes of the three types of gynecological cancers are discrete, the
fact they originate from a common embryological origin, has led to the hypothesis
that they might share common features reflecting regression to early
embryogenesis. To address this question, we performed a comprehensive comparative
analysis of their profiles. Our data identified both common features (pathways
and networks) and novel distinct modules controlling the same deregulated
biological processes in all three types. Specifically, four novel transcriptional
modules were discovered regulating cell cycle and apoptosis. Integration and
comparison of our data with other databases, led to the identification of common
features among cancer types, embryonic stem (ES) cells and the newly discovered
cell population of squamocolumnar (SC) junction of the cervix, considered to host
the early cancer events. Conclusively, these data lead us to propose the presence
of common features among gynecological cancers, other types of cancers, ES cells
and the pre-malignant SC junction cells, where the novel E2F/NFY and MAX/CEBP
modules play an important role for the pathogenesis of gynecological carcinomas.
PMID- 26559526
TI - Metabolic potential of Bacillus subtilis 168 for the direct conversion of xylans
to fermentation products.
AB - Methylglucuronoxylans (MeGXn) and methylglucuronoarabinoxylans (MeGAXn)
respectively comprise most of the hemicellulose fractions in dicots and monocots
and, next to cellulose, are the major resources for the production of fuels and
chemicals from lignocellulosics. With either MeGXn or MeGAXn as a substrate,
Bacillus subtilis 168 accumulates acidic methylglucuronoxylotriose as a limit
product following the uptake and metabolism of neutral xylooligosaccharides.
Secreted GH11 endoxylanase (Xyn11A), GH30 endoxylanase (Xyn30C), and GH43
arabinoxylan arabinofuranohydrolase (Axh43) respectively encoded by the xynA,
xynC, and xynD genes collectively contribute to the depolymerization of MeGAXn.
Studies here demonstrate the complementary roles of these enzymes in the
digestion of MeGAXn. Coordinate expression of the xynD and xynC genes defines an
operon accounting for the Axh43-catalyzed release of arabinose followed by Xyn30C
and Xyn11A-catalyzed depolymerization of MeGAXn. Both sources generate acetate
and lactate as the principal fermentation products, with yields of 26 % acetate
and 32 % lactate from MeGXn compared to 22 % acetate and 21 % lactate from
MeGAXn. These studies of the GH43/GH30/GH11 system in B. subtilis 168 provide a
basis for the further development of B. subtilis and related species as
biocatalysts for direct conversion of hemicellulose derived from energy crops as
well as agricultural and forest residues to chemical feedstocks.
PMID- 26559528
TI - Emergence of Asynchronous Local Clocks in Excitable Media.
AB - Excitable media such as the myocardium or the brain consist of arrays of coupled
excitable elements, in which the local excitation of a single element can
propagate to its neighbors in the form of a non-linear autowave. Since each
element has to pass through a refractory period immediately after excitation, the
frequency of autowaves is self-limiting. In this work, we consider the case where
each element is spontaneously excited at a fixed average rate and thereby
initiates a new autowave. Although these spontaneous self-excitation events are
modelled as independent Poisson point processes with exponentially distributed
waiting times, the travelling autowaves lead collectively to a non-exponential,
unimodal waiting time distribution for the individual elements. With increasing
system size, a global 'clock' period T emerges as the most probable waiting time
for each element, which fluctuates around T with an increasingly small but non
zero variance. This apparent synchronization between asynchronous, temporally
uncorrelated point processes differs from synchronization effects between perfect
oscillators interacting in a phase-aligning manner. Finally, we demonstrate that
asynchronous local clocks also emerge in non-homogeneous systems in which the
rates of self-excitation are different for all individuals, suggesting that this
novel mechanism can occur in a wide range of excitable media.
PMID- 26559527
TI - Stop and Go - Waves of Tarsier Dispersal Mirror the Genesis of Sulawesi Island.
AB - The Indonesian island of Sulawesi harbors a highly endemic and diverse fauna
sparking fascination since long before Wallace's contemplation of biogeographical
patterns in the region. Allopatric diversification driven by geological or
climatic processes has been identified as the main mechanism shaping present
faunal distribution on the island. There is both consensus and conflict among
range patterns of terrestrial species pointing to the different effects of
vicariant events on once co-distributed taxa. Tarsiers, small nocturnal primates
with possible evidence of an Eocene fossil record on the Asian mainland, are at
present exclusively found in insular Southeast Asia. Sulawesi is hotspot of
tarsier diversity, whereby island colonization and subsequent radiation of this
old endemic primate lineage remained largely enigmatic. To resolve the
phylogeographic history of Sulawesi tarsiers we analyzed an island-wide sample
for a set of five approved autosomal phylogenetic markers (ABCA1, ADORA3, AXIN1,
RAG1, and TTR) and the paternally inherited SRY gene. We constructed ML and
Bayesian phylogenetic trees and estimated divergence times between tarsier
populations. We found that their arrival at the Proto-Sulawesi archipelago
coincided with initial Miocene tectonic uplift and hypothesize that tarsiers
dispersed over the region in distinct waves. Intra-island diversification was
spurred by land emergence and a rapid succession of glacial cycles during the
Plio-Pleistocene. Some tarsier range boundaries concur with spatial limits in
other taxa backing the notion of centers of faunal endemism on Sulawesi. This
congruence, however, has partially been superimposed by taxon-specific dispersal
patterns.
PMID- 26559529
TI - Rifaximin and eluxadoline - newly approved treatments for diarrhea-predominant
irritable bowel syndrome: what is their role in clinical practice alongside
alosetron?
AB - INTRODUCTION: Diarrhea-predominant irritable bowel syndrome (IBS-D) is a common
functional gastrointestinal condition in which patients experience abdominal
pain, diarrhea, bloating, cramps, flatulence, fecal urgency, and incontinence.
AREAS COVERED: We review two recently approved therapies that focus on treating
underlying pathogenic mechanisms of IBS-D: (1) the non-absorbable antibiotic
rifaximin, and (2) the opioid receptor agonist/antagonist eluxadoline. We compare
the safety and efficacy data emerging from rifaximin and eluxadoline registration
trials with safety and efficacy data from the alosetron clinical development
program. EXPERT OPINION: The rifaximin and eluxadoline clinical development
programs for IBS-D have demonstrated significant improvement in IBS-D endpoints
compared to placebo. Direct comparison of primary endpoint results from the
alosetron, rifaximin, and eluxadoline pivotal trials is not possible; however,
general estimates of efficacy can be made, and these demonstrate similar and
significantly greater responses to 'adequate relief' and a composite endpoint of
abdominal pain/stool form for each agent compared to placebo. With the recent
approval in the United States of rifaximin and eluxadoline for IBS-D, how should
clinicians employ these agents? We suggest that they be utilized sequentially,
taking into consideration patient symptoms and severity, prior medical history,
mode of action, cost, availability, managed care coverage, and adverse event
profiles.
PMID- 26559530
TI - Design and Investigation of PolyFermS In Vitro Continuous Fermentation Models
Inoculated with Immobilized Fecal Microbiota Mimicking the Elderly Colon.
AB - In vitro gut modeling is a useful approach to investigate some factors and
mechanisms of the gut microbiota independent of the effects of the host. This
study tested the use of immobilized fecal microbiota to develop different designs
of continuous colonic fermentation models mimicking elderly gut fermentation.
Model 1 was a three-stage fermentation mimicking the proximal, transverse and
distal colon. Models 2 and 3 were based on the new PolyFermS platform composed of
an inoculum reactor seeded with immobilized fecal microbiota and used to
continuously inoculate with the same microbiota different second-stage reactors
mounted in parallel. The main gut bacterial groups, microbial diversity and
metabolite production were monitored in effluents of all reactors using
quantitative PCR, 16S rRNA gene 454-pyrosequencing, and HPLC, respectively. In
all models, a diverse microbiota resembling the one tested in donor's fecal
sample was established. Metabolic stability in inoculum reactors seeded with
immobilized fecal microbiota was shown for operation times of up to 80 days. A
high microbial and metabolic reproducibility was demonstrated for downstream
control and experimental reactors of a PolyFermS model. The PolyFermS models
tested here are particularly suited to investigate the effects of environmental
factors, such as diet and drugs, in a controlled setting with the same microbiota
source.
PMID- 26559531
TI - Depression, Anxiety, Resilience and Coping Pre and Post Kidney Transplantation -
Initial Findings from the Psychiatric Impairments in Kidney Transplantation (PI
KT)-Study.
AB - PURPOSE: Depression/anxiety, impaired Health-Related Quality of Life (HRQoL) and
coping and resilience structures, are associated with increased mortality/poor
outcome in chronic kidney disease (CKD) patients before (CKD/pre-KT) and after
kidney (CKD-T) transplantation. Less is known about prevalence rates of
psychiatric symptoms and impaired HRQoL of non-transplanted compared with
transplanted patients. METHODS: In a cross-sectional study comparing 101 CKD/pre
KT patients with 151 cadaveric-transplanted (CKD-T) patients, we examined
prevalence of depression/anxiety (HADS questionnaire) and coping, resilience and
HRQoL (SF-12, Resilience-Scale and FKV-questionnaire). RESULTS: The prevalence of
both depressive and anxiety symptoms was not significantly different between
different pre-/and CKD-T patient groups. In CKD-T no significant relations of
coping strategies with kidney function were identified. Furthermore, the
Resilience Scales for acceptance and competence did not suggest any differences
between the CKD/pre-KT and CKD-T subgroup. In the CKD/pre-KT patients,
significant correlations were identified between the acceptance subscale and
partnership, as well as between the competence subscale and older
age/partnership. CONCLUSIONS: Both the CKD/pre-KT and CKD-T patients exhibited
notable impairments in the HRQoL which which showed a comparable pattern of
results. KT itself does not appear to be the main risk factor for the development
of mental impairments.
PMID- 26559532
TI - Union Exon Based Approach for RNA-Seq Gene Quantification: To Be or Not to Be?
AB - In recent years, RNA-seq is emerging as a powerful technology in estimation of
gene and/or transcript expression, and RPKM (Reads Per Kilobase per Million
reads) is widely used to represent the relative abundance of mRNAs for a gene. In
general, the methods for gene quantification can be largely divided into two
categories: transcript-based approach and 'union exon'-based approach. Transcript
based approach is intrinsically more difficult because different isoforms of the
gene typically have a high proportion of genomic overlap. On the other hand,
'union exon'-based approach method is much simpler and thus widely used in RNA
seq gene quantification. Biologically, a gene is expressed in one or more
transcript isoforms. Therefore, transcript-based approach is logistically more
meaningful than 'union exon'-based approach. Despite the fact that gene
quantification is a fundamental task in most RNA-seq studies, however, it remains
unclear whether 'union exon'-based approach for RNA-seq gene quantification is a
good practice or not. In this paper, we carried out a side-by-side comparison of
'union exon'-based approach and transcript-based method in RNA-seq gene
quantification. It was found that the gene expression levels are significantly
underestimated by 'union exon'-based approach, and the average of RPKM from
'union exons'-based method is less than 50% of the mean expression obtained from
transcript-based approach. The difference between the two approaches is primarily
affected by the number of transcripts in a gene. We performed differential
analysis at both gene and transcript levels, respectively, and found more
insights, such as isoform switches, are gained from isoform differential
analysis. The accuracy of isoform quantification would improve if the read
coverage pattern and exon-exon spanning reads are taken into account and
incorporated into EM (Expectation Maximization) algorithm. Our investigation
discourages the use of 'union exons'-based approach in gene quantification
despite its simplicity.
PMID- 26559533
TI - The efficacy and safety of systemic injection of Ginkgo biloba extract, EGb761,
in idiopathic sudden sensorineural hearing loss: a randomized placebo-controlled
clinical trial.
AB - Steroids are currently the most frequently accepted agents for idiopathic sudden
sensorineural hearing loss (ISSNHL). However, the therapeutic effect of steroids
is not always satisfactory. In this pilot study, we evaluated whether systemic
treatment with Ginkgo biloba extract (EGb761) has an additive therapeutic effect
in patients receiving a systemic steroid due to ISSNHL. A multicenter,
randomized, double-blind clinical trial was performed. Fifty-six patients with
ISSNHL were allocated to either EGb761 or placebo. In both groups,
methylprednisolone was administered for 14 days. EGb761 was infused intravenously
for 5 days in the EGb761 group, while the same amount of normal saline was
infused in the placebo group. For the efficacy evaluation, pure-tone audiometry,
speech audiometry, tinnitus handicap inventory (THI) and short form-36 health (SF
36) survey outcomes were obtained before administration and on days 3, 5, 14 and
28 of administration. Twenty-four patients in each group completed the study
protocol. There was no difference in hearing loss between the two groups before
treatment. At day 28, air conduction threshold values in the placebo and EGb761
groups were 34.63 +/- 28.90 and 23.84 +/- 25.42 dB, respectively (p = 0.082).
Speech discrimination scores in the placebo and EGb761 groups were 69.17 +/-
40.89 and 87.48 +/- 28.65 %, respectively (p = 0.050). THI and SF-36 scores in
the placebo and EGb761 groups were similar. Although a combination of steroid and
EGb761 for initial treatment did not show better pure tone threshold, compared
with steroid alone, speech discrimination was significantly improved in
combination therapy. Further studies will be needed to know if addition of EGb761
actually improves the outcome of ISSNHL treatment.
PMID- 26559535
TI - Cost of Stem Cell-Based Tissue-Engineered Airway Transplants in the United
Kingdom: Case Series.
AB - Stem cell-based tissue-engineered tracheas are at an early stage in their product
development cycle. Tens of patients have been treated worldwide in predominantly
compassionate use settings, demonstrating significant promise. This potentially
life-saving treatment is complex, and the cost and its implications for such
treatments are yet to be fully understood. The costs are compounded by varying
strategies for graft preparation and transplant, resulting in differing clinical
and laboratory costs from different research groups. In this study, we present a
detailed breakdown of the clinical and manufacturing costs for three of the
United Kingdom (UK) patients treated with such transplants. All three patients
were treated under Compassionate Use legislation, within the UK National Health
Service (NHS) hospital setting. The total costs for the three UK patients treated
ranged from $174,420 to $740,500. All three patients were in a state of poor
health at time of treatment and had a number of complexities in addition to the
restricted airway. This is the first time a cost analysis has been made for a
tissue-engineered organ and provides a benchmark for future studies, as well as
comparative data for use in reimbursement considerations.
PMID- 26559536
TI - Change in N-Glycosylation of Plasma Proteins in Japanese Semisupercentenarians.
AB - An N-glycomic analysis of plasma proteins was performed in Japanese
semisupercentenarians (SSCs) (mean 106.7 years), aged controls (mean 71.6 years),
and young controls (mean 30.2 years) by liquid chromatography/mass spectrometry
(LC/MS) using a graphitized carbon column. Characteristic N-glycans in SSCs were
discriminated using a multivariate analysis; orthogonal projections to latent
structures (O-PLS). The results obtained showed that multi-branched and highly
sialylated N-glycans as well as agalacto- and/or bisecting N-glycans were
increased in SSCs, while biantennary N-glycans were decreased. Since multi
branched and highly sialylated N-glycans have been implicated in anti
inflammatory activities, these changes may play a role in the enhanced chronic
inflammation observed in SSCs. The levels of inflammatory proteins, such as CRP,
adiponectin, IL-6, and TNF-alpha, were elevated in SSCs. These results suggested
that responses to inflammation may play an important role in extreme longevity
and healthy aging in humans. This is the first study to show that the N-glycans
of plasma proteins were associated with extreme longevity and healthy aging in
humans.
PMID- 26559537
TI - Morbidity and mortality revue of the French group of transoral robotic surgery: a
multicentric study.
AB - Transoral robotic assisted surgery (TORS) represents an innovative endoscopic
therapeutic alternative in the treatment of head and neck tumors. Many
publications favor this surgery, especially in terms of functional results. The
aim of this study was to investigate the TORS morbidity and mortality and to
identify the risk factors for complications. It is a multicenter retrospective
study. All head and neck tumor patients treated by TORS were included in the
study over a period of 5 years (2009-2014). The studied parameters were the
intraoperative and post-operative complications including hemorrhage, fistula,
tracheotomy, aspiration pneumonia and death. The parameters were correlated with
age, tumor location, tumor stage, endoscopic exposure and patient's co
morbidities. 178 patients were included in the study. Malignant tumors classified
as T1 were found in 169 cases (n = 51), T2 (n = 100), T3 (n = 16) and T4 (n = 2).
The tumor locations were distributed as follows: larynx (n = 84), oropharynx (n =
51), and hypopharynx (n = 43). Fifty-three patients followed post-radiation
therapy. We observed 12 intraoperative complications including 6 hemorrhage, 3
pharyngeal fistulas and 3 external surgical conversions. Postoperatively, we
detected 33 hemorrhage, 27 aspiration pneumonia, 9 tracheostomy, 2
pharyngostomes, 2 cervical spondylitis and 2 deaths. The risk factors identified
were (i) anticoagulant and/or antiplatelet therapy for hemorrhage, (ii) tumoral
stage and the laryngeal location for aspiration pneumonia and (iii) laryngeal
location for tracheostomy. Higher age over 65 years has been identified as a risk
factor for all post-operative complications. TORS is a safe technique for the
treatment of head and neck tumors. We identified some risk factors for
complications which should systematically be studied in order to reduce its
morbidity.
PMID- 26559538
TI - An experimental study about haptic feedback in robotic surgery: may visual
feedback substitute tactile feedback?
AB - The aim of this study is to demonstrate the hypothesis that the experience of the
surgeon is sufficient to partially compensate for the lack of haptic feedback of
the robotic system da Vinci Si HD (Intuitive ((r)) ). Twenty-five international
surgeons belonging to different areas of surgical specialization were divided
into two groups of investigation: experts and non-experts in the use of da Vinci
Platform. This allocation was made on the basis of the following criteria: the
number of performed procedures, the number of robotic working days and the number
of true console hours. All participants underwent a specific test to assess their
ability to recognize the thickness of custom-made membranes, without the
availability of haptic feedback. After the performance of the surgeons, score was
given according to an appropriate evaluation system (time, preciseness, force of
tension and finding a metallic object). The analysis of the performances of
participants provided the following results: an average score of 8.87 for the
experts compared to 3.57 of non-experts with significant difference (P < 0.05).
Other parameters of interest as the average time to conduct the test showed a
result of 28.8 s for experts and 71.3 s of non-experts. After our results, a
significant difference between the two groups in terms of performance was found.
Our hypothesis that the expertise ability of the experts might partially overcome
the lack of haptic feedback was confirmed. Probably visual feedback may play a
role.
PMID- 26559539
TI - Enhanced recovery programme reduces opiate consumption in hip hemiarthroplasty.
AB - INTRODUCTION: The enhanced recovery programme (ERP) is used to improve patient
experience before, during and after an operation. Initially designed for
colorectal surgery, it has now been adopted by many other disciplines, including
orthopaedics. Where applicable, ERP has shown to be associated with less pain,
reduced length of hospital stay and increased patient satisfaction in elective
orthopaedic procedures. There is, however, a paucity of data regarding the use of
ERP in fractured neck of femur (NOF) operations. Our aim was to investigate the
effect of ERP on analgesic requirements and hospital length of stay during
hemiarthroplasty. METHOD: Consecutive notes of 100 patients who received a
hemiarthroplasty for a fractured NOF were reviewed retrospectively. In one group
(n = 50), patients received routine pre- and post-operative care; the second (n =
50) were on the ERP. All patients were previously mobile with an abbreviated
mental test score of more than eight and lived in their own home. RESULTS: With
ERP, oral opiate consumption fell dramatically in the first three post-operative
days (4.7 vs. 14.0 mg, p > 0.005). The use of patient-controlled analgesia (PCA)
was also significantly reduced (odds ratio 0.16, p > 0.05). Although ERP had no
statistically significant effect on length of stay (7 vs. 8.5 days, p = 0.2), it
saw a greater proportion of patients being discharged back to their own home (25
vs. 19 patients, p < 0.05). CONCLUSION: The ERP reduces post-operative oral
opiates and PCA requirements in fractured NOF cases and by inference reduces
pain. It does not appear to affect length of hospital stay in an acute unit.
PMID- 26559540
TI - Morphometric analysis of the odontoid process: using computed tomography--in the
Greek population.
AB - AIM: A morphometric analysis of the odontoid process of the A2 vertebra, in the
Greek population, was conducted using CT scan. We aimed to determine the
feasibility to use one or two screws when treating fractures of this anatomic
element. PATIENTS AND METHODS: One hundred and fifteen patients (57 men) of a
mean age of 48 years (16-95 years) underwent a cervical spine CT scan
examination. The anterior-posterior and transverse diameters of the odontoid
process were measured from the base, at 1-mm interval upward on axial CT images.
The length from the tip of the odontoid process to the anterior-inferior angle of
the body of the axis was calculated. Data concerning the height and weight of the
examined patients were collected. RESULTS: The mean transverse and anterior
posterior distances were found to be 11.46 and 10.45 mm, respectively, for the
upper end of the odontoid process. At the neck level of the odontoid process, the
equivalent mean values were 11.12 and 8.73 mm, respectively, while at the base,
these distances were found to be 13.84 and 12.3 mm, respectively. The mean
distance from the tip of the odontoid to its base was 17.25 and 17.28 mm,
respectively, while the mean distance from the tip of the dens to the anterior
inferior corner of the axis' body was 39.2 mm. Men showed greater values than
women. CONCLUSIONS: In this study, it was shown that in the Greek population
there is enough room for one 4.5-mm or one 3.5-mm cannulated screw to be used.
The application of two 3.5-mm screws is feasible in 58.6 % of the male and 26.3 %
of the female population. This confirms that the knowledge of the true dimensions
of the odontoid process is of paramount importance before the proper management
of fractured dens using the anterior screw technique.
PMID- 26559541
TI - Prevalence of asymptomatic bacteriuria in knee arthroplasty patients and
subsequent risk of prosthesis infection.
AB - INTRODUCTION: The risk of knee arthroplasty infection and appropriateness of
antibiotic treatment are not clearly established in patients with preoperative
asymptomatic bacteriuria. It has been the purpose to analyze the prevalence of
preoperative asymptomatic bacteriuria in knee arthroplasty patients, as well as
the incidence of prosthetic joint infection in those with asymptomatic
bacteriuria treated and not with specific antibiotics. PATIENTS AND METHODS: This
prospective study included 215 consecutive knee arthroplasty patients (73 +/- 6
years, 168 females) with neither urinary symptoms nor perioperative urethral
catheterization. A "clean-catch" urinalysis was obtained from all patients before
surgery and an urine culture if urinalysis was abnormal. Asymptomatic bacteriuria
was diagnosed if >100,000 colony-forming units/ml were cultured. Patients were
treated (Group A) or not (Group B) with additional specific antibiotics for urine
bacteria according to surgeon criteria. Minimum follow-up reached 48 months. No
patient was lost to follow-up. RESULTS: Asymptomatic bacteriuria was diagnosed in
11/215 patients (5.1 %) (11/11 females), and four of these 11 were treated with
specific antibiotics (Group A). Only one patient in Group A suffered a prosthesis
infection along the first 3 months (1/125, 0.5 %), but bacteria cultured from the
wound were absolutely different to those in urine culture. No patient in Group B
suffered a prosthesis infection. CONCLUSIONS: Asymptomatic bacteriuria presents a
low prevalence. We have not found any case of arthroplasty infection from urinary
focus in patients with asymptomatic bacteriuria whether they received or not
specific antibiotics.
PMID- 26559542
TI - Management of acute unstable distal clavicle fracture with a modified
coracoclavicular stabilization technique using a bidirectional coracoclavicular
loop system.
AB - BACKGROUND: Fracture of the distal clavicle is not uncommon. Despite the vast
literature available for the management of this fracture, there is no consensus
regarding the gold standard treatment for this fracture. PURPOSE: To assess the
clinical and radiographic outcomes and complications of acute unstable distal
clavicle fracture when treated by a modified coracoclavicular stabilization
technique using a bidirectional coracoclavicular loop system. METHODS: Thirty
nine patients (32 males, 7 females) with acute unstable distal clavicle fractures
treated by modified coracoclavicular stabilization using the surgical technique
of bidirectional coracoclavicular (CC) loops seated behind the coracoacromial
(CA) ligament were retrospectively reviewed. Mean follow-up time was 35.7 months
(range 24-47 months). The outcomes measured included union rate, union time, CC
distances when compared to the patients' uninjured shoulders, and the Constant
and ASES shoulder scores, which were evaluated 6 months after surgery. RESULTS:
All fractures displayed clinical union within 13 weeks postoperatively. The mean
union time was 9.2 weeks (range 7-13 weeks). At the time of union, the CC
distances on the affected shoulders were on average 0.9 mm (range 0-1.6 mm)
longer than the unaffected shoulders. At 6 months after surgery, the Constant and
ASES scores were on average 93.4 (72-100) and 91.5 (75-100), respectively. No
complications related to the fixation loops, musculocutaneous nerve injuries, or
fractures of coracoid or clavicle were recorded. One case of surgical wound
dehiscence was observed due to superficial infection. Enlargement of the clavicle
drill hole without migration of the buttons was observed in 9 out of 16 cases at
a follow-up time of at least 30 months after the original operation. CONCLUSIONS:
Modified CC stabilization using bidirectional CC loops seated behind the CA
ligament is a simple surgical technique that naturally restores stability to the
distal clavicle fracture. It also produces predictable outcomes, a high union
rate, good to excellent shoulder function, and a low complication rate. The
buttons and suture loops were routinely removed in a second operation in order to
prevent late stress fracture of the clavicle.
PMID- 26559543
TI - Propentofylline inhibits glioblastoma cell invasion and survival by targeting the
TROY signaling pathway.
AB - Glioblastoma (GBM) is the most common primary tumor of the CNS and carries a
dismal prognosis. The aggressive invasion of GBM cells into the surrounding
normal brain makes complete resection impossible, significantly increases
resistance to the standard therapy regimen, and virtually assures tumor
recurrence. Median survival for newly diagnosed GBM is 14.6 months and declines
to 8 months for patients with recurrent GBM. New therapeutic strategies that
target the molecular drivers of invasion are required for improved clinical
outcome. We have demonstrated that TROY (TNFRSF19), a member of the TNFR super
family, plays an important role in GBM invasion and resistance. Knockdown of TROY
expression inhibits GBM cell invasion, increases sensitivity to temozolomide, and
prolongs survival in an intracranial xenograft model. Propentofylline (PPF), an
atypical synthetic methylxanthine compound, has been extensively studied in Phase
II and Phase III clinical trials for Alzheimer's disease and vascular dementia
where it has demonstrated blood-brain permeability and minimal adverse side
effects. Here we showed that PPF decreased GBM cell expression of TROY, inhibited
glioma cell invasion, and sensitized GBM cells to TMZ. Mechanistically, PPF
decreased glioma cell invasion by modulating TROY expression and downstream
signaling, including AKT, NF-kappaB, and Rac1 activation. Thus, PPF may provide a
pharmacologic approach to target TROY, inhibit cell invasion, and reduce
therapeutic resistance in GBM.
PMID- 26559545
TI - Immortal time bias. Response to: Achinger, Go and Ayus.
PMID- 26559544
TI - Cohort Profile: The Kiang West Longitudinal Population Study (KWLPS)-a platform
for integrated research and health care provision in rural Gambia.
PMID- 26559546
TI - Pregnancy history and risk of premenopausal breast cancer-a nested case-control
study.
AB - BACKGROUND: Reproductive factors are well-known risk factors for premenopausal
breast cancer (PBC). It is unknown whether these associations are modified by
familial factors, including genetic and early environment factors. METHODS: Using
Swedish health registries, we performed a nested case-control study with two
control groups: sister controls and population controls. The study population
included women with live singleton births between 1973 and 2010, who also had a
full sister who gave birth during this period. All women subsequently diagnosed
with PBC were selected as cases (n = 8327). Sisters with the least age difference
and without PBC at the time of her sister's diagnosis were selected as sister
controls. For each incident case, one population control without previous PBC was
selected.The population controls were individually matched with the sister
controls on year of birth. Conditional logistic regression was used to estimate
associations between reproductive factors and PBC. RESULTS: Increasing parity was
inversely associated with PBC using population controls, and multiparity was a
risk factor using sister controls. Very preterm delivery (<= 31 weeks) was
associated with a slightly higher PBC risk using sister controls. Preeclampsia
was associated with a slightly protective effect using population controls. With
respect to other factors, there were no substantial differences in risks of PBC
by choice of control group. CONCLUSIONS: The divergent results with regard to
parity and PBC risk when using sister and population controls suggest that the
influence of childbearing may be modified by genotype. Selection bias when using
different control groups must also be considered.
PMID- 26559547
TI - Psychiatric epidemiology: dimensions and categories.
PMID- 26559548
TI - How to approach the great mimic? Improving techniques for the diagnosis of
myocarditis.
AB - Myocarditis is characterized by inflammation of the myocardium, assessed by
histological, immunological and immunohistochemical criteria, due to exogenous or
endogenous causes. Abnormal QRS, increased troponin T and left ventricular
regional or global dysfunction may be detected. Strain Doppler echocardiography
can detect longitudinal segmental dysfunction of the myocardium, due to edema,
which is in agreement with cardiac magnetic resonance imaging. Nuclear imaging
shows a good sensitivity, but carries serious limitations. Somatostatin receptor
positron emission tomography/computed tomography seems promising. Cardiac
magnetic resonance imaging, using T2-weighted, early T1-weighted, delayed
enhanced images and recently T2 and T1 mapping, has the best diagnostic
capability. Endomyocardial biopsy has further contributed to the etiologic
diagnosis of myocarditis. To conclude, cardiac magnetic resonance and
endomyocardial biopsy have both significantly increased our diagnostic
performance. However, further assessment by multicenter studies is needed to
establish a clinically useful algorithm.
PMID- 26559549
TI - Tobramycin inhalation powder (TOBI Podhaler) for the treatment of lung infection
in patients with cystic fibrosis.
AB - Cystic fibrosis (CF) is an autosomal recessive inherited disease secondary to a
defect in the CF transmembrane conductance regulator gene (CFTR). Mortality in CF
is associated with impairment of lung function in which bacterial infection plays
a fundamental role. The microorganism Pseudomonas aeruginosa (P. aeruginosa) is a
marker of poor prognosis. Tobramycin was the first parenteral antibiotic to be
used as inhaled medication in CF. Owing to its beneficial effects; it was
subsequently used in designed inhaled formulations. The first formulation was the
inhalation solution, which improved lung function, lowered hospitalization rates,
and reduced the courses of intravenous antibiotic. However, the high associated
costs and time necessary to administer the medication negatively affected quality
of life. The recent development of tobramycin inhalation powder has optimized
treatment. The dry powder inhaler is a simple device that reduces administration
time and improves adherence. As there is no risk of bacterial contamination,
disinfection is unnecessary.
PMID- 26559550
TI - Quantum Dots and their Potential Role in Cancer Theranostics.
AB - The emergence of cancer nanomedicine is the result of fruitful advances in the
fields of nanotechnology, bioimaging, formulation development, and molecular
biology. Quantum dots (QDs) are the luminescent nanocrystals (NCs) that provide a
multifunctional platform for imaging the biosystems following controlled delivery
of therapeutic drugs, proteins, peptides, oligonucleotides, and genes. These
engineered fluorescent probes with integrated imaging and carrier functionalities
have become excellent tools for molecular diagnostics and delivery of
therapeutics molecules. Flexible surface chemistry, unique optical properties,
high sensitivity, and multiplexing capabilities of QDs certainly make them a most
promising tool for personalized medicine. This review focuses on state-of-art
advances in synthesizing QDs and highlights the approaches used for
functionalization of QDs with desired ligands for targeted carriage to specific
sites. Discussed is the role of QDs in antitumor therapy through drug delivery
and gene delivery and the recently emerged photodynamic therapy (PDT). We also
endeavor to critically address the major impediments in the clinical development
of these multifunctional nanoplatforms, with a special focus on plausible
advancements for the near future.
PMID- 26559551
TI - Nanostructured Delivery Systems: Augmenting the Delivery of Antiretroviral Drugs
for Better Management of HIV/AIDS.
AB - In the last two decades, HIV-1, the retrovirus associated with acquired
immunodeficiency syndrome (AIDS), is globally one of the primary causes of
morbidity and mortality. Unfortunately, existing approaches for interventions are
not able to suppress the progression of infection due to this virus. Of the many
obstacles, viral entry into the mono-nuclear phagocyte system encompassing
monocytes/macrophages and dendritic cells is a major concern. Viral infection is
also responsible for the subsequent distribution of the virus into various
tissues throughout the organism. Tremendous progress has been made during the
past few years to diagnose and treat patients with HIV/AIDS infection, yet much
remains to be done. Recommended treatment involves long-term and multiple drug
therapy that causes severe side effects. With almost 12% of the world population
suffering from HIV/AIDS, better management of this global threat is highly
desired. Nanostructured delivery systems hold promise for improving the
situation. Such systems can facilitate the uptake of antiretroviral drugs,
causing a considerable improvement in HIV/AIDS therapy. Nanoscale systems have
intriguing potential to drastically improve existing HIV/AIDS diagnosis and
treatment platforms. Nanosystems constitute a wide range of systems varying from
polymeric nanoparticles, to solid-lipid nanoparticles, liposomes, micro- and
nanoemulsions, dendrimers, and self-nanoemulsifying systems. Improved
bioavailability, solubility, stability, and biocompatibility make them an ideal
choice for delivery of antiretroviral drugs. The present review initially
describes an updated bird's-eye view account of the literature. Then, we provide
a relatively sententious overview on updated patents of recent nanostructured
delivery systems for antiretroviral drugs. Finally, we discuss low-cost therapy
(such as antioxidants and immune modulators) for the treatment and prevention of
HIV/AIDS.
PMID- 26559552
TI - Emerging Potential of Nanosuspension-Enabled Drug Delivery: An Overview.
AB - Poor aqueous solubility is one of the key concerns of the majority of new drug
molecules. One of the important problems associated with such drugs is that they
often lead to low bioavailability. Researchers have used various techniques, but
little success has been achieved due to poor stability and industrial viability,
including technique cost. Of the numerous techniques, nanosuspensions (NSs) have
drawn interest in improving solubility. NSs are dispersions of nanosized drug
particles stabilized with the aid of appropriate agents. Stabilizers for NSs are
generally recognized as safe (GRAS) excipients that can be chosen from a number
of surfactants and/or polymers to food proteins. The commonly used techniques for
preparation of NSs including top-down and bottom-up methods, along with new
fabrication techniques based on supercritical (SC) fluids, are reviewed. This
review also includes preparatory techniques, characterization, potential
applications, and recent advancements in the field of NSs.
PMID- 26559553
TI - Microbial quality and molecular identification of cultivable microorganisms
isolated from an urban drinking water distribution system (Limassol, Cyprus).
AB - Microorganisms can survive and multiply in aged urban drinking water distribution
systems, leading to potential health risks. The objective of this work was to
investigate the microbial quality of tap water and molecularly identify its
predominant cultivable microorganisms. Tap water samples collected from 24
different households scattered in the urban area of Limassol, Cyprus, were
microbiologically tested following standard protocols for coliforms, E. coli,
Pseudomonas spp., Enterococcus spp., and total viable count at 22 and 37 degrees
C. Molecular identification was performed on isolated predominant single colonies
using 16SrRNA sequencing. Approximately 85% of the household water samples were
contaminated with one or more microorganisms belonging to the genera of
Pseudomonas, Corynebacterium, Agrobacterium, Staphylococcus, Bacillus, Delftia,
Acinetobacter, Enterococcus, Enterobacter, and Aeromonas. However, all samples
tested were free from E. coli. This is the first report in Cyprus molecularly
confirming specific genera of relevant microbial communities in tap water.
PMID- 26559554
TI - Combining biological and geomorphological data to introduce biotopes of Bushehr
Province, the Persian Gulf.
AB - Identification and classification of intertidal areas provides the basic
knowledge needed for studies of biogeography, macro-ecology, and faunal
populations, as well as for conservation planning and managing human activities
in coastal areas. In this research, the eastern coast of Bushehr Province was
classified using the Coastal and Marine Ecological Classification Standard
(CMECS). Seven substrate subgroups, five geoform types in unconsolidated mineral
substrate, five micro-habitats in rock substrate, and nine biotic groups were
identified in study stations. The non-metric multidimensional scaling (nMDS)
ordinations and one-way analysis of similarity (ANOSIM) showed that
macroinvertebrate species composition differed significantly among different
geoform types (habitat types). Eight biotopes are introduced for the eastern
coast of Bushehr Province based on observational and statistical methods. The
results presented here show that identifying intertidal biotopes using CMECS is
an appropriate method both for classifying the southern coastal areas of Iran and
for integrating biotic and abiotic components.
PMID- 26559555
TI - Comparative study of heavy metals concentration in topsoil of urban green space
and agricultural land uses.
AB - The main objective of this study was to determine the concentration of cadmium,
chromium, copper, nickel, lead, and zinc in surface soils of two land uses
including agricultural and urban green space in Semnan Province, Iran. For this
purpose, the soil samples of 27 urban green space and 47 agricultural fields were
collected and analyzed. The correlation coefficients, analysis of variance,
principal component analysis, cluster analysis, and geoaccumulation index were
utilized to compare the mean values in the two land uses and pinpoint the
possible sources of contamination in the study area. The average contents of Cd,
Cu, Cr, Ni, Pb, and Zn in green space soils were 0.1, 24.9, 78.7, 28.2, 22.1, and
82.1 mg/kg, respectively, while the mean concentrations of Cd, Cu, Cr, Ni, Pb,
and Zn in agricultural soils were 0.3, 24.3, 83.7, 33.3, 18.1, and 80.4 mg/kg,
respectively. The mean concentrations of lead, copper, and zinc were higher in
urban green space in comparison with those of agricultural fields, while it was
vice versa for chromium, cadmium, and nickel. In general, significant, but weak,
correlations were observed between Zn with Pb (r = 0.53) and Cu (r = 0.61) and Ni
with Cr (r = 0.55) and Cu(r = 0.51). The main sources of contamination turned out
to be both natural and anthropogenic as the results of correlation coefficients,
principal component analysis, and cluster analysis showed. That is to say,
chromium and nickel had emanated from natural while the sources of cadmium, lead,
and zinc could be attributed to anthropogenic activities. For the case of copper,
both natural and anthropogenic activities were influential; however, the role of
human activities was more effective. The results of contamination assessment
showed that heavy metal contamination in agricultural land use was higher than
green space indicating the role of human activities in this respect.
PMID- 26559556
TI - An optical method to assess water clarity in coastal waters.
AB - Accurate estimation of water clarity in coastal regions is highly desired by
various activities such as search and recovery operations, dredging and water
quality monitoring. This study intends to develop a practical method for
estimating water clarity based on a larger in situ dataset, which includes Secchi
depth (Z sd ), turbidity, chlorophyll and optical properties from several field
campaigns in turbid coastal waters. The Secchi depth parameter is found to
closely vary with the concentration of suspended sediments, vertical diffuse
attenuation coefficient K d (m(-1)) and beam attenuation coefficient c (m(-1)).
The optical relationships obtained for the selected wavelengths (i.e. 520, 530
and 540 nm) exhibit an inverse relationship between Secchi depth and the length
attenuation coefficient (1/(c + K d )). The variation in Secchi depth is
expressed in terms of undetermined coupling coefficient which is composed of
light penetration factor (expressed by z(1%)K d (lambda)) and a correction factor
(xi) (essentially governed by turbidity of the water column). This method of
estimating water clarity was validated using independent in situ data from turbid
coastal waters, and its results were compared with those obtained from the
existing methods. The statistical analysis of the measured and the estimated Z sd
showed that the present method yields lower error when compared to the existing
methods. The spatial structures of the measured and predicted Z sd are also
highly consistent with in situ data, which indicates the potential of the present
method for estimating the water clarity in turbid coastal and associated lagoon
waters.
PMID- 26559557
TI - Analysis of DDT and its metabolites in soil and water samples obtained in the
vicinity of a closed-down factory in Bangladesh using various extraction methods.
AB - This study was conducted to monitor the spread of dichlorodiphenyltrichloroethane
(DDT) and its metabolites (dichlorodiphenyldichloroethylene (DDE),
dichlorodiphenyldichloroethane (DDD)) in soil and water to regions surrounding a
closed DDT factory in Bangladesh. This fulfillment was accomplished using inter
method and inter-laboratory validation studies. DDTs (DDT and its metabolites)
from soil samples were extracted using microwave-assisted extraction (MAE),
supercritical fluid extraction (SFE), and solvent extraction (SE). Inter
laboratory calibration was assessed by SE, and all methods were validated by
intra- and inter-day accuracy (expressed as recovery %) and precision (expressed
as relative standard deviation (RSD)) in the same laboratory, at three fortified
concentrations (n = 4). DDTs extracted from water samples by liquid-liquid
partitioning and all samples were analyzed by gas chromatography (GC)-electron
capture detector (ECD) and confirmed by GC/mass spectrometry (GC/MS). Linearities
expressed as determination coefficients (R (2)) were >=0.995 for matrix-matched
calibrations. The recovery rate was in the range of 72-120 and 83-110%, with <15%
RSD in soil and water, respectively. The limit of quantification (LOQ) was 0.0165
mg kg(-1) in soil and 0.132 MUg L(-1) in water. Greater quantities of DDTs were
extracted from soil using the MAE and SE techniques than with the SFE method.
Higher amounts of DDTs were discovered in the southern (2.2-936 * 10(2) mg kg(
1)) or southwestern (86.3-2067 * 10(2) mg kg(-1)) direction from the factory than
in the eastern direction (1.0-48.6 * 10(2) mg kg(-1)). An exception was the soil
sample collected 50 ft (15.24 m) east (2904 * 10(2) mg kg(-1)) of the factory.
The spread of DDTs in the water bodies (0.59-3.01 MUg L(-1)) was approximately
equal in all directions. We concluded that DDTs might have been dumped randomly
around the warehouse after the closing of the factory.
PMID- 26559558
TI - Limited ability of circulating anti-Mullerian hormone to predict dominant
follicular recruitment in PCOS women treated with clomiphene citrate: a
comparison of two different assays.
AB - The present retrospective cohort study was conducted to investigate whether serum
anti-Mullerian hormone (AMH) levels, determined by either the Immunotech (IOT) or
the second generation (Gen II) assay, can predict follicular recruitment in women
with polycystic ovary syndrome (PCOS) undergoing ovulation induction with
clomiphene citrate (CC). Patients received 50 mg CC daily for ovulation induction
followed by natural intercourse or intrauterine insemination. Overall, 84 women
had their serum AMH levels tested before treatment [42 patients with Immunotech
(IOT), and 42 patients with the Gen II assay]. The primary outcome was to
determine dominant follicle (>10 mm) recruitment in relation to AMH levels.
Thirty-three (79%) patients in the IOT and 34 (81%) patients in the Gen II assay
group developed a dominant follicle within 15 days after initiation of CC.
Circulating AMH levels did not differ between women with or without dominant
follicular recruitment in the both groups. By using either the AMH IOT or the Gen
II assay, serum AMH levels were not predictive of the development of a dominant
follicle. In conclusion, serum AMH levels measured by IOT or Gen II assay, has
limited value to predict PCOS patients who will develop a dominant follicle
following ovulation induction with CC.
PMID- 26559559
TI - Dabigatran in 'real-world' clinical practice for stroke prevention in patients
with non-valvular atrial fibrillation.
PMID- 26559560
TI - Myoclonic status and central fever in Angelman syndrome due to paternal
uniparental disomy.
AB - Myoclonic status in nonprogressive encephalopathy (MSNE) is an early-onset, drug
resistant epileptic syndrome characterized by occurrence of continuous diffuse
epileptiform abnormalities, associated with positive and/or negative phenomena
and accompanied by transient and recurring motor, cognitive, and behavioral
impairment. MSNE has been reported in Angelman syndrome (AS) secondary to 15q11
13 deletions or UBE3A mutations but not to paternal uniparental disomy (UPD). We
describe the case of a male patient with AS caused by UPD who developed a
myoclonic status (MS) associated with long-lasting fever of central origin, both
promptly regressed with introduction of levetiracetam. Only three descriptions of
thermal dysregulation in AS exist, and none of the previously reported cases were
associated with MS or with UPD. Association of MS and central fever expands the
spectrum of epileptic and non-epileptic features in UPD-related AS and provides a
further evidence of hypothalamus involvement in the pathogenesis of this
neurodevelopmental disorder.
PMID- 26559561
TI - Immunosuppressive drug therapy for preventing rejection following lung
transplantation in cystic fibrosis.
AB - BACKGROUND: For people with cystic fibrosis and advanced pulmonary damage, lung
transplantation is an available and viable option. However, graft rejection is an
important potential consequence after lung transplantation. Immunosuppressive
therapy is needed to prevent episodes of graft rejection and thus subsequently
reduce morbidity and mortality in this population. There are a number of classes
of immunosuppressive drugs which act on different components of the immune
system. There is considerable variability in the use of immunosuppressive agents
after lung transplantation in cystic fibrosis. While much of the research in
immunosuppressive drug therapy has focused on the general population of lung
transplant recipients, little is known about the comparative effectiveness and
safety of these agents in people with cystic fibrosis. This is an update of a
previously published review. OBJECTIVES: To assess the effects of individual
drugs or combinations of drugs compared to placebo or other individual drugs or
combinations of drugs in preventing rejection following lung transplantation in
people with cystic fibrosis. SEARCH METHODS: We searched the Cochrane Cystic
Fibrosis and Genetic Disorders Group Trials Register and scanned references of
the potentially eligible study. We also searched the www.clinicaltrials.gov
registry to obtain information on unpublished and ongoing studies.Date of latest
search: 19 May 2015. SELECTION CRITERIA: Randomised and quasi-randomised studies.
DATA COLLECTION AND ANALYSIS: We independently assessed the studies identified
from our searches for inclusion in the review. Should eligible studies be
identified and included in future updates of the review, we will independently
extract data and assess the risk of bias. MAIN RESULTS: While two studies met our
inclusion criteria, we did not include them in the review because the
investigators of the studies did not report any information specific to people
with cystic fibrosis. Our attempts to obtain this information have not yet been
successful. We will include any provided data in future updates of the review.
AUTHORS' CONCLUSIONS: The lack of currently available evidence makes it
impossible to draw conclusions about the comparative efficacy and safety of the
various immunosuppressive drugs among people with cystic fibrosis after lung
transplantation. A recent Cochrane review comparing tacrolimus with cyclosporine
in all lung transplant recipients (not restricted to those with cystic fibrosis)
reported no significant difference in mortality and risk of acute rejection.
However, tacrolimus use was associated with lower risk of broncholitis obliterans
syndrome and arterial hypertension and higher risk of diabetes mellitus. It
should be noted that this wider review contained only a small number of included
studies (n = 3) with a high risk of bias. Additional randomised studies are
required to provide evidence for the benefit and safety of the use of
immunosuppressive therapy among people with cystic fibrosis after lung
transplantation.
PMID- 26559562
TI - Lower thoracic rib stress fractures in baseball pitchers.
AB - Stress fractures of the first rib on the dominant throwing side are well
described in baseball pitchers; however, lower thoracic rib fractures are not
commonly recognized. While common in other sports such as rowing, there is scant
literature on these injuries in baseball. Intercostal muscle strains are commonly
diagnosed in baseball pitchers and have a nearly identical presentation but also
a highly variable healing time. The diagnosis of a rib stress fracture can
predict a more protracted recovery. This case series presents two collegiate
baseball pitchers on one team during the same season who were originally
diagnosed with intercostal muscle strains, which following magnetic resonance
imaging (MRI) were found to have actually sustained lower thoracic rib stress
fractures. The first sustained a stress fracture of the posterior aspect of the
right 8th rib on the dominant arm side, while the second presented with a left
sided 10th rib stress fracture on the nondominant arm side. In both cases, MRI
was used to visualize the fractures as plain radiographs are insensitive and
commonly negative early in patient presentation. Patients were treated with
activity modification, and symptomatic management for 4-6 weeks with a graduated
return to throwing and competition by 8-10 weeks. The repetitive high stresses
incurred by pitching may cause either dominant or nondominant rib stress
fractures and this should be included in the differential diagnosis of thoracic
injuries in throwers. It is especially important that athletic trainers and team
physicians consider this diagnosis, as rib fractures may have a protracted course
and delayed return to play. Additionally, using the appropriate imaging
techniques to establish an accurate diagnosis can help inform return-to-play
decisions, which have important practical applications in baseball, such as
roster management and eligibility.
PMID- 26559563
TI - Influence of miRNA-106b and miRNA-135a on butyrate-regulated expression of p21
and Cyclin D2 in human colon adenoma cells.
AB - Epigenetic and posttranslational modifications of the expression of cell cycle
relevant genes or proteins like p21, e.g., by miRNAs are crucial mechanisms in
the development or prevention of colon cancer. The present study investigated the
influence of butyrate and trichostatin A (TSA) as histone deacetylase inhibitors
on the expression of colon cancer-relevant miRNA (miR-135a, miR-135b, miR-24, miR
106b, miR-let-7a) in LT97 colon adenoma cells as a model of an early stage of
colon carcinogenesis. The impact of distinct miRNAs (miR-106b, miR-135a) on
butyrate-mediated regulation of p21 and Cyclin D2 gene and protein expression as
well as the effect on LT97 cell proliferation (non-transfected, miR-106b and miR
135a mimic transfected) was analyzed. Butyrate and partial TSA reduced the
expression of miR-135a, miR-135b, miR-24 and miR-let-7a (~0.5-fold, 24 h) and miR
24, miR-106b and miR-let-7a (~0.5-0.7-fold, 48 h) in LT97 cells. Levels of p21
mRNA and protein were significantly increased by butyrate and TSA (~threefold and
4.5-fold, respectively, 24 h) in non-transfected but not in miR-106b transfected
LT97 cells. Levels of Cyclin D2 mRNA were significantly reduced by butyrate and
TSA (~0.3-fold, 24 h) in non-transfected and miR-135a-transfected LT97 cells,
whereas protein levels were predominantly not influenced. MiR-106b and miR-135a
significantly reduced butyrate-/TSA-mediated inhibition of LT97 cell
proliferation (72 h). These results indicate that butyrate is able to modify
colon cancer-relevant miRNAs like miR-106b and miR-135a which are involved in the
regulation of cell cycle-relevant genes like p21 and might influence inhibition
of adenoma cell proliferation.
PMID- 26559565
TI - The role of copper in the thermal conductivity of thermoelectric
oxychalcogenides: do lone pairs matter?
AB - Understanding the underlying mechanisms that suppress thermal conduction in
solids is of paramount importance for the targeted design of materials for
thermal management and thermoelectric energy conversion applications. Bismuth
copper oxychalcogenides, BiOCuQ (Q = Se, Te), are highly crystalline
thermoelectric materials with an unusually low lattice thermal conductivity of
~0.5 Wm(-1) K(-1), a value normally found in amorphous materials. Here we unveil
the origin of the unusual thermal transport properties of these phases. First
principles calculations of the vibrational properties combined with analysis of
in-situ neutron diffraction data, demonstrate that weak bonding of copper atoms
within the structure leads to an unexpected vibrational mode at low frequencies,
which is likely to be a major contributor to the low thermal conductivity of
these materials. In addition, we show that anharmonicity and the large Gruneisen
parameter in these oxychalcogenides are mainly related to the low frequency
copper vibrations, rather than to the Bi(3+) lone pairs.
PMID- 26559564
TI - From institutionalization of user fees to their abolition in West Africa: a story
of pilot projects and public policies.
AB - This article analyzes the historical background of the institutionalization of
user fees and their subsequent abolition in West Africa. Based on a narrative
review, we present the context that frames the different articles in this
supplement. We first show that a general consensus has emerged internationally
against user fees, which were imposed widely in Africa in the 1980s and 1990s; at
that time, the institutionalization of user fees was supported by evidence from
pilot projects funded by international aid agencies. Since then there have been
other pilot projects studying the abolition of user fees in the 2000s, but these
have not yet had any real influence on public policies, which are often still
chaotic. This perplexing situation might be explained more by ideologies and
political will than by insufficient financial capacity of states.
PMID- 26559566
TI - Binding site feature description of 2-substituted benzothiazoles as potential
AcrAB-TolC efflux pump inhibitors in E. coli.
AB - The resistance-nodulation-division (RND) family efflux pumps are important in the
antibiotic resistance of Gram-negative bacteria. However, although a number of
bacterial RND efflux pump inhibitors have been developed, there has been no
clinically available RND efflux pump inhibitor to date. A set of BSN-coded 2
substituted benzothiazoles were tested alone and in combinations with
ciprofloxacin (CIP) against the AcrAB-TolC overexpressor Escherichia coli AG102
clinical strain. The results indicated that the BSN compounds did not show
intrinsic antimicrobial activity when tested alone. However, when used in
combinations with CIP, a reversal in the antibacterial activity of CIP with up to
10-fold better MIC values was observed. In order to describe the binding site
features of these BSN compounds with AcrB, docking studies were performed using
the CDocker method. The performed docking poses and the calculated binding energy
scores revealed that the tested compounds BSN-006, BSN-023, and BSN-004 showed
significant binding interactions with the phenylalanine-rich region in the distal
binding site of the AcrB binding monomer. Moreover, the tested compounds BSN-006
and BSN-023 possessed stronger binding energies than CIP, verifying that BSN
compounds are acting as the putative substrates of AcrB.
PMID- 26559567
TI - The most effective and promising population health strategies to advance human
papillomavirus vaccination.
AB - The US is failing to make substantive progress toward improving rates of human
papillomavirus vaccine uptake. While the Healthy People 2020 goal for human
papillomavirus (HPV) vaccination is 80%, the three-dose completion rate in the US
in 2014 for 13- to 17-year-old females is less than 40%, and the rate for males
is just above 20%. Experts point to a number of reasons for the poor HPV
vaccination rates including parental concerns about safety, necessity, and
timing. However, the evidence refuting these concerns is substantial. Efforts
focusing on education and communication have not shown promise, but several
population health strategies have reminder/recall systems; practice-focused
strategies targeting staff, clinicians, and parents; assessment and feedback
activities; and school-based HPV vaccination programs.
PMID- 26559568
TI - Reviewing Peer Review at the NIH.
AB - Recent reports suggest that peer reviews of National Institutes of Health grant
applications are at best imprecise predictors of research projects' scientific
impact. But these findings may not mean that peer review is failing.
PMID- 26559569
TI - The Path to Cancer --Three Strikes and You're Out.
AB - Focusing on driver-gene mutations and the pathways they control has rendered
complex cancer-genome landscapes intelligible. In solid tumors of adults,
alterations in as few as three driver genes appear to suffice for a cell to
evolve into an advanced cancer.
PMID- 26559570
TI - Maryland's Global Hospital Budgets--Preliminary Results from an All-Payer Model.
AB - In the first year of Maryland's experiment in setting all-payer rates for
hospital services, costs were contained and the quality of care improved, though
the state still has high rates of hospital admissions and per capita spending for
Medicare patients.
PMID- 26559573
TI - Elder Abuse.
AB - Because older victims of abuse tend to be isolated, their interactions with
physicians are important opportunities to recognize abuse and intervene. This
review explores the manifestations of elder abuse and the role of
multidisciplinary teams in its assessment and management.
PMID- 26559571
TI - The Genetic Evolution of Melanoma from Precursor Lesions.
AB - BACKGROUND: The pathogenic mutations in melanoma have been largely catalogued;
however, the order of their occurrence is not known. METHODS: We sequenced 293
cancer-relevant genes in 150 areas of 37 primary melanomas and their adjacent
precursor lesions. The histopathological spectrum of these areas included
unequivocally benign lesions, intermediate lesions, and intraepidermal or
invasive melanomas. RESULTS: Precursor lesions were initiated by mutations of
genes that are known to activate the mitogen-activated protein kinase pathway.
Unequivocally benign lesions harbored BRAF V600E mutations exclusively, whereas
those categorized as intermediate were enriched for NRAS mutations and additional
driver mutations. A total of 77% of areas of intermediate lesions and melanomas
in situ harbored TERT promoter mutations, a finding that indicates that these
mutations are selected at an unexpectedly early stage of the neoplastic
progression. Biallelic inactivation of CDKN2A emerged exclusively in invasive
melanomas. PTEN and TP53 mutations were found only in advanced primary melanomas.
The point-mutation burden increased from benign through intermediate lesions to
melanoma, with a strong signature of the effects of ultraviolet radiation
detectable at all evolutionary stages. Copy-number alterations became prevalent
only in invasive melanomas. Tumor heterogeneity became apparent in the form of
genetically distinct subpopulations as melanomas progressed. CONCLUSIONS: Our
study defined the succession of genetic alterations during melanoma progression,
showing distinct evolutionary trajectories for different melanoma subtypes. It
identified an intermediate category of melanocytic neoplasia, characterized by
the presence of more than one pathogenic genetic alteration and distinctive
histopathological features. Finally, our study implicated ultraviolet radiation
as a major factor in both the initiation and progression of melanoma. (Funded by
the National Institutes of Health and others.).
PMID- 26559574
TI - Images in Clinical Medicine. Left Main Coronary Artery Stent Migration.
AB - A 22-year-old woman with primary pulmonary hypertension presented with
displacement of stents that had been implanted in the left main coronary artery
and had migrated into the aorta. She had been referred to our center for
evaluation for lung transplantation.
PMID- 26559572
TI - Effect of PCI on Long-Term Survival in Patients with Stable Ischemic Heart
Disease.
AB - BACKGROUND: Percutaneous coronary intervention (PCI) relieves angina in patients
with stable ischemic heart disease, but clinical trials have not shown that it
improves survival. Between June 1999 and January 2004, we randomly assigned 2287
patients with stable ischemic heart disease to an initial management strategy of
optimal medical therapy alone (medical-therapy group) or optimal medical therapy
plus PCI (PCI group) and did not find a significant difference in the rate of
survival during a median follow-up of 4.6 years. We now report the rate of
survival among the patients who were followed for up to 15 years. METHODS: We
obtained permission from the patients at the Department of Veterans Affairs (VA)
sites and some non-VA sites in the United States to use their Social Security
numbers to track their survival after the original trial period ended. We
searched the VA national Corporate Data Warehouse and the National Death Index
for survival information and the dates of death from any cause. We calculated
survival according to the Kaplan-Meier method and used a Cox proportional-hazards
model to adjust for significant between-group differences in baseline
characteristics. RESULTS: Extended survival information was available for 1211
patients (53% of the original population). The median duration of follow-up for
all patients was 6.2 years (range, 0 to 15); the median duration of follow-up for
patients at the sites that permitted survival tracking was 11.9 years (range, 0
to 15). A total of 561 deaths (180 during the follow-up period in the original
trial and 381 during the extended follow-up period) occurred: 284 deaths (25%) in
the PCI group and 277 (24%) in the medical-therapy group (adjusted hazard ratio,
1.03; 95% confidence interval, 0.83 to 1.21; P=0.76). CONCLUSIONS: During an
extended-follow-up of up to 15 years, we did not find a difference in survival
between an initial strategy of PCI plus medical therapy and medical therapy alone
in patients with stable ischemic heart disease. (Funded by the VA Cooperative
Studies Program and others; COURAGE ClinicalTrials.gov number, NCT00007657.).
PMID- 26559575
TI - Case Records of the Massachusetts General Hospital. Case 35-2015: A 72-Year-Old
Woman with Proteinuria and a Kidney Mass.
AB - A 72-year-old woman presented with flank pain, proteinuria, and a new kidney
mass. Magnetic resonance imaging of the kidney revealed a complex, solid mass (3
cm x 2.9 cm x 2.9 cm) in the lower pole of the right kidney. Diagnostic tests
were performed.
PMID- 26559576
TI - Targeting Stem Cells in Chronic Myeloid Leukemia with a PPAR-gamma Agonist.
AB - Combining a tyrosine kinase inhibitor (imatinib) and a thiazolidinedione
(pioglitazone) is proposed for the treatment of chronic myeloid leukemia.
PMID- 26559577
TI - Troponin in Stable Ischemic Heart Disease and Diabetes.
PMID- 26559578
TI - Troponin in Stable Ischemic Heart Disease and Diabetes.
PMID- 26559579
TI - Troponin in Stable Ischemic Heart Disease and Diabetes.
PMID- 26559580
TI - Troponin in Stable Ischemic Heart Disease and Diabetes.
PMID- 26559581
TI - Troponin in Stable Ischemic Heart Disease and Diabetes.
PMID- 26559582
TI - PD-1 Blockade in Tumors with Mismatch-Repair Deficiency.
PMID- 26559583
TI - PD-1 Blockade in Tumors with Mismatch-Repair Deficiency.
PMID- 26559584
TI - Tenofovir Gel to Prevent HSV-2 Infection.
PMID- 26559585
TI - Tenofovir Gel to Prevent HSV-2 Infection.
PMID- 26559586
TI - Tenofovir Gel to Prevent HSV-2 Infection.
PMID- 26559587
TI - Persistent Ebola Virus in the Eye.
PMID- 26559588
TI - Persistent Ebola Virus in the Eye.
PMID- 26559589
TI - Persistent Ebola Virus in the Eye.
PMID- 26559590
TI - Case 23-2015: A Woman with Headache, Cognitive Impairment, and Weakness.
PMID- 26559591
TI - Case 23-2015: A Woman with Headache, Cognitive Impairment, and Weakness.
PMID- 26559592
TI - Genetic Basis for Clinical Response to CTLA-4 Blockade in Melanoma.
PMID- 26559593
TI - Biallelic NTHL1 Mutations in a Woman with Multiple Primary Tumors.
AB - A patient is described with multiple cancers and compound heterozygous mutations
in NTHL1, a recently described polyposis gene. The involvement of a second
causative mutation is reported.
PMID- 26559595
TI - Adjuvant Paclitaxel and Trastuzumab for Node-Negative, HER2-Positive Breast
Cancer.
PMID- 26559594
TI - Acquired Resistance to Bedaquiline and Delamanid in Therapy for Tuberculosis.
AB - Treatment of multidrug-resistant Mycobacterium tuberculosis is a challenge. This
letter describes the emergence of resistance to new therapies, bedaquiline and
delamanid.
PMID- 26559596
TI - Images in Clinical Medicine. Central Retinal-Vein Occlusion.
AB - A 43-year-old man presented with sudden painless loss of vision in his left eye.
Funduscopic examination revealed hyperemia and swelling of the optic nerve,
macular edema, diffuse intraretinal hemorrhages, and dilated and tortuous retinal
veins.
PMID- 26559597
TI - How concerns and experiences with medical malpractice affect dermatopathologists'
perceptions of their diagnostic practices when interpreting cutaneous melanocytic
lesions.
AB - OBJECTIVE: We sought to identify characteristics associated with past malpractice
lawsuits and how malpractice concerns may affect interpretive practices. METHODS:
We surveyed 207 of 301 (68.8%) eligible dermatopathologists who interpret
melanocytic skin lesions in 10 states. The survey assessed dermatopathologists'
demographic and clinical practice characteristics, perceptions of how medical
malpractice concerns could influence their interpretive practices, and past
malpractice lawsuits. RESULTS: Of dermatopathologists, 33% reported past
malpractice experiences. Factors associated with being sued included older age
(57 vs 48 years, P < .001), lack of board certification or fellowship training in
dermatopathology (76.5% vs 53.2%, P = .001), and greater number of years
interpreting melanocytic lesions (>20 years: 52.9% vs 20.1%, P < .001). Of
participants, 64% reported being moderately or extremely confident in their
melanocytic interpretations. Although most dermatopathologists believed that
malpractice concerns increased their likelihood of ordering specialized pathology
tests, obtaining recuts, and seeking a second opinion, none of these practices
were associated with past malpractice. Most dermatopathologists reported concerns
about potential harms to patients that may result from their assessments of
melanocytic lesions. LIMITATIONS: Limitations of this study include lack of
validation of and details about the malpractice suits experienced by
participating dermatopathologists. In addition, the study assessed perceptions of
practice rather than actual practices that might be associated with malpractice
incidents. CONCLUSIONS: Most dermatopathologists reported apprehension about how
malpractice affects their clinical practice and are concerned about patient
safety irrespective of whether they had actually experienced a medical
malpractice suit.
PMID- 26559598
TI - A cross flow-through pedicle free latissimus dorsi flap for high voltage
electrical burns.
AB - BACKGROUND: The management of a high voltage electrical injury and lower limb
salvage remains a challenging task for plastic surgeons. Reconstruction with
flaps is often the only alternative to limb amputation. The purpose of this study
was to present a cross flow-through pedicle free latissimus dorsi muscle flap for
the salvage of severely traumatized lower limbs perfused by one remaining vessel
(a single vessel lower limb) in high voltage electrical injuries. METHODS: In
this retrospective study, between 2000 and 2014, six men underwent cross-leg free
Latissimus dorsi muscle flap operations for limb salvage. They had soft tissue
lower leg defects due to high voltage electrical injuries. Their medical records
were retrospectively reviewed. All had only one artery that perfused the leg.
Free pedicled thoracodorsal artery latissimus dorsi flaps were harvested and
connected to the contralateral posterior tibial artery. RESULTS: All defects were
successfully covered. No flap loss or major amputation occurred during follow-up
(mean; 5.9 years). A computerized tomography angiogram showed intact vessel
continuity in the recipient vascular system. The patients were able to walk
without any apparatus or assistance after long term follow-up. CONCLUSION: We
recommend that the cross flow-through pedicle free muscle flap should be
considered as a salvage procedure for single vessel lower extremities resulting
from high voltage electrical burns. Extremity perfusion was not compromised by
this procedure.
PMID- 26559599
TI - Landrace Germplasm for Improving Yield and Abiotic Stress Adaptation.
AB - Plant landraces represent heterogeneous, local adaptations of domesticated
species, and thereby provide genetic resources that meet current and new
challenges for farming in stressful environments. These local ecotypes can show
variable phenology and low-to-moderate edible yield, but are often highly
nutritious. The main contributions of landraces to plant breeding have been
traits for more efficient nutrient uptake and utilization, as well as useful
genes for adaptation to stressful environments such as water stress, salinity,
and high temperatures. We propose that a systematic landrace evaluation may
define patterns of diversity, which will facilitate identifying alleles for
enhancing yield and abiotic stress adaptation, thus raising the productivity and
stability of staple crops in vulnerable environments.
PMID- 26559600
TI - The Associations Between Body Cell Mass and Nutritional and Inflammatory Markers
in Patients With Chronic Kidney Disease and in Subjects Without Kidney Disease.
AB - OBJECTIVES: Body cell mass (BCM), a component of lean tissue mass (LTM), is a
metabolically active part of the body. Lean tissue loss is one of the diagnostic
criteria of protein energy wasting. In patients with chronic kidney disease
(CKD), a decrease of lean tissue, including BCM, may be replaced by an increase
of extracellular water. Bioimpedance spectroscopy (BIS) enables the assessment of
the amount of BCM, LTM, and fluid overload. The aim of our study was to assess
the relationship between BCM measured by BIS and anthropometric measurements,
biochemical markers of nutrition and also inflammatory markers. METHODS: Forty
eight patients treated with hemodialysis (HD; 32 males and 16 females) with a
mean age 59.8 +/- 15.5 (HD group), 61 patients with CKD Stage 4 to 5 (35 males
and 26 females) with a mean age of 60.1 +/- 17.7 (predialysis group) and 33
individuals with normal renal function (18 males and 15 women) with a mean age
58.7 +/- 17.0 (control group) were included. Body mass index, handgrip strength
(HGS), body composition measured by BIS, and biochemical analyses were performed
on all of them. RESULTS: Positive correlations were observed between BCM and LTM,
HGS, serum creatinine and insulin-like growth factor 1 concentrations in all
groups. Serum prealbumin concentration correlated positively with BCM only in the
predialysis group (r = 0.406; P = .001). The amount of lymphocytes also
correlated passively with BCM in predialysis group (r = 0.314; P = .024).
Negative correlations were noted between BCM and fat mass in all groups and
between BCM and interleukin 6 concentrations only in the HD group. In this study,
BCM neither correlated with body mass index and serum albumin nor with C-reactive
protein. CONCLUSIONS: BCM is strongly associated with biochemical determinants of
muscle mass (serum creatinine, insulin-like growth factor 1) and muscle function
(HGS) in patients treated with HD, with CKD Stage 4 to 5 and in individuals
without kidney disease. Its significance requires further investigation.
PMID- 26559601
TI - Driving after an acute coronary syndrome.
PMID- 26559602
TI - Label-free colorimetric detection of mercury via Hg(2+) ions-accelerated
structural transformation of nanoscale metal-oxo clusters.
AB - Mercury and its compounds are known to be extremely toxic but widely distributed
in environment. Although many works have been reported to efficiently detect
mercury, development of simple and convenient sensors is still longed for quick
analyzing mercury in water. In this work, a nanoscale metal-oxo cluster, (n
Bu4N)2[Mo5NaO13(OCH3)4(NO)], (MLPOM), organically-derivatized from monolacunary
Lindqvist-type polyoxomolybdate, is found to specifically react with Hg(2+) in
methanol/water via structural transformation. The MLPOM methanol solution
displays a color change from purple to brown within seconds after being mixed
with an aqueous solution containing Hg(2+). By comparing the structure of
polyoxomolybdate before and after reaction, the color change is revealed to be
the essentially structural transformation of MLPOM accelerated by Hg(2+). Based
on this discovery, MLPOM could be utilized as a colorimetric sensor to sense the
existence of Hg(2+), and a simple and label-free method is developed to
selectively detect aqueous Hg(2+). Furthermore, the colorimetric sensor has been
applied to indicating mercury contamination in industrial sewage.
PMID- 26559603
TI - [Otitis media with effusion in children younger than 1 year].
AB - OBJECTIVE: To determine the prevalence of otitis media with effusion in children
younger than 1 year and its association with the season of the year, artificial
feeding, environmental and perinatal factors. METHODS: Retrospective study of 184
randomly included medical records from a total of 982 healthy infants evaluated
for hearing screening tests. Diagnosis of otitis media with effusion was based on
otoscopy (amber-gold color, fluid level, handle of malleus position), type B
tympanometric curves and absence of otoacoustic emissions. Incomplete medical
records or those describing acute otitis media, upper respiratory tract
infections on the assessment day or in the last 3 months, neuropathies and
craniofacial anomalies were excluded. Data such as gestational age, birth weight,
Apgar score, type of feeding and day care attendance were compared between
children with and without otitis media with effusion through likelihood tests and
multivariate analysis. RESULTS: 25.3% of 184 infants had otitis media with
bilateral effusion; 9.2% had unilateral. In infants with otitis media, the
following were observed: chronological age of 9.6+/-1.7 months; gestational age
>38 weeks in 43.4% and birth weight >2,500g in 48.4%. Otitis media with effusion
was associated with winter/fall, artificial feeding, Apgar score <7 and day care
attendance. The multivariate analysis showed that artificial feeding is the
factor most often associated to otitis media with effusion. CONCLUSIONS: Otitis
media with effusion was found in about one third of children younger than 1 year
and was mainly associated with artificial feeding.
PMID- 26559604
TI - [Factors associated with short sleep duration in adolescents].
AB - OBJECTIVE: This study aimed to investigate the prevalence and factors associated
with short sleep duration in adolescents from Maravilha - Santa Catarina (SC),
southern Brazil. METHODS: The sample consisted of 516 adolescents aged 10-19
years of both genders. Issues associated with short sleep duration and difficulty
falling asleep, chronotype, daytime sleepi-ness, physical activity, sedentary
behavior and weight status were investigated. RESULTS: The prevalence of short
sleep duration (<8h on school days) was 53.6%. Adolescents aged 17-19 years
showed a 2.05-fold (95%CI: 1.20-3.50) greater prevalence of short sleep duration
than those aged 10-12 years. The ones studying in morning and evening shifts had
a higher prevalence of short sleep duration compared to those in the afternoon
shift. Older age and school shift were the main factors associated with short
sleep duration. CONCLUSIONS: Adolescents from Maravilha showed high prevalence of
short sleep duration, and older adolescents that studied in the morning and
evening shifts showed reduced sleep.
PMID- 26559606
TI - A diagnostic tool for malaria based on computer software.
AB - Nowadays, the gold standard method for malaria diagnosis is a staining of thick
and thin blood film examined by expert laboratorists. It requires well-trained
laboratorists, which is a time consuming task, and is un-automated protocol. For
this study, Maladiag Software was developed to predict malaria infection in
suspected malaria patients. The demographic data of patients, examination for
malaria parasites, and complete blood count (CBC) profiles were analyzed. Binary
logistic regression was used to create the equation for the malaria diagnosis.
The diagnostic parameters of the equation were tested on 4,985 samples (703
infected and 4,282 control samples). The equation indicated 81.2% sensitivity and
80.3% specificity for predicting infection of malaria. The positive likelihood
and negative likelihood ratio were 4.12 (95% CI = 4.01-4.23) and 0.23 (95% CI =
0.22-0.25), respectively. This parameter also had odds ratios (P value < 0.0001,
OR = 17.6, 95% CI = 16.0-19.3). The equation can predict malaria infection after
adjust for age, gender, nationality, monocyte (%), platelet count, neutrophil
(%), lymphocyte (%), and the RBC count of patients. The diagnostic accuracy was
0.877 (Area under curve, AUC) (95% CI = 0.871-0.883). The system, when used in
combination with other clinical and microscopy methods, might improve malaria
diagnoses and enhance prompt treatment.
PMID- 26559605
TI - [Identification of cutoff points for Homeostatic Model Assessment for Insulin
Resistance index in adolescents: systematic review].
AB - OBJECTIVE: To identify cutoff points of the Homeostatic Model Assessment for
Insulin Resistance (HOMA-IR) index established for adolescents and discuss their
applicability for the diagnosis of insulin resistance in Brazilian adolescents.
DATA SOURCE: A systematic review was performed in the PubMed, Lilacs and SciELO
databases, using the following descriptors: "Adolescents", "insulin resistance"
and "ROC curve". Original articles carried out with adolescents published between
2005 and 2015 in Portuguese, English or Spanish languages, which included the
statistical analysis using ROC curve to determine the index cutoff (HOMA-IR) were
included. DATA SYNTHESIS: A total of 184 articles were identified and after the
study phases were applied, seven articles were selected for the review. All
selected studies established their cutoffs using a ROC curve, with the lowest
observed cutoff of 1.65 for girls and 1.95 for boys and the highest of 3.82 for
girls and 5.22 for boys. Of the studies analyzed, one proposed external validity,
recommending the use of the HOMA-IR cutoff >2.5 for both genders. CONCLUSIONS:
The HOMA-IR index constitutes a reliable method for the detection of insulin
resistance in adolescents, as long as it uses cutoffs that are more adequate for
the reality of the study population, allowing early diagnosis of insulin
resistance and enabling multidisciplinary interventions aiming at health
promotion of this population.
PMID- 26559607
TI - Renal glomerular dysfunction in relation to retinal arteriolar narrowing and high
pulse pressure in seniors.
AB - Retinal arteriolar narrowing and high pulse pressure (PP) are associated with
macrovascular complications and microvascular renal disease. Few studies
addressed whether in seniors (?60 years) estimated glomerular filtration rate
(eGFR) is independently related to central retinal arteriolar equivalent (CRAE)
and PP. In 292 randomly recruited seniors (49.3% women; mean, 68.2 years), we
measured PP by standard sphygmomanometry, CRAE (IVAN software), eGFR (Chronic
Kidney Disease Epidemiology Collaboration equation) and stage of chronic kidney
disease (CKD (Kidney Disease Outcomes Quality Initiative guideline)). Statistical
methods included linear and logistic regression. PP, CRAE and eGFR averaged 59.2
mm Hg, 146.3 MUm and 79.9 ml min(-1) per 1.73 m(2). Decline in eGFR (-2.27 ml
min(-1) per 1.73 m(2) per 15 MUm; P=0.011) occurred in parallel with CRAE
narrowing. CRAE (effect size per 1-s.d. increment, -1.85 MUm; P=0.032) and eGFR (
2.68 ml min(-1) per 1.73 m(2); P=0.003) both declined with higher PP. With PP
increasing from 63 to 73 mm Hg (threshold for macrovascular complications), CRAE
dropped by -4.70 MUm (P?0.037). A 70-mm Hg PP threshold corresponded with a 150
MUm CRAE cutoff. The risk of CKD (stage ?2 vs. 1; n=203 vs. 89) rose with CRAE
<150 MUm (odds ratio, 2.81; P<0.0001), but not with PP ?70 mm Hg (1.47; P=0.20).
Additionally, CRAE added to PP increased the area under the curve from 0.58 to
0.64 (P=0.047) for identifying stage ?2 CKD. In seniors, CRAE and eGFR decline in
parallel with higher PP. CRAE <150 MUm identifies early decline in eGFR.
PMID- 26559608
TI - Exercise is a double-edged sword for endothelial function.
PMID- 26559610
TI - Self-management of salt intake: clinical significance of urinary salt excretion
estimated using a self-monitoring device.
AB - Self-measured salt excretion from overnight urine samples shows significant
correlation with 24-h-urinary salt excretion, but it is not known whether a self
measuring method can monitor daily fluctuations in individual salt consumption.
In this study, we measured salt excretion from 24-h urine samples (24-h salt) in
50 volunteers over 3 test days (2 weekdays and 1 holiday), and examined to what
extent the values correlated with estimates of 24-h salt excretion from overnight
urine samples obtained using a self-monitoring device (ON salt). Urine collection
was considered successful when the difference between the predicted and actual 24
h-urinary creatinine excretion was within 30%. Thirty-three (M/F=7/26; 39.6+/
16.7 years) out of 50 participants completed their urine collections successfully
and their samples were used in the analysis. Twenty-four-hour salt and ON salt
did not significantly differ between test days and between the weekdays and the
holiday. Moreover, there was a significant positive correlation between 24-h salt
and ON salt for each test day. The coefficients of variation (CVs) for 24-h salt
among test days and among subjects were 24.7% and 21.3%, respectively. The CVs
for ON salt were lower than those for 24-h salt (13.3% and 17.7%, respectively).
In conclusion, self-measurement of salt excretion from overnight urine samples
allows estimation of daily salt intake; thus, the use of a self-monitoring device
may be a useful motivational tool for personal salt restriction.
PMID- 26559609
TI - Association of body mass index and left ventricular mass index with abnormally
low and high ankle-brachial indices in chronic kidney disease.
AB - Obesity, left ventricular hypertrophy (LVH) and peripheral artery disease are
frequently noted in patients with chronic kidney disease (CKD), thereby
suggesting a close and causal relationship among them. This study was designed to
assess whether the combination of an increased body mass index (BMI) and left
ventricular mass index (LVMI) are independently associated with abnormally low
and high ABI in patients with CKD stages 3-5. A total of 566 patients were
included in the study and were classified into four groups according to sex
specific median BMIs and LVMIs. The ABI was measured using an ABI-form device.
Abnormally low and high ABI was defined as ABI <0.9 or ?1.3 in either leg. The
combination of high BMI and LVMI (vs. the combination of low BMI and LVMI) was
significantly associated with abnormally low and high ABI in an unadjusted model
(odds ratio (OR), 2.107; P=0.015) and in a multivariable model after adjustment
for demographic, clinical and biochemical characteristics and medications (OR,
4.219; P=0.008). In addition, the interaction between BMI and LVMI in abnormally
low and high ABI was statistically significant in the unadjusted (OR, 1.002;
P=0.001) and multivariate models (OR, 1.004; P=0.003). Our findings show that the
combination of high BMI and LVMI was associated with abnormally low and high ABI
in patients with CKD stages 3-5. Patients with high BMI and LVMI might be at a
high risk of abnormally low and high ABI.
PMID- 26559611
TI - Sequential Folding using Light-activated Polystyrene Sheet.
AB - A pre-strained polystyrene (PS) polymer sheet is deformed when it approaches the
glass transition state as a result of light absorption. By controlling the light
absorption of the polymer sheet, non-contact sequential folding can be
accomplished. Line patterns of different transparencies and shapes are used to
control the light absorption. The line pattern shape is closely related to the
folding angle and folding start time. The relation between the line pattern
design and folding performance was evaluated experimentally to develop a
technique for folding PS sheets. The results show that sequential folding of PS
sheets can be accomplished by changing the degree of transparency of the line
pattern. Using the technique developed in this study, self-folding origami
structures with complicated shapes can be designed and manufactured.
PMID- 26559612
TI - Layer-Resolved Cation Diffusion and Stoichiometry at the LaAlO3/SrTiO3
Heterointerface Probed by X-ray Photoemission Experiments and Site Occupancy
Modeling.
AB - The layer-resolved cation occupancy for different conducting and insulating
interfaces of LaAlO3 (LAO) thin films on SrTiO3 (STO) has been determined by
angle-resoled X-ray photoelectron spectroscopy (AR-XPS). Three STO interfaces
with LAO have been considered, namely, a conducting interface with a 5 unit cell
(u.c.) LAO layer, an insulating interface with a 5 u.c. LAO layer, and an
insulating interface with a 3 u.c. LAO layer. Considering inelastic and elastic
scattering processes in the transport approximation, the core-level signal
attenuation has been modeled on the basis of Monte Carlo calculations of the
electron trajectories across the heterostructures. Different effects involving
cation stoichiometry and diffusion through the interface have been considered to
interpret data. Beyond a mere abrupt interface modeling, the LaAlO3/SrTiO3
heterojunction is shown to host cation diffusion processes within 3-4 unit cells
in the bulk layer, along with a clear Sr substoichiometry, an issue so far
virtually neglected in the analysis of these systems. The present results show
the capability of the AR-XPS modeling to explore element-sensitive properties at
the oxide interfaces, matching and completing the information that can be
provided by probes based on electron microscopy or X-ray scattering.
PMID- 26559614
TI - Adaptation of microcystin thiol derivatization for matrix-assisted laser
desorption/ionization-time of flight (MALDI-TOF) mass spectrometry analysis.
AB - Thiol derivatization of microcystins is an emerging technique for simplifying
mass spectrometric analysis of microcystins in complex matrices and for
distinguishing between Mdha-/Dhb-containing microcystins. The present protocol is
not compatible with MALDI-TOF mass spectrometry, but use of sodium carbonate
buffer and desalting with ZipTips yielded no loss in sensitivity. Use of ammonium
carbonate buffer followed by dilution in MALDI matrix resulted in a small loss of
sensitivity but allowed accurate determination of reaction rates.
PMID- 26559613
TI - The use of L-serine to prevent beta-methylamino-L-alanine (BMAA)-induced
proteotoxic stress in vitro.
AB - beta-methylamino-L-alanine (BMAA), a non-protein amino acid synthesised by
cyanobacteria, has been linked to a complex neurological disorder on Guam and
more recently to other cases of sporadic ALS (sALS), however the mechanisms of
BMAA toxicity are not completely understood. We have previously demonstrated that
BMAA is misincorporated into newly synthesised proteins by human neuroblastoma
cells and fibroblasts, resulting in the formation of autofluorescent material and
the induction of apoptotic cell death. In the present study we show that BMAA at
low levels does not cause an acute toxicity in neuroblastoma cells but increases
the expression of the ER stress marker, C/EBP homologous protein (CHOP) and
increases the activity of the pro-apoptotic enzyme caspase-3. We also observed an
increase in the activity of the lysosomal cysteine proteases cathepsin B and L,
characteristic of the accumulation of proteins in the lysosomal system. We were
able to prevent these proteotoxic effects in neuroblastoma cells through co
treatment with l-serine suggesting that they resulted from incorporation of BMAA
into proteins. Misincorporation provides a possible mechanism whereby BMAA could
initiate misfolding, and the accumulation of aggregate-prone proteins in neurons.
This build-up of misfolded proteins could explain the long latency period of the
disease previously reported on Guam.
PMID- 26559615
TI - In vivo exposure to northern diatoms arrests sea urchin embryonic development.
AB - There are numerous reports indicating that marine diatoms may act harmful to
early developmental stages of invertebrates. It is believed that the compounds
responsible for these detrimental effects are oxylipins resulting from oxidized
polyunsaturated fatty acids, and that they may function as grazing deterrents.
Most studies reporting these effects have exposed test organisms to diatom
extracts or purified toxins, but data from in vivo exposure to intact diatoms are
scarce. We have conducted sea urchin egg incubation and plutei feeding
experiments to test if intact diatom cells affected sea urchin embryo development
and survival. This was done by exposing the common northern sea urchins
Strongylocentrotus droebachiensis and Echinus acutus to northern strains of the
diatoms Chaetoceros socialis, Skeletonema marinoi, Chaetoceros furcellatus,
Attheya longicornis, Thalassiosira gravida and Porosira glacialis. The intact
diatom cell suspensions were found to inhibit sea urchin egg hatching and
embryogenesis. S. marinoi was the most potent one as it caused acute mortality in
S. droebachiensis eggs after only four hours exposure to high (50 MUg/L Chla)
diatom concentrations, as well as 24 h exposure to normal (20 MUg/L Chla) and
high diatom concentrations. The second most potent species was T. gravida that
caused acute mortality after 24 h exposure to both diatom concentrations. A.
longicornis was the least harmful of the diatom species in terms of embryo
development arrestment, and it was the species that was most actively ingested by
S. droebachiensis plutei.
PMID- 26559616
TI - Anterolateral adipofascial turnover flap of the leg: Anatomical study.
AB - AIM: The aim of this study is to clearly define the vascularisation of the
lateral paratibial septum of the leg, defining the basis for a vertical pedicled
adipofascial flap harvested from the anterolateral aspect of the leg to cover
pretibial soft tissue defects. MATERIALS AND METHODS: Twelve cadaver legs (eight
fixed with formalin and four fresh) were dissected. The vessels running into the
lateral paratibial septum were identified. The number of vessels were noted and
evaluated at the lateral border of the proximal, middle and distal thirds of the
leg. In addition, an angiographic study was performed on two limbs to confirm the
connection between tibialis anterior artery and the overlaying fascia through
these septal vessels. RESULTS: All the specimens had periosteo-septal vessels
running in the lateral aspect of the tibia. The average number was 6.6. The
distribution was constant in all the thirds of the leg. CONCLUSION: These data
are useful to propose the harvesting technique for adipofascial flap with
vertical pedicle as a suitable reconstructive option to cover pretibial soft
tissue defects.
PMID- 26559617
TI - Ultra-high-performance liquid chromatography-Time-of-flight high resolution mass
spectrometry to quantify acidic drugs in wastewater.
AB - A novel analytical approach involving an improved rotating-disk sorptive
extraction (RDSE) procedure and ultra-high-performance liquid chromatography
(UHPLC) coupled to an ultraspray electrospray ionization source (UESI) and time
of-flight mass spectrometry (TOF/MS), in trap mode, was developed to identify and
quantify four non-steroidal anti-inflammatory drugs (NSAIDs) (naproxen,
ibuprofen, ketoprofen and diclofenac) and two anti-cholesterol drugs (ACDs)
(clofibric acid and gemfibrozil) that are widely used and typically found in
water samples. The method reduced the amount of both sample and reagents used and
also the time required for the whole analysis, resulting in a reliable and green
analytical strategy. The analytical eco-scale was calculated, showing that this
methodology is an excellent green analysis, increasing its ecological worth. The
detection limits (LOD) and precision (%RSD) were lower than 90ng/L and 10%,
respectively. Matrix effects and recoveries were studied using samples from the
influent of a wastewater treatment plant (WWTP). All the compounds exhibited
suppression of their signals due to matrix effects, and the recoveries were
approximately 100%. The applicability and reliability of this methodology were
confirmed through the analysis of influent and effluent samples from a WWTP in
Santiago, Chile, obtaining concentrations ranging from 1.1 to 20.5MUg/L and from
0.5 to 8.6MUg/L, respectively.
PMID- 26559618
TI - Porous lead(II)-based metal organic nanotubes as an adsorbent for dispersive
solid-phase extraction of polybrominated diphenyl ethers from environmental water
samples.
AB - Porous lead(II)-based metal-organic nanotubes (Pb-MONTs) were, for the first
time, used as an adsorbent for dispersive solid-phase extraction (d-SPE) of
polybrominated diphenyl ethers (PBDEs) at trace levels from environmental water
samples. Gas chromatography-negative chemical ionization-mass spectrometry (GC
NCI-MS) was applied for sample detection. Box-Behnken design was performed to
investigate and optimize the d-SPE parameters through a response surface
methodology. The optimized conditions were obtained as listed: extraction time of
1min, 51.4mg of adsorbent and NaCl concentration of 7.42%. Under the optimized
conditions, the new d-SPE-GC-NCI-MS method achieved wide range of linearity (2
1000ngL(-1)), low limits of detection (0.08-0.22ngL(-1)), satisfactory
repeatability (0.79-8.62%, n=6) and satisfactory reproducibility (3.18-11.0%,
n=5), and the possible extraction mechanism was also discussed. The proposed
method was used in the analysis of real environmental water samples, and
satisfactory recoveries were obtained in the range of 80.5-119.2%. These results
indicated that Pb-MONTs have great potential as an adsorbent for the d-SPE of
PBDEs at trace levels from environmental water samples.
PMID- 26559619
TI - Optimisation of temperature-programmed gas chromatographic separation of
organochloride pesticides by response surface methodology.
AB - A response surface methodology (RSM) approach is applied to optimise the
temperature-programme gas-chromatographic separation of 16 organochloride
pesticides, including 12 compounds identified as highly toxic chemicals by the
Stockholm Convention on Persistent Organic Pollutants. A three-parameter
relationship describing both linear and curve temperature programmes is derived
adapting a model previously used in literature to describe concentration
gradients in liquid chromatography with binary eluents. To investigate the
influence of the three temperature profile descriptors (the starting temperature,
the gradient duration and a shape parameter), a three-level full-factorial design
of experiments is used to identify suitable combinations of the above variables
spanning over a useful domain. Resolutions of adjacent peaks are the responses
modelled by RSM using two alternative methods: a multi-layer artificial network
(ANN) and usual polynomial regression. The proposed ANN-based approach permits to
model simultaneously the resolutions of all the consecutive analyte pairs as a
function of the temperature profile descriptors. Four critical pairs giving
partially overlapped peaks are identified and multiresponse optimisation is
carried out by analysing the surface plot of a global resolution defined as the
average of the resolutions of the critical pairs. Descriptive/predictive
performance and applicability of the ANN and polynomial RSM methods are compared
and discussed.
PMID- 26559621
TI - A coherent fiber link for very long baseline interferometry.
AB - We realize a coherent fiber link for application in very long baseline
interferometry (VLBI) for radio astronomy and geodesy. A 550-km optical fiber
connects the Italian National Metrological Institute (INRIM) to a radio telescope
in Italy and is used for the primary Cs fountain clock stability and accuracy
dissemination. We use an ultrastable laser frequency- referenced to the primary
standard as a transfer oscillator; at the radio telescope, an RF signal is
generated from the laser by using an optical frequency comb. This scheme now
provides the traceability of the local maser to the SI second, realized by the Cs
fountain at the 1.7 * 10(-16) accuracy. The fiber link never limits the
experiment and is robust enough to sustain radio astronomical campaigns. This
experiment opens the possibility of replacing the local hydrogen masers at the
VLBI sites with optically-synthesized RF signals. This could improve VLBI
resolution by providing more accurate and stable frequency references and, in
perspective, by enabling common- clock VLBI based on a network of telescopes
connected by fiber links.
PMID- 26559620
TI - Knockout of Slo2.2 enhances itch, abolishes KNa current, and increases action
potential firing frequency in DRG neurons.
AB - Two mammalian genes, Kcnt1 and Kcnt2, encode pore-forming subunits of Na(+)
dependent K(+) (KNa) channels. Progress in understanding KNa channels has been
hampered by the absence of specific tools and methods for rigorous KNa
identification in native cells. Here, we report the genetic disruption of both
Kcnt1 and Kcnt2, confirm the loss of Slo2.2 and Slo2.1 protein, respectively, in
KO animals, and define tissues enriched in Slo2 expression. Noting the prevalence
of Slo2.2 in dorsal root ganglion, we find that KO of Slo2.2, but not Slo2.1,
results in enhanced itch and pain responses. In dissociated small diameter DRG
neurons, KO of Slo2.2, but not Slo2.1, abolishes KNa current. Utilizing isolectin
B4+ neurons, the absence of KNa current results in an increase in action
potential (AP) firing and a decrease in AP threshold. Activation of KNa acts as a
brake to initiation of the first depolarization-elicited AP with no discernible
effect on afterhyperpolarizations.
PMID- 26559622
TI - A model and regularization scheme for ultrasonic beamforming clutter reduction.
AB - Acoustic clutter produced by off-axis and multipath scattering is known to cause
image degradation, and in some cases these sources may be the prime determinants
of in vivo image quality. We have previously shown some success addressing these
sources of image degradation by modeling the aperture domain signal from
different sources of clutter, and then decomposing aperture domain data using the
modeled sources. Our previous model had some shortcomings including model
mismatch and failure to recover B-Mode speckle statistics. These shortcomings are
addressed here by developing a better model and by using a general regularization
approach appropriate for the model and data. We present results with L1 (lasso),
L2 (ridge), and L1/L2 combined (elastic-net) regularization methods. We call our
new method aperture domain model image reconstruction (ADMIRE). Our results
demonstrate that ADMIRE with L1 regularization, or weighted toward L1 in the case
of elastic-net regularization, have improved image quality. L1 by itself works
well, but additional improvements are seen with elastic-net regularization over
the pure L1 constraint. On in vivo example cases, L1 regularization showed mean
contrast improvements of 4.6 and 6.8 dB on fundamental and harmonic images,
respectively. Elastic net regularization (alpha = 0.9) showed mean contrast
improvements of 17.8 dB on fundamental images and 11.8 dB on harmonic images. We
also demonstrate that in uncluttered Field II simulations the decluttering
algorithm produces the same contrast, contrast-tonoise ratio, and speckle SNR as
normal B-mode imaging, demonstrating that ADMIRE preserves typical image
features.
PMID- 26559623
TI - Performance comparison of rigid and affine models for motion estimation using
ultrasound radio-frequency signals.
AB - Tissue motion estimation is widely used in many ultrasound techniques. Rigid
model-based and nonrigid-modelbased methods are two main groups of space-domain
methods of tissue motion estimation. The affine model is one of the commonly used
nonrigid models. The performances of the rigid model and affine model have not
been compared on ultrasound RF signals, which have been demonstrated to obtain
higher accuracy, precision, and resolution in motion estimation compared with B
mode images. In this study, three methods, i.e., the normalized cross-correlation
method with rigid model (NCC), the optical flow method with rigid model (OFRM),
and the optical flow method with affine model (OFAM), are compared using
ultrasound RF signals, rather than the B-mode images used in previous studies.
Simulations, phantom, and in vivo experiments are conducted to make the
comparison. In the simulations, the root-mean-square errors (RMSEs) of axial and
lateral displacements and strains are used to assess the accuracy of motion
estimation, and the elastographic signal-tonoise ratio (SNRe) and contrast-to
noise ratio (CNRe) are used to evaluate the quality of axial strain images. In
the phantom experiments, the registration error between the pre- and
postdeformation RF signals, as well as the SNRe and CNRe of axial strain images,
are utilized as the evaluation criteria. In the in vivo experiments, the
registration error is used to evaluate the estimation performance. The results
show that the affinemodel- based method (i.e., OFAM) obtains the lowest RMSE or
registration error and the highest SNRe and CNRe among all the methods. The
affine model is demonstrated to be superior to the rigid model in motion
estimation based on RF signals.
PMID- 26559624
TI - Auto-focused virtual source imaging with arbitrarily shaped interfaces.
AB - This work presents a new method, named auto-focused virtual source imaging
(AVSI), for synthetic aperture focusing through arbitrarily shaped interfaces
with arrays. First, the shape of the component surface is obtained by time-of
flight (TOF) measurements. Then, a set of virtual source/receivers is created by
focusing several array subapertures at the interface normal incidence points.
Finally, the synthetic aperture focusing technique (SAFT) is applied to the
received signals to generate a high-resolution image. The AVSI method provides
several advantages for ultrasonic imaging in a two-media scenario. First,
knowledge of the probe-part geometry is not required, because all information
needed for image formation is obtained from a set of ultrasonic measurements.
Second, refraction complications in TOF calculations are avoided, because foci at
the interface can be considered as virtual source/ receivers, and SAFT can be
performed in the second medium only. Third, the signal-to-noise ratio is higher
than with synthetic aperture techniques that use a single element as emitter, and
fourth, resolution is higher than that obtained by phased-array imaging with the
same number of active elements, which reduces hardware complexity. The
theoretical bases of the method are given, and its performance is evaluated by
simulation. Finally, experimental results showing good agreement with theory are
presented.
PMID- 26559625
TI - High-power characterization of a microcutter actuated by PMN-PT piezocrystals.
AB - An ultrasonic microcutter is an alternative approach to conventional ultrasonic
instruments actuated by sandwich piezoelectric transducers for surgery. This
paper reports high-power behavior of a microcutter actuated by the piezocrystal
lead magnesium niobate-lead titanate (PMN-PT), defining its practical performance
and the feasibility of PMNPT actuation for surgical applications. The microcutter
was driven at resonance with constant current amplitudes, either unloaded or
loaded by poultry breast tissue, until its behavior achieved a steady state.
During this driving process, its electric impedance, resonant frequency, and
vibration velocity, along with the temperature increase of the PMN-PT, were
recorded in real time. The microcutter produced a maximum vibration velocity >2.8
m/s with an excitation current of 0.11 A(rms). The mechanical loss increased
significantly with current amplitude, resulting in a maximum temperature increase
approaching 50 degrees C around the interface between the PMN-PT and the blade,
where they were bonded together with epoxy. Because of the low phase-transition
temperature of PMN-PT, this temperature rise prevented the microcutter from
working at higher current amplitudes. Along with the high vibration velocity, it
also caused a frequency shift downward by 3 kHz at the same current amplitude.
During tests with poultry breast tissue, radiation reactance increased the
resonant frequency and the radiation resistance increased the loss of the
microcutter. However, the loss did not further increase the temperature of the
piezoelectric material. The maximum force and the overall work required to
penetrate the microcutter into poultry breast tissue were reduced by 47.1 +/- 8%
and 53.5 +/- 6%, respectively, when the microcutter was actuated at a current of
0.07 A(rms).
PMID- 26559626
TI - Ultrasonic scattering measurements of a live single cell at 86 MHz.
AB - Cell separation and sorting techniques have been employed biomedical applications
such as cancer diagnosis and cell gene expression analysis. The capability to
accurately measure ultrasonic scattering properties from cells is crucial in
making an ultrasonic cell sorter a reality if ultrasound scattering is to be used
as the sensing mechanism as well. To assess the performance of sensing and
identifying live single cells with high-frequency ultrasound, an 86-MHz lithium
niobate press-focused single-element acoustic transducer was used in a high
frequency ultrasound scattering measurement system that was custom designed and
developed for minimizing noise and allowing better mobility. Peak-to-peak echo
amplitude, integrated backscatter (IB) coefficient, spectral parameters including
spectral slope and intercept, and midband fit from spectral analysis of the
backscattered echoes were measured and calculated from a live single cell of two
different types on an agar surface: leukemia cells (K562 cells) and red blood
cells (RBCs). The amplitudes of echo signals from K562 cells and RBCs were 48.25
+/- 11.98 mV(pp) and 56.97 +/- 7.53 mV(pp), respectively. The IB coefficient was
89.39 +/- 2.44 dB for K562 cells and -89.00 +/- 1.19 dB for RBCs. The spectral
slope and intercept were 0.30 +/- 0.19 dB/MHz and -56.07 +/- 17.17 dB,
respectively, for K562 cells and 0.78 +/- 0.092 dB/MHz and -98.18 +/- 8.80 dB,
respectively, for RBCs. Midband fits of K562 cells and RBCs were -31.02 +/- 3.04
dB and -33.51 +/- 1.55 dB, respectively. Acoustic cellular discrimination via
these parameters was tested by Student's t-test. Their values, except for the IB
value, showed statistically significant difference (p < 0.001). This paper
reports for the first time that ultrasonic scattering measurements can be made on
a live single cell with a highly focused high-frequency ultrasound microbeam at
86 MHz. These results also suggest the feasibility of ultrasonic scattering as a
sensing mechanism in the development of ultrasonic cell sorters.
PMID- 26559627
TI - Modeling of wave propagation for medical ultrasound: a review.
AB - Numerical modeling of medical ultrasound has advanced tremendously in the past
two decades. This opens up a great number of opportunities for medical ultrasound
and associated technologies. Numerous new governing equations and algorithms have
emerged and been applied to studying various medical ultrasound applications,
including ultrasound imaging, photo-acoustic imaging, and therapeutic ultrasound.
In addition, thanks to the rapid development of computers, modeling acoustic wave
propagation in three-dimensional, large-scale domains has become a reality. This
article will provide an indepth literature and technical review of recent
progress on numerical modeling of medical ultrasound. Future challenges will also
be discussed.
PMID- 26559628
TI - Highly piezoelectric MgZr co-doped aluminum nitride-based vibrational energy
harvesters.
AB - The first MgZr co-doped AlN-based vibrational energy harvester (VEH) is
presented. (MgZr)AlN, which is a new class of doped AlN, provides high
piezoelectricity and cost advantage. Using 13%-(MgZr)-doped AlN for micromachined
VEHs, maximum output power of 1.3 MUW was achieved with a Q-factor of 400 when
resonant frequency, vibration acceleration, load resistance were 792 Hz, 8
m/s(2), and 1.1 MOmega, respectively. Normalized power density was 8.1 kW.g(
2).m(-3). This was one of the highest values among the currently available
piezoelectric VEHs.
PMID- 26559629
TI - Evaluation of the transverse oscillation method using the Cramer-Rao lower bound.
AB - The transverse oscillation method enables lateral displacement tracking by
generating an oscillation orthogonal to the conventional RF signal. The widely
varying methods used in the field to create such oscillations and perform
displacement estimation make it difficult to compare the expected performance of
alternative techniques. We derive closed-form expressions for the oscillating
pressure fields produced by two common apodization functions-the rectangular and
bi-lobed Gaussian apodizations-after heterodyning demodulation is applied to
separate the orthogonally-oscillating signals. With these fields and spectra we
present a form of the Cramer-Rao lower bound for ultrasonic signals that contains
a spectrum shape term, allowing theoretical prediction of relative performance
across different techniques and parameter choices. Simulations show good
agreement with the trends predicted by the theoretical results for the chosen
class of aperture functions. The simulations demonstrate the importance of
frequency-space analysis in devising a transverse oscillation scheme and suggest
that the study of other classes of aperture functions and field formation
techniques should be continued to further improve the accuracy of lateral
displacement tracking.
PMID- 26559630
TI - The angular apodization in coherent plane-wave compounding.
AB - This article describes the relation between apodization in conventional focused
imaging and apodization in coherent plane-wave compounding (CPWC). We pose the
hypothesis that equivalent transmit beams can be produced with both methods if
the transmit apodization is adequately transformed. We derive a relation between
apodization in CPWC and in synthetic transmit aperture imaging (STAI), which we
argue to be equivalent to conventional optimal multifocus imaging. We find that
under certain conditions, the transformation of the apodization becomes trivial
and the same window used in STAI can be applied for CPWC but extended to the
whole angle sequence. We test the hypothesis with in silico data and find that
the transformed apodization accurately mimics the objective transmit apodization,
with differences in the lateral resolution between 3% and 6%.
PMID- 26559631
TI - Erratum: Effect of element directivity on adaptive beamforming applied to high
frame-rate ultrasound.
PMID- 26559633
TI - Ulcerative Pretibial Lesions in the Setting of Multifactorial Immunosuppression.
PMID- 26559634
TI - The cervix as a natural tamponade in postpartum hemorrhage caused by placenta
previa and placenta previa accreta: a prospective study.
AB - BACKGROUND: Placenta previa and placenta accreta carry significant maternal and
fetal morbidity and mortality. Several techniques have been described in the
literature for controlling massive bleeding associated with placenta previa
cesarean sections. The objective of this study was to evaluate the efficacy and
safety of the use of the cervix as a natural tamponade in controlling postpartum
hemorrhage caused by placenta previa and placenta previa accreta. METHODS: This
prospective study was conducted on 40 pregnant women admitted to our hospital
between June 2012 and November 2014. All participating women had one or more
previous cesarean deliveries and were diagnosed with placenta previa and/or
placenta previa accreta. Significant bleeding from the placental bed during
cesarean section was managed by inverting the cervix into the uterine cavity and
suturing the anterior and/or the posterior cervical lips into the anterior and/or
posterior walls of the lower uterine segment. RESULTS: The technique of cervical
inversion described above was successful in stopping the bleeding in 38 out of 40
patients; yielding a success rate of 95%. We resorted to hysterectomy in only two
cases (5%). The mean intra-operative blood loss was 1572.5 mL, and the mean
number of blood units transfused was 3.1. The mean time needed to perform the
technique was 5.4 +/- 0.6 min. The complications encountered were as follows:
bladder injury in the two patients who underwent hysterectomy and wound infection
in one patient. Postoperative fever that responded to antibiotics occurred in 1
patient. The mean duration of the postoperative hospital stay was 3.5 days
CONCLUSIONS: This technique of using the cervix as a natural tamponade appears to
be safe, simple, time-saving and potentially effective method for controlling the
severe postpartum hemorrhage (PPH) caused by placenta previa/placenta previa
accreta. This technique deserves to be one of the tools in the hands of
obstetricians who face the life-threatening hemorrhage of placenta accreta. TRIAL
REGISTRATION: ClinicalTrials.gov NCT02590484 . Registered 28 October 2015.
PMID- 26559632
TI - Well-mixed plasma and tissue viral populations in RT-SHIV-infected macaques
implies a lack of viral replication in the tissues during antiretroviral therapy.
AB - BACKGROUND: Determining the anatomic compartments that contribute to plasma HIV-1
is critical to understanding the sources of residual viremia during combination
antiretroviral therapy (ART). We analyzed viral DNA and RNA populations in the
plasma and tissues from macaques infected with SIV containing HIV-1 RT (RT-SHIV)
to identify possible sources of persistent viremia and to investigate the effect
of ART on viral replication in tissues. Tissues were collected at necropsy from
four pigtailed macaques infected for 30 weeks with a diverse population of RT
SHIV. Two animals (6760 and 8232) were untreated and two animals (8030 and 8272)
were treated with efavirenz, tenofovir, and emtricitabine for 20 weeks. RESULTS:
A total of 1800 single-genome RT-SHIV pol and env DNA and RNA sequences were
analyzed from the plasma, PBMCs, axillary and mesenteric lymph nodes, spleen,
thymus, small intestine, bone marrow, lung, and brain. Analyses of intracellular
DNA and RNA populations revealed that the majority of proviruses in tissues from
untreated animal 8232 were not expressed, whereas a greater proportion of
proviruses in tissues were expressed from 6760. Few intracellular RNA sequences
were detected in treated animals and most contained inactivating mutations, such
as frame shifts or large deletions. Phylogenetics showed that RT-SHIV DNA
populations in tissues were not different from virus in contemporary plasma
samples in the treated or untreated animals, demonstrating a lack of anatomic
compartmentalization and suggesting that plasma viremia is derived from multiple
tissue sources. No sequence divergence was detected in the plasma or between
tissues in the treated animals after 20 weeks of ART indicating a lack of ongoing
replication in tissues during treatment. CONCLUSIONS: Virus populations in plasma
and tissues did not differ significantly in either treated or untreated macaques,
suggesting frequent exchange of virus or infected cells between tissues and
plasma, consistent with non-compartmentalized and widely disseminated infection.
There was no genetic evidence of ongoing replication in tissues during
suppressive ART.
PMID- 26559635
TI - Validation of the German version of the STarT-Back Tool (STarT-G): a cohort study
with patients from primary care practices.
AB - BACKGROUND: Current research emphasizes the high prevalence and costs of low back
pain (LBP). The STarT Back Tool was designed to support primary care decision
making for treatment by helping to determine the treatment prognosis of patients
with non-specific low back pain. The German version is the STarT-G. The cross
cultural translation of the tool followed a structured and widely accepted
process but to date it was only partially validated with a small sample. The aim
of the study was to test the psychometric properties construct validity,
discriminative ability, internal consistency and test-retest-reliability of the
STarT-G and to compare them with values given for the original English version.
METHODS: A consecutive cohort study with a two-week retest was conducted among
patients with non-specific LBP, aged 18 to 60 years, from primary care practices.
Questionnaires were collected before the first consultation, and two weeks later
by post, using the following reference standards: the Roland and Morris
disability questionnaire, the Tampa Scale of Kinesiophobia, the Pain
Catastrophizing Scale and the Hospital Anxiety and Depression Scale. Psychometric
properties examined included the tool's discriminative abilities, whether the
psychosocial subscale was one factor, internal consistency, item redundancy, test
retest reliability and floor and ceiling effects. RESULTS: There were 228
patients recruited with a mean age of 42.2 (SD 11.0) years, and 53 % were female.
The areas under the curve (AUC) for discriminative ability ranged from 0.70
(STarT-G Subscale - Pain Catastrophizing Scale; CI95 0.63, 0.78) to 0.77 (STarT-G
Total - Composite reference standard, CI95 0.60, 0.94). Factor loadings ranged
from 0.49 to 0.74. Cronbach's alpha testing the internal consistency and
redundancy for the total/subscale scores were alpha = 0.52/0.55 respectively. The
STarT-G test-retest reliability Kappa values for the total/subscale scores were
0.67/0.68 respectively. No floor or ceiling effects were present. CONCLUSIONS:
The STarT-G shows acceptable psychometric properties although not in exact
agreement with the original English version. The items previously regarded as a
psychosocial subscale may be better seen as an index of different individual
psychosocial constructs. The relevance of using the tool at the point of
consultation should be further examined.
PMID- 26559636
TI - Mealybug species from Chilean agricultural landscapes and main factors
influencing the genetic structure of Pseudococcus viburni.
AB - The present study aimed to characterize the distribution of mealybug species
along Chilean agro-ecosystems and to determine the relative impact of host plant,
management strategy, geography and micro-environment on shaping the distribution
and genetic structure of the obscure mealybug Pseudococcus viburni. An extensive
survey was completed using DNA barcoding methods to identify Chilean mealybugs to
the species level. Moreover, a fine-scale study of Ps. viburni genetic diversity
and population structure was carried out, genotyping 529 Ps. viburni individuals
with 21 microsatellite markers. Samples from 16 localities were analyzed using
Bayesian and spatially-explicit methods and the genetic dataset was confronted to
host-plant, management and environmental data. Chilean crops were found to be
infested by Ps. viburni, Pseudococcus meridionalis, Pseudococcus longispinus and
Planococcus citri, with Ps. viburni and Ps. meridionalis showing contrasting
distribution and host-plant preference patterns. Ps. viburni samples presented
low genetic diversity levels but high genetic differentiation. While no
significant genetic variance could be assigned to host-plant or management
strategy, climate and geography were found to correlate significantly with
genetic differentiation levels. The genetic characterization of Ps. viburni
within Chile will contribute to future studies tracing back the origin and
improving the management of this worldwide invader.
PMID- 26559637
TI - Randomised clinical trial: vonoprazan, a novel potassium-competitive acid
blocker, vs. lansoprazole for the healing of erosive oesophagitis.
AB - BACKGROUND: Vonoprazan is a novel potassium-competitive acid blocker which may
provide clinical benefit in acid-related disorders. AIM: To verify the non
inferiority of vonoprazan vs. lansoprazole in patients with erosive oesophagitis
(EE), and to establish its long-term safety and efficacy as maintenance therapy.
METHODS: In this multicentre, randomised, double-blind, parallel-group comparison
study, patients with endoscopically confirmed EE (LA Classification Grades A-D)
were randomly allocated to receive vonoprazan 20 mg or lansoprazole 30 mg once
daily after breakfast. The primary endpoint was the proportion of patients with
healed EE confirmed by endoscopy up to week 8. In addition, subjects who achieved
healed EE in the comparison study were re-randomised into a long-term study to
investigate the safety and efficacy of vonoprazan 10 or 20 mg as maintenance
therapy for 52 weeks. RESULTS: Of the 409 eligible subjects randomised, 401
completed the comparison study, and 305 entered the long-term maintenance study.
The proportion of patients with healed EE up to week 8 was 99.0% for vonoprazan
(203/205) and 95.5% for lansoprazole (190/199), thus verifying the non
inferiority of vonoprazan (P < 0.0001). Vonoprazan was also effective in patients
with more severe EE (LA Classification Grades C/D) and CYP2C19 extensive
metabolisers. In the long-term maintenance study, there were few recurrences
(<10%) of EE in patients treated with vonoprazan 10 or 20 mg. Overall, vonoprazan
was well-tolerated. CONCLUSIONS: The non-inferiority of vonoprazan to
lansoprazole in EE was verified in the comparison study, and vonoprazan was well
tolerated and effective during the long-term maintenance study.
PMID- 26559638
TI - The Victorian Melanoma Service: A 20-year review of an Australian
multidisciplinary cancer service.
AB - Australia has the highest incidence and mortality rates for melanoma in the
world. The Victorian Melanoma Service began operation in 1994 as one of the first
multidisciplinary melanoma clinics in Victoria. We conducted a review of the
Victorian Melanoma Service database of 6721 patients and present the trends
observed in a statewide referral centre in Australia. Our results highlight the
importance of multidisciplinary care of melanoma patients and emphasise the
significance of histological reviews and dermatological skin assessments for the
detection of synchronous melanoma.
PMID- 26559639
TI - Which direction should Australian health system reform be heading?
PMID- 26559640
TI - Automated amplicon design suitable for analysis of DNA variants by melting
techniques.
AB - BACKGROUND: The technological development of DNA analysis has had tremendous
development in recent years, and the present deep sequencing techniques present
unprecedented opportunities for detailed and high-throughput DNA variant
detection. Although DNA sequencing has had an exponential decrease in cost per
base pair analyzed, focused and target-specific methods are however still much in
use for analysis of DNA variants. With increasing capacity in the analytical
procedures, an equal demand in automated amplicon and primer design has emerged.
RESULTS: We have constructed a web-based tool that is able to batch design DNA
variant assay suitable for analysis by denaturing gel/capillary electrophoresis
and high resolution melting. The tool is developed as a computational workflow
that implements one of the most widely used primer design tools, followed by
validation of primer specificity, as well as calculation and visualization of the
melting properties of the resulting amplicon, with or without an artificial high
melting domain attached. The tool will be useful for scientists applying DNA
melting techniques in analysis of DNA variations. The tool is freely available at
http://meltprimer.ous-research.no/ . CONCLUSION: Herein, we demonstrate a novel
tool with respect to covering the whole amplicon design workflow necessary for
groups that use melting equilibrium techniques to separate DNA variants.
PMID- 26559641
TI - Tick exposure and extreme climate events impact survival and threaten the
persistence of a long-lived lizard.
AB - Assessing the impacts of multiple, often synergistic, stressors on the population
dynamics of long-lived species is becoming increasingly important due to recent
and future global change. Tiliqua rugosa (sleepy lizard) is a long-lived skink
(>30 years) that is adapted to survive in semi-arid environments with varying
levels of parasite exposure and highly seasonal food availability. We used an
exhaustive database of 30 years of capture-mark-recapture records to quantify the
impacts of both parasite exposure and environmental conditions on the lizard's
survival rates and long-term population dynamics. Lizard abundance was relatively
stable throughout the study period; however, there were changing patterns in
adult and juvenile apparent survival rates, driven by spatial and temporal
variation in levels of tick exposure and temporal variation in environmental
conditions. Extreme weather events during the winter and spring seasons were
identified as important environmental drivers of survival. Climate models predict
a dramatic increase in the frequency of extreme hot and dry winter and spring
seasons in our South Australian study region; from a contemporary probability of
0.17 up to 0.47-0.83 in 2080 depending on the emissions scenario. Our stochastic
population model projections showed that these future climatic conditions will
induce a decline in the abundance of this long-lived reptile of up to 67% within
30 years from 2080, under worst case scenario modelling. The results have broad
implications for future work investigating the drivers of population dynamics and
persistence. We highlight the importance of long-term data sets and accounting
for synergistic impacts between multiple stressors. We show that predicted
increases in the frequency of extreme climate events have the potential to
considerably and negatively influence a long-lived species, which might
previously have been assumed to be resilient to environmental perturbations.
PMID- 26559642
TI - Nanoparticle-Delivered Antisense MicroRNA-21 Enhances the Effects of Temozolomide
on Glioblastoma Cells.
AB - Glioblastoma (GBM) generally exhibits high IC50 values for its standard drug
treatment, temozolomide (TMZ). MicroRNA-21 (miR-21) is an oncomiR overexpressed
in GBM, thus controlling important aspects of glioma biology. We hypothesized
that PLGA nanoparticles carrying antisense miR-21 to glioblastoma cells might
beneficially knock down endogenous miR-21 prior to TMZ treatment. PLGA
nanoparticles encapsulating antisense miR-21 were effective in intracellular
delivery and sustained silencing (p < 0.01) of miR-21 function in U87 MG, LN229,
and T98G cells. Prior antisense miR-21 delivery significantly reduced the number
of viable cells (p < 0.001), and increased (1.6-fold) cell cycle arrest at G2/M
phase upon TMZ treatment in U87 MG cells. There was overexpression of the miR-21
target genes PTEN (by 67%) and caspase-3 (by 15%) upon cotreatment. This
promising PLGA nanoparticle-based platform for antisense miR-21 delivery to GBM
is an effective cotherapeutic strategy in cell culture, warranting the need for
further studies prior to future clinical translation.
PMID- 26559643
TI - Structured intervention for management of pain following day surgery in children.
AB - BACKGROUND: Ambulatory surgery forms a large part of pediatric surgical practice.
Several studies indicate that postoperative pain is poorly managed with more than
30% of children having moderate to severe pain. In a busy outpatient clinic
contact between healthcare professionals and the family is increasingly limited
calling for a global and efficient pain management regime. OBJECTIVE: The aim of
this prospective observational cohort study was to determine postoperative pain
intensity following day surgery in children after our structured intervention for
pain management. METHODS: A number of interventions in an effort to address
barriers to effective postoperative pain management after day surgery were
identified in the literature. By introducing our concept structured intervention,
we aimed to address the majority if not all these barriers. Accordingly, we
adapted postoperative pain management to each child using a multimodal approach
consisting of surgery-specific analgesia with weight appropriate doses of
acetaminophen and ibuprofen. Analgesics were handed out to the parents in
formulations accepted by child and parent and after thorough information to the
parents. RESULTS: Two hundred and forty-five children were scheduled for surgery
during the 3-month period of which 149 children were available for analysis. The
postoperative pain as assessed by the parents with a the Short Form of the
Parents' Postoperative Pain Measure (PPPM-SF) was well managed exhibiting a
median pain score of 4 on postoperative day 0 (POD0) and median 1 on
postoperative day 1 (POD1) and a numeric rating scale (NRS) median pain score of
2 on POD0 and median 1 on POD1. We found a highly significant correlation between
the PPPM-SF and the NRS scores. CONCLUSION: After thorough information of the
parents we have successfully implemented a surgery-specific regime of primarily
around-the-clock dosing of drug formulations acceptable for the specific child
with dispensed medication ready available for the family.
PMID- 26559644
TI - Feasibility of surgeon-performed ultrasound-guided core needle biopsy in the
thyroid and lymph nodes.
AB - BACKGROUND: The purpose of this study was to evaluate the feasibility of
ultrasound-guided core needle biopsy (CNB) performed by a surgeon for mass
lesions in the thyroid and lymph nodes. METHODS: A single surgeon performed 30
office-based ultrasound-guided CNB procedures for mass lesions in the thyroid and
lymph nodes that were previously biopsied by ultrasound-guided fine-needle
aspiration cytology (FNAC). The procedure time, targeting success, pathological
diagnosis, and complications were evaluated. RESULTS: The mean procedure time for
ultrasound-guided CNB was 6.7 minutes, and it reached a plateau of 4 to 7 minutes
after the first 5 procedures. The overall unsatisfactory sampling rate was 3.3%
(1 of 30). Specific pathological diagnoses that permitted the surgeon to
establish an appropriate treatment plan were provided in 93.3% of the patients
(28 of 30). There were no major complications. CONCLUSION: Ultrasound-guided CNB
is technically feasible for a head and neck surgeon and a useful adjunct
technique when ultrasound-guided FNAC is inadequate for mass lesions in the
thyroid and lymph nodes. (c) 2015 Wiley Periodicals, Inc. Head Neck 38: E1413
E1418, 2016.
PMID- 26559645
TI - Thermostilla marina gen. nov., sp. nov., a thermophilic, facultatively anaerobic
planctomycete isolated from a shallow submarine hydrothermal vent.
AB - A novel thermophilic planctomycete (strain SVX8T) was isolated from a shallow
submarine hydrothermal vent, Vulcano Island, Italy. The temperature range for
growth was 30-68 degrees C, with an optimum at 55 degrees C. The pH range for
growth was 5.0-9.0, with an optimum at pH 7.0-8.0. Growth was observed at NaCl
concentrations ranging from 0.8 to 4.5 % (w/v) with an optimum at 2.5-3.5 %
(w/v). The isolate grew anaerobically using a number of mono-, di- and
polysaccharides as electron donors and nitrate or elemental sulfur as electron
acceptors or by fermentation. Nitrate was reduced to nitrite; sulfur was reduced
to sulfide. Strain SVX8T did not grow at atmospheric concentration of oxygen but
grew microaerobically (up to 2 % oxygen in the gas phase). The G+C content of the
DNA of strain SVX8T was 58.5 mol%. Based on phylogenetic position and phenotypic
features, the new isolate is considered to represent a novel species belonging to
a new genus in the order Planctomycetales, for which the name Thermostilla marina
gen. nov., sp. nov. is proposed. The type strain of Thermostilla marina is SVX8T
( = JCM 19992T = VKM B-2881T). Strain SVX8T is the first thermophilic
planctomycete isolated from a marine environment.
PMID- 26559646
TI - The Role of Sensorimotor Incongruence in Pain in Professional Dancers.
AB - This study evaluated whether dancers with pain experience more sensory changes
during an experimentally induced sensorimotor incongruent task and explored the
relationship between sensorimotor incongruence and self-reported measures (e.g.,
Short Form 36-questionnaire (SF-36), psychosocial variables and physical
activity). Forty-four dancers were subjected to a bimanual coordination test
simulating sensorimotor incongruence (i.e., performing congruent and incongruent
arm movements while viewing a whiteboard or mirror) and completed standardized
questionnaires. Significantly more dancers experienced sensory changes during the
performance of incongruent movements while viewing a mirror (p < .01), but the
intensity of the reported sensations was very low. No differences were observed
between dancers with and without baseline pain, but significant negative
associations were found between sensorimotor incongruence and subscores of the SF
36. Sensorimotor incongruence can provoke small sensory changes in dancers but
appears unrelated to baseline pain symptoms. Sensorimotor incongruence appears to
be related to quality of life.
PMID- 26559647
TI - Pink Noise in Rowing Ergometer Performance and the Role of Skill Level.
AB - The aim of this study was to examine (1) the temporal structures of variation in
rowers' (natural) ergometer strokes to make inferences about the underlying motor
organization, and (2) the relation between these temporal structures and skill
level. Four high-skilled and five lower-skilled rowers completed 550 strokes on a
rowing ergometer. Detrended Fluctuation Analysis was used to quantify the
temporal structure of the intervals between force peaks. Results showed that the
temporal structure differed from random, and revealed prominent patterns of pink
noise for each rower. Furthermore, the high-skilled rowers demonstrated more pink
noise than the lower-skilled rowers. The presence of pink noise suggeststhat
rowing performance emerges from the coordination among interacting component
processes across multiple time scales. The difference in noise pattern between
high-skilled and lower-skilled athletes indicates that the complexity of
athletes' motor organization is a potential key characteristic of elite
performance.
PMID- 26559648
TI - Reconstruction of Large Cheek Defect With/Without Sideburn Using Malar-Posterior
Auricular-Cervico Flap.
AB - The cheek region is the most common site for malignant tumor occurrence and the
treatment of malignant skin tumor requires extensive local excision. Many
previous reports have covered methods of reconstruction using local flaps for
skin defects in the cheek region.In this article, we describe our experience with
a surgical reconstruction using a new flap method for 8 patients with missing
tissue in the cheek region. This flap is based on the concept of separately
designing the flap with the 3 areas comprising the malar, posterior auricular,
and cervical regions. We named the flap containing these 3 regions the malar
posterior auricular-cervico flap. Esthetically satisfactory outcomes were
achieved in all cases.In conclusion, we recommend our malar-posterior auricular
cervico flap to reconstruct the tissue defects of approximately 20 to 40 cm after
tumor excision in the cheek region. If preauricular skin is included in the
design of this flap, the sideburn can be reconstructed using a part of the nape
region with hair.
PMID- 26559650
TI - Atypical chorioretinal coloboma in a Golden Retriever: a retinographic,
fluoroangiographic, and optical coherence tomography study.
AB - PURPOSE: To report a case of canine atypical chorioretinal coloboma where
ophthalmoscopic, fluoroangiographic and optical coherence tomography
characteristics are described. ANIMAL STUDIED: A 2-year-old Golden Retriever dog
in which routine ophthalmoscopic examination allowed diagnosis of a posterior
coloboma on the left eye. PROCEDURES: Retinography, fluorescein angiography, and
optical coherence tomography were performed to characterize the lesion of the
left fundus. RESULTS: Ophthalmoscopy revealed a pigmented circumscribed lesion
dorsal to the optic nerve of the left fundus, surrounded by small areas of hyper
reflectivity and retinal vessels with an abnormal pattern. Fluoroangiography
revealed a constant hypofluorescence of the defect and a complete altered
vascular pattern associated with the coloboma. Optical coherence study
demonstrated an important craterlike depression showing an atrophic neurosensory
retina, and a lack of retinal pigment epithelium and choroidal tissue.
CONCLUSIONS: Fluoroangiographic and optical coherence tomographic studies of an
atypical chorioretinal coloboma in a dog are reported for the first time. Both
imaging techniques are of great importance to characterize this type of
congenital defects.
PMID- 26559649
TI - Outcomes After Combined Radical Resection and Targeted Biologic Therapy for the
Management of Recalcitrant Hidradenitis Suppurativa.
AB - BACKGROUND: Trials demonstrating the efficacy of biologic therapy for moderate to
severe hidradenitis suppurativa (HS) have inspired new multidisciplinary
treatment strategies. We present our experience with combined biologic and
surgical therapy for recalcitrant HS. METHODS: Between 2011 and 2014, 21 patients
(57 cases) with Hurley Stage III HS underwent radical resection with delayed
primary closure alone, or in combination with adjuvant biologic therapy.
Demographic data, treatment regimen, outcomes, and complications were
retrospectively reviewed for all cases. RESULTS: Eleven patients underwent
combined surgical and biologic therapy, whereas radical resection alone was
performed in 10 patients. The average soft tissue deficit, before closure, for
the combined and surgery-only patients was 56 cm and 48.5 cm, respectively (P =
0.66). Biologic agents including infliximab (n = 8) and ustekinumab (n = 3) were
initiated 2 to 3 weeks after closure and were continued for an average of 10.5
months. Recurrence was noted in 19% (4/29) and 38.5% (10/26) of previously
treated sites for combined and surgery-only patients (P < 0.01). For the combined
cohort, the disease-free interval was approximately 1 year longer on average (P <
0.001); however, this difference was reduced to 4.5 months when considering time
to recurrence after cessation of biologic therapy (P = 0.09). New disease
developed in 18% (2/11) and 50% (5/10) of combined and surgery-only patients,
respectively (P < 001). No adverse events were noted among patients who received
biologic therapy. CONCLUSIONS: Lower rates of recurrence and disease progression,
as well as a longer disease-free interval may be achieved with the use of
adjuvant biologic therapy after radical resection for recalcitrant HS.
PMID- 26559651
TI - Hypervalent Activation as a Key Step for Dehydrogenative ortho C-C Coupling of
Iodoarenes.
AB - Building on earlier results, a direct metal-free alpha-arylation of substituted
cyclic 1,3-diones using ArI(O2CCF3)2 reagents has been developed; unlike other
arylative approaches, the arylated products retain the iodine substituent ortho
to the newly formed C-C bond. The mechanism is explored by using DFT
calculations, which show a vanishingly small activation barrier for the C-C bond
forming step. In fact, taking advantage of an efficient in situ hypervalent
activation, the iodoarenes are shown to undergo a cross-dehydrogenative C-C
coupling at the C-H ortho to the iodine. When Oxone is used as terminal oxidant,
the process is found to benefit from a rapid initial formation of the hypervalent
ArI(OR)2 species and the sulfate-accelerated final coupling with a ketone. This
method complements the ipso selectivity obtained in the metal-catalyzed alpha
arylation of carbonyl compounds.
PMID- 26559652
TI - Erectile Dysfunction and Mortality in a National Prospective Cohort Study.
AB - INTRODUCTION: Emerging work has shown erectile dysfunction (ED) to be an
important indicator of cardiovascular risk via its shared pathophysiology. Yet
limited research has examined if a direct relationship between ED and mortality
risk exists. AIM: The purpose of this brief report was to better define the
relationship between ED and mortality risk. METHODS: Prevalent ED was assessed
with the question: "How would you describe your ability to get and keep an
erection adequate for satisfactory intercourse?" Participant data from the
population-based 2003-2004 National Health and Nutrition Examination Survey
(NHANES) was linked to death certificates from the National Death Index for
mortality assessment. MAIN OUTCOME MEASURES: Increased risk of premature all
cause mortality among those with ED (vs. those without). RESULTS: Of 1,790 adult
men providing complete data (age range: 20-85 years; mean = 45.4 year), with 557
having ED, over a 93-month follow-up, 244 deceased over this time. After
adjustments, those with ED (vs. those without) had a 70% increased risk of
premature all-cause mortality (hazards ratio = 1.70; 95% confidence interval;
1.01-2.85; P = 0.04). CONCLUSIONS: ED is associated with increased premature
mortality risk. The present findings have major public health and clinical
implications in that ED is a strong indicator of premature mortality. Therefore,
patients with ED should be screened and possibly treated for complications that
may increase the risk of premature death.
PMID- 26559653
TI - Synthesis, Structure, and Reactivity of the Ethyl Yttrium Metallocene,
(C5Me5)2Y(CH2CH3), Including Activation of Methane.
AB - (C5Me5)2Y(MU-Ph)2BPh2, 1, reacted with ethyllithium at -15 degrees C to make
(C5Me5)2Y(CH2CH3), 2, which is thermally unstable at room temperature and formed
the C-H bond activation product, (C5Me5)2Y(MU-H)(MU-eta(1):eta(5)
CH2C5Me4)Y(C5Me5), 3, containing a metalated (C5Me5)(1-) ligand. Spectroscopic
evidence for 2 was obtained at low temperature, and trapping experiments with
(i)PrNCN(i)Pr and CO2 gave the Y-CH2CH3 insertion products,
(C5Me5)2Y[(i)PrNC(Et)N(i)Pr-kappa(2)N,N'], 4, and [(C5Me5)2Y(MU-O2CEt)]2, 5.
Although 2 is highly reactive, low temperature isolation methods allowed the
isolation of single crystals which revealed an 82.6(2) degrees Y-CH2-CH3 bond
angle consistent with an agostic structure in the solid state. Complex 2 reacted
with benzene and toluene to make (C5Me5)2YPh, 7, and (C5Me5)2YCH2Ph, 8,
respectively. The reaction of 2 with [(C5Me5)2YCl]2 formed (C5Me5)2Y(MU-Cl)(MU
eta(1):eta(5)-CH2C5Me4)Y(C5Me5) in which a (C5Me5)(1-) ligand was metalated. C-H
bond activation also occurred with methane which reacted with 2 to make
[(C5Me5)2YMe]2, 9.
PMID- 26559654
TI - Not All Inner Ears are the Same: Otolith Matrix Proteins in the Inner Ear of Sub
Adult Cichlid Fish, Oreochromis Mossambicus, Reveal Insights Into the
Biomineralization Process.
AB - The fish ear stones (otoliths) consist mainly of calcium carbonate and have lower
amounts of a proteinous matrix. This matrix consists of macromolecules, which
directly control the biomineralization process. We analyzed the composition of
this proteinous matrix by mass spectrometry in a shotgun approach. For this
purpose, an enhanced protein purification technique was developed that excludes
any potential contamination of proteins from body fluids. Using this method we
identified eight proteins in the inner ear of Oreochromis mossambicus. These
include the common otolith matrix proteins (OMP-1, otolin-1, neuroserpin, SPARC
and otoconin), and three proteins (alpha tectorin, otogelin and transferrin) not
previously localized to the otoliths. Moreover, we were able to exclude the
occurrence of two matrix proteins (starmaker and pre-cerebellin-like protein)
known from other fish species. In further analyses, we show that the absence of
the OMP starmaker corresponds to calcitic otoliths and that pre-cerebellin-like
protein is not present at any stage during the development of the otoliths of the
inner ear. This study shows O. mossambicus does not have all of the known otolith
proteins indicating that the matrix proteins in the inner ear of fish are not the
same across species. Further functional studies of the novel proteins we
identified during otolith development are required.
PMID- 26559655
TI - Emerging methodologies for pathogen identification in positive blood culture
testing.
AB - Bloodstream infections (BSIs) represent a major cause of death in developed
countries and are associated with long-term loss of functions. Blood culture
remains the gold standard for BSI diagnosis, as it is easy to perform and
displays a good analytical sensitivity. However, its major drawback remains the
long turnaround time, which can result in inappropriate therapy, fall of survival
rate, emergence of antibiotic resistance and increase of medical costs. Over the
last 10 years, molecular tools have been the alternative to blood cultures,
allowing early identification of pathogens involved in sepsis, as well detection
of critical antibiotic resistance genes. Besides, the advent of MALDI-TOF
revolutionized practice in routine microbiology significantly reduced the time to
result. Reviewed here are recent improvements in early BSI diagnosis and these
authors' view for the future is presented, including innovative high-throughput
technologies.
PMID- 26559656
TI - Probing 2D black phosphorus by quantum capacitance measurements.
AB - Two-dimensional materials and their heterostructures have emerged as a new class
of materials, not only for fundamental physics but also for electronic and
optoelectronic applications. Black phosphorus (BP) is a relatively new addition
to this class of materials. Its strong in-plane anisotropy makes BP a unique
material for making conceptually new types of electronic devices. However, the
global density of states (DOS) of BP in device geometry has not been measured
experimentally. Here, we report the quantum capacitance measurements together
with the conductance measurements on an hBN-protected few-layer BP (~six layers)
in a dual-gated field effect transistor (FET) geometry. The measured DOS from our
quantum capacitance is compared with density functional theory (DFT). Our results
reveal that the transport gap for quantum capacitance is smaller than that in
conductance measurements due to the presence of localized states near the band
edge. The presence of localized states is confirmed by the variable range hopping
seen in our temperature dependence conductivity. A large asymmetry is observed
between the electron and hole side. This asymmetric nature is attributed to the
anisotropic band dispersion of BP. Our measurements establish the uniqueness of
quantum capacitance in probing the localized states near the band edge, hitherto
not seen in conductance measurements.
PMID- 26559657
TI - Predictive wear modeling of the articulating metal-on-metal hip replacements.
AB - The lubrication regime in which artificial hip joints operate adds complexity to
the prediction of wear, as the joint operates in both the full fluid film regime
specifically the elastohydrodynamic lubrication (EHL) regime-and the mixed or
boundary lubrication regimes, where contact between the bearing surfaces results
in wear. In this work, a wear model is developed which considers lubrication for
the first time via a transient EHL model of metal-on-metal hip replacements. This
is a framework to investigate how the change in film thickness influences the
wear, which is important to further investigation of the complex wear procedure,
including tribocorrosion, in the lubricated hip implants. The wear model applied
here is based on the work of Sharif et al. who adapted the Archard wear law by
making the wear rate a function of a relative film thickness nominalized by
surface roughness for examining wear of industrial gears. In this work, the gait
cycle employed in hip simulator tests is computationally investigated and wear is
predicted for two sizes of metal-on-metal total hip replacements. The wear
results qualitatively predict the typical wear curve obtained from experimental
hip simulator tests, with an initial "running-in period" before a lower wear rate
is reached. The shape of the wear scar has been simulated on both the acetabular
cup and the femoral head bearing surfaces. (c) 2015 Wiley Periodicals, Inc. J
Biomed Mater Res Part B: Appl Biomater, 105B: 497-506, 2017.
PMID- 26559658
TI - Efficacy and Safety of Citalopram in Treating Post-Stroke Depression: A Meta
Analysis.
AB - BACKGROUND AND PURPOSE: To evaluate the citalopram in post-stroke depression
treatment, we compared its use to other selective serotonin reuptake inhibitors
(SSRIs), tricyclic antidepressants (TCAs), and traditional Chinese medicines
(TCMs). METHODS: We searched databases up to May 2015. Relative risk (RR) for
dichotomous data and standard mean difference (SMD) for continuous variables were
analyzed using Stata 13.1. RESULTS: The RR of the efficacy index compared to TCAs
was 1.07 (95% CI 1.01-1.14, p = 0.02), and the Hamilton Depression Scale with
citalopram was lower than that found with other SSRIs (SMD -0.43, 95% CI -0.85 to
-0.01, p < 0.05) and TCAs (SMD -0.31, 95% CI -0.50 to -0.12, p < 0.05) in 6-week.
The Treatment Emergent Symptom Scale with citalopram was lower than that with
other SSRIs (SMD -0.33, 95% CI -0.56 to -0.10, p = 0.01, 1-week). The p values
were all >0.05 on citalopram vs. TCMs. CONCLUSIONS: The efficacy of citalopram
maybe not very significant compared to that of other SSRIs or TCAs. But
citalopram has fewer side effects especially in the first week. We, however, need
further researches to compare citalopram to TCMs in future.
PMID- 26559659
TI - Acetoxymethyl Ester of Tetrabromobenzimidazole-Peptoid Conjugate for Inhibition
of Protein Kinase CK2 in Living Cells.
AB - CK2 is a ubiquitous serine/threonine protein kinase, which has the potential to
catalyze the generation of a large proportion of the human phosphoproteome. Due
to its role in numerous cellular functions and general anti-apoptotic activity,
CK2 is an important target of research with therapeutic potential. This
emphasizes the need for cell-permeable highly potent and selective inhibitors and
photoluminescence probes of CK2 for investigating the protein phosphorylation
networks in living cells. Previously, we had developed bisubstrate inhibitors for
CK2 (CK2-targeted ARCs) that showed remarkable affinity (KD < 1 nM) and
selectivity, but lacked proteolytic stability and plasma membrane permeability.
In this report, the structures of CK2-targeted ARCs were modified for the
application in live cells. Based on structure-activity studies, proteolytically
stable achiral oligoanionic peptoid conjugates of 4,5,6,7-tetrabromo-1H
benzimidazole (TBBz) were constructed. Affinity of the conjugates toward CK2
reached subnanomolar range. Acetoxymethyl (AM) prodrug strategy was applied for
loading TBBz-peptoid conjugates into living cells. The uptake of inhibitors was
visualized by live cell imaging and the reduction of the phosphorylation levels
of two CK2-related phosphosites, Cdc37 pSer13 and NFkappaB pSer529, was
demonstrated by Western blot analysis.
PMID- 26559660
TI - Solid-state NMR characterization of tri-ethyleneglycol grafted
polyisocyanopeptides.
AB - In aqueous media, ethylene glycol substituted polyisocyanopeptides (PICPs) change
their state (undergo a sol-to-gel transition) as a response to temperature. This
makes them promising materials for various biomedical applications, for instance,
for controlled drug release and non-damaging wound dressing. To utilize PICP in
biomedical applications, understanding of the origin of the gelation process is
needed, but this is experimentally difficult because of the notoriously low
gelator concentration in combination with the slow polymer dynamics in the
sample. This paper describes a detailed characterization of the dried state of
PICPs by solid-state NMR measurements. Both the (13) C and the (1) H NMR
resonances were assigned using a combination of 1D cross-polarization magic angle
spinning, 2D (13) C-(1) H heteronuclear correlation spectra and (1) H-(1) H
single quantum-double quantum experiments. In addition, the chemical groups
involved in dipolar interaction with each other were used to discuss the dynamics
and spatial conformation of the polymer. In contrast to other PICP polymers, two
resonances for the backbone carbon are observed, which are present in equal
amounts. The possible origin of these resonances is discussed in the last section
of this work. The data obtained during the current studies will be further used
in elucidating mechanisms of the bundling and gelation. A comprehensive picture
will make it possible to tailor polymer properties to meet specific needs in
different applications. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26559661
TI - Different effects of olive leaf extract on antioxidant enzyme activities in
midbrain and dopaminergic neurons of Substantia Nigra in young and old rats.
AB - OBJECTIVES: Study of the effects of olive leaf extract on antioxidant enzyme
activities in midbrain and dopaminergic neurons of Substantia Nigra in young and
old rats. METHODS: Male wistar rats age 4 and 18 months were randomized into
control and experimental groups. A single daily dose of 50 mg/kg of olive leaf
extract was administered orally by gavage to each rat for 6 months. The control
group received only distilled water. All rats were sacrificed 2 hours after the
last gavage and their midbrains were separated for Malondialdehyde (MDA) and
antioxidant enzyme activitiy analysis. TUNEL assay and immunohistochemical (IHC)
staining were used for evaluation of the number of neurons in the Substantia
Nigra. RESULTS: The level of Catalase, Glutathione Peroxidase and Superoxide
Dismutase enzyme activity were significantly increased in experimental young and
old groups compared to their control groups. However the level of Superoxide
Dismutase enzyme activity was significantly increased in experimental old group
when compared to control group (P< 0.05), the level of Superoxide Dismutase
enzyme activity was not significantly changed in young groups. MDA level was
decreased significantly in experimental young and old rats compared to their
control groups. Histological analysis demonstrated that the number of neurons in
Substantia Nigra of experimental old group was more than the control group
(P<0.05). The number of apoptotic cells was significantly decreased in
experimental old group compared to the corresponding control group (P<0.05). In
IHC and TUNEL assay, no change was observed in the number of neurons between
experimental and control young groups. CONCLUSION: Long term treatment with olive
leaf extract increases antioxidant enzyme activity and protects the neurons in
Substantia Nigra against oxidative stress.
PMID- 26559662
TI - Genomic dissection and prediction of heading date in perennial ryegrass.
AB - BACKGROUND: Genomic selection (GS) has become a commonly used technology in
animal breeding. In crops, it is expected to significantly improve the genetic
gains per unit of time. So far, its implementation in plant breeding has been
mainly investigated in species farmed as homogeneous varieties. Concerning crops
farmed in family pools, only a few theoretical studies are currently available.
Here, we test the opportunity to implement GS in breeding of perennial ryegrass,
using real data from a forage breeding program. Heading date was chosen as a
model trait, due to its high heritability and ease of assessment. Genome Wide
Association analysis was performed to uncover the genetic architecture of the
trait. Then, Genomic Prediction (GP) models were tested and prediction accuracy
was compared to the one obtained in traditional Marker Assisted Selection (MAS)
methods. RESULTS: Several markers were significantly associated with heading
date, some locating within or proximal to genes with a well-established role in
floral regulation. GP models gave very high accuracies, which were significantly
better than those obtained through traditional MAS. Accuracies were higher when
predictions were made from related families and from larger training populations,
whereas predicting from unrelated families caused the variance of the estimated
breeding values to be biased downwards. CONCLUSIONS: We have demonstrated that
there are good perspectives for GS implementation in perennial ryegrass breeding,
and that problems resulting from low linkage disequilibrium (LD) can be reduced
by the presence of structure and related families in the breeding population.
While comprehensive Genome Wide Association analysis is difficult in species with
extremely low LD, we did identify variants proximal to genes with a known role in
flowering time (e.g. CONSTANS and Phytochrome C).
PMID- 26559663
TI - Comparison of lipid profiles of Malassezia pachydermatis strains isolated from
dogs with otitis externa and without clinical symptoms of disease.
AB - Malassezia pachydermatis can cause infections of the skin and mucous membranes,
especially in animals. It becomes a problem also in medicine. It is considered
that metabolic disorders as well as hormonal and immunological status of the host
promote diseases caused by M. pachydermatis. Here we consider whether specific
features of fungi could also favour infections. We checked whether there are
differences in lipid profiles between strains obtained from dogs with otitis
externa and strains obtained from healthy dogs. Lipid profiles were determined
using thin layer chromatography and gas chromatography-mass spectrometry. All
analyses were carried out on 32 strains derived from dogs with otitis externa and
31 strains isolated from dogs without symptoms of disease. The results show that
strains isolated from dogs without symptoms of otitis externa are characterised
by a higher content of fatty acids. They contain significantly more behenic and
lignoceric acids on medium without addition of lipids, and more oleic acid and
total monounsaturated fatty acids on medium with lipids supplementation. These
strains have also a higher content of esters of ergosterol and triglycerides.
Data obtained show differences which may be specific features of M. pachydermatis
specific strains related to the ability of infection, which could be not directly
related of the host condition.
PMID- 26559664
TI - Balancing the risks and benefits of biologic therapy in inflammatory bowel
diseases.
AB - INTRODUCTION: The incidence of Inflammatory Bowel Diseases (IBD) is rising and
overall epidemiology is changing. Goals of IBD therapy are also fast changing to
reflect the concept of mucosal healing. IBD management is increasingly revolving
around questions of ideal positioning of biologic therapies. AREAS COVERED: This
paper covers important concepts regarding two classes of biologic medications
approved for treatment of IBD in the United States - anti-TNF-alpha agents and
lymphocyte-homing antagonists. Topics covered include drug mechanism of action,
pharmacokinetic considerations for the clinician including therapeutic drug
monitoring, summary of current evidence of drug efficacy in IBD focusing on
randomized, controlled trial data. Additionally, nuanced discussion of medication
side-effects and adverse reactions is presented. EXPERT OPINION: Paradigms of
treatment goals in IBD are changing with increasing focus on mucosal healing.
Concomitantly, our understanding of important factors that impact drug
pharmacokinetic/pharmacodynamics relationships with biologic agents has increased
which will help eventually develop personalized algorithms to optimize the
efficacy of these agents. Though direct head-to-head comparisons between these
agents are lacking, biologic agents can be considered the safest and most
effective therapies introduced for IBD.
PMID- 26559665
TI - Rare transmission of hepatitis B virus by Dutch donors with occult infection.
AB - BACKGROUND: To reduce the rate of transfusion-transmitted hepatitis B virus
(HBV), HBV DNA testing was introduced for all Dutch blood donations in 2008, in
addition to the existing screening for HBV surface antigen (HBsAg). This study
describes the lookback results for repeat donors with an "HBV DNA-only" test
result (HBV DNA-positive and HBsAg-negative). STUDY DESIGN AND METHODS: From
November 2008 until June 2011, a total of 2.3 million blood donations were tested
for HBV DNA and 22 donors showed the HBV DNA-only profile. Four donors had early
preseroconversion HBV infection, two showed suppressed infection after
vaccination, and 16 donors had occult chronic HBV infection (OBI). Potentially
infectious donations were traced back as far as 1992. If possible the recipients
were tested for current and past HBV infection. RESULTS: A total of 416
implicated donations could be traced in blood bank records, involving 448 issued
blood products. For 170 (38%) of the recipients no information was obtained from
the hospitals; 196 (44%) recipients had died, and 82 (18%) were tested for HBV.
Six recipients tested positive for current (n = 4) or past (n = 2) HBV infection.
For two recipients transfusion was ruled out as the source of infection. Three
patients showed HBV DNA sequences matching with the HBV in one common OBI donor.
Overall, in four of 82 tested recipients (5%) HBV transmission was likely.
CONCLUSION: In our lookback study HBV testing was possible in only a minority
(18%) of potentially exposed recipients. A low transmission rate (5%) was
observed in recipients of blood components from donors with OBI.
PMID- 26559666
TI - Prediction of color changes in acetaminophen solution using the time-temperature
superposition principle.
AB - A prediction method for color changes based on the time-temperature superposition
principle (TTSP) was developed for acetaminophen solution. Color changes of
acetaminophen solution are caused by the degradation of acetaminophen, such as
hydrolysis and oxidation. In principle, the TTSP can be applied to only thermal
aging. Therefore, the impact of oxidation on the color changes of acetaminophen
solution was verified. The results of our experiment suggested that the oxidation
products enhanced the color changes in acetaminophen solution. Next, the color
changes of acetaminophen solution samples of the same head space volume after
accelerated aging at various temperatures were investigated using the Commission
Internationale de l'Eclairage (CIE) LAB color space (a*, b*, L* and DeltaE*ab),
following which the TTSP was adopted to kinetic analysis of the color changes.
The apparent activation energies using the time-temperature shift factor of a*,
b*, L* and DeltaE*ab were calculated as 72.4, 69.2, 72.3 and 70.9 (kJ/mol),
respectively, which are similar to the values for acetaminophen hydrolysis
reported in the literature. The predicted values of a*, b*, L* and DeltaE*ab at
40 degrees C were obtained by calculation using Arrhenius plots. A comparison
between the experimental and predicted values for each color parameter revealed
sufficiently high R(2) values (>0.98), suggesting the high reliability of the
prediction. The kinetic analysis using TTSP was successfully applied to
predicting the color changes under the controlled oxygen amount at any
temperature and for any length of time.
PMID- 26559667
TI - Changes in Bone Mineral Density in the Year after Critical Illness.
AB - RATIONALE: Critical illness may be associated with increased bone turnover and
loss of bone mineral density (BMD). Prospective evidence describing long-term
changes in BMD after critical illness is needed to further define this
relationship. OBJECTIVES: To measure the change in BMD and bone turnover markers
(BTMs) in subjects 1 year after critical illness compared with population-based
control subjects. METHODS: We studied adult patients admitted to a tertiary
intensive care unit (ICU) who required mechanical ventilation for at least 24
hours. We measured clinical characteristics, BTMs, and BMD during admission and 1
year after ICU discharge. We compared change in BMD to age- and sex-matched
control subjects from the Geelong Osteoporosis Study. MEASUREMENTS AND MAIN
RESULTS: Sixty-six patients completed BMD testing. BMD decreased significantly in
the year after critical illness at both femoral neck and anterior-posterior spine
sites. The annual decrease was significantly greater in the ICU cohort compared
with matched control subjects (anterior-posterior spine, -1.59%; 95% confidence
interval, -2.18 to -1.01; P < 0.001; femoral neck, -1.20%; 95% confidence
interval, -1.69 to -0.70; P < 0.001). There was a significant increase in 10-year
fracture risk for major fractures (4.85 +/- 5.25 vs. 5.50 +/- 5.52; P < 0.001)
and hip fractures (1.57 +/- 2.40 vs. 1.79 +/- 2.69; P = 0.001). The pattern of
bone resorption markers was consistent with accelerated bone turnover.
CONCLUSIONS: Critically ill individuals experience a significantly greater
decrease in BMD in the year after admission compared with population-based
control subjects. Their bone turnover biomarker pattern is consistent with an
increased rate of bone loss.
PMID- 26559668
TI - Developmental DNA methyltransferase inhibitors in the treatment of gynecologic
cancers.
AB - INTRODUCTION: DNA methylation has become an attractive target for the treatment
of cancer. DNA methyltransferase inhibitors have proven useful for the treatment
of myelodysplastic syndrome and are being evaluated in gynecological neoplasias.
AREAS COVERED: We provide an overview of the current knowledge on DNA methylation
and cancer and the role of DNA methylation in cervical, ovarian and endometrial
carcinomas. The results of recent clinical trials with demethylating agents for
cervical and ovarian cancer treatment are also discussed. EXPERT OPINION: There
are few studies of DNA demethylating agents for cervical and ovarian cancer
treatment; nevertheless, the results are promising. To accelerate these advances,
there are at least two actions that can be simultaneously pursued. One is to
greatly increase the number of small clinical exploratory trials with existing
demethylating drugs and using methylome analyses to identify predictive factors
for response and/or toxicity. The second is finding out epigenetic 'drivers'
unique to gynecological cancers and their subtypes, and then proceed to clinical
trials in a highly selected population of patients. It is expected that in the
future, DNA demethylation could have a role in the treatment of gynecologic
cancers.
PMID- 26559669
TI - Neuroanatomical Correlates of Theory of Mind Deficit in Parkinson's Disease: A
Multimodal Imaging Study.
AB - BACKGROUND: Parkinson's disease (PD) patients show theory of mind (ToM) deficit
since the early stages of the disease, and this deficit has been associated with
working memory, executive functions and quality of life impairment. To date,
neuroanatomical correlates of ToM have not been assessed with magnetic resonance
imaging in PD. The main objective of this study was to assess cerebral correlates
of ToM deficit in PD. The second objective was to explore the relationships
between ToM, working memory and executive functions, and to analyse the neural
correlates of ToM, controlling for both working memory and executive functions.
METHODS: Thirty-seven PD patients (Hoehn and Yahr median = 2.0) and 15 healthy
controls underwent a neuropsychological assessment and magnetic resonance images
in a 3T-scanner were acquired. T1-weighted images were analysed with voxel-based
morphometry, and white matter integrity and diffusivity measures were obtained
from diffusion weighted images and analysed using tract-based spatial statistics.
RESULTS: PD patients showed impairments in ToM, working memory and executive
functions; grey matter loss and white matter reduction compared to healthy
controls. Grey matter volume decrease in the precentral and postcentral gyrus,
middle and inferior frontal gyrus correlated with ToM deficit in PD. White matter
in the superior longitudinal fasciculus (adjacent to the parietal lobe) and white
matter adjacent to the frontal lobe correlated with ToM impairment in PD. After
controlling for executive functions, the relationship between ToM deficit and
white matter remained significant for white matter areas adjacent to the
precuneus and the parietal lobe. CONCLUSIONS: Findings reinforce the existence of
ToM impairment from the early Hoehn and Yahr stages in PD, and the findings
suggest associations with white matter and grey matter volume decrease. This
study contributes to better understand ToM deficit and its neural correlates in
PD, which is a basic skill for development of healthy social relationships.
PMID- 26559670
TI - Subcutaneous intravascular papillary endothelial hyperplasia: ultrasound features
and pathological correlation.
AB - BACKGROUND: To describe grayscale and color Doppler ultrasound features of
subcutaneous intravascular papillary endothelial hyperplasia (IPEH). MATERIALS
AND METHODS: The ultrasound appearances of ten histologically proven subcutaneous
IPEH in ten patients (age range, 15-69 years; mean age, 38.2 years; six females,
four males) were reviewed retrospectively by two musculoskeletal radiologists.
Color Doppler examination and surgical excision were performed in all cases. The
correlations between the ultrasound and pathological features of the lesions were
done. RESULTS: All ten cases were pathologically diagnosed as pure forms of IPEH.
The mean size of the lesions was 1.3 cm. The margins of the lesions were
circumscribed in seven of ten patients. Three had lobular margins. The distinct
internal septum-like structures were seen in seven of ten cases (70 %). The
vascularity was rich in three (30 %), moderate in four (40 %), and little in
three (30 %) of the ten cases. The most common vascular pattern was one or more
vessels peripherally or both peripherally and centrally located in the lesion.
The detectable origin vessel was noted in four of ten cases (40 %). CONCLUSIONS:
Although sonographic features of subcutaneous IPEH are non-specific, they should
be included in the differential diagnosis of a small, well-defined, oval or
elliptical, heterogeneous, hypoechoic soft tissue mass, showing a vascular
pattern of one or more vessels in the lesion and variable vascularity. The
presence of the internal septum-like structures and detectable origin vessel may
be help to distinguish the lesion from the other soft tissue masses.
PMID- 26559671
TI - Fast and Powerful: Biomechanics and Bite Forces of the Mandibles in the American
Cockroach Periplaneta americana.
AB - Knowing the functionality and capabilities of masticatory apparatuses is
essential for the ecological classification of jawed organisms. Nevertheless
insects, especially with their outstanding high species number providing an
overwhelming morphological diversity, are notoriously underexplored with respect
to maximum bite forces and their dependency on the mandible opening angles.
Aiming for a general understanding of insect biting, we examined the generalist
feeding cockroach Periplaneta americana, characterized by its primitive chewing
mouth parts. We measured active isometric bite forces and passive forces caused
by joint resistance over the entire mandibular range with a custom-built 2D force
transducer. The opening angle of the mandibles was quantified by using a video
system. With respect to the effective mechanical advantage of the mandibles and
the cross-section areas, we calculated the forces exerted by the mandible closer
muscles and the corresponding muscle stress values. Comparisons with the scarce
data available revealed close similarities of the cockroaches' mandible closer
stress values (58 N/cm2) to that of smaller specialist carnivorous ground
beetles, but strikingly higher values than in larger stag beetles. In contrast to
available datasets our results imply the activity of faster and slower muscle
fibres, with the latter becoming active only when the animals chew on tough
material which requires repetitive, hard biting. Under such circumstances the
coactivity of fast and slow fibres provides a force boost which is not available
during short-term activities, since long latencies prevent a specific effective
employment of the slow fibres in this case.
PMID- 26559672
TI - CXCL16 signaling mediated macrophage effects on tumor invasion of papillary
thyroid carcinoma.
AB - Macrophages in tumor microenvironment have pivotal roles in tumor growth,
metastasis, and angiogenesis. We investigated the interacting mechanism of
macrophage actions in human papillary thyroid cancer (PTC). Co-cultures of
macrophage/PTC significantly increased the cancer cell migration potentials,
compared with the PTC culture alone. Treatment of conditioned medium (CM) of
macrophage/PTC co-cultures enhanced cell invasions in 3D invasion assay. Cytokine
array analysis demonstrated that CM of macrophage/PTC co-cultures contained a
high level of CXCL16, while it was not found in CM of PTC culture alone.
Treatment with CXCL16 enhanced the cell migration potentials in PTC cells, and
blocking CXCL16 signaling using anti-CXCL16 antibody or metalloproteinase
inhibitor (TAPI2) attenuated macrophage-mediated enhancement of PTC cell
migration potentials. In PTC cells, CXCL16 treatment or co-cultures with
macrophages increased Akt phosphorylation, and these macrophage-dependent
increases of Akt phosphorylation was inhibited by anti-CXCL16 antibody. Moreover,
Akt inhibitor attenuated macrophage-mediated increases of PTC cell migration
potential. In macrophages, treatment of macrophage/PTC co-cultured CMs up
regulated CD163, Il10, and CD206, which were attenuated by anti-CXCL16 antibody
treatment. Finally, CXCR6 and CXCL16 expressions were evaluated by
immunohistochemical staining with a thyroid tissue microarray including 136 PTC.
CXCR6 expressions showed positive correlation with the density of CD163(+)
macrophages and associated with lymph node metastasis. In conclusion, CXCL16
signaling partly mediated macrophage actions on PTC tumor cell invasion and also
changed the macrophage phenotypes into M2-macrophages in PTC tumor
microenvironment. These data suggested that CXCL16 signaling, a bidirectional
player in macrophage-associated tumor microenvironment, might be a potential
therapeutic target of human PTC.
PMID- 26559673
TI - Respiratory Function in Healthy Taiwanese Infants: Tidal Breathing Analysis,
Passive Mechanics, and Tidal Forced Expiration.
AB - BACKGROUND: Although infant lung function (ILF) testing is widely practiced in
developed Western countries it is not typically performed in Eastern countries,
and lung measurements are scarce for Asian infants. Therefore, this study aimed
to establish normal reference values for Taiwanese infants. MATERIALS AND
METHODS: Full-term infants without any chronic diseases and major anomalies were
enrolled in the Prediction of Allergies in Taiwanese Children (PATCH) cohort
study. Detailed medical data, such as body weight and length, birth history, and
histories of previous illness and hospitalization were recorded. Lung function
measurements such as analysis of tidal breathing, passive respiratory mechanics,
and forced tidal expiratory flow-volume curves were obtained through Jaeger
Masterscreen BabyBody Paediatrics System. Multiple linear analyses were performed
to determine various parameters of the lung function tests. RESULTS: ILF test
parameters were collected from 126 infants, and 189 tests were performed. The
results revealed that the ratio of time to peak expiratory flow to total
expiratory time, the ratio of volume to peak expiratory flow to total expiratory
volume, and the ratio of inspiratory time to total respiratory time remained
relatively constant despite differences in age. However, body length is the
strongest independent variable influencing tidal volume, respiratory rate,
resistance, compliance, and maximal expiratory flow at functional residual
capacity. CONCLUSION: According to our review of relevant literature, this is the
first study to establish a reference data of ILF tests in the Asian population.
This study provided reference values and regression equations for several
variables of lung function measurements in healthy infants aged less than 2
years. With these race-specific reference data, ILF can more precisely and
efficiently diagnose respiratory diseases in infants of Chinese ethnicity.
PMID- 26559674
TI - Secretoglobin 3A2 Exhibits Anti-Fibrotic Activity in Bleomycin-Induced Pulmonary
Fibrosis Model Mice.
AB - OBJECTIVE: Secretoglobin (SCGB) 3A2 is a novel lung-enriched cytokine, previously
shown to exhibit anti-inflammatory, growth factor, and anti-fibrotic activities.
The latter activity was demonstrated using exogenously-administered recombinant
SCGB3A2 in the bleomycin (BLM)-induced pulmonary fibrosis model. Whether SCGB3A2
exhibits anti-fibrotic activity in vivo is not known. METHODS: Mice null for the
Scgb3a2 gene were subjected to the BLM-induced pulmonary fibrosis model, and the
severity of pulmonary fibrosis determined using histological and biochemical
methods. RESULTS: BLM treatment caused weight loss of both Scgb3a2-null and wild
type mice, however, the loss was far more pronounced in BLM-treated Scgb3a2-null
than wild-type mice, and the weight of day 21 of BLM-treated Scgb3a2-null mice
was about half of that of BLM-treated wild-type mice. Hematoxylin & Eosin, Masson
Trichrome, and Sirius Red staining of lung sections, Ashcroft fibrosis scores,
hydroxyproline contents, and the levels of mRNAs encoding various collagens
demonstrated that BLM-treated Scgb3a2-null mouse lungs had more severe fibrosis
than those of wild-type mouse lungs. Total and differential inflammatory cell
numbers in bronchoalveolar lavage fluids, and levels of lung mRNAs including
those encoding Th2 cytokines such as IL-4 and profibrotic cytokines such as
TGFbeta were higher in BLM-treated Scgb3a2-null mouse lungs as compared to those
of wild-type mouse lungs. In contrast, mRNAs encoding surfactant proteins A, B,
C, and D, and SCGB1A1 did not differ between BLM-treated Scgb3a2-null and wild
type mouse lungs. CONCLUSION: The role of SCGB3A2 in fibrosis was revisited using
Scgb3a2-null mice and littermate controls in the BLM-induced pulmonary fibrosis
model. The pulmonary fibrosis in the Scgb3a2-null mice was more severe than the
wild-type controls, thus establishing that SCGB3A2 has anti-fibrotic activity in
vivo. Importantly, surfactant proteins and SCGB1A1 appear not to be involved in
the susceptibility of Scgb3a2-null mice to BLM-induced pulmonary fibrosis.
PMID- 26559675
TI - A Systematic Review of Interventions to Change Staff Care Practices in Order to
Improve Resident Outcomes in Nursing Homes.
AB - BACKGROUND: We systematically reviewed interventions that attempted to change
staff practice to improve long-term care resident outcomes. METHODS: Studies met
criteria if they used a control group, included 6 or more nursing home units and
quantitatively assessed staff behavior or resident outcomes. Intervention
components were coded as including education material, training, audit and
feedback, monitoring, champions, team meetings, policy or procedures and
organizational restructure. RESULTS: Sixty-three unique studies were broadly
grouped according to clinical domain-oral health (3 studies), hygiene and
infection control (3 studies), nutrition (2 studies), nursing home acquired
pneumonia (2 studies), depression (2 studies) appropriate prescribing (7
studies), reduction of physical restraints (3 studies), management of behavioral
and psychological symptoms of dementia (6 studies), falls reduction and
prevention (11 studies), quality improvement (9 studies), philosophy of care (10
studies) and other (5 studies). No single intervention component, combination of,
or increased number of components was associated with greater likelihood of
positive outcomes. Studies with positive outcomes for residents also tended to
change staff behavior, however changing staff behavior did not necessarily
improve resident outcomes. Studies targeting specific care tasks (e.g. oral care,
physical restraints) were more likely to produce positive outcomes than those
requiring global practice changes (e.g. care philosophy). Studies using
intervention theories were more likely to be successful. Program logic was rarely
articulated, so it was often unclear whether there was a coherent connection
between the intervention components and measured outcomes. Many studies reported
barriers relating to staff (e.g. turnover, high workload, attitudes) or
organizational factors (e.g. funding, resources, logistics). CONCLUSION: Changing
staff practice in nursing homes is possible but complex. Interventionists should
consider barriers and feasibility of program components to impact on each
intended outcome.
PMID- 26559676
TI - Clinical Predictors for Delayed or Inappropriate Initial Diagnosis of Type A
Acute Aortic Dissection in the Emergency Room.
AB - BACKGROUND: Initial diagnosis of acute aortic dissection (AAD) in the emergency
room (ER) is sometimes difficult or delayed. The aim of this study is to define
clinical predictors related to inappropriate or delayed diagnosis of Stanford
type A AAD. METHODS: We conducted a retrospective analysis of 127 consecutive
patients with type A AAD who presented to the ER within 12 h of symptom onset
(age: 69.0 +/- 15.4 years, male/female = 49/78). An inappropriate initial
diagnosis (IID) was considered if AAD was not included in the differential
diagnosis or if chest computed tomography or echocardiography was not performed
as initial imaging tests. Clinical variables were compared between IID and
appropriate diagnosis group. The time to final diagnosis (TFD) was also
evaluated. Delayed diagnosis (DD) was defined as TFD > third quartile. Clinical
factors predicting DD were evaluated in comparison with early diagnosis (defined
as TFD within the third quartile). In addition, TFD was compared with respect to
each clinical variable using a rank sum test. RESULTS: An IID was determined for
37% of patients. Walk-in (WI) visit to the ER [odds ratio (OR) 2.6, 95%
confidence interval (CI) = 1.01-6.72, P = 0.048] and coronary malperfusion (CM,
OR = 6.48, 95% CI = 1.14-36.82, P = 0.035) were predictors for IID. Overall, the
median TFD was 1.5 h (first/third quartiles = 0.5/4.0 h). DD (>4.5 h) was
observed in 27 cases (21.3%). TFD was significantly longer in WI patients (median
and first/third quartiles = 1.0 and 0.5/2.85 h for the ambulance group vs. 3.0
and 1.0/8.0 h for the WI group, respectively; P = 0.003). Multivariate analysis
revealed that WI visit was the only predictor for DD (OR = 3.72, 95% CI = 1.39
9.9, P = 0.009). TFD was significantly shorter for appropriate diagnoses than for
IIDs (1.0 vs. 6.0 h, respectively; P < 0.0001). CONCLUSIONS: WI visit to the ER
and CM were predictors for IID, and WI was the only predictor for DD in acute
type A AAD in the community hospital.
PMID- 26559677
TI - Rho GDIalpha Modulates Rabbit Trophoblast Stem Cell Survival and Migration.
AB - Trophoblast stem cells differentiate into different trophoblast cell populations
that are indispensable for successful pregnancy through interactions with the
maternal uterine decidua. Rho GTPases play an important role in the regulation of
trophoblast stem cell (TSC) self-renewal and differentiation; however, the role
of Rho GDP-dissociation inhibitors (Rho GDIs) remains unclear. Here we report
that overexpression of Rho GDIalpha resulted in rapid apoptosis of TSCs, while
its knockdown promoted proliferation. Moreover, Rho GDIalpha knockdown also
enhanced TSC invasion. Collectively, these results establish a potential
mechanism whereby TSCs can balance growth and apoptosis, and thus ensure normal
fetal development.
PMID- 26559679
TI - Temporal Release, Paracrine and Endocrine Actions of Ovine Conceptus-Derived
Interferon-Tau During Early Pregnancy.
AB - The antiviral activity of interferon (IFN) increases in uterine vein serum (UVS)
during early pregnancy in sheep. This antiviral activity in UVS collected on Day
15 of pregnancy is blocked by anti-IFN-tau (anti-IFNT) antibodies. Conceptus
derived IFNT was hypothesized to induce IFN-stimulated gene (ISG) expression in
endometrium and extrauterine tissues during pregnancy. To test this hypothesis,
blood was collected from ewes on Days 12-16 of the estrous cycle or pregnancy.
Serum progesterone was >1.7 ng/ml in pregnant (P) and nonpregnant (NP) ewes until
Day 13, then declined to <0.6 ng/ml by Day 15 in NP ewes. A validated IFNT
radioimmunoassay detected IFNT in uterine flushings (UFs) on Days 13-16 and in
UVS on Days 15-16 of pregnancy. IFNT detection in UF correlated with paracrine
induction of ISGs in the endometrium and occurred prior to the inhibition of
estrogen receptor 1 and oxytocin receptor expression in uterine epithelia on Day
14 of pregnancy. Induction of ISG mRNAs in corpus luteum (CL) and liver tissue
occurred by Day 14 and in peripheral blood mononuclear cells by Day 15 in P ewes.
Expression of mRNAs for IFN signal transducers and ISGs were greater in the CL of
P than that of NP ewes on Day 14. It is concluded that: 1) paracrine actions of
IFNT coincide with detection of IFNT in UF; 2) endocrine action of IFNT ensues
through induction of ISGs in peripheral tissues; and 3) IFNT can be detected in
UVS, but not until Days 15-16 of pregnancy, which may be limited by the
sensitivity of the IFNT radioimmunoassay.
PMID- 26559678
TI - The Role of Retinoic Acid (RA) in Spermatogonial Differentiation.
AB - Retinoic acid (RA) directs the sequential, but distinct, programs of
spermatogonial differentiation and meiotic differentiation that are both
essential for the generation of functional spermatozoa. These processes are
functionally and temporally decoupled, as they occur in distinct cell types that
arise over a week apart, both in the neonatal and adult testis. However, our
understanding is limited in terms of what cellular and molecular changes occur
downstream of RA exposure that prepare differentiating spermatogonia for meiotic
initiation. In this review, we describe the process of spermatogonial
differentiation and summarize the current state of knowledge regarding RA
signaling in spermatogonia.
PMID- 26559680
TI - Impact of Sedation on Cognitive Function in Mechanically Ventilated Patients.
AB - The practice of sedation dosing strategy in mechanically ventilated patient has a
profound effect on cognitive function. We conducted a comprehensive review of
outcome of sedation on mental health function in critically ill patients on
mechanical ventilation in the intensive care unit (ICU). We specifically
evaluated current sedative dosing strategy and the development of delirium, post
traumatic stress disorders (PTSDs) and agitation. Based on this review, heavy
dosing sedation strategy with benzodiazepines contributes to cognitive
dysfunction. However, outcome for mental health dysfunction is mixed in regard to
newer sedatives agents such as dexmedetomidine and propofol. Moreover, studies
that examine the impact of sedatives for persistence of PTSD/delirium and its
long-term cognitive and functional outcomes for post-ICU patients are frequently
underpowered. Most studies suffer from low sample sizes and methodological
variations. Therefore, larger randomized controlled trials are needed to properly
assess the impact of sedation dosing strategy on cognitive function.
PMID- 26559681
TI - The C677T MTHFR genotypes influence the efficacy of B9 and B12 vitamins
supplementation to lowering plasma total homocysteine in hemodialysis.
AB - BACKGROUND: Hyperhomocysteinaemia, an independent risk factor for cardiovascular
diseases, is common in hemodialysis patients (HD) and particularly in those
homozygous for polymorphism of the 5,10-methylenetetrahydrofolate reductase
(MTHFR) gene. B vitamins supplementation has been shown to lower plasma total
homocysteine (tHcy), but this has been contreversed in several groups. The aim of
our study was to explore the response of tHcy in hemodialysis (HD) patients to
individual supplementation with folic acid (B9) and/or vitamin B12, based on
carrier status for the (MTHFR) polymorphism. METHODS: 132HD were randomized
according to C677TMTHFR genotypes into 2 groups (AandB). The group (A) was
treated initially with B9 (10mg/day orally) for 2 months (t1) and then with B12
vitamin (cyanocobalamin ampoule of 1000 MUg) for the following 2 months (t2),
then association of B9 and B12 for 2 months (t3). The group (B) was supplemented
initially with vitamin B12 (t1), then with folic acid (t2) and then B9 + B12 for
2 months (t3). A wash-out period of 2 months followed the treatment in both
groups (t4). We determined tHcy, B9 and B12 concentrations at each time. RESULTS:
In group A, we noted that the decrease in tHcy becomes significant for CC when
patients were supplemented with vit B12 only (p = 0.009). While, B9 + vit B12
supplementation did not seem to improve a significant effect compared with B12
alone. For genotypes (CT) and (TT) we noticed a significant decrease in tHcy at
t1 (p = 0.038; 0.005 respectively) and at (t3; CT p = 0.024; TT p = 0.017). In
group B, for genotypes CC, the decrease in tHcy became significant at t3 (vit B12
+ B9; p = 0.031). For genotypes (CT) and (TT), at the replacement of vit B12 by
B9, tHcy was significantly decreased (p = 0.036; 0.012, respectively). The
combination of the 2 vitamins (t3) showed no difference compared to folate alone.
In the 2 groups (t4), there was an significant increase of tHcy again for 3
genotypes. CONCLUSION: Supplementation with B vitamins correlated to the MTHFR
genotypes has been shown to lower significantly tHcy in HD patients.
PMID- 26559682
TI - Characterizing Protease Specificity: How Many Substrates Do We Need?
AB - Calculation of cleavage entropies allows to quantify, map and compare protease
substrate specificity by an information entropy based approach. The metric
intrinsically depends on the number of experimentally determined substrates (data
points). Thus a statistical analysis of its numerical stability is crucial to
estimate the systematic error made by estimating specificity based on a limited
number of substrates. In this contribution, we show the mathematical basis for
estimating the uncertainty in cleavage entropies. Sets of cleavage entropies are
calculated using experimental cleavage data and modeled extreme cases. By
analyzing the underlying mathematics and applying statistical tools, a linear
dependence of the metric in respect to 1/n was found. This allows us to
extrapolate the values to an infinite number of samples and to estimate the
errors. Analyzing the errors, a minimum number of 30 substrates was found to be
necessary to characterize substrate specificity, in terms of amino acid
variability, for a protease (S4-S4') with an uncertainty of 5 percent. Therefore,
we encourage experimental researchers in the protease field to record specificity
profiles of novel proteases aiming to identify at least 30 peptide substrates of
maximum sequence diversity. We expect a full characterization of protease
specificity helpful to rationalize biological functions of proteases and to
assist rational drug design.
PMID- 26559683
TI - Transmission network of the 2014-2015 Ebola epidemic in Sierra Leone.
AB - Understanding the growth and spatial expansion of (re)emerging infectious disease
outbreaks, such as Ebola and avian influenza, is critical for the effective
planning of control measures; however, such efforts are often compromised by data
insufficiencies and observational errors. Here, we develop a spatial-temporal
inference methodology using a modified network model in conjunction with the
ensemble adjustment Kalman filter, a Bayesian inference method equipped to handle
observational errors. The combined method is capable of revealing the spatial
temporal progression of infectious disease, while requiring only limited, readily
compiled data. We use this method to reconstruct the transmission network of the
2014-2015 Ebola epidemic in Sierra Leone and identify source and sink regions.
Our inference suggests that, in Sierra Leone, transmission within the network
introduced Ebola to neighbouring districts and initiated self-sustaining local
epidemics; two of the more populous and connected districts, Kenema and Port
Loko, facilitated two independent transmission pathways. Epidemic intensity
differed by district, was highly correlated with population size (r = 0.76, p =
0.0015) and a critical window of opportunity for containing local Ebola epidemics
at the source (ca one month) existed. This novel methodology can be used to help
identify and contain the spatial expansion of future (re)emerging infectious
disease outbreaks.
PMID- 26559684
TI - Coupled catastrophes: sudden shifts cascade and hop among interdependent systems.
AB - An important challenge in several disciplines is to understand how sudden changes
can propagate among coupled systems. Examples include the synchronization of
business cycles, population collapse in patchy ecosystems, markets shifting to a
new technology platform, collapses in prices and in confidence in financial
markets, and protests erupting in multiple countries. A number of mathematical
models of these phenomena have multiple equilibria separated by saddle-node
bifurcations. We study this behaviour in its normal form as fast-slow ordinary
differential equations. In our model, a system consists of multiple subsystems,
such as countries in the global economy or patches of an ecosystem. Each
subsystem is described by a scalar quantity, such as economic output or
population, that undergoes sudden changes via saddle-node bifurcations. The
subsystems are coupled via their scalar quantity (e.g. trade couples economic
output; diffusion couples populations); that coupling moves the locations of
their bifurcations. The model demonstrates two ways in which sudden changes can
propagate: they can cascade (one causing the next), or they can hop over
subsystems. The latter is absent from classic models of cascades. For an
application, we study the Arab Spring protests. After connecting the model to
sociological theories that have bistability, we use socioeconomic data to
estimate relative proximities to tipping points and Facebook data to estimate
couplings among countries. We find that although protests tend to spread locally,
they also seem to 'hop' over countries, like in the stylized model; this result
highlights a new class of temporal motifs in longitudinal network datasets.
PMID- 26559685
TI - Quantification of plaque stiffness by Brillouin microscopy in experimental thin
cap fibroatheroma.
AB - Plaques vulnerable to rupture are characterized by a thin and stiff fibrous cap
overlaying a soft lipid-rich necrotic core. The ability to measure local plaque
stiffness directly to quantify plaque stress and predict rupture potential would
be very attractive, but no current technology does so. This study seeks to
validate the use of Brillouin microscopy to measure the Brillouin frequency
shift, which is related to stiffness, within vulnerable plaques. The left carotid
artery of an ApoE(-/-)mouse was instrumented with a cuff that induced vulnerable
plaque development in nine weeks. Adjacent histological sections from the
instrumented and control arteries were stained for either lipids or collagen
content, or imaged with confocal Brillouin microscopy. Mean Brillouin frequency
shift was 15.79 +/- 0.09 GHz in the plaque compared with 16.24 +/- 0.15 (p <
0.002) and 17.16 +/- 0.56 GHz (p < 0.002) in the media of the diseased and
control vessel sections, respectively. In addition, frequency shift exhibited a
strong inverse correlation with lipid area of -0.67 +/- 0.06 (p < 0.01) and
strong direct correlation with collagen area of 0.71 +/- 0.15 (p < 0.05). This is
the first study, to the best of our knowledge, to apply Brillouin spectroscopy to
quantify atherosclerotic plaque stiffness, which motivates combining this
technology with intravascular imaging to improve detection of vulnerable plaques
in patients.
PMID- 26559688
TI - Delivery of a Cell Patch of Cocultured Endothelial Cells and Smooth Muscle Cells
Using Thermoresponsive Hydrogels for Enhanced Angiogenesis.
AB - Cell-based therapy has been studied as an attractive strategy for therapeutic
angiogenesis. However, obtaining a stable vascular structure remains a challenge
due to the poor interaction of transplanted cells with native tissue and the
difficulty in selecting the optimal cell source. In this study, we developed a
cell patch of cocultured human umbilical vein endothelial cells (HUVECs) and
smooth muscle cells (SMCs) using thermosensitive hydrogels for regeneration of
mature vasculatures. In vitro characterization of HUVECs in the cocultured group
revealed the formation of a mesh-like morphology over 5 days of culture. Vascular
endothelial growth factor expression was also upregulated in the cocultured group
compared with HUVECs only. The cell patch seeded with HUVECs, SMCs, or both cell
type was prepared on the synthetic thermosensitive and cell interactive
hydrogels, and readily detached from the hydrogel within 10 min by expansion of
the hydrogel when the temperature was decreased to 4 degrees C. We then
investigated the therapeutic effect of the cell patch using a hind limb ischemic
model of an athymic mouse. Overall, the group that received a cell patch of
cocultured HUVECs and SMCs had a significantly retarded rate of necrosis with a
significant increase in the number of arterioles and capillaries for 4 weeks
compared with the groups transplanted with only HUVECs or SMCs. Dual staining of
smooth muscle alpha actin and human nuclear antigen showed that the implanted
cell patch was partially involved in vessel formation. In summary, the simple
transplantation of a cocultured cell patch using a hydrogel system could enhance
therapeutic angiogenesis through the regeneration of matured vascular structures.
PMID- 26559686
TI - Heme Oxygenase-1 Protects Neurons from Ischemic Damage by Upregulating Expression
of Cu,Zn-Superoxide Dismutase, Catalase, and Brain-Derived Neurotrophic Factor in
the Rabbit Spinal Cord.
AB - In the present study, we investigated the protective effects of heme oxygenase
(HO-1) against ischemic damage in motor neurons of the rabbit spinal cord. A PEP
1-HO-1 fusion protein was made to and confirmed the effective the penetration of
HO-1 into spinal cord neurons at 8 h after treatment. Transient spinal cord
ischemia was induced by occlusion of the abdominal aorta for 15 min. Vehicle
(glycerol) or 0.375 mg/kg PEP-1-HO-1 was administered intraperitoneally to
rabbits immediately after ischemia/reperfusion. Animals were sacrificed 15 min
after reperfusion to measure lactate levels; 24 h after reperfusion to measure
caspase 3 and myeloperoxidase levels, lipid peroxidation, and the activity of
Cu,Zn-superoxide dismutase (SOD1) and catalase (CAT); or 72 h after reperfusion
to assess neuronal survival and measure the levels of brain-derived neurotrophic
factor (BDNF) in spinal cord homogenates. Administration of PEP-1-HO-1 did not
significantly alter arterial blood gases (PaCO2 and PaO2), pH, or blood glucose
levels before ischemia, 10 min after occlusion, or 10 min after reperfusion. Mean
arterial pressure was selectively reduced 10 min after occlusion. Administration
of PEP-1-HO-1 improved the rabbit Tarlov scores, and increased neuronal survival,
as assessed by NeuN immunohistochemical staining 72 h after ischemia/reperfusion.
In addition, administration of PEP-1-HO-1 significantly ameliorated lactate
accumulation 15 min after reperfusion, and the increases in caspase 3,
myeloperoxidase, and lipid peroxidation 24 h after reperfusion. PEP-1-HO-1
administration significantly mitigated the decrease in SOD1 and CAT 24 h after
reperfusion, and reversed the decrease in BDNF levels in spinal cord homogenates
72 h after ischemia/reperfusion. These results suggest that PEP-1-HO-1 can
protect against neuronal damage after transient spinal cord ischemia by limiting
early lactic acidosis and increasing SOD1, CAT, and BDNF levels.
PMID- 26559687
TI - Neuroprotective Effects of Etidronate and 2,3,3-Trisphosphonate Against Glutamate
Induced Toxicity in PC12 Cells.
AB - Etidronate is one of the best known bisphosphonates (BP) derivatives. It is often
used as a reference drug in research related to hypercalcaemia and other common
bone diseases. 2,3,3-trisphosphonate (TrisPP) is brand new analogue of BP, that
also contains a 'germinal bisphosphonate' unit with an additional phosphoryl
group attached in proximity to the BP unit. It is known that BPs bind to calcium
by chemisorptions to form Ca-BP complexes through (O)P-C-P(O) moiety and hydrogen
coordinations, and so they suppress calcium flow by interfering with Ca(2+)
channel operations. The mechanistic actions of BP, involving interactions and
regulations of Ca(2+), are somewhat similar to the pathogenesis of well-known
neurodegenerative disorders, such as Alzheimer's disease, Parkinson's disease and
Huntington's disease. To investigate if neuroprotective effects are exhibited by
the compounds of interests, we used a rat adrenal pheochromocytoma cell line
(PC12) as our in vitro model to observe any occurrence of neuron inter
reflection. We pre-treated these PC12 cells with etidronate and TrisPP before
challenging the cells with a high concentration of the neurotoxin, glutamate. Our
data showed that pre-treatment with 100 MUM etidronate partially ameliorated the
glutamate-induced decrease in cell viability (47 %), whereas pre-treating cells
with 10-100 MUM TrisPP showed remarkable cell protection (78-86 %). Moreover, pre
treatments of the cells with etidronate or TrisPP attenuated cell apoptosis,
reactive oxygen species generation, Ca(2+) overloading and caspase-3 protein
expression, which were associated with a remarkable increase in superoxide
dismutase activity in our glutamate-injured PC12 cells. Therefore, this study
supports the notion that etidronate and TrisPP may be promising neuroprotective
agents.
PMID- 26559689
TI - Vorapaxar in the secondary prevention of atherothrombosis.
AB - Dual antiplatelet therapy with aspirin, a platelet cyclooxygenase-1 inhibitor and
P2Y12 receptor blockers, remains the major drug strategy to prevent ischemic
event occurrence in patients with acute coronary syndromes and in patients
undergoing coronary stenting, but there some limitations that can be overcome by
targeting novel targets. Unlike direct thrombin inhibitors that bind directly to
thrombin, targeting the platelet thrombin receptor, protease activated receptor
(PAR)-1, may offer a better choice for the attenuation of atherosclerosis
progression, thrombus-mediated ischemic events and restenosis without interfering
with primary hemostasis. Vorapaxar - a synthetic analogue of himbacine, is a high
affinity and highly selective PAR-1 antagonist that can effectively inhibit
thrombin-induced platelet aggregation. In the TRACER trial, the addition of
vorapaxar to standard therapy in patients with non-stent thrombosis-elevation-
acute coronary syndromes did not significantly reduce the primary composite end
point occurrence of cardiovascular (CV) death, myocardial infarction (MI),
stroke, hospitalization for ischemia, or urgent revascularization, but
significantly increased the GUSTO moderate and severe bleeding (p < 0.001) and
intracranial hemorrhage (ICH). In the TRA 2 degrees P-TIMI 50 trial, in patients
with a history of MI and peripheral arterial disease (PAD) (67% of the total
population), the end point of CV death, MI, or stroke was significantly (20%)
reduced with vorapaxar whereas GUSTO moderate or severe bleeding was increased
(1.5-fold), but not ICH or fatal bleeding and the net clinical outcome favoring
the vorapaxar therapy. Based on these favorable results, the FDA approved
vorapaxar for the reduction of thrombotic cardiovascular events in patients with
prior MI or with PAD for long term therapy. A careful patient selection is needed
to balance efficacy versus safety. At this time, patients with high risk for
recurrent ischemic event occurrence such as patients with diabetes mellitus and
previous MI can be safely treated with vorapaxar for long-term therapy.
PMID- 26559690
TI - Antibacterial activity of achievable epithelial lining fluid exposures of
Amikacin Inhale with or without meropenem.
AB - OBJECTIVES: While Amikacin Inhale (BAY41-6551), an integrated drug-device
combination under development, achieves an estimated amikacin epithelial lining
fluid (ELF) concentration of ~ 5000 mg/L, its target site pharmacodynamics are
unknown. We evaluated the pharmacodynamics of ELF exposure of inhaled amikacin +/
meropenem. METHODS: ELF exposures of inhaled amikacin (400 mg every 12 h),
intravenous meropenem (2 g every 8 h) and a combination of both were studied in
an in vitro pharmacodynamic model. Seven Klebsiella pneumoniae and 10 Pseudomonas
aeruginosa with amikacin/meropenem MICs of 1 to 32,768/<= 0.125 to >128 mg/L were
included. Efficacy was assessed over 24-72 h. RESULTS: The mean +/- SD 0 h
bacterial density was 6.5 +/- 0.1 log10 cfu/mL. Controls grew to 8.0 +/- 0.5
log10 cfu/mL by the end of the experiments. Simulation of inhaled amikacin
monotherapy rapidly achieved and sustained bactericidal activity near the limit
of detection over 24 h for all 13 isolates with amikacin MIC <= 256 mg/L except
only ~ 2 log10 cfu/mL reduction was observed in K. pneumoniae 375
(amikacin/meropenem MIC 64/32 mg/L) and P. aeruginosa 1544 (amikacin/meropenem
MIC 64/128 mg/L). No activity was seen against the three isolates with amikacin
MIC >= 2048 mg/L. Among the six isolates tested with meropenem monotherapy, five
(meropenem MIC >= 16 mg/L) grew similarly to the controls while one (meropenem
MIC 2 mg/L) achieved ~ 2.5 log10 cfu/mL decrease. Among seven isolates tested in
combination, four (amikacin/meropenem MIC <= 64/32 mg/L), including K. pneumoniae
375, maintained limit of detection until 72 h, whereas P. aeruginosa 1544
sustained a 1 log reduction. Combination therapy had no activity against the two
isolates with amikacin MIC >= 2048 mg/L. CONCLUSIONS: Inhaled amikacin
monotherapy showed bactericidal activity against most isolates tested with
amikacin MICs <= 256 mg/L. Adjunct inhaled amikacin plus meropenem sustained this
activity for 72 h for the tested isolates with amikacin/meropenem MIC <= 64/32
mg/L.
PMID- 26559691
TI - Insights into Trx1, TRP14, and Prx1 homologs of Paralichthys olivaceus: molecular
profiles and transcriptional responses to immune stimulations.
AB - Thioredoxin (Trx) proteins are involved in several cellular processes, such as
anti-oxidative stress and cellular redox homeostasis. In this study, we isolated
the full-length cDNAs of PoTrx1 and PoTRP14 from Japanese flounder (Paralichthys
olivaceus). PoTrx1 is 723 bp in length, with a 366-bp open reading frame (ORF)
that encodes for 121 amino acids. PoTRP14 is 909 bp in length, with a 372-bp ORF
that encodes for 123 amino acids. PoTrx1 and PoTRP14 are highly conserved in Cys
Gly-Pro-Cys and Cys-Pro-Asp-Cys forms, respectively. Tissue distribution analysis
revealed that the transcripts of PoTrx1 and PoTRP14 were ubiquitously expressed
in all tested tissues and particularly abundant in immunity-related organs, such
as the liver, intestine, gill, and spleen. Development expression profiles
indicated that PoTrx1 transcript was expressed from the neurula stage to the 1
day post-hatching stage; the maximum transcript levels were recorded at the
somatic stage. The mRNA level of PoTRP14 was constantly expressed at all examined
developmental stages, reaching the peak at the before-hatching stage. Prx1 is a
peroxiredoxin family member that serves similar functions to PoTrx1 and PoTRP14.
A primary hepatocyte culture system was established to examine the
immunoregulatory properties of PoTrx1, PoTRP14, and Prx1 in response to
lipopolysaccharide, CuSO4, and H2O2 stimulation. Results revealed that the
transcript levels of PoTrx1, PoTRP14, and Prx1 were significantly up-regulated in
a time-dependent manner after the immunostimulant challenge. These data suggest
that PoTrx1, PoTRP14, and Prx1 play critical roles in anti-oxidation and
immunoregulation.
PMID- 26559692
TI - The antioxidant system of seminal fluid during in vitro storage of sterlet
Acipenser ruthenus sperm.
AB - The role of the seminal fluid antioxidant system in protection against damage to
spermatozoa during in vitro sperm storage is unclear. This study investigated the
effect of in vitro storage of sterlet Acipenser ruthenus spermatozoa together
with seminal fluid for 36 h at 4 degrees C on spermatozoon motility rate and
curvilinear velocity, thiobarbituric acid reactive substance level, and
components of enzyme and non-enzyme antioxidant system (superoxide dismutase and
catalase activity and uric acid concentration) in seminal fluid. Spermatozoon
motility parameters after sperm storage were significantly decreased, while the
level of thiobarbituric acid reactive substances, activity of superoxide
dismutase and catalase, and uric acid concentration did not change. Our findings
suggest that the antioxidant system of sterlet seminal fluid is effective in
preventing oxidative stress during short-term sperm storage and prompt future
investigations of changes in spermatozoon homeostasis and in spermatozoon plasma
membrane structure which are other possible reasons of spermatozoon motility
deterioration upon sperm storage.
PMID- 26559693
TI - Cancer after intense and prolonged antiplatelet therapies--fact or fiction?
PMID- 26559694
TI - Corrigendum.
AB - Bakas, P., Boutas, I., Creatsa, M., Vlahos, N., Gregoriou, O., Creatsas, G., and
Hassiakos, D. (2015). Can anti-Mullerian hormone (AMH) predict the outcome of
intrauterine insemination with controlled ovarian stimulation?
http://dx.doi.org/10.3109/09513590.2015.1025381. When the above article was first
published online on August 2015, Dimitrios Hassiakos' name is missing. This has
now been corrected in online version.The author apologises for this error.
PMID- 26559695
TI - The Anti-Inflammatory Effects of Lion's Mane Culinary-Medicinal Mushroom,
Hericium erinaceus (Higher Basidiomycetes) in a Coculture System of 3T3-L1
Adipocytes and RAW264 Macrophages.
AB - Chronic low-grade inflammation in the adipose tissue accompanying obesity is
thought to be an underlying driver of metabolic diseases. In this study, we aimed
to investigate the efficacy of Hericium erinaceus on adipose tissue inflammation.
The anti-inflammatory effects of the ethyl acetate soluble fraction of H.
erinaceus (EAHE) were examined using cocultures of 3T3-L1 adipocytes and RAW264
macrophages. EAHE significantly suppressed tumor necrosis factor (TNF)-alpha and
interleukin (IL)-6 production in cultured RAW264 macrophages stimulated by
lipopolysaccharide (LPS). EAHE also caused notable inhibition of c-Jun N-terminal
kinase (JNK) activation, which is thought to be involved in the suppression of
proinflammatory cytokines by EAHE. In a coculture system with 3T3-L1 and RAW264
cells stimulated with LPS, EAHE reduced TNF-alpha and IL-6 concentrations in the
conditioned medium and lowered the gene expression levels of these cytokines in
3T3-L1 adipocytes. Furthermore, EAHE suppressed the LPS-induced reduction of
adiponectin mRNA levels in 3T3-L1 adipocytes cocultured with RAW264 macrophages.
However, in 3T3-L1 adipocytes cultured alone, the concentration of LPS used in
this study did not affect the gene expression levels of these adipokines. We
attributed the anti-inflammatory effects of EAHE on 3T3-L1 adipocytes cocultured
with RAW264 macrophages to the suppression of Toll-like receptor 4 (TLR4)
signaling and subsequent proinflammatory cytokine secretion in RAW264 cells. Our
findings indicate the possibility that H. erinaceus exerts anti-inflammatory
effects on macrophages through the inhibition of TLR4-JNK signaling and prevents
or ameliorates adipose tissue inflammation associated with obesity.
PMID- 26559696
TI - Protective Effect of Eburicoic Acid of the Chicken of the Woods Mushroom,
Laetiporus sulphureus (Higher Basidiomycetes), Against Gastric Ulcers in Mice.
AB - In this study, we investigated the anti-inflammatory and tumor-inhibiting effects
of eburicoic acid, the main bioactive component in the Laetiporus sulphureus, on
gastric ulcers. A total of 48 Kunming mice were randomly divided into six groups:
control, model, OL (omeprazole, 20 mg/kg/day, orally), EA-L (eburicoic acid, 10
mg/kg/day, orally), EA-M (eburicoic acid, 20 mg/kg/day, orally), and EA-H
(eburicoic acid, 40 mg/kg/day, orally). Gastric ulcers were induced in mice by
administering 80% ethanol containing 15 mg/mL aspirin (10.0 mL/kg, i.g.) 4 hours
after drug administration on day 5. The ulcer index and H+/K+-ATPase activity
were evaluated in vivo. Computer-aided molecular docking simulated the
interaction between eburicoic acid and H+/K+-ATPase. The results showed that the
oral administration of eburicoic acid protected the gastric mucosa from gastric
lesions morphologically and especially attenuated H+/K+-ATPase activity. The
results of this study indicate that the gastric protective effect of eburicoic
acid might inhibit gastric acid.
PMID- 26559697
TI - Antioxidant Properties of Oak Bracket Mushroom, Pseudoinonotus dryadeus (Higher
Basidiomycetes): A Mycochemical Study.
AB - A complex mixture of free fatty acids (1), cerevisterol (2), a sphingosine (3),
and a complex mixture of diacylglycerophospholipids (4) were isolated from the
fruiting body of the basidiomycete mushroom Pseudoinonotus dryadeus and subjected
to spectroscopic analyses. The antioxidant activities of the whole extract of the
fungus, of the isolated fractions, and of compounds 1-4 were evaluated in two in
vitro model systems: 2,2-diphenyl-1-picryl-hydrazyl (DPPH) and superoxide anion.
In each systems, the extract of fungus and compound 2 showed the same free
radical scavenging activity (with SC50 data of 18.27 ug/mL and 5.75 ug/mL,
respectively) compared with the positive control quercetin (DPPH assay).
Compounds 1-4 were isolated from P. dryadeus for the first time.
PMID- 26559698
TI - Enhanced Bioactive Exopolysaccharide Production by Mossy Maze Polypore, Cerrena
unicolor (Higher Basidiomycetes) in Submerged Culture Conditions.
AB - In this study, the culture requirements of the Cerrena unicolor OBCC 5005 strain
were determined to optimize bioactive exopolysaccharide production in submerged
culture. The effects of initial medium pH, carbon and nitrogen sources, inoculum
age and amount, and mineral source on exopolysaccharide and mycelial biomass
production by the C. unicolor OBCC 5005 strain were studied using a one-factor-at
a-time method. The highest exopolysaccharide production was obtained when culture
parameters were used as initial medium pH: 5.5, 5% sucrose, 5% mycological
peptone, and 5% of 4-day inoculants in the presence of 5 mM Fe2+. Optimized
culture conditions at a flask scale were applied to a 3-L stirred tank reactor.
As a result, 7.92 g/L and 7.34 g/L maximum exopolysaccharide production in
optimized conditions at flask and stirred-tank reactor scales were achieved,
respectively. The present study is the first to prove that C. unicolor can yield
high bioactive exopolysaccharide production at flask and stirred-tank reactor
scales.
PMID- 26559699
TI - Chemical Composition and Medicinal Value of Fruiting Bodies and Submerged
Cultured Mycelia of Caterpillar Medicinal Fungus Cordyceps militaris CBS-132098
(Ascomycetes).
AB - In this paper, we report the results of a proximate analysis (i.e., moisture,
ash, protein, fat, carbohydrates, and energy); a bioactive compounds analysis
(i.e., cordycepin and ergothioneine); fatty and amino acid analysis; and analyses
of vitamin content, macro- and microelement composition of fruiting body (FB),
and mycelial biomass (MB) of medicinal caterpillar fungus Cordyceps militaris
strain CBS-132098. These results demonstrate that the FB and MB of C. militaris
are good sources of proteins: 59.8% protein content in the FB and 39.5% in the
MB. The MB was distinguished by its carbohydrate content (39.6%), which was
higher than that of the FB (29.1% carbohydrate). In the FB of C. militaris, the
total amino acid content was 57.39 mg/g and in the MB it was 24.98 mg/g. The
quantification of the identified fatty acids indicated that palmitic acid, oleic
acid, linoleic acid, and linolenic acid were the major fatty acids. The micro-
and macroelement compositions were studied. The highest results were calcium (797
mg/kg FB; 11 mg/kg MB); potassium (15,938 mg/kg FB 12,183 mg/kg MB); magnesium
(4,227 mg/kg FB; 3,414 mg/kg MB); sodium (171 mg/kg FB; 1,567 mg/kg MB);
phosphorus (7,196 mg/kg FB; 14,293 mg/kg MB); and sulfur (5,088 mg/kg FB; 2,558
mg/kg MB). The vitamin composition was studied, and the most abundant vitamins
were vitamin A, vitamin B3, and vitamin E. The bioactive components were
cordycepin, cordycepic acid (D-mannitol), and ergothioneine. There were
differences in cordycepin and ergothioneine contents between the FB and the MB.
The cordycepin concentration was 0.11% in the FB and 0.182% in the MB, the
cordycepic acid was 4.7 mg/100g in the FB and 5.2 mg/100 g in the MB, and the
ergothioneine content was 782.37 mg/kg in the FB and 130.65 mg/kg in the MB. The
nutritional values of the FB and the MB of C. militaris detected indicate its
potential use in well-balanced diets and sources of bioactive compounds.
PMID- 26559700
TI - Evaluation of Mycelial Nutrients, Bioactive Compounds, and Antioxidants of Five
Himalayan Entomopathogenic Ascomyceteous Fungi from India.
AB - In this study, using standard methods, mycelial nutrients, bioactive compounds,
and antioxidants were analyzed for the first time for five fungal species: Isaria
sinclairii (Berk.) Lloyd, I. tenuipes Peck, I. japonica Yasuda, I. farinosa
(Holmsk) Fr. and Cordyceps tuberculata (Lebert) Maire. All of these species were
low in fat content and rich in protein, fiber, ash, and carbohydrates. Mineral
elements (Fe, Mg, Cu, Mn, and Ca) were detected in appreciable amounts. All three
types of fatty acids (saturated, monounsaturated, and polyunsaturated) as well as
bioactive compounds (ascorbic acid, beta-carotene, lycopene, phenolic compounds,
and polysaccharides) were detected for each species. The investigated species
showed high ferric-reducing antioxidant power as well as 2,2-diphenyl-1-picryl
hydrazyl radical scavenging activity. Although differences were observed in the
values of each species, each species showed richness in one or more components.
PMID- 26559701
TI - In Vitro and In Vivo Antioxidant Effects of Polysaccharides from Nameko Medicinal
Mushroom, Pholiota nameko SW-01 (Higher Basidiomycetes).
AB - Extra- (EPS) and intracellular polysaccharides (IPS) from Pholiota nameko SW-01
were extracted, and in vitro and in vivo antioxidant effects were processed.
Briefly, the in vitro antioxidant results indicated that the inhibition effects
of IPS at a dose of 500 mg/L on superoxide anions, hydroxyl radicals, and 1,1
diphenyl-2-picrylhydrazyl (DPPH) radicals were 63.24 +/- 1.12%, 53.11 +/- 2.92%,
and 59.33 +/- 9.37%, which were 7.70%, 14.84%, and 11.40% higher than those of
EPS, and 9.79%, 20.32%, and 4.59% higher than those of butylated hydroxytoluene
(BHT), respectively. The reducing power of IPS reached 0.593 +/- 0.21 (absorbance
at 700 nm), which was 11.30% higher than that of EPS and 15.51% higher than that
of BHT. In vivo antioxidant results proved that both IPS and EPS significantly
upregulated the enzyme activities of superoxide dismutase (SOD), GSH peroxide
(GSH-Px), catalase (CAT), and alanine transaminase (ALT). In addition, glycosidic
bond types of EPS and IPS were primarily characterized. The findings indicate the
potential antioxidant effects of polysaccharide extract from Ph. Nameko. Thus,
this species has potential applicability as an antioxidant agent and should be
studied further.
PMID- 26559702
TI - Optimization of Liquid Fermentation Medium for Production of Inonotus sanghuang
(Higher Basidiomycetes) Mycelia and Evaluation of their Mycochemical Contents and
Antioxidant Activities.
AB - Inonotus sanghuang, an authentic "Sanghuang" mushroom used in traditional Chinese
medicine, is known to possess important pharmacological activities. In this
study, we aimed to optimize the liquid fermentation medium for I. sanghuang
mycelial production and to determine the effects of two-stage cultivation (shake
and static) on the yield of total flavonoids, total phenolics, and
polysaccharides, as well as the antioxidant activities of I. sanghuang mycelial
extracts (ISME). Under an optimized medium composition (38.96 g/L of corn flour,
4.15 g/L of yeast extract, 20.55 g/L of bran and pH 6.39), the predicted and
experimental optimal mycelial biomasses were 17.60 g/L and 18.33+/-0.86 g/L,
respectively. The results of two-stage cultivation showed that contents of total
flavonoids and total phenolics in mycelia increased by 37.92% and 77.27%,
respectively. However, irregular polysaccharide contents were noted throughout
the experimental period. Antioxidant assays showed that ISME possessed good free
radical scavenging activity, which is mainly contributed by polyphenolic-type
metabolites.
PMID- 26559703
TI - Review on Natural Enemies and Diseases in the Artificial Cultivation of Chinese
Caterpillar Mushroom, Ophiocordyceps sinensis (Ascomycetes).
AB - Ophiocordyceps sinensis (syn. Cordyceps sinensis), well known as DongChongXiaCao
(DCXC), is one of the most valuable traditional Chinese medicinal species. In
this article, we provide a systematic review of natural enemies and diseases
encountered in artificial cultivation of DCXC. Unfortunately, DCXC has been
endangered over the past decades due to overharvesting and a worsening ecological
environment. Therefore, the artificial cultivation of DCXC has been extensively
investigated in recent years. Complete indoor artificial cultivation and semi
field cultivation are the two most common strategies used to cultivate DCXC.
However, cultured DCXCs are often attacked by various natural enemies and
diseases, which have resulted in substantial loss of the valuable medicinal
resource. In this study, we have summarized the species of natural enemies and
types of diseases confronted by DCXC. Twenty reported natural enemy species are
categorized into four classes, one of which is reported for the first time in
this study. Moreover, six microbial pathogens are also discussed. The
recapitulation of the natural enemies and diseases in DCXC artificial cultivation
not only promote the development of integrated pest management of DCXC
cultivation but also provide important information to help preserve and develop
this valuable resource.
PMID- 26559704
TI - Improved outcome of bacterial meningitis associated with use of corticosteroid
treatment.
AB - Background The aim of this study was to evaluate the clinical outcome of patients
with bacterial meningitis following the introduction of dexamethasone treatment
in Denmark. Methods Adult patients with bacterial meningitis, admitted from 2003
2010 to two different university hospitals, were included retrospectively. Data
at clinical presentation, Glasgow outcome scale (GOS), cerebrospinal fluid and
blood biochemistry were collected. Relative risk (RR) with 95% confidence
interval (CI) was computed by Cox proportional hazard regression analysis.
Results One hundred and forty-seven patients were included in the study. The
population had a median age of 62 years and 31% had an immunosuppressive co
morbidity. Eighty-nine patients had an unfavourable outcome (GOS score = 1-4).
Adjuvant treatment with corticosteroids (RR = 0.48; 95% CI = 0.30-0.76) was
associated with a favourable outcome (GOS score = 5), while altered mental status
(RR = 2.36; 95% CI = 1.17-4.78) and age (RR = 1.03; 95% CI = 1.01-1.04) per year
increment was associated with an unfavourable outcome. Adjuvant corticosteroid
treatment did not affect short- or long-term survival. Short-term mortality was
influenced by age (RR = 1.06; 95% CI = 1.04-1.09). Long-term mortality was
influenced by age (RR = 1.06; 95% CI = 1.03-1.08) and female sex (RR = 1.81; 95%
CI = 1.05-3.14). Conclusion This study indicated that adjuvant corticosteroid
treatment in acute bacterial meningitis improves the outcome and can safely be
administered in an elderly population with high levels of immunosuppressive co
morbidity.
PMID- 26559705
TI - The antimicrobial properties of ginseng and ginseng extracts.
AB - Ginseng is commonly used in traditional Chinese medicine as a tonic and an
adaptogen to reduce fatigue and boost the immune system. In recent years, ginseng
extracts are shown to have both bacteriostatic and bactericidal actions and seem
to exert their effects by several mechanisms, including disruption of biofilms,
inhibition of quorum-sensing and virulence factors, and altering motility. Also,
ginseng extracts are shown to have antifungal properties as demonstrated by their
ability to inhibit the growth of several mold and yeast species. Extracts from
ginseng root have a strong antiviral activity against the RNA viruses in cell
cultures and animal models. In addition to the antimicrobial activities, ginseng
extracts are shown to possess immunomodulatory properties involved in the
amelioration of infections. The present paper describes the antimicrobial effects
of ginseng and its extracts.
PMID- 26559706
TI - Anterior cruciate ligament tears for the primary care sports physician: what to
know on the field and in the office.
AB - Anterior cruciate ligament (ACL) injuries are relatively common and can lead to
knee dysfunction. The classic presentation is a non-contact twisting injury with
an audible pop and the rapid onset of swelling. Prompt evaluation and diagnosis
of ACL injuries are important. Acute treatment consists of cessation of the
sporting activity, ice, compression, and elevation with evaluation by a physician
familiar with ACL injuries and their management. The diagnosis is made with the
use of patient history and physical examination as well as imaging studies.
Radiographs may show evidence of a bony injury. MRI confirms the diagnosis and
evaluates the knee for concomitant injuries to the cartilage, menisci and other
knee ligaments. For active patients, operative treatment is often recommended
while less-active patients may not require surgery. The goal of this review is to
discuss the diagnosis of an ACL injury and provide clear management strategies
for the primary-care sports medicine physician.
PMID- 26559707
TI - Snacking, Satiety, and Weight: A Randomized, Controlled Trial.
AB - PURPOSE: To compare the effects of nut-based snack bars (NBSB) vs. prepackaged
200-kcal portions of typical conventional snack foods, when consumed over a 12
week period by a group of overweight adults. DESIGN: Randomized, single-blind
parallel design with two treatment groups. SETTING: CLINICAL TRIAL: SUBJECTS:
Thirty-four overweight participants were enrolled. INTERVENTION: Commercially
available NBSB or conventional snack foods as part of an ad libitum diet for 12
weeks. MEASURES: PRIMARY OUTCOME MEASURES: body mass index, body weight, body
composition, waist circumference. SECONDARY OUTCOME MEASURES: blood pressure,
lipid profile, nutrients intake, hunger/satiety, quality of life. ANALYSIS:
Generalized linear models with time as repeated measure were used to analyze
these data. RESULTS: Daily consumption of NBSB for 12 weeks, as compared to daily
consumption of conventional snacks, significantly reduced percentage body fat (
1.7% +/- 10.8% vs. 6.2% +/- 9.3%; p = .04) and visceral fat (-1.3 +/- 5.9 vs. 2.7
+/- 4.0; p = .03). There were no between-group differences (p > .05) for blood
pressure, lipid panel, satiety, or quality of life measures. CONCLUSION: Our data
suggest that daily consumption of NBSB for 12 weeks reduced body fat and had no
adverse effects on weight, blood pressure, lipid profile, satiety, or quality of
life in this small sample of overweight adults.
PMID- 26559708
TI - Impact of California Children's Power Play! Campaign on Fruit and Vegetable
Intake and Physical Activity among Fourth- and Fifth-Grade Students.
AB - PURPOSE: Examine the impact of the Children's Power Play! Campaign on fruit and
vegetable (FV) intake and physical activity (PA). DESIGN: Study design was a
cluster randomized, controlled trial. SETTING: Forty-four low-resource public
schools in San Diego County, California, were included in the study. SUBJECTS:
Study subjects comprised a total of 3463 fourth/fifth-graders (1571 intervention,
1892 control), with an 86.9% completion rate. INTERVENTION: Throughout 10 weeks,
activities were conducted during/after school, including weekly FV/PA lessons and
PA breaks; biweekly classroom promotions/taste tests; posters displayed in/around
schools; and weekly nutrition materials for parents. MEASURES: Self-reported FV
intake (cups/d) and PA (min/d) were collected at baseline and follow-up using a
diary-assisted, 24-hour dietary recall and Self-Administered Physical Activity
Checklist. ANALYSIS: Multivariate regression models adjusted for demographics and
cluster design effects were used, with change as the dependent variable. RESULTS:
Intervention children, compared with controls, showed gains in daily FV intake
(.26 cups, p < .001) and PA time at recess/lunch (5.1 minutes, p = .003), but not
total daily PA minutes. CONCLUSION: Power Play! can help schools and community
organizations improve low-income children's FV intake and PA during recess/lunch.
PMID- 26559709
TI - Advancing School and Community Engagement Now for Disease Prevention (ASCEND).
AB - PURPOSE: To compare two intensity levels (standard vs. enhanced) of a nutrition
and physical activity intervention vs. a control (usual programs) on nutrition
knowledge, body mass index, fitness, academic performance, behavior, and
medication use among elementary school students. DESIGN: Quasi-experimental with
three arms. SETTING: Elementary schools, students' homes, and a supermarket.
SUBJECTS: A total of 1487 third-grade students. INTERVENTION: The standard
intervention (SI) provided daily physical activity in classrooms and a program on
making healthful foods, using food labels. The enhanced intervention (EI)
provided these plus additional components for students and their families.
MEASURES: Body mass index (zBMI), food label literacy, physical fitness, academic
performance, behavior, and medication use for asthma or attention-deficit
hyperactivity disorder (ADHD). ANALYSIS: Multivariable generalized linear model
and logistic regression to assess change in outcome measures. RESULTS: Both the
SI and EI groups gained less weight than the control (p < .001), but zBMI did not
differ between groups (p = 1.00). There were no apparent effects on physical
fitness or academic performance. Both intervention groups improved significantly
but similarly in food label literacy (p = .36). Asthma medication use was reduced
significantly in the SI group, and nonsignificantly (p = .10) in the EI group.
Use of ADHD medication remained unchanged (p = .34). CONCLUSION: The standard
intervention may improve food label literacy and reduce asthma medication use in
elementary school children, but an enhanced version provides no further benefit.
PMID- 26559710
TI - The Effect of Changes in Physical Activity on Sedentary Behavior: Results From a
Randomized Lifestyle Intervention Trial.
AB - PURPOSE: To investigate whether changes in physical activity (PA) have an impact
on sedentary behavior (SB) during a lifestyle intervention. DESIGN: Study design
was a randomized trial. SETTING/SUBJECTS: Participants (n = 204) were individuals
with low PA and high sedentary leisure screen time from the Chicago area.
INTERVENTION: Participants were randomized to either increase PA (iPA) or
decrease sedentary leisure (dSED). The intervention consisted of decision
support, coaching, and financial incentives. For iPA participants, the goal was
at least 60 min/d of self-reported moderate-tovigorous-intensity PA (MVPA). For
dSED participants the goal was less than 90 min/d of sedentary leisure screen
time. MEASURES: Daily accelerometer-based measures of SB and bout-corrected MVPA
were obtained. ANALYSIS: Linear mixed-effects models were fit to estimate the
effect of the intervention on MVPA and total SB and to estimate the effect of
daily changes in MVPA on daily SB. RESULTS: The iPA participants increased their
bout-corrected MVPA by 14 min/d (p < .001) and decreased their total SB by 18
min/d (p < .001). The dSED participants did not significantly change their PA or
their total SB. On days when participants exercised, each 10-minute bout of MVPA
was associated with a 6-minute decrease in SB on the same day (p < .001).
CONCLUSION: In an intervention study designed to increase MVPA, participants who
increase their time spent exercising will obtain much of this time by reducing
their SB.
PMID- 26559711
TI - New Pathways From Short Sleep to Obesity? Associations Between Short Sleep and
"Secondary" Eating and Drinking Behavior.
AB - PURPOSE: The association between short sleep and obesity risk is well
established. However, we explore a new pathway between short sleep and obesity:
whether short sleep is linked to more time spent in secondary eating or drinking,
that is, eating or drinking (beverages other than water, such as sugar-sweetened
beverages) while primarily engaged in another activity, such as television
watching. DESIGN: This pooled cross-sectional study uses data from the American
Time Use Survey (ATUS) from 2006 to 2008. SETTING: The study takes place in the
United States. SUBJECTS: Subjects are 28,150 adults (55.8% female) aged 21 to 65
who were surveyed in the ATUS. MEASURES: Outcomes are time spent on (1) secondary
eating and drinking and (2) primary eating and drinking. Our main predictor
variable is sleep duration. ANALYSIS: Controlling for demographic and
socioeconomic characteristics of the respondents, we estimate multivariate
regression-analysis models for the full sample, as well as by weekday/weekend
status, race, and gender subgroups. RESULTS: In multivariate models, compared to
respondents reporting normal sleep, short sleep was associated with additional
8.7 (SE = 2.1) minutes per day of secondary eating (p < .01) and additional 28.6
(SE = 4.2) and 31.28 (SE = 5.0) minutes per day of secondary drinking on weekdays
and weekends, respectively (p < .01). CONCLUSIONS: We find that short sleep is
associated with more time spent in secondary eating and, in particular, secondary
drinking. This potentially suggests a pathway from short sleep to increased
caloric intake in the form of beverages and distracted eating and thus potential
increased obesity risk, although more research is needed.
PMID- 26559712
TI - Active8! Technology-Based Intervention to Promote Physical Activity in Hospital
Employees.
AB - PURPOSE: Increase physical activity in health care employees using health
messaging, and compare e-mail with mobile phone short-message service (SMS) as
delivery channels. DESIGN: Randomized controlled trial Setting. U.K. hospital
workplace. SUBJECTS: Two hundred ninety-six employees (19-67 years, 53% of study
Web site visitors). INTERVENTION: Twelve-week messaging intervention designed to
increase physical activity and delivered via SMS (n =147) or e-mail (n =149);
content tailored using theory of planned behavior (TPB) and limited to 160
characters. MEASURES: Baseline and 6, 12, and 16 weeks. Online measures included
TPB constructs, physical activity behavior on the Global Physical Activity
Questionnaire, and health-related quality of life on the Short-Form 12. ANALYSIS:
General linear models for repeated measures. RESULTS: Increase in duration (mean
h/d) of moderate work-related activity and moderate recreational activity from
baseline to 16 weeks. Short-lived increase in frequency (d/wk) of vigorous
recreational activity from baseline to 6 weeks. Increase in duration and
frequency of active travel from baseline to 16 weeks. E-mails generated greater
changes than SMS in active travel and moderate activity (work and recreational).
CONCLUSION: Minimal physical activity promotion delivered by SMS or e-mail can
increase frequency and duration of active travel and duration of moderate
intensity physical activity at work and for leisure, which is maintained up to 1
month after messaging ends. Both channels were useful platforms for health
communication; e-mails were particularly beneficial with hospital employees.
PMID- 26559713
TI - Which Type of Antismoking Advertisement Is Perceived as More Effective? An
Experimental Study With a Sample of Australian Socially Disadvantaged Welfare
Recipients.
AB - PURPOSE: Evaluate the perceived effectiveness of key antismoking messages among
highly disadvantaged smokers and assess the impact of nicotine dependence and
cessation cognitions on message processing. DESIGN: The experimental crossover
trial, undertaken between March and December 2012, randomly exposed participants
to two of three antismoking advertisements delivered via touchscreen computer.
SETTING: Welfare recipients were recruited from a community service organization
in New South Wales, Australia. SUBJECTS: Subjects were 354 smokers (79% response
rate). Participants resided in government rental housing (52%), earned less than
AUD$400/wk (72%), and received their primary income from government welfare
(95%). INTERVENTION: Three 30-second antismoking television advertisements
representing common campaign themes: why to quit (graphic imagery), why to quit
(personal testimonial), or how to quit. MEASURES: An 11-item scale assessed
perceived effectiveness and message acceptance. An eight-item cessation
cognitions index assessed motivations and readiness to quit, and the heaviness of
smoking index was used to classify nicotine dependence. ANALYSIS: Descriptive
statistics, generalized linear mixed models, and multiple linear regression
analyses are reported. RESULTS: Why-to-quit advertisements were perceived as
significantly more effective than the how-to-quit advertisement (all p < .0001).
Smokers with positive cessation cognitions were more likely to accept antismoking
messages (p = .0003) and perceive them as effective (p < .0001). Nicotine
dependence level did not influence message acceptance (p = .7322) or
effectiveness (p = .8872). CONCLUSION: Highly emotive advertisements providing
good reasons to quit may be the most effective in promoting the antismoking
message among groups with high smoking rates.
PMID- 26559714
TI - Support for Food and Beverage Worksite Wellness Strategies and Sugar-Sweetened
Beverage Intake Among Employed U.S. Adults.
AB - PURPOSE: Sugar-sweetened beverage (SSB) consumption is high among U.S. adults and
is associated with obesity. Given that more than 100 million Americans consume
food or beverages at work daily, the worksite may be a venue for interventions to
reduce SSB consumption. However, the level of support for these interventions is
unknown. We examined associations between workday SSB intake and employees'
support for worksite wellness strategies (WWSs). DESIGN: We conducted a cross
sectional study using data from Web-based annual surveys that gather information
on health-related attitudes and behaviors. SETTING: Study setting was the United
States. SUBJECTS: A total of 1924 employed adults (>=18 years) selected using
probability-based sampling. MEASURES: The self-reported independent variable was
workday SSB intake (0, <1 or >=1 times per day), and dependent variables were
employees' support (yes/no) for the following WWSs: (1) accessible free water,
(2) affordable healthy food/drink, (3) available healthy options, and (4) less
available SSB. ANALYSIS: Multivariable logistic regression was used to control
for sociodemographic variables, employee size, and availability of
cafeteria/vending machine. RESULTS: About half of employees supported accessible
free water (54%), affordable healthy food/drink (49%), and available healthy
options (46%), but only 28% supported less available SSB. Compared with non-SSB
consumers, daily SSB consumers were significantly less supportive of accessible
free water (adjusted odds ratio, .67; p < .05) or less available SSB (odds ratio,
.49; p < .05). CONCLUSION: Almost half of employees supported increasing healthy
options within worksites, although daily workday SSB consumers were less
supportive of certain strategies. Lack of support could be a potential barrier to
the successful implementation of certain worksite interventions.
PMID- 26559715
TI - "Get drunk. Smoke weed. Have fun.": A Content Analysis of Tweets About Marijuana
and Alcohol.
AB - PURPOSE: To explore the sentiment and themes of Twitter chatter that mentions
both alcohol and marijuana. DESIGN: Cross-sectional analysis of tweets mentioning
both alcohol and marijuana during 1 month was performed. SETTING: The study
setting was Twitter. PARTICIPANTS: Tweets sent from February 4 to March 5, 2014,
were studied. METHOD: A random sample (n = 5000) of tweets that mentioned alcohol
and marijuana were qualitatively coded as normalizing both substances, preferring
one substance over the other, or discouraging both substances. Other common
themes were identified. RESULTS: More than half (54%) of the tweets normalized
marijuana and alcohol (without preferring one substance over the other), and 24%
preferred marijuana over alcohol. Only 2% expressed a preference for alcohol over
marijuana, 7% discouraged the use of both substances, and the sentiment was
unknown for 13% of the tweets. Common themes among tweets that normalized both
substances included using the substances with friends (17%) and mentioning
substance use in the context of sex or romance (14%). Common themes among tweets
that preferred marijuana over alcohol were the beliefs that marijuana is safer
than alcohol (46%) and preferences for effects of marijuana over alcohol (40%).
CONCLUSION: Tweets normalizing polysubstance use or encouraging marijuana use
over alcohol use are common. Both online and offline prevention efforts are
needed to increase awareness of the risks associated with polysubstance use and
marijuana use.
PMID- 26559716
TI - Social Smoking and Mental Health Among Chinese Male College Students.
AB - PURPOSE: China has a high prevalence of smoking, but the characteristics of
social smoking in Chinese college students have not been investigated. We
examined the pattern of social smoking and explored the association between
social smoking and personal cessation efforts and mental health factors among
Chinese male college students. DESIGN: Study design was a cross-sectional survey.
SETTING: P. R. China was the setting of the study. SUBJECTS: Participants were a
random sample of 1327 male college students. MEASURES: All participants completed
a self-administered questionnaire that examined their smoking behaviors and a
group of specific mental health factors (loneliness, self-harm, suicide,
depression, and anxiety). ANALYSIS: Analysis was conducted using descriptive
statistics, chi2 analysis, and multivariate logistic regression. RESULTS: Of a
total of 207 current smokers, 102 (49.3%) were identified as social smokers.
Compared with nonsmokers, social smokers had increased risks for depression (odds
ratio, 1.74; 95% confidence interval, 1.15-2.65). Among daily smokers, social
smokers were less likely to have an intention to quit smoking than nonsocial
smokers (odds ratio, .08; 95% confidence interval, .01-.57). CONCLUSION: This
study reveals unique psychologic characteristics related to social smoking.
College students are a particular group of interest because unhealthy behaviors
initiated during adolescence may continue through adulthood. Our findings provide
evidence for future tobacco control intervention among this population.
PMID- 26559717
TI - Exploring Perceptions of Barriers, Facilitators, and Motivators to Physical
Activity Among Female Bariatric Patients: Implications for Physical Activity
Programming.
AB - PURPOSE: To explore barriers, facilitators, and motivators to adopting and
maintaining regular physical activity among women with obesity who have undergone
bariatric surgery. APPROACH: Individual interviews with women 3 to 24 months post
bariatric surgery. SETTING: Participants were recruited from a bariatric clinic
in Montreal, Canada. PARTICIPANTS: Twelve women were recruited (mean age = 47 +/-
9 years) using poster advertisements and word of mouth. Participants were on
average 15 months postsurgery. METHOD: Each woman was interviewed once using a
semistructured interview protocol. Recruitment was conducted until data
saturation (i.e., no new information emerged). The interviews were transcribed,
coded, and analyzed using inductive thematic analysis. RESULTS: Three
interrelated themes emerged: the physical body, appraisal of the physical and
social self, and the exercise environment. Barriers included weight-restricted
mobility, side effects of surgery, body dissatisfaction, compromised
psychological health, competing responsibilities, a lack of exercise self
efficacy and social support, reduced access to accommodating facilities, lack of
exercise knowledge, and northern climate. Participants reported postsurgical
weight loss, weight and health maintenance, enjoyment, body image, and supportive
active relationships, as well as access to accommodating facilities and exercise
knowledge, as facilitators and motivators. CONCLUSION: Suggested physical
activity programming strategies for health care professionals working with this
unique population are discussed. Physical activity and health promotion
initiatives can also benefit from a cultural paradigm shift away from weight
based representations of health.
PMID- 26559719
TI - A Qualitative Examination of Smoke-Free Policies and Electronic Cigarettes Among
Sheltered Homeless Adults.
AB - PURPOSE: To examine attitudes toward smoke-free policies and perceptions of e
cigarette use among homeless adults. APPROACH: A cross-sectional qualitative
study was conducted. SETTING: Study setting comprised seven transitional homeless
shelters with indoor smoke-free policies in San Diego County; facilities differed
in outdoor restrictions on smoking. PARTICIPANTS: Sixty-six current or former
smokers were the study participants. METHOD: Participants completed a
questionnaire on smoking behaviors, perceived antitobacco norms, and attitudes
toward smoke-free policies, and attended a focus group interview that explored
these topics. We used a directed content analysis approach to analyze the focus
group transcripts. RESULTS: Clients in facilities with outdoor restrictions on
smoking had stronger perceived antitobacco norms than those in facilities without
such restrictions. We identified the following major themes: attitudes toward
smoke-free policies, the use of e-cigarettes, the addictive potential of
cigarettes, vulnerability to tobacco industry marketing, and interest in smoking
cessation. The consensus was that smoke-free policies were important because they
limited secondhand smoke exposure to nonsmokers and children. All were curious
about e-cigarettes, particularly if they could be smoked in areas where smoking
was prohibited and/or used as a cessation aid. CONCLUSION: In this study of
homeless adults, there was strong support for indoor and outdoor smoke-free
policies. However, misperceptions that e-cigarettes could be used indoors could
threaten antitobacco norms, highlighting opportunities to educate about the
potential risks of e-cigarette use among homeless individuals.
PMID- 26559718
TI - Perinatal Illicit Drug and Marijuana Use.
AB - PURPOSE: To assess use, screening, and disclosure of perinatal marijuana and
other illicit drugs during first obstetric visits. DESIGN: Observational study
that qualitatively assesses provider screening and patient disclosure of
substance use. SETTING: Study sites were five urban outpatient prenatal clinics
and practices located in Pittsburgh, Pennsylvania. PARTICIPANTS: Pregnant
patients and obstetric providers were recruited as participants. METHODS: We
audio recorded patient-provider conversations during first obstetric visits and
obtained patient urine samples for drug analyses. Audio recordings were reviewed
for provider screening and patient disclosure of illicit drug use. Urine analyses
were compared with audio recordings to determine disclosure. RESULTS: Four
hundred and twenty-two pregnant patients provided complete audio recordings and
urine samples for analyses. Providers asked about illicit drug use in 81% of the
visits. One hundred twenty-three patients (29%) disclosed any current or past
illicit drug use; 48 patients (11%) disclosed current use of marijuana while
pregnant. One hundred and forty-five samples (34%) tested positive for one or
more substances; marijuana was most commonly detected (N = 114, 27%). Of patients
who tested positive for any substance, 66 (46%) did not disclose any use; only
36% of patients who tested positive for marijuana disclosed current use.
CONCLUSION: Although marijuana is illegal in Pennsylvania, a high proportion of
pregnant patients used marijuana, with many not disclosing use to their obstetric
care providers.
PMID- 26559721
TI - Rural Print Media and a Tailored Advocacy Intervention for Smoke-Free Policy.
AB - PURPOSE: To examine frequency, prominence, and content of local print media after
a 4-year policy advocacy intervention. DESIGN: This was a controlled community
based trial. SETTING: The study took place in 39 rural counties (22 intervention,
17 comparison). SUBJECTS: Subjects consisted of 2525 newspaper articles monitored
over 18 quarters (July 2007 to December 2011). INTERVENTION: One key element of
the tailored policy advocacy intervention delivered by community advisors was
building demand for smoke-free policy via media advocacy strategies. MEASURES:
Media clips were coded to assess number of articles; percent of tobacco-related
articles on the front page or bold heading section; percent of pro-health
articles; and percent of articles with secondhand smoke (SHS)-relevant topics or
themes. ANALYSIS: Coded data were entered into Atlas.ti software. Article
frequencies and attributes were compared between groups and over time using
negative binomial regression for longitudinal data, with county-level
demographics as covariates. RESULTS: In the last 3 years, there were
approximately twice as many articles in intervention than in comparison counties.
Media clips from newspapers in intervention counties were between 1.4 and 2 times
more likely to have front page placement and percent of relevant topic or theme
than were those in comparison counties. There was no difference in rate of pro
health articles by group. CONCLUSION: The policy advocacy intervention to promote
smoke-free policy increased media attention to SHS and may have increased public
awareness of issues related to smoke-free policy.
PMID- 26559720
TI - Telephone-Based Coaching.
AB - PURPOSE: Many Americans continue to smoke, increasing their risk of disease and
premature death. Both telephone-based counseling and in-person tobacco cessation
classes may improve access for smokers seeking convenient support to quit. Little
research has assessed whether such programs are effective in real-world clinical
populations. DESIGN: Retrospective cohort study comparing wellness coaching
participants with two groups of controls. SETTING: Kaiser Permanente Northern
California, a large integrated health care delivery system. SUBJECTS: Two hundred
forty-one patients who participated in telephonic tobacco cessation coaching from
January 1, 2011, to March 31, 2012, and two control groups: propensity-score
matched controls, and controls who participated in a tobacco cessation class
during the same period. Wellness coaching participants received an average of two
motivational interviewing-based coaching sessions that engaged the patient,
evoked their reason to consider quitting, and helped them establish a quit plan.
MEASURES: Self-reported quitting of tobacco and fills of tobacco cessation
medications within 12 months of follow-up. ANALYSIS: Logistic regressions
adjusting for age, gender, race/ethnicity, and primary language. RESULTS: After
adjusting for confounders, tobacco quit rates were higher among coaching
participants vs. matched controls (31% vs. 23%, p < .001) and comparable to those
of class attendees (31% vs. 29%, p = .28). Coaching participants and class
attendees filled tobacco-cessation prescriptions at a higher rate (47% for both)
than matched controls (6%, p < .001). CONCLUSION: Telephonic wellness coaching
was as effective as in-person classes and was associated with higher rates of
quitting compared to no treatment. The telephonic modality may increase
convenience and scalability for health care systems looking to reduce tobacco use
and improve health.
PMID- 26559722
TI - Perceptions of Food Intake, Physical Activity, and Obesity Among African-American
Children in the Lower Mississippi Delta.
AB - PURPOSE: To explore the nutrition and physical activity perceptions of children
for planning a healthy weight curriculum to address childhood obesity in African
American children living in the Lower Mississippi Delta (LMD). DESIGN: Six
children's focus group sessions. SETTING: Two Louisiana parishes in the LMD.
SUBJECTS: Seventy 8- to 13-year-old African-American children, 46 (66%) females
and 24 (44%) males, participated in the focus group sessions. MEASURES: Interview
questions were based on personal and environmental determinants and content and
strategies for a healthy lifestyle program for children. ANALYSIS: Focus group
discussions were audio recorded and transcribed, observer recorded, and analyzed
to identify recurring trends and patterns among focus groups. Content analysis
consisted of coding focus group transcripts for recurrent themes and review of
data by an independent reviewer to confirm the themes. RESULTS: Emerging themes
were categorized as healthy lifestyle opinions within the social cognitive theory
constructs of personal and environmental determinants and curriculum content.
CONCLUSION: LMD youth recognized a healthy eating pattern and that overweight and
obesity result from poor eating habits and physical inactivity. Children's food
intake pattern did not reflect this understanding, suggesting a need for
culturally tailoring an intervention to impact the poor food intake and physical
inactivity in two low-income African-American Delta communities.
PMID- 26559723
TI - Hippocampal dysregulation of FMRP/mGluR5 signaling in engrailed-2 knockout mice:
a model of autism spectrum disorders.
AB - Many evidences indicate that mice lacking the homeobox transcription factor
engrailed-2 (En2(-/-) mice) represent a reliable model to investigate
neurodevelopmental basis and gene expression changes relevant to autism spectrum
disorders. Dysfunctions in fragile X mental retardation protein (FMRP),
metabotropic glutamate receptor 5 (mGluR5), and GABAergic signaling pathways have
been proposed as a possible pathogenic mechanism of autism spectrum disorders.
Here, we exploited En2(-/-) mice to investigate hippocampal expression of FMRP,
mGluR5, and GABA(A) receptor beta3 subunit (GABRB3). Quantitative reverse
transcription PCR showed that all these mRNAs were significantly downregulated in
En2(-/-) mice compared with wild-type littermates. Western blot and
immunohistochemistry confirmed the downregulation of FMRP and GABRB3 proteins,
while showing a significant increase of mGluR5 protein in the En2(-/-)
hippocampus. Our results suggest that the dysregulation of FMRP-mGluR5 signaling
pathway, accompanied with a downregulation of GABRB3 expression, may contribute
to the 'autistic-like' features observed in En2 mice, providing possible
molecular targets for future pharmacological studies.
PMID- 26559724
TI - Secure attachment status is associated with white matter integrity in healthy
young adults.
AB - The present study investigates associations between security of attachment in the
mother-child relationship and patterns of brain connectivity in young adults. We
hypothesized that secure attachment would relate to more efficient connectivity
in white matter association fibers due to increased myelination. Attachment
security was measured in 53 young adults using the Kerns Security Scale;
anatomical information was acquired using diffusion tensor imaging. Higher
fractional anisotropy, an index of directionality of diffusion, related to
security of attachment in four left-hemisphere white matter association fibers
(uncinate fasciculus, cingulum, superior longitudinal fasciculus, and inferior
fronto-occipital fasciculus). As expected, this result was mainly ascribable to
increased myelination, which has been independently associated with attachment
security. Security of attachment may have an identifiable biological basis. Our
research demonstrates the feasibility of coupling neuroimaging tools with
clinical investigation.
PMID- 26559725
TI - Cerebral gray matter volume variation in female-to-male transsexuals: a voxel
based morphometric study.
AB - Several studies seem to support the hypothesis that brain anatomy is associated
with transsexualism. However, these studies were still limited because few
neuroanatomical findings have been obtained from female-to-male (FtM)
transsexuals. This study compared the cerebral regional volumes of gray matter
(GM) between FtM transsexuals and female controls using a voxel-based
morphometry. Twelve FtM transsexuals who had undergone sex-reassignment surgery
and 15 female controls participated in this study. Both groups were age matched
and right-handed, with no history of neurological illness. Fifteen female
controls were recruited to determine whether GM volumes in FtM transsexuals more
closely resembled individuals who shared their biological sex. MRI data were
processed using SPM 8 with the diffeomorphic anatomical registration through
exponentiated Lie algebra (DARTEL). FtM transsexuals showed significantly larger
volumes of the thalamus, hypothalamus, midbrain, gyrus rectus, head of caudate
nucleus, precentral gyrus, and subcallosal area compared with the female
controls. However, the female controls showed a significantly larger volume in
the superior temporal gyrus including Heschl's gyrus and Rolandic operculum.
These findings confirm that the volume difference in brain substructures in FtM
transsexuals is likely to be associated with transsexualism and that
transsexualism is probably associated with distinct cerebral structures,
determining gender identity.
PMID- 26559727
TI - A study of brain white matter plasticity in early blinds using tract-based
spatial statistics and tract statistical analysis.
AB - Early blind individuals are known to exhibit structural brain reorganization.
Particularly, early-onset blindness may trigger profound brain alterations that
affect not only the visual system but also the remaining sensory systems.
Diffusion tensor imaging (DTI) allows in-vivo visualization of brain white matter
connectivity, and has been extensively used to study brain white matter
structure. Among statistical approaches based on DTI, tract-based spatial
statistics (TBSS) is widely used because of its ability to automatically perform
whole brain white matter studies. Tract specific analysis (TSA) is a more recent
method that localizes changes in specific white matter bundles. In the present
study, we compare TBSS and TSA results of DTI scans from 12 early blind
individuals and 13 age-matched sighted controls, with two aims: (a) to
investigate white matter alterations associated with early visual deprivation;
(b) to examine the relative sensitivity of TSA when compared with TBSS, for both
deficit and hypertrophy of white matter microstructures. Both methods give
consistent results for broad white matter regions of deficits. However, TBSS does
not detect hypertrophy of white matter, whereas TSA shows a higher sensitivity in
detecting subtle differences in white matter colocalized to the posterior
parietal lobe.
PMID- 26559726
TI - Duration of drug action of dopamine D2 agonists in mice with 6-hydroxydopamine
induced lesions.
AB - Although 6-hydroxydopamine-induced (6-OHDA-induced) rats are a well-known
Parkinson's disease model, the effects of dopamine D2 agonists in mice with 6
OHDA-induced lesions are not completely understood. We produced mice with 6-OHDA
induced lesions and measured their total locomotion counts following
administration of several dopamine D2 agonists (pramipexole, ropinirole,
cabergoline, rotigotine, apomorphine, talipexole, and quinelorane). Cabergoline
showed the longest duration of drug action, which was in agreement with its long
lived anti-Parkinson effects in rats and humans. In contrast, pramipexole and
ropinirole had notably short durations of drug action. We demonstrated that mice
with 6-OHDA-induced lesions accompanied with significant lesions in the striatum
may be reasonable models to predict the action duration of anti-Parkinson drug
candidates in humans.
PMID- 26559728
TI - Dura-evoked neck muscle activity involves purinergic and N-methyl-D-aspartate
receptor mechanisms.
AB - We have previously demonstrated that noxious stimulation of craniofacial tissues
including the frontal dura reflexly evokes significant increases in neck muscle
electromyographic (EMG) activity. The primary aim of this study was to determine
whether purinergic receptor mechanisms may be involved in these EMG effects, and
whether N-methyl-D-aspartate (NMDA) receptor processes modulate the purinergic
mechanisms. Application of the P2X1, P2X3 and P2X2/3 receptor agonist alpha,beta
methylene ATP (but not vehicle) to the dural surface evoked a significant
(P<0.05) increase in ipsilateral neck EMG activity that could be suppressed by
dural or intrathecal application of the selective P2X1, P2X3 and P2X2/3 receptor
antagonist 2',3'-O-(2,4,6-trinitrophenyl) ATP (TNP-ATP) but not by vehicle; the
intrathecal application of 2-amino-5-phosphonopentanoic acid, an NMDA receptor
antagonist, also significantly reduced the neck EMG activity evoked by dural
application of alpha,beta-methylene ATP. These data suggest that purinergic
receptor mechanisms contribute to the increased neck activity that can be
reflexly evoked by noxious stimulation of the frontal dura, and that NMDA as well
as purinergic receptor mechanisms in the medulla may modulate these purinergic
related effects.
PMID- 26559729
TI - Frontoparietal EEG alpha-phase synchrony reflects differential attentional
demands during word recall and oculomotor dual-tasks.
AB - To study the relationship between the varying degrees of cognitive load and long
range synchronization among neural networks, we utilized a dual-task paradigm
combining concurrent word recall working memory tasks and oculomotor tasks that
differentially activate the common frontoparietal (FP) network. We hypothesized
that each dual-task combination would generate differential neuronal activation
patterns among long-range connection during word retention period. Given that the
FP alpha-phase synchronization is involved in attentional top-down processes, one
would expect that the long-range synchronization pattern is affected by the
degrees of dual-task demand. We measured a single-trial phase locking value in
the alpha frequency (8-12 Hz) with electroencephalography in healthy
participants. Single-trial phase locking value characterized the synchronization
between two brain signals. Our results revealed that different amounts of FP
alpha-phase synchronization were produced by different dual-task combinations,
particularly during the early phase of the word retention period. These
differences were dependent on the individual's working memory capacity and memory
load. Our study shows that during dual-task, each oculomotor task, which is
subserved by distinct neural network, generates different modulation patterns on
long-range neuronal activation and FP alpha-phase synchronization seems to
reflect these differential cognitive loads.
PMID- 26559730
TI - A mixed methods contribution to the study of health public policies:
complementarities and difficulties.
AB - The use of mixed methods (combining quantitative and qualitative data) is
developing in a variety of forms, especially in the health field. Our own
research has adopted this perspective from the outset. We have sought all along
to innovate in various ways and especially to develop an equal partnership, in
the sense of not allowing any single approach to dominate. After briefly
describing mixed methods, in this article we explain and illustrate how we have
exploited both qualitative and quantitative methods to answer our research
questions, ending with a reflective analysis of our experiment.
PMID- 26559732
TI - The Default Mode Network Connectivity Predicts Cognitive Recovery in Severe
Acquired Brain Injured Patients: A Longitudinal Study.
AB - To study the functional connectivity in patients with severe acquired brain
injury is very challenging for their high level of disability because of a
prolonged period of coma, extended lesions, and several cognitive and behavioral
disorders. In this article, we investigated in these patients the default mode
network and somatomotor connectivity changes at rest longitudinally, in the
subacute and late phase after brain injury. The aim of the study is to
characterize such connectivity patterns and relate the observed changes to
clinical and neuropsychological outcomes of these patients after a period of
intensive neurorehabilitation. Our findings show within the default mode network
a disruption of connectivity of medial pre-frontal regions and a significant
change of amplitude of internal connections. Notably, strongest changes in
functional connectivity significantly correlated to consistent clinical and
cognitive recovery. This evidence seems to indicate that the reorganization of
the Default Mode Network may represent a valid biomarker for the cognitive
recovery in patients with severe acquired brain injury.
PMID- 26559731
TI - Development of TV003/TV005, a single dose, highly immunogenic live attenuated
dengue vaccine; what makes this vaccine different from the Sanofi-Pasteur CYDTM
vaccine?
AB - Dengue is caused by four serotype-distinct dengue viruses (DENVs), and developing
a multivalent vaccine against dengue has not been straightforward since partial
immunity to DENV may predispose to more severe disease upon subsequent DENV
infection. The vaccine that is furthest along in development is CYDTM, a live
attenuated tetravalent vaccine (LATV) produced by Sanofi Pasteur. Although the
multi-dose vaccine demonstrated protection against severe dengue, its overall
efficacy was limited by DENV serotype, serostatus at vaccination, region and age.
The National Institute of Allergy and Infectious Diseases has developed the LATV
dengue vaccines TV003/TV005. A single dose of either TV003 or TV005 induced
seroconversion to four DENV serotypes in 74-92% (TV003) and 90% (TV005) of
flavivirus seronegative adults and elicited near-sterilizing immunity to a second
dose of vaccine administered 6-12 months later. The important differences in the
structure, infectivity and immune responses to TV003/TV005 are compared with
CYDTM.
PMID- 26559733
TI - Marchetti Vicenzi elastic retrograde nail in the treatment of humeral shaft
fractures: review of the current literature.
AB - Shoulder impairment following anterograde intramedullary nailing for humeral
shaft fractures represents a challenging problem for the orthopedic surgeon.
Traditional retrograde nailing lowers the rates of shoulder impairment although
exposing the surgeons to severe technical issues related to the proximal
interlocking. The Marchetti Vicenzi nail (MVN) permits a retrograde insertion
along with a self-locking mechanism that lowers the risk of iatrogenic damage
during proximal interlocking. Aim of this literature review was to evaluate all
the case series dealing with MVN and the obtained results in terms of union
rates, complications, and functional outcomes in order to evaluate evidence that
would substantiate the adoption of MVN in the treatment of humeral shaft
fractures. A search was performed using the keywords "humeral shaft fracture
nail," "humeral retrograde nail," "humeral elastic nail," "Marchetti Vicenzi
nail," "Marchetti nail," "Vicenzi nail." After accurate revision 13 articles
found to be relevant with a total of 532 humeral fractures (traumatic and
pathologic) and non-unions treated with MVN. The cumulative healing rate reported
is 93.7 % with 6.3 % of non-unions. Despite the fact that obtained results
compare favorably to the published data on the outcome of anterograde nailing,
the evaluated studies presented a huge number of methodological flaws, thus
making it difficult to recommend the adoption of MVN in preference of other
better-validated forms of treatment.
PMID- 26559734
TI - Neonatal gram-negative bacillary late-onset sepsis: A case-control-control study
on a prospectively collected database of 5,233 admissions.
AB - BACKGROUND: Gram-negative bacillary (GNB) bloodstream infections account for 20%
30% of neonatal late-onset sepsis (LOS). We aimed to identify the incidence,
clinical characteristics, and risk factors for adverse outcomes in neonates with
GNB LOS. METHODS: All patients with GNB LOS admitted to the neonatal intensive
care units (NICUs) of a university-affiliated teaching hospital in Taiwan from
January 1, 2004-December 31, 2011, were enrolled. A case-control-control study
was performed to evaluate risk factors for acquisition of neonatal GNB LOS.
RESULTS: Of the 5,010 neonates, 290 (5.8%) had a total of 346 episodes of GNB LOS
(36.7% of total LOS), with an incidence rate of 13.6 per 10,000 neonate hospital
days. The overall mortality rate was 17.6% (51/290), and the sepsis attributable
mortality rate was 9.8% (34/346 episodes). After multivariate logistic regression
analysis, neonates with prolonged use of total parenteral nutrition (adjusted
odds ratio [OR] = 1.53; 95% confidence interval [CI], 1.02-2.29; P = .041) were
independently associated with acquisition of GNB LOS. The independent predictors
of in-hospital mortality were Pseudomonas aeruginosa etiology (OR = 11.45; 95%
CI, 2.83-46.24) and underlying secondary pulmonary hypertension (OR = 18.02; 95%
CI, 3.28-98.89), renal disease (OR = 17.16; 95% CI, 2.96-99.38), and
neuromuscular comorbidities (OR = 2.72; 95% CI, 1.06-7.00). CONCLUSION: Given the
higher illness severity and sepsis-attributable mortality rate of neonatal GNB
LOS in the NICU, strategies to reduce the incidence need to be addressed
urgently.
PMID- 26559735
TI - Personal protective equipment processes and rationale for the Nebraska
Biocontainment Unit during the 2014 activations for Ebola virus disease.
AB - In response to the Ebola virus disease outbreak of 2014, specific procedures for
personal protective equipment use were developed in the Nebraska Biocontainment
Unit for the isolation care of patients with the illness. This brief report
describes the 2 different levels used for patient care and presents the
rationales for the specialized processes.
PMID- 26559736
TI - Microbial contamination of anesthetic syringes in relation to different handling
habits.
AB - This single-center study prospectively assessed the microbial contamination of
anesthetic syringes handled perioperatively under different conditions. We
documented high rates of bacterial contamination, with strong but statistically
nonsignificant differences between handling groups. Our results identify skin
contact as the main source of contamination, and thus we emphasize the impact of
proper hand hygiene.
PMID- 26559737
TI - It is time to revise our approach to registering antimicrobial agents for health
care settings.
PMID- 26559738
TI - Emergent health risks and audience information engagement on social media.
AB - Social media can effectively reach large audiences and serve as an indicator for
the public's sentiment and engagement in situations of disease outbreaks. This
study used Centers for Disease Control and Prevention (CDC) Facebook posts
between March 18 and October 31, 2014, surrounding the 2014 Ebola outbreak, to
look at the audience engagement with online health information. The CDC submitted
fewer posts about Ebola than about non-Ebola topics, but audience engagement with
Ebola posts was significantly higher, and men were more interested in Ebola posts
and submitted more comments per user.
PMID- 26559739
TI - Tyrosine-kinases inhibitors in recurrent platinum-resistant ovarian cancer
patients.
AB - For many decades, ovarian cancer (OC) has been one of the most common
gynecological cancer. Despite advances in OC diagnosis and treatment, the risk of
recurrence is ever present and approximately 85% of patients will experience
relapse. Recurrent OC after first-line therapy is almost always incurable.
Multiple novel therapies, including tyrosine-kinases inhibitors (TKI), have shown
promising results, but their role needs to be clarified. In this review we
describe the rationale and the clinical evidence regarding the use of TKI for the
treatment of recurrent platinum-resistant OC patients.
PMID- 26559740
TI - Cost-effectiveness of low-level laser therapy (LLLT) in head and neck cancer
patients receiving concurrent chemoradiation.
AB - BACKGROUND: Oral mucositis is a major event increasing treatment costs of head
and neck squamous cell carcinoma (HNSCC) patients treated with chemoradiation
(CRT). This study was designed to estimate the cost-effectiveness of low-level
laser therapy (LLLT) to prevent oral mucositis in HNSCC patients receiving CRT.
METHODS: From June 2007 to December 2010, 94 patients with HNSCC of nasopharynx,
oropharynx, and hypopharynx entered a prospective, randomized, double blind,
placebo-controlled, phase III trial. CRT consisted of conventional radiotherapy
(RT: 70.2 Gy, 1.8 Gy/d, 5 times/wk)+concurrent cisplatin (100mg/m2) every 3
weeks. An InGaAlP (660 nm-100 mW-4J/cm2) laser diode was used for LLLT. RESULTS:
From the perspective of Brazil's public health care system (SUS), total costs
were higher in Placebo Group (PG) than Laser Group (LG) for opioid use (LG=US$
9.08, PG=US$ 44.28), gastrostomy feeding (LG=US$ 50.50, PG=US$ 129.86), and
hospitalization (PG=US$ 77.03). In LG, the cost was higher for laser therapy only
(US$ 1880.57). The total incremental cost associated with the use of LLLT was US$
1689.00 per patient. The incremental cost-effectiveness ratio (ICER) was US$
4961.37 per grade 3-4 OM case prevented compared to no treatment. CONCLUSIONS:
Our results indicate that morbidity was lower in the Laser Group and that LLLT
was more cost-effective than placebo up to a threshold of at least US$ 5000 per
mucositis case prevented. CLINICAL TRIAL INFORMATION: NCT01439724.
PMID- 26559741
TI - Adolescent Suicide Rates Between 1990 and 2009: Analysis of Age Group 15-19 Years
Worldwide.
AB - PURPOSE: The aim of the current analysis is to analyze suicide rates in
adolescents aged 15-19 years in decades between 1990 and 2009 worldwide. METHODS:
Suicide data were obtained from the World Health Organization Mortality Database
and population data from the World Bank Data set. In total, 81 countries or
territories, having data at least for 5 years in 1990-1999 and in 2000-2009, were
included in the analysis. Additional analysis for regional trends with 57
countries was performed. RESULTS: Over the decades considered, analysis showed a
declining trend in the overall suicide rate for males from 10.30 to 9.51 per
100,000 (p = .076), and for females from 4.39 to 4.18 (p = .472). The average
suicide rate showed a significant decline for both genders in Europe, dropping
from 13.13 to 10.93 (p = .001) in males and from 3.88 to 3.34 in females (p =
.038). There was a significant increase in South American countries for males,
from 7.36 to 11.47 (p = .016), and a close to significant rise for females, from
5.59 to 7.98 (p = .053). Although other world regions did not show significant
trends, there were several significant changes at country level. CONCLUSIONS:
Reasons behind the decrease in Western countries could potentially be related to
the overall improvements in global health; the possible contribution of suicide
prevention activities remains unclear. Increases in several South American
countries might be related to economic recession and its impact on adolescents
from diverse cultural backgrounds, and partly also to improvements in mortality
registration.
PMID- 26559742
TI - Search Engine Ranking, Quality, and Content of Web Pages That Are Critical Versus
Noncritical of Human Papillomavirus Vaccine.
AB - PURPOSE: Online information can influence attitudes toward vaccination. The aim
of the present study was to provide a systematic evaluation of the search engine
ranking, quality, and content of Web pages that are critical versus noncritical
of human papillomavirus (HPV) vaccination. METHODS: We identified HPV vaccine
related Web pages with the Google search engine by entering 20 terms. We then
assessed each Web page for critical versus noncritical bias and for the following
quality indicators: authorship disclosure, source disclosure, attribution of at
least one reference, currency, exclusion of testimonial accounts, and readability
level less than ninth grade. We also determined Web page comprehensiveness in
terms of mention of 14 HPV vaccine-relevant topics. RESULTS: Twenty searches
yielded 116 unique Web pages. HPV vaccine-critical Web pages comprised roughly a
third of the top, top 5- and top 10-ranking Web pages. The prevalence of HPV
vaccine-critical Web pages was higher for queries that included term modifiers in
addition to root terms. Compared with noncritical Web pages, Web pages critical
of HPV vaccine overall had a lower quality score than those with a noncritical
bias (p < .01) and covered fewer important HPV-related topics (p < .001).
Critical Web pages required viewers to have higher reading skills, were less
likely to include an author byline, and were more likely to include testimonial
accounts. They also were more likely to raise unsubstantiated concerns about
vaccination. CONCLUSIONS: Web pages critical of HPV vaccine may be frequently
returned and highly ranked by search engine queries despite being of lower
quality and less comprehensive than noncritical Web pages.
PMID- 26559743
TI - Passive acoustic monitoring of beaked whale densities in the Gulf of Mexico.
AB - Beaked whales are deep diving elusive animals, difficult to census with
conventional visual surveys. Methods are presented for the density estimation of
beaked whales, using passive acoustic monitoring data collected at sites in the
Gulf of Mexico (GOM) from the period during and following the Deepwater Horizon
oil spill (2010-2013). Beaked whale species detected include: Gervais'
(Mesoplodon europaeus), Cuvier's (Ziphius cavirostris), Blainville's (Mesoplodon
densirostris) and an unknown species of Mesoplodon sp. (designated as Beaked
Whale Gulf - BWG). For Gervais' and Cuvier's beaked whales, we estimated weekly
animal density using two methods, one based on the number of echolocation clicks,
and another based on the detection of animal groups during 5 min time-bins.
Density estimates derived from these two methods were in good general agreement.
At two sites in the western GOM, Gervais' beaked whales were present throughout
the monitoring period, but Cuvier's beaked whales were present only seasonally,
with periods of low density during the summer and higher density in the winter.
At an eastern GOM site, both Gervais' and Cuvier's beaked whales had a high
density throughout the monitoring period.
PMID- 26559745
TI - Lower blood pressure targets: to whom do they apply?
PMID- 26559744
TI - Effects of intensive blood pressure lowering on cardiovascular and renal
outcomes: updated systematic review and meta-analysis.
AB - BACKGROUND: Recent hypertension guidelines have reversed previous recommendations
for lower blood pressure targets in high-risk patients, such as those with
cardiovascular disease, renal disease, or diabetes. This change represents
uncertainty about whether more intensive blood pressure-lowering strategies are
associated with greater reductions in risk of major cardiovascular and renal
events. We aimed to assess the efficacy and safety of intensive blood pressure
lowering strategies. METHODS: For this updated systematic review and meta
analysis, we systematically searched MEDLINE, Embase, and the Cochrane Library
for trials published between Jan 1, 1950, and Nov 3, 2015. We included randomised
controlled trials with at least 6 months' follow-up that randomly assigned
participants to more intensive versus less intensive blood pressure-lowering
treatment, with different blood pressure targets or different blood pressure
changes from baseline. We did not use any age or language restrictions. We did a
meta-analysis of blood pressure reductions on relative risk (RR) of major
cardiovascular events (myocardial infarction, stroke, heart failure, or
cardiovascular death, separately and combined), and non-vascular and all-cause
mortality, end-stage kidney disease, and adverse events, as well as albuminuria
and progression of retinopathy in trials done in patients with diabetes.
FINDINGS: We identified 19 trials including 44,989 participants, in whom 2496
major cardiovascular events were recorded during a mean 3.8 years of follow-up
(range 1.0-8.4 years). Our meta-analysis showed that after randomisation,
patients in the more intensive blood pressure-lowering treatment group had mean
blood pressure levels of 133/76 mm Hg, compared with 140/81 mm Hg in the less
intensive treatment group. Intensive blood pressure-lowering treatment achieved
RR reductions for major cardiovascular events (14% [95% CI 4-22]), myocardial
infarction (13% [0-24]), stroke (22% [10-32]), albuminuria (10% [3-16]), and
retinopathy progression (19% [0-34]). However, more intensive treatment had no
clear effects on heart failure (15% [95% CI -11 to 34]), cardiovascular death (9%
[-11 to 26]), total mortality (9% [-3 to 19]), or end-stage kidney disease (10% [
6 to 23]). The reduction in major cardiovascular events was consistent across
patient groups, and additional blood pressure lowering had a clear benefit even
in patients with systolic blood pressure lower than 140 mm Hg. The absolute
benefits were greatest in trials in which all enrolled patients had vascular
disease, renal disease, or diabetes. Serious adverse events associated with blood
pressure lowering were only reported by six trials and had an event rate of 1.2%
per year in intensive blood pressure-lowering group participants, compared with
0.9% in the less intensive treatment group (RR 1.35 [95% CI 0.93-1.97]). Severe
hypotension was more frequent in the more intensive treatment regimen (RR 2.68
[1.21-5.89], p=0.015), but the absolute excess was small (0.3% vs 0.1% per person
year for the duration of follow-up). INTERPRETATION: Intensive blood pressure
lowering provided greater vascular protection than standard regimens. In high
risk patients, there are additional benefits from more intensive blood pressure
lowering, including for those with systolic blood pressure below 140 mmHg. The
net absolute benefits of intensive blood pressure lowering in high-risk
individuals are large. FUNDING: National Health and Medical Research Council of
Australia.
PMID- 26559746
TI - Dual-polarity metamaterial circular polarizer based on giant extrinsic chirality.
AB - Chirality is ubiquitous in nature. The associated optical activity has received
much attention due to important applications in spectroscopy, analytical
chemistry, crystallography and optics, however, artificial chiral optical
materials are complex and difficult to fabricate, especially in the optical
range. Here, we propose an ultrathin dual-polarity metamaterial circular
polarizer by exploiting the mechanism of giant extrinsic chirality. The polarity
of the circular polarizer with large suppression of linear anisotropy can be
switched by changing the sign of incident angle. The microwave experiments and
optical simulations demonstrate that the large angle of incidence facilitates the
high-efficiency circular polarizer, which can be realized in the whole spectra
from microwave to visible frequencies. The ultrathin single-layer metamaterials
with extrinsic chirality will be a promising candidate for circular polarization
devices.
PMID- 26559747
TI - Surgical results and psychological status in patients with intractable Meniere's
disease.
AB - OBJECTIVES: Mental disorder is often one of the causes to make treatments for
Meniere's disease more difficult. The aim in the present study is to examine
ratios of the neurosis and depression in patients with intractable Meniere's
disease and also relationships between the ratios and surgical results after
endolymphatic sac drainage with large doses of steroids. METHODS: Between 1998
and 2009, we enrolled 263 intractable Meniere's patients and divided into two
groups, 207 in surgical group and 56 in non-surgical group. We used the Cornell
Medical Index (CMI) and the Self-rating Depression Scale (SDS) at the diagnosis
in our hospital to evaluate their psychological condition before treatments. CMI
domains III and IV were defined as neurosis and SDS scores more than 40 as
depression as a matter of convenience. Two years as well as seven years after
surgery, patients with vertigo zero/month and hearing change>-10dB were evaluated
in success group and the others in non-success group. RESULTS: Neurosis and
depression were diagnosed in approximately 40% and 60%, respectively, of
intractable Meniere's disease. Our results showed that surgical treatment
significantly improved vertigo suppression and hearing gain in patients with no
psychological symptoms compared with those exhibiting psychological symptoms both
in surgical and non-surgical groups. Furthermore, surgical results in cases with
mental disorder were superior to non-surgical results in cases without mental
distress. CONCLUSIONS: All taken together, psychological supports could be
necessary for improving results both in the surgical and non-surgical treatments
for patients with intractable Meniere's disease. Some cases with intractable
Meniere's disease should really require additional surgical treatments even after
psychological therapies.
PMID- 26559748
TI - Risk factors for sleep impairment in adult patients with chronic rhinosinusitis.
AB - OBJECTIVE: Although sleep impairment is reported by patients with chronic
rhinosinusitis, the associated factors have not been well studied. Therefore, we
determined the associated risk factors for sleep impairment in patients with
chronic rhinosinusitis (CRS). METHODS: This study was a prospective cohort study.
A total of 572 adult patients (171 women, 401 men; mean age, 49.0 years; range,
18-64 years) who completed a questionnaire, had a clinical examination, and
underwent endoscopic sinus surgery were analyzed using stepwise multiple linear
regression. RESULTS: With regard to subjective symptoms, nasal obstruction (beta
coef., 0.27; p<0.001), anterior nasal drainage (beta coef., 0.13; p=0.004),
facial pain/pressure (beta coef., 0.09; p=0.048), headache (beta coef., 0.10;
p=0.010), and cough (beta coef., 0.14; p<0.001) were predictors of an increased
risk of sleep impairment of CRS (adjusted R(2), 0.240; p=0.048). In the matter of
background parameters, total polyp score (polyp grading system) (beta coef.,
0.16; p<0.001) and allergic rhinitis (beta coef., 0.09; p=0.034) were predictors
of an increased risk of sleep impairment of CRS (adjusted R(2), 0.029; p=0.034).
CONCLUSION: These results suggest that sleep impairment in these patients is
caused by the various mechanisms associated with nasal symptoms themselves, CRS
and allergic rhinitis. However, the specific pathophysiology has not been
clarified yet; further studies are expected to elucidate that of sleep impairment
in patients with CRS.
PMID- 26559749
TI - Time for authors of paper to write to health secretary over misuse of weekend
mortality data?
PMID- 26559750
TI - APOBEC3H polymorphisms and susceptibility to HIV-1 infection in an Indian
population.
AB - Human APOBEC3H (A3H) is a member of APOBEC cytidine deaminase family intensively
constraining the HIV-1 replication. A3H is known to be polymorphic with different
protein stability and anti-HIV-1 activity in vitro. We recently reported that A3H
haplotypes composed of two functional polymorphisms, rs139292 (N15del) and
rs139297 (G105R), were associated with the susceptibility to HIV-1 infection in
Japanese. To confirm the association of A3H and HIV-1 infection in another ethnic
group, a total of 241 HIV-1-infected Indian individuals and ethnic-matched 286
healthy controls were analyzed for the A3H polymorphisms. The frequency of 15del
allele was high in the HIV-1-infected subjects as compared with the controls
(0.477 vs 0.402, odds ratio (OR)=1.36, P=0.014). Haplotype analysis showed that
the frequencies of 15del-105R was high (0.475 vs 0.400, OR=1.36, permutation
P=0.037) in the HIV-1-infected subjects, confirming the association of A3H
polymorphisms with the susceptibility to HIV-1 infection.
PMID- 26559751
TI - A de novo mosaic mutation of PHEX in a boy with hypophosphatemic rickets.
AB - X-linked dominant hypophosphatemic rickets (XLHR), is characterized mainly by
renal phosphate wasting with hypophosphatemia, short stature and abnormal bone
mineralization. PHEX, located at Xp22.1-p22.2, is the gene causing XLHR. We aim
to characterize the pathogenesis of a Chinese boy who is apparently
'heterozygous' in PHEX gene. Direct sequencing showed two peaks: one was a wild
type 'G' and the other was one base substitution to 'A', though the patient was a
male. TA clone assay clearly showed each sequences and the ratios. The mutation
effect was predicted via bioinformatics and validated by exon-trapping assay.
Real-time PCR was applied to determine the copy number of PHEX. TA clone assay
showed the frequency of normal (G) to mutant allele (A) as 19:13. Normal
karyotype and real-time PCR results indicate the normal copy number of PHEX. This
splice site mutation leads to 4 bp of exon 18 skipping out causing frame shift
p.Gly590Glufs*28 that ends up with a loss of active site and Zn(2+)-binding site
of PHEX, which probably interfere with renal phosphate reabsorption and bone
mineralization. In conclusion, mutation at conserved splice acceptor site
resulted in aberrant splicing, ending up with a damaged protein product. This
novel mutation is de novo in mosaic pattern that may be induced during early
postzygotic period. Taking mosaic somatic mutation of PHEX into consideration is
strongly suggested in genetic counseling and etiology research for XLHR.
PMID- 26559752
TI - Six-layer structure for genomics and its applications.
AB - The term 'genetics' was coined before an understanding of DNA sequence data was
achieved, and it is now insufficient to describe the broad areas in which DNA
data have important roles. The term genomics is more broadly descriptive, but it
does not provide a satisfactory conceptual framework that scientists can share.
Here I propose a six-layer structure that describes the entire scientific field
for 'genomics'. The proposed layers are 'life' as the uppermost layer, followed
by 'species', 'population', 'family', 'individual' and finally 'cell' as the
bottommost layer. In each pair of adjacent layers, each member of the upper layer
comprises a set of members of the lower layer. In each layer, we can define
consistent partial orders of members based on genomic data in the forms of
phylogenic and pedigree trees. Although total orders such as those defined for
time and space in physics cannot be defined in biology, defining consistent
partial orders allows mathematical analysis to be performed. I will show that
mathematical genetics studies can be understood as attempts to bridge gaps
between layers of the proposed six-layer structure, while genetic tests can be
understood as procedures to differentiate among members of each layer by using
genomic data.
PMID- 26559753
TI - Technical and surgical aspects of the sphenopalatine ganglion (SPG)
microstimulator insertion procedure.
AB - Cluster headache (CH) is a debilitating, severe form of headache. A novel non
systemic therapy has been developed that produces therapeutic electrical
stimulation to the sphenopalatine ganglion (SPG). A transoral surgical technique
for inserting the Pulsante SPG Microstimulator into the pterygopalatine fossa
(PPF) is presented herein. Technical aspects include detailed descriptions of the
preoperative planning using computed tomography or cone beam computed tomography
scans for presurgical digital microstimulator insertion into the patient-specific
anatomy and intraoperative verification of microstimulator placement. Surgical
aspects include techniques to insert the microstimulator into the proper midface
location atraumatically. During the Pathway CH-1 and Pathway R-1 studies, 99 CH
patients received an SPG microstimulator. Ninety-six had a microstimulator placed
within the PPF during their initial procedure. Perioperative surgical sequelae
included sensory disturbances, pain, and swelling. Follow-up procedures included
placement of a second microstimulator on the opposite side (n=2), adjustment of
the microstimulator lead location (n=13), re-placement after initial unsuccessful
placement (n=1), and removal (n=5). This SPG microstimulator insertion procedure
has sequelae comparable to other oral cavity procedures including tooth
extractions, sinus surgery, and dental implant placement. Twenty-five of 29
subjects (86%) completing a self-assessment questionnaire indicated that the
surgical effects were tolerable and 90% would make the same decision again.
PMID- 26559754
TI - 'Emotional' does not even start to cover it: Generalization of overeating in
emotional eaters.
AB - Based on recent studies indicating that emotional eating is not the clearly
defined problem it is often thought to be, the present study investigated whether
emotional eaters overeat merely in response to negative emotional cues, or to
other cues as well. It was hypothesized that emotional eaters would overeat after
a variety of food cues, not limited to negative emotions. Participants took part
in four conditions (negative mood manipulation, positive mood manipulation, food
exposure and a control condition) divided over two sessions. Each condition was
followed by a bogus taste test, after which food intake was measured. Results
showed strong correlations between food intake after all four conditions,
indicating that increased intake after one type of cue is related to increased
intake after other cues. Participants were identified as emotional or non
emotional eaters based on food intake in the negative mood condition, and based
on self-reported emotional eating scores. Both measures of emotional eating were
significantly related to food intake after all cues. Based on the current
findings, we conclude that individuals who show increased food intake when in a
negative emotional state also overeat when experiencing other food-signalling
cues. This indicates that 'emotional eating' may not fully capture the eating
behaviour of individuals currently identified as 'emotional eaters'.
PMID- 26559755
TI - Activation of TGF-beta1-CD147 positive feedback loop in hepatic stellate cells
promotes liver fibrosis.
AB - Activation of hepatic stellate cells (HSCs) by transforming growth factor-beta1
(TGF-beta1) initiates HBV-associated fibrogenesis. The mechanism of TGF-beta1
modulating HSC activation is not fully uncovered. We hypothesized a positive
feedback signaling loop of TGF-beta1-CD147 promoting liver fibrogenesis by
activation of HSCs. Human HSC cell line LX-2 and spontaneous liver fibrosis model
derived from HBV transgenic mice were used to evaluate the activation of
molecules in the signaling loop. Wound healing and cell contraction assay were
performed to detect the CD147-overexpressed HSC migration and contraction. The
transcriptional regulation of CD147 by TGF-beta1/Smad4 was determined using dual
luciferase reporter assay and chromatin immunoprecipitation. We found that a
positive reciprocal regulation between TGF-beta1 and CD147 mediated HSC
activation. CD147 over-expression promoted HSC migration and accelerated TGF
beta1-induced cell contraction. Phosphorylation of Smad2 and Smad3 in cooperation
with Smad4 mediated the TGF-beta1-regulated CD147 expression. Smad4 activated the
transcription by direct interaction with CD147 promoter. Meanwhile, CD147
modulated the activated phenotype of HSCs through the ERK1/2 and Sp1 which up
regulated alpha-SMA, collagen I, and TGF-beta1 synthesis. These findings indicate
that TGF-beta1-CD147 loop plays a key role in regulating the HSC activation and
combination of TGF-beta receptor inhibitor and anti-CD147 antibody might be
promised to reverse fibrogenesis.
PMID- 26559756
TI - Serum levels of TGF-beta1 in patients of diabetic peripheral neuropathy and its
correlation with nerve conduction velocity in type 2 diabetes mellitus.
AB - AIMS: To correlate serum levels of TGF-beta1 with motor and sensory nerve
conduction velocities in patients of type 2 diabetes mellitus MATERIALS AND
METHODS: The study was conducted in diagnosed type 2 diabetes mellitus patients
which were divided in patients with clinically detectable peripheral neuropathy
of shorter duration (n=37) and longer duration (n=27). They were compared with
patients without clinical neuropathy (n=22). Clinical diagnosis was based on
neuropathy symptom score (NSS) and Neuropathy disability score (NDS) for signs.
Blood samples were collected for baseline investigations and estimation of serum
TGF-beta1. Nerve conduction velocity was measured in both upper and lower limbs.
Median, Ulnar, Common Peroneal and Posterior Tibial nerves were selected for
motor nerve conduction study and Median and Sural nerves were selected for
sensory nerve conduction study RESULTS: In patients of type 2 diabetes mellitus
with clinically detectable and serum TGF-beta1 showed positive correlation with
nerve conduction velocities CONCLUSION: High level of TGF-beta1 in serum of T2DM
patients with neuropathy show possible contribution in development of neuropathy.
Due to its independent association this cytokine might be used as biomarker for
diabetic peripheral neuropathy.
PMID- 26559757
TI - Tetralogy of Fallot and the rubber heart model: Does the rubber meet the road?
PMID- 26559758
TI - Making the most of peer review.
PMID- 26559759
TI - Significance of left atrial appendage filling defects on cardiac CT prior to
pulmonary vein isolation for atrial fibrillation.
PMID- 26559760
TI - Epidemiology and Treatment of Eosinophilic Fasciitis: An Analysis of 63 Patients
From 3 Tertiary Care Centers.
PMID- 26559761
TI - Pentoxifylline: An effective therapy for necrobiosis lipoidica.
AB - Necrobiosis lipoidica (NL) is a rare chronic inflammatory granulomatous skin
disorder that remains challenging to treat. Here we report three patients at
different stages of disease successfully treated with pentoxifylline, a
haemorrheological and anti-inflammatory agent. We demonstrate for the first time
that early stage NL may be completely reversible with this treatment. Our
findings are also consistent with previous isolated reports showing the
effectiveness of pentoxifylline in treating ulcerative NL.
PMID- 26559762
TI - Combined ursodeoxycholic acid (UDCA) and fenofibrate in primary biliary
cholangitis patients with incomplete UDCA response may improve outcomes.
AB - BACKGROUND: Fibrates appear to improve biochemistry in patients with primary
biliary cholangitis (PBC), but it is unclear which factors predict response and
whether treatment improves transplant-free survival. AIM: To evaluate biochemical
profiles, liver-related outcomes and adverse events following fenofibrate therapy
in PBC patients with incomplete response to ursodeoxycholic acid (UDCA). METHODS:
A retrospective cohort study was performed at a tertiary centre. Cox regression
was used to compare outcomes between patients treated with fibrates and UDCA (FF)
or UDCA alone, adjusted for a propensity score to account for treatment selection
bias. RESULTS: A total of 120 patients were included (FF group n = 46, UDCA group
n = 74, median fenofibrate treatment 11 months); 41% vs. 7% met the Toronto
criteria for biochemical response [alkaline phosphatase <=1.67 times the upper
limit of normal] in the FF and UDCA groups, respectively (P = 0.0001).
Fenofibrate was also associated with improved decompensation-free and transplant
free survival [hazard ratio (HR) 0.09, 95% CI 0.03-0.32, P = 0.0002]. However,
only fenofibrate use, not biochemical response, was independently associated with
improved outcomes on multivariable analysis (HR 0.40, 95% CI 0.17-0.93, P =
0.03). Twenty-two percent discontinued fenofibrate due to adverse events (most
common: abdominal pain and myalgias). In cirrhotic patients, bilirubin increased
more rapidly in the FF group (P = 0.005). CONCLUSIONS: Fenofibrate therapy is
associated with significant improvement in alkaline phosphatase, decompensation
free and transplant-free survival in PBC patients with incomplete UDCA response.
However, fenofibrate should be used cautiously in cirrhosis, with close
monitoring for clinical/biochemical decompensation. Additional studies are
required to assess the validity of alkaline phosphatase as an appropriate
response criteria for fibrate therapy.
PMID- 26559763
TI - Central memory CD4+ T cells are preferential targets of double infection by HIV
1.
AB - BACKGROUND: Template switching between two distinct HIV-1 RNA genomes during
reverse transcription gives rise to recombinant viruses that greatly expand the
genetic diversity of HIV-1 and have adverse implications for drug resistance,
immune escape, and vaccine design. Virions with two distinct genomes are produced
exclusively from cells infected with two or more viruses, or 'doubly infected'
cells. Previous studies have revealed higher than expected frequencies of doubly
infected cells compared to frequencies based on chance alone, suggesting non
random enhancement of double infection. METHODS: We investigated double infection
of unstimulated primary CD4+ T cells using reporter viruses carrying genes for
different fluorescent proteins, EGFP and mCherry, combined with sophisticated
modeling techniques based on Poisson distribution. Additionally, through the use
of multiparameter flow cytometry we examined the susceptibility of naive and
memory subsets of CD4+ T cells to double infection by HIV. RESULTS: Using our
double infection system, we confirm non-random enhancement of multiple infection
events. Double infection of CD4+ T cells was not found to be a consequence of
suboptimal provirus expression rescued by Tat in trans-as has been reported in
cell lines-but rather due to a heterogeneous cell population in which only a
fraction of primary peripheral blood CD4+ T cells are susceptible to HIV
infection regardless of viral titer. Intriguingly, double infection of CD4+ T
cells occurred preferentially in memory CD4+ T cells-particularly the central
memory (TCM) subset-but was not a consequence of SAMHD1-mediated restriction of
HIV infection in naive cells. CONCLUSIONS: These findings reveal that double
infection in primary CD4+ T cells is primarily a consequences of cellular
heterogeneity and not rescue of suboptimal provirus expression by Tat in trans.
Additionally, we report a previously unappreciated phenomenon of enhanced double
infection within primary TCM cells and suggest that these long-lived cells may
serve as an archive that drive ongoing viral recombination events in vivo.
PMID- 26559764
TI - Use of next generation sequencing data to develop a qPCR method for specific
detection of EU-unauthorized genetically modified Bacillus subtilis overproducing
riboflavin.
AB - BACKGROUND: Recently, the presence of an unauthorized genetically modified (GM)
Bacillus subtilis bacterium overproducing vitamin B2 in a feed additive was
notified by the Rapid Alert System for Food and Feed (RASFF). This has
demonstrated that a contamination by a GM micro-organism (GMM) may occur in feed
additives and has confronted for the first time,the enforcement laboratories with
this type of RASFF. As no sequence information of this GMM nor any specific
detection or identification method was available, Next GenerationSequencing (NGS)
was used to generate sequence information. However, NGS data analysis often
requires appropriate tools, involving bioinformatics expertise which is not
alwayspresent in the average enforcement laboratory. This hampers the use of this
technology to rapidly obtain critical sequence information in order to be able to
develop a specific qPCRdetection method. METHODS: Data generated by NGS were
exploited using a simple BLAST approach. A TaqMan(r) qPCR method was developed
and tested on isolated bacterial strains and on the feed additive directly.
RESULTS: In this study, a very simple strategy based on the common BLAST tools
that can be used by any enforcement lab without profound bioinformatics
expertise, was successfully used toanalyse the B. subtilis data generated by NGS.
The results were used to design and assess a new TaqMan(r) qPCR method,
specifically detecting this GM vitamin B2 overproducing bacterium. The method
complies with EU critical performance parameters for specificity, sensitivity,
PCR efficiency and repeatability. The VitB2-UGM method also could detect the B.
subtilis strain in genomic DNA extracted from the feed additive, without prior
culturing step. CONCLUSIONS: The proposed method, provides a crucial tool for
specifically and rapidly identifying this unauthorized GM bacterium in food and
feed additives by enforcement laboratories. Moreover, this work can be seen as a
case study to substantiate how the use of NGS data can offer an added value to
easily gain access to sequence information needed to develop qPCR methods to
detect unknown andunauthorized GMO in food and feed.
PMID- 26559765
TI - SUMOylation of sPRDM16 promotes the progression of acute myeloid leukemia.
AB - BACKGROUND: In addition to genetic and epigenetic alteration, post-translational
modification of proteins plays a critical role in the initiation, progression and
maturation of acute myeloid leukemia (AML). METHODS: The SUMOylation site of
sPRDM16 at K568 was mutated to arginine by site-directed mutagenesis. THP-1 acute
myeloid leukemia cells were transduced with a lentivirus containing wild type or
K568 mutant sPRDM16. Proliferation, self-renewal and differentiation of
transduced THP-1 cells were analyzed both in vitro cell culture and in mouse
xenografts. Gene expression profiles were analyzed by RNA-seq. RESULTS:
Overexpression of sPRDM16 promoted proliferation, enhanced self-renewal capacity,
but inhibited differentiation of THP-1 acute myeloid leukemia cells. We further
confirmed that K568 is a bona fide SUMOylation site on sPRDM16. Mutation of the
sPRDM16 SUMOylation site at K568 partially abolished the capacity of sPRDM16 to
promote proliferation and inhibit differentiation of acute myeloid leukemia cells
both in vitro and in mouse xenografts. Furthermore, THP-1 cells overexpressing
sPRDM16-K568R mutant exhibited a distinct gene expression profile from wild type
sPRDM16 following incubation with PMA. CONCLUSIONS: Our results suggest that K568
SUMOylation of sPRDM16 plays an important role in the progression of acute
myeloid leukemia.
PMID- 26559766
TI - Pediatric emergence delirium: Canadian Pediatric Anesthesiologists' experience.
AB - INTRODUCTION: Pediatric emergence agitation/delirium (ED) is a cluster of
behaviors seen in the early postanesthetic period with negative emotional
consequences for families and increased utilization of healthcare resources. Many
studies have looked at identifying risk factors for ED and at pharmacologic
regimens to prevent ED. There are few published reports on treatment options and
efficacy for established ED episodes, and essentially no data concerning current
practice in the treatment of ED. We sought to elicit the experience and opinions
of Canadian Pediatric Anesthesiologists on the incidence of ED in their practice,
definitions and diagnostic criteria, preventative strategies, treatments, and
their perceived efficacy. METHODS: A web-based survey was sent to pediatric
anesthesiologists working at academic health science centers across Canada. The
participants were selected based on being members of the Canadian Pediatric
Anesthesia Society (CPAS), which represents the subspecialty in Canada. All
members of CPAS who had e-mail contact information available in the membership
database were invited to participate. A total of 209 members out of the total of
211 fulfilled these criteria and were included in the study population. RESULTS:
The response rate was 51% (106/209). Of respondents, 42% felt that ED was a
significant problem at their institutions, with 45% giving medication before or
during anesthesia to prevent the development of ED. Propofol was the most common
medication given to prevent ED (68%) and to treat ED (42%). Total intravenous
anesthesia (TIVA) was considered by 38% of respondents as a technique used to
prevent ED. Medications used for treatment included propofol (42%), midazolam
(31%), fentanyl (10%), morphine (7%), and dexmedetomidine (5%), with 87% of
respondents rating effectiveness of treatment as 'usually works quickly with one
dose'. DISCUSSION: We present information on current practice patterns with
respect to prophylaxis and treatment of ED among a specialized group of pediatric
anesthesiologists and highlight the importance of further research in improving
the treatment of this common and challenging peri-anesthetic occurrence.
PMID- 26559776
TI - The lung cancer breath signature: a comparative analysis of exhaled breath and
air sampled from inside the lungs.
AB - Results collected in more than 20 years of studies suggest a relationship between
the volatile organic compounds exhaled in breath and lung cancer. However, the
origin of these compounds is still not completely elucidated. In spite of the
simplistic vision that cancerous tissues in lungs directly emit the volatile
metabolites into the airways, some papers point out that metabolites are
collected by the blood and then exchanged at the air-blood interface in the lung.
To shed light on this subject we performed an experiment collecting both the
breath and the air inside both the lungs with a modified bronchoscopic probe. The
samples were measured with a gas chromatography-mass spectrometer (GC-MS) and an
electronic nose. We found that the diagnostic capability of the electronic nose
does not depend on the presence of cancer in the sampled lung, reaching in both
cases an above 90% correct classification rate between cancer and non-cancer
samples. On the other hand, multivariate analysis of GC-MS achieved a correct
classification rate between the two lungs of only 76%. GC-MS analysis of breath
and air sampled from the lungs demonstrates a substantial preservation of the
VOCs pattern from inside the lung to the exhaled breath.
PMID- 26559777
TI - Efficacy of pectoralis major muscle flap for pharyngocutaneous fistula prevention
in salvage total laryngectomy: A systematic review.
AB - BACKGROUND: The role of pectoralis major muscle flap (PMMF) in reducing the rate
of pharyngocutaneous fistula after salvage total laryngectomy has not been
clearly established. The purpose of this study was to evaluate the impact of PMMF
in reducing pharyngocutaneous fistula rates after total laryngectomy. METHODS:
The analyzed intervention was the use of a PMMF after total laryngectomy.
RESULTS: Pharyngocutaneous fistula occurred in 230 cases (global incidence,
30.9%). In the group of patients who underwent PMMFs, there were 49 cases of
pharyngocutaneous fistula, compared with 181 cases in the control group. There
was a 22% decreased risk of pharyngocutaneous fistula incidence in the PMMF group
(p < .001). Patients who underwent a PMMF had lower risk of pharyngocutaneous
fistula compared with the control group (p = .008). There were no changes when
only patients who underwent total laryngectomy (p < .001) and those who underwent
total pharyngolaryngectomy (p = .007) were separately assessed. CONCLUSION:
Prophylactic use of PMMF decreases the incidence of pharyngocutaneous fistula
after salvage total laryngectomy. (c) 2015 Wiley Periodicals, Inc. Head Neck 38:
E2317-E2321, 2016.
PMID- 26559778
TI - A trait-based metric sheds new light on the nature of the body size-depth
relationship in the deep sea.
AB - Variation within species is an often-overlooked aspect of community ecology,
despite the fact that the ontogenetic structure of populations influences
processes right up to the ecosystem level. Accounting for traits at the
individual level is an important advance in the implementation of trait-based
approaches in understanding community structure and function. We incorporate
individual- and species-level traits into one succinct assemblage structure
metric, fractional size, which is calculated as the length of an individual
divided by its potential maximum length. We test the implementation of fractional
size in demersal fish assemblages along a depth gradient in the deep sea. We use
data from an extensive trawl survey at depths of 300-2030 m on the continental
slope of the Rockall Trough, Northeast Atlantic, to compare changes in fractional
size structure along an environmental gradient to those seen using traditional
taxonomic and trait-based approaches. The relationship between fractional size
and depth was particularly strong, with the overall pattern being an increase
with depth, implying that individuals move deeper as they grow. Body size
increased with depth at the intraspecific and assemblage levels. Fractional size,
size structure and species composition all varied among assemblages, and this
variation could be explained by the depth that the assemblage occupied. The
inclusion of individual-level traits and population fractional size structure
adds to our understanding at the assemblage level. Fractional size, or where an
individual is in its growth trajectory, appears to be an especially important
driver of assemblage change with depth. This has implications for understanding
fisheries impacts in the deep sea and how these impacts may propagate across
depths.
PMID- 26559779
TI - Splenic abscess in cancer chemotherapy.
AB - BACKGROUND: Splenic abcess is an uncommon complication for cancer treatment. It
occurs more frequently in immunocompromised patients. They are characterized by
high mortality. The classic triad (fever, pain of the left hypochondrium, and
sensitive mass left) is only present in one-third of cases the clinical spectrum
ranging from no symptoms to events such as fever, nausea, vomiting, weight loss,
abdominal pain left, splenomegaly. Treatment options are limited, but must be
discussed and adapted to the patient profile. CASE PRESENTATION: We report the
case of a 62-year-old Arabic male, diagnosed with metastatic lung adenocarcinoma,
who, after several cycles of chemotherapy, presented symptoms and signs of
splenic abcess. CONCLUSION: Splenic abcess is rare situation, which must be
actively researched, to have access to an optimal therapeutic approach.
PMID- 26559780
TI - Single-incision Laparoscopic Appendectomy Versus Conventional Laparoscopic
Appendectomy: Experiences From 1208 Cases of Single-incision Laparoscopic
Appendectomy. Experiences From 1208 Cases of Single-incision Laparoscopic
Appendectomy.
AB - OBJECTIVE: Currently single-incision laparoscopic appendectomy (SIL-A) has become
an option for treating appendicitis. The aim of this study was to evaluate the
safety and feasibility of SIL-A compared with conventional laparoscopic
appendectomy (CL-A) on a large experimental cohort. BACKGROUND: Several studies
had reported the safety and technical feasibility of SIL-A, albeit with a limited
number of study subjects. METHODS: A total of 2587 patients (1208 SIL-A and 1379
CL-A) who underwent laparoscopic appendectomy from May 2008 to April 2013 were
studied retrospectively. The clinical characteristics and short-term operative
outcomes of these patients were reviewed and analyzed. RESULTS: There were more
simple type appendicitis in the SIL-A group and more complicated type
appendicitis in CL-A group (81.0% vs 74.7% and 19% vs 25.3%, P <0.001,
respectively). The operative time (minutes) was similar between the 2 groups
(40.1 +/- 18.6 vs 38.8 +/- 25.2, P = 0.154). However, on subgroup analysis,
operative time for simple type appendicitis was longer in the SIL-A group (36.6
+/- 14.9 vs 32.3 +/- 18.3, P < 0.001). The superficial incisional surgical site
infection rate was higher in the SIL-A group (4.4% vs 2.3%, P = 0.003). The
readmission rate was higher in the CL-A group (0.8% vs 1.7%, P = 0.042). The
postoperative hospital stay (days) was shorter in the SIL-A group (3.05 +/- 1.97
vs 3.35 +/- 2.14, P < 0.001). CONCLUSIONS: In this study, SIL-A was technically
feasible and safe option for appendicitis. The SIL-A group had more favorable
outcomes such as shorter time to start diet and less hospital stay after surgery
than the CL-A group. However, superficial incisional surgical site infection rate
was higher in the SIL-A group than in the CL-A group, an effort to reduce
superficial incisional SSI should be made.
PMID- 26559781
TI - Reply to Letter: "When the Patient Is Sicker Than His Liver".
PMID- 26559783
TI - Trends in Mortality of Congenital Heart Defects.
AB - OBJECTIVE: The aim of the present nationwide cohort study was to describe trends
in 1-year mortality in live-born children with congenital heart defects in Norway
1994-2009 and to assess whether changes in the proportion of terminated
pregnancies and altered operative mortality have influenced these trends.
METHODS: Medical information concerning all 954 413 live births, stillbirths, and
late-term abortions in Norway, 1994-2009, was retrieved from the Medical Birth
Registry of Norway, the Cardiovascular Disease in Norway project, the Oslo
University Hospital's Clinical Registry for Congenital Heart Defects and the
Norwegian Cause of Death Registry. Survivors were followed through 2012. RESULTS:
The 1-year cumulative mortality proportion during the study period was 17.4% for
children with severe congenital heart defects and 3.0% for children with
nonsevere congenital heart defects. The 1-year cumulative mortality proportion
among live born children with severe congenital heart defects decreased 3.6% (95%
CI: -5.4, -1.5) per year. The total mortality of severe congenital heart defects
was unchanged when including stillbirths and late-term abortions with severe
congenital heart defects. The proportion of stillbirths or terminated pregnancies
with severe congenital heart defects among all pregnancies with severe congenital
heart defects, was on average 8.8% over the entire period with an annually
increase of 16.6% (11.4, 18.0). The mean operative mortality in children with
severe congenital heart defects was 8.4% and decreased by 9.0% (-11.9, -5.9) per
year. CONCLUSIONS: The 1-year mortality of severe congenital heart defects among
live births, 1994-2009, declined in Norway. The downward trend in mortality may
be explained by a more frequent use of termination of affected pregnancies, and
the reduced operative mortality of severe congenital heart defects.
PMID- 26559784
TI - Roseovarius atlanticus sp. nov., isolated from surface seawater.
AB - A taxonomic study was carried out on strain R12BT, which was isolated from
surface seawater of the Atlantic Ocean. The bacterium was observed to be rod
shaped, Gram-stain-negative, oxidase-positive and weakly positive for catalase.
Growth was observed at salinities of 0.5-15 % and at temperatures of 4-45
degrees C. The isolate was incapable of nitrate reduction and hydrolysis of
gelatin, Tween 80 and aesculin. Phylogenetic analysis based on 16S rRNA gene
sequences indicated that strain R12BT belonged to the genus Roseovarius, with
highest sequence similarity to Roseovarius indicus B108T (97.2 % 16S rRNA gene
sequence similarity), followed by Roseovarius halotolerans HJ50T (96.8 %); other
species of genus Roseovarius shared 93.0-96.2 % sequence similarities. The DNA
DNA hybridization estimate value between strain R12BT and R. indicus B108T was
23.2 +/- 2.4 %. The average nucleotide identity between strain R12BT and R.
indicus B108T was 77.1 %. The principal fatty acids were summed feature 8 (C18 :
1omega7c/omega6c) and C16 : 0. The G+C content of the chromosomal DNA was 63.6
mol%. The respiratory quinone was determined to be Q-10. Diphosphatidylglycerol,
phosphatidylglycerol, phosphatidylcholine, phosphatidylethanolamine, two
aminolipids, two phospholipids and some unidentified lipids were present. The
combined genotypic and phenotypic data show that strain R12BT represents a novel
species of the genus Roseovarius, for which the name Roseovarius atlanticus sp.
nov. is proposed, with the type strain R12BT ( = MCCC 1A09786T = KCTC 42506T).
PMID- 26559782
TI - Molecular mechanisms of suberoylanilide hydroxamic acid in the inhibition of TGF
beta1-mediated canine corneal fibrosis.
AB - OBJECTIVE: To investigate molecular mechanisms mediating anti-fibrotic effect of
SAHA in the canine cornea using an in vitro model. We hypothesized that SAHA
attenuates corneal fibrosis by modulating Smad-dependent and, to a lesser extent,
Smad-independent signaling pathways activated by TGF-beta1, as well as matrix
metalloproteinase (MMP) activity. METHODS: Cultured canine corneal fibroblasts
(CCF) were incubated in the presence/absence of TGF-beta1 (5 ng/mL) and SAHA (2.5
MUm) for 24 h. Western blot analysis was used to quantify non-phosphorylated and
phosphorylated isoforms of Smad2/3, p38 MAP kinase (MAPK), ERK1/2, and JNK1. Real
time PCR and zymography were utilized to quantify MMP1, MMP2, MMP8, and MMP9 mRNA
expressions and MMP2 and MMP9 protein activities, respectively. RESULTS: TGF
beta1 treatment caused a significant increase in phospho-Smad2/3 and phospho-p38
MAPK. SAHA treatment reduced TGF-beta1-induced phosphorylation of Smad2/3 but not
of p38 MAPK. TGF-beta1 did not modulate the phosphorylation of ERK1/2 or JNK1.
SAHA caused a significant reduction in phospho-ERK1/2 expression regardless of
concurrent TGF-beta1 treatment. Neither SAHA alone nor in combination with TGF
beta1 altered phospho-JNK1 expression. TGF-beta1 significantly increased MMP1 and
MMP9 mRNA expressions but did not alter MMP2 mRNA. SAHA treatment attenuated TGF
beta1-induced MMP9 mRNA expression while significantly enhancing TGF-beta1
induced MMP1 mRNA expression. Zymography detected reduced expression of MMP2 and
MMP9 proteins in untreated control CCF. TGF-beta1 treatment did not alter their
expression, but SAHA treatment +/-TGF-beta1 significantly increased MMP2 and MMP9
protein expressions. CONCLUSIONS: The corneal anti-fibrotic effects of SAHA
involve multiple mechanisms including modulation of canonical and non-canonical
components of TGF-beta1 intracellular signaling and MMP activity.
PMID- 26559785
TI - Electrochemical Insight into the Brust-Schiffrin Synthesis of Au Nanoparticles.
AB - The mechanism of the Brust-Schiffrin gold nanoparticle synthesis has been
investigated through the use of ion transfer voltammetry at the water/1,2
dichloroethane (DCE) solution interface, combined with X-ray absorption fine
structure (XAFS) of the reaction between [AuCl4](-) and thiol (RSH) in
homogeneous toluene (TL) solution. Ion transfer calculations indicate the
formation of [AuCl2](-) at RSH/Au ratios from 0.2-2 with a time-dependent
variation observed over several days. At RSH/Au ratios above 2 and after time
periods greater than 24 h, the formation of Au(I)SR is also observed. The
relative concentrations of reaction products observed at the liquid/liquid
interface are in excellent agreement with those observed by XAFS for the
corresponding reaction in a single homogeneous phase. BH4(-) ion transfer
reactions between water and DCE indicate that the reduction of [AuCl4](-) or
[AuCl2](-) to Au nanoparticles by BH4(-) proceeds in the bulk organic phase. On
the other hand, BH4(-) was unable to reduce the insoluble [Au(I)SR]n species to
Au nanoparticles. The number and size of the nanoparticles formed was dependent
on the concentration ratio of RSH/Au, as well as the experimental duration
because of the competing formation of the [Au(I)SR]n precipitate. Higher
concentrations of nanoparticles, with diameters of 1.0-1.5 nm, were formed at
RSH/Au ratios from 1 to 2.
PMID- 26559787
TI - Companion diagnostic assays for PD-1/PD-L1 checkpoint inhibitors in NSCLC.
AB - The immune checkpoint inhibitors pembrolizumab and nivolumab together with their
diagnostic assays have recently been granted market authorization for treatment
of advanced non-small-cell lung cancer in the USA. The two assays, PD-L1 IHC 22C3
pharmDx and PD-L1 IHC 28-8 pharmDx (both by Dako, Glostrup, Denmark), are the
first PD-L1 IHC assays to obtain regulatory approval through the Premarket
Approval process. This approval is supported by recent clinical studies that have
shown a positive correlation between PD-L1 expression and the outcome following
treatment with different PD-1/PD-L1 checkpoint inhibitors. These diagnostic
assays are able to identify the group of non-small-cell lung cancer patients who
will benefit most from treatment with the immune checkpoint inhibitors. However,
so far, it is only the PD-L1 IHC 22C3 pharmDx assay, which is linked to the use
of pembrolizumab, that has obtained regulatory status as a companion diagnostic.
PMID- 26559786
TI - Altered Striatal Synaptic Function and Abnormal Behaviour in Shank3 Exon4-9
Deletion Mouse Model of Autism.
AB - Shank3 is a multi-domain, synaptic scaffolding protein that organizes proteins in
the postsynaptic density of excitatory synapses. Clinical studies suggest that ~
0.5% of autism spectrum disorder (ASD) cases may involve SHANK3
mutation/deletion. Patients with SHANK3 mutations exhibit deficits in cognition
along with delayed/impaired speech/language and repetitive and
obsessive/compulsive-like (OCD-like) behaviors. To examine how mutation/deletion
of SHANK3 might alter brain function leading to ASD, we have independently
created mice with deletion of Shank3 exons 4-9, a region implicated in ASD
patients. We find that homozygous deletion of exons 4-9 (Shank3(e4-9) KO) results
in loss of the two highest molecular weight isoforms of Shank3 and a significant
reduction in other isoforms. Behaviorally, both Shank3(e4-9) heterozygous (HET)
and Shank3(e4-9) KO mice display increased repetitive grooming, deficits in novel
and spatial object recognition learning and memory, and abnormal ultrasonic
vocalizations. Shank3(e4-9) KO mice also display abnormal social interaction when
paired with one another. Analysis of synaptosome fractions from striata of
Shank3(e4-9) KO mice reveals decreased Homer1b/c, GluA2, and GluA3 expression.
Both Shank3(e4-9) HET and KO demonstrated a significant reduction in NMDA/AMPA
ratio at excitatory synapses onto striatal medium spiny neurons. Furthermore,
Shank3(e4-9) KO mice displayed reduced hippocampal LTP despite normal baseline
synaptic transmission. Collectively these behavioral, biochemical and
physiological changes suggest Shank3 isoforms have region-specific roles in
regulation of AMPAR subunit localization and NMDAR function in the Shank3(e4-9)
mutant mouse model of autism.
PMID- 26559788
TI - Mechanical integrity of a carbon nanotube/copper-based through-silicon via for 3D
integrated circuits: a multi-scale modeling approach.
AB - Carbon nanotube (CNT)/copper (Cu) composite material is proposed to replace Cu
based through-silicon vias (TSVs) in micro-electronic packages. The proposed
material is believed to offer extraordinary mechanical and electrical properties
and the presence of CNTs in Cu is believed to overcome issues associated with
miniaturization of Cu interconnects, such as electromigration. This study
introduces a multi-scale modeling of the proposed TSV in order to evaluate its
mechanical integrity under mechanical and thermo-mechanical loading conditions.
Molecular dynamics (MD) simulation was used to determine CNT/Cu interface
adhesion properties. A cohesive zone model (CZM) was found to be most appropriate
to model the interface adhesion, and CZM parameters at the nanoscale were
determined using MD simulation. CZM parameters were then used in the finite
element analysis in order to understand the mechanical and thermo-mechanical
behavior of composite TSV at micro-scale. From the results, CNT/Cu separation
does not take place prior to plastic deformation of Cu in bending, and separation
does not take place when standard thermal cycling is applied. Further
investigation is recommended in order to alleviate the increased plastic
deformation in Cu at the CNT/Cu interface in both loading conditions.
PMID- 26559789
TI - Association between ordering patterns and shift-based care in general pediatrics
inpatients.
AB - Duty-hour restrictions have forced changes in care models for inpatient services,
including an increase in shift work. In this study we aimed to determine whether
a shift model compliant with 2011 Accreditation Council for Graduate Medical
Education duty-hour standards was associated with more active patient care
management. Residents caring for pediatric patients changed from a schedule with
extended duty shifts and cross-coverage to one based on day/night shifts,
limiting interns to 16 consecutive duty hours. We conducted a retrospective
review of orders written under each model. After the intervention, there was a
significant increase in the mean number of orders written within the first 12
hours (pre: 0.58 orders vs post: 1.12, P = 0.009) and 24 hours (pre: 1.52 vs
post: 2.38, P = 0.004) following admission (not including admission orders), but
we did not detect a significantly higher percentage of orders written at night.
This shift-based coverage system was associated with a greater number of orders
written early in the hospitalization, indicating more active management of
clinical problems.
PMID- 26559791
TI - Telemedicine for the management of COPD - near future or a hazy idea?
PMID- 26559790
TI - Combined treatment with memantine/es-citalopram for older depressed patients with
cognitive impairment: a pilot study.
AB - OBJECTIVE: The objective of the study is to assess combined antidepressant and
memantine treatment in older patients presenting with depression and cognitive
impairment. METHODS: Thirty-five depressed patients with cognitive impairment
participated in this open-label pilot study. We evaluated whether, over a 48-week
period, combined antidepressant (primarily es-citalopram) and memantine treatment
was effective in the treatment of cognitive impairment and depression.
Neuropsychological testing was performed, and antidepressant response monitored
at baseline and at the 12, 24, and 48-week time points. RESULTS: Treatment with
escitalopram (mean daily dose 18.62 mg, SD 5.15) and memantine (mean daily dose
13.62 mg, SD 6.67) was associated with improvement in Hamilton Depression Rating
Scale scores over the 48-week study period. Patients demonstrated significant
improvement in the primary outcome of cognitive performance (Selective Reminding
Test total immediate recall; SRT-IR) over the 48-week treatment period (p =
0.0147). Significant improvement was also observed in measures of naming and
verbal fluency but not in the other cognitive domains. One of the 35 patients
(2.9%) converted to Alzheimer's disease over the 48-week treatment period. In the
amnestic mild cognitive impairment subsample (n = 22), the conversion rate was
4.5%, a rate lower than in other reports of patients with DEP-CI. CONCLUSIONS: In
this open-label trial, combined antidepressant and memantine treatment in
patients with DEP-CI was associated with improved cognition and a low rate of
conversion to dementia compared with published studies in patients with DEP-CI.
Although limited by the open-label study design that incorporates practice
effects that can improve cognitive test performance, the findings suggest the
need for a larger randomized placebo-controlled trial.
PMID- 26559792
TI - Change is in the air: bronchial valves to improve quality of life in
heterogeneous emphysema.
PMID- 26559793
TI - The influence of lung volume reduction with intrabronchial valves on the quality
of life of patients with heterogeneous emphysema - a prospective study.
AB - INTRODUCTION: A heterogeneous emphysema is one of the most severe forms of
chronic obstructive pulmonary disease (COPD). In some cases, besides the standard
pharmacotherapy, a new treatment option of emphysema can be used - bronchoscopic
lung volume reduction (BLVR) with the use of intrabronchial valves. OBJECTIVES:
To examine the health-related quality of life (HRQoL) of patients with severe
emphysema after intrabronchial valve (IBV) implantation for the treatment of one
lung. MATERIAL AND METHODS: From 2011 to 2013 a single centre prospective
observational study was performed. The study assessed the effect of the
therapeutic BLVR intervention, measured by St. George Respiratory Questionnaire
(SGRQ). A statistical analysis by use of Wilcoxon test for dependent variables
was performed. RESULTS: Twenty patients were enrolled to the study (mean age 63
+/- 10 years), all ex-smokers with tobacco exposure 38 +/- 11.3 packyears. After
3 months of IBV treatment the average SGRQ score improved significantly in total
(-12.8; p < 0.001) and in domains and the differences were for: "symptoms" (-8.5;
p < 0.001), "activity" (-13.9; p < 0.001) and "influence on life"(-13.5; p <
0.002). CONCLUSIONS: The presented study revealed a significant improvement of
the quality in the life measured by SGRQ after IBV treatment for heterogeneous
emphysema. For the first time our study showed the significant improvement of all
three domains of SGRQ after IBV treatment.
PMID- 26559794
TI - Dynamics of body composition in male patients during chronic obstructive
pulmonary disease (COPD) development.
AB - INTRODUCTION: The various distribution of fat mass (FM) and lean mass (LM) during
COPD development is not yet researched. MATERIAL AND METHODS: 82 male patients
(40-67 years) with acute exacerbation of COPD and 19 comparable healthy males
(the control group) were examined by dual-energy X-ray absorptiometry. The
patients were divided into 3 groups according to COPD severity: 1st - 19 (GOLD I
stage); 2nd - 43 (GOLD II) and 3rd - 20 (GOLD III). RESULTS: The patients of 3rd
group had lower indices of FM, LM, bone mineral component (BMC) vs. the control
and 1st, 2nd groups. A significant increase in FM share was noted in android and
gynoid regions, trunk, legs and arms in 2nd groups vs. the control with the
decline of these parameters in the 3rd group below the control level. A greater
proportion of FM in 1st and 2nd groups was distributed in android and trunk
regions vs. the control. TNF-a and leptin levels were significantly increased by
12%, 15% 17% and by 18%, 75%, 79% respectively in 1st, 2nd, 3rd groups vs. the
control, while free testosterone level was lower in these groups vs. the control
(by 28%, 30% and 47% respectively; p < 0,05). CONCLUSIONS: Body mass index (BMI)
was within the control range in mild-moderate COPD patients in spite of LM, FM
and BMC changes. The level of LM and BMC was decreased during COPD progression,
while FM was increased in mild-moderate COPD and then it was decreased in severe
COPD.
PMID- 26559795
TI - Pilot program on distance training in spirometry testing - the technology
feasibility study.
AB - INTRODUCTION: Office spirometry has been widely used in recent years by general
practitioners in primary care setting, thus the need for stricter monitoring of
the quality of spirometry has been recognized. MATERIAL AND METHODS: A spirometry
counseling network of outpatients clinics was created in Poland using portable
spirometer Spirotel. The spirometry data were transferred to counseling centre
once a week. The tests sent to the counseling centre were analyzed by doctors
experienced in the analysis of spirometric data. In justified cases they sent
their remarks concerning performed tests to the centres via e-mail. RESULTS: We
received 878 records of spirometry tests in total. Data transmission via the
telephone was 100% effective. The quality of spirometry tests performed by
outpatients clinics was variable. CONCLUSIONS: The use of spirometers with data
transfer for training purposes seems to be advisable. There is a need to proper
face-to-face training of spirometry operators before an implementation of any
telemedicine technology.
PMID- 26559796
TI - Adherence to treatment in asthma and COPD patients in their doctors' assessment.
AB - INTRODUCTION: Adherence to therapy is one of the basic preconditions of
successful treatment of asthma and COPD. Unfortunately, many patients take their
medication incorrectly. The aim of this study was to assess doctors' knowledge of
this phenomenon, including interventions able to improve patient adherence.
MATERIAL AND METHODS: It was a questionnaire-based survey conducted among
convenience sample of Polish physicians treating asthma and COPD. RESULTS: One
hundred and sixty one physicians, mainly specialists in allergology (44.1%) and
pulmonology (37.3%) took part in the study. According to participants, asthma
patients took on average 65.4 +/- 17.1% of doses of prescribed drugs, whereas
COPD patients - 61.6 +/- 24.2%. Over half of respondents claimed that during the
first year of treatment, no more than 20% of asthma and COPD patients discontinue
their therapy. Survey participants pointed at patients discourage (41.6%) and
lack of knowledge about disease (19.3%) as the main reasons for discontinuation
of therapy. Almost 2/3 of participants (65.8%) claimed that they could recognize
non-adherence in their patients. Prescribing combination inhaled drugs (72.7%),
drugs with infrequent dosing (63.4%), and affordable ones (53.4%) were the most
common interventions aimed at improving adherence provided by respondents.
CONCLUSIONS: Survey participants were aware of the phenomenon of non-adherence in
patients with asthma and COPD, but underestimated the real prevalence and
seriousness of it. They also overestimated their ability to recognise non
adherence in their patients. Therefore, not necessarily they may obtain better
adherence in their asthma and COPD patients. These results point at the issues
which should be addressed in pre- and postgraduate education of physicians
treating chronic airways conditions.
PMID- 26559797
TI - Non-high risk PE in the patients with acute or exacerbated respiratory disease:
the value of the algorithm based on D-dimer evaluation and Revised Geneva Score.
AB - INTRODUCTION: The diagnostic algorithm of non-high risk pulmonary embolism (PE)
is based on probability scoring systems and plasma D-dimer (DD) assessment. The
aim of the present study was to investigate the efficacy of Revised Geneva
Scoring (RGS) and DD testing for the excluding of non-high risk PE, in the
patients admitted to the hospital due to acute respiratory diseases. MATERIAL AND
METHODS: The consecutive patients, above 18 years of age, referred to the
department of lung diseases, entered the study. The exclusion criteria were: the
pregnancy and the suspicion of high risk PE. Plasma DD was measured with quick
ELISA test, VIDAS D-dimer New, bioMerieux, France. Multislice computed tomography
angiography was performed in all of the patients. RESULTS: 153 patients, median
age 65 (19-88) years entered the study. The probability of PE was: low - in 58
patients (38%), intermediate - in 90 (59%), high - in 5 (3%). DD < 500 ng/ml was
found in 12% of patients with low and intermediate probability of PE. PE was
recognized in 10 out of 153 patients (7%). None of the patients with DD < 500
ng/ml was diagnosed with PE (NPV 100%). Median DD value was significantly higher
in PE patients comparing to non-PE (4500 ng/ml and 1356 ng/ml respectively, p =
0.006). CONCLUSION: In the group of the patients with acute respiratory symptoms,
low or intermediate clinical probability scoring combined with normal DD had a
high NPV in excluding PE. Nevertheless, such approach was not very effective, as
the increased DD was noted in 88% of the examined population.
PMID- 26559798
TI - Atypical image of pulmonary alveolar proteinosis - a case report.
AB - Pulmonary alveolar proteinosis is a very rare interstitial lung disease caused by
abnormal intra-alveolar surfactant accumulation. Usually, it appears as a "crazy
paving" pattern on high-resolution computed tomography. The image is so typical,
that together with the characteristic bronchoalveolar lavage examination with
presence of Periodic Acid Schiff positive substance is sufficient for
establishing diagnosis, without histological confirmation. We present the case of
the young woman with severe dyspnoea suspected of acute hypersensitivity
pneumonia. The computed tomography showed numerous intralobular nodules uniformly
distributed troughout the lungs. Treatment by corticosteroids had no clinical
effect and next computed tomography showed progression. Despite the high risk of
complications (patient had a respiratory failure), a surgical lung biopsy was
performed and the histopathological diagnosis of pulmonary alveolar proteinosis
was made. The whole lung lavage procedure performed twice caused regression of
radiological lesions and respiratory failure.
PMID- 26559799
TI - Left brachiocephalic vein stenosis and infectious aortitis: two unusual causes of
Ortner's syndrome.
AB - Ortner's syndrome (also known as cardiovocal syndrome) is defined as hoarseness
due to compression of the left recurrent laryngeal nerve by an enlarged left
atrium or enlarged thoracic vessels. We describe two cases of Ortner's syndrome
with an unusual underlying vascular pathology. In the first patient, Ortner's
syndrome was a consequence of left brachiocephalic vein stenosis resulting in
collateral circulation filling the aorto-pulmonary window. The second patient
developed a thoracic aortic aneurysm due infectious aortitis. Both patients
required careful scrutiny in differential diagnosis because of their complex past
medical history and concomitant diseases.
PMID- 26559800
TI - Selected molecular events in the pathogenesis of sarcoidosis - recent advances.
AB - Sarcoidosis is an orphan inflammatory disorder that can virtually affect any
organ or system in the body, although the lungs and lymph nodes are most
frequently involved. Sarcoidosis is believed to derive from an interaction
between environmental and genetic agents. Many studies emphasize a strong
association between certain human leukocyte antigen (HLA) alleles and sarcoidosis
susceptibility. Several new insights have allowed the further evaluation of other
candidate genes with a potential function in the immunopathogenesis of
sarcoidosis. This review summarizes recent advances in the identification of
novel molecular markers that may play a role in different stages of disease, such
as the acute phase of inflammation, granuloma formation and fibrosis.
Furthermore, this article elucidates the role of both TGF-b/Smad and (HIF)-1a
VEGF-ING-4 signaling pathways in the development of sarcoidosis. The potential
epigenetic regulation of the processes occurring in sarcoidosis by miRNA is also
discussed.
PMID- 26559801
TI - Systematic review of telemonitoring in COPD: an update.
AB - Telemedicine may support individual care plans in people with chronic obstructive
pulmonary disease (COPD), potentially improving the clinical outcomes. To-date
there is no clear evidence of benefit of telemedicine in this patients. The aim
of this study is to provide an update on the effectiveness of telemedicine in
reducing adverse clinical outcomes. We searched the Pubmed database for articles
published between January 2005 and December 2014. We included only randomized
controlled trials exclusively focused on patients with COPD and with a
telemedicine intervention arm. Evaluated outcomes were number of exacerbations,
ER visits, COPD hospitalizations, length of stay and death. We eventually
included 12 randomized controlled trials. Most of them had a small sample size
and was of poor quality, with a wide heterogeneity in the parameters and
technologies used. Most studies reported a positive effect of telemonitoring on
hospitalization for any cause, with risk reductions between 10% and 63%; however
only three studies reached statistical significance. The same trend was observed
for COPD-related hospital admission and ER visits. No significative effects of
telemedicine was evidenced in reducing length of hospital stay, improving quality
of life and reducing deaths. In conclusion, our study confirms that the available
evidence on the effectiveness of telemedicine in COPD does not allow to draw
definite conclusions; most evidence suggests a positive effect of telemonitoring
on hospital admissions and ER visits. More trials with adequate sample size and
with adequate consideration of background clinical services are needed to
definitively establish its effectiveness.
PMID- 26559802
TI - Arterial and venous thromboembolism in chronic obstructive pulmonary disease:
from pathogenic mechanisms to prevention and treatment.
AB - Chronic obstructive pulmonary disease (COPD) affects approximately 10% of adults
older than 40 years and is an important causes of disability and death in elderly
subjects. A large proportion of COPD patients suffer from cardiovascular
comorbidities. Thromboembolic events contribute considerably to morbidity and
mortality in these subjects. This review summarizes the current evidence
regarding the association of COPD with increased thromboembolic risk. We discuss
multiple mechanisms potentially linking these conditions and available
pharmacological interventions reducing the risk of thrombotic arterial and venous
events with special attention paid to new oral anticoagulants.
PMID- 26559803
TI - Water Oxidation for Simplified Models of the Oxygen-Evolving Complex in
Photosystem II.
AB - For the main parts of the mechanism for water oxidation in photosystem II there
has recently been very strong experimental support for the mechanism suggested by
theoretical model studies. The question addressed in the present study is to what
extent this knowledge can be used for the design of artificial catalysts. A major
requirement for a useful artificial catalyst is that it is small enough to be
synthesized. Small catalysts also have the big advantage that they could improve
the catalysis per surface area. To make the mechanism found for PSII useful in
this context, it needs to be analyzed in detail. A small model system was
therefore used and the ligands were replaced one by one by water-derived ligands.
Only the main chemical step of O-O bond formation was investigated in this
initial study. The energetics for this small model and the larger one previously
used for PSII are remarkably similar, which is the most important result of the
present study. This shows that small model complexes have a potential for being
very good water oxidation catalysts. It was furthermore found that there is a
clear correlation between the barrier height for O-O bond formation and the type
of optimal structure for the S3 state. The analysis shows that a flexible central
part of the complex is the key for efficient water oxidation.
PMID- 26559804
TI - Oxygenated Static Preservation of Donation after Cardiac Death Liver Grafts
Improves Hepatocyte Viability and Function.
AB - BACKGROUND: Cell therapy, such as hepatocyte transplantation (HTx), is promising
for the treatment of metabolic liver diseases or as a bridge to orthotopic liver
transplantation in patients with fulminant liver failure. However, one of the
limitations of this therapy is the shortage of donors. The present study aims to
investigate whether the two-layer method (TLM) of cold preservation with
oxygenation improves the viability and activity of hepatocytes from rat donation
after cardiac death (DCD) donors compared with results obtained with the
University of Wisconsin (UW) solution. Moreover, we evaluated the hepatocyte
function after culture or transplantation into the spleen. MATERIALS AND METHODS:
We used male Sprague-Dawley rats for this study. The DCD model was induced by
phrenotomy after injecting heparin. We assigned rats based on warm ischemia times
of 15 and 30 min to groups S and L, respectively. Each group (n = 5) was then
subdivided as follows: (1) group S: not preserved (S/N), preserved by TLM for 3 h
(S/TLM3) and 12 h (S/TLM12), and in the UW solution for 3 h (S/UW3) and 12 h
(S/UW12), and (2) group L: not preserved (L/N), preserved by TLM for 3 h (L/TLM3)
and 12 h (L/TLM12), and in the UW solution for 3 h (L/UW3) and 12 h (L/UW12). The
cell viability and function of isolated DCD hepatocytes were analyzed for culture
or HTx into the spleen. RESULTS: The viability and ATP levels of DCD hepatocytes
significantly improved after TLM compared with the values after preservation in
cold UW solution in group S/N (p < 0.059). The levels of albumin production and
urea synthesis by hepatocytes after culture were significantly higher in groups
S/TLM3 and S/TLM12 than in groups S/UW3 and S/UW12 (p < 0.05), respectively.
Further, serum albumin levels after HTx were also markedly higher in groups
S/TLM3 and S/TLM12 than in groups S/UW3 and S/UW12. The morphological features
revealed that cultured and transplanted hepatocytes remained clearly viable and
maintained an expression for specific hepatic function, such as the production of
albumin and glycogen. CONCLUSION: This novel method of oxygenated cold
preservation of DCD livers can expand the hepatocyte donor pool for HTx and
establish a wider application of this developing technique.
PMID- 26559805
TI - Immunogenicity of infliximab and adalimumab: what is its role in hypersensitivity
and modulation of therapeutic efficacy and safety?
AB - INTRODUCTION: TNF-alpha inhibitors have demonstrated efficacy both as monotherapy
and in combination with disease-modifying antirheumatic drugs (DMARDs) in the
treatment of chronic inflammatory immune-mediated diseases such as rheumatoid
arthritis, Crohn's disease, ankylosing spondylitis, psoriasis and/or psoriatic
arthritis, and may be administered off-label to treat disseminated granuloma
annulare systemic lupus erythematosus and systemic sclerosis. There are several
TNF-alpha inhibitors available for clinical use including infliximab, adalimumab,
golimumab, certolizumab pegol and etanercept. AREAS COVERED: infliximab and
adalimumab can induce the development of anti-infliximab (anti-IFX) and anti
adalimumab (anti-ADA) monoclonal antibodies (mAbs). In this review, we discuss
the impact of anti-IFX and anti-ADA mAbs upon efficacy and safety of these
biological agents. EXPERT OPINION: IgG/IgE neutralizing antibodies against
infliximab and adalimumab decrease the possibility of achieving a minimal disease
activity state or clinical remission, decrease drug survival, increase the need
for doctors to prescribe a higher drug dosage and, finally, favor the occurrence
of adverse events. Concomitant administration of DMARDs such as methotrexate or
leflunomide prevents the development of neutralizing Abs against infliximab and
adalimumab.
PMID- 26559807
TI - Erratum.
PMID- 26559806
TI - Incidence and duration of hepatitis E virus infection in Dutch blood donors.
AB - BACKGROUND: The incidence of hepatitis E virus (HEV) infection in the Netherlands
is high. Blood donors are not routinely screened for HEV infection, but since
January 2013, donations used for the production of solvent/detergent (S/D)
treated plasma have been screened for HEV RNA. STUDY DESIGN AND METHODS:
Donations were screened for HEV RNA in pools of 96 and 192 donations. In
addition, all donations made between 60 days before and after each HEV RNA
positive donation were tested individually for HEV RNA and anti-HEV
immunoglobulin G. RESULTS: The screening of 59,474 donations between January 2013
and December 2014 resulted in identification of 45 HEV RNA-positive donations
(0.076%) from 41 donors. HEV RNA loads ranged from 80 to 2.3 * 10(6) IU/mL. The
number of positive donations increased significantly over time (p = 0.03). Thirty
three of 90 donations made up to 60 days before or after HEV RNA-positive
donations were positive when tested individually, while they had not been
detected in the pool screening. The mean duration of HEV viremia in the healthy
blood donor is estimated to be 68 days. CONCLUSION: The incidence of HEV
infection in the Netherlands is high and increased during the study period. In
2013 and 2014, HEV RNA was detected in 1 per 762 donations intended for
production of S/D plasma.
PMID- 26559808
TI - Ozone-Induced Nasal Type 2 Immunity in Mice Is Dependent on Innate Lymphoid
Cells.
AB - Epidemiological studies suggest that elevated ambient concentrations of ozone are
associated with activation of eosinophils in the nasal airways of atopic and
nonatopic children. Mice repeatedly exposed to ozone develop eosinophilic
rhinitis and type 2 immune responses. In this study, we determined the role of
innate lymphoid cells (ILCs) in the pathogenesis of ozone-induced eosinophilic
rhinitis by using lymphoid-sufficient C57BL/6 mice, Rag2(-/-) mice that are
devoid of T cells and B cells, and Rag2(-/-)Il2rg(-/-) mice that are depleted of
all lymphoid cells including ILCs. The animals were exposed to 0 or 0.8 ppm ozone
for 9 consecutive weekdays (4 h/d). Mice were killed 24 hours after exposure, and
nasal tissues were selected for histopathology and gene expression analysis. ILC
sufficient C57BL/6 and Rag2(-/-) mice exposed to ozone developed marked
eosinophilic rhinitis and epithelial remodeling (e.g., epithelial hyperplasia and
mucous cell metaplasia). Chitinase-like proteins and alarmins (IL-33, IL-25, and
thymic stromal lymphopoietin) were also increased morphometrically in the nasal
epithelium of ozone-exposed C57BL/6 and Rag2(-/-) mice. Ozone exposure elicited
increased expression of Il4, Il5, Il13, St2, eotaxin, MCP-2, Gob5, Arg1, Fizz1,
and Ym2 mRNA in C57BL/6 and Rag2(-/-) mice. In contrast, ozone-exposed ILC
deficient Rag2(-/-)Il2rg(-/-) mice had no nasal lesions or overexpression of Th2-
or ILC2-related transcripts. These results indicate that ozone-induced
eosinophilic rhinitis, nasal epithelial remodeling, and type 2 immune activation
are dependent on ILCs. To the best of our knowledge, this is the first study to
demonstrate that ILCs play an important role in the nasal pathology induced by
repeated ozone exposure.
PMID- 26559809
TI - Evaluation of the linkage-disequilibrium method for the estimation of effective
population size when generations overlap: an empirical case.
AB - BACKGROUND: Within the genetic methods for estimating effective population size
(N e ), the method based on linkage disequilibrium (LD) has advantages over other
methods, although its accuracy when applied to populations with overlapping
generations is a matter of controversy. It is also unclear the best way to
account for mutation and sample size when this method is implemented. Here we
have addressed the applicability of this method using genome-wide information
when generations overlap by profiting from having available a complete and
accurate pedigree from an experimental population of Iberian pigs. Precise
pedigree-based estimates of N e were considered as a baseline against which to
compare LD-based estimates. METHODS: We assumed six different statistical models
that varied in the adjustments made for mutation and sample size. The approach
allowed us to determine the most suitable statistical model of adjustment when
the LD method is used for species with overlapping generations. A novel approach
used here was to treat different generations as replicates of the same population
in order to assess the error of the LD-based N e estimates. RESULTS: LD-based N e
estimates obtained by estimating the mutation parameter from the data and by
correcting sample size using the 1/2n term were the closest to pedigree-based
estimates. The N e at the time of the foundation of the herd (26 generations ago)
was 20.8 +/- 3.7 (average and SD across replicates), while the pedigree-based
estimate was 21. From that time on, this trend was in good agreement with that
followed by pedigree-based N e. CONCLUSIONS: Our results showed that when using
genome-wide information, the LD method is accurate and broadly applicable to
small populations even when generations overlap. This supports the use of the
method for estimating N e when pedigree information is unavailable in order to
effectively monitor and manage populations and to early detect population
declines. To our knowledge this is the first study using replicates of empirical
data to evaluate the applicability of the LD method by comparing results with
accurate pedigree-based estimates.
PMID- 26559810
TI - Clinical implications of the IMPROVE-IT trial in the light of current and future
lipid-lowering treatment options.
AB - INTRODUCTION: A residual risk of morbidity and mortality from cardiovascular (CV)
disease remains despite statin therapy. This situation has generated an interest
in finding novel approaches of combining statins with other lipid-lowering
agents, or finding new lipid and non-lipid targets, such as triglycerides, high
density lipoprotein cholesterol (HDL-C), non-HDL-C, proprotein convertase
subtilisin/kexin type 9 (PCSK9) gene, cholesterol ester transfer protein (CETP),
lipoprotein (a), fibrinogen or C-reactive protein. AREAS COVERED: The recent
results from the IMProved Reduction of Outcomes: Vytorin Efficacy International
Trial (IMPROVE-IT) demonstrated an incremental clinical benefit when ezetimibe, a
non-statin agent, was added to simvastatin therapy. EXPERT OPINION: The results
from IMPROVE-IT revalidated the concept that low-density lipoprotein cholesterol
(LDL-C) levels are a clinically relevant treatment goal. This trial also
suggested that further decrease of LDL-C levels (53 vs. 70 mg/dl; 1.4 vs. 1.8
mmol/l) was more beneficial in lowering CV events. This "even lower is even
better" evidence for LDL-C levels may influence future guidelines and the use of
new drugs. Furthermore, these findings make ezetimibe a more realistic option to
treat patients with statin intolerance or those who cannot achieve LDL-C targets
with statin monotherapy.
PMID- 26559811
TI - Genotoxicity Induced by Foetal and Infant Exposure to Magnetic Fields and
Modulation of Ionising Radiation Effects.
AB - BACKGROUND: Few studies have investigated the toxicity and genotoxicity of
extremely low frequency magnetic fields (ELF-MF) during prenatal and neonatal
development. These phases of life are characterized by cell proliferation and
differentiation, which might make them sensitive to environmental stressors.
Although in vitro evidences suggest that ELF-MF may modify the effects of
ionizing radiation, no research has been conducted so far in vivo on the
genotoxic effects of ELF-MF combined with X-rays. AIM AND METHODS: Aim of this
study was to investigate in somatic and germ cells the effects of chronic ELF-MF
exposure from mid gestation until weaning, and any possible modulation produced
by ELF-MF exposure on ionizing radiation-induced damage. Mice were exposed to 50
Hz, 65 MUT magnetic field, 24 hours/day, for a total of 30 days, starting from 12
days post-conception. Another group was irradiated with 1 Gy X-rays immediately
before ELF-MF exposure, other groups were only X-irradiated or sham-exposed.
Micronucleus test on blood erythrocytes was performed at multiple times from 1 to
140 days after birth. Additionally, 42 days after birth, genotoxic and cytotoxic
effects on male germ cells were assessed by comet assay and flow cytometric
analysis. RESULTS: ELF-MF exposure had no teratogenic effect and did not affect
survival, growth and development. The micronucleus test indicated that ELF-MF
induced a slight genotoxic damage only after the maximum exposure time and that
this effect faded away in the months following the end of exposure. ELF-MF had no
effects on ionizing radiation (IR)-induced genotoxicity in erythrocytes.
Differently, ELF-MF appeared to modulate the response of male germ cells to X
rays with an impact on proliferation/differentiation processes. These results
point to the importance of tissue specificity and development on the impact of
ELF-MF on the early stages of life and indicate the need of further research on
the molecular mechanisms underlying ELF-MF biological effects.
PMID- 26559812
TI - Deficiency of IL-17A, but not the prototypical Th17 transcription factor
RORgammat, decreases murine spontaneous intestinal tumorigenesis.
AB - While inflammation has been associated with the development and progression of
colorectal cancer, the exact role of the inflammatory Th17 pathway remains
unclear. In this study, we aimed to determine the relative importance of IL-17A
and the master regulator of the Th17 pathway, the transcription factor RORgammat,
in the sporadic intestinal neoplasia of APC(MIN/+) mice and in human colorectal
cancer. We show that levels of IL-17A are increased in human colon cancer as
compared to adjacent uninvolved colon. Similarly, naive helper T cells from
colorectal cancer patients are more inducible into the Th17 pathway. Furthermore,
IL-17A, IL-21, IL-22, and IL-23 are all demonstrated to be directly mitogenic to
human colorectal cancer cell lines. Nevertheless, deficiency of IL-17A but not
RORgammat is associated with decreased spontaneous intestinal tumorigenesis in
the APC(MIN/+) mouse model, despite the fact that helper T cells from RORgammat
deficient APC(MIN/+) mice do not secrete IL-17A when subjected to Th17-polarizing
conditions and that Il17a expression is decreased in the intestine of RORgammat
deficient APC(MIN/+) mice. Differential expression of Th17-associated cytokines
between IL-17A-deficient and RORgammat-deficient APC(MIN/+) mice may explain the
difference in adenoma development.
PMID- 26559813
TI - NK-92: an 'off-the-shelf therapeutic' for adoptive natural killer cell-based
cancer immunotherapy.
AB - Natural killer (NK) cells are increasingly considered as immunotherapeutic agents
in particular in the fight against cancers. NK cell therapies are potentially
broadly applicable and, different from their T cell counterparts, do not cause
graft-versus-host disease. Efficacy and clinical in vitro or in vivo expansion of
primary NK cells will however always remain variable due to individual
differences of donors or patients. Long-term storage of clinical NK cell lots to
allow repeated clinical applications remains an additional challenge. In
contrast, the established and well-characterized cell line NK-92 can be easily
and reproducibly expanded from a good manufacturing practice (GMP)-compliant
cryopreserved master cell bank. Moreover, no cost-intensive cell purification
methods are required. To date, NK-92 has been intensively studied. The cells
displayed superior cytotoxicity against a number of tumor types tested, which was
confirmed in preclinical mouse studies. Subsequent clinical testing demonstrated
safety of NK-92 infusions even at high doses. Despite the phase I nature of the
trials conducted so far, some efficacy was noted, particularly against lung
tumors. Furthermore, to overcome tumor resistance and for specific targeting, NK
92 has been engineered to express a number of different chimeric antigen
receptors (CARs), including targeting, for example, CD19 or CD20 (anti-B cell
malignancies), CD38 (anti-myeloma) or human epidermal growth factor receptor 2
(HER2; ErbB2; anti-epithelial cancers). The concept of an NK cell line as an
allogeneic cell therapeutic produced 'off-the-shelf' on demand holds great
promise for the development of effective treatments.
PMID- 26559814
TI - Zinc and Other Metals Deficiencies and Risk of Type 1 Diabetes: An Ecological
Study in the High Risk Sardinia Island.
AB - BACKGROUND: Type 1 diabetes incidence presents a decreasing gradient in Europe
from the Nordic countries to the Mediterranean ones. Exception to this gradient
is represented by Sardinia, the second largest Mediterranean island whose
population shows the highest incidence in Europe, after Finland. The genetic
features of this population have created a fertile ground for the epidemic of the
disease, however, as well as being strikingly high, the incidence rate has
suddenly presented a continuous increase from the '50s, not explainable by
accumulation of new genetic variants. Several environmental factors have been
taken into account, possibly interacting with the genetic/epigenetic scenario,
but there are no strong evidences to date. METHODS: The present study
investigated the hypothesis that geochemical elements could create permissive
environmental conditions for autoimmune diabetes. An ecological analysis was
performed to test possible correlations between the values of eight elements in
stream sediments and type 1 diabetes incidence rate in Sardinia. RESULTS:
Analyses revealed negative associations between elements, such as Co, Cr, Cu, Mn,
Ni, Zn, and type 1 diabetes incidence. CONCLUSIONS: The results suggest a
possible protective role of some elements against the onset of the disease.
PMID- 26559815
TI - Toll-Like Receptor 2 Targeted Rectification of Impaired CD8+ T Cell Functions in
Experimental Leishmania donovani Infection Reinstates Host Protection.
AB - Leishmania donovani, a protozoan parasite, causes the disease visceral
leishmanisis (VL), characterized by inappropriate CD8+ T-cell activation.
Therefore, we examined whether the Toll-like Receptor 2 (TLR2) ligand Ara-LAM, a
cell wall glycolipid from non-pathogenic Mycobacterium smegmatis, would restore
CD8+ T-cell function during VL. We observed that by efficient upregulation of
TLR2 signaling-mediated NF-kappaB translocation and MAPK signaling in CD8+ T
cells (CD25+CD28+IL-12R+IFN-gammaR+), Ara-LAM triggered signaling resulted in the
activation of T-bet, which in turn, induced transcription favourable histone
modification at the IFN-gamma, perforin, granzyme-B promoter regions in CD8+ T
cells. Thus, we conclude that Ara-LAM induced efficient activation of effector
CD8+ T-cells by upregulating the expression of IFN-gamma, perforin and granzyme-B
in an NF-kappaB and MAPK induced T-bet dependent manner in VL.
PMID- 26559816
TI - Adherence to Post-Exposure Prophylaxis (PEP) and Incidence of HIV Seroconversion
in a Major North American Cohort.
AB - BACKGROUND: There is limited evidence on the efficacy of post-exposure
prophylaxis (PEP) for sexual exposures. We sought to determine the factors
associated with adherence to treatment and describe the incidence of PEP failures
in a Montreal clinic. METHODS: We prospectively assessed all patients consulting
for PEP following sexual exposures from October 2000 to July 2014. Patients were
followed at 4 and 16 weeks after starting PEP. Treatment adherence was determined
by self-report at week 4. Multivariable logistic regression was used to estimate
the factors predicting adherence to treatment. RESULTS: 3547 PEP consults were
included. Patients were mainly male (92%), MSM (83%) and sought PEP for anal
intercourse (72%). Seventy-eight percent (n = 2772) of patients received a
prescription for PEP, consisting of Tenofovir/Emtracitabine (TVD) +
Lopinavir/Ritonavir (LPV) in 74% of cases, followed by Zidovudine/Lamivudine
(CBV) + LPV (10%) and TVD + Raltegravir (RAL) (8%). Seventy percent of patients
were adherent to treatment. Compared to TVD+LPV, patients taking CBV+LPV were
less likely to adhere to treatment (OR 0.58, 95% CI 0.44-0.75), while no
difference was observed for patients taking TVD+RAL (OR 1.15, 95% CI 0.83-1.59).
First-time PEP consults, older and male patients were also more adherent to
treatment. Ten treated patients seroconverted (0.37%) during the study period,
yet only 1 case can be attributed to PEP failure (failure rate = 0.04%).
CONCLUSION: PEP regimen was associated with treatment adherence. Patients were
more likely to be adherent to TVD-based regimens. Ten patients seroconverted
after taking PEP; however, only 1 case was a PEP failure as the remaining
patients continued to engage in high-risk behavior during follow-up. One month
PEP is an effective preventive measure to avoid HIV infection.
PMID- 26559817
TI - Food Choices and Consequences for the Nutritional Status: Insights into Nutrition
Transition in an Hospital Community.
AB - INTRODUCTION: Although economic development is generally accompanied by
improvements in the overall nutritional status of the country's population the
'nutritional transition' often involves a shift to high energy diets and less
exercise with negative consequences. This pilot study was done to examine if
education of parents operates at the household level to influence dietary choices
and the nutritional status of children in a small community of hospital workers.
MATERIAL AND METHODS: 3 groups of persons with varying skill and education levels
participated. Weighed food logs were used in all households to calculate 'adult
equivalent' per-capita-consumption. Nutrients were calculated using nutrients
calculator software. BMI was used to classify children as underweight, normal
weight and overweight. RESULTS: 128 individuals participated from 30 families
included 47 children. 10 children (21%) were underweight, 29 (62%) were normal
and 8 (17%) were overweight. Energy consumption was highest in families with
overweight children 2692 +/-502 compared to 2259 +/-359 in families with normal
weight and 2031+/-354 in the family of underweight children. These differences
were statistically significant. 42% underweight children belonged to Class 1 at
the lowest skill level and there were no overweight children in this group. Most
of the overweight children belonged to Class 2. In Class 3 there were no
underweight children and the majority was normal weight children. CONCLUSION:
Underweight children came from the poorer households. Per capita intake of the
family as a whole correlated well with BMI in the children. There was increased
obesity in middle income families belonging to Class 2-probably in families who
move up the scale from deprivation. Nutritional status in children correlated
mostly with maternal education status.
PMID- 26559819
TI - Role of the left frontal aslant tract in stuttering: a brain stimulation and
tractographic study.
AB - The neural correlates of stuttering are to date incompletely understood. Although
the possible involvement of the basal ganglia, the cerebellum and certain parts
of the cerebral cortex in this speech disorder has previously been reported,
there are still not many studies investigating the role of white matter fibers in
stuttering. Axonal stimulation during awake surgery provides a unique opportunity
to study the functional role of structural connectivity. Here, our goal was to
investigate the white matter tracts implicated in stuttering, by combining direct
electrostimulation mapping and postoperative tractography imaging, with a special
focus on the left frontal aslant tract. Eight patients with no preoperative
stuttering underwent awake surgery for a left frontal low-grade glioma.
Intraoperative cortical and axonal electrical mapping was used to interfere in
speech processing and subsequently provoke stuttering. We further assessed the
relationship between the subcortical sites leading to stuttering and the spatial
course of the frontal aslant tract. All patients experienced intraoperative
stuttering during axonal electrostimulation. On postsurgical tractographies, the
subcortical distribution of stimulated sites matched the topographical position
of the left frontal aslant tract. This white matter pathway was preserved during
surgery, and no patients had postoperative stuttering. For the first time to our
knowledge, by using direct axonal stimulation combined with postoperative
tractography, we provide original data supporting a pivotal role of the left
frontal aslant tract in stuttering. We propose that this speech disorder could be
the result of a disconnection within a large-scale cortico-subcortical circuit
subserving speech motor control.
PMID- 26559818
TI - Trefoil Factor-3 (TFF3) Stimulates De Novo Angiogenesis in Mammary Carcinoma both
Directly and Indirectly via IL-8/CXCR2.
AB - Mammary carcinoma cells produce pro-angiogenic factors to stimulate angiogenesis
and tumor growth. Trefoil factor-3 (TFF3) is an oncogene secreted from mammary
carcinoma cells and associated with poor prognosis. Herein, we demonstrate that
TFF3 produced in mammary carcinoma cells functions as a promoter of tumor
angiogenesis. Forced expression of TFF3 in mammary carcinoma cells promoted
proliferation, survival, invasion and in vitro tubule formation of human
umbilical vein endothelial cells (HUVEC). MCF7-TFF3 cells with forced expression
of TFF3 generated tumors with enhanced microvessel density as compared to tumors
formed by vector control cells. Depletion of TFF3 in mammary carcinoma cells by
siRNA concordantly decreased the angiogenic behavior of HUVEC. Forced expression
of TFF3 in mammary carcinoma cells stimulated IL-8 transcription and subsequently
enhanced IL-8 expression in both mammary carcinoma cells and HUVEC. Depletion of
IL-8 in mammary carcinoma cells with forced expression of TFF3, or antibody
inhibition of IL-8, partially abrogated mammary carcinoma cell TFF3-stimulated
HUVEC angiogenic behavior in vitro, as did inhibition of the IL-8 receptor,
CXCR2. Depletion of STAT3 by siRNA in MCF-7 cells with forced expression of TFF3
partially diminished the angiogenic capability of TFF3 on stimulation of cellular
processes of HUVEC. Exogenous recombinant hTFF3 also directly promoted the
angiogenic behavior of HUVEC. Hence, TFF3 is a potent angiogenic factor and
functions as a promoter of de novo angiogenesis in mammary carcinoma, which may
co-coordinate with the growth promoting and metastatic actions of TFF3 in mammary
carcinoma to enhance tumor progression.
PMID- 26559820
TI - Mal de debarquement syndrome: a systematic review.
AB - Mal de debarquement (MdD) is a subjective perception of self-motion after
exposure to passive motion, in most cases sea travel, hence the name. Mal de
debarquement occurs quite frequently in otherwise healthy individuals for a short
period of time (several hours). However, in some people symptoms remain for a
longer period of time or even persist and this is then called mal de debarquement
syndrome (MdDS). The underlying pathogenesis is poorly understood and therefore,
treatment options are limited. In general, limited studies have focused on the
topic, but the past few years more and more interest has been attributed to MdDS
and its facets, which is reflected by an increasing number of papers. Till date,
some interesting reviews on the topic have been published, but a systematic
review of the literature is lacking and could help to address the shortcomings
and flaws of the current literature. We here present a systematic review of
MdD(S) based on a systematic search of medical databases employing predefined
criteria, using the terms "mal de debarquement" and "sea legs". Based on this, we
suggest a list of criteria that could aid healthcare professionals in the
diagnosis of MdDS. Further research needs to address the blank gaps by addressing
how prevalent MdD(S) really is, by digging deeper into the underlying
pathophysiology and setting up prospective, randomized placebo-controlled studies
to evaluate the effectiveness of possible treatment strategies.
PMID- 26559821
TI - The modified ultrasound pattern sum score mUPSS as additional diagnostic tool for
genetically distinct hereditary neuropathies.
AB - The objective of this study is to evaluate the nerve ultrasound characteristics
in genetically distinct inherited neuropathies, the value of the modified
ultrasound pattern sum score (mUPSS) to differentiate between the subtypes and
the correlation of ultrasound with nerve conduction studies (NCS), disease
duration and severity. All patients underwent a standardized neurological
examination, ultrasound, and NCS. In addition, genetic testing was performed.
Consequently, mUPSS was applied, which is a sum-score of cross-sectional areas
(CSA) at predefined anatomical points in different nerves. 31 patients were
included (10xCharcot-Marie-Tooth (CMT)1a, 3xCMT1b, 3xCMTX, 9xCMT2, 6xHNPP
[Hereditary neuropathy with liability to pressure palsies]). Generalized,
homogeneous nerve enlargement and significantly increased UPS scores emphasized
the diagnosis of demyelinating neuropathy, particularly CMT1a and CMT1b. The
amount of enlargement did not depend on disease duration, symptom severity,
height and weight. In CMTX the nerves were enlarged, as well, however, only in
the roots and lower limbs, most prominent in men. In CMT2 no significant
enlargement was detectable. In HNPP the CSA values were increased at entrapped
sites, and not elsewhere. However, a distinction from CMT1, which also showed
enlarged CSA values at entrapment sites, was only possible by calculating the
entrapment ratios and entrapment score. The mUPSS allowed distinction between
CMT1a (increased UPS scores, entrapment ratios <1.0) and HNPP (low UPS scores,
entrapment ratios >1.4), while CMT1b and CMTX showed intermediate UPS types and
entrapment ratios <1.0. Although based on few cases, ultrasound revealed
consistent and homogeneous nerve alteration in certain inherited neuropathies.
The modified UPSS is a quantitative tool, which may provide useful information
for diagnosis, differentiation and follow-up evaluation in addition to NCS and
molecular testing.
PMID- 26559823
TI - Thoracic and cardiovascular surgery in Japan during 2013: Annual report by The
Japanese Association for Thoracic Surgery.
PMID- 26559824
TI - Botulinum toxin drugs: brief history and outlook.
AB - The global botulinum toxin (BT) market is currently undergoing rapid changes:
this may be the time to review the history and the future of BT drug development.
Since the early 1990s Botox((r)) and Dysport((r)) dominated the international BT
market. Later, Myobloc((r))/NeuroBloc((r)), a liquid BT type B drug, came out,
but failed. Xeomin((r)) is the latest major BT drug. It features removal of
complexing proteins and improved neurotoxin purity. Several new BT drugs are
coming out of Korea, China and Russia. Scientific challenges for BT drug
development include modification of BT's duration of action, its transdermal
transport and the design of BT hybrid drugs for specific target tissues. The
increased competition will change the global BT market fundamentally and a re
organisation according to large indication groups, such as therapeutic and
cosmetic applications, might occur.
PMID- 26559822
TI - Biochemical Monitoring of Spinal Cord Injury by FT-IR Spectroscopy--Effects of
Therapeutic Alginate Implant in Rat Models.
AB - Spinal cord injury (SCI) induces complex biochemical changes, which result in
inhibition of nervous tissue regeneration abilities. In this study, Fourier
transform infrared (FT-IR) spectroscopy was applied to assess the outcomes of
implants made of a novel type of non-functionalized soft calcium alginate
hydrogel in a rat model of spinal cord hemisection (n = 28). Using FT-IR
spectroscopic imaging, we evaluated the stability of the implants and the effects
on morphology and biochemistry of the injured tissue one and six months after
injury. A semi-quantitative evaluation of the distribution of lipids and collagen
showed that alginate significantly reduced injury-induced demyelination of the
contralateral white matter and fibrotic scarring in the chronic state after SCI.
The spectral information enabled to detect and localize the alginate hydrogel at
the lesion site and proved its long-term persistence in vivo. These findings
demonstrate a positive impact of alginate hydrogel on recovery after SCI and
prove FT-IR spectroscopic imaging as alternative method to evaluate and optimize
future SCI repair strategies.
PMID- 26559825
TI - CNTNAP2 gene in high functioning autism: no association according to family and
meta-analysis approaches.
AB - The Contactin Associated Protein-like 2 (CNTNAP2) gene has been discussed to be
associated with different symptoms of autism spectrum disorders (ASDs) and other
neurodevelopmental disorders. We aimed to elucidate the genetic association of
CNTNAP2 within high functioning ASD (HFA), focusing on autism specific symptoms
and reducing intelligence related factors. Furthermore, we compared our findings
conducting a meta-analysis in patients with ASD and HFA only. A case-control
association study was performed for HFA (HFA, n = 105; controls, n = 133).
Moreover, we performed a family-based association study (DFAM) analysis (HFA, n =
44; siblings, n = 57). Individuals were genotyped for the two most frequently
reported single nucleotide polymorphisms (SNPs) in the CNTNAP2 gene (rs2710102,
rs7794745). Furthermore, a meta-analysis using the MIX2 software integrated our
results with previously published data. A significant association for the
carriers of the T-allele of the rs7794745 with HFA was found in the case-control
sample [OR = 1.547; (95 % CI 1.056-2.266); p = 0.025]. No association could be
found by DFAM with any of the CNTNAP2 SNPs with HFA. The meta-analysis of both
SNPs did not show a significant association with either ASD or with HFA. Overall,
including case-control, sibs, and meta-analysis, we could not detect any
significant association with the CNTNAP2 gene and HFA. Our results point in the
direction that CNTNAP2 may not play a major role in HFA, but rather seems to have
a significance in neurodevelopmental disorders or in individuals displaying
intellectual delays.
PMID- 26559826
TI - Next-Generation mRNA Sequencing Reveals Pyroptosis-Induced CD4+ T Cell Death in
Early Simian Immunodeficiency Virus-Infected Lymphoid Tissues.
AB - Lymphoid tissues (LTs) are the principal sites where human immunodeficiency virus
type 1 (HIV-1) replicates and virus-host interactions take place, resulting in
immunopathology in the form of inflammation, immune activation, and CD4(+) T cell
death. The HIV-1 pathogenesis in LTs has been extensively studied; however, our
understanding of the virus-host interactions in the very early stages of
infection remains incomplete. We investigated virus-host interactions in the
rectal draining lymph nodes (dLNs) of rhesus macaques at different times after
intrarectal inoculation (days postinoculation [dpi]) with simian immunodeficiency
virus (SIV). At 3 dpi, 103 differentially expressed genes (DEGs) were detected
using next-generation mRNA sequencing (RNA-seq). At 6 and 10 dpi, concomitant
with increased SIV replication, 366 and 1,350 DEGs were detected, respectively,
including upregulation of genes encoding proteins that play a role in innate
antiviral immune responses, inflammation, and immune activation. Notably, genes
(IFI16, caspase-1, and interleukin 1beta [IL-1beta]) in the canonical pyroptosis
pathway were significantly upregulated in expression. We further validated
increased pyroptosis using flow cytometry and found that the number of CD4(+) T
cells expressing activated caspase-1 protein, the hallmark of ongoing pyroptosis,
were significantly increased, which is correlated with decreased CD4(+) T cells
in dLNs. Our results demonstrated that pyroptosis contributes to the CD4(+) T
cell death in vivo in early SIV infection, which suggests that pyroptosis may
play a pivotal role in the pathogenesis of SIV, and by extension, that of HIV-1,
since pyroptosis not only induces CD4(+) T cell death but also amplifies
inflammation and immune activation. Thus, blocking CD4(+) T cell pyroptosis could
be a complementary treatment to antiretroviral therapy. IMPORTANCE: Although
secondary lymphoid tissues (LTs) are principal sites of human immunodeficiency
virus type 1 (HIV-1) replication, inflammation, immune activation, and CD4(+) T
cell death, immunopathogenesis in LTs during early infection remains largely
unknown. Using the simian immunodeficiency virus (SIV)/rhesus monkey model of HIV
rectal infection, we investigated early virus-host interactions. Our results
revealed elevated potent host responses in early infection in LTs, including
upregulation of genes involved in antiviral immune response, inflammation, and
immune activation. Importantly, genes involved in the canonical pyroptosis
pathway were significantly upregulated, and there was a strong correlation
between CD4(+) T cell decrease and increased number of CD4(+) T cells expressing
activated caspase-1 protein, demonstrating that pyroptosis contributes to CD4(+)
T cell death in vivo in very early SIV infection. Our finding suggests that
blocking pyroptosis may be able to decrease CD4(+) T cell loss during early SIV
infection.
PMID- 26559827
TI - Crystal Structure of the Core Region of Hantavirus Nucleocapsid Protein Reveals
the Mechanism for Ribonucleoprotein Complex Formation.
AB - Hantaviruses, which belong to the genus Hantavirus in the family Bunyaviridae,
infect mammals, including humans, causing either hemorrhagic fever with renal
syndrome (HFRS) or hantavirus cardiopulmonary syndrome (HCPS) in humans with high
mortality. Hantavirus encodes a nucleocapsid protein (NP) to encapsidate the
genome and form a ribonucleoprotein complex (RNP) together with viral polymerase.
Here, we report the crystal structure of the core domains of NP (NPcore) encoded
by Sin Nombre virus (SNV) and Andes virus (ANDV), which are two representative
members that cause HCPS in the New World. The constructs of SNV and ANDV NPcore
exclude the N- and C-terminal portions of full polypeptide to obtain stable
proteins for crystallographic study. The structure features an N lobe and a C
lobe to clamp RNA-binding crevice and exhibits two protruding extensions in both
lobes. The positively charged residues located in the RNA-binding crevice play a
key role in RNA binding and virus replication. We further demonstrated that the C
terminal helix and the linker region connecting the N-terminal coiled-coil domain
and NPcore are essential for hantavirus NP oligomerization through contacts made
with two adjacent protomers. Moreover, electron microscopy (EM) visualization of
native RNPs extracted from the virions revealed that a monomer-sized NP-RNA
complex is the building block of viral RNP. This work provides insight into the
formation of hantavirus RNP and provides an understanding of the evolutionary
connections that exist among bunyaviruses. IMPORTANCE: Hantaviruses are
distributed across a wide and increasing range of host reservoirs throughout the
world. In particular, hantaviruses can be transmitted via aerosols of rodent
excreta to humans or from human to human and cause HFRS and HCPS, with
mortalities of 15% and 50%, respectively. Hantavirus is therefore listed as a
category C pathogen. Hantavirus encodes an NP that plays essential roles both in
RNP formation and in multiple biological functions. NP is also the exclusive
target for the serological diagnoses. This work reveals the structure of
hantavirus NP, furthering the knowledge of hantavirus RNP formation, revealing
the relationship between hantavirus NP and serological specificity and raising
the potential for the development of new diagnosis and therapeutics targeting
hantavirus infection.
PMID- 26559829
TI - Viral Regulation of Cell Tropism in Human Cytomegalovirus.
AB - The viral glycoproteins that decorate enveloped viruses play crucial roles in
cell entry and in large part dictate the spectrum of cell types that a virus can
infect. The identification in human cytomegalovirus (HCMV) of a viral endoplasmic
reticulum (ER)-resident glycoprotein that regulates the composition of
alternative viral envelope glycoprotein complexes raises the intriguing
possibility that certain viruses might actively regulate the tropism of progeny
virions to improve their fitness or to navigate through the host.
PMID- 26559828
TI - Zoonotic Potential of Simian Arteriviruses.
AB - Wild nonhuman primates are immediate sources and long-term reservoirs of human
pathogens. However, ethical and technical challenges have hampered the
identification of novel blood-borne pathogens in these animals. We recently
examined RNA viruses in plasma from wild African monkeys and discovered several
novel, highly divergent viruses belonging to the family Arteriviridae. Close
relatives of these viruses, including simian hemorrhagic fever virus, have caused
sporadic outbreaks of viral hemorrhagic fever in captive macaque monkeys since
the 1960s. However, arterivirus infection in wild nonhuman primates had not been
described prior to 2011. The arteriviruses recently identified in wild monkeys
have high sequence and host species diversity, maintain high viremia, and are
prevalent in affected populations. Taken together, these features suggest that
the simian arteriviruses may be "preemergent" zoonotic pathogens. If not, this
would imply that biological characteristics of RNA viruses thought to facilitate
zoonotic transmission may not, by themselves, be sufficient for such transmission
to occur.
PMID- 26559830
TI - Four Amino Acid Changes in HIV-2 Protease Confer Class-Wide Sensitivity to
Protease Inhibitors.
AB - Protease is essential for retroviral replication, and protease inhibitors (PI)
are important for treating HIV infection. HIV-2 exhibits intrinsic resistance to
most FDA-approved HIV-1 PI, retaining clinically useful susceptibility only to
lopinavir, darunavir, and saquinavir. The mechanisms for this resistance are
unclear; although HIV-1 and HIV-2 proteases share just 38 to 49% sequence
identity, all critical structural features of proteases are conserved. Structural
studies have implicated four amino acids in the ligand-binding pocket (positions
32, 47, 76, and 82). We constructed HIV-2ROD9 molecular clones encoding the
corresponding wild-type HIV-1 amino acids (I32V, V47I, M76L, and I82V) either
individually or together (clone PRDelta4) and compared the phenotypic
sensitivities (50% effective concentration [EC50]) of mutant and wild-type
viruses to nine FDA-approved PI. Single amino acid replacements I32V, V47I, and
M76L increased the susceptibility of HIV-2 to multiple PI, but no single change
conferred class-wide sensitivity. In contrast, clone PRDelta4 showed PI
susceptibility equivalent to or greater than that of HIV-1 for all PI. We also
compared crystallographic structures of wild-type HIV-1 and HIV-2 proteases
complexed with amprenavir and darunavir to models of the PRDelta4 enzyme. These
models suggest that the amprenavir sensitivity of PRDelta4 is attributable to
stabilizing enzyme-inhibitor interactions in the P2 and P2' pockets of the
protease dimer. Together, our results show that the combination of four amino
acid changes in HIV-2 protease confer a pattern of PI susceptibility comparable
to that of HIV-1, providing a structural rationale for intrinsic HIV-2 PI
resistance and resolving long-standing questions regarding the determinants of
differential PI susceptibility in HIV-1 and HIV-2. IMPORTANCE: Proteases are
essential for retroviral replication, and HIV-1 and HIV-2 proteases share a great
deal of structural similarity. However, only three of nine FDA-approved HIV-1
protease inhibitors (PI) are active against HIV-2. The underlying reasons for
intrinsic PI resistance in HIV-2 are not known. We examined the contributions of
four amino acids in the ligand-binding pocket of the enzyme that differ between
HIV-1 and HIV-2 by constructing HIV-2 clones encoding the corresponding HIV-1
amino acids and testing the PI susceptibilities of the resulting viruses. We
found that the HIV-2 clone containing all four changes (PRDelta4) was as
susceptible as HIV-1 to all nine PI. We also modeled the PRDelta4 enzyme
structure and compared it to existing crystallographic structures of HIV-1 and
HIV-2 proteases complexed with amprenavir and darunavir. Our findings demonstrate
that four positions in the ligand-binding cleft of protease are the primary cause
of HIV-2 PI resistance.
PMID- 26559831
TI - The Cellular Protein Complex Associated with a Transforming Region of E1A
Contains c-MYC.
AB - The cell-transforming activity of human adenovirus 5 (hAd5) E1A is mediated by
the N-terminal half of E1A, which interacts with three different major cellular
protein complexes, p300/CBP, TRRAP/p400, and pRb family members. Among these
protein interactions, the interaction of pRb family proteins with conserved
region 2 (CR2) of E1A is known to promote cell proliferation by deregulating the
activities of E2F family transcription factors. The functional consequences of
interaction with the other two protein complexes in regulating the transforming
activity of E1A are not well defined. Here, we report that the E1A N-terminal
region also interacted with the cellular proto-oncoprotein c-MYC and the homolog
of enhancer of yellow 2 (ENY2). Our results suggested that these proteins
interacted with an essential E1A transforming domain spanning amino acid residues
26 to 35 which also interacted with TRRAP and p400. Small interfering RNA (siRNA)
mediated depletion of TRRAP reduced c-MYC interaction with E1A, while p400
depletion did not. In contrast, depletion of TRRAP enhanced ENY2 interaction with
E1A, suggesting that ENY2 and TRRAP may interact with E1A in a competitive
manner. The same E1A region additionally interacted with the constituents of a
deubiquitinase complex consisting of USP22, ATXN7, and ATXN7L3 via TRRAP. Acute
short hairpin RNA (shRNA)-mediated depletion of c-MYC reduced the E1A
transforming activity, while depletion of ENY2 and MAX did not. These results
suggested that the association of c-MYC with E1A may, at least partially, play a
role in the E1A transformation activity, independently of MAX. IMPORTANCE: The
transforming region of adenovirus E1A consists of three short modules which
complex with different cellular protein complexes. The mechanism by which one of
the transforming modules, CR2, promotes cell proliferation, through inactivating
the activities of the pRb family proteins, is better understood than the
activities of the other domains. Our analysis of the E1A proteome revealed the
presence of the proto-oncoprotein c-MYC and of ENY2. We mapped these interactions
to a critical transforming module of E1A that was previously known to interact
with the scaffolding molecule TRRAP and the E1A-binding protein p400. We showed
that c-MYC interacted with E1A through TRRAP, while ENY2 interacted with it
independently. The data reported here indicated that depletion of c-MYC in normal
human cells reduced the transforming activity of E1A. Our result raises a novel
paradigm in oncogenic transformation by a DNA viral oncogene, the E1A gene, that
may exploit the activity of a cellular oncogene, the c-MYC gene, in addition to
inactivation of the tumor suppressors, such as pRb.
PMID- 26559832
TI - Herpes Simplex Virus 1 (HSV-1) and HSV-2 Mediate Species-Specific Modulations of
Programmed Necrosis through the Viral Ribonucleotide Reductase Large Subunit R1.
AB - Receptor-interacting protein kinase 3 (RIP3) and its substrate mixed-lineage
kinase domain-like protein (MLKL) are core regulators of programmed necrosis. The
elimination of pathogen-infected cells by programmed necrosis acts as an
important host defense mechanism. Here, we report that human herpes simplex virus
1 (HSV-1) and HSV-2 had opposite impacts on programmed necrosis in human cells
versus their impacts in mouse cells. Similar to HSV-1, HSV-2 infection triggered
programmed necrosis in mouse cells. However, neither HSV-1 nor HSV-2 infection
was able to induce programmed necrosis in human cells. Moreover, HSV-1 or HSV-2
infection in human cells blocked tumor necrosis factor (TNF)-induced necrosis by
preventing the induction of an RIP1/RIP3 necrosome. The HSV ribonucleotide
reductase large subunit R1 was sufficient to suppress TNF-induced necrosis, and
its RIP homotypic interaction motif (RHIM) domain was required to disrupt the
RIP1/RIP3 complex in human cells. Therefore, this study provides evidence that
HSV has likely evolved strategies to evade the host defense mechanism of
programmed necrosis in human cells. IMPORTANCE: This study demonstrated that
infection with HSV-1 and HSV-2 blocked TNF-induced necrosis in human cells while
these viruses directly activated programmed necrosis in mouse cells. Expression
of HSV R1 suppressed TNF-induced necrosis of human cells. The RHIM domain of R1
was essential for its association with human RIP3 and RIP1, leading to disruption
of the RIP1/RIP3 complex. This study provides new insights into the species
specific modulation of programmed necrosis by HSV.
PMID- 26559833
TI - Minor Contribution of Chimeric Host-HIV Readthrough Transcripts to the Level of
HIV Cell-Associated gag RNA.
AB - Cell-associated HIV unspliced RNA is an important marker of the viral reservoir.
HIV gag RNA-specific assays are frequently used to monitor reservoir activation.
Because HIV preferentially integrates into actively transcribed genes, some of
the transcripts detected by these assays may not represent genuine HIV RNA but
rather chimeric host-HIV readthrough transcripts. Here, we demonstrate that in
HIV-infected patients on suppressive combination antiretroviral therapy, such
host-derived transcripts do not significantly contribute to the HIV gag RNA
level.
PMID- 26559834
TI - Sequential Infection in Ferrets with Antigenically Distinct Seasonal H1N1
Influenza Viruses Boosts Hemagglutinin Stalk-Specific Antibodies.
AB - Broadly reactive antibodies targeting the conserved hemagglutinin (HA) stalk
region are elicited following sequential infection or vaccination with influenza
viruses belonging to divergent subtypes and/or expressing antigenically distinct
HA globular head domains. Here, we demonstrate, through the use of novel chimeric
HA proteins and competitive binding assays, that sequential infection of ferrets
with antigenically distinct seasonal H1N1 (sH1N1) influenza virus isolates
induced an HA stalk-specific antibody response. Additionally, stalk-specific
antibody titers were boosted following sequential infection with antigenically
distinct sH1N1 isolates in spite of preexisting, cross-reactive, HA-specific
antibody titers. Despite a decline in stalk-specific serum antibody titers,
sequential sH1N1 influenza virus-infected ferrets were protected from challenge
with a novel H1N1 influenza virus (A/California/07/2009), and these ferrets
poorly transmitted the virus to naive contacts. Collectively, these findings
indicate that HA stalk-specific antibodies are commonly elicited in ferrets
following sequential infection with antigenically distinct sH1N1 influenza virus
isolates lacking HA receptor-binding site cross-reactivity and can protect
ferrets against a pathogenic novel H1N1 virus. IMPORTANCE: The influenza virus
hemagglutinin (HA) is a major target of the humoral immune response following
infection and/or seasonal vaccination. While antibodies targeting the receptor
binding pocket of HA possess strong neutralization capacities, these antibodies
are largely strain specific and do not confer protection against antigenic drift
variant or novel HA subtype-expressing viruses. In contrast, antibodies targeting
the conserved stalk region of HA exhibit broader reactivity among viruses within
and among influenza virus subtypes. Here, we show that sequential infection of
ferrets with antigenically distinct seasonal H1N1 influenza viruses boosts the
antibody responses directed at the HA stalk region. Moreover, ferrets possessing
HA stalk-specific antibody were protected against novel H1N1 virus infection and
did not transmit the virus to naive contacts.
PMID- 26559835
TI - HIV-1 Virion Production from Single Inducible Proviruses following T-Cell
Activation Ex Vivo.
AB - Quantifying induced virion production from single proviruses is important for
assessing the effects of HIV-1 latency reversal agents. Limiting dilution ex vivo
cultures of resting CD4(+) T cells from 14 HIV-positive volunteers revealed that
virion production after T-cell activation from individual proviruses varies by
10,000-fold to 100,000-fold. High-producing proviruses were associated with
increases in cell-associated HIV-1 DNA levels, suggesting that reactivated
proviruses proliferate. Single-cell analyses are needed to investigate
differences in proviral expansion and virus production following latency
reversal.
PMID- 26559836
TI - The C Terminus of the Core beta-Ladder Domain in Japanese Encephalitis Virus
Nonstructural Protein 1 Is Flexible for Accommodation of Heterologous Epitope
Fusion.
AB - NS1 is the only nonstructural protein that enters the lumen of the endoplasmic
reticulum (ER), where NS1 is glycosylated, forms a dimer, and is subsequently
secreted during flavivirus replication as dimers or hexamers, which appear to be
highly immunogenic to the infected host, as protective immunity can be elicited
against homologous flavivirus infections. Here, by using a trans-complementation
assay, we identified the C-terminal end of NS1 derived from Japanese encephalitis
virus (JEV), which was more flexible than other regions in terms of housing
foreign epitopes without a significant impact on virus replication. This mapped
flexible region is located in the conserved tip of the core beta-ladder domain of
the multimeric NS1 structure and is also known to contain certain linear
epitopes, readily triggering specific antibody responses from the host. Despite
becoming attenuated, recombinant JEV with insertion of a neutralizing epitope
derived from enterovirus 71 (EV71) into the C-terminal end of NS1 not only could
be normally released from infected cells, but also induced dual protective
immunity for the host to counteract lethal challenge with either JEV or EV71 in
neonatal mice. These results indicated that the secreted multimeric NS1 of
flaviviruses may serve as a natural protein carrier to render epitopes of
interest more immunogenic in the C terminus of the core beta-ladder domain.
IMPORTANCE: The positive-sense RNA genomes of mosquito-borne flaviviruses appear
to be flexible in terms of accommodating extra insertions of short heterologous
antigens into their virus genes. Here, we illustrate that the newly identified C
terminus of the core beta-ladder domain in NS1 could be readily inserted into
entities such as EV71 epitopes, and the resulting NS1-epitope fusion proteins
appeared to maintain normal virus replication, secretion ability, and multimeric
formation from infected cells. Nonetheless, such an insertion attenuated the
recombinant JEV in mice, despite having retained the brain replication ability
observed in wild-type JEV. Mother dams immunized with recombinant JEV expressing
EV71 epitope-NS1 fused proteins elicited neutralizing antibodies that protected
the newborn mice against lethal EV71 challenge. Together, our results implied a
potential application of JEV NS1 as a viral carrier protein to express a
heterologous epitope to stimulate dual/multiple protective immunity concurrently
against several pathogens.
PMID- 26559837
TI - Human Cytomegalovirus Modulates Expression of Noncanonical Wnt Receptor ROR2 To
Alter Trophoblast Migration.
AB - Maternal primary cytomegalovirus (CMV) infection, reactivation, or reinfection
with a different viral strain may cause fetal injury and adverse pregnancy
outcomes. Increasing evidence indicates that fetal injury results not only from
direct viral cytopathic damage to the CMV-infected fetus but also from indirect
effects through placental infection and dysfunction. CMV alters Wingless (Wnt)
signaling, an essential cellular pathway involved in placentation, as evidenced
by reduced transcription of canonical Wnt target genes and decreased Wnt3a
induced trophoblast migration. Whether CMV affects the noncanonical Wnt signaling
pathway has been unclear. This study demonstrates for the first time that CMV
infection inhibits Wnt5a-stimulated migration of human SGHPL-4 trophoblasts and
that inhibition of the pathway restores normal migration of CMV-infected cells.
Western blot and real-time PCR analyses show increased expression of noncanonical
Wnt receptor ROR2 in CMV-infected trophoblasts. Mimicking the CMV-induced ROR2
protein expression via ectopic expression inhibited Wnt5a-induced trophoblast
migration and reduced T cell-specific factor (TCF)/lymphoid enhancer-binding
factor (LEF)-mediated transcription as measured using luciferase reporter assays.
Gene silencing using small interfering RNA (siRNA) duplexes decreased ROR2
transcript and protein levels. In contrast, proliferation of SGHPL-4
trophoblasts, measured by 3-(4,5-dimethyl-2-thiazolyl)-2,5-diphenyl-2H
tetrazolium bromide (MTT) assay was not affected. The siRNA-mediated
downregulation of ROR2 in trophoblasts rescued CMV-induced reduction in
trophoblast migration. These data suggest a mechanism where CMV alters the
expression of the Wnt receptor ROR2 to alter Wnt5a-mediated signaling and inhibit
trophoblast motility. Inhibition of this mechanism may be a target for
therapeutic intervention for CMV-induced placental damage and consequent fetal
damage in congenital CMV infections. IMPORTANCE: Maternal primary cytomegalovirus
(CMV) infection, reactivation, or reinfection with a different viral strain may
cause fetal injury and adverse pregnancy outcomes. Increasing evidence indicates
that fetal injury results not only from direct viral cytopathic damage to the CMV
infected fetus but also from indirect effects through placental infection and
placental dysfunction. No effective therapy is currently proven to prevent or
treat congenital CMV infection. Understanding the molecular underpinnings of CMV
infection of the placenta is essential for therapeutic innovations and vaccine
design. CMV alters canonical Wingless (Wnt) signaling, an essential cellular
pathway involved in placental development. This study suggests a mechanism in
which CMV alters the expression of noncanonical Wnt receptor ROR2 to alter
motility of placental cells, which has important implications in the pathogenesis
of CMV-induced placental dysfunction. Inhibition of this mechanism may be a
target for therapeutic intervention for CMV-induced placental damage and
consequent fetal damage in congenital CMV infection.
PMID- 26559838
TI - A Cell-Free Assembly System for Generating Infectious Human Papillomavirus 16
Capsids Implicates a Size Discrimination Mechanism for Preferential Viral Genome
Packaging.
AB - We have established a cell-free in vitro system to study human papillomavirus
type 16 (HPV16) assembly, a poorly understood process. L1/L2 capsomers, obtained
from the disassembly of virus-like particles (VLPs), were incubated with nuclear
extracts to provide access to the range of cellular proteins that would be
available during assembly within the host cell. Incorporation of a reporter
plasmid "pseudogenome" was dependent on the presence of both nuclear extract and
ATP. Unexpectedly, L1/L2 VLPs that were not disassembled prior to incubation with
a reassembly mixture containing nuclear extract also encapsidated a reporter
plasmid. As with HPV pseudoviruses (PsV) generated intracellularly, infection by
cell-free particles assembled in vitro required the presence of L2 and was
susceptible to the same biochemical inhibitors, implying the cell-free assembled
particles use the infectious pathway previously described for HPV16 produced in
cell culture. Using biochemical and electron microscopy analyses, we observed
that, in the presence of nuclear extract, intact VLPs partially disassemble,
providing a mechanistic explanation to how the exogenous plasmid was packaged by
these particles. Further, we provide evidence that capsids containing an <8-kb
pseudogenome are resistant to the disassembly/reassembly reaction. Our results
suggest a novel size discrimination mechanism for papillomavirus genome packaging
in which particles undergo iterative rounds of disassembly/reassembly, seemingly
sampling DNA until a suitably sized DNA is encountered, resulting in the
formation of a stable virion structure. IMPORTANCE: Little is known about
papillomavirus assembly biology due to the difficulties in propagating virus in
vitro. The cell-free assembly method established in this paper reveals a new
mechanism for viral genome packaging and will provide a tractable system for
further dissecting papillomavirus assembly. The knowledge gained will increase
our understanding of virus-host interactions, help to identify new targets for
antiviral therapy, and allow for the development of new gene delivery systems
based on in vitro-generated papillomavirus vectors.
PMID- 26559839
TI - Epstein-Barr Virus gp350 Can Functionally Replace the Rhesus Lymphocryptovirus
Major Membrane Glycoprotein and Does Not Restrict Infection of Rhesus Macaques.
AB - Primary Epstein-Barr virus (EBV) infection is the most common cause of infectious
mononucleosis, and persistent infection is associated with multiple cancers. EBV
vaccine development has focused on the major membrane glycoprotein, gp350, since
it is the major target for antibodies that neutralize infection of B cells.
However, EBV has tropism for both B cells and epithelial cells, and it is unknown
whether serum neutralizing antibodies against B cell infection will provide
sufficient protection against virus infection initiated at the oral mucosa. This
could be stringently tested by passive antibody transfer and oral virus challenge
in the rhesus macaque model for EBV infection. However, only neutralizing
monoclonal antibodies (MAbs) against EBV are available, and EBV is unable to
infect rhesus macaques because of a host range restriction with an unknown
mechanism. We cloned the prototypic EBV-neutralizing antibody, 72A1, and found
that recombinant 72A1 did not neutralize rhesus lymphocryptovirus (rhLCV)
infection of macaque B cells. Therefore, we constructed a chimeric rhLCV in which
the native major membrane glycoprotein was replaced with EBV gp350. This chimeric
rhLCV became sensitive to neutralization by the 72A1 MAb, efficiently
immortalized macaque B cells in vitro, and successfully established acute and
persistent infection after oral inoculation of rhesus macaques. Thus, EBV gp350
can functionally replace rhLCV gp350 and does not restrict rhLCV infection in
vitro or in vivo. The chimeric rhLCV enables direct use of an EBV-specific MAb to
investigate the effects of serum neutralizing antibodies against B cell infection
on oral viral challenge in rhesus macaques. IMPORTANCE: This study asked whether
the EBV major membrane glycoprotein could functionally replace the rhLCV major
membrane glycoprotein. We found that an rhLCV humanized with EBV gp350 is capable
of efficiently immortalizing monkey B cells in vitro and reproduces acute and
persistent infection after oral inoculation of macaques. These results advance
our understanding of why EBV cannot infect rhesus macaques by proving that viral
attachment through gp350 is not the mechanism for EBV host range restriction.
Humanization of rhLCV with EBV gp350 also confers susceptibility to a potent EBV
neutralizing MAb and provides a novel and significant enhancement to the rhesus
macaque animal model where both the clinical utility and biological role of
neutralizing MAbs against B cell or epithelial cell infection can now be directly
tested in the most accurate animal model for EBV infection.
PMID- 26559840
TI - Characterization of Recombinant Human Cytomegaloviruses Encoding IE1 Mutants
L174P and 1-382 Reveals that Viral Targeting of PML Bodies Perturbs both
Intrinsic and Innate Immune Responses.
AB - PML is the organizer of cellular structures termed nuclear domain 10 (ND10) or
PML-nuclear bodies (PML-NBs) that act as key mediators of intrinsic immunity
against human cytomegalovirus (HCMV) and other viruses. The antiviral function of
ND10 is antagonized by viral regulatory proteins such as the immediate early
protein IE1 of HCMV. IE1 interacts with PML through its globular core domain
(IE1CORE) and induces ND10 disruption in order to initiate lytic HCMV infection.
Here, we investigate the consequences of a point mutation (L174P) in IE1CORE,
which was shown to abrogate the interaction with PML, for lytic HCMV infection.
We found that a recombinant HCMV encoding IE1-L174P displays a severe growth
defect similar to that of an IE1 deletion virus. Bioinformatic modeling based on
the crystal structure of IE1CORE suggested that insertion of proline into the
highly alpha-helical domain severely affects its structural integrity.
Consistently, L174P mutation abrogates the functionality of IE1CORE and results
in degradation of the IE1 protein during infection. In addition, our data provide
evidence that IE1CORE as expressed by a recombinant HCMV encoding IE1 1-382 not
only is required to antagonize PML-mediated intrinsic immunity but also affects a
recently described function of PML in innate immune signaling. We demonstrate a
coregulatory role of PML in type I and type II interferon-induced gene expression
and provide evidence that upregulation of interferon-induced genes is inhibited
by IE1CORE. In conclusion, our data suggest that targeting PML by viral
regulatory proteins represents a strategy to antagonize both intrinsic and innate
immune mechanisms. IMPORTANCE: PML nuclear bodies (PML-NBs), which represent
nuclear multiprotein complexes consisting of PML and additional proteins,
represent important cellular structures that mediate intrinsic resistance against
many viruses, including human cytomegalovirus (HCMV). During HCMV infection, the
major immediate early protein IE1 binds to PML via a central globular domain
(IE1CORE), and we have shown previously that this is sufficient to antagonize
intrinsic immunity. Here, we demonstrate that modification of PML by IE1CORE not
only abrogates intrinsic defense mechanisms but also attenuates the interferon
response during infection. Our data show that PML plays a novel coregulatory role
in type I as well as type II interferon-induced gene expression, which is
antagonized by IE1CORE. Importantly, our finding supports the view that targeting
of PML-NBs by viral regulatory proteins has evolved as a strategy to inhibit both
intrinsic and innate immune defense mechanisms.
PMID- 26559841
TI - Population-Level Immune-Mediated Adaptation in HIV-1 Polymerase during the North
American Epidemic.
AB - Human leukocyte antigen (HLA) class I-associated polymorphisms in HIV-1 that
persist upon transmission to HLA-mismatched hosts may spread in the population as
the epidemic progresses. Transmission of HIV-1 sequences containing such
adaptations may undermine cellular immune responses to the incoming virus in
future hosts. Building upon previous work, we investigated the extent of HLA
associated polymorphism accumulation in HIV-1 polymerase (Pol) through
comparative analysis of linked HIV-1/HLA class I genotypes sampled during
historic (1979 to 1989; n = 338) and modern (2001 to 2011; n = 278) eras from
across North America (Vancouver, BC, Canada; Boston, MA; New York, NY; and San
Francisco, CA). Phylogenies inferred from historic and modern HIV-1 Pol sequences
were star-like in shape, with an inferred most recent common ancestor (epidemic
founder virus) sequence nearly identical to the modern North American subtype B
consensus sequence. Nevertheless, modern HIV-1 Pol sequences exhibited roughly 2
fold-higher patristic (tip-to-tip) genetic distances than historic sequences,
with HLA pressures likely driving ongoing diversification. Moreover, the
frequencies of published HLA-associated polymorphisms in individuals lacking the
selecting HLA class I allele was on average ~2.5-fold higher in the modern than
in the historic era, supporting their spread in circulation, though some remained
stable in frequency during this time. Notably, polymorphisms restricted by
protective HLA alleles appear to be spreading to a greater relative extent than
others, though these increases are generally of modest absolute magnitude.
However, despite evidence of polymorphism spread, North American hosts generally
remain at relatively low risk of acquiring an HIV-1 polymerase sequence
substantially preadapted to their HLA profiles, even in the present era.
IMPORTANCE: HLA class I-restricted cytotoxic T-lymphocyte (CTL) escape mutations
in HIV-1 that persist upon transmission may accumulate in circulation over time,
potentially undermining host antiviral immunity to the transmitted viral strain.
We studied >600 experimentally collected HIV-1 polymerase sequences linked to
host HLA information dating back to 1979, along with phylogenetically
reconstructed HIV-1 sequences dating back to the virus' introduction into North
America. Overall, our results support the gradual spread of many-though not all
HIV-1 polymerase immune escape mutations in circulation over time. This is
consistent with recent observations from other global regions, though the extent
of polymorphism accumulation in North America appears to be lower than in
populations with high seroprevalence, older epidemics, and/or limited HLA
diversity. Importantly, the risk of acquiring an HIV-1 polymerase sequence at
transmission that is substantially preadapted to one's HLA profile remains
relatively low in North America, even in the present era.
PMID- 26559842
TI - Identification and Characterization of the Physiological Gene Targets of the
Essential Lytic Replicative Epstein-Barr Virus SM Protein.
AB - Epstein-Barr virus (EBV) SM protein is an essential lytic cycle protein with
multiple posttranscriptional mechanisms of action. SM binds RNA and increases
accumulation of specific EBV transcripts. Previous studies using microarrays and
PCR have shown that SM-null mutants fail to accumulate several lytic cycle mRNAs
and proteins at wild-type levels. However, the complete effect of SM on the EBV
transcriptome has been incompletely characterized. Here we precisely identify the
effects of SM on all EBV transcripts by high-throughput RNA sequencing,
quantitative PCR (qPCR), and Northern blotting. The effect of SM on EBV mRNAs was
highly skewed and was most evident on 13 late genes, demonstrating why SM is
essential for infectious EBV production. EBV DNA replication was also partially
impaired in SM mutants, suggesting additional roles for SM in EBV DNA
replication. While it has been suggested that SM specificity is based on
recognition of either RNA sequence motifs or other sequence properties, no such
unifying property of SM-responsive targets was discernible. The binding affinity
of mRNAs for SM also did not correlate with SM responsiveness. These data suggest
that while target RNA binding by SM may be required for its effect, specific
activation by SM is due to differences in inherent properties of individual
transcripts. We therefore propose a new model for the mechanism of action and
specificity of SM and its homologs in other herpesviruses: that they bind many
RNAs but only enhance accumulation of those that are intrinsically unstable and
poorly expressed. IMPORTANCE: This study examines the mechanism of action of EBV
SM protein, which is essential for EBV replication and infectious virus
production. Since SM protein is not similar to any cellular protein and has
homologs in all other human herpesviruses, it has potential importance as a
therapeutic target. Here we establish which EBV RNAs are most highly upregulated
by SM, allowing us to understand why it is essential for EBV replication. By
comparing and characterizing these RNA transcripts, we conclude that the
mechanism of specific activity is unlikely to be based simply on preferential
recognition of a target motif. Rather, SM binding to its target RNA may be
necessary but not sufficient for enhancing accumulation of the RNA. Preferential
effects of SM on its most responsive RNA targets may depend on other inherent
characteristics of these specific mRNAs that require SM for efficient expression,
such as RNA stability.
PMID- 26559843
TI - A Comprehensive RNA Sequencing Analysis of the Adeno-Associated Virus (AAV) Type
2 Transcriptome Reveals Novel AAV Transcripts, Splice Variants, and Derived
Proteins.
AB - Adeno-associated virus (AAV) is recognized for its bipartite life cycle with
productive replication dependent on coinfection with adenovirus (Ad) and AAV
latency being established in the absence of a helper virus. The shift from latent
to Ad-dependent AAV replication is mostly regulated at the transcriptional level.
The current AAV transcription map displays highly expressed transcripts as found
upon coinfection with Ad. So far, AAV transcripts have only been characterized on
the plus strand of the AAV single-stranded DNA genome. The AAV minus strand is
assumed not to be transcribed. Here, we apply Illumina-based RNA sequencing (RNA
Seq) to characterize the entire AAV2 transcriptome in the absence or presence of
Ad. We find known and identify novel AAV transcripts, including additional splice
variants, the most abundant of which leads to expression of a novel 18-kDa Rep/VP
fusion protein. Furthermore, we identify for the first time transcription on the
AAV minus strand with clustered reads upstream of the p5 promoter, confirmed by
5' rapid amplification of cDNA ends and RNase protection assays. The p5 promoter
displays considerable activity in both directions, a finding indicative of
divergent transcription. Upon infection with AAV alone, low-level transcription
of both AAV strands is detectable and is strongly stimulated upon coinfection
with Ad. IMPORTANCE: Next-generation sequencing (NGS) allows unbiased genome-wide
analyses of transcription profiles, used here for an in depth analysis of the
AAV2 transcriptome during latency and productive infection. RNA-Seq analysis led
to the discovery of novel AAV transcripts and splice variants, including a
derived, novel 18-kDa Rep/VP fusion protein. Unexpectedly, transcription from the
AAV minus strand was discovered, indicative of divergent transcription from the
p5 promoter. This finding opens the door for novel concepts of the switch between
AAV latency and productive replication. In the absence of a suitable animal model
to study AAV in vivo, combined in cellulae and in silico studies will help to
forward the understanding of the unique, bipartite AAV life cycle.
PMID- 26559844
TI - Occupancy of RNA Polymerase II Phosphorylated on Serine 5 (RNAP S5P) and RNAP S2P
on Varicella-Zoster Virus Genes 9, 51, and 66 Is Independent of Transcript
Abundance and Polymerase Location within the Gene.
AB - Regulation of gene transcription in varicella-zoster virus (VZV), a ubiquitous
human neurotropic alphaherpesvirus, requires coordinated binding of multiple host
and virus proteins onto specific regions of the virus genome. Chromatin
immunoprecipitation (ChIP) is widely used to determine the location of specific
proteins along a genomic region. Since the size range of sheared virus DNA
fragments governs the limit of accurate protein localization, particularly for
compact herpesvirus genomes, we used a quantitative PCR (qPCR)-based assay to
determine the efficiency of VZV DNA shearing before ChIP, after which the assay
was used to determine the relationship between transcript abundance and the
occupancy of phosphorylated RNA polymerase II (RNAP) on the gene promoter, body,
and terminus of VZV genes 9, 51, and 66. The abundance of VZV gene 9, 51, and 66
transcripts in VZV-infected human fetal lung fibroblasts was determined by
reverse transcription-linked quantitative PCR. Our results showed that the C
terminal domain of RNAP is hyperphosphorylated at serine 5 (S5(P)) on VZV genes
9, 51, and 66 independently of transcript abundance and the location within the
virus gene at both 1 and 3 days postinfection (dpi). In contrast, phosphorylated
serine 2 (S2(P))-modified RNAP was not detected at any virus gene location at 3
dpi and was detected at levels only slightly above background levels at 1 dpi.
IMPORTANCE: Regulation of herpesvirus gene transcription is an elaborate
choreography between proteins and DNA that is revealed by chromatin
immunoprecipitation (ChIP). We used a quantitative PCR-based assay to determine
fragment size after DNA shearing, a critical parameter in ChIP assays, and
exposed a basic difference in the mechanism of transcription between mammalian
cells and VZV. We found that hyperphosphorylation at serine 5 of the C-terminal
domain of RNAP along the lengths of VZV genes (the promoter, body, and
transcription termination site) was independent of mRNA abundance. In contrast,
little to no enrichment of serine 3 phosphorylation of RNAP was detected at these
virus gene regions. This is distinct from the findings for RNAP at highly
regulated host genes, where RNAP S5(P) occupancy decreased and S2(P) levels
increased as the polymerase transited through the gene. Overall, these results
suggest that RNAP associates with human and virus transcriptional units through
different mechanisms.
PMID- 26559845
TI - Epstein-Barr Virus (EBV) Tegument Protein BGLF2 Promotes EBV Reactivation through
Activation of the p38 Mitogen-Activated Protein Kinase.
AB - Epstein-Barr virus (EBV) is a ubiquitous gammaherpesvirus associated with both B
cell and epithelial cell malignancies. EBV infection of B cells triggers
activation of several signaling pathways that are critical for cell survival,
virus latency, and growth transformation. To identify EBV proteins important for
regulating cell signaling, we used a proteomic approach to screen viral proteins
for AP-1 and NF-kappaB promoter activity in AP-1- and NF-kappaB-luciferase
reporter assays. We found that EBV BGLF2 activated AP-1 but not NF-kappaB
reporter activity. Expression of EBV BGLF2 in cells activated p38 and c-Jun N
terminal kinase (JNK), both of which are important for mitogen-activated protein
kinase (MAPK) signaling. Deletion of the carboxyl-terminal 66 amino acids of
BGLF2 reduced the ability of BGLF2 to activate JNK and p38. Expression of BGLF2
enhanced BZLF1 expression in latently EBV-infected lymphoblastoid cell lines, and
knockdown of BGLF2 reduced EBV reactivation induced by IgG cross-linking.
Expression of BGLF2 induced BZLF1 expression and virus production in EBV-infected
gastric carcinoma cells. BGLF2 enhanced BZLF1 expression and EBV production by
activating p38; chemical inhibition of p38 and MAPK/ERK kinases 1 and 2 (MEK1/2)
reduced expression of BZLF1 and virus production induced by BGLF2. In summary,
the EBV tegument protein BGLF2, which is delivered to the cell at the onset of
virus infection, activates the AP-1 pathway and enhances EBV reactivation and
virus production. IMPORTANCE: Epstein-Barr virus (EBV) is associated with both B
cell and epithelial cell malignancies, and the virus activates multiple signaling
pathways important for its persistence in latently infected cells. We identified
a viral tegument protein, BGLF2, which activates members of the mitogen-activated
protein kinase signaling pathway. Expression of BGLF2 increased expression of EBV
BZLF1, which activates a switch from latent to lytic virus infection, and
increased production of EBV. Inhibition of BGFL2 expression or inhibition of
p38/MAPK, which is activated by BGLF2, reduced virus reactivation from latency.
These results indicate that a viral tegument protein which is delivered to cells
upon infection activates signaling pathways to enhance virus production and
facilitate virus reactivation from latency.
PMID- 26559847
TI - Human Herpesvirus 6A U14 Is Important for Virus Maturation.
AB - Human herpesvirus 6A (HHV-6A) U14 is a virion protein with little known function
in virus propagation. Here, we elucidated its function by constructing and
analyzing U14-mutated viruses. We found that U14 is essential for HHV-6A
propagation. We then constructed a mutant virus harboring dysfunctional U14. This
virus showed severely reduced growth and retarded maturation. Taken together,
these data indicate that U14 plays an important role during HHV-6A maturation.
PMID- 26559846
TI - A Herpes Simplex Virus 2 (HSV-2) gD Mutant Impaired for Neural Tropism Is
Superior to an HSV-2 gD Subunit Vaccine To Protect Animals from Challenge with
HSV-2.
AB - A recent phase 3 trial with soluble herpes simplex virus 2 (HSV-2) glycoprotein D
(gD2t) in adjuvant failed to show protection against genital herpes. We
postulated that live attenuated HSV-2 would provide more HSV antigens for
induction of virus-specific antibodies and cellular immunity than would gD2t. We
previously reported an HSV-2 mutant, HSV2-gD27, in which the nectin-1 binding
domain of gD2 is altered so that the virus is impaired for infecting neural
cells, but not epithelial cells, in vitro and is impaired for infecting dorsal
root ganglia in mice (K. Wang, J. D. Kappel, C. Canders, W. F. Davila, D. Sayre,
M. Chavez, L. Pesnicak, and J. I. Cohen, J Virol 86:12891-12902, 2012,
doi:10.1128/JVI.01055-12). Here we report that the mutations in HSV2-gD27 were
stable when the virus was passaged in cell culture and during acute infection of
mice. HSV2-gD27 was attenuated in mice when it was inoculated onto the cornea,
intramuscularly (i.m.), intravaginally, and intracranially. Vaccination of mice
i.m. with HSV2-gD27 provided better inhibition of challenge virus replication in
the vagina than when the virus was used to vaccinate mice intranasally or
subcutaneously. Comparison of i.m. vaccinations with HSV2-gD27 versus gD2t in
adjuvant showed that HSV2-gD27 induced larger reductions of challenge virus
replication in the vagina and reduced latent viral loads in dorsal root ganglia
but induced lower serum neutralizing antibody titers than those obtained with
gD2t in adjuvant. Taken together, our data indicate that a live attenuated HSV-2
vaccine impaired for infection of neurons provides better protection from vaginal
challenge with HSV-2 than that obtained with a subunit vaccine, despite inducing
lower titers of HSV-2 neutralizing antibodies in the serum. IMPORTANCE: Genital
herpes simplex is one of the most prevalent sexually transmitted diseases. Though
HSV-2 disease is usually mild, it can be life threatening in neonates and
immunocompromised persons. In addition, genital herpes increases the frequency of
HIV infection and transmission. HSV-2 maintains a latent infection in sensory
neurons and cannot be cleared with antiviral drugs. The virus frequently
reactivates, resulting in virus shedding in the genital area, which serves as a
source for transmission. A prophylactic vaccine is needed to prevent disease and
to control the spread of the virus. Previous human trials of subunit vaccines
have been unsuccessful. Here we report the results of vaccinating mice with a new
type of live attenuated HSV-2 vaccine that is impaired for infection of neurons
and provides better protection of mice than that obtained with a subunit vaccine.
The strategy of altering the cell tropism of a virus is a new approach for a live
attenuated vaccine.
PMID- 26559849
TI - Retraction of: Hosseinkhani H, et al.; DOI: 10.1089/ten.2006.0120.
PMID- 26559848
TI - Trehalose, an mTOR-Independent Inducer of Autophagy, Inhibits Human
Cytomegalovirus Infection in Multiple Cell Types.
AB - Human cytomegalovirus (HCMV) is the major viral cause of birth defects and a
serious problem in immunocompromised individuals and has been associated with
atherosclerosis. Previous studies have shown that the induction of autophagy can
inhibit the replication of several different types of DNA and RNA viruses. The
goal of the work presented here was to determine whether constitutive activation
of autophagy would also block replication of HCMV. Most prior studies have used
agents that induce autophagy via inhibition of the mTOR pathway. However, since
HCMV infection alters the sensitivity of mTOR kinase-containing complexes to
inhibitors, we sought an alternative method of inducing autophagy. We chose to
use trehalose, a nontoxic naturally occurring disaccharide that is found in
plants, insects, microorganisms, and invertebrates but not in mammals and that
induces autophagy by an mTOR-independent mechanism. Given the many different cell
targets of HCMV, we proceeded to determine whether trehalose would inhibit HCMV
infection in human fibroblasts, aortic artery endothelial cells, and neural cells
derived from human embryonic stem cells. We found that in all of these cell
types, trehalose induces autophagy and inhibits HCMV gene expression and
production of cell-free virus. Treatment of HCMV-infected neural cells with
trehalose also inhibited production of cell-associated virus and partially
blocked the reduction in neurite growth and cytomegaly. These results suggest
that activation of autophagy by the natural sugar trehalose or other safe mTOR
independent agents might provide a novel therapeutic approach for treating HCMV
disease. IMPORTANCE: HCMV infects multiple cell types in vivo, establishes
lifelong persistence in the host, and can cause serious health problems for
fetuses and immunocompromised individuals. HCMV, like all other persistent
pathogens, has to finely tune its interplay with the host cellular machinery to
replicate efficiently and evade detection by the immune system. In this study, we
investigated whether modulation of autophagy, a host pathway necessary for the
recycling of nutrients and removal of protein aggregates, misfolded proteins, and
pathogens, could be used to target HCMV. We found that autophagy could be
significantly increased by treatment with the nontoxic, natural disaccharide
trehalose. Importantly, trehalose had a profound inhibitory effect on viral gene
expression and strongly impaired viral spread. These data constitute a proof-of
concept for the use of natural products targeting host pathways rather than the
virus itself, thus reducing the risk of the development of resistance to
treatment.
PMID- 26559851
TI - Association between various anthropometric measures of obesity and markers of
subclinical atherosclerosis.
AB - Central obesity is a known cardiovascular risk factor and measures of visceral
obesity are known to predict atherosclerosis. This study sought to explore the
association between various anthropometric measures and markers of subclinical
atherosclerosis (MoSCA) among low risk healthy individuals. Multi-Ethnic Study of
Atherosclerosis (MESA) is a population-based study of Caucasian (38%), Afro
American (28%), Chinese (22%) and Hispanic (12%) subjects, aged 45-84 years, free
from clinical cardiovascular disease. We performed a post hoc analysis of the
limited access dataset of MESA subjects to evaluate the association between
carotid intima media thickness and coronary artery calcium score (CACS), as MoSCA
and various measures of obesity. Multivariable regression analyses adjusted for
traditional cardiovascular risk factors, ethnicity and C-reactive protein were
performed. Each unit increase in waist-hip ratio was strongly associated with
increase in both common and internal carotid intima media thickness (beta: 0.12,
95% confidence interval (CI): 0.06 to 0.18, p < 0.001 and beta: 0.23, 95% CI:
0.03 to 0.43, p = 0.021, respectively). Measures of central obesity were superior
to body mass index as demonstrated by their consistent association with each
category of CACS when compared to the reference category (CACS = 0). Compared to
body mass index, measures of visceral obesity were significantly associated with
MoSCA in this multiethnic healthy population. Waist-hip ratio seems to be more
consistent in its association with various MoSCA compared to other anthropometric
measures.
PMID- 26559850
TI - Simvastatin ameliorates experimental autoimmune encephalomyelitis by inhibiting
Th1/Th17 response and cellular infiltration.
AB - AIM: Experimental autoimmune encephalomyelitis (EAE) is a CD4(+)-mediated
autoimmune pathology of the central nervous system (CNS) that is used as a model
for the study of the human neuroinflammatory disease, multiple sclerosis. During
the development of EAE, auto-reactive Th1 and Th17 CD4(+) T cells infiltrate the
CNS promoting inflammatory cells recruitment, focal inflammation and tissue
destruction. In this sense, statins, agents used to lower lipid levels, have
recently shown to exert interesting immunomodulatory function. In fact, statins
promote a bias towards a Th2 response, which ameliorates the clinical outcome of
EAE. Additionally, simvastatin can inhibit Th17 differentiation. However, many
other effects exerted on the immune system by statins have yet to be clarified,
in particular during neuroinflammation. Thus, the aim of this study was to
investigate the effects of simvastatin on the development of experimental
autoimmune encephalomyelitis. METHODS: Mice were immunized with MOG(35-55) and
EAE severity was assessed daily and scored using a clinical scale. Cytokine
secretion by mononuclear cells infiltrating the CNS was evaluated by flow
cytometry. RESULTS: Simvastatin (5 mg/kg/day) improved clinical outcome, induced
an increase in TGF-beta mRNA expression and inhibited IL-6, IL-12p40, IL-12p70,
RANTES and MIP-1beta secretion (p < 0.05). This was accompanied by a significant
decrease in CNS inflammatory mononuclear cell infiltration, with reduced
frequencies of both Th1 and Th17 cells. Simvastatin inhibited the proliferation
of T lymphocytes co-cultured with primary microglial cells. CONCLUSIONS:
Simvastatin treatment promotes EAE clinical amelioration by inhibiting T cell
proliferation and CNS infiltration by pathogenic Th1 and Th17 cells.
PMID- 26559852
TI - Current and developing strategies for monitoring and reversing direct oral
anticoagulants in patients with non-valvular atrial fibrillation.
AB - OBJECTIVE: In light of the increasing clinical utilization of the direct oral
anticoagulants (DOACs) among patients with non-valvular atrial fibrillation, this
review evaluates strategies for monitoring and reversing the anticoagulant effect
of these agents. METHODS: We summarize the data currently available for
laboratory monitoring and reversal of DOACs. Relevant literature was identified
using search terms pertaining to oral anticoagulants, reversal agents, and
laboratory monitoring using Pubmed, clinicaltrials.gov, and abstracts from recent
major cardiovascular meetings. RESULTS: Significant user appeal for the DOACs
stems from the reliable pharmacokinetics of these agents, which render routine
laboratory monitoring unnecessary for general use, as well as lower rates of
bleeding as compared to warfarin. However, readily available laboratory tests
have not been clinically validated for use with these agents. The ability to
measure the anticoagulant effect of a DOAC in selected situations (e.g. serious
bleeding, overanticoagulation, emergent procedures, and compliance monitoring)
remains an unmet clinical need. Further, there is a paucity of data to guide
treatment in patients receiving DOACs who experience a serious hemorrhage.
CONCLUSION: While evidence-based recommendations cannot be definitively provided
for management of DOAC-related bleeding events at present, several targeted
reversal agents are currently in development, and hold promise for solving this
important clinical problem.
PMID- 26559853
TI - The Effect of Sleep Deprivation on Cardiac Function and Tolerance to Ischemia
Reperfusion Injury in Male Rats.
AB - BACKGROUND: Sleep deprivation (SD) is strongly associated with elevated risk for
cardiovascular disease. OBJECTIVE: To determine the effect of SD on basal
hemodynamic functions and tolerance to myocardial ischemia-reperfusion (IR)
injury in male rats. METHOD: SD was induced by using the flowerpot method for 4
days. Isolated hearts were perfused with Langendorff setup, and the following
parameters were measured at baseline and after IR: left ventricular developed
pressure (LVDP); heart rate (HR); and the maximum rate of increase and decrease
of left ventricular pressure (+/- dp/dt). Heart NOx level, infarct size and
coronary flow CK-MB and LDH were measured after IR. Systolic blood pressure (SBP)
was measured at start and end of study. RESULTS: In the SD group, the baseline
levels of LVDP (19%), +dp/dt (18%), and -dp/dt (21%) were significantly (p <
0.05) lower, and HR (32%) was significantly higher compared to the controls.
After ischemia, hearts from SD group displayed a significant increase in HR
together with a low hemodynamic function recovery compared to the controls. In
the SD group, NOx level in heart, coronary flow CK-MB and LDH and infarct size
significantly increased after IR; also SD rats had higher SBP after 4 days.
CONCLUSION: Hearts from SD rats had lower basal cardiac function and less
tolerance to IR injury, which may be linked to an increase in NO production
following IR.
PMID- 26559855
TI - Genetic and Environmental Effects on the Abdominal Aortic Diameter Development.
AB - BACKGROUND: Configuration of the abdominal aorta is related to healthy aging and
a variety of disorders. OBJECTIVES: We aimed to assess heritable and
environmental effects on the abdominal aortic diameter. METHODS: 114 adult (69
monozygotic, 45 same-sex dizygotic) twin pairs (mean age 43.6 +/- 16.3 years)
underwent abdominal ultrasound with Esaote MyLab 70X ultrasound machine to
visualize the abdominal aorta below the level of the origin of the renal arteries
and 1-3 cm above the bifurcation. RESULTS: Age- and sex-adjusted heritability of
the abdominal aortic diameter below the level of the origin of the renal arteries
was 40% [95% confidence interval (CI), 14 to 67%] and 55% above the aortic
bifurcation (95% CI, 45 to 70%). None of the aortic diameters showed common
environmental effects, but unshared environmental effects were responsible for
60% and 45% of the traits, respectively. CONCLUSIONS: Our analysis documents the
moderate heritability and its segment-specific difference of the abdominal aortic
diameter. The moderate part of variance was explained by unshared environmental
components, emphasizing the importance of lifestyle factors in primary
prevention. Further studies in this field may guide future gene-mapping efforts
and investigate specific lifestyle factors to prevent abdominal aortic dilatation
and its complications.
PMID- 26559854
TI - Pulmonary Embolism Mortality in Brazil from 1989 to 2010: Gender and Regional
Disparities.
AB - BACKGROUND: A significant variation in pulmonary embolism (PE) mortality trends
have been documented around the world. We investigated the trends in mortality
rate from PE in Brazil over a period of 21 years and its regional and gender
differences. METHODS: Using a nationwide database of death certificate
information we searched for all cases with PE as the underlying cause of death
between 1989 and 2010. Population data were obtained from the Brazilian Institute
of Geography and Statistics (IBGE). We calculated age-, gender- and region
specific mortality rates for each year, using the 2000 Brazilian population for
direct standardization. RESULTS: Over 21 years the age-standardized mortality
rate (ASMR) fell 31% from 3.04/100,000 to 2.09/100,000. In every year between
1989 and 2010, the ASMR was higher in women than in men, but both showed a
significant declining trend, from 3.10/100,000 to 2.36/100,000 and from
2.94/100,000 to 1.80/100,000, respectively. Although all country regions showed a
decline in their ASMR, the largest fall in death rates was concentrated in the
highest income regions of the South and Southeast Brazil. The North and Northeast
regions, the lowest income areas, showed a less marked fall in death rates and no
distinct change in the PE mortality rate in women. CONCLUSIONS: Our study showed
a reduction in the PE mortality rate over two decades in Brazil. However,
significant variation in this trend was observed amongst the five country regions
and between genders, pointing to possible disparities in health care access and
quality in these groups.
PMID- 26559856
TI - Relating HIV testing patterns in Poland to risky and protective behaviour.
AB - The aim of the study was to understand HIV testing patterns needed to improve
access to early HIV diagnosis, and to investigate the spread of the virus in
different populations. We examined prior testing history of individuals
presenting for an HIV test across all 30 voluntary testing and counselling sites
in Poland, 2008-2010 to determine factors associated with the testing rate using
zero-truncated Poisson regression. Of 2397 persons presenting for an HIV test, 25
(1%) were HIV positive and 470 (19.6%) were repeat testers. The proportion of
repeat testers was higher among men who have sex with men (MSM) at 37% (90/246),
and people who inject drugs (PWID) at 32% (21/65). Higher testing rate was
independently associated with exposure category (testing rate ratio, RR for MSM =
2.0, 95% CI 1.6-2.6, and 1.6, 0.9-2.6 for PWID), >5 sex partners (1.9, 1.4-2.7),
high-risk partner (1.3, 1.1-1.6), urban residence (2.1, 1.3-3.5) and higher
education attainment (1.1, 1.0-1.5). Inconsistent condom use with casual partners
and sex under the influence of alcohol were associated with lower testing rates.
There is a need to increase HIV testing uptake in Poland, especially among the
rural population. Despite testing rates being higher among populations with
higher risk of exposure to HIV (MSM and PWID), they still remain low, indicating
the existence of barriers to testing.
PMID- 26559857
TI - Stimulation of the Antioxidative and Antimicrobial Potential of the Blood Red
Bracket Mushroom Pycnoporus sanguineus (Higher Basidiomycetes).
AB - The antioxidative and antibacterial properties of low-molecular-weight secondary
metabolite subfractions (ex-LMS) from cultures of Pycnoporus sanguineus
cultivated under different temperature conditions (25 degrees C [ex-LMSa] and 30
degrees C [ex-LMSb]) were assessed. The antioxidative properties were studied
using chemiluminometric measurement, an ABTS assay, and a DPPH reduction rate
assay with Trolox and ascorbic acid as the control. The values noted for the ex
LMSb were significantly higher than those for ex-LMSa: 97%, 52%, and 31% for
chemiluminometric measurement, the ABTS assay, and the DPPH assay, respectively,
at a concentration of 50 ug/mL. Half-maximal effective concentrations reached
4.17 ug/mL for chemiluminometric measurement, 47.25 ug/mL for the ABTS assay, and
51.46 ug/mL for DPPH assay. Toxicity tests against Vibrio fischeri yielded 99.8%
for ex-LMSa and 99.85% for ex-LMSb. Antibacterial activity toward Staphylococcus
aureus was observed in the ex-LMSb fractions (inhibition zone, 23.5 mm; minimum
inhibitory concentration, 0.12 mg/mL). Scanning electron microscopy images
exhibited severe disruption of the bacterial cells treated with ex-LMSb compared
with the control. The results obtained suggest that the extracellular fluid
isolated from P. sanguineus-submerged cultures might be a good source of
antioxidative and antibacterial compounds. In addition, the increase in the
culture temperature evidently enhanced the bioactive properties of the
preparation.
PMID- 26559858
TI - Significant Correlation between TLR2 Agonist Activity and TNF-alpha Induction in
J774.A1 Macrophage Cells by Different Medicinal Mushroom Products.
AB - In the US market, there is a variety of mushroom preparations available, even
within the same species of mushroom. Nonetheless, little is known about whether
species or the various extraction methods affect biological activity and potency
of the immune modulatory activity of mushroom extracts. After discovering that
protein-bound polysaccharide-K, a hot water extract from Trametes versicolor, was
a potent Toll-like receptor (TLR)-2 agonist that stimulates both innate and
adaptive immunity, this study was initiated to evaluate whether other medicinal
mushroom products also have TLR2 agonist activity and immune-enhancing potential
as measured by the induction of tumor necrosis factor (TNF)-alpha in J774.A1
murine macrophage cells. Furthermore, the products were divided by extraction
method and species to determine whether these factors affect their
immunomodulatory activity. The results showed that the majority (75%) of mushroom
products tested had TLR2 agonist activity and that there was a significant
correlation between TLR2 agonist activity and TNF-alpha induction potential in
the mushroom products analyzed. In addition, the data demonstrated that hot water
mushroom extracts are more potent than ground mushroom products in activating
TLR2 and inducing TNF-alpha. These data provide evidence that extraction methods
may affect the biological activity of mushroom products; thus, further studies
are warranted to investigate the structural differences between various mushroom
products.
PMID- 26559859
TI - Hypolipidemic and Hepatic Steatosis Preventing Activities of the Wood Ear
Medicinal Mushroom Auricularia auricula-judae (Higher Basidiomycetes) Ethanol
Extract In Vivo and In Vitro.
AB - Obesity, a rapidly growing threat to human health worldwide, is responsible for a
large proportion of the total burden of disease. Therefore, obesity control could
be a vital scheme to prevent many diseases. The aim of this study was to examine
the activities and mechanism of Auricularia auricula-judae 70% ethanol extract
(AAE) in preventing hypolipidemic and hepatic steatosis. A normal diet (ND) and a
high-fat diet (HFD) with or without 0.1% (w/w), 0.3% (w/w), and 1% (w/w) AAE were
given to male C57BL/6 mice. Plasma lipids and liver enzymes were measured and
tissue sections of liver were examined. Further mechanistic studies of mouse 3T3
L1 adipocytes were performed in vitro by verifying triglyceride, glycerol, and
glycerol-3-phosphate dehydrogenase activity and messenger RNA expression of
adipogenic and lipogenic genes using reverse transcriptase polymerase chain
reaction amplification. Body weight and adipose tissue mass were significantly
reduced in mice fed an ND and a HFD plus AAE compared with mice fed an HFD. In
AAE-supplemented groups, plasma lipids and liver enzymes decreased dose
dependently. AAE suppressed the expression of adipogenic/lipogenic genes
(PPARgamma, C/EBPalpha, FAS) in 3T3-L1 cells without cytotoxicity. These findings
suggest that AAE may reduce the risk of hepatic steatosis by modulating plasma
lipids via the regulation of adipogenic/lipogenic transcriptional factors. AAE
may have interesting applications to improve plasma lipids and liver enzymes.
PMID- 26559860
TI - Chemical Composition and Medicinal Value of the New Ganoderma tsugae var.
jannieae CBS-120304 Medicinal Higher Basidiomycete Mushroom.
AB - In this research, the chemical composition and anticancer and antioxidant
activity of the new medicinal mushroom Ganoderma tsugae var. jannieae CBS-120304
were evaluated. The chemical composition assay includes amounts of total
carbohydrates and proteins, amino acids, fatty acids, micro- and macroelements,
and vitamins. The investigated medicinal mushroom seemed to be a rich source of
nutritional components. Mycelium accumulated more than 2-fold more total protein
compared with the fruiting body and reached 37% and 16% of dry weight,
respectively. Carbohydrate content in the fruiting body seemed to be
conspicuously higher than in the mycelium (50% of dry weight) and reached 80% of
dry weight. Quantification of the identified fatty acids indicated that, in
general, palmitic acid, oleic acid, and linoleic acid were the major fatty acids.
Toxic elements, such as silver, arsenic, cadmium, and mercury, were found only in
trace amounts in mycelium and were not detected in the fruiting body.
Furthermore, the 1,1-diphenyl-2-picrylhydrazyl free radical scavenging assay was
used to evaluate antioxidant activity. The highest radical scavenging activity
was 9.0 mg/mL (65.9%) by ethanol extract. In addition, mycelial extracts were
tested to inhibit MCF7 breast cancer cells. Ganoderma tsugae var. jannieae ethyl
acetate extract (GTEAE) extract showed high potential by inhibiting reporter
activity by more than 70%. Results demonstrated that GTEAE had a strong effect on
inhibitory protein kappaBetaalpha level in the higher concentration used (200
gg/mL), which could be compared with the effect of parthenolide. Furthermore,
GTEAE demonstrated strong inhibition of IkappaBetaalpha phosphorylation.
PMID- 26559861
TI - Submerged Cultivation of Mycelium with High Ergothioneine Content from the
Culinary-Medicinal Golden Oyster Mushroom, Pleurotus citrinopileatus (Higher
Basidiomycetes).
AB - The optimization of submerged culture of the culinary-medicinal golden oyster
mushroom, Pleurotus citrinopileatus, was studied using a one-factor-at-a-time,
two-stage stimulation and central composite rotatable design to produce mycelia
with high ergothioneine content. The optimal culture conditions for mycelia
harvested at day 22 were a temperature of 25 degrees C, an inoculation ratio of
5%, 2% glucose, 0.5% yeast extract, and adjustment of the initial pH value to 10.
The biomass and ergothioneine content were 8.28 g/L and 10.65 mg/g dry weight
(dw), respectively. The addition of an amino acid precursor increased the
ergothioneine content of mycelia; cysteine was the most effective. In addition,
the results obtained from central composite rotatable design showed that the
recommended combination for cysteine, histidine, and methionine was 8, 4, and 0.5
mmol/L, respectively. The predicted ergothioneine content was 13.90 mg/g dw,
whereas the experimental maximal ergothioneine content was 14.57 mg/g dw. With
the addition of complex precursors and under optimal culture conditions, mycelia
harvested at days 16-20 had higher ergothioneine content. Accordingly, the
information obtained could be used to produce mycelia with high ergothioneine
content.
PMID- 26559862
TI - Hot Water Extracts of the Royal Sun Mushroom, Agaricus brasiliensis (Higher
Basidiomycetes), Inhibit Platelet Activation via the P2Y1 Receptor.
AB - Hot water extracts of the medicinal mushroom Agaricus brasiliensis were
investigated for their inhibition of platelet aggregation. The extracts
significantly inhibited human platelet aggregation induced by adenosine 5'
diphosphate (ADP), but not by collagen or thrombin receptor-activating peptide.
The extracts also had a significant inhibitory effect on shape change and
intracellular calcium mobilization induced by ADP via inhibition of ADP binding
to the P2Y1 receptor. In addition, oral administration of the extracts resulted
in prolonged tail bleeding time in mice. The marked antiplatelet activity of the
mushroom extracts involving the P2Y1 receptor suggests their potential
therapeutic use against vascular disorders.
PMID- 26559863
TI - A 90-Day Subchronic Toxicity Study of Submerged Mycelial Culture of Cordyceps
cicadae (Ascomycetes) in Rats.
AB - Cordyceps cicadae is a parasitic fungus that hibernates inside a host (Cicada
flammata Dist.) and then grows its fruiting body on the surface of the insect.
The complete insect/fungus combination of C. cicadae has been widely applied in
Chinese traditional medicine. Recent studies have demonstrated that the medicinal
benefits of cultured mycelia are as effective as those found in the wild.
However, toxicological information regarding the chronic consumption of C.
cicadae mycelia culture is not available. This study was conducted to evaluate
the possible toxicity arising from repeated exposure to freeze-dried submerged
mycelial culture of C. cicadae for 90 days. A total of eighty 8-week-old Sprague
Dawley rats were divided into 4 groups (10 males and 10 females in each group).
C. cicadae was administered daily to animals by gavage at doses of 0, 500, 1000,
and 2000 mg/kg body weight for 90 days. No animal deaths occurred and no
treatment-related clinical signs were observed during the study period. No
statistical differences in body weight gain, relative organ weight, hematology,
serum chemistry, and urinalysis were observed. Gross necropsy and
histopathological findings indicated that there was no treatment-related
abnormality. Based on the results, the no observed adverse effect level of C.
cicadae whole broth is determined to be > 2000 mg/kg for male and female Sprague
Dawley rats. The results of this study provides support for the use of C. cicadae
fermentation product as a safe agent in functional food.
PMID- 26559864
TI - Bioinformatic Identification of Potential MicroRNAs and Their Targets in the
Lingzhi or Reishi Medicinal Mushroom Ganoderma lucidum (Higher Basidiomycetes).
AB - MicroRNAs (miRNAs) are a class of small, endogenous, noncoding RNA molecules that
negatively regulate gene expression at the transcriptional or the post
transcriptional level. Although a large number of miRNAs have been identified in
many species, especially model plants and animals, miRNAs in fungi remain largely
unknown. In this study, based on a database of expressed sequence tags in
Ganoderma lucidum, 89 potential miRNAs were identified using computational
methods. Real-time polymerase chain reaction analysis of miRNA-like samples
prepared from G. lucidum at different development stages revealed that miRNA-like
RNAs were differentially expressed in different stages. Furthermore, a total of
28 potential targets were found based on near-perfect or perfect complementarity
between the randomly selected 9 miRNA-like RNAs and the target sequences, and
potential targets for G. lucidum miRNA-like RNAs were predicted. Finally, we
studied the expression pattern of 4 target genes in 3 different development
stages of G. lucidum to further understand the mechanism of interaction between
miRNA-like RNAs and their target genes. Our analysis paves the way toward
identifying fungal miRNA-like RNAs that might be involved in various
physiological and cellular differentiation processes.
PMID- 26559865
TI - Familial Waldenstrom's macroglobulinemia and relation to immune defects,
autoimmune diseases, and haematological malignancies--A population-based study
from northern Sweden.
AB - BACKGROUND: Waldenstrom's macroglobulinemia (WM) is a rare lymphoprolipherative
disorder with geographic and ethnic disparities in incidence. The cause of WM
remains mostly unknown although a role for genetic, immune-related, and
environmental factors has been suggested. Most cases of WM are sporadic although
familial cases occur. AIM: This study estimated the incidence of WM in northern
Sweden and identified and described patients with familial WM in this area.
PATIENTS AND METHODS: The Swedish and Northern Lymphoma Registry, the Swedish
Cancer Registry (1997-2011), and medical records were used to identify patients
with WM in two counties (Norrbotten and Vasterbotten) in northern Sweden and to
calculate the overall age-adjusted incidence (2000-2012). We identified 12
families with a family history of WM, IgM monoclonal gammophathy (MGUS), and/or
multiple myeloma (MM). RESULTS: In Norrbotten and Vasterbotten, the age-adjusted
incidence of WM/LPL is 1.75 and 1.48 per 100,000 persons per year, respectively
(2000-2012), rates that are higher than the overall incidence of WM/LPL in Sweden
(1.05 per 100,000 persons per year; 2000-2012). Autoimmune diseases and other
haematological malignancies in the medical history (their own or in relatives)
were reported in 9/12 and 5/12 families, respectively. A high proportion of
abnormal serum protein electrophoresis was found in the relatives; 12/56 (21%)
had a MGUS and 13/56 (25%) showed abnormalities in the immunoglobulin levels
(i.e. subnormal levels and poly/oligoclonality). CONCLUSION: The incidence of WM
in Norrbotten and Vasterbotten counties was higher than expected. We found a
strong correlation between autoimmune/inflammatory diseases, other haematological
malignancies, and familial WM and a high frequency of serum immunoglobulin
abnormalities in the relatives of the WM patients, findings that strengthen the
hypothesis that the aetiology of WM depends on both immune-related and genetic
factors.
PMID- 26559866
TI - Prevalence of Mental Health Illness among Patients with Adult-Onset Strabismus.
AB - BACKGROUND: Children diagnosed with some forms of strabismus were recently found
to have an increased risk of developing mental illness by early adulthood. The
purpose of this case-controlled study was to determine if adults with non
paralytic forms of strabismus are similarly at an elevated risk for developing
mental illness. METHODS: The medical records of all patients diagnosed as adults
(>= 19 years of age) with convergence insufficiency (CI) (n = 118), divergence
insufficiency (DI) (n = 80), and small angle hypertropia (HT (n = 99) from
January 1, 1985, through December 31, 2004, were retrospectively reviewed. Each
case was compared with a sex- and birth date-matched non-strabismic control. The
medical records were reviewed for mental health diagnoses, including inpatient
and outpatient encounters, psychiatric ER visits, and medication use. RESULTS:
Mental health disorders were diagnosed in 65 (55.1%) patients with CI compared to
54 (45.8%) controls (p = 0.15), in 51 (63.8%) patients with DI compared to 42
(52.5%) controls (p = 0.15), and in 63 (63.6%) patients with HT compared to 57
(57.6%) controls (p = 0.38). CI patients were not more likely to have mental
health disorders than their controls (p = 0.15). Mental health hospitalizations
(p = 0.02), psychiatric medication use (p = 0.04), and unspecified anxiety
disorders (p = 0.03) were higher in DI patients compared to controls. HT patients
were found to have more generalized anxiety disorders (p = 0.003) than controls.
CONCLUSIONS: Adults with some forms of strabismus (DI and HT) appear to have an
increased risk of mental illness and its comorbidities, compared to age- and
gender-matched non-strabismic controls.
PMID- 26559867
TI - Effect of Horizontal Strabismus Surgery on the Refractive Status.
AB - PURPOSE: To evaluate the effects of horizontal strabismus surgery on the
refractive and astigmatic status of eyes following horizontal muscle surgery
using double-angle vector analysis. MATERIALS AND METHODS: This was a
retrospective analysis of 137 patients (250 eyes) conducted between February 1997
and October 2010 in patients who had unilateral or bilateral recession or
monocular recession and/or underwent resection of horizontal muscles by a single
surgeon. Refraction data were obtained at 1-2 weeks preoperative and at 4-6 weeks
and 4-6 months postoperative. Surgically induced refractive changes were
calculated using double-angle vector analysis. RESULTS: The mean change in the
spherical equivalent (SE) of the refraction was 0.23 +/- 0.78 D for surgically
induced refractive changes at 4-6 weeks postoperative (SIRC1; p < 0.0001) and
0.14 +/- 0.85 D (p = 0.018) for surgically induced refractive changes at 4-6
months postoperative (SIRC2), indicating a small shift in the myopic direction
with regression at 4-6 months. The mean amplitudes of the induced cylinders were
0.072 +/- 1.22 D (p = 0.262) in SIRC1 and 0.20 +/- 1.14 D (p = 0.012) in SIRC2,
and the mean axis of the induced plus cylinder was 21.74 degrees in SIRC1,
indicating a small shift in the with-the-rule direction, but no statistically
significant difference from zero (p = 0.331). The changes in the surgically
induced refraction over time (SIRC d), ie, 0.05 +/- 0.64 in SE (p = 0.255) and
0.09 +/- 0.65 in the cylinder (p = 0.049), were stable. There was no significant
correlation between the amounts of horizontal rectus muscle recession and/or
resection and the cylinder power of individual induced astigmatism at 4-6 weeks
after surgery (p = 0.266) and the myopic shift (p = 0.345). Moreover, there were
no significant correlations between the ages of the patients and the spherical
equivalent for SIRC1 (p = 0.858) and the induced cylinder for SIRC1 (p = 0.750).
CONCLUSION: Horizontal rectus muscle surgery tended to induce a transient,
statistically significant change in the spherical equivalent of refraction, with
a myopic shift that was clinically not important. Our findings did not strongly
support that the astigmatism induced changes. There was no correlation between
the amount of recession and/or resection and the amount of induced refractive
error.
PMID- 26559868
TI - Esotropia in Children with Ventricular-Peritoneal Shunts.
AB - PURPOSE: Compared with the general population, patients with hydrocephalus are
more likely to have strabismus. This study was undertaken to examine
characteristics and outcomes of children with esotropia and ventricular
peritoneal shunt placement due to hydrocephalus. METHODS: This is a retrospective
chart review of all pediatric patients with esotropia and a history of
ventricular-peritoneal shunt placement seen by our pediatric ophthalmology
service between January 2000 and December 2010. RESULTS: Sixteen patients between
the age of 3 months and 5.6 years met study criteria. Nine were premature and all
but one of the patients had developmental delay. Although all patients had a
ventricular-peritoneal shunt, the diagnosis leading to shunt placement was
intraventricular hemorrhage or congenital hydrocephalus in 75% of the patients.
In all but 3 patients the hydrocephalus was diagnosed before the esotropia. Ten
children had congenital esotropia and 6 had acquired esotropia. Eleven of the 16
children required glasses: 5 had a myopic prescription and 6 had a hyperopic
prescription. Treatment of the esotropia resulted in 9 patients (56%) with
successful ocular alignment (<10 prism diopters) on their last visit: 7 underwent
strabismus surgery and 2 were treated with glasses only. Of the 9 patients who
had strabismus surgery, 6 had congenital esotropia and 3 had acquired esotropia.
Among patients who underwent strabismus surgery, 78% had successful ocular
alignment at their last visit. CONCLUSIONS: While acquired accommodative
esotropia is more common in the general population, children with ventricular
peritoneal shunts may be more likely to have congenital esotropia. Although
developmental delay is very frequent, successful ocular alignment may be possible
in this patient population.
PMID- 26559869
TI - Effect of 3-Dimensional Central Stimuli on Near Point of Convergence.
AB - AIM: Since an interaction between binocular disparity and the vergence system
takes place in order to reduce retinal disparity, it is likely that vergence
control, as measured with the near point of convergence (NPC), will be better if
the target used for measurement has 3-dimensional (3-D) features in its most
central parts. The aim of the present study was therefore to investigate if the
NPC would give a better result using a fixation target with centrally placed 3-D
features when compared with a two-dimensional (2-D) target. METHODS: Twenty-three
asymptomatic subjects (2 men, 21 women; average age 30.0 years +/- 7.36 SD) who
experienced diplopia when a fixation target was brought close to the eyes had
their break point NPC measured using a modified RAF-ruler. A metal cube and a
printed image of the cube were used as a 3-D and 2-D stimuli. The measurements
were repeated 3 times in each subject for each test condition, for a total of 6
randomized NPC measurements. RESULTS: On average a significant difference in NPC
(p = 0.0172) was found for break point NPC with a 3-D stimuli (mean: 7.27 cm +/-
2.37 SD), giving the better result as compared with a 2-D stimuli (mean: 8.02 cm
+/- 2.82 SD). Comparing the most remote recorded NPC values of the 3 repeated
measurements under each stimulus condition, a significant difference was found (p
= 0.0159, t = 2.612) with 3-D stimuli giving the better result. CONCLUSION: The
results of this study demonstrate the influence of binocular disparity stimuli on
the vergence control as NPC was better if the target used for measurement had 3-D
features in its most central parts.
PMID- 26559870
TI - The Prevalence of Ptosis and Its Association with Amblyopia and Strabismus in 7
Year-Old Schoolchildren in Iran.
AB - PURPOSE: To determine the prevalence of ptosis (congenital/acquired) in Iranian
children aged 7 years and its relationship with amblyopia and strabismus.
METHODS: Eight Iranian cities were selected for this cross-sectional study using
multistage randomized cluster sampling. A number of primary schools were randomly
selected in each city. All grade 1 students in each selected primary school
underwent optometric examinations including the measurement of uncorrected and
corrected visual acuity, cover test, and cycloplegic and non-cycloplegic
refraction. RESULTS: Of 4614 selected students, 4106 students participated in the
study (response rate = 89%). The prevalence of ptosis (congenital/acquired) was
1.41% (95% CI 1-1.83); 0.49% (95% CI 0.26-0.72) and 0.93% (95% CI 0.59-1.26) had
unilateral and bilateral ptosis, respectively. The prevalence of ptosis had no
significant correlation with sex (p = 0.810, OR = 1.07, 95% CI 0.59-1.97). The
prevalence of amblyopia was 48.28% and 0.89% in students with and without ptosis,
respectively. The prevalence of tropia was significantly higher in cases with
bilateral ptosis (p < 0.001). The mean cylinder error was 1.63 +/- 1.72 and 0.44
+/- 0.60 in ptotic and non-ptotic eyes, respectively (p < 0.001). With-the-rule
(WTR) astigmatism was significantly more prevalent in students with ptosis.
CONCLUSION: We report the prevalence of ptosis (congenital/acquired) in children
in Iran and the Middle East region for the first time. Amblyopia was considerably
more prevalent in ptotic patients. We found a significant correlation between
ptosis and tropia. Astigmatism, especially WTR stigmatism, was more prevalent in
children with ptosis.
PMID- 26559871
TI - Pseudo-Monocular Nystagmus Associated with Duane's Syndrome: Report of Two Cases.
AB - PURPOSE: To present clinical findings and eye movement recordings of two children
who had clinically apparent monocular nystagmus. METHODS: Full orthoptic and
ophthalmological examination and eye movement recordings. RESULTS: An 8-year-old
girl (patient 1) and a 13-month-old girl (patient 2) presented with right
monocular nystagmus and right esotropia. A magnetic resonance imaging (MRI) scan
of the brain obtained previously had been unremarkable for patient 2. Patient 1
had right amblyopia with visual acuity (VA) reduced to 20/400. Both patients had
left abduction deficit and left palpebral fissure narrowing on adduction
indicative of Duane's retraction syndrome. Patient 2 also had mild enophthalmos.
Both patients had constant horizontal nystagmus in the right eye and very fine
nystagmus in the left eye, which could only be detected on video and eye movement
recordings. CONCLUSION: The existence of Duane's syndrome in both patients was
masking the presence of nystagmus in the left eye, highlighting that detailed
examination in this case can eliminate the need for neuroimaging. Interestingly,
the dominant eye of both patients was on the side which was affected by Duane's
syndrome, as there was less nystagmus in this eye.
PMID- 26559872
TI - The Physiologist Ewald Hering (1834-1918): Curriculum vitae.
PMID- 26559874
TI - MRSA in Croatia: prevalence and management.
AB - Infections caused by methicillin-resistant Staphylococcus aureus (MRSA) are
associated with increased morbidity, mortality and length of hospital stay. MRSA
is a major pathogen in hospitals and an important pathogen in community
infections with few severe and fatal cases. However, MRSA causes the majority of
skin and soft tissue infections in the US. The burden of community MRSA is much
smaller in Europe, but there are reports of livestock-associated MRSA (LA-MRSA)
isolated from pigs and cattle causing significant infections in the people who
are connected to these farms. MRSA has been present in Croatia for more than 45
years, and it exerts a different impact on health-care infections. A remarkable
increase in MRSA percentage was noted in primarily sterile samples in 2002 (37%)
in comparison to 2001 (31%). This percentage remained quite high until 2008, when
the first signs of a reduced trend were observed. The lowest percentage was 22%
in 2012.
PMID- 26559875
TI - Cervical ripening before first trimester surgical evacuation for non-viable
pregnancy.
AB - BACKGROUND: Medications or mechanical dilators are often used to soften and
dilate the cervix prior to surgical evacuation of the uterus for non-viable
pregnancy, or miscarriage. The majority of miscarriages occur in the first
trimester. The aim of cervical ripening is to reduce the possibility of injury to
the uterus and cervix and improve the surgical ease of the procedure. Cervical
ripening agents can have adverse effects and it is uncertain as to whether these
risks outweigh the benefits of their use. OBJECTIVES: To systematically review
the benefits and harms of using cervical ripening agents prior to surgical
evacuation of non-viable pregnancy prior to 14 weeks' gestation. SEARCH METHODS:
We searched the Cochrane Pregnancy and Childbirth Group's Trials Register (30
April 2015) and reference lists of retrieved papers. SELECTION CRITERIA:
Randomised controlled trials (published in full-text form, or as abstracts only),
which assessed the use of pharmacological or mechanical agents to ripen the
cervix in women undergoing dilation and curettage or vacuum aspiration for non
viable pregnancy at less than 14 weeks' gestation were eligible for inclusion.
Cluster-randomised controlled trials and trials using a cross-over design were
not eligible for inclusion.Unpublished randomised controlled trials and quasi
randomised trials would have been eligible for inclusion but none were
identified. DATA COLLECTION AND ANALYSIS: Two review authors independently
assessed the studies for inclusion, assessed risk of bias and carried out data
extraction. Data were checked for accuracy. MAIN RESULTS: We included nine trials
with 469 women. A diverse set of medications and regimens were studied in these
trials, making the comparisons available for meta-analysis limited. The
comparisons draw data from six trials with 383 participants. All trials were
relatively small and had several aspects of unclear risk of bias with few of this
review's outcomes reported. Due to this, no data from three trials were able to
be used despite them meeting inclusion criteria.We carried out four comparisons:
isosorbide mononitrate or dinitrate compared with misoprostol; misoprostol
compared with placebo; chemical dilation (use of medications) compared with
mechanical dilation; and any cervical preparation compared with placebo.None of
the included studies reported data on the review's primary outcome: cervical or
uterine injury (perforation, laceration, creation of a false passage).No clear
difference was shown between isosorbide compounds and misoprostol for the outcome
need for manual cervical dilation (average risk ratio (RR) 0.76, 95% confidence
interval (CI) 0.10 to 5.64; three trials, 150 women; Tau2 = 2.11; I2 = 69%),
however the data were heterogenous. In terms of adverse effects, misoprostol was
associated with more vomiting (RR 0.11, 95% CI 0.01 to 0.85; two trials, 120
women), however there were no clear differences between isosorbide compounds and
misoprostol in relation to other reported adverse effects (headache, nausea or
hypotension). The dosing regimens differed in terms of dose, number of
administrations and route of administration in the different trials. Mechanical
(Dilapan-S hygroscopic) dilators performed similarly to chemical dilators in a
single trial (65 women) that measured difficulty in cervical dilation, excessive
bleeding and adverse effects.Misoprostol was shown to be more effective than
placebo for cervical ripening (reduced need for manual cervical dilation) (RR
0.14, 95% CI 0.08 to 0.26; one trial, 120 women), and surgical time was reduced
when misoprostol was used (mean difference (MD) -3.15, 95% CI -3.59 to -2.70; one
trial, 120 women). However, compared to placebo, misoprostol, was associated with
more abdominal pain (RR 29.00, 95% CI 1.77 to 475.35; one trial, 120 women),
although no clear differences in the risk of other adverse effects (nausea,
vomiting, headache or fever) were observed between groups.There was no clear
differences between chemical dilation and mechanical dilators for the outcomes:
difficulty in cervical dilation, excessive bleeding or adverse effects.Compared
with placebo, any cervical preparation reduced the need for manual cervical
dilatation (average RR 0.25, 95% CI 0.07 to 0.89; two trials, 168 women; Tau2 =
0.67; I2 = 81%), and reduced surgical time (MD -2.55, 95% CI -3.67 to -1.43, two
trials, 168 women; Tau2 = 0.63; I2 = 96%).None of the included trials reported on
the review's other secondary outcomes, including: injury to bladder or bowel,
miscarriage/preterm birth in a subsequent pregnancy, analgesia use after
administration of ripening agent but before surgery, or analgesia use after
surgery. AUTHORS' CONCLUSIONS: This review found no evidence to evaluate cervical
ripening prior to first trimester surgical evacuation for miscarriage for
reducing the rate of cervical or uterine injury, however, this may be because
these outcomes are very rare. Cervical preparation was shown to reduce the need
for manual cervical dilatation compared with placebo.Misoprostol and isosorbide
mononitrate and dinitrate were similarly effective in ripening the cervix,
however there was more vomiting with misoprostol. Mechanical (Dilapan-S
hygroscopic) dilators performed similarly to chemical dilators.The nine studies
included in this review were small and the methodological quality of the trials
was mixed, and for the most part, not well-described; thus any conclusions drawn
from the data included in this review must be treated with caution. Consequently,
large, high-quality trials are required to determine whether the benefits of this
treatment outweigh the risks. Further research should be powered to assess the
rate of cervical and uterine injury between interventions. Future research should
also guide clinicians in deciding whether the benefits of reduced manual cervical
dilatation outweigh the risks of adverse effects associated with these agents
(nausea, vomiting, headache, fever, diarrhoea and pain). Women's satisfaction and
outcomes of future pregnancies should also be assessed.
PMID- 26559876
TI - Sidney Blatt's Object Relations Inventory: Contributions and Future Directions.
AB - In this article, we provide a historical overview of the Object Relations
Inventory (ORI) and related methods for the assessment of object relations
constructed by Sidney Blatt and colleagues (e.g., Blatt, Bers, & Schaffer, 1992 ;
Blatt, Wein, Chevron, & Quinlan, 1979 ; Diamond, Kaslow, Coonerty, & Blatt, 1990
). We clarify terminology that has been used inconsistently in the literature,
especially by way of differentiating the methods used to collect descriptions of
significant figures, such as the ORI and its predecessor, the Parental
Description (PD) task, and the rating scales that Blatt and colleagues
constructed to rate those descriptions. We provide a tabular summary of empirical
studies of the measure and offer a critical review of those aspects of the
instrument that require further empirical investigation and methodological rigor.
PMID- 26559877
TI - Injury rate and injury patterns in FIS World Cup Alpine skiing (2006-2015): have
the new ski regulations made an impact?
AB - BACKGROUND: New regulations for ski equipment were implemented prior to the
2012/2013 season in the International Ski Federation (FIS) Alpine World Cup (WC).
OBJECTIVE: To investigate the effect of the new ski regulations on the rate and
pattern of injuries by comparing data before (2006-2012) and after the
implementation (2012-2015). METHODS: Injuries were recorded on the basis of the
FIS Injury Surveillance System (FIS ISS) through retrospective interviews at the
end of each of the nine WC seasons. All acute injuries that required medical
attention were registered. Exposure was calculated on the basis of the official
result lists. RESULTS: The absolute injury rate (injuries/100 athletes/season)
was lower in the three seasons after the new ski regulations compared with the
six seasons before (risk ratio (RR) 0.74, 95% CI 0.63 to 0.87). This was also the
case for the relative injury rate (injuries/1000 runs) (RR 0.76, 95% CI 0.59 to
0.98). These changes were evident for male skiers, not for female skiers. There
was a lower absolute injury rate for upper body injuries (RR 0.56, 95% CI 0.43 to
0.77), while no difference was found for lower extremity injuries (RR 0.84, 95%
CI 0.70 to 1.01). CONCLUSIONS: We found a lower rate of injuries in the three
seasons after the new ski regulation compared with the six seasons before.
However, the ability to draw conclusions on the effects of the equipment change
in subgroups of sex, discipline or body part is restricted by the limited
statistical power.
PMID- 26559878
TI - Hepatitis B in rugby: is it time to revisit policy?
PMID- 26559879
TI - User fee exemption policies in Mali: sustainability jeopardized by the
malfunctioning of the health system.
AB - In Mali, where rates of attendance at healthcare facilities remain far below what
is needed, three user fee exemption policies were instituted to promote access to
care. These related to HIV/AIDS treatment, as of 2004, caesarean sections, since
2005, and treatment of malaria in children under five and pregnant women, since
2007. Our qualitative study compared these three policies, looking at their
implementation provisions, functioning and outcomes. In each healthcare facility,
we analysed documentation and carried out three months of on-site observations.
We also conducted a total of 254 formal and informal interviews with health
personnel and patients.
PMID- 26559880
TI - Development of Group A streptococcal vaccines: an unmet global health need.
AB - Group A Streptococcus (GAS) infections are a significant global cause of
morbidity and mortality. GAS diseases disproportionally affect those living in
conditions characterized by poverty and social injustice, in both developing
countries and in marginalized populations of industrialized nations. In Australia
and New Zealand, GAS-associated Acute Rheumatic Fever (ARF) is a major cause of
health inequality disproportionally affecting indigenous children. Recognition of
these inequalities by the governments of Australia and New Zealand has resulted
in the formation of a Trans-Tasman Coalition to Advance New Vaccines for group A
Streptococcus (CANVAS). This review provides an update on the current status of
GAS vaccine development, and describes global efforts by CANVAS and others to
accelerate the development of GAS vaccines.
PMID- 26559881
TI - A Multidimensional Rasch Analysis of the Functional Independence Measure Based on
the National Institute on Disability, Independent Living, and Rehabilitation
Research Traumatic Brain Injury Model Systems National Database.
AB - A number of studies have evaluated the psychometric properties of the Functional
Independence Measure (FIMTM) using Rasch analysis, although none has done so
using the National Institute on Disability, Independent Living, and
Rehabilitation Research Traumatic Brain Injury Model Systems National Database, a
longitudinal database that captures demographic and outcome information on
persons with moderate to severe traumatic brain injury across the United States.
In the current study, we examine the psychometric properties of the FIM as
represented by persons within this database and demonstrate that the FIM
comprises three subscales representing cognitive, self-care, and mobility
domains. These subscales were analyzed simultaneously using a multivariate Rasch
model in combination with a time dependent concurrent calibration scheme with the
goal of creating a raw score-to-logit transformation that can be used to improve
the accuracy of parametric statistical analyses. The bowel and bladder function
items were removed because of misfit with the motor and cognitive items. Some
motor items exhibited step disorder, which was addressed by collapsing Categories
1-3 for Toileting, Stairs, Locomotion, Tub/Shower Transfers; Categories 1 and 2
for Toilet and Bed Transfers; and Categories 2 and 3 for Grooming. The strong
correlations (r = 0.82-0.96) among the three subscales suggest they should be
modeled together. Coefficient alpha of 0.98 indicates high internal consistency.
Keyform maps are provided to enhance clinical interpretation and application of
study results.
PMID- 26559882
TI - Gray matter network disruptions and amyloid beta in cognitively normal adults.
AB - Gray matter networks are disrupted in Alzheimer's disease (AD). It is unclear
when these disruptions start during the development of AD. Amyloid beta 1-42
(Abeta42) is among the earliest changes in AD. We studied, in cognitively healthy
adults, the relationship between Abeta42 levels in cerebrospinal fluid (CSF) and
single-subject cortical gray matter network measures. Single-subject gray matter
networks were extracted from structural magnetic resonance imaging scans in a
sample of cognitively healthy adults (N = 185; age range 39-79, mini-mental state
examination >25, N = 12 showed abnormal Abeta42 < 550 pg/mL). Degree, clustering
coefficient, and path length were computed at whole brain level and for 90
anatomical areas. Associations between continuous Abeta42 CSF levels and single
subject cortical gray matter network measures were tested. Smoothing splines were
used to determine whether a linear or nonlinear relationship gave a better fit to
the data. Lower Abeta42 CSF levels were linearly associated at whole brain level
with lower connectivity density, and nonlinearly with lower clustering values and
higher path length values, which is indicative of a less-efficient network
organization. These relationships were specific to medial temporal areas,
precuneus, and the middle frontal gyrus (all p < 0.05). These results suggest
that mostly within the normal spectrum of amyloid, lower Abeta42 levels can be
related to gray matter networks disruptions.
PMID- 26559883
TI - The relationship between inflammatory markers and voxel-based gray matter volumes
in nondemented older adults.
AB - Ageing is characterized by chronically elevated inflammatory markers (IMs).
Peripheral IM levels have been found in negative correlations with brain
structural measures including global and lobar volumes and the hippocampus. This
study investigated the relationship between 10 peripheral IMs and voxel-based
gray matter (GM) volumes in nondemented older adults (n = 463). Two
proinflammatory cytokines (tumor necrosis factor-alpha [TNF-alpha] and
interleukin-1beta) and 2 vascular IMs (vascular cellular adhesion molecule-1 and
plasminogen activator inhibitor-1) were negatively correlated with regional GM
volumes. TNF-alpha and interleukin-1beta were both significantly correlated with
GM volumes in the left occipitotemporal area, left superior occipital gyrus, and
left inferior parietal lobule; TNF-alpha was also significantly correlated with
the bilateral medial prefrontal cortices and approached significance for the
correlations with the bilateral hippocampi. Significant GM correlations with
vascular cellular adhesion molecule-1 were located in the bilateral anterior
cingulate cortices, and with plasminogen activator inhibitor-1 in the cerebellum
and right hippocampus. The neuroanatomical correlation patterns of 2
proinflammatory cytokines and 2 vascular IMs might be reflective of the effects
of neurodegenerative and vascular pathological processes in the ageing brain.
PMID- 26559884
TI - Acid suppression therapy should be reevaluated; liposome technology may be a good
replacement.
PMID- 26559885
TI - Elevated CRP level could herald less efficient autologous conditioned serum (ACS)
treatment.
AB - Autologous conditioned serum (ACS) is a biologically based local treatment aiming
to influence the cytokine imbalance and is used in a variety of orthopedic
diseases and conditions. The ACS contains elevated levels of various anti
inflammatory cytokines, such as IL-1 RA (receptor antagonist), IL-4 and IL-10 and
several growth factors. It contains a combination of cytokines and growth
factors, and their specific contribution to clinical effects have yet to be
determined. Serum conditioned in that specific way does not always have the same
content and concentration of the anti-inflammatory cytokines and growth factors.
We hypothesize that ACS should not be prepared and administered if elevated C
reactive protein (CRP) levels are present at the moment of obtaining the
patient's blood because of the potential detrimental effect of elevated pro
inflammatory cytokines in the same blood, namely IL-1 and TNF. We propose
introduction of CRP measuring before any ACS treatment. The cut off value would
be set at 5mg/dL as an usual value suggesting inflammation. Avoidance of
collecting and administering ACS if elevated CRP is present would potentially
eliminate low quality ACS.
PMID- 26559886
TI - Treatment of HIV/AIDS associated cancers with immunotherapy targeting PD-1/PD-L1
instead of chemotherapy.
AB - The role of immunodeficiency in the pathogenesis of both AIDS-defining and non
AIDS defining cancers cannot be over-emphasized. Multiple studies and meta
analyses show that risk of these malignancies exponentially rises as the CD4 cell
counts fall. Furthermore, treatment of these cancers in patients who have
HIV/AIDS is complex and challenging due to the underlying immunosuppression and
risk for infections. Often, the diagnosis of some of these malignancies is made
at the same time as the diagnosis of HIV/AIDS, which further complicates
treatment decisions, especially when CD4 counts are extremely low. The risks of
giving chemotherapy in the setting of severe immunosuppression warrants a
paradigm shift in how we should be treating malignancies in patients with
HIV/AIDS. We hypothesize and propose that alongside combination antiretroviral
therapy (cART), some patients with HIV/AIDS associated malignancies should be
treated with immunotherapy targeting PD-1/PD-L1 pathway rather than chemotherapy.
The rationale and basis for the new approach is presented.
PMID- 26559887
TI - Exercise and rehabilitation delivered through exergames in older adults: An
integrative review of technologies, safety and efficacy.
AB - BACKGROUND: There has been a rapid increase in research on the use of virtual
reality (VR) and gaming technology as a complementary tool in exercise and
rehabilitation in the elderly population. Although a few recent studies have
evaluated their efficacy, there is currently no in-depth description and
discussion of different game technologies, physical functions targeted, and
safety issues related to older adults playing exergames. OBJECTIVES: This
integrative review provides an overview of the technologies and games used,
progression, safety measurements and associated adverse events, adherence to
exergaming, outcome measures used, and their effect on physical function.
METHODS: We undertook systematic searches of SCOPUS and PubMed databases. Key
search terms included "game", "exercise", and "aged", and were adapted to each
database. To be included, studies had to involve older adults aged 65 years or
above, have a pre-post training or intervention design, include ICT-implemented
games with weight-bearing exercises, and have outcome measures that included
physical activity variables and/or clinical tests of physical function. RESULTS:
Sixty studies fulfilled the inclusion criteria. The studies had a broad range of
aims and intervention designs and mostly focused on community-dwelling healthy
older adults. The majority of the studies used commercially available gaming
technologies that targeted a number of different physical functions. Most studies
reported that they had used some form of safety measure during intervention. None
of the studies reported serious adverse events. However, only 21 studies (35%)
reported on whether adverse events occurred. Twenty-four studies reported on
adherence, but only seven studies (12%) compared adherence to exergaming with
other forms of exercise. Clinical measures of balance were the most frequently
used outcome measures. PEDro scores indicated that most studies had several
methodological problems, with only 4 studies fulfilling 6 or more criteria out of
10. Several studies found positive effects of exergaming on balance and gait,
while none reported negative effects. CONCLUSION: Exergames show promise as an
intervention to improve physical function in older adults, with few reported
adverse events. As there is large variability between studies in terms of
intervention protocols and outcome measures, as well as several methodological
limitations, recommendations for both practice and further research are provided
in order to successfully establish exergames as an exercise and rehabilitation
tool for older adults.
PMID- 26559888
TI - Embryo development in association with asymbiotic seed germination in vitro of
Paphiopedilum armeniacum S. C. Chen et F. Y. Liu.
AB - This paper documents the key anatomical features during the development of P.
armeniacum zygotic embryos and their ability to germinate asymbiotically in
vitro. This study also examines the effect of media and seed pretreatments on
seed germination and subsequent seedling growth. Seeds collected from pods 45
days after pollination (DAP) did not germinate while 95 DAP seeds displayed the
highest seed germination percentage (96.2%). Most seedlings (50%) developed to
stage 5 from 110 DAP seeds whose compact testa had not yet fully formed.
Suspensor cells were vacuolated, which enabled the functional uptake of
nutrients. The optimum basal medium for seed germination and subsequent protocorm
development was eighth-strength Murashige and Skoog (1/8MS) for 95 DAP seeds and
1/4MS for 110 DAP seeds. Poor germination was displayed by 140 DAP seeds with a
compact testa. Pretreatment of dry mature seeds (180 DAP) with 1.0% sodium
hypochlorite solution for 90 min or 40 kHz of ultrasound for 8 min improved
germination percentage from 0 to 29.2% or to 19.7%, respectively. Plantlets that
were at least 5 cm in height were transplanted to a Zhijing stone substrate for
orchids, and 85.3% of plantlets survived 180 days after transplanting.
PMID- 26559890
TI - Single florescent nanodiamond in a three dimensional ABEL trap.
AB - Three dimensional single particle trapping and manipulation is an outstanding
challenge in various fields ranging from basic physics to life sciences. By
monitoring the response of a trapped particle to a designed environment one can
extract its characteristics. In addition, quantum dynamics of a spatially scanned
well-known particle can provide environmental information. Precise tracking and
positioning of such a particle in aqueous environment is crucial task for
achieving nano-scale resolution. Here we experimentally demonstrate three
dimensional ABEL trap operating at high frequency by employing a hybrid approach
in particle tracking. The particle location in the transverse plane is detected
via a scanning laser beam while the axial position is determined by defocused
imaging. The scanning of the trapped particle is accomplished through a nano
positioning stage integrated to the trap platform.
PMID- 26559889
TI - Habitat use and diel vertical migration of bigeye thresher shark: Overlap with
pelagic longline fishing gear.
AB - Pelagic longliners targeting swordfish and tunas in oceanic waters regularly
capture sharks as bycatch, including currently protected species as the bigeye
thresher, Alopias superciliosus. Fifteen bigeye threshers were tagged with pop-up
satellite archival tags (PSATs) in 2012-2014 in the tropical northeast Atlantic,
with successful transmissions received from 12 tags for a total of 907 tracking
days. Marked diel vertical movements were recorded on all specimens, with most of
the daytime spent in deeper colder water (mean depth = 353 m, SD = 73; mean
temperature = 10.7 degrees C, SD = 1.8) and nighttime spent in warmer water
closer to the surface (mean depth = 72 m, SD = 54; mean temperature = 21.9
degrees C, SD = 3.7). The operating depth of the pelagic longline gear was
measured with Minilog Temperature and Depth Recorders (TDRs), and the overlap
with habitat utilization was calculated. Overlap is taking place mainly during
the night and is higher for juveniles. The results presented herein can be used
as inputs for Ecological Risk Assessments for bigeye threshers captured in
oceanic tuna fisheries, and serve as a basis for efficient management and
conservation of this vulnerable shark species.
PMID- 26559891
TI - The lanthipeptides of Bacillus methylotrophicus and their association with
genomic islands.
AB - Bacillus methylotrophicus strains are known for their potential as plant-growth
promoters and as microbial pesticides that effectively control plant diseases
caused by bacteria and fungi. Over the past few years, a wide diversity of their
secondary metabolites has been extensively characterized. Among these are the
RiPPs lanthipeptides, which are an important and growing group of notable
compounds. The increasing interest in B. methylotrophicus species, accompanied by
the development of high throughput sequencing techniques, has resulted in a
substantial number of full genomes being available. Here, an in silico analysis
was performed on these genomes in order to survey the presence of lanthipeptide
biosynthetic clusters. It was found that the pan genome of B. methylotrophicus
only encoded the biosynthesis of mersacidin and amylolysin, which are
lanthipeptides with antibacterial activity. However, the amylolysin gene cluster
identified was comprised of more genetic elements than those previously
described, and it had certain features of two-peptide lantibiotics. Additionally,
it was also established that the association of lanthipeptides with genomic
islands (GIs) was not confined to mersacidin. This was also found for the
amylolysin cluster as well as other class I and class II lanthipeptides,
supporting the idea that their production is probably related to functional
adaptation.
PMID- 26559892
TI - Synchrony is Key: Complex Spike Inhibition of the Deep Cerebellar Nuclei.
AB - The control of deep cerebellar nuclear (DCN) neuronal firing is central to
cerebellar function but is not well understood. The large majority of synapses
onto DCN neurons derive from Purkinje cells (PCs), suggesting that PC activity is
an important determinant of DCN firing; however, PCs fire both simple and complex
spikes (CSs), and little is known about how the latter's action affects DCN
activity. Thus, here, we explored the effects of CSs on DCN activity. CSs were
recorded from PC arrays along with individual DCN neurons. Presumed synaptically
connected PC-DCN cell pairs were identified using CS-triggered correlograms of
DCN activity, which also showed that CS activity was associated with a
predominantly inhibitory effect on DCN activity. The strength of the CS effect
varied as a function of synchrony, such that isolated CSs produced only weak
inhibition of DCN activity, whereas highly synchronous CSs caused a larger drop
in firing levels. Although the present findings were obtained in anesthetized
animals, similar CS synchrony levels exist in awake animals, and changes in
synchrony level have been observed in association with movements in awake
animals. Thus, the present data suggest that synchronous CS activity may be a
mechanism for shaping DCN output related to motor commands.
PMID- 26559894
TI - 'Under the Dome' on Chinese air pollution, a documentary by Chai Jing.
PMID- 26559893
TI - Mosaic Expression of Thyroid Hormone Regulatory Genes Defines Cell Type-Specific
Dependency in the Developing Chicken Cerebellum.
AB - The cerebellum is a morphologically unique brain structure that requires thyroid
hormones (THs) for the correct coordination of key cellular events driving its
development. Unravelling the interplay between the multiple factors that can
regulate intracellular TH levels is a key step to understanding their role in the
regulation of these cellular processes. We therefore investigated the
regional/cell-specific expression pattern of TH transporters and deiodinases in
the cerebellum using the chicken embryo as a model. In situ hybridisation
revealed expression of the TH transporters monocarboxylate transporter 8 (MCT8)
and 10 (MCT10), L-type amino acid transporter 1 (LAT1) and organic anion
transporting polypeptide 1C1 (OATP1C1) as well as the inactivating type 3
deiodinase (D3) in the fourth ventricle choroid plexus, suggesting a possible
contribution of the resulting proteins to TH exchange and subsequent inactivation
of excess hormone at the blood-cerebrospinal fluid barrier. Exclusive expression
of LAT1 and the activating type 2 deiodinase (D2) mRNA was found at the level of
the blood-brain barrier, suggesting a concerted function for LAT1 and D2 in the
direct access of active T3 to the developing cerebellum via the capillary
endothelial cells. The presence of MCT8 mRNA in Purkinje cells and cerebellar
nuclei during the first 2 weeks of embryonic development points to a potential
role of this transporter in the uptake of T3 in central neurons. At later stages,
together with MCT10, detection of MCT8 signal in close association with the
Purkinje cell dendritic tree suggests a role of both transporters in TH
signalling during Purkinje cell synaptogenesis. MCT10 was also expressed in late
born cells in the rhombic lip lineage with a clear hybridisation signal in the
outer external granular layer, indicating a potential role for MCT10 in the
proliferation of granule cell precursors. By contrast, expression of D3 in the
first-born rhombic lip-derived population may serve as a buffering mechanism
against high T3 levels during early embryonic development, a hypothesis supported
by the pattern of expression of a fluorescent TH reporter in this lineage.
Overall, this study builds a picture of the TH dependency in multiple cerebellar
cell types starting from early embryonic development.
PMID- 26559895
TI - The persistent under-utilization of epilepsy surgery.
PMID- 26559896
TI - Response to "The persistent under-utilization of epilepsy surgery".
PMID- 26559897
TI - Very low-calorie ketogenic diet may allow restoring response to systemic therapy
in relapsing plaque psoriasis.
AB - Psoriasis is a chronic disease associated with overweight/obesity and related
cardiometabolic complications. The link between these diseases is likely the
inflammatory background associated with adipose tissue, particularly the visceral
one. Accordingly, previous studies have demonstrated that in the long-term weight
loss may improve the response to systemic therapies. We report a case report of a
woman in her 40s suffering from relapsing moderate-to-severe plaque psoriasis and
obesity-related metabolic syndrome, in whom adequate response to ongoing
treatment with biological therapy (adalimumab) was restored after only 4 weeks of
very low-calorie, carbohydrate-free (ketogenic), protein-based diet. Accordingly,
through rapid and consistent weight loss, very low calorie ketogenic diet may
allow restoring a quick response to systemic therapy in a patient suffering from
relapsing psoriasis. This intervention should be considered in overweight/obese
patients before the rearrangement of systemic therapy. Nonetheless, studies are
required to evaluate whether very low calorie ketogenic diets should be preferred
to common low-calorie diets to improve the response to systemic therapy at least
in patients with moderate-to-severe psoriasis.
PMID- 26559898
TI - Obesity in adolescents with intellectual disability: Prevalence and associated
characteristics.
AB - OBJECTIVE: Studies from a number of countries have indicated an increased risk of
obesity in adolescents with intellectual disability. Whether risk factors for
adults with intellectual disability apply to adolescents however is uncertain.
This study examines obesity in a community sample of adolescents with
intellectual disability in Australia, and investigates risk factors associated
with obesity and overweight. METHODS: A cross-sectional survey and medical record
review on 261 adolescents with intellectual disability attending special
education facilities in South-East Queensland, Australia between January 2006 and
September 2010 was conducted. Information on age, gender, weight, height,
syndrome specific diagnoses, problematic behaviours, mobility, taking
psychotropic or epileptic medication, and perceived household financial
difficulties was collected. Body mass index (BMI) was calculated and participants
categorised as normal/underweight, overweight or obese according to the
International Obesity Taskforce definitions. RESULTS: Overall 22.5% (95% CI: 17.8
28.0%) of adolescents were obese, and 23.8% (95% CI: 19.0-29.4%) were overweight,
a marked increase compared to Australian norms. Adolescents with Down syndrome
were more likely to be obese than other participants (odds ratio=3.21; 95% CI:
1.41-7.30). No association was found with other risk factors examined.
CONCLUSIONS: Prevalence of obesity and overweight were increased compared to
general Australian adolescents. The only significant risk factor was the presence
of Down syndrome. These findings reinforce the need for a health policy and
practice response to obesity that is inclusive of individuals with intellectual
disability.
PMID- 26559900
TI - Safety evaluation of AMP deaminase from Aspergillus oryzae.
AB - Adenosine-5'-monophosphate (AMP) deaminase is an enzyme used to increase
concentrations of 5'-inosine monophosphate in certain foods and beverages for
flavoring purposes. One commercial source of this enzyme is Aspergillus oryzae, a
filamentous fungus with a history of safe use in Asia as a fermentation organism
used in the production of miso sauce and sake liquors. Noting the use of the
enzyme in food intended for human consumption and potential presence at trace
levels in finished goods, a series of safety studies including an in vitro Ames
test and chromosome aberration assay with Chinese hamster lung fibroblasts were
conducted along with a 90-day oral toxicity study in rats. AMP deaminase showed
no evidence of genotoxicity in the in vitro tests. Following gavage
administration of Sprague-Dawley rats at dosages of 19.8, 198.4, or 1984 mg total
organic solids (TOS)/kg body weight (bw)/day for 90 days, no adverse effects on
body weight gain, food consumption, hematology, clinical chemistry, urinalysis,
ophthalmological and histopathological examinations were observed. The no
observed-adverse-effect level was considered to be 1984 mg TOS/kg bw/day, the
highest dose tested. Results of the genotoxicity studies and subchronic rat study
support the safe use of AMP deaminase produced from A. oryzae in food production.
PMID- 26559901
TI - Simultaneous attenuation of pharmaceuticals, organic matter, and nutrients in
wastewater effluent through managed aquifer recharge: Batch and column studies.
AB - Batch and column experiments were conducted to evaluate the removal of organic
matter, nutrients, and pharmaceuticals and to identify the removal mechanisms of
the target contaminants. The sands used in the experiments were obtained from the
Youngsan River located in South Korea. Neutral and cationic pharmaceuticals
(iopromide, estrone, and trimethoprim) were removed with efficiencies greater
than 80% from different sand media during experiments, due to the effect of
sorption between sand and pharmaceuticals. However, the anionic pharmaceuticals
(sulfamethoxazole, ketoprofen, ibuprofen, and diclofenac) were more effectively
removed by natural sand, compared to baked sand. These observations were mainly
attributed to biodegradation under natural conditions of surface organic matter
and ATP concentrations. The removal of organic matter and nitrogen was also found
to increase under biotic conditions. Therefore, it is indicated that
biodegradation plays an important role and act as major mechanisms for the
removal of organic matter, nutrients, and selected pharmaceuticals during sand
passage and the managed aquifer recharge, which is an effective treatment method
for removing target contaminants. However, the low removal efficiencies of
pharmaceuticals (e.g., carbamazepine and sulfamethoxazole) require additional
processes (e.g., AOPs, NF and RO membrane), a long residence time, and long
travel distance for increasing the removal efficiencies.
PMID- 26559899
TI - Multi-Toxin Resistance Enables Pink Bollworm Survival on Pyramided Bt Cotton.
AB - Transgenic crops producing Bacillus thuringiensis (Bt) proteins kill key insect
pests, providing economic and environmental benefits. However, the evolution of
pest resistance threatens the continued success of such Bt crops. To delay or
counter resistance, transgenic plant "pyramids" producing two or more Bt proteins
that kill the same pest have been adopted extensively. Field populations of the
pink bollworm (Pectinophora gossypiella) in the United States have remained
susceptible to Bt toxins Cry1Ac and Cry2Ab, but field-evolved practical
resistance to Bt cotton producing Cry1Ac has occurred widely in India. Here we
used two rounds of laboratory selection to achieve 18,000- to 150,000-fold
resistance to Cry2Ab in pink bollworm. Inheritance of resistance to Cry2Ab was
recessive, autosomal, conferred primarily by one locus, and independent of Cry1Ac
resistance. We created a strain with high resistance to both toxins by crossing
the Cry2Ab-resistant strain with a Cry1Ac-resistant strain, followed by one
selection with Cry2Ab. This multi-toxin resistant strain survived on field
collected Bt cotton bolls producing both toxins. The results here demonstrate the
risk of evolution of resistance to pyramided Bt plants, particularly when toxins
are deployed sequentially and refuges are scarce, as seen with Bt cotton and pink
bollworm in India.
PMID- 26559902
TI - RNA binding protein Caprin-2 is a pivotal regulator of the central osmotic
defense response.
AB - In response to an osmotic challenge, the synthesis of the antidiuretic hormone
arginine vasopressin (AVP) increases in the hypothalamus, and this is accompanied
by extension of the 3' poly(A) tail of the AVP mRNA, and the up-regulation of the
expression of RNA binding protein Caprin-2. Here we show that Caprin-2 binds to
AVP mRNAs, and that lentiviral mediated shRNA knockdown of Caprin-2 in the
osmotically stimulated hypothalamus shortens the AVP mRNA poly(A) tail at the
same time as reducing transcript abundance. In a recapitulated in vitro system,
we confirm that Caprin-2 over-expression enhances AVP mRNA abundance and poly(A)
tail length. Importantly, we show that Caprin-2 knockdown in the hypothalamus
decreases urine output and fluid intake, and increases urine osmolality, urine
sodium concentration, and plasma AVP levels. Thus Caprin-2 controls physiological
mechanisms that are essential for the body's response to osmotic stress.
PMID- 26559903
TI - Use of Health Care Resources and Costs After Patient Nonattendance in
Dermatology.
PMID- 26559904
TI - Review article: inhibition of methanogenic archaea by statins as a targeted
management strategy for constipation and related disorders.
AB - BACKGROUND: Observational studies show a strong association between delayed
intestinal transit and the production of methane. Experimental data suggest a
direct inhibitory activity of methane on the colonic and ileal smooth muscle and
a possible role for methane as a gasotransmitter. Archaea are the only confirmed
biological sources of methane in nature and Methanobrevibacter smithii is the
predominant methanogen in the human intestine. AIM: To review the biosynthesis
and composition of archaeal cell membranes, archaeal methanogenesis and the
mechanism of action of statins in this context. METHODS: Narrative review of the
literature. RESULTS: Statins can inhibit archaeal cell membrane biosynthesis
without affecting bacterial numbers as demonstrated in livestock and humans. This
opens the possibility of a therapeutic intervention that targets a specific
aetiological factor of constipation while protecting the intestinal microbiome.
While it is generally believed that statins inhibit methane production via their
effect on cell membrane biosynthesis, mediated by inhibition of the HMG-CoA
reductase, there is accumulating evidence for an alternative or additional
mechanism of action where statins inhibit methanogenesis directly. It appears
that this other mechanism may predominate when the lactone form of statins,
particularly lovastatin lactone, is administered. CONCLUSIONS: Clinical
development appears promising. A phase 2 clinical trial is currently in progress
that evaluates the effect of lovastatin lactone on methanogenesis and symptoms in
patients with irritable bowel syndrome with constipation. The review concludes
with an outlook for the future and subsequent work that needs to be done.
PMID- 26559905
TI - CD49d (ITGA4) expression is a predictor of time to first treatment in patients
with chronic lymphocytic leukaemia and mutated IGHV status.
AB - We investigated CD49d (also termed ITGA4) expression and its biological and
clinical correlations in 415 patients with chronic lymphocytic leukaemia. CD49d
expression was stable over the course of the disease. A high expression of CD49d
(>30%) was found in 142/415 (34%) patients and was associated with progressive
disease (advanced clinical stage, high serum lactate dehydrogenase or beta2
microglobulin levels; all p < 0.05) and aggressive disease biology (increased
ZAP70 or CD38, unmutated IGHV, trisomy 12, mutations of NOTCH1 and SF3B1; all P <
0.05). A higher CD49d expression was also associated with a lower blood
lymphocyte count and a higher number of lymphoid areas involved by the disease.
Patients with high CD49d expression were treated more frequently (55% vs. 27%; P
< 0.001) and earlier (median time to treatment [TTT] 65.4 months vs. not reached;
P < 0.001) than those with low CD49d expression. However, no significant
differences in response rates were observed. In the subgroup of patients with
mutated IGHV, high CD49d expression was predictive of a shorter TTT while other
markers, such as ZAP70 and CD38, were not. In conclusion, in this study CD49d
expression correlated with high-risk CLL biomarkers and proved to be useful for
separating patients with mutated IGHV into two different prognostic groups.
PMID- 26559906
TI - Development of PDT/PET Theranostics: Synthesis and Biological Evaluation of an
(18)F-Radiolabeled Water-Soluble Porphyrin.
AB - Synthesis of the first water-soluble porphyrin radiolabeled with fluorine-18 is
described: a new molecular theranostic agent which integrates the therapeutic
selectivity of photodynamic therapy (PDT) with the imaging efficacy of positron
emission tomography (PET). Generation of the theranostic was carried out through
the conjugation of a cationic water-soluble porphyrin bearing an azide
functionality to a fluorine-18 radiolabeled prosthetic bearing an alkyne
functionality through click conjugation, with excellent yields obtained in both
cold and hot synthesis. Biological evaluation of the synthesized structures shows
the first example of an (18)F-radiolabeled porphyrin retaining photocytotoxicity
following radiolabeling and demonstrable conjugate uptake and potential
application as a radiotracer in vivo. The promising results gained from
biological evaluation demonstrate the potential of this structure as a clinically
relevant theranostic agent, offering exciting possibilities for the simultaneous
imaging and photodynamic treatment of tumors.
PMID- 26559907
TI - Neurotoxicity, general anesthesia in young children, and a survey of current
pediatric anesthesia practice at US teaching institutions.
AB - BACKGROUND: Recent articles in both scholarly journals and the lay press about
the topic of anesthetic related neurotoxicity have increased the awareness and
discussion of this topic with parents and other pediatric medical specialties
(i.e., surgeons, radiologists, and pediatricians). AIM: The purpose of the
present study was to survey how a subset of pediatric anesthesia departments in
the US have responded to the issue of anesthetic related neurotoxicity in terms
of clinical practice, training and communication with other medical specialties,
and the frequency and timing of discussions with families. METHODS: A survey
consisting of 22 questions was sent to PALC (Pediatric Anesthesia Leadership
Council) & PAPDA (Pediatric Anesthesia Program Directors Association) via
SurveyMonkey. The survey was divided into sections on Anesthesia
Faculty/Trainees, Parents and Non-Anesthesia Providers. Responses to the survey
were solicited via email to PALC and PAPDA, and then followed up with reminders
to individual emails using the mailing lists of both organizations. RESULTS: The
results of this survey demonstrate that pediatric anesthesia programs around the
US do not have a consistent approach in managing the topic of anesthesia-related
neurotoxicity with pediatric anesthesiologists, anesthesiology residents,
pediatric anesthesiology fellows and their non-anesthesia medical and surgical
colleagues, as well as the discussion of this topic with parents. CONCLUSION: A
significant need exists to provide information to other pediatric professionals
and parents. A consistent message from all providers that includes what is known,
and indeed more importantly what is not known may be a useful approach.
PMID- 26559908
TI - Ruminal degradability and intestinal digestibility of individual amino acids in
mixed diets with different crude protein levels measured by the modified in vitro
three-step and mobile nylon bag technique.
AB - The ruminal degradability and intestinal digestibility of dry matter (DM), crude
protein (CP) and amino acids (AA) in three total mixed rations with different CP
levels were estimated using the modified in vitro three-step procedure (TSP) and
mobile nylon bag (MNB) technique on growing lambs. The ruminal effective
degradability of DM and CP did not respond with increasing dietary CP level.
However, the intestinal digestibility of DM was significantly increased with
increasing dietary CP level estimated by TSP (P < 0.05) or MNB method (P < 0.01).
Intestinal digestibility coefficients of CP determined by TSP were lower than
those of the MNB method. Histidine was extensively degraded by rumen micro
organisms, while tyrosine was the most anti-degradable AA among the samples. The
ruminal AA degradability exhibited no significant differences except for
threonine, tryptophan, alanine, aspartic acid and proline for the three diets.
Similarly, only a few AAs (i.e. histidine, methionine, tryptophan, aspartic acid
and cysteine in TSP; histidine, tryptophan, aspartic acid and serine in MNB) had
significant differences in their intestinal digestibility; in addition, values of
MNB were lower than that of the TSP method, indicating that intestinal
digestibility of DM seems to be overestimated in TSP, while that of CP might be
overestimated in the MNB method.
PMID- 26559909
TI - Processing insect abundance: trading and fishing of zazamushi in Central Japan
(Nagano Prefecture, Honshu Island).
AB - BACKGROUND: This article presents the links between technique, commerce and
consumption in fishing for zazamushi, a mixture of aquatic insect larvae sold as
food souvenirs in Japan. Since zazamushi are mainly collected for economic
reasons, we suggest that demand for them has incited technical development among
collectors in order to fish more insects. METHODS: Several fishermen and traders
were interviewed in semi-directed interviews about their practices and knowledge.
To understand the passage from a faunal composition to a commercial composition,
our research follows a fishing session closely, as well as the selection of
insects that follows it. The insects collected were separated from inanimate
matter, then identified, counted and weighed at each stage of the process.
RESULTS: Our results suggest that the current technique corresponds to an
evolution in subsistence and recreational collecting towards a more systematic
fishing of the insects, the aim of which is commercial. In their response to
trade issues, the collectors have moved away from the banks to fish the insects
in the river current, thus increasing the amount of one species captured compared
to another. Although the technique is efficient (and similar to other harvesting
techniques), it requires the thorough sorting of organic debris and insects (in
our example, the catch contains approximately 78 % of inanimate matter and 22 %
of insects, of which 3.29 % are retained for consumption, i.e., less than 2 out
of 100 insects). The selection of insects to be consumed takes place mainly
during cleaning. This stage depends on traders and reflects the different
compositions sold as souvenirs. CONCLUSIONS: Our research shows that the
consumption of insects is not explained just by ecological factors that are
favourable or unfavourable, but also by technological and economic factors
related to their commerce. It suggests that the traders have gradually
established the insects that are currently sold as zazamushi and that this
commercial development may have had an influence on the preference for insects
consumed. It also shows that the cleaning of the insects constitutes an important
stage prior to their consumption, one that should not be underestimated.
PMID- 26559910
TI - NPM1 histone chaperone is upregulated in glioblastoma to promote cell survival
and maintain nucleolar shape.
AB - Glioblastoma (grade IV glioma) is the most common and aggressive adult brain
tumor. A better understanding of the biology of glioblastoma cells is crucial to
identify molecular targets stimulating cell death. NPM1 (nucleophosmin) is a
multifunctional chaperone that plays an important role in cancer development.
Herein, NPM1 was analyzed by immunohistochemistry in human astrocytic gliomas.
NPM1 was detected in all tumors but with a significantly higher staining
intensity in grade IV than in low grade tumors. Depletion of NPM1 had only modest
effects on the viability of U251MG, U1242MG, and U343MGa Cl2:6 glioma cells,
despite alterations in nucleolar morphology. Glioma cell cultures depleted of
NPM1 exposed to micromolar levels of actinomycin D were more prone to cell death
(apoptosis) compared to cultures retaining NPM1. We had previously found that
NPM1 binds to linker histone H1.5. Here we could show that silencing of H1.5
triggered glioma cell apoptosis as evidenced by a marked increase in both the
numbers of cleaved caspase-3(+) cells and in the amounts of cleaved PARP.
Enforced expression of NPM1 suppressed apoptosis in H1.5 depleted glioma cells.
Although our studies would suggest little effectiveness of targeting NPM1 alone
there could be potential using it as a combination treatment.
PMID- 26559911
TI - The effect of abortion on having and achieving aspirational one-year plans.
AB - BACKGROUND: Women commonly report seeking abortion in order to achieve personal
life goals. Few studies have investigated whether an abortion enables women to
achieve such goals. METHODS: Data are from the Turnaway Study, a prospective
cohort study of women recruited from 30 abortion facilities across the US. The
sample included women in one of four groups: Women who presented for abortion
just over the facility's gestational limit, were denied an abortion and went on
to parent the child (Parenting Turnaways, n = 146) or did not parent (Non
Parenting Turnaways, n = 64), those who presented just under the facility's
gestational limit and received an abortion (Near-Limits, n = 413) and those who
presented in the first trimester and received an abortion (First Trimesters, n =
254). Participants were interviewed by telephone one week, six months and one
year after they sought an abortion. We used mixed effects logistic regression to
assess the relationship between receiving versus being denied abortion and having
an aspirational one year goal and achieving it. RESULTS: The 757 participants in
this analysis reported a total of 1,304 one-year plans. The most common one-year
plans were related to education (21.3 %), employment (18.9 %), other (16.3 %),
and change in residence (10.4 %). Most goals (80 %) were aspirational, defined as
a positive plan for the next year. First Trimesters and Near-Limits were over 6
times as likely as Parenting Turnaways to report aspirational one-year plans
[Adjusted Odds Ratio (AOR) = 6.37 and 6.56 respectively, p < 0.001 for both].
Among all plans in which achievement was measurable (n = 1,024, 87 %), Near
Limits (45.6 %, AOR = 1.91, p = 0.003) and Non-Parenting Turnaways (47.9 %, AOR =
2.09, p = 0.026) were more likely to have both an aspirational plan and to have
achieved it than Parenting Turnaways (30.4 %). CONCLUSIONS: These findings
suggest that ensuring women can have a wanted abortion enables them to maintain a
positive future outlook and achieve their aspirational life plans.
PMID- 26559913
TI - Valid and reliable techniques for measuring fibrosis in patients with head and
neck cancer postradiotherapy: A systematic review.
AB - BACKGROUND: Fibrosis is a common side effect of radiotherapy for head and neck
cancer. Although treatments for fibrosis have been developed, valid and reliable
measurement tools are needed to verify their efficacy. The purpose of this review
was to identify and appraise tools used to measure head and neck fibrosis.
METHODS: Electronic databases were searched for primary research published
through April 2014. Main search terms included head and neck cancer,
radiotherapy, fibrosis, validity, and reliability. Methodological quality was
assessed using Quality Assessment of Diagnostic Accuracy Studies (QUADAS-2). Two
blinded raters conducted all assessments. Discrepancies were resolved by
consensus. RESULTS: The search retrieved 534 unique citations. Nine studies met
our inclusion criteria, representing 9 different tools. Only 1 tool was assessed
for reliability and validity. QUADAS-2 revealed that all studies were at risk for
bias. CONCLUSION: To date, there are no valid and reliable techniques for
measuring fibrosis postradiotherapy for head and neck cancer, especially within
the suprahyoid and pharyngeal regions. (c) 2015 Wiley Periodicals, Inc. Head Neck
38: E2322-E2334, 2016.
PMID- 26559912
TI - Withdrawal of anticancer therapy in advanced disease: a systematic literature
review.
AB - BACKGROUND: Current guidelines set out when to start anticancer treatments, but
not when to stop as the end of life approaches. Conventional cytotoxic agents are
administered intravenously and have major life-threatening toxicities. Newer
drugs include molecular targeted agents (MTAs), in particular, small molecule
kinase-inhibitors (KIs), which are administered orally. These have fewer life
threatening toxicities, and are increasingly used to palliate advanced cancer,
generally offering additional months of survival benefit. MTAs are substantially
more expensive, between L2-8 K per month, and perceived as easier to start than
stop. METHODS: A systematic review of decision-making concerning the withdrawal
of anticancer drugs towards the end of life within clinical practice, with a
particular focus on MTAs. Nine electronic databases searched. PRISMA guidelines
followed. RESULTS: Forty-two studies included. How are decisions made? Decision
making was shared and ongoing, including stopping, starting and trying different
treatments. Oncologists often experienced 'professional role dissonance' between
their self-perception as 'treaters', and talking about end of life care. Why are
decisions made? Clinical factors: disease progression, worsening functional
status, treatment side-effects. Non-clinical factors: physicians' personal
experience, values, emotions. Some patients continued treatment to maintain
'hope', often reflecting limited understanding of palliative goals. When are
decisions made? Limited evidence reveals patients' decisions based upon quality
of life benefits. Clinicians found timing withdrawal particularly challenging.
Who makes the decisions? Decisions were based within physician-patient
interaction. CONCLUSIONS: Oncologists report that decisions around stopping
chemotherapy treatment are challenging, with limited evidence-based guidance
outside of clinical trial protocols. The increasing availability of oral MTAs is
transforming the management of incurable cancer; blurring boundaries between
active treatment and palliative care. No studies specifically addressing decision
making around stopping MTAs in clinical practice were identified. There is a need
to develop an evidence base to support physicians and patients with decision
making around the withdrawal of these high cost treatments.
PMID- 26559915
TI - The impact of adjunctive complex fractionated atrial electrogram ablation and
linear lesions on outcomes in persistent atrial fibrillation: a meta-analysis.
AB - AIMS: In persistent atrial fibrillation (PsAF), success rates for pulmonary vein
isolation (PVI) alone are limited and additional substrate modification is often
performed. The two most widely used substrate-based strategies are the ablation
of complex fractionated atrial electrograms (CFAE) and left atrial linear
ablation (LALA) at the roof and mitral isthmus. However, it is unclear whether
adjunctive CFAE ablation or LALA add significant benefit to PVI alone. We
performed a meta-analysis to better gauge the benefit of adjunctive CFAE ablation
and LALA in PsAF. METHODS AND RESULTS: Electronic databases were systematically
searched. We included studies that examined the impact of CFAE ablation or LALA
in addition to a PVI-based strategy on clinical outcomes in PsAF. We included
both randomized and non-randomized studies. Totally 10 studies (n = 1821) were
included: 6 evaluating CFAE ablation, 3 LALA, and 1 both approaches. In
comparison with PVI alone, the addition of CFAE ablation [RR 0.86; 95% confidence
intervals (CI) 0.64, 1.16; P = 0.32] or LALA (RR 0.64; 95% CI 0.37, 1.09; P =
0.10) offered no significant improvement in arrhythmia-free survival. However,
adjunctive CFAE ablation was associated with significant increases (P < 0.05) and
LALA non-significant increases in procedure and fluoroscopy times. CONCLUSION: In
PsAF, the addition of CFAE ablation or LALA, in comparison with PVI alone, offers
no significant improvement in arrhythmia-free survival. Furthermore, they are
associated with increases in both procedural and fluoroscopy times. The optimal
ablation strategy for PsAF is currently unclear and needs further refinement.
PMID- 26559914
TI - Connectivity between the OFF bipolar type DB3a and six types of ganglion cell in
the marmoset retina.
AB - Parallel visual pathways originate at the first synapse in the retina, where
cones make connections with cone bipolar cells that in turn contact ganglion
cells. There are more ganglion cell types than bipolar types, suggesting that
there must be divergence from bipolar to ganglion cells. Here we analyze the
contacts between an OFF bipolar type (DB3a) and six ganglion cell types in the
retina of the marmoset monkey (Callithrix jacchus). Ganglion cells were
transfected via particle-mediated gene transfer of an expression plasmid for the
postsynaptic density 95-green fluorescent protein (PSD95-GFP), and DB3a cells
were labeled via immunohistochemistry. Ganglion cell types that fully or
partially costratified with DB3a cells included OFF parasol, OFF midget, broad
thorny, recursive bistratified, small bistratified, and large bistratified cells.
On average, the number of DB3a contacts to parasol cells (18 contacts per axon
terminal) is higher than that to other ganglion cell types (between four and
seven contacts). We estimate that the DB3a output to OFF parasol cells accounts
for at least 30% of the total DB3a output. Furthermore, we found that OFF parasol
cells receive approximately 20% of their total bipolar input from DB3a cells,
suggesting that other diffuse bipolar types also provide input to OFF parasol
cells. We conclude that DB3a cells preferentially contact OFF parasol cells but
also provide input to other ganglion cell types.
PMID- 26559917
TI - Early repolarization pattern and its day-to-day dynamic change as markers for
ventricular fibrillation in patients with vasospastic angina.
AB - AIMS: An early repolarization (ER) pattern is a risk factor for ventricular
fibrillation (VF) in certain diseases. However, it is unclear whether this
association holds for patients with vasospastic angina (VSA). Moreover, the
reported long-term follow-up of implantable cardioverter defibrillator (ICD)
therapy for VSA patients is limited to 3 years. This study aimed to clarify the
relation between ER and VF in patients with VSA and to investigate the long-term
outcomes of ICD therapy. METHODS AND RESULTS: This retrospective, observational
survey evaluated 265 consecutive VSA patients, including 21 with VF. Their
electrocardiogram findings and clinical course were analysed over a mean follow
up of 5.5 +/- 3.3 years. Early repolarization was observed in 64 patients (24.2%)
and was more frequent in patients with VF history than those without (P = 0.001).
Early repolarization was independently associated with VF history. During follow
up, four patients had VF recurrences. Ventricular fibrillation recurrence was
higher in patients with ER (log-rank, P = 0.018) or VF history (log-rank, P <
0.001) than those without. Among patients with ER, day-to-day variations in ER (P
= 0.003) and notching of ER pattern (P = 0.03) were associated with VF history.
Cases with day-to-day variation showed a higher incidence of VF recurrence during
follow-up (log-rank, P = 0.007). During long-term follow-up, 23.5% of patients
with an ICD received appropriate shock therapy. CONCLUSION: The presence of ER,
especially with day-to-day variation, can help predict VF recurrence in VSA
patients. Implantable cardioverter defibrillator implantation is a reasonable
approach for the secondary prevention of VF in high-risk VSA patients.
PMID- 26559916
TI - Near zerO fluoroscopic exPosure during catheter ablAtion of supRavenTricular
arrhYthmias: the NO-PARTY multicentre randomized trial.
AB - AIMS: Aim of this study was to compare a minimally fluoroscopic radiofrequency
catheter ablation with conventional fluoroscopy-guided ablation for
supraventricular tachycardias (SVTs) in terms of ionizing radiation exposure for
patient and operator and to estimate patients' lifetime attributable risks
associated with such exposure. METHODS AND RESULTS: We performed a prospective,
multicentre, randomized controlled trial in six electrophysiology (EP)
laboratories in Italy. A total of 262 patients undergoing EP studies for SVT were
randomized to perform a minimally fluoroscopic approach (MFA) procedure with the
EnSiteTMNavXTM navigation system or a conventional approach (ConvA) procedure.
The MFA was associated with a significant reduction in patients' radiation dose
(0 mSv, iqr 0-0.08 vs. 8.87 mSv, iqr 3.67-22.01; P < 0.00001), total fluoroscopy
time (0 s, iqr 0-12 vs. 859 s, iqr 545-1346; P < 0.00001), and operator radiation
dose (1.55 vs. 25.33 uS per procedure; P < 0.001). In the MFA group, X-ray was
not used at all in 72% (96/134) of cases. The acute success and complication
rates were not different between the two groups (P = ns). The reduction in
patients' exposure shows a 96% reduction in the estimated risks of cancer
incidence and mortality and an important reduction in estimated years of life
lost and years of life affected. Based on economic considerations, the benefits
of MFA for patients and professionals are likely to justify its additional costs.
CONCLUSION: This is the first multicentre randomized trial showing that a MFA in
the ablation of SVTs dramatically reduces patients' exposure, risks of cancer
incidence and mortality, and years of life affected and lost, keeping safety and
efficacy. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT01132274.
PMID- 26559918
TI - Cavotricuspid isthmus ablation using a catheter equipped with mini electrodes on
the 8 mm tip: a prospective comparison with an 8 mm dumbbell-shaped tip catheter
and 8 mm tip cryothermal catheter.
AB - AIMS: The mini electrodes (ME) placed on the tip of the ablation electrode
provide more precise local signal. We evaluated whether ME catheter was effective
for the ablation of cavotricuspid isthmus (CTI)-dependent atrial flutter. METHODS
AND RESULTS: Eighty-five consecutive patients (68 men; 62 +/- 10 years) underwent
CTI ablation either using a catheter equipped with ME on the 8 mm tip (ME
catheter) in 25 patients (Group A), 8 mm dumbbell-shaped (DS) tip catheter (DS
catheter) in 30 patients (Group B), or 8 mm tip cryothermal catheter (Cryo
catheter) in 30 patients (Group C). In cases of failed isthmus block, the
catheter was changed to the other catheter, but patients remained in the original
group following intention-to-treat analysis. The endpoint was achieved in all
patients after 13 +/- 7 applications in Group A, 9 +/- 4 applications in Group B,
and 5 +/- 2 applications in Group C (P < 0.001). The fluoroscopic and procedure
times were significantly longer in Group A (9 +/- 7 and 28 +/- 17 min, P = 0.001,
and P = 0.002, respectively) when compared with Groups B (6 +/- 4 and 13 +/- 6
min) and C (4 +/- 3 and 14 +/- 7 min). A crossover was performed in 14 (56%)
Group A patients, and 3 (10%) Group C patients. The mean power delivered in Group
A was significantly lower than in Group B (31.3 +/- 9.1 vs. 38.6 +/- 7.6 W, P =
0.015). CONCLUSION: The ME catheter was found to be less effective than the Cryo
catheter and a DS catheter for the CTI ablation.
PMID- 26559919
TI - Familial clustering and subsequent incidence of atrial fibrillation among first
degree relatives in Denmark.
AB - AIMS: Atrial fibrillation (AF) is associated with increased morbidity and
mortality. Determination and quantification of familial risk may help identify
high-risk patients. METHODS AND RESULTS: Using Danish nationwide registry data
(1978-2012), we identified all first-time AF patients (probands) in Denmark.
Relatives to these probands were grouped according to proband-relation: offspring
from either maternal or paternal proband, and siblings to proband. Age-specific
incidence of AF for these three groups of relatives and for the general Danish
population was estimated. Using the general population as reference, we
calculated adjusted rate ratios (RRs) of AF in the three groups of relatives. We
identified 67 310, 103 822, and 11 800 AF probands who were mothers (median age
74 years, IQR 66-81), fathers (70 years, IQR 62-78), and siblings (46 years, IQR
38-52), respectively. Among those, 133 516, 221 774, and 21 448 offspring from a
maternal proband, offspring from a paternal proband, and siblings, respectively,
were screened for incident AF. This was recorded in 2536 (1.9%), 2906 (1.3%), and
292 (1.4%) relatives, respectively. Compared with the general Danish population,
the adjusted RRs for incident AF were 3.37 [95% confidence interval (CI) 3.21
3.53] for offspring from maternal probands, 2.81 (95% CI 2.69-2.93) for offspring
from paternal probands, and 5.20 (95% CI 4.61-5.85) for siblings to sibling
probands. Subgroup analyses showed increased RRs with younger aged probands.
CONCLUSION: Familial AF was associated with an increased RR of AF in first-degree
relatives compared with the general Danish population. This suggests that
familial AF is a major risk factor for developing AF in relatives.
PMID- 26559920
TI - Pacemakers implantation and radiofrequency catheter ablation procedures during
medical missions in Morocco: an 8-year experience.
AB - AIMS: Radiofrequency catheter ablation (RFCA) for arrhythmias in the context of
short-term medical missions (MM) in a developing country has not been reported so
far. We describe here our experience with RFCA and pacemaker implantation in
Morocco with a fully portable electrophysiological (EP) system under the auspice
of the Monaco-Morocco Cardiology Association. METHODS AND RESULTS: Since November
2007, two to three MM (mean duration 4 days including transportation) per year
were conducted (including two physicians and one nurse from Monaco) and were
alternately located in Marrakech, Fes, Agadir, Casablanca, Rabat, Essaouira, and
Oujda. All patients' files were sent by local teams and/or referring Moroccan
cardiologists before MM. Each case was discussed with the Monaco EP team before
the MM. Pacemakers and leads were donated by companies (Sorin Group, Medtronic,
Saint-Jude Medical). The EP system (EP Tracer, CardioTek) as well as
diagnostic/ablation catheters were brought for RFCA procedures. After the
procedures, follow-up was performed by local teams. Procedures took place in
gynaecological or orthopaedic operating room, or, when available, in the
interventional cardiology cathlab. Thirty-one RFCA were performed during 11 MM
(atrioventricular node re-entrant tachycardia = 12; atrioventricular re-entrant
tachycardia/Mahaim fibre = 15; typical atrial flutter = 3; ventricular ectopy =
1). Acute success was 93.5% for RFCA. Two major RFCA-related complications
occurred (air embolism and complete atrioventricular block). No complication was
related to pacemaker implantations (n = 44; mean 4 pacemakers per mission).
CONCLUSION: Radiofrequency catheter ablation for arrhythmias in developing
countries is technically challenging but feasible, despite technical and cultural
difficulties.
PMID- 26559921
TI - Deficiencies in the health care system contribute to a high rate of late HIV
diagnosis in Sweden.
AB - OBJECTIVES: The aim of the study was to identify factors in HIV-infected patients
and the health care system which contribute to late diagnosis. METHODS: All
patients who were newly diagnosed with HIV infection at 12 clinics in Sweden over
a period of 2.5 years (n = 575) were included in the study, corresponding to
three-quarters of newly diagnosed HIV infections in the country. The patients
were classified as non-late presenters or late presenters (LPs), defined as those
with a CD4 count < 350 cells/MUL or AIDS. LPs were subdivided into those without
and those with advanced HIV disease, which was defined as a CD4 count < 200
cells/MUL or AIDS. Demographics, missed AIDS and HIV-associated symptoms in the
preceding 3 years, immigration date, and health examination at immigration were
recorded. RESULTS: Fifty-eight per cent of the patients were LPs, of whom 66% had
advanced disease. Age > 30 years, origin in sub-Saharan Africa or Eastern
Europe/Asia/the Pacific region, and country of transmission being in sub-Saharan
Africa or unknown were associated with late presentation. Half of the patients of
non-Swedish origin had lived for more than 1 year in Sweden at diagnosis and 66%
had a missed HIV testing opportunity at immigration. Twenty-seven per cent of all
patients had presented for health care with AIDS- and/or HIV-associated
conditions without having an HIV test. Sixteen per cent had a history of symptoms
without seeking care. CONCLUSIONS: Deficiencies in the health care system with
missed HIV testing opportunities contribute to the high proportion of late
presenters in Sweden, especially among migrants. With increased testing at
immigration and further incorporation of "indicator-guided" testing in general
practice, most patients could be diagnosed earlier.
PMID- 26559922
TI - TB/HIV co-infections and associated factors among patients on directly observed
treatment short course in Northeastern Ethiopia: a 4 years retrospective study.
AB - BACKGROUND: Human immunodeficiency virus (HIV) and tuberculosis (TB) are the
leading independent global causes of death among patients with infectious
diseases. Additionally, due to the shared immune defense mechanisms, they are the
leading cause of co-morbidities globally. However, little information was found
regarding the proportion of TB/HIV co-infection in the study area. Thus, this
study determined the proportion and associated factors of TB/HIV co-infection.
METHODS: All TB patients treated from January/2011 to December/2014 were included
in this study. Data were collected from three health centers namely; Kobo, Robit
and Gobiye. Data were entered, cleared, and analyzed using SPSS version 20.
Frequency, percentage, median and range were used to present the data. To assess
the associated factors, logistic regression was employed. RESULTS: Of the total
990 TB patients enrolled in the study, 98.2 % were screened for HIV; of these,
24.3 % were co-infected with TB and HIV. The odds of having TB/HIV co-infection
were 3.4 times higher among in the age group of 25-45 years compared to older
(>=45 years) age TB patients (OR = 3.4; 95 % CI 2-5). Moreover, the odds of
having TB/HIV co-infection were 2.8 and 1.7 times higher among smear positive and
smear negative patients with pulmonary TB respectively than patients with extra
pulmonary TB. Of 236 co-infected patients, 71.2 % took co-trimoxazole preventive
therapy and 76.3 % took antiretroviral treatment. CONCLUSION: TB/HIV co-infection
is one of the serious public health problems in the study area. Thus,
Collaborative TB/HIV activities that reduce the co-morbidities and mortalities
should be addressed.
PMID- 26559923
TI - Pushing Single-Oxygen-Atom-Bridged Bimetallic Systems to the Right: A Cryptand
Encapsulated Co-O-Co Unit.
AB - A dicobalt(II) complex, [Co2(mBDCA-5t)](2-) (1), demonstrates a cofacial
arrangement of trigonal monopyramidal Co(II) ions with an inter-metal separation
of 6.2710(6) A. Reaction of 1 with potassium superoxide generates an encapsulated
Co-O-Co core in the dianionic complex, [Co2O(mBDCA-5t)](2-) (2); to form the
linear Co-O-Co core, the inter-metal distance has diminished to 3.994(3) A. Co K
edge X-ray absorption spectroscopy data are consistent with a +2 oxidation state
assignment for Co in both 1 and 2. Multireference complete active space
calculations followed by second-order perturbation theory support this
assignment, with hole equivalents residing on the bridging O-atom and on the
cryptand ligand for the case of 2. Complex 2 acts as a 2-e(-) oxidant toward
substrates including CO and H2, in both cases efficiently regenerating 1 in what
represent net oxygen-atom-transfer reactions. This dicobalt system also functions
as a catalase upon treatment with H2O2.
PMID- 26559924
TI - Description of Luteimonas pelagia sp. nov., isolated from marine sediment, and
emended descriptions of Luteimonas aquatica, Luteimonas composti, Luteimonas
mephitis, Lysobacter enzymogenes and Lysobacter panaciterrae.
AB - An aerobic, Gram-stain-negative, non-motile, rod-shaped bacterium, designated
strain CC-VAM-7T, was isolated from a marine sediment sample collected at
Kending, Taiwan. The isolate grew optimally at pH 7.0 and 30 degrees C and in
the presence of 4 % (w/v) NaCl. The most closely related strains in terms of 16S
rRNA gene sequence similarity were the type strains of Luteimonas huabeiensis
(95.2 %) and Lysobacter defluvii (95.0 %). Phylogenetic analyses based on 16S
rRNA gene sequences revealed that strain CC-VAM-7T clustered with members of the
genus Luteimonas. Strain CC-VAM-7T possessed C15 : 1omega5c, C16 : 1omega5c, iso
C11 : 0, iso-C15 : 0, iso-C16 : 0, iso-C17 : 0, iso-C11 : 0 3-OH and summed
feature 9 (10-methyl C16 : 0 and/or iso-C17 : 1omega9c) as predominant fatty
acids. The polar lipid profile contained major amounts of diphosphatidylglycerol,
phosphatidylglycerol and phosphatidylethanolamine and moderate amounts of an
unidentified phospholipid. The genomic DNA G+C content was 73.4 mol%. Ubiquinone
8 (Q-8) was the predominant respiratory quinone. According to its distinct
phylogenetic, phenotypic and chemotaxonomic features, which were in line with
those of other members of the genus Luteimonas, strain CC-VAM-7T is proposed to
represent a novel species within the genus Luteimonas, for which the name
Luteimonas pelagia sp. nov. is proposed. The type strain is CC-VAM-7T ( = BCRC
80558T = JCM 18792T). In addition, we propose emended descriptions of Luteimonas
aquaticaChou et al. 2008, Luteimonas compostiYoung et al. 2007, Luteimonas
mephitisFinkmann et al. 2000, Lysobacter enzymogenesChristensen and Cook 1978 and
Lysobacter panaciterraeTen et al. 2009.
PMID- 26559925
TI - Protein aggregation as an antibiotic design strategy.
AB - Taking advantage of the xenobiotic nature of bacterial infections, we tested
whether the cytotoxicity of protein aggregation can be targeted to bacterial
pathogens without affecting their mammalian hosts. In particular, we examined if
peptides encoding aggregation-prone sequence segments of bacterial proteins can
display antimicrobial activity by initiating toxic protein aggregation in
bacteria, but not in mammalian cells. Unbiased in vitro screening of aggregating
peptide sequences from bacterial genomes lead to the identification of several
peptides that are strongly bactericidal against methicillin-resistant
Staphylococcus aureus. Upon parenteral administration in vivo, the peptides cured
mice from bacterial sepsis without apparent toxic side effects as judged from
histological and hematological evaluation. We found that the peptides enter and
accumulate in the bacterial cytosol where they cause aggregation of bacterial
polypeptides. Although the precise chain of events that leads to cell death
remains to be elucidated, the ability to tap into aggregation-prone sequences of
bacterial proteomes to elicit antimicrobial activity represents a rich and
unexplored chemical space to be mined in search of novel therapeutic strategies
to fight infectious diseases.
PMID- 26559927
TI - Use of Smart Technology for Remote Consultation in the Pediatric Cardiac
Catheterization Laboratory.
AB - OBJECTIVES: To evaluate the use of smartphone and tablet technology ("smart
technology" or ST) in remote consultation for pediatric and congenital cardiac
catheterization. DESIGN: Two online surveys, to early-career (Mentees) and senior
(Mentors) pediatric interventional cardiologists, designed to assess the current
use and attitudes toward ST for remote consultation. In addition, the current
literature is reviewed for use of remote consultations and potential legal and
patient privacy concerns. RESULTS: Forty-six (40%) Mentees and 19 (48%) Mentors
responded. 74% of Mentees report using ST for remote consultation, 26% for nearly
every case, with 45% of communication occurring during a procedure. Over one
third of Mentees report they have performed an intervention for the first time
based on ST consultation. Of Mentors that reported receiving ST consultation, 58%
were comfortable providing advice via this method, but 42% felt that the imaging
sent was inadequate to provide appropriate advice for at least one case. Both
groups felt the speed of consultation was much faster using ST. Privacy measures
were reportedly used in up to 85% of consultations, while only 12% of respondents
obtained informed consent for the consultation. The most common barrier
identified by Mentees for use of ST for consultations was patient privacy
concerns. CONCLUSIONS: ST use is prevalent among early-career pediatric and
congenital interventional cardiologists for consultation regarding
catheterization procedures. There are many technologic, legal, and patient
privacy concerns that will need to be addressed as the use of ST for remote
consultation grows.
PMID- 26559926
TI - Systems biology approaches for identifying adverse drug reactions and elucidating
their underlying biological mechanisms.
AB - Small molecules are indispensable to modern medical therapy. However, their use
may lead to unintended, negative medical outcomes commonly referred to as adverse
drug reactions (ADRs). These effects vary widely in mechanism, severity, and
populations affected, making ADR prediction and identification important public
health concerns. Current methods rely on clinical trials and postmarket
surveillance programs to find novel ADRs; however, clinical trials are limited by
small sample size, whereas postmarket surveillance methods may be biased and
inherently leave patients at risk until sufficient clinical evidence has been
gathered. Systems pharmacology, an emerging interdisciplinary field combining
network and chemical biology, provides important tools to uncover and understand
ADRs and may mitigate the drawbacks of traditional methods. In particular,
network analysis allows researchers to integrate heterogeneous data sources and
quantify the interactions between biological and chemical entities. Recent work
in this area has combined chemical, biological, and large-scale observational
health data to predict ADRs in both individual patients and global populations.
In this review, we explore the rapid expansion of systems pharmacology in the
study of ADRs. We enumerate the existing methods and strategies and illustrate
progress in the field with a model framework that incorporates crucial data
elements, such as diet and comorbidities, known to modulate ADR risk. Using this
framework, we highlight avenues of research that may currently be underexplored,
representing opportunities for future work.
PMID- 26559928
TI - Knowledge translation regarding financial abuse and dementia for the banking
sector: the development and testing of an education tool.
AB - OBJECTIVE: Financial abuse is the most common form of elder abuse. Capacity
Australia, established to promote education regarding capacity and abuse
prevention across health, legal and financial sectors, was awarded a grant by the
Dementia Collaborative Research Centre to educate the banking sector on financial
abuse and dementia. We aimed to develop a knowledge translation tool for bank
staff on this issue. METHODS: The banking sector across Australia was engaged and
consulted to develop a tailored education tool based on Australian Banking
Association's Guidelines on Financial Abuse Prevention, supplemented by
information related to dementia, financial capacity and supported decision
making. The tool was tested on 69 banking staff across Australia from two major
banks. RESULTS: An online education tool using adaptive learning was developed,
comprising a pretest of 15 multiple choice questions, followed by a learning
module tailored to the individual's performance on the pretest, and a post-test
to assess knowledge translation. A significant increase in scores was
demonstrated when baseline scores were compared with post-course scores (mean
difference in scores = 3.5; SD = 1.94; t = 15.1; df = 68; p < 0.001). The tool
took approximately 10-20 min to complete depending on the knowledge of
participant and continuity of completion. CONCLUSIONS: The Australian banking
industry was amenable to assist in the development of a tailored education tool
on dementia, abuse and financial capacity. This online e-tool provides an
effective medium for knowledge translation. Copyright (c) 2015 John Wiley & Sons,
Ltd.
PMID- 26559929
TI - Impact of antibiotic choices made in the emergency department on appropriateness
of antibiotic treatment of urinary tract infections in hospitalized patients.
AB - BACKGROUND: Overuse of antibiotics to treat urinary tract infections (UTIs) is
common in hospitalized patients and may begin in the emergency department (ED).
METHODS: For a 4-week period we reviewed medical records of all patients admitted
to the hospital who initiated treatment for a UTI in the ED. RESULTS: According
to study criteria, initiation of antibiotics was inappropriate for 55 of 94
patients (59% [95% confidence interval {CI}, 48%-69%]), and continuation after
admission was inappropriate for 54 of 80 patients (68% [95% CI, 57%-78%]).
CONCLUSION: Failure to reevaluate the need for antibiotics initiated in the ED to
treat UTIs may lead to overuse of antibiotics in hospitalized patients.
PMID- 26559930
TI - Clinical implications of and lessons learnt from external assessment of Mers-CoV
diagnostics.
AB - With the emergence of new viral infections, it is necessary to set up new target
specific assays, based on existing molecular techniques such as real-time PCR, as
quickly as possible. Without these diagnostic tools, the geographical spread of
new infections, follow-up of the disease outbreak and analysis of the
pathogenesis of the disease are not possible. Therefore, the genomic information
of the emerging pathogen, diagnostic protocols and standards allowing quality
control need to be available in a few days. This can only be implemented with
good quality experienced laboratories having suitable infrastructure to establish
in-house assays. Even though these molecular tools are available quickly,
challenges still remain with what sample types to select for a proper diagnostic
value.
PMID- 26559931
TI - Selective enhancement of individual cantilever high resonance modes.
AB - Multifrequency atomic force microscopy (AFM) in liquid media where several
eigenmodes or harmonics are simultaneously excited is improving the performance
of the scanning probe techniques in biological studies. As a consequence, an
important effort is being made to search for a reliable, efficient and strong
cantilever high mode excitation method that operates in liquids. In this work we
present (theoretical and experimentally) a technique for improving the efficiency
of the most common excitation methods currently used in AFM operated in liquids:
photothermal, torque (MAC ModeTM) and magnetostriction. By etching specific areas
of the cantilever coating, the oscillation amplitude (both flexural and
torsional) of each specific eigenmode increases, leading to an improvement in
signal to noise ratio of the multifrequency techniques. As an alternative,
increment in high mode oscillation amplitude is also obtained by Ga(+) ion
implantation in the specific areas of the magnetic material.
PMID- 26559932
TI - sigma Aromaticity Dominates in the Unsaturated Three-Membered Ring of
Cyclopropametallapentalenes from Groups 7-9: A DFT Study.
AB - Aromaticity, an old but still fantastic topic, has long attracted considerable
interest of chemists. Generally, pi aromaticity is described by pi-electron
delocalization in closed circuits of unsaturated compounds whereas sigma-electron
delocalization in saturated rings leads to sigma aromaticity. Interestingly, our
recent study shows that sigma aromaticity can be dominating in an unsaturated
three-membered ring (3MR) of cyclopropaosmapentalene. An interesting question is
raised: Can the sigma aromaticity, which is dominant in the unsaturated 3MR, be
extended to other cyclopropametallapentalenes? If so, how could the metal
centers, ligands, and substituents affect the sigma aromaticity? Here, we report
a thorough theoretical study on these issues. The nucleus-independent chemical
shift calculations and the anisotropy of the current-induced density plots reveal
the dominant sigma aromaticity in these unsaturated 3MRs. In addition, our
calculations show that substituents on the 3MRs have significant effects on the
sigma aromaticity, whereas the ligand effect is particularly small.
PMID- 26559933
TI - Epithelial downgrowth after cataract surgery: an atypical presentation with
scleral thinning and massive seeding in anterior chamber.
AB - PURPOSE: To report an atypical presentation of epithelial downgrowth (ED) after
clear cornea cataract extraction, characterized by mixed clinical expression of
both cystic and diffuse form and massive epithelial debris seeding in anterior
chamber, associated with scleral involvement. METHODS: In this case report, fine
needle anterior chamber aspiration cytology was performed to identify pathologic
tissue invading iris, cornea, and angular structures. The patient was treated
with anterior chamber membrane surgical excision. RESULTS: Epithelial downgrowth
was identified by cytologic assessment. The patient was treated with epithelial
membrane peeling and scleral defect conjunctival covering. This conservative
surgical approach led to a significant reduction of ocular pain. During follow
up, residual epithelial tissue was present in anterior chamber without tendency
to regrowth. CONCLUSIONS: In doubtful cases of ED, histocytopathologic analysis
should be performed without hesitation. Avoiding more invasive procedures, early
detection and prompt surgical approach can result in improved outcome even in
case of extensive intraocular involvement.
PMID- 26559934
TI - Errors in IOL power study methodology.
PMID- 26559935
TI - Choroidal effusion and suprachoroidal hemorrhage during phacoemulsification:
intraoperative management to prevent expulsive hemorrhage.
AB - PURPOSE: To describe the intraoperative management of choroidal effusion (CE) or
suprachoroidal hemorrhage (SCH) during cataract surgery with the
phacoemulsification technique. METHODS: The study is a retrospective
interventional study through which we describe the intraoperative management
adopted in 6 cases of CE or SCH during cataract surgery. The study involved 6,400
eyes (phacoemulsification) in 6 years observational time (incidence rate 0.094%).
The surgical time at which these complications happened differed: nucleolus
phacoemulsification in 2 eyes, cortex removal by bimanual irrigation-aspiration
in 3 eyes, and intraocular lens implant for 1 eye. Once the complication was
recognized, each patient was quickly moved to an extreme reverse Trendelenburg
position and pharmacologically treated to manage high blood pressure, pain, and
anxiety (150 mL of an 18% mannitol solution delivered in rapid infusion
intravenously; 1-3 mg intravenous midazolam; 5 nifedipine sublingual drops).
RESULTS: In all the cases reported, the surgery was completed after resolution of
the acute choroidal exudation or SCH. In the follow-up evaluation, the
intraocular pressure was normal at each examination. The visual acuity of the
patients was between 6/7.5 and 5/6 Snellen after 4 weeks. We observed a
statistically significant reduction in endothelial cells in the 2 eyes in which
the CE or SCH happened during the phacoemulsification compared with the other
cases; this finding likely results from mechanical damage (p = 0.04 [95%
confidence interval]). CONCLUSIONS: Choroidal effusion or SCH can be
intraoperatively managed to avoid expulsive hemorrhage and maintain the
possibility of completing the surgery.
PMID- 26559936
TI - Preoperative platelet transfusions and perioperative red blood cell requirements
in patients with thrombocytopenia undergoing noncardiac surgery.
AB - BACKGROUND: Perioperative hemorrhage impacts patient outcomes and health care
resource utilization, yet the risks of transfusion therapies are significant. In
patients with preoperative thrombocytopenia, the effects of prophylactic
preoperative platelet (PLT) transfusion on perioperative bleeding complications
remain uncertain. STUDY DESIGN AND METHODS: This is a retrospective cohort study
of noncardiac surgical patients between January 1, 2008, and December 31, 2011.
Propensity-adjusted analyses were used to evaluate associations between
preoperative thrombocytopenia, preoperative PLT transfusion, and the outcomes of
interest, with a primary outcome of perioperative red blood cell (RBC)
transfusion. RESULTS: A total of 13,978 study participants were included; 860
(6.2%) had a PLT count of not more than 100 * 10(9) /L with 71 (8.3%) receiving
PLTs preoperatively. Administration of PLTs was associated with higher rates of
perioperative RBC transfusion (66.2% vs. 49.1%, p = 0.0065); however, in
propensity-adjusted analysis there was no significant difference between groups
(odds ratio [OR] [95% confidence interval {95% CI}], 1.68 [0.95-2.99]; p =
0.0764]. Patients receiving PLTs had higher rates of intensive care unit (ICU)
admission (OR [95% CI], 1.95 [1.10-3.46]; p = 0.0224) and longer hospital lengths
of stay (estimate [95% bootstrap CI], 7.2 [0.8-13.9] days; p = 0.0006) in
propensity-adjusted analyses. CONCLUSION: Preoperative PLT transfusion did not
attenuate RBC requirements in patients with thrombocytopenia undergoing
noncardiac surgery. Moreover, preoperative PLT transfusion was associated with
increased ICU admission rates and hospital duration. These findings suggest that
more conservative management of preoperative thrombocytopenia may be warranted.
PMID- 26559937
TI - Sexual dysfunction related to antiepileptic drugs in patients with epilepsy.
AB - INTRODUCTION: Epilepsy is a common disease that is mostly treated with
antiepileptic drugs (AEDs). However, the sexual dysfunction (SD) side effects
related to the use of AEDs have not received sufficient attention. AREAS COVERED:
The purpose of this review is to examine the current evidence on SD-related side
effects of AEDs. The incidence, clinical features and major types of SD are
summarized. Furthermore, various AEDs that may cause SDs are addressed in detail.
Finally, we briefly summarize the treatments for SD related to AEDs. EXPERT
OPINION: SD related to AEDs is common. Symptoms include erectile dysfunction
(ED), hyposexuality, hypersexuality and ejaculatory dysfunction. Traditional AEDs
such as valproate and enzyme-inducing AEDs (EIAEDs) may produce high incidences
of decreased libido. Recently, sexual function changes related to new AEDs have
been reported. Topiramate, pregabalin and gabapentin may cause SD, whereas
oxcarbazepine, lamotrigine and levetiracetam may improve sexual function.
Although the treatment for SD related to AEDs remains unclear, switching to
another AED may be an option. Further studies are necessary to better understand
and treat SD related to AEDs.
PMID- 26559938
TI - Phthalates Exert Multiple Effects on Leydig Cell Steroidogenesis.
AB - Humans are significantly exposed to phthalates via food packaging, cosmetics and
medical devices such as tubings and catheters. Testicular Leydig cells (LCs) are
suggested to be among the main targets of phthalate toxicity in the body.
However, their sensitivity to phthalates is species-dependent. This paper
describes the response of the LCs from different species (mouse, rat and human)
to phthalate exposure in different experimental paradigms (in vivo, ex vivo and
in vitro), with particular focus on mechanisms of phthalate action on LC
steroidogenesis. A comprehensive analysis of the impact of phthalate diesters and
phthalate monoesters on LCs in different stages of their development is presented
and possible mechanisms of phthalates action are discussed. Finally novel, not
yet fully elucidated sites of action of phthalate monoesters on the backdoor
pathway of 5alpha-dihydrotestosterone biosynthesis in immature mouse LCs and
their effects on steroidogenesis and redox state in adult mouse LCs are reported.
PMID- 26559939
TI - The structural HCV genes delivered by MPG cell penetrating peptide are directed
to enhance immune responses in mice model.
AB - One of the significant problems in vaccination projects is the lack of an
effective vaccine against hepatitis C virus (HCV). The goal of the current study
is to evaluate and compare two DNA constructs encoding HCV core and coreE1E2
genes alone or complexed with MPG peptide as a delivery system for stimulation of
antibody responses and IFN-gamma secretion in Balb/c mice model. Indeed, MPG cell
penetrating peptide was used to improve DNA immunization in mice. Our results
demonstrated that MPG forms stable non-covalent nanoparticles with pcDNA-core and
pcDNA-coreE1E2 at an N/P ratio of 10:1. The in vitro transfection efficiency of
core or coreE1E2 DNA using MPG and TurboFect delivery systems was confirmed by
western blot analysis. The results indicated the expression of the full-length
core (~21 kDa), and coreE1E2 (~83 kDa) proteins using an anti-His monoclonal
antibody. In addition, the expression of HCV core and coreE1E2 proteins was
performed in bacteria and the purified recombinant proteins were injected to mice
with Montanide 720 adjuvant. Our data showed that the immunized mice with HCV
core and coreE1E2 proteins generated the mixture of sera IgG1 and IgG2a isotypes
considerably higher than other groups. Furthermore, DNA constructs encoding core
and coreE1E2 complexed with MPG could significantly induce IFN-gamma secretion in
lower concentrations than the naked core and coreE1E2 DNAs. Taken together, the
DNA formulations as well as protein regimens used in this study triggered high
level IFN-gamma production in mice, an important feature for the development of
Th1 immune responses.
PMID- 26559940
TI - Genome-wide mapping of Hif-1alpha binding sites in zebrafish.
AB - BACKGROUND: Hypoxia Inducible Factor (HIF) regulates a cascade of transcriptional
events in response to decreased oxygenation, acting from the cellular to the
physiological level. This response is evolutionarily conserved, allowing the use
of zebrafish (Danio rerio) as a model for studying the hypoxic response.
Activation of the hypoxic response can be achieved in zebrafish by homozygous
null mutation of the von Hippel-Lindau (vhl) tumour suppressor gene. Previous
work from our lab has focused on the phenotypic characterisation of this mutant,
establishing the links between vhl mutation, the hypoxic response and cancer. To
further develop fish as a model for studying hypoxic signalling, we examine the
transcriptional profile of the vhl mutant with respect to Hif-1alpha. As our
approach uses embryos consisting of many cell types, it has the potential to
uncover additional HIF regulated genes that have escaped detection in analogous
mammalian cell culture studies. RESULTS: We performed high-density
oligonucleotide microarray analysis of the gene expression changes in von Hippel
Lindau mutant zebrafish, which identified up-regulation of well-known hypoxia
response genes and down-regulation of genes primarily involved in lipid
processing. To identify the dependency of these transcriptional changes on HIF,
we undertook Chromatin Immunoprecipitation linked next generation sequencing
(ChIP-seq) for the transcription factor Hypoxia Inducible Factor 1alpha (HIF
1alpha). We identified HIF-1alpha binding sites across the genome, with binding
sites showing enrichment for an RCGTG motif, showing conservation with the
mammalian hypoxia response element. CONCLUSIONS: Transcriptome analysis of vhl
mutant embryos detected activation of key hypoxia response genes seen in human
cell models of hypoxia, but also suppression of many genes primarily involved in
lipid processing. ChIP-seq analysis of Hif-1alpha binding sites unveiled an
unprecedented number of loci, with a high proportion containing a canonical
hypoxia response element. Whether these sites are functional remains unknown,
nevertheless their frequent location near transcriptional start sites suggests
functionality, and will allow for investigation into the potential hypoxic
regulation of genes in their vicinity. We expect that our data will be an
excellent starting point for analysis of both fish and mammalian gene regulation
by HIF.
PMID- 26559942
TI - Pharmacotherapeutic options for hepatitis B.
AB - INTRODUCTION: Hepatitis B virus (HBV) is the driving force of disease progression
in chronic hepatitis B. Patients with active HBV replication and/or significant
liver disease require timely treatment. Currently, pegylated interferon-alpha
(PEG IFN), entecavir (ETV) and tenofovir disoproxil fumarate (TDF) are the
preferred first-line drugs. AREAS COVERED: A finite course IFN-based therapy has
modest response and may reduce cirrhosis or hepatocellular carcinoma development.
Nucleos(t)ide analogs (Nuc) have excellent safety profile, cumulative or
maintained response and long-term efficacy in terms of reduction or reversal of
fibrosis, decrease in development of cirrhosis and its adverse sequalae. The
optimal duration of Nuc therapy is unknown and a feasible stopping rule with off
therapy monitoring plan has been developed. EXPERT OPINION: Choosing a drug to
initiate therapy in a right patient at a right time should be primarily based on
the prospect and likelihood for improved outcomes. Nuc is the only choice for
patients with hepatic decompensation, pregnant women and those about to receive
immune/chemotherapy or organ transplantation. IFN-based therapy is preferred in
patients with compensated liver disease, particularly in young patients, females
of childbearing age. The development of new drugs and new strategies is the
highest priority in further improving the outcomes of treatment.
PMID- 26559941
TI - On Heels and Toes: How Ants Climb with Adhesive Pads and Tarsal Friction Hair
Arrays.
AB - Ants are able to climb effortlessly on vertical and inverted smooth surfaces.
When climbing, their feet touch the substrate not only with their pretarsal
adhesive pads but also with dense arrays of fine hairs on the ventral side of the
3rd and 4th tarsal segments. To understand what role these different attachment
structures play during locomotion, we analysed leg kinematics and recorded single
leg ground reaction forces in Weaver ants (Oecophylla smaragdina) climbing
vertically on a smooth glass substrate. We found that the ants engaged different
attachment structures depending on whether their feet were above or below their
Centre of Mass (CoM). Legs above the CoM pulled and engaged the arolia ('toes'),
whereas legs below the CoM pushed with the 3rd and 4th tarsomeres ('heels') in
surface contact. Legs above the CoM carried a significantly larger proportion of
the body weight than legs below the CoM. Force measurements on individual ant
tarsi showed that friction increased with normal load as a result of the bending
and increasing side contact of the tarsal hairs. On a rough sandpaper substrate,
the tarsal hairs generated higher friction forces in the pushing than in the
pulling direction, whereas the reverse effect was found on the smooth substrate.
When the tarsal hairs were pushed, buckling was observed for forces exceeding the
shear forces found in climbing ants. Adhesion forces were small but not
negligible, and higher on the smooth substrate. Our results indicate that the
dense tarsal hair arrays produce friction forces when pressed against the
substrate, and help the ants to push outwards during horizontal and vertical
walking.
PMID- 26559943
TI - Influence of body mass index on revision rates after primary total knee
arthroplasty.
AB - PURPOSE: Studies demonstrate that revision rates after primary total knee
arthroplasty (TKA) tend to be higher in obese patients. However, the existence of
a body mass index (BMI) threshold remains unexplored. METHODS: We conducted a
prospective cohort study of 2442 primary TKAs in 2035 patients (69.1 % women;
mean age 72 years; mean follow-up 93 months, range 38-203). We evaluated the
influence of BMI in five categories on all-cause revision after TKA using
incidence rates (IR), hazard ratios (HR), and Kaplan-Meier survival analysis.
Adjustment for baseline imbalances was performed using Cox regression analysis.
RESULTS: Over the study period, 71 revisions occurred. Revision rates were 3.2
cases/1000 patient-years for patients of normal weight, 3.4/1000 for overweight
patients and 3.0/1000 for patients classified as obese class I. At BMI >= 35, a
significant increase in revision was noted. Comparing BMI >= 35 vs. < 35, there
were 6.4 vs. 3.2 /1000. Crude HR was 2.0 [95 % confidence interval (CI) 1.2-3.3,
p = 0.009], and the adjusted HR was 2.1 (95 % CI 1.2-3.6, p = 0.008). CONCLUSION:
All-cause revision rates after primary TKA doubled in patients with a BMI of 35
but were similar in those with a BMI <35.
PMID- 26559944
TI - Eye Movement Deficits Are Consistent with a Staging Model of pTDP-43 Pathology in
Amyotrophic Lateral Sclerosis.
AB - BACKGROUND: The neuropathological process underlying amyotrophic lateral
sclerosis (ALS) can be traced as a four-stage progression scheme of sequential
corticofugal axonal spread. The examination of eye movement control gains deep
insights into brain network pathology and provides the opportunity to detect both
disturbance of the brainstem oculomotor circuitry as well as executive deficits
of oculomotor function associated with higher brain networks. OBJECTIVE: To study
systematically oculomotor characteristics in ALS and its underlying network
pathology in order to determine whether eye movement deterioration can be
categorized within a staging system of oculomotor decline that corresponds to the
neuropathological model. METHODS: Sixty-eight ALS patients and 31 controls
underwent video-oculographic, clinical and neuropsychological assessments.
RESULTS: Oculomotor examinations revealed increased anti- and delayed saccades'
errors, gaze-palsy and a cerebellary type of smooth pursuit disturbance. The
oculomotor disturbances occurred in a sequential manner: Stage 1, only executive
control of eye movements was affected. Stage 2 indicates disturbed executive
control plus 'genuine' oculomotor dysfunctions such as gaze-paly. We found high
correlations (p<0.001) between the oculomotor stages and both, the clinical
presentation as assessed by the ALS Functional Rating Scale (ALSFRS) score, and
cognitive scores from the Edinburgh Cognitive and Behavioral ALS Screen (ECAS).
CONCLUSIONS: Dysfunction of eye movement control in ALS can be characterized by a
two-staged sequential pattern comprising executive deficits in Stage 1 and
additional impaired infratentorial oculomotor control pathways in Stage 2. This
pattern parallels the neuropathological staging of ALS and may serve as a
technical marker of the neuropathological spreading.
PMID- 26559945
TI - Temporal Course of 2014 Ebola Virus Disease (EVD) Outbreak in West Africa
Elucidated through Morbidity and Mortality Data: A Tale of Three Countries.
AB - The explosive outbreak of Ebola virus disease (EVD) in West Africa in 2014
appeared to have lessened in 2015, but potentially continues be a global public
health threat. A simple mathematical model, the Richards model, is utilized to
gauge the temporal variability in the spread of the Ebola virus disease (EVD) in
West Africa in terms of its reproduction number R and its temporal changes via
detection of epidemic waves and turning points during the 2014 outbreaks in the
three most severely affected countries; namely, Guinea, Liberia, and Sierra
Leone. The results reveal multiple waves of infection in each of these three
countries, of varying lengths from a little more than one week to more than one
month. All three countries exhibit marginally fluctuating reproduction numbers
during June-October before gradually declining. Although high mobility continues
between neighboring populations of these countries across the borders, outbreak
in these three countries exhibits decidedly different temporal patterns. Guinea
had the most waves but maintained consistently low transmissibility and hence has
the smallest number of reported cases. Liberia had highest level of transmission
before October, but has remained low since, with no detectable wave after the New
Year. Sierra Leone has gradually declining waves since October, but still
generated detectable waves up to mid-March 2015, and hence has cumulated the
largest number of cases-exceeding that of Guinea and Liberia combined. Analysis
indicates that, despite massive amount of international relief and intervention
efforts, the outbreak is persisting in these regions in waves, albeit more
sparsely and at a much lower level since the beginning of 2015.
PMID- 26559946
TI - Seasonal Dynamics in the Chemistry and Structure of the Fat Bodies of Bumblebee
Queens.
AB - Insects' fat bodies are responsible for nutrient storage and for a significant
part of intermediary metabolism. Thus, it can be expected that the structure and
content of the fat body will adaptively change, if an insect is going through
different life stages. Bumblebee queens belong to such insects as they
dramatically change their physiology several times over their lives in relation
to their solitary overwintering, independent colony foundation stage, and during
the colony life-cycle ending in the senescent stage. Here, we report on changes
in the ultrastructure and lipid composition of the peripheral fat body of Bombus
terrestris queens in relation to seasonal changes in the queens' activity. Six
life stages are defined and evaluated in particular: pharate, callow, before and
after hibernation, egg-laying, and senescence. Transmission electron microscopy
revealed that the fat body contained two main cell types-adipocytes and
oenocytes. Only adipocytes reveal important changes related to the life phase,
and mostly the ration between inclusion and cytoplasm volume varies among
particular stages. Both electron microscopy and chemical analyses of lipids
highlighted seasonal variability in the quantity of the stored lipids, which
peaked prior to hibernation. Triacylglycerols appeared to be the main energy
source during hibernation, while the amount of glycogen before and after
hibernation remained unchanged. In addition, we observed that the representation
of some fatty acids within the triacylglycerols change during the queen's life.
Last but not least, we show that fat body cell membranes do not undergo
substantial changes concerning phospholipid composition in relation to
overwintering. This finding supports the hypothesis that the cold-adaptation
strategy of bumblebee queens is more likely to be based on polyol accumulation
than on the restructuring of lipid membranes.
PMID- 26559947
TI - To Share or Not to Share: Malaysian Healthcare Professionals' Views on Localized
Prostate Cancer Treatment Decision Making Roles.
AB - AIM: To explore the views of Malaysian healthcare professionals (HCPs) on
stakeholders' decision making roles in localized prostate cancer (PCa) treatment.
METHODS: Qualitative interviews and focus groups were conducted with HCPs
treating PCa. Data was analysed using a thematic approach. Four in-depth
interviews and three focus group discussions were conducted between December 2012
and March 2013 using a topic guide. Interviews were audio-recorded, transcribed
verbatim, and analysed thematically. FINDINGS: The participants comprised private
urologists (n = 4), government urologists (n = 6), urology trainees (n = 6),
government policy maker (n = 1) and oncologists (n = 3). HCP perceptions of the
roles of the three parties involved (HCPs, patients, family) included: HCP as the
main decision maker, HCP as a guide to patients' decision making, HCP as a
facilitator to family involvement, patients as main decision maker and patient
prefers HCP to decide. HCPs preferred to share the decision with patients due to
equipoise between prostate treatment options. Family culture was important as
family members often decided on the patient's treatment due to Malaysia's close
knit family culture. CONCLUSIONS: A range of decision making roles were reported
by HCPs. It is thus important that stakeholder roles are clarified during PCa
treatment decisions. HCPs need to cultivate an awareness of sociocultural norms
and family dynamics when supporting non-Western patients in making decisions
about PCa.
PMID- 26559948
TI - Psychosomatic consultation in the workplace: opportunities and limitations of the
services offered--results of a qualitative study.
AB - PURPOSE: In Germany, innovative concepts of anchoring psychotherapeutic
consultations within an occupational setting emerge in models like the
"psychosomatic consultation in the workplace" (PCIW). Characteristic quality is
the close cooperation between company-based occupational health physicians (OPs)
and external psychotherapeutic consultants. Little is currently known about the
attitudes of OPs and other stakeholders in companies in terms of possible
contributions of these offers to their tasks within the field of mental health
and work. METHODS: Data were collected via individual interviews with different
stakeholders (n = 8) and two OP focus groups (each n = 5) with and without
experience with PCIW. Data were analysed with content analysis. RESULTS: Common
mental disorders (CMD) were perceived as occurring increasingly but still being
stigmatized. PCIW allows employees quick access to a neutral psychotherapist and
thus might help to avoid chronification of CMD. For companies, this may mean that
longer periods of absenteeism (and presenteeism) can be avoided. The interviewees
also feel that the ongoing collaboration with a psychotherapeutic specialist may
sensitize OPs to recognize mental disorders earlier and provide basic treatment.
PCIW was stated as an early, easy and fast first access to psychotherapy. The
effort of PCIW is limited if structural changes in the workplace are necessary to
reduce mental stressors. Also, if financed by the company, PCIW should have clear
time limits and cannot aim to replace health insurance benefits. CONCLUSIONS:
Taking above-mentioned limitations into account, PCIW appears to be a promising
tool to bridge the gap between OP-conducted company-based health promotion and
early secondary care.
PMID- 26559949
TI - Neural signatures for sustaining object representations attributed to others in
preverbal human infants.
AB - A major feat of social beings is to encode what their conspecifics see, know or
believe. While various non-human animals show precursors of these abilities,
humans perform uniquely sophisticated inferences about other people's mental
states. However, it is still unclear how these possibly human-specific capacities
develop and whether preverbal infants, similarly to adults, form representations
of other agents' mental states, specifically metarepresentations. We explored the
neurocognitive bases of eight-month-olds' ability to encode the world from
another person's perspective, using gamma-band electroencephalographic activity
over the temporal lobes, an established neural signature for sustained object
representation after occlusion. We observed such gamma-band activity when an
object was occluded from the infants' perspective, as well as when it was
occluded only from the other person (study 1), and also when subsequently the
object disappeared, but the person falsely believed the object to be present
(study 2). These findings suggest that the cognitive systems involved in
representing the world from infants' own perspective are also recruited for
encoding others' beliefs. Such results point to an early-developing, powerful
apparatus suitable to deal with multiple concurrent representations, and suggest
that infants can have a metarepresentational understanding of other minds even
before the onset of language.
PMID- 26559950
TI - Epigenetic regulation of sex ratios may explain natural variation in self
fertilization rates.
AB - Self-fertilization (selfing) favours reproductive success when mate availability
is low, but renders populations more vulnerable to environmental change by
reducing genetic variability. A mixed-breeding strategy (alternating selfing and
outcrossing) may allow species to balance these needs, but requires a system for
regulating sexual identity. We explored the role of DNA methylation as a
regulatory system for sex-ratio modulation in the mixed-mating fish Kryptolebias
marmoratus. We found a significant interaction between sexual identity (male or
hermaphrodite), temperature and methylation patterns when two selfing lines were
exposed to different temperatures during development. We also identified several
genes differentially methylated in males and hermaphrodites that represent
candidates for the temperature-mediated sex regulation in K. marmoratus. We
conclude that an epigenetic mechanism regulated by temperature modulates sexual
identity in this selfing species, providing a potentially widespread mechanism by
which environmental change may influence selfing rates. We also suggest that K.
marmoratus, with naturally inbred populations, represents a good vertebrate model
for epigenetic studies.
PMID- 26559951
TI - Phenotypic divergence during speciation is inversely associated with differences
in seasonal migration.
AB - Differences in seasonal migration might promote reproductive isolation and
differentiation by causing populations in migratory divides to arrive on the
breeding grounds at different times and/or produce hybrids that take inferior
migratory routes. We examined this question by quantifying divergence in song,
colour, and morphology between sister pairs of North American migratory birds. We
predicted that apparent rates of phenotypic differentiation would differ between
pairs that do and do not form migratory divides. Consistent with this prediction,
results from mixed effects models and Ornstein-Uhlenbeck models of evolution
showed different rates of divergence between these groups; surprisingly,
differentiation was greater among non-divide pairs. We interpret this finding as
a result of variable rates of population blending and fusion between partially
diverged forms. Ancient pairs of populations that subsequently fused are now
observed as a single form, whereas those that did not fuse are observable as
pairs and included in our study. We propose that fusion of two populations is
more likely to occur when they have similar migratory routes and little other
phenotypic differentiation that would cause reproductive isolation. By contrast,
pairs with migratory divides are more likely to remain reproductively isolated,
even when differing little in other phenotypic traits. These findings suggest
that migratory differences may be one among several isolating barriers that
prevent divergent populations from fusing and thereby increase the likelihood
that they will continue differentiating as distinct species.
PMID- 26559952
TI - Why does offspring size affect performance? Integrating metabolic scaling with
life-history theory.
AB - Within species, larger offspring typically outperform smaller offspring. While
the relationship between offspring size and performance is ubiquitous, the cause
of this relationship remains elusive. By linking metabolic and life-history
theory, we provide a general explanation for why larger offspring perform better
than smaller offspring. Using high-throughput respirometry arrays, we link
metabolic rate to offspring size in two species of marine bryozoan. We found that
metabolism scales allometrically with offspring size in both species: while
larger offspring use absolutely more energy than smaller offspring, larger
offspring use proportionally less of their maternally derived energy throughout
the dependent, non-feeding phase. The increased metabolic efficiency of larger
offspring while dependent on maternal investment may explain offspring size
effects-larger offspring reach nutritional independence (feed for themselves)
with a higher proportion of energy relative to structure than smaller offspring.
These findings offer a potentially universal explanation for why larger offspring
tend to perform better than smaller offspring but studies on other taxa are
needed.
PMID- 26559953
TI - A symbiont's dispersal strategy: condition-dependent dispersal underlies
predictable variation in direct transmission among hosts.
AB - Direct horizontal transmission of pathogenic and mutualistic symbionts has
profound consequences for host and symbiont fitness alike. While the importance
of contact rates for transmission is widely recognized, the processes that
underlie variation in transmission during contact are rarely considered. Here, we
took a symbiont's perspective of transmission as a form of dispersal and adopted
the concept of condition-dependent dispersal strategies from the study of free
living organisms to understand and predict variation in transmission in the
cleaning symbiosis between crayfish and ectosymbiotic branchiobdellidan worms.
Field study showed that symbiont reproductive success was correlated with host
size and competition among worms for microhabitats. Laboratory experiments
demonstrated high variability in transmission among host contacts. Moreover,
symbionts were more likely to disperse when host size and competition for
microhabitat created a fitness environment below a discrete minimum threshold. A
predictive model based on a condition-dependent symbiont dispersal strategy
correctly predicted transmission in 95% of experimental host encounters and the
exact magnitude of transmission in 67%, both significantly better than
predictions that assumed a fixed transmission rate. Our work provides a dispersal
based understanding of symbiont transmission and suggests adaptive symbiont
dispersal strategies can explain variation in transmission dynamics and complex
patterns of host infection.
PMID- 26559954
TI - How predation shaped fish: the impact of fin spines on body form evolution across
teleosts.
AB - It is well known that predators can induce morphological changes in some fish:
individuals exposed to predation cues increase body depth and the length of
spines. We hypothesize that these structures may evolve synergistically, as
together, these traits will further enlarge the body dimensions of the fish that
gape-limited predators must overcome. We therefore expect that the orientation of
the spines will predict which body dimension increases in the presence of
predators. Using phylogenetic comparative methods, we tested this prediction on
the macroevolutionary scale across 347 teleost families, which display
considerable variation in fin spines, body depth and width. Consistent with our
predictions, we demonstrate that fin spines on the vertical plane (dorsal and
anal fins) are associated with a deeper-bodied optimum. Lineages with spines on
the horizontal plane (pectoral fins) are associated with a wider-bodied optimum.
Optimal body dimensions across lineages without spines paralleling the body
dimension match the allometric expectation. Additionally, lineages with longer
spines have deeper and wider body dimensions. This evolutionary relationship
between fin spines and body dimensions across teleosts reveals functional synergy
between these two traits and a potential macroevolutionary signature of predation
on the evolutionary dynamics of body shape.
PMID- 26559955
TI - Latitudinal gradients in biotic niche breadth vary across ecosystem types.
AB - Several properties of food webs-the networks of feeding links between species-are
known to vary systematically with the species richness of the underlying
community. Under the 'latitude-niche breadth hypothesis', which predicts that
species in the tropics will tend to evolve narrower niches, one might expect that
these scaling relationships could also be affected by latitude. To test this
hypothesis, we analysed the scaling relationships between species richness and
average generality, vulnerability and links per species across a set of 196
empirical food webs. In estuarine, marine and terrestrial food webs there was no
effect of latitude on any scaling relationship, suggesting constant niche breadth
in these habitats. In freshwater communities, on the other hand, there were
strong effects of latitude on scaling relationships, supporting the latitude
niche breadth hypothesis. These contrasting findings indicate that it may be more
important to account for habitat than latitude when exploring gradients in food
web structure.
PMID- 26559956
TI - A female's past experience with predators affects male courtship and the care her
offspring will receive from their father.
AB - Differential allocation occurs when individuals adjust their reproductive
investment based on their partner's traits. However, it remains unknown whether
animals differentially allocate based on their partner's past experiences with
predation risk. If animals can detect a potential mate's experience with
predators, this might inform them about the stress level of their potential mate,
the likelihood of parental effects in offspring and/or the dangers present in the
environment. Using threespined stickleback (Gasterosteus aculeatus), we examined
whether a female's previous experience with being chased by a model predator
while yolking eggs affects male mating effort and offspring care. Males displayed
fewer conspicuous courtship behaviours towards females that had experienced
predation risk in the past compared with unexposed females. This differential
allocation extended to how males cared for the resulting offspring of these
matings: fathers provided less parental care to offspring of females that had
experienced predation risk in the past. Our results show for the first time, to
our knowledge, that variation among females in their predator encounters can
contribute to behavioural variation among males in courtship and parental care,
even when males themselves do not encounter a predator. These results, together
with previous findings, suggest that maternal predator exposure can influence
offspring development both directly and indirectly, through how it affects father
care.
PMID- 26559957
TI - New Type of Papillomavirus and Novel Circular Single Stranded DNA Virus
Discovered in Urban Rattus norvegicus Using Circular DNA Enrichment and
Metagenomics.
AB - Rattus norvegicus (R. norvegicus) are ubiquitous and their presence has several
effects on the human populations in our urban areas on a global scale. Both
historically and presently, this close interaction has facilitated the
dissemination of many pathogens to humans, making screening for potentially
zoonotic and emerging viruses in rats highly relevant. We have investigated
faecal samples from R. norvegicus collected from urban areas using a protocol
based on metagenomic enrichment of circular DNA genomes and subsequent
sequencing. We found a new type of papillomavirus, with a L1 region 82% identical
to that of the known R. norvegicus Papillomavirus 2. Additionally, we found 20
different circular replication associated protein (Rep)-encoding single stranded
DNA (CRESS-DNA) virus-like genomes, one of which has homology to the replication
associated gene of Beak and feather disease virus. Papillomaviruses are a group
of viruses known for their carcinogenic potential, and although they are known to
infect several different vertebrates, they are mainly studied and characterised
in humans. CRESS-DNA viruses are found in many different environments and tissue
types. Both papillomaviruses and CRESS-DNA viruses are known to have pathogenic
potential and screening for novel and known viruses in R. norvegicus could help
identify viruses with pathogenic potential.
PMID- 26559959
TI - 29th Annual Meeting of the Children's Orthopaedics, Frankfurt am Main, 24-25
April 2015.
PMID- 26559958
TI - The Distinct Gene Regulatory Network of Myoglobin in Prostate and Breast Cancer.
AB - Myoglobin (MB) is not only strongly expressed in myocytes, but also at much lower
levels in different cancer entities. 40% of breast tumors are MB-positive, with
the globin being co-expressed with markers of tumor hypoxia in a proportion of
cases. In breast cancer, MB expression is associated with a positive hormone
receptor status and patient prognosis. In prostate cancer, another hormone
dependent cancer type, 53% of tumors were recently shown to express MB.
Especially in more aggressive prostate cancer specimen MB expression also
correlates with increased patient survival rates. Both findings might be due to
tumor-suppressing properties of MB in cancer cells. In contrast to muscle, MB
transcription in breast and prostate cancer mainly depends on a novel,
alternative promoter site. We show here that its associated transcripts can be
upregulated by hypoxia and downregulated by estrogens and androgens in MCF7
breast and LNCaP prostate cancer cells, respectively. Bioinformatic data mining
of epigenetic histone marks and experimental verification reveal a hitherto
uncharacterized transcriptional network that drives the regulation of the MB gene
in cancer cells. We identified candidate hormone-receptor binding elements that
may interact with the cancer-associated MB promoter to decrease its activity in
breast and prostate cancer cells. Additionally, a regulatory element, 250 kb
downstream of the promoter, acts as a hypoxia-inducible site within the
transcriptional machinery. Understanding the distinct regulation of MB in tumors
will improve unraveling the respiratory protein's function in the cancer context
and may provide new starting points for developing therapeutic strategies.
PMID- 26559960
TI - The complete genome sequence of a novel maize-associated totivirus.
AB - Deep sequencing of small RNA (sRNA) populations in maize plants from southwest
China resulted in the identification of a previously unknown dsRNA virus with a
sequence and genome organization resembling that of a totivirus. The complete
viral genome is 3,956 nucleotides in length and contains two open reading frames
(ORFs) with the potential to produce a ORF1-ORF2 fusion protein through a -1
ribosomal frameshift translation mechanism. ORF1 encodes the putative capsid
protein (CP), whereas the predicted product of ORF2 contains motifs typical of an
RNA-dependent RNA polymerase (RdRp). Phylogenetic analysis using the amino acid
sequences of putative RdRp fusion proteins showed that the new virus was grouped
in a clade together with the totiviruses, suggesting that it is a new member of
the genus Totivirus of the family Totiviridae. The virus is tentatively named
"maize-associated totivirus (MATV)". Our findings demonstrate that it is feasible
to identify totiviruses by deep sequencing of small RNAs.
PMID- 26559961
TI - The c-Jun N-terminal kinase (JNK) is involved in H5N1 influenza A virus RNA and
protein synthesis.
AB - The activation of c-jun N-terminal kinases (JNK) was previously shown to be
required for efficient influenza A virus replication, although a detailed
mechanism has not been reported. In this study, we found that replication of H5N1
influenza virus was influenced by the JNK inhibitor SP600125. The results of time
course experiments suggested that SP600125 inhibited an early post-entry step of
viral infection but did not affect nucleocytoplasmic trafficking of the viral
ribonucleoprotein complex. The levels of influenza virus genomic RNA (vRNA), but
not the corresponding cRNA or mRNA, were specifically reduced by SP600125 in
virus-infected cells, indicating that the JNK protein is intimately involved in
vRNA synthesis. Additionally, SP600125 affected H5N1 virus protein synthesis,
because NS1, PB1, PB2, HA and M1 protein production was impaired. Thus, our data
demonstrated a critical role of the JNK protein in the regulation of vRNA and
protein synthesis during virus infection. This enhances our understanding of the
complicated signal transduction network involved in influenza A virus
replication.
PMID- 26559962
TI - Human transferrin receptor triggers an alternative Tacaribe virus internalization
pathway.
AB - Tacaribe virus (TCRV) entry occurs by receptor-mediated endocytosis. To explore
the entry mechanism used by TCRV, the inhibitory effects of drugs and dominant
negative (DN) constructions affecting the main endocytic pathways were analyzed.
In cells lacking the human transferrin receptor (hTfR), compounds and DN proteins
that impair clathrin-mediated endocytosis were shown to reduce virus
internalization without affecting virion binding. In contrast, in cells
expressing the hTfR, compounds that affect clathrin-mediated endocytosis did not
affect TCRV infection. Destabilization of cholesterol-rich plasma membrane
microdomains by treatment with nystatin was not able to block virus entry in the
presence of hTfR. However methyl-beta-cyclodextrin, which extracts cholesterol
from cell membranes, reduced virus internalization in cells expressing the hTfR.
Inhibition of dynamin and neutralization of the pH of intracellular vesicles
reduced virus internalization in all cell lines tested. Taken together, these
results demonstrate that in cells expressing the hTfR, TCRV internalization
depends on the presence of cholesterol, dynamin and acidic intracellular
vesicles, while in the rest of the cell lines analyzed, clathrin-mediated
endocytosis is the main TCRV entry pathway and, as expected, depends on dynamin
and acidic intracellular vesicles. These results represent an important
contribution to the characterization of the arenavirus replication cycle.
PMID- 26559963
TI - Endogenous Cartilage Repair by Recruitment of Stem Cells.
AB - Articular cartilage has a very limited capacity for repair after injury. The
adult body has a pool of stem cells that are mobilized during injury or disease.
These cells exist inside niches in bone marrow, muscle, adipose tissue, synovium,
and other connective tissues. A method that mobilizes this endogenous pool of
stem cells will provide a less costly and less invasive alternative if these
cells successfully regenerate defective cartilage. Traditional microfracture
procedures employ the concept of bone marrow stimulation to regenerate cartilage.
However, the regenerated tissue usually is fibrous cartilage, which has very poor
mechanical properties compared to those of normal hyaline cartilage. A method
that directs the migration of a large number of autologous mesenchymal stem cells
toward injury sites, retains these cells around the defects, and induces
chondrogenic differentiation that would enhance success of endogenous cartilage
repair. This review briefly summarizes chemokines and growth factors that induce
recruitment, proliferation, and differentiation of endogenous progenitor cells,
endogenous cell sources for regenerating cartilage, scaffolds for delivery of
bioactive factors, and bioadhesive materials that are necessary to bring about
endogenous cartilage repair.
PMID- 26559964
TI - The SAMe-TT2R2 score and decision-making between a vitamin K antagonist or a non
vitamin K antagonist oral anticoagulant in patients with atrial fibrillation.
AB - Oral anticoagulation therapy is essential in patients with atrial fibrillation
and clinicians need guidance on decision-making between the vitamin K antagonists
(VKA), e.g. warfarin, or non-vitamin K antagonist oral anticoagulants.
Observational studies have shown that patients who receive VKA therapy spend a
significant percentage of their time with international normalized ratio values
outside of the therapeutic range (time in therapeutic range, TTR <60%.) Recently,
a clinical score has been developed with commonly encountered clinical features,
the SAMe-TT2R2 score, to help decision-making with regard to whether a patient is
likely to do well, or not, with a VKA. Those with a SAMe-TT2R2 score of 0-1 are
likely to do well on a VKA, while those with a SAMe-TT2R2 score >= 2 are on
probability going to achieve suboptimal TTR. In this article, we provide an
overview of the main published retrospective and prospective studies that have
validated the SAMe-TT2R2 score and its value for decision-making in daily
clinical practice.
PMID- 26559965
TI - Effects of Silicate, Phosphate, and Calcium on the Stability of Aldopentoses.
AB - Ribose is an important constituent of RNA: ribose connects RNA bases and forms a
strand of sugar phosphates. Accumulation of ribose on prebiotic Earth was
difficult because of its low stability. Improvement in the yield of ribose by the
introduction of borate or silicate in a formose-like reaction has been proposed.
The effects of borates have been further analyzed and confirmed in subsequent
studies. Nonetheless, the effects of silicates and phosphates remain unclear. In
the present study, we incubated aldopentoses in a highly alkaline aqueous
solution at a moderate temperature to determine the effects of silicate or
phosphate on the degradation rates of ribose and its isomeric aldopentoses. The
formation of a complex of silicate (or phosphate) with ribose was also analyzed
in experiments with (29)Si and (31)P nuclear magnetic resonance (NMR). We found
that silicate or phosphate complexes of ribose were not detectable under our
experimental conditions. The stability of ribose and lyxose improved after
addition of 40-fold molar excess (relative to a pentose) of sodium silicate or
sodium phosphate to the alkaline solution. The stability was not improved further
when an 80-fold molar excess of sodium silicate or sodium phosphate was added.
Calcium was removed from these solutions by precipitation of calcium salts. The
drop in Ca(2+) concentration might have improved the stability of ribose and
lyxose, which are susceptible to aldol addition. The improvement of ribose
stability by the removal of Ca(2+) and by addition of silicate or phosphate was
far smaller than the improvement by borate. Furthermore, all aldopentoses showed
similar stability in silicate- and phosphate-containing solutions. These results
clearly show that selective stabilization of ribose by borate cannot be replaced
by the effects of silicate or phosphate; this finding points to the importance of
borate in prebiotic RNA formation.
PMID- 26559966
TI - Modelling the Interior Structure of Enceladus Based on the 2014's Cassini Gravity
Data.
AB - We present a model for the internal structure of Saturn's moon Enceladus. This
model allows us to estimate the physical conditions at the bottom of the
satellite's potential subsurface water reservoir and to determine the radial
distribution of pressure and gravity. This leads to a better understanding of the
physical and chemical conditions at the water/rock boundary. This boundary is the
most promising area on icy moons for astrobiological studies as it could serve as
a potential habitat for extraterrestrial life similar to terrestrial microbes
that inhabit rocky mounds on Earth's sea floors.
PMID- 26559967
TI - Predictability of horizontal versus vertical muscle surgery outcomes in thyroid
eye disease.
AB - Surgical repair of vertical muscles in thyroid eye disease (TED) is believed to
yield more unpredictable results than horizontal muscle surgery. The purpose of
this study is to determine if the short-term outcomes for strabismus surgery in
TED are equally predictable for horizontal and vertical muscle surgery. We
retrospectively reviewed the charts of 27 consecutive patients who underwent
strabismus surgery for TED from a single surgeon's practice. Eligibility for
inclusion in the study included biochemically stable thyroid disease for at least
a year and stable orthoptic measurements for at least 6 months prior to surgery.
Nine patients had surgery only on vertical rectus muscles, three only on
horizontal, and fifteen on both vertical and horizontal rectus muscles. Mean
follow-up was 2.4 +/- 5.2 months. In primary gaze at 6 m, a mean horizontal
deviation of 16.6 +/- 22.3 PD, and a mean vertical deviation of 19.7 +/- 14.1 PD
were measured pre-operatively. Post-operatively, this measured 2.3 +/- 8.4 PD
horizontally and 2.1 +/- 7.8 PD vertically (p = 0.933). There was no
statistically significant difference between post-operative horizontal and
vertical deviations in elevation, depression, adduction, and abduction. Nine
patients required reoperation to attain satisfactory ocular alignment; seven of
these cases involved repeat surgery on vertical muscles, while two cases required
operation on both horizontal and vertical muscles. Results suggest that surgical
outcomes of both horizontal and vertical muscle surgery are equally predictable
in stable TED; however, reoperation rates were higher for vertical muscles
compared to horizontal muscles.
PMID- 26559968
TI - The use of volume-assured pressure support noninvasive ventilation in acute and
chronic respiratory failure: a practical guide and literature review.
AB - Noninvasive positive pressure ventilation (NPPV) is an important tool in the
management of acute and chronic respiratory failure. Traditionally, continuous
positive airway pressure (CPAP) and bilevel positive airway pressure (BPAP) have
been the most commonly utilized modes for these purposes. Newer hybrid modes of
NPPV, such as average volume-assured pressure support (VAPS), combine the
properties of both volume- and pressure-controlled NPPV and represent another
tool in the treatment of acute and chronic respiratory failure. Evidence
demonstrating the superiority of VAPS over BPAP is sparse, but there have been
studies that have demonstrated comparable efficacy between the two modes. The use
of VAPS in acute hypercapnic respiratory failure has shown better clearance of
CO2 compared to BPAP, due to its property of delivering a more assured tidal
volume. This, however, did not lead to a decrease in hospital-days or improved
mortality, relative to BPAP. The studies evaluating VAPS for chronic respiratory
failure involve small sample sizes but have shown some promise. The benefits
noted with VAPS, however, did not translate into increased survival, decreased
hospitalizations or improved quality of life compared to BPAP. The limited
evidence available suggests that VAPS is equally effective in treating acute and
chronic respiratory failure compared to BPAP. Overall, the evidence to suggest
superiority of one mode over the other is lacking. There is a need for larger
studies before firm conclusions can be made.
PMID- 26559969
TI - The Structure of the Cyprinid herpesvirus 3 ORF112-Zalpha.Z-DNA Complex Reveals a
Mechanism of Nucleic Acids Recognition Conserved with E3L, a Poxvirus Inhibitor
of Interferon Response.
AB - In vertebrate species, the innate immune system down-regulates protein
translation in response to viral infection through the action of the double
stranded RNA (dsRNA)-activated protein kinase (PKR). In some teleost species
another protein kinase, Z-DNA-dependent protein kinase (PKZ), plays a similar
role but instead of dsRNA binding domains, PKZ has Zalpha domains. These domains
recognize the left-handed conformer of dsDNA and dsRNA known as Z-DNA/Z-RNA.
Cyprinid herpesvirus 3 infects common and koi carp, which have PKZ, and encodes
the ORF112 protein that itself bears a Zalpha domain, a putative competitive
inhibitor of PKZ. Here we present the crystal structure of ORF112-Zalpha in
complex with an 18-bp CpG DNA repeat, at 1.5 A. We demonstrate that the bound DNA
is in the left-handed conformation and identify key interactions for the
specificity of ORF112. Localization of ORF112 protein in stress granules induced
in Cyprinid herpesvirus 3-infected fish cells suggests a functional behavior
similar to that of Zalpha domains of the interferon-regulated, nucleic acid
surveillance proteins ADAR1 and DAI.
PMID- 26559970
TI - Vibrio cholerae Porin OmpU Induces Caspase-independent Programmed Cell Death upon
Translocation to the Host Cell Mitochondria.
AB - Porins, a major class of outer membrane proteins in Gram-negative bacteria,
primarily act as transport channels. OmpU is one of the major porins of human
pathogen, Vibrio cholerae. In the present study, we show that V. cholerae OmpU
has the ability to induce target cell death. Although OmpU-mediated cell death
shows some characteristics of apoptosis, such as flipping of phosphatidylserine
in the membrane as well as cell size shrinkage and increased cell granularity, it
does not show the caspase-3 activation and DNA laddering pattern typical of
apoptotic cells. Increased release of lactate dehydrogenase in OmpU-treated cells
indicates that the OmpU-mediated cell death also has characteristics of necrosis.
Further, we show that the mechanism of OmpU-mediated cell death involves major
mitochondrial changes in the target cells. We observe that OmpU treatment leads
to the disruption of mitochondrial membrane potential, resulting in the release
of cytochrome c and apoptosis-inducing factor (AIF). AIF translocates to the host
cell nucleus, implying that it has a crucial role in OmpU-mediated cell death.
Finally, we observe that OmpU translocates to the target cell mitochondria, where
it directly initiates mitochondrial changes leading to mitochondrial membrane
permeability transition and AIF release. Partial blocking of AIF release by
cyclosporine A in OmpU-treated cells further suggests that OmpU may be inducing
the opening of the mitochondrial permeability transition pore. All of these
results lead us to the conclusion that OmpU induces cell death in target cells in
a programmed manner in which mitochondria play a central role.
PMID- 26559971
TI - Nucleotide Excision Repair and Transcription-coupled DNA Repair Abrogate the
Impact of DNA Damage on Transcription.
AB - DNA adducts derived from carcinogenic polycyclic aromatic hydrocarbons like
benzo[a]pyrene (B[a]P) and benzo[c]phenanthrene (B[c]Ph) impede replication and
transcription, resulting in aberrant cell division and gene expression. Global
nucleotide excision repair (NER) and transcription-coupled DNA repair (TCR) are
among the DNA repair pathways that evolved to maintain genome integrity by
removing DNA damage. The interplay between global NER and TCR in repairing the
polycyclic aromatic hydrocarbon-derived DNA adducts (+)-trans-anti-B[a]P-N(6)-dA,
which is subject to NER and blocks transcription in vitro, and (+)-trans-anti
B[c]Ph-N(6)-dA, which is a poor substrate for NER but also blocks transcription
in vitro, was tested. The results show that both adducts inhibit transcription in
human cells that lack both NER and TCR. The (+)-trans-anti-B[a]P-N(6)-dA lesion
exhibited no detectable effect on transcription in cells proficient in NER but
lacking TCR, indicating that NER can remove the lesion in the absence of TCR,
which is consistent with in vitro data. In primary human cells lacking NER, (+)
trans-anti-B[a]P-N(6)-dA exhibited a deleterious effect on transcription that was
less severe than in cells lacking both pathways, suggesting that TCR can repair
the adduct but not as effectively as global NER. In contrast, (+)-trans-anti
B[c]Ph-N(6)-dA dramatically reduces transcript production in cells proficient in
global NER but lacking TCR, indicating that TCR is necessary for the removal of
this adduct, which is consistent with in vitro data showing that it is a poor
substrate for NER. Hence, both global NER and TCR enhance the recovery of gene
expression following DNA damage, and TCR plays an important role in removing DNA
damage that is refractory to NER.
PMID- 26559972
TI - A Novel Function of Molecular Chaperone HSP70: SUPPRESSION OF ONCOGENIC FOXM1
AFTER PROTEOTOXIC STRESS.
AB - The oncogenic transcription factor FOXM1 is overexpressed in the majority of
human cancers, and it is a potential target for anticancer therapy. We identified
proteasome inhibitors as the first type of drugs that target FOXM1 in cancer
cells. Here we found that HSP90 inhibitor PF-4942847 and heat shock also suppress
FOXM1. The common effector, which was induced after treatment with proteasome and
HSP90 inhibitors or heat shock, was the molecular chaperone HSP70. We show that
HSP70 binds to FOXM1 following proteotoxic stress and that HSP70 inhibits FOXM1
DNA-binding ability. Inhibition of FOXM1 transcriptional autoregulation by HSP70
leads to the suppression of FOXM1 protein expression. In addition, HSP70
suppression elevates FOXM1 expression, and simultaneous inhibition of FOXM1 and
HSP70 increases the sensitivity of human cancer cells to anticancer drug-induced
apoptosis. Overall, we determined the unique and novel mechanism of FOXM1
suppression by proteasome inhibitors.
PMID- 26559973
TI - Molecular Basis of mRNA Cap Recognition by Influenza B Polymerase PB2 Subunit.
AB - Influenza virus polymerase catalyzes the transcription of viral mRNAs by a
process known as "cap-snatching," where the 5'-cap of cellular pre-mRNA is
recognized by the PB2 subunit and cleaved 10-13 nucleotides downstream of the cap
by the endonuclease PA subunit. Although this mechanism is common to both
influenza A (FluA) and influenza B (FluB) viruses, FluB PB2 recognizes a wider
range of cap structures including m(7)GpppGm-, m(7)GpppG-, and GpppG-RNA, whereas
FluA PB2 utilizes methylated G-capped RNA specifically. Biophysical studies with
isolated PB2 cap-binding domain (PB2(cap)) confirm that FluB PB2 has expanded
mRNA cap recognition capability, although the affinities toward m(7)GTP are
significantly reduced when compared with FluA PB2. The x-ray co-structures of the
FluB PB2(cap) with bound cap analogs m(7)GTP and GTP reveal an inverted GTP
binding mode that is distinct from the cognate m(7)GTP binding mode shared
between FluA and FluB PB2. These results delineate the commonalities and
differences in the cap-binding site between FluA and FluB PB2 and will aid
structure-guided drug design efforts to identify dual inhibitors of both FluA and
FluB PB2.
PMID- 26559974
TI - Structure and Energetics of Allosteric Regulation of HCN2 Ion Channels by Cyclic
Nucleotides.
AB - Hyperpolarization-activated cyclic nucleotide-gated (HCN) ion channels play an
important role in regulating electrical activity in the heart and brain. They are
gated by the binding of cyclic nucleotides to a conserved, intracellular cyclic
nucleotide-binding domain (CNBD), which is connected to the channel pore by a C
linker region. Binding of cyclic nucleotides increases the rate and extent of
channel activation and shifts it to less hyperpolarized voltages. We probed the
allosteric mechanism of different cyclic nucleotides on the CNBD and on channel
gating. Electrophysiology experiments showed that cAMP, cGMP, and cCMP were
effective agonists of the channel and produced similar increases in the extent of
channel activation. In contrast, electron paramagnetic resonance (EPR) and
nuclear magnetic resonance (NMR) on the isolated CNBD indicated that the induced
conformational changes and the degrees of stabilization of the active
conformation differed for the three cyclic nucleotides. We explain these results
with a model where different allosteric mechanisms in the CNBD all converge to
have the same effect on the C-linker and render all three cyclic nucleotides
similarly potent activators of the channel.
PMID- 26559975
TI - Suppressor Mutations for Presenilin 1 Familial Alzheimer Disease Mutants Modulate
gamma-Secretase Activities.
AB - gamma-Secretase is a multisubunit membrane protein complex containing presenilin
(PS1) as a catalytic subunit. Familial Alzheimer disease (FAD) mutations within
PS1 were analyzed in yeast cells artificially expressing membrane-bound
substrate, amyloid precursor protein, or Notch fused to Gal4 transcriptional
activator. The FAD mutations, L166P and G384A (Leu-166 to Pro and Gly-384 to Ala
substitution, respectively), were loss-of-function in yeast. We identified five
amino acid substitutions that suppress the FAD mutations. The cleavage of amyloid
precursor protein or Notch was recovered by the secondary mutations. We also
found that secondary mutations alone activated the gamma-secretase activity. FAD
mutants with suppressor mutations, L432M or S438P within TMD9 together with a
missense mutation in the second or sixth loops, regained gamma-secretase activity
when introduced into presenilin null mouse fibroblasts. Notably, the cells with
suppressor mutants produced a decreased amount of Abeta42, which is responsible
for Alzheimer disease. These results indicate that the yeast system is useful to
screen for mutations and chemicals that modulate gamma-secretase activity.
PMID- 26559976
TI - Poly(ADP-ribosyl)ation-dependent Transient Chromatin Decondensation and Histone
Displacement following Laser Microirradiation.
AB - Chromatin undergoes a rapid ATP-dependent, ATM and H2AX-independent
decondensation when DNA damage is introduced by laser microirradiation. Although
the detailed mechanism of this decondensation remains to be determined, the
kinetics of decondensation are similar to the kinetics of poly(ADP-ribosyl)ation.
We used laser microirradiation to introduce DNA strand breaks into living cells
expressing a photoactivatable GFP-tagged histone H2B. We find that poly(ADP
ribosyl)ation mediated primarily by poly(ADP-ribose) polymerase 1 (PARP1) is
responsible for the rapid decondensation of chromatin at sites of DNA damage.
This decondensation of chromatin correlates temporally with the displacement of
histones, which is sensitive to PARP inhibition and is transient in nature.
Contrary to the predictions of the histone shuttle hypothesis, we did not find
that histone H1 accumulated on poly(ADP-ribose) (PAR) in vivo. Rather, histone
H1, and to a lessor extent, histones H2A and H2B were rapidly depleted from the
sites of PAR accumulation. However, histone H1 returns to chromatin and the
chromatin recondenses. Thus, the PARP-dependent relaxation of chromatin closely
correlates with histone displacement.
PMID- 26559978
TI - Cardiovascular Risk Factors: From Consolidated Knowledge to a Call for Action.
PMID- 26559977
TI - Interleukin-1beta Processing Is Dependent on a Calcium-mediated Interaction with
Calmodulin.
AB - The secretion of IL-1beta is a central event in the initiation of inflammation.
Unlike most other cytokines, the secretion of IL-1beta requires two signals: one
signal to induce the intracellular up-regulation of pro-IL-1beta and a second
signal to drive secretion of the bioactive molecule. The release of pro-IL-1beta
is a complex process involving proteolytic cleavage by caspase-1. However, the
exact mechanism of secretion is poorly understood. Here we sought to identify
novel proteins involved in IL-1beta secretion and intracellular processing to
gain further insights into the mechanism of IL-1 release. A human proteome
microarray containing 19,951 unique proteins was used to identify proteins that
bind human recombinant pro-IL-1beta. Probes with a signal-to-noise ratio of >3
were defined as biologically relevant. In these analyses, calmodulin was
identified as a particularly strong hit, with a signal-to-noise ratio of ~ 11.
Using an ELISA-based protein-binding assay, the interaction of recombinant
calmodulin with pro-IL-1beta, but not mature IL-1beta, was confirmed and shown to
be calcium-dependent. Finally, using small molecule inhibitors, it was
demonstrated that both calcium and calmodulin were required for nigericin-induced
IL-1beta secretion in THP-1 cells and primary human monocytes. Together, these
data suggest that, following calcium influx into the cell, pro-IL-1beta interacts
with calmodulin and that this interaction is important for IL-1beta processing
and release.
PMID- 26559979
TI - Executive Summary of the Guidelines on Stable Coronary Disease.
PMID- 26559980
TI - Acute Coronary Syndrome Treatment Costs from the Perspective of the Supplementary
Health System.
AB - BACKGROUND: Acute coronary syndrome (ACS) is defined as a "group of clinical
symptoms compatible with acute myocardial ischemia", representing the leading
cause of death worldwide, with a high clinical and financial impact. In this
sense, the development of economic studies assessing the costs related to the
treatment of ACS should be considered. OBJECTIVE: To evaluate costs and length of
hospital stay between groups of patients treated for ACS undergoing angioplasty
with or without stent implantation (stent+ / stent-), coronary artery bypass
surgery (CABG) and treated only clinically (Clinical) from the perspective of the
Brazilian Supplementary Health System (SHS). METHODS: A retrospective analysis of
medical claims of beneficiaries of health plans was performed considering
hospitalization costs and length of hospital stay for management of patients
undergoing different types of treatment for ACS, between Jan/2010 and Jun/2012.
RESULTS: The average costs per patient were R$ 18,261.77, R$ 30,611.07, R$
37,454.94 and R$ 40,883.37 in the following groups: Clinical, stent-, stent+ and
CABG, respectively. The average costs per day of hospitalization were R$
1,987.03, R$ 4,024.72, R$ 6,033.40 and R$ 2,663.82, respectively. The average
results for length of stay were 9.19 days, 7.61 days, 6.19 days and 15.20 days in
these same groups. The differences were significant between all groups except
Clinical and stent- and between stent + and CABG groups for cost analysis.
CONCLUSION: Hospitalization costs of SCA are high in the Brazilian SHS, being
significantly higher when interventional procedures are required.
PMID- 26559981
TI - The Benefits of Prone SPECT Myocardial Perfusion Imaging in Reducing Both
Artifact Defects and Patient Radiation Exposure.
AB - BACKGROUND: Prone imaging has been demonstrated to minimize diaphragmatic and
breast tissue attenuation. OBJECTIVES: To determine the role of prone imaging on
the reduction of unnecessary rest perfusion studies and coronary angiographies
performed, thus decreasing investigation time and radiation exposure. METHODS: We
examined 139 patients, 120 with an inferior wall and 19 with an anterior wall
perfusion defect that might represented attenuation artifact. Post-stress images
were acquired in both the supine and prone position. Coronary angiography was
used as the "gold standard" for evaluating coronary artery patency. The study was
terminated and rest imaging was obviated in the presence of complete improvement
of the defect in the prone position. Quantitative interpretation was performed.
Results were compared with clinical data and coronary angiographic findings.
RESULTS: Prone acquisition correctly revealed defect improvement in 89 patients
(89/120) with inferior wall and 12 patients (12/19) with anterior wall
attenuation artifact. Quantitative analysis demonstrated statistically
significant difference in the mean summed stress scores (SSS) of supine and mean
SSS of prone studies in patients with disappearing inferior wall defect in the
prone position and patent right coronary artery (true negative results). The mean
difference between SSS in supine and in prone position was higher with
disappearing than with remaining defects. CONCLUSION: Technetium-99m (Tc-99m)
tetrofosmin myocardial perfusion imaging with the patient in the prone position
overcomes soft tissue attenuation; moreover it provides an inexpensive, accurate
approach to limit the number of unnecessary rest perfusion studies and coronary
angiographies performed.
PMID- 26559982
TI - Palliative Senning in the Treatment of Congenital Heart Disease with Severe
Pulmonary Hypertension.
AB - BACKGROUND: Transposition of the great arteries (TGA) is the most common cyanotic
cardiopathy, with an incidence ranging between 0.2 and 0.4 per 1000 live births.
Many patients not treated in the first few months of life may progress with
severe pulmonary vascular disease. Treatment of these patients may include
palliative surgery to redirect the flow at the atrial level. OBJECTIVE: Report
our institutional experience with the palliative Senning procedure in children
diagnosed with TGA and double outlet right ventricle with severe pulmonary
vascular disease, and to evaluate the early and late clinical progression of the
palliative Senning procedure. METHODS: Retrospective study based on the
evaluation of medical records in the period of 1991 to 2014. Only patients
without an indication for definitive surgical treatment of the cardiopathy due to
elevated pulmonary pressure were included. RESULTS: After one year of follow-up
there was a mean increase in arterial oxygen saturation from 62.1% to 92.5% and a
mean decrease in hematocrit from 49.4% to 36.3%. Lung histological analysis was
feasible in 16 patients. In 8 patients, pulmonary biopsy grades 3 and 4 were
evidenced. CONCLUSION: The palliative Senning procedure improved arterial oxygen
saturation, reduced polycythemia, and provided a better quality of life for
patients with TGA with ventricular septal defect, severe pulmonary hypertension,
and poor prognosis.
PMID- 26559983
TI - Anxiety, Depression, and General Psychological Distress in Patients with Coronary
Slow Flow.
AB - BACKGROUND: The relationship between psychiatric illness and heart disease has
been frequently discussed in the literature. The aim of the present study was to
investigate the relationship between anxiety, depression and overall
psychological distress, and coronary slow flow (CSF). METHODS: In total, 44
patients with CSF and a control group of 50 patients with normal coronary
arteries (NCA) were prospectively recruited. Clinical data, admission laboratory
parameters, and echocardiographic and angiographic characteristics were recorded.
Symptom Checklist 90 Revised (SCL-90-R), Beck Depression Inventory (BDI), and
Beck Anxiety Inventory (BAI) scales were administered to each patient. RESULTS:
The groups were comparable with respect to age, sex, and atherosclerotic risk
factors. In the CSF group, BAI score, BDI score, and general symptom index were
significantly higher than controls (13 [18.7] vs. 7.5 [7], p = 0.01; 11 [14.7]
vs. 6.5 [7], p = 0.01; 1.76 [0.81] vs. 1.1[0.24], p = 0.01; respectively).
Patients with CSF in more than one vessel had the highest test scores. In
univariate correlation analysis, mean thrombolysis in myocardial infarction
(TIMI) frame counts were positively correlated with BAI (r = 0.56, p = 0.01), BDI
(r = 0.47, p = 0.01), and general symptom index (r = 0.65, p = 0.01). The
psychiatric tests were not correlated with risk factors for atherosclerosis.
CONCLUSION: Our study revealed higher rates of depression, anxiety, and overall
psychological distress in patients with CSF. This conclusion warrants further
studies.
PMID- 26559984
TI - Mortality from Cardiovascular Diseases in the Elderly: Comparative Analysis of
Two Five-year Periods.
AB - BACKGROUND: Cardiovascular diseases are the leading cause of death in Brazil. The
better understanding of the spatial and temporal distribution of mortality from
cardiovascular diseases in the Brazilian elderly population is essential to
support more appropriate health actions for each region of the country.
OBJECTIVE: To describe and to compare geospatially the rates of mortality from
cardiovascular disease in elderly individuals living in Brazil by gender in two 5
year periods: 1996 to 2000 and 2006 to 2010. METHODS: This is an ecological
study, for which rates of mortality were obtained from DATASUS and the population
rates from the Brazilian Institute of Geography and Statistics (Instituto
Brasileiro de Geografia e Estatistica). An average mortality rate for
cardiovascular disease in elderly by gender was calculated for each period. The
spatial autocorrelation was evaluated by TerraView 4.2.0 through global Moran
index and the formation of clusters by the index of local Moran-LISA. RESULTS:
There was an increase, in the second 5-year period, in the mortality rates in the
Northeast and North regions, parallel to a decrease in the South, South-East and
Midwest regions. Moreover, there was the formation of clusters with high
mortality rates in the second period in Roraima among females, and in Ceara,
Pernambuco and Roraima among males. CONCLUSION: The increase in mortality rates
in the North and Northeast regions is probably related to the changing profile of
mortality and improvement in the quality of information, a result of the increase
in surveillance and health care measures in these regions.
PMID- 26559985
TI - Sex-Specific Equations to Estimate Maximum Oxygen Uptake in Cycle Ergometry.
AB - BACKGROUND: Aerobic fitness, assessed by measuring VO2max in maximum
cardiopulmonary exercise testing (CPX) or by estimating VO2max through the use of
equations in exercise testing, is a predictor of mortality. However, the error
resulting from this estimate in a given individual can be high, affecting
clinical decisions. OBJECTIVE: To determine the error of estimate of VO2max in
cycle ergometry in a population attending clinical exercise testing laboratories,
and to propose sex-specific equations to minimize that error. METHODS: This study
assessed 1715 adults (18 to 91 years, 68% men) undertaking maximum CPX in a lower
limbs cycle ergometer (LLCE) with ramp protocol. The percentage error (E%)
between measured VO2max and that estimated from the modified ACSM equation (Lang
et al. MSSE, 1992) was calculated. Then, estimation equations were developed: 1)
for all the population tested (C-GENERAL); and 2) separately by sex (C-MEN and C
WOMEN). RESULTS: Measured VO2max was higher in men than in WOMEN: -29.4 +/- 10.5
and 24.2 +/- 9.2 mL.(kg.min)-1 (p < 0.01). The equations for estimating VO2max
[in mL.(kg.min)-1] were: C-GENERAL = [final workload (W)/body weight (kg)] x
10.483 + 7; C-MEN = [final workload (W)/body weight (kg)] x 10.791 + 7; and C
WOMEN = [final workload (W)/body weight (kg)] x 9.820 + 7. The E% for MEN was:
3.4 +/- 13.4% (modified ACSM); 1.2 +/- 13.2% (C-GENERAL); and -0.9 +/- 13.4% (C
MEN) (p < 0.01). For WOMEN: -14.7 +/- 17.4% (modified ACSM); -6.3 +/- 16.5% (C
GENERAL); and -1.7 +/- 16.2% (C-WOMEN) (p < 0.01). CONCLUSION: The error of
estimate of VO2max by use of sex-specific equations was reduced, but not
eliminated, in exercise tests on LLCE.
PMID- 26559987
TI - Development and Validation of Predictive Models of Cardiac Mortality and
Transplantation in Resynchronization Therapy.
AB - BACKGROUND: 30-40% of cardiac resynchronization therapy cases do not achieve
favorable outcomes. OBJECTIVE: This study aimed to develop predictive models for
the combined endpoint of cardiac death and transplantation (Tx) at different
stages of cardiac resynchronization therapy (CRT). METHODS: Prospective
observational study of 116 patients aged 64.8 +/- 11.1 years, 68.1% of whom had
functional class (FC) III and 31.9% had ambulatory class IV. Clinical,
electrocardiographic and echocardiographic variables were assessed by using Cox
regression and Kaplan-Meier curves. RESULTS: The cardiac mortality/Tx rate was
16.3% during the follow-up period of 34.0 +/- 17.9 months. Prior to implantation,
right ventricular dysfunction (RVD), ejection fraction < 25% and use of high
doses of diuretics (HDD) increased the risk of cardiac death and Tx by 3.9-, 4.8
, and 5.9-fold, respectively. In the first year after CRT, RVD, HDD and
hospitalization due to congestive heart failure increased the risk of death at
hazard ratios of 3.5, 5.3, and 12.5, respectively. In the second year after CRT,
RVD and FC III/IV were significant risk factors of mortality in the multivariate
Cox model. The accuracy rates of the models were 84.6% at preimplantation, 93% in
the first year after CRT, and 90.5% in the second year after CRT. The models were
validated by bootstrapping. CONCLUSION: We developed predictive models of cardiac
death and Tx at different stages of CRT based on the analysis of simple and
easily obtainable clinical and echocardiographic variables. The models showed
good accuracy and adjustment, were validated internally, and are useful in the
selection, monitoring and counseling of patients indicated for CRT.
PMID- 26559986
TI - A Novel Algorithm to Quantify Coronary Remodeling Using Inferred Normal
Dimensions.
AB - BACKGROUND: Vascular remodeling, the dynamic dimensional change in face of
stress, can assume different directions as well as magnitudes in atherosclerotic
disease. Classical measurements rely on reference to segments at a distance,
risking inappropriate comparison between dislike vessel portions. OBJECTIVE: to
explore a new method for quantifying vessel remodeling, based on the comparison
between a given target segment and its inferred normal dimensions. METHODS:
Geometric parameters and plaque composition were determined in 67 patients using
three-vessel intravascular ultrasound with virtual histology (IVUS-VH). Coronary
vessel remodeling at cross-section (n = 27.639) and lesion (n = 618) levels was
assessed using classical metrics and a novel analytic algorithm based on the
fractional vessel remodeling index (FVRI), which quantifies the total change in
arterial wall dimensions related to the estimated normal dimension of the vessel.
A prediction model was built to estimate the normal dimension of the vessel for
calculation of FVRI. RESULTS: According to the new algorithm, "Ectatic"
remodeling pattern was least common, "Complete compensatory" remodeling was
present in approximately half of the instances, and "Negative" and "Incomplete
compensatory" remodeling types were detected in the remaining. Compared to a
traditional diagnostic scheme, FVRI-based classification seemed to better
discriminate plaque composition by IVUS-VH. CONCLUSION: Quantitative assessment
of coronary remodeling using target segment dimensions offers a promising
approach to evaluate the vessel response to plaque growth/regression.
PMID- 26559988
TI - Changes in Medical Management after Coronary CT Angiography.
AB - INTRODUCTION: Coronary computed tomography angiography (CCTA) allows for non
invasive coronary artery disease (CAD) phenotyping. There are still some
uncertainties regarding the impact this knowledge has on the clinical care of
patients. OBJECTIVE: To determine whether CAD phenotyping by CCTA influences
clinical decision making by the prescription of cardiovascular drugs and their
impact on non-LDL cholesterol (NLDLC) levels. METHODS: We analysed consecutive
patients from 2008 to 2011 submitted to CCTA without previous diagnosis of CAD
that had two serial measures of NLDLC, one up to 3 months before CCTA and the
second from 3 to 6 months after. RESULTS: A total of 97 patients were included,
of which 69% were men, mean age 64 +/- 12 years. CCTA revealed that 18 (18%)
patients had no CAD, 38 (39%) had non-obstructive (< 50%) lesions and 41 (42%)
had at least one obstructive >= 50% lesion. NLDLC was similar at baseline between
the grups (138 +/- 52 mg/dL vs. 135 +/- 42 mg/dL vs. 131 +/- 44 mg/dL,
respectively, p = 0.32). We found significative reduction in NLDLC among patients
with obstrctive lesions (-18%, p = 0.001). We also found a positive relationship
between clinical treatment intensification with aspirin and cholesterol reducing
drugs and the severity of CAD. CONCLUSION: Our data suggest that CCTA results
were used for cardiovascular clinical treatment titration, with especial
intensification seen in patients with obstructive >=50% CAD.
PMID- 26559989
TI - A Systematic Review on Sleep Duration and Dyslipidemia in Adolescents:
Understanding Inconsistencies.
PMID- 26559990
TI - Patient Management with Metallic Valve Prosthesis during Pregnancy and Postpartum
Period.
AB - Prosthetic thrombosis is a rare complication, but it has high mortality and
morbidity. Young women of childbearing age that have prosthetic heart valves are
at increased risk of thrombosis during pregnancy due to changes in coagulation
factors. Anticoagulation with adequate control and frequent follow-up if
pregnancy occurs must be performed in order to prevent complications related to
anticoagulant use. Surgery remains the treatment of choice for prosthetic heart
valve thrombosis in most clinical conditions. Patients with metallic prosthetic
valves have an estimated 5% risk of thrombosis during pregnancy and maternal
mortality of 1.5% related to the event. Anticoagulation with vitamin K
antagonists during pregnancy is related to varying degrees of complications at
each stage of the pregnancy and postpartum periods. Warfarin sodium crosses the
placental barrier and when used in the first trimester of pregnancy is a
teratogenic agent, causing 1-3% of malformations characterized by fetal warfarin
syndrome and also constitutes a major cause of miscarriage in 10-30% of cases. In
the third trimester and at delivery, the use of warfarin is associated with
maternal and neonatal bleeding in approximately 5 to 15% of cases, respectively.
On the other hand, inadequate anticoagulation, including the suspension of the
oral anticoagulants aiming at fetal protection, carries a maternal risk of about
25% of metallic prosthesis thrombosis, particularly in the mitral valve. This
fact is also due to the state of maternal hypercoagulability with activation of
coagulation factors V, VI, VII, IX, X, platelet activity and fibrinogen
synthesis, and decrease in protein S levels. The Registry of Pregnancy and
Cardiac Disease (ROPAC), assessing 212 pregnant women with metal prosthesis,
showed that prosthesis thrombosis occurred in 10 (4.7%) patients and maternal
hemorrhage in 23.1%, concluding that only 58% of patients with metallic
prosthesis had a complication-free pregnancy.
PMID- 26559991
TI - Case 4--A 79-Year-Old Man with Congestive Heart Failure Due to Restrictive
Cardiomyopathy.
PMID- 26559992
TI - Percutaneous Treatment of Mitral Paraprosthetic Regurgitation: an Alternative to
Surgery.
PMID- 26559993
TI - Persistent Left Superior Vena Cava in Permanent Pacemaker Implantation.
PMID- 26559994
TI - Correction: Custo-efetividade de Estatinas em Dose Alta, Moderada e Baixa na
Prevencao de Eventos Vasculares no SUS.
PMID- 26559995
TI - Does long-term survival exist in pancreatic adenocarcinoma?
AB - BACKGROUND: We conducted a population-based study to investigate long-term
survival in patients diagnosed with a (suspected) pancreatic adenocarcinoma.
METHODS: All patients diagnosed with a pancreatic adenocarcinoma or with a
pathologically unverified tumour of the pancreas between 1993 and 2008 in the
South of the Netherlands were selected from the Netherlands Cancer Registry
(NCR). Medical charts of patients who were alive five years or longer since
diagnosis were reviewed. RESULTS: A total of 2 564 patients were included, of
whom 1 365 had a pancreatic adenocarcinoma and 1 199 had a pathologically
unverified pancreatic tumour. Five-year survival of patients with pathologically
verified adenocarcinomas was 1.7% (24 of 1 365 patients). Twenty-one-one of these
24 long-term survivors were among the 207 cases that underwent surgical resection
as initial treatment; five-year survival after resection thus being 10.1%. Half
of the long-term survivors who underwent surgical resection still eventually died
of recurrent disease. Five-year survival among patients with clinically suspected
but microscopically unverified pancreatic tumours was 1.3% (16 of 1 199
patients). In 15 of these 16 long-term survivors the initial clinical diagnosis
was revised: 14 had benign disease and one a premalignant tumour. CONCLUSIONS:
Long-term survival among patients with pancreatic adenocarcinoma is extremely
rare. As long-term survival in clinically suspected but pathologically unverified
cancer is very unlikely, repeated fine needle aspiration or, preferably,
histological biopsy is recommended in order to establish an alternative diagnosis
in patients who survive longer than expected (more than 6-12 months).
PMID- 26559996
TI - Novel diarylpyrimidines and diaryltriazines as potent HIV-1 NNRTIs with
dramatically improved solubility: a patent evaluation of US20140378443A1.
AB - Diarylpyrimidine and diaryltriazine derivatives, two representative structurally
related classes of HIV-1 non-nucleoside reverse transcriptase inhibitors (NNRTIs)
with robust potencies against wild-type and several mutant strains of HIV-1, have
attracted more and more attention in the last decade. However, they have been
suffering from poor aqueous solubility. A series of novel diarylpyrimidines and
diaryltriazines with solubilizing substituents attached to the central rings were
reported as potent NNRTIs in the patent US20140378443A1. Some compounds exhibited
potencies against wild-type HIV-1 which were comparable or even superior to those
of dapivirine, etravirine and rilpivirine. In addition, dramatically enhanced
solubilities were observed for these new compounds. Moreover, some structure
optimization strategies for improving aqueous solubility are detailed in this
review, providing new insights into development of next-generation NNRTIs endowed
with favorable solubility. We anticipate that application of these strategies
will ultimately lead to discovery of new anti-HIV drug candidates.
PMID- 26559997
TI - In memoriam Prof. Deneffe (10-02-1935 - 03-08-2015).
PMID- 26559998
TI - Intussusception in Children: A Clinical Review.
AB - Intussusception is the most common cause of small bowel obstruction in young
infants. Therefore a high index of suspicion and thorough knowledge of this
condition is of major importance to be able to diagnose and treat this
potentially life threatening condition. In this review we describe epdidemiology,
etiology and clinical symptoms of intussuception. Furthermore, we describe
diagnostic modalties, especially ultrasonography as the primary choice for
diagnosis. In addition, non-operative treatment with different types of enema
reduction techniques, and operative treatment by laparotomy and laparoscopy, and
outcomes have been reviewed.
PMID- 26559999
TI - Making Surgical Care Safer: A Survey on the Implementation of the Checklist by
Belgian Surgeons.
AB - BACKGROUND: The operating theatre (OT) is a complex environment. The purpose of
this survey was to evaluate the implementation of the surgical checklist (SC) at
individual level by Belgian Surgeons. METHODS: A Surgical Checklist Questionnaire
(SCQ) related to the use of the SC by individual surgeons was attached to the
registration website for the 2015 Belgian Surgical Week. It was a one page long,
user friendly document, easy to be filled voluntarily and anonymously. RESULTS:
Among the 206 surgeons who registered, 81 (39%) filled in the SCQ. The SC
template proposed by the WHO "Safe Surgery Saves Lives" initiative was used by
91% of the respondents. However, 89% adapted the SC to their local hospital
environment, and 87.5% use it personally for their patients. Since
implementation, the SC was never adapted in 46%. According to 21% of respondents,
an adverse event was avoided thanks to the SC. Amazingly, SC was considered as an
administrative burden by 83% despite the same percentage recognized that patients
benefited from the SC. Only 28% of respondents got feedback from the use of the
SC. CONCLUSIONS: In this survey, the number of adverse event avoided thanks to
the use of the SC demonstrates that SC -represents a simple strategy for
addressing surgical patient safety in OT. Nevertheless, SC is still considered by
many surgeons as an additional administrative burden and/or as just another
gimmick. Further studies are needed to understand why some surgeons are still not
willing to adapt to a changing safety culture.
PMID- 26560000
TI - The Risk of Malnutrition in Community-Living Elderly on Admission to Hospital for
Major Surgery.
AB - BACKGROUND: With prevalence rates varying from 10 to 60%, malnutrition in acute
hospitals has been acknowledged as a persistent problem in older adults
worldwide. This publication is to describe the nutritional condition and
associated risk factors of malnutrition in free living elderly on admission to
the hospital for major elective surgery. METHODS: A cross sectional, multi-center
study in eight surgical wards in three Belgian hospitals. A total of 204 free
living elderly, aged 74.8 +/- 6.6 years (Mean +/- SD), on admission to the
hospital for major elective surgery and requiring at least 3 days of
hospitalization, were consecutively recruited to the study. The nutritional
status was assessed on admission and before surgery using the recommended NRS
2002. Data on possible associated factors were collected during post-operative
stay using a structured questionnaire. RESULTS: A total of 107 patients (51.4%)
were at high risk of malnutrition. In patients older than 70 years (n 150) the
risk of malnutrition increased up to 66%. None of the included patients reported
preoperative referral to a dietician or nutritional advice nor any prescribed
preoperative nutritional supplement. In a multivariate regression analysis it
appeared that none of the possible associated factors were significantly
associated with malnutrition. CONCLUSIONS: This study confirms the high risk of
malnutrition in community living elderly on admission to hospital for elective
surgery. According to the NRS-2002 these patients might benefit from nutritional
support. However, it appears that nutritional support is not yet commonly
implemented in preoperative care for this population at risk.
PMID- 26560001
TI - The Prognostic Value of Post-operative Serum C-reactive Protein Level for
Survival after Surgery for Colorectal Liver Metastases.
AB - BACKGROUND: Increasing emphasis is put on the concept that inflammation is a key
player in tumor progression. In the tumor microenvironment, inflammatory cells
mediate tumor growth. Elevated C-reactive protein (CRP) levels are identified as
being representative of a systemic inflammatory response. Therefore, studies have
successfully linked peri-operative CRP levels to survival after surgery for
primary colorectal cancer. The aim of this study was to investigate the
prognostic value of the post-operative systemic inflammatory response as
represented by serum CRP levels after resection of colorectal liver metastases
(CRLM). METHODS: Between January 2004 and December 2012, all patients who
underwent resection for CRLM were analyzed. The total post-operative acute
inflammatory response was objectified by the area under the curve (AUC, trapezium
rule). Peak CRP concentrations were determined. The impact of peak CRP values and
total CRP response on disease free survival (DFS) and overall survival (OS) was
analyzed ; patients were stratified by clinical risk score and/or administration
of neo-adjuvant chemotherapy. RESULTS: The final study population consisted of
403 patients. The OS of patients with a high CRP response (AUC, upper quartile)
was equal to patients with intermediate (AUC, middle quartiles) or low (AUC,
lower quartile) responses. Similarly, total post-operative CRP response did not
impact survival when stratifying patients for CRS and/or administration of neo
adjuvant chemotherapy. Peak CRP concentrations did not impact survival
accordantly. CONCLUSION: Total post-operative inflammatory response, as evidenced
by CRP serum levels, had no prognostic value for survival after surgery for CRLM.
PMID- 26560002
TI - Overexpression of LC3 in Papillary Thyroid Carcinomas and Lymph Node Metastases.
AB - BACKGROUND: Autophagy is believed to be important in tumorigenesis and tumor
progression. An antibody to the microtubule-associated protein 1 light chain 3
(LC3), the mammalian homologue of yeast Atg8, recognizing both the soluble (LC3
I) and the membrane-bound form (LC3-II) of the protein, is involved in
autophagosome formation during autophagy. The aim of this study was to
investigate LC3 expression in papillary thyroid carcinoma (PTC) to elucidate the
role of autophagy in human cancer development. METHODS: We investigated the
expression of LC3 in human PTC. Tissue samples from 86 cases of papillary thyroid
carcinoma were used for the present study. 57 cases of papillary thyroid
carcinoma were with lymph node metastasis. The expression of LC3 in tumor, normal
tissue adjacent to tumor, distant normal tissue, metastatic lymph node and normal
lymph node was examined with immunohistochemistry. The LC3 expression between
tumor and normal tissue, metastatic and normal lymph node was also analyzed.
RESULTS: The expression of LC3 was detected in 90.7% (78/86) of the tumors and
96.5% (55/57) of metastatic lymph nodes. In contrast, normal tissues adjacent to
tumor, distant normal tissues and normal lymph nodes showed no or very weak
expression of LC3. LC3 was significantly correlated with tumorigenesis and lymph
node metastasis in human PTC. CONCLUSIONS: High expression of LC3 in PTC and
metastatic lymph node suggest that Autophagy may play a role in tumorigenesis and
lymph node metastasis in human PTC.
PMID- 26560003
TI - Effect of Intraperitoneal Thymoquinone on Postoperative Peritoneal Adhesions.
AB - BACKGROUND: To determine the effect of thymoquinone on adhesion formation in a
rat caecotomy/suture model. MATERIAL AND METHODS: Thirty wistar rats were
randomized into three groups: The control group received saline and the
thymoquinone group received 10 mg/kg thymoquinone after cecal caecotomy/suture
model. In the sham group the abdominal wall was closed without any abrasion to
the cecum. On day 15, adhesions were classified, and histopathological samples
were taken. RESULTS: There were no incisional hernias or wound dehiscences. In
comparing adhesion scores, a significant difference was found between the
thymoquinone and the control groups (p < 0.05). The grade of inflammation for the
thymoquinone and the sham groups were significantly lower than that of the
control group (p < 0.01 and p < 0.001, respectively). Hydroxyproline levels were
significantly lower in the sham and thymoquinone groups compared to the control
group (p < 0.05). CONCLUSIONS: Based on the results of this study in a rat
peritoneal adhesion model, intraperitoneal administered thymoquinone has a strong
anti-adhesive effect.
PMID- 26560004
TI - Minimally-Invasive Mitral Valve Repair for Isolated Anterior Leaflet Cleft in a
66 Year Old Woman.
AB - Mitral valve regurgitation caused by an isolated cleft of the anterior leaflet of
the mitral valve is a rare condition, usually corrected early in life. We report
the case of a 66 year old patient with an isolated cleft, which was successfully
corrected through a minimally-invasive approach, demonstrating good visibility
and direct closure of the cleft.
PMID- 26560005
TI - When a Mechanical Valve Goes Freestyle: A Patient Tailored Valve-In-Valve
Implantation.
AB - In case of a redo operation after a full root replacement there are two possible
options: replacing the entire root or performing a more conservative valve-in
valve implantation. Regarding the relatively high morbidity and mortality of a
redo root replacement, the valve-in-valve implantation is the preferred choice if
technically feasible. We present the case of a valve-in-valve implantation with a
St. Jude mechanical valve in a Medtronic bioprosthesis in a 57-year old man.
Follow-up echocardiography after 1 month showed a mean gradient of 17 mmHg and no
paravalvular leakage. The combination of a St. Jude bileaflet mechanical valve
implanted in a Freestyle root prosthesis has not been described. This case shows
that patient tailored treatment with a St. Jude bileaflet mechanical valve in a
Freestyle aortic root valve can be safely performed and might be the preferred
choice for younger patients, if technically feasible.
PMID- 26560006
TI - Inguinal Hernia in an Infant Containing Uterus, Bilateral Fallopian Tubes and
Ovaries.
AB - Infants are frequently diagnosed with an inguinal hernia. The hernia can contain
intestinal structures or in female infants even the reproductive organs. However
it is very exceptional that an inguinal hernia contains the entire uterus,
fallopian tubes and both ovaries. In this report a case is described of a two
month-old infant which was diagnosed and semi-urgently operated with a right
sided inguinal hernia containing all the aforementioned anatomical structures.
PMID- 26560007
TI - Popliteal Arteriovenous Fistula Following Total Knee Arthroplasty.
AB - Postoperative popliteal arteriovenous fistula is a very rare complication. We
report a unique asymptomatic fistula in a 77-year-old male patient, seven months
after total knee replacement. The diagnosis was suspected by a clinical palpable
thrill and confirmed with a typical doppler ultrasound signaling. This vascular
malformation was successfully treated by surgical resection of the fistula.
Referring to the literature, considering the management of popliteal aneurysms,
we suggest to prefer an open procedure in patients who are in good general
condition.
PMID- 26560008
TI - Gastric Duplication Cyst with Respiratory Epithelium: a Rare Entity.
AB - Gastric duplication cyst is an uncommon entity and management is principally
surgical. Diagnosis is often delayed because of the non-specific nature of
symptoms. The authors report one case of gastric duplication cyst incidentally
diagnosed in a 23-year-old man. He had no specific symptoms but follow up of the
unknown retro gastric mass showed an increase in size and cholelithiasis.
Magnetic resonance imaging (MRI) was not useful for diagnosis but an endoscopic
ultrasound suggested a GIST. The growing size of the mass led to a laparoscopic
resection. Histopathology showed a gastric duplication cyst boarded with gastric
and respiratory ciliated epithelium. This respiratory differenciation is
extremely rare. Our patient is the 25th case reported in the literature. The
embryologic origin, diagnosis tools and treatment of those rare cysts are briefly
reviewed.
PMID- 26560009
TI - Microsurgical Reconstruction of the Nasal Ala using a Composite Auricular Graft
Based on the Superficial Temporal Vessels.
AB - Full-thickness defects of the nasal ala can be challenging to reconstruct. The
original texture, color and shape of this specific aesthetic unit requires
careful planning of the surgical approach and technique in order to minimize
donor-site morbidity and repetitive procedures. We describe the use of the
chondrocutaneous composite auricular graft to -reconstruct a full-thickness
defect of the ala of the nose with a successful and aesthetically pleasing
outcome.
PMID- 26560010
TI - The "Feeling of Movement": Notes on the Rorschach Human Movement Response.
AB - Human movement responses (M) on the Rorschach have been traditionally viewed as
lying neither completely in the inkblot (external reality) nor within the
subject's mind (inner world). The authors contend that M is not reducible to the
"body that I have" but to the "body that I am," which is a higher level
organization of bottom-up and top-down brain networks, integrating body implicit
awareness, psychological functioning, and social cognition. Two sources of
evidence suggest the close relationship among M, psychological functions, and
brain mechanisms. One comes from meta-analytical evidence supporting the close
association between M and higher level cognitive functioning or empathy. The
second comes from some preliminary studies showing that M activates brain
circuits included in the mirror neuron system (MNS). Two conclusions can be
drawn: (a) M is related to the effective use of the mentalization function; and
(b) future neuroscientific investigations could lead to an understanding of the
neuropsychological mechanisms underlying Rorschach responses and variables.
PMID- 26560011
TI - Clinically silent myocardial scars are common in middle aged and older people,
study finds.
PMID- 26560012
TI - Inhibition behavior of fructus psoraleae's ingredients towards human
carboxylesterase 1 (hCES1).
AB - 1. Fructus psoraleae (FP) is the dried ripe seeds of Psoralea corylifolia L.
(Fabaceae) widely used in Asia, and has been reported to exert important
biochemical and pharmacological activities. The adverse effects of FP remain
unclear. The present study aims to determine the inhibition of human
carboxylesterase 1 (CES1) by FP's major ingredients, including neobavaisoflavone,
corylifolinin, coryfolin, psoralidin, corylin and bavachinin. 2. The probe
substrate of CES1 2-(2-benzoyl-3-methoxyphenyl) benzothiazole (BMBT) was derived
from 2-(2-hydroxy-3-methoxyphenyl) benzothiazole (HMBT), and human liver
microsomes (HLMs)-catalyzed BMBT metabolism was used to phenotype the activity of
CES1. In silico docking method was employed to explain the inhibition mechanism.
3. All the tested compounds exerted strong inhibition towards the activity of
CES1 in a concentration-dependent behavior. Furthermore, the inhibition kinetics
was determined for the inhibition of neobavaisoflavone, corylifolinin, coryfolin,
corylin and bavachinin towards CES1. Both Dixon and Lineweaver-Burk plots showed
that neobavaisoflavone, corylifolinin, coryfolin and corylin noncompetitively
inhibited the activity of CES1, and bavachinin competitively inhibited the
activity of CES1. The inhibition kinetic parameters (Ki) were calculated to be
5.3, 9.4, 1.9, 0.7 and 0.5 MUM for neobavaisoflavone, corylifolinin, coryfolin,
corylin and bavachinin, respectively. In conclusion, the inhibition behavior of
CES1 by the FP's constituents was given in this article, indicating the possible
adverse effects of FP through the disrupting CES1-catalyzed metabolism of
endogenous substances and xenobiotics.
PMID- 26560013
TI - Barriers to workplace HIV testing in South Africa: a systematic review of the
literature.
AB - Low workplace HIV testing uptake makes effective management of HIV and AIDS
difficult for South African organisations. Identifying barriers to workplace HIV
testing is therefore crucial to inform urgently needed interventions aimed at
increasing workplace HIV testing. This study reviewed literature on workplace HIV
testing barriers in South Africa. Pubmed, ScienceDirect, PsycInfo and SA
Publications were systematically researched. Studies needed to include measures
to assess perceived or real barriers to participate in HIV Counselling and
Testing (HCT) at the workplace or discuss perceived or real barriers of HIV
testing at the workplace based on collected data, provide qualitative or
quantitative evidence related to the research topic and needed to refer to
workplaces in South Africa. Barriers were defined as any factor on economic,
social, personal, environmental or organisational level preventing employees from
participating in workplace HIV testing. Four peer-reviewed studies were included,
two with quantitative and two with qualitative study designs. The overarching
barriers across the studies were fear of compromised confidentiality, being
stigmatised or discriminated in the event of testing HIV positive or being
observed participating in HIV testing, and a low personal risk perception.
Furthermore, it appeared that an awareness of an HIV-positive status hindered HIV
testing at the workplace. Further research evidence of South African workplace
barriers to HIV testing will enhance related interventions. This systematic
review only found very little and contextualised evidence about workplace HCT
barriers in South Africa, making it difficult to generalise, and not really
sufficient to inform new interventions aimed at increasing workplace HCT uptake.
PMID- 26560014
TI - Interventions for treating proximal humeral fractures in adults.
AB - BACKGROUND: Fracture of the proximal humerus, often termed shoulder fracture, is
a common injury in older people. The management of these fractures varies widely.
This is an update of a Cochrane Review first published in 2001 and last updated
in 2012. OBJECTIVES: To assess the effects (benefits and harms) of treatment and
rehabilitation interventions for proximal humeral fractures in adults. SEARCH
METHODS: We searched the Cochrane Bone, Joint and Muscle Trauma Group Specialised
Register, the Cochrane Central Register of Controlled Trials (CENTRAL), MEDLINE,
EMBASE, and other databases, conference proceedings and bibliographies of trial
reports. The full search ended in November 2014. SELECTION CRITERIA: We
considered all randomised controlled trials (RCTs) and quasi-randomised
controlled trials pertinent to the management of proximal humeral fractures in
adults. DATA COLLECTION AND ANALYSIS: Both review authors performed independent
study selection, risk of bias assessment and data extraction. Only limited meta
analysis was performed. MAIN RESULTS: We included 31 heterogeneous RCTs (1941
participants). Most of the 18 separate treatment comparisons were tested by small
single-centre trials. The main exception was the surgical versus non-surgical
treatment comparison tested by eight trials. Except for a large multicentre
trial, bias in these trials could not be ruled out. The quality of the evidence
was either low or very low for all comparisons except the largest comparison.Nine
trials evaluated non-surgical treatment in mainly minimally displaced fractures.
Four trials compared early (usually one week) versus delayed (three or four
weeks) mobilisation after fracture but only limited pooling was possible and most
of the data were from one trial (86 participants). This found some evidence that
early mobilisation resulted in better recovery and less pain in people with
mainly minimally displaced fractures. There was evidence of little difference
between the two groups in shoulder complications (2/127 early mobilisation versus
3/132 delayed mobilisation; 4 trials) and fracture displacement and non-union
(2/52 versus 1/54; 2 trials).One quasi-randomised trial (28 participants) found
the Gilchrist-type sling was generally more comfortable than the Desault-type
sling (body bandage). One trial (48 participants) testing pulsed electromagnetic
high-frequency energy provided no evidence. Two trials (62 participants) provided
evidence indicating little difference in outcome between instruction for home
exercises versus supervised physiotherapy. One trial (48 participants) reported,
without presentable data, that home exercise alone gave better early and
comparable long-term results than supervised exercise in a swimming pool plus
home exercise.Eight trials, involving 567 older participants, evaluated surgical
intervention for displaced fractures. There was high quality evidence of no
clinically important difference in patient-reported shoulder and upper-limb
function at one- or two-year follow-up between surgical (primarily locking plate
fixation or hemiarthroplasty) and non-surgical treatment (sling immobilisation)
for the majority of displaced proximal humeral fractures; and moderate quality
evidence of no clinically important difference between the two groups in quality
of life at two years (and at interim follow-ups at six and 12 months). There was
moderate quality evidence of little difference between groups in mortality in the
surgery group (17/248 versus 12/248; risk ratio (RR) 1.40 favouring non-surgical
treatment, 95% confidence interval (CI) 0.69 to 2.83; P = 0.35; 6 trials); only
one death was explicitly linked with the treatment. There was moderate quality
evidence of a higher risk of additional surgery in the surgery group (34/262
versus 16/261; RR 2.06, 95% CI 1.18 to 3.60; P = 0.01; 7 trials). Although there
was moderate evidence of a higher risk of adverse events after surgery, the 95%
confidence intervals for adverse events also included the potential for a greater
risk of adverse events after non-surgical treatment.Different methods of surgical
management were tested in 12 trials. One trial (57 participants) comparing two
types of locking plate versus a locking nail for treating two-part surgical neck
fractures found some evidence of slightly better function after plate fixation
but also of a higher rate of surgically-related complications. One trial (61
participants) comparing a locking plate versus minimally invasive fixation with
distally inserted intramedullary K-wires found little difference between the two
implants at two years. Compared with hemiarthroplasty, one trial (32
participants) found similar results with locking plate fixation in function and
re-operation rates, whereas another trial (30 participants) reported all five re
operations occurred in the tension-band fixation group. One trial (62
participants) found better patient-rated (Quick DASH) and composite shoulder
function scores at a minimum of two years follow-up and a lower incidence of re
operation and complications after reverse shoulder arthroplasty (RSA) compared
with hemiarthroplasty.No important between-group differences were found in one
trial (120 participants) comparing the deltoid-split approach versus
deltopectoral approach for non-contact bridging plate fixation, and two trials
(180 participants) comparing 'polyaxial' and 'monaxial' screws in locking plate
fixation. One trial (68 participants) produced some preliminary evidence that
tended to support the use of medial support locking screws in locking plate
fixation. One trial (54 participants) found fewer adverse events, including re
operations, for the newer of two types of intramedullary nail. One trial (35
participants) found better functional results for one of two types of
hemiarthroplasty. One trial (45 participants) found no important effects of
tenodesis of the long head of the biceps for people undergoing
hemiarthroplasty.Very limited evidence suggested similar outcomes from early
versus later mobilisation after either surgical fixation (one trial: 64
participants) or hemiarthroplasty (one trial: 49 participants). AUTHORS'
CONCLUSIONS: There is high or moderate quality evidence that, compared with non
surgical treatment, surgery does not result in a better outcome at one and two
years after injury for people with displaced proximal humeral fractures involving
the humeral neck and is likely to result in a greater need for subsequent
surgery. The evidence does not cover the treatment of two-part tuberosity
fractures, fractures in young people, high energy trauma, nor the less common
fractures such as fracture dislocations and head splitting fractures.There is
insufficient evidence from RCTs to inform the choices between different non
surgical, surgical, or rehabilitation interventions for these fractures.
PMID- 26560016
TI - Caregivers' nutrition knowledge and attitudes are associated with household food
diversity and children's animal source food intake across different agro
ecological zones in Ghana.
AB - Caregivers' nutrition knowledge and attitudes may influence the variety of foods
available in the household and the quality of children's diets. To test the link,
this study collected data on caregivers' (n 608) nutrition knowledge and feeding
attitudes as well as the diets of their household and of their 2-5-year-old
children in twelve rural communities nested in the three main agro-ecological
zones of Ghana. Household foods and children's animal source foods (ASF) consumed
in the past 7 d were categorised into one of fourteen and ten groups,
respectively. About 28 % of caregivers believed that their children needed to be
fed only 2-3 times/d. Reasons for having adult supervision during child meal
times, feeding diverse foods, prioritising a child to receive ASF and the
perceived child benefits of ASF differed across zones (P<0.001). Households with
caregivers belonging to the highest tertile of nutrition knowledge and attitude
scores consumed more diverse diets compared with those of caregivers in the
lowest tertile group (11.2 (sd 2.2) v. 10.0 (sd 2.4); P<0.001). After controlling
for the effect of agro-ecological zone, caregivers' nutrition knowledge and
feeding attitudes positively predicted household dietary diversity and the
frequency and diversity of children's ASF intakes (P<0.001). The number of years
of formal education of caregivers also positively predicted household dietary
diversity and children's ASF diversity (P<0.001). A key component to improving
child nutrition is to understand the context-specific nutrition knowledge and
feeding attitudes in order to identify relevant interventions.
PMID- 26560015
TI - Immune correlates for dengue vaccine development.
AB - Dengue virus is the leading cause of vector-borne viral disease with four
serotypes in circulation. Vaccine development has been complicated by the
potential for both protection and disease enhancement during heterologous
infection. Secondary infection triggers cross-reactive immune memory responses
that have varying functional and epitope specificities that determine protection
or risk. Strongly neutralizing antibodies to quaternary epitopes may be
especially important for virus neutralization. Cell-mediated immunity dominated
by Th1 functions may also play an important role. Determining an immune correlate
of protection or risk would be highly beneficial for vaccine development but is
hampered by mechanistic uncertainties and assay limitations. Clinical efficacy
trials and human infection models along with a systems approach may provide
future opportunities to elucidate such correlates.
PMID- 26560017
TI - Responsiveness, Sensitivity, and Minimally Detectable Difference of the Graded
and Redefined Assessment of Strength, Sensibility, and Prehension, Version 1.0.
AB - As spinal cord injury (SCI) trials begin to involve subjects with acute cervical
SCI, establishing the property of an upper limb outcome measure to detect change
over time is critical for its usefulness in clinical trials. The objectives of
this study were to define responsiveness, sensitivity, and minimally detectable
difference (MDD) of the Graded Redefined Assessment of Strength, Sensibility, and
Prehension (GRASSP). An observational, longitudinal study was conducted.
International Standards of Neurological Classification of SCI (ISNCSCI), GRASSP,
Capabilities of Upper Extremity Questionnaire (CUE-Q), and Spinal Cord
Independence Measure (SCIM) were administered 0-10 days, 1, 3, 6, and 12 months
post-injury. Standardized Response Means (SRM) for GRASSP and ISNCSCI measures
were calculated. Longitudinal construct validity was calculated using Pearson
correlation coefficients. Smallest real difference for all subtests was
calculated to define the MDD values for all GRASSP subtests. Longitudinal
construct validity demonstrated GRASSP and all external measures to be responsive
to neurological change for 1 year post-injury. SRM values for the GRASSP subtests
ranged from 0.25 to 0.85 units greater than that for ISNCSCI strength and
sensation, SCIM-SS, and CUE-Q. MDD values for GRASSP subtests ranged from 2-5
points. GRASSP demonstrates good responsiveness and excellent sensitivity that is
superior to ISNCSCI and SCIM III. MDD values are useful in the evaluation of
interventions in both clinical and research settings. The responsiveness and
sensitivity of GRASSP make it a valuable condition-specific measure in
tetraplegia, where changes in upper limb neurological and functional outcomes are
essential for evaluating the efficacy of interventions.
PMID- 26560018
TI - Treatment of massive subcutaneous emphysema with aspiration drainage.
PMID- 26560020
TI - Photodamage to the oxygen evolving complex of photosystem II by visible light.
AB - Light damages photosynthetic machinery, primarily photosystem II (PSII), and it
results in photoinhibition. A new photodamage model, the two-step photodamage
model, suggests that photodamage to PSII initially occurs at the oxygen evolving
complex (OEC) by light energy absorbed by manganese and that the PSII reaction
center is subsequently damaged by light energy absorbed by photosynthetic
pigments due to the limitation of electrons to the PSII reaction center. However,
it is still uncertain whether this model is applicable to photodamage to PSII
under visible light as manganese absorbs visible light only weakly. In the
present study, we identified the initial site of photodamage to PSII upon
illumination of visible light using PSII membrane fragments isolated from spinach
leaves. When PSII samples were exposed to visible light in the presence of an
exogenous electron acceptor, both PSII total activity and the PSII reaction
centre activity declined due to photodamage. The supplemental addition of an
electron donor to the PSII reaction centre alleviated the decline of the reaction
centre activity but not the PSII total activity upon the light exposure. Our
results demonstrate that visible light damages OEC prior to photodamage to the
PSII reaction center, consistent with two-step photodamage model.
PMID- 26560019
TI - Lean techniques to improve the flow of critically ill patients in a health region
with its epicenter in the intensive care unit of a reference hospital.
AB - OBJECTIVE: To analyze whether the application of Lean techniques to improve the
flow of critically ill patients in a health region with its epicenter in the
intensive care unit (ICU) of a reference hospital. DESIGN: Observational study
with pre and post intervention analysis. SETTING: ICU of a reference hospital.
PATIENTS: We design projects and a value stream map of flow and compared pre and
post intervention. INTERVENTIONS: We recorded demographic data, patient transfers
by EMS for lack of beds and delay times in the discharge from ICU to ward.
Multidisciplinary meetings and perform daily visual panel, with high priority ICU
discharge. We promote temporary relocation of critically ill patients in other
special areas of the hospital. We performed a professional satisfaction
questionnaire with pre and post implementation of process. We make a statistical
analysis of pre and post-intervention comparisons. RESULTS: We planned for 2013
and progressively implemented in 2014. Analysis of patients entering the critical
process flow 1) evaluate patients who must transfer for lack of beds, focusing on
a diagnosis: pre 10/22 vs. 3/21 post (P=.045); 2) analysis of time delay in the
discharge from the ICU to ward: 360.8+/-163.9minutes in the first period vs.
276.7+/-149.5 in the second (P=.036); and 3) personal professional satisfaction
questionnaire, with 6.6+/-1.5 points pre vs. 7.5+/-1.1 in post (P=.001). Analysis
of indicators such as the ICU acquired infections, length of ICU stay, the rate
of re-admissions and mortality, with no significant differences between the two
periods. CONCLUSIONS: The application of Lean techniques in the critically ill
process had a positive impact on improving patient flow within the health region,
noting a decrease of transfers outside the region due to lack of beds, reduced
delayed discharge from ICU to conventional ward and increased satisfaction of ICU
professionals.
PMID- 26560021
TI - Radiologic bone adaptations on a cementless short-stem shoulder prosthesis.
AB - BACKGROUND: This study evaluated the timing and location of radiologic bone
adaptations related to shoulder arthroplasty using a single type of cementless
short-stem shoulder prosthesis. METHODS: Uncemented short-stem shoulder
arthroplasties were evaluated in 52 patients at a mean age of 71.6 years (range,
58.1-86.6) with a minimum clinical and radiologic follow-up of 2 years (mean, 32
months; range, 23-52 months). All radiographs were analyzed for inclination of
the stem, filling ratio of metaphysis and diaphysis, bone remodeling around the
stem, radiolucent lines around the glenoid, and subsidence of the humeral stem.
Finally, the radiographic and clinical findings were compared between patients
with low and high bone adaptations. RESULTS: At final follow-up, no loosening,
subsidence, or osteolysis was seen. High bone adaptations were present in 27
patients (51.9%). Cortical thinning and osteopenia in the medial cortex (82.7%)
and spot welds in the lateral cortex (78.6%) were the most frequently occurring
bone adaptations. Patients with high bone adaptations had significantly higher
metaphyseal (0.60 +/- 0.05 vs. 0.56 +/- 0.06; P = .024) and diaphyseal filling
ratio (0.66 +/- 0.04 vs. 0.61 +/- 0.06; P = .019) at 2-year follow-up than
patients with low bone adaptations. Clinical outcome was not influenced by the
radiographic changes. CONCLUSION: The clinical and radiologic results of the
short-stem shoulder arthroplasty are comparable to those with the third and
fourth generations of standard stem arthroplasty. Higher filling ratios in the
metaphysis and the diaphysis were significantly associated with the occurrence of
high bone adaptations.
PMID- 26560023
TI - Is there a survival benefit from increased intensity of CEA monitoring after
primary resection of colorectal cancer?
PMID- 26560022
TI - IL-36alpha: a novel cytokine involved in the catabolic and inflammatory response
in chondrocytes.
AB - Recent studies confer to IL-36alpha pro-inflammatory properties. However, little
is known about the expression and function of IL-36alpha in cartilage. This study
sought to analyze the expression of IL-36alpha in healthy and OA cartilage. Next,
we determined the effects of recombinant IL-36alpha on catabolism and
inflammation in chondrocytes. For completeness, part of the signaling pathway
elicited by IL-36alpha was also explored. IL-36alpha expression was evaluated by
immunohistochemistry and RT-qPCR. Expression of MMP-13, NOS2 and COX-2 was also
determined in OA articular chondrocytes treated with recombinant IL-36alpha.
IkappaB-alpha and P-p38 was explored by western blot. We observed a low
constitutive expression of IL-36alpha in healthy human chondrocytes. However, OA
chondrocytes likely expressed more IL-36alpha than healthy chondrocytes. In
addition, immune cells infiltrated into the joint and PBMCs express higher levels
of IL-36alpha in comparison to chondrocytes. OA chondrocytes, treated with IL
36alpha, showed significant increase in the expression of MMP-13, NOS2 and COX-2.
Finally, IL-36alpha stimulated cells showed NFkappaB and p38 MAPK activated
pathways. IL-36alpha acts as a pro-inflammatory cytokine at cartilage level, by
increasing the expression of markers of inflammation and cartilage catabolism.
Like other members of IL-1 family, IL-36alpha acts through the activation of
NFkappaB and p38 MAPK pathway.
PMID- 26560024
TI - Procalcitonin levels predict infectious complications and response to treatment
in patients undergoing cytoreductive surgery for peritoneal malignancy.
AB - BACKGROUND: Cytoreductive-surgery for peritoneal-malignancy (PM) involves
extensive intra-abdominal surgery and a massive post-operative systemic
inflammatory-response (SIRS). It is often challenging to differentiate SIRS that
are solely surgery-associated from those of post-operative infections. White-Cell
Counts (WCC) and C-Reactive-Protein (CRP) are routinely used as markers for
infection, but are non-specific and their elevation is often delayed in PM cases.
Other markers need to be evaluated to assist early identification/prediction of
post-operative infections. METHODOLOGY: Prospective evaluation of serum
procalcitonin (PCT), CRP and WCC in 50 patients pre-operatively (Day0), and on
post-operative days (POD) 1, 3 & 6, following cytoreductive-surgery with or
without splenectomy. RESULTS: Day0 PCT, CRP and WCC values were within normal
limits, but increasing physiologically in post-operative period without
infection, with noticeable higher PCT in splenectomized patients. In our cohort
post-operative infections were diagnosed in 14 patients, often within 48 h. There
was a trend for faster rise in serum PCT on POD1 compared to CRP and WCC, and
faster PCT decline following appropriate therapy on POD3 and POD6 when infected
cases were clinically resolving while WCC and CRP continued to rise, particularly
in non-spelenectomised patients. The AUC on POD1 was significantly higher for PCT
(0.689) vs. WCC (0.476) and CRP (0.477) (p = 0.04). Sensitivity, specificity,
positive-predictive-value and negative-predictive-values for PCT ranged between
(57%-100%), (22%-74%), (33%-47%) & (81%-100%), for CRP (28%-78%), (5.5%-86%),
(18%-44.4%) & (40%-75.5%) and for WCC (14%-26.5%), (65.5-80.5%), (22%-25%), (67%
70%) respectively. CONCLUSION: PCT, like WCC and CRP, needs to be interpreted
with extreme cautions in the context of infections post-cytoreductive-surgery and
should only be used in association with other clinical and investigational
findings.
PMID- 26560025
TI - Thermal biases and vulnerability to warming in the world's marine fauna.
AB - A critical assumption underlying projections of biodiversity change associated
with global warming is that ecological communities comprise balanced mixes of
warm-affinity and cool-affinity species which, on average, approximate local
environmental temperatures. Nevertheless, here we find that most shallow water
marine species occupy broad thermal distributions that are aggregated in either
temperate or tropical realms. These distributional trends result in ocean-scale
spatial thermal biases, where communities are dominated by species with warmer or
cooler affinity than local environmental temperatures. We use community-level
thermal deviations from local temperatures as a form of sensitivity to warming,
and combine these with projected ocean warming data to predict warming-related
loss of species from present-day communities over the next century. Large changes
in local species composition appear likely, and proximity to thermal limits, as
inferred from present-day species' distributional ranges, outweighs spatial
variation in warming rates in contributing to predicted rates of local species
loss.
PMID- 26560026
TI - Cell fate: Transition loses its invasive edge.
PMID- 26560027
TI - Genetic predisposition to neuroblastoma mediated by a LMO1 super-enhancer
polymorphism.
AB - Neuroblastoma is a paediatric malignancy that typically arises in early
childhood, and is derived from the developing sympathetic nervous system.
Clinical phenotypes range from localized tumours with excellent outcomes to
widely metastatic disease in which long-term survival is approximately 40%
despite intensive therapy. A previous genome-wide association study identified
common polymorphisms at the LMO1 gene locus that are highly associated with
neuroblastoma susceptibility and oncogenic addiction to LMO1 in the tumour cells.
Here we investigate the causal DNA variant at this locus and the mechanism by
which it leads to neuroblastoma tumorigenesis. We first imputed all possible
genotypes across the LMO1 locus and then mapped highly associated single
nucleotide polymorphism (SNPs) to areas of chromatin accessibility, evolutionary
conservation and transcription factor binding sites. We show that SNP rs2168101
G>T is the most highly associated variant (combined P = 7.47 * 10(-29), odds
ratio 0.65, 95% confidence interval 0.60-0.70), and resides in a super-enhancer
defined by extensive acetylation of histone H3 lysine 27 within the first intron
of LMO1. The ancestral G allele that is associated with tumour formation resides
in a conserved GATA transcription factor binding motif. We show that the newly
evolved protective TATA allele is associated with decreased total LMO1 expression
(P = 0.028) in neuroblastoma primary tumours, and ablates GATA3 binding (P <
0.0001). We demonstrate allelic imbalance favouring the G-containing strand in
tumours heterozygous for this SNP, as demonstrated both by RNA sequencing (P <
0.0001) and reporter assays (P = 0.002). These findings indicate that a recently
evolved polymorphism within a super-enhancer element in the first intron of LMO1
influences neuroblastoma susceptibility through differential GATA transcription
factor binding and direct modulation of LMO1 expression in cis, and this leads to
an oncogenic dependency in tumour cells.
PMID- 26560028
TI - Epithelial-to-mesenchymal transition is dispensable for metastasis but induces
chemoresistance in pancreatic cancer.
AB - Diagnosis of pancreatic ductal adenocarcinoma (PDAC) is associated with a dismal
prognosis despite current best therapies; therefore new treatment strategies are
urgently required. Numerous studies have suggested that epithelial-to-mesenchymal
transition (EMT) contributes to early-stage dissemination of cancer cells and is
pivotal for invasion and metastasis of PDAC. EMT is associated with phenotypic
conversion of epithelial cells into mesenchymal-like cells in cell culture
conditions, although such defined mesenchymal conversion (with spindle-shaped
morphology) of epithelial cells in vivo is rare, with quasi-mesenchymal
phenotypes occasionally observed in the tumour (partial EMT). Most studies
exploring the functional role of EMT in tumours have depended on cell-culture
induced loss-of-function and gain-of-function experiments involving EMT-inducing
transcription factors such as Twist, Snail and Zeb1 (refs 2, 3, 7-10). Therefore,
the functional contribution of EMT to invasion and metastasis remains unclear,
and genetically engineered mouse models to address a causal connection are
lacking. Here we functionally probe the role of EMT in PDAC by generating mouse
models of PDAC with deletion of Snail or Twist, two key transcription factors
responsible for EMT. EMT suppression in the primary tumour does not alter the
emergence of invasive PDAC, systemic dissemination or metastasis. Suppression of
EMT leads to an increase in cancer cell proliferation with enhanced expression of
nucleoside transporters in tumours, contributing to enhanced sensitivity to
gemcitabine treatment and increased overall survival of mice. Collectively, our
study suggests that Snail- or Twist-induced EMT is not rate-limiting for invasion
and metastasis, but highlights the importance of combining EMT inhibition with
chemotherapy for the treatment of pancreatic cancer.
PMID- 26560029
TI - Single-molecule sequencing of the desiccation-tolerant grass Oropetium thomaeum.
AB - Plant genomes, and eukaryotic genomes in general, are typically repetitive,
polyploid and heterozygous, which complicates genome assembly. The short read
lengths of early Sanger and current next-generation sequencing platforms hinder
assembly through complex repeat regions, and many draft and reference genomes are
fragmented, lacking skewed GC and repetitive intergenic sequences, which are
gaining importance due to projects like the Encyclopedia of DNA Elements
(ENCODE). Here we report the whole-genome sequencing and assembly of the
desiccation-tolerant grass Oropetium thomaeum. Using only single-molecule real
time sequencing, which generates long (>16 kilobases) reads with random errors,
we assembled 99% (244 megabases) of the Oropetium genome into 625 contigs with an
N50 length of 2.4 megabases. Oropetium is an example of a 'near-complete' draft
genome which includes gapless coverage over gene space as well as intergenic
sequences such as centromeres, telomeres, transposable elements and rRNA clusters
that are typically unassembled in draft genomes. Oropetium has 28,466 protein
coding genes and 43% repeat sequences, yet with 30% more compact euchromatic
regions it is the smallest known grass genome. The Oropetium genome demonstrates
the utility of single-molecule real-time sequencing for assembling high-quality
plant and other eukaryotic genomes, and serves as a valuable resource for the
plant comparative genomics community.
PMID- 26560030
TI - Diversion of aspartate in ASS1-deficient tumours fosters de novo pyrimidine
synthesis.
AB - Cancer cells hijack and remodel existing metabolic pathways for their benefit.
Argininosuccinate synthase (ASS1) is a urea cycle enzyme that is essential in the
conversion of nitrogen from ammonia and aspartate to urea. A decrease in nitrogen
flux through ASS1 in the liver causes the urea cycle disorder citrullinaemia. In
contrast to the well-studied consequences of loss of ASS1 activity on
ureagenesis, the purpose of its somatic silencing in multiple cancers is largely
unknown. Here we show that decreased activity of ASS1 in cancers supports
proliferation by facilitating pyrimidine synthesis via CAD (carbamoyl-phosphate
synthase 2, aspartate transcarbamylase, and dihydroorotase complex) activation.
Our studies were initiated by delineating the consequences of loss of ASS1
activity in humans with two types of citrullinaemia. We find that in
citrullinaemia type I (CTLN I), which is caused by deficiency of ASS1, there is
increased pyrimidine synthesis and proliferation compared with citrullinaemia
type II (CTLN II), in which there is decreased substrate availability for ASS1
caused by deficiency of the aspartate transporter citrin. Building on these
results, we demonstrate that ASS1 deficiency in cancer increases cytosolic
aspartate levels, which increases CAD activation by upregulating its substrate
availability and by increasing its phosphorylation by S6K1 through the mammalian
target of rapamycin (mTOR) pathway. Decreasing CAD activity by blocking citrin,
the mTOR signalling, or pyrimidine synthesis decreases proliferation and thus may
serve as a therapeutic strategy in multiple cancers where ASS1 is downregulated.
Our results demonstrate that ASS1 downregulation is a novel mechanism supporting
cancerous proliferation, and they provide a metabolic link between the urea cycle
enzymes and pyrimidine synthesis.
PMID- 26560031
TI - Corrigendum: Regulatory analysis of the C. elegans genome with spatiotemporal
resolution.
PMID- 26560032
TI - Force generation by skeletal muscle is controlled by mechanosensing in myosin
filaments.
AB - Contraction of both skeletal muscle and the heart is thought to be controlled by
a calcium-dependent structural change in the actin-containing thin filaments,
which permits the binding of myosin motors from the neighbouring thick filaments
to drive filament sliding. Here we show by synchrotron small-angle X-ray
diffraction of frog (Rana temporaria) single skeletal muscle cells that, although
the well-known thin-filament mechanism is sufficient for regulation of muscle
shortening against low load, force generation against high load requires a second
permissive step linked to a change in the structure of the thick filament. The
resting (switched 'OFF') structure of the thick filament is characterized by
helical tracks of myosin motors on the filament surface and a short backbone
periodicity. This OFF structure is almost completely preserved during low-load
shortening, which is driven by a small fraction of constitutively active
(switched 'ON') myosin motors outside thick-filament control. At higher load,
these motors generate sufficient thick-filament stress to trigger the transition
to its long-periodicity ON structure, unlocking the major population of motors
required for high-load contraction. This concept of the thick filament as a
regulatory mechanosensor provides a novel explanation for the dynamic and
energetic properties of skeletal muscle. A similar mechanism probably operates in
the heart.
PMID- 26560034
TI - Extremely metal-poor stars from the cosmic dawn in the bulge of the Milky Way.
AB - The first stars are predicted to have formed within 200 million years after the
Big Bang, initiating the cosmic dawn. A true first star has not yet been
discovered, although stars with tiny amounts of elements heavier than helium
('metals') have been found in the outer regions ('halo') of the Milky Way. The
first stars and their immediate successors should, however, preferentially be
found today in the central regions ('bulges') of galaxies, because they formed in
the largest over-densities that grew gravitationally with time. The Milky Way
bulge underwent a rapid chemical enrichment during the first 1-2 billion years,
leading to a dearth of early, metal-poor stars. Here we report observations of
extremely metal-poor stars in the Milky Way bulge, including one star with an
iron abundance about 10,000 times lower than the solar value without noticeable
carbon enhancement. We confirm that most of the metal-poor bulge stars are on
tight orbits around the Galactic Centre, rather than being halo stars passing
through the bulge, as expected for stars formed at redshifts greater than 15.
Their chemical compositions are in general similar to typical halo stars of the
same metallicity although intriguing differences exist, including lower
abundances of carbon.
PMID- 26560033
TI - Epithelial-to-mesenchymal transition is not required for lung metastasis but
contributes to chemoresistance.
AB - The role of epithelial-to-mesenchymal transition (EMT) in metastasis is a
longstanding source of debate, largely owing to an inability to monitor transient
and reversible EMT phenotypes in vivo. Here we establish an EMT lineage-tracing
system to monitor this process in mice, using a mesenchymal-specific Cre-mediated
fluorescent marker switch system in spontaneous breast-to-lung metastasis models.
We show that within a predominantly epithelial primary tumour, a small proportion
of tumour cells undergo EMT. Notably, lung metastases mainly consist of non-EMT
tumour cells that maintain their epithelial phenotype. Inhibiting EMT by
overexpressing the microRNA miR-200 does not affect lung metastasis development.
However, EMT cells significantly contribute to recurrent lung metastasis
formation after chemotherapy. These cells survived cyclophosphamide treatment
owing to reduced proliferation, apoptotic tolerance and increased expression of
chemoresistance-related genes. Overexpression of miR-200 abrogated this
resistance. This study suggests the potential of an EMT-targeting strategy, in
conjunction with conventional chemotherapies, for breast cancer treatment.
PMID- 26560035
TI - Ecology: Ecosystem vulnerability to ocean warming.
PMID- 26560036
TI - Linked color imaging technology facilitates early detection of flat gastric
cancers.
AB - Conventional endoscopy can miss flat early gastric cancers (0-IIb) because they
may not be visible. We treated a patient with synchronous flat early gastric
cancers missed by conventional white-light endoscopy (WLE). A 74-year-old
Japanese male was referred for endoscopic submucosal dissection (ESD) of a
depressed-type early gastric cancer (0-IIc) on the posterior wall of the antrum.
Linked color imaging (LCI) detected two flat reddish lesions (0-IIb) measuring 30
mm and 10 mm in diameter in the distal body and prepyloric area, respectively,
which had not been detected by conventional WLE. LCI clearly demonstrated the
line of demarcation between the malignant lesion and the surrounding mucosa
without magnification. Flat early gastric cancers were suspected because both
lesions had irregular surface patterns using magnifying blue laser imaging (BLI).
An additional depressed lesion (0-IIc) was detected by laser WLE along the
greater curvature in the antrum. Magnifying BLI suggested a malignant lesion.
Histological examination of biopsy specimens revealed atypical glands in all four
lesions. ESD of these lesions was performed. Pathological examination of the
resected specimens confirmed well-differentiated adenocarcinoma localized to the
mucosa in all four lesions. Flat early gastric cancers became clearly visible
using new endoscopic technology.
PMID- 26560037
TI - Concerns over your recent paper on risperidone long-acting injectable for
treatment-resistant schizophrenia.
PMID- 26560038
TI - Controlling the peripheral clock might be a new treatment strategy in allergy and
immunology.
PMID- 26560039
TI - IL-25 and CD4(+) TH2 cells enhance type 2 innate lymphoid cell-derived IL-13
production, which promotes IgE-mediated experimental food allergy.
AB - BACKGROUND: Food-mediated allergic reactions have emerged as a major health
problem. The underlying mechanisms that promote uncontrolled type 2 immune
responses to dietary allergens in the gastrointestinal tract remain elusive.
OBJECTIVE: We investigated whether altering IL-25 signaling enhances or
attenuates allergic responses to food allergens. METHODS: Mice of an IL-25
transgenic mouse line (iIL-25Tg mice), which constitutively overexpress
intestinal IL-25, and Il17rb(-/-) mice, in which Il17rb gene expression is
disrupted, were sensitized and gavage fed with ovalbumin (OVA). We assessed
symptomatic characteristics of experimental food allergy, including incidence of
diarrhea, incidence of hypothermia, intestinal TH2 immune response, and serum OVA
specific IgE and mast cell protease 1 production. RESULTS: Rapid induction of
Il25 expression in the intestinal epithelium preceded onset of the anaphylactic
response to ingested OVA antigen. iIL-25Tg mice were more prone and Il17rb(-/-)
mice were more resistant to experimental food allergy. Resident intestinal type 2
innate lymphoid cells (ILC2s) were identified as the major producers of IL-5 and
IL-13 in response to IL-25. Reconstituting irradiated wild-type mice with Rora(-/
) or Il17rb(-/-) bone marrow resulted in a deficiency or dysfunction of the ILC2
compartment, respectively, and resistance to experimental food allergy. Repeated
intragastric antigen challenge induced a significant increase in numbers of
CD4(+) TH2 cells, which enhance IL-25-stimulated IL-13 production by ILC2s ex
vivo and in vivo. Finally, reconstituted IL-13-deficient ILC2s had reduced
capability to promote allergic inflammation, resulting in increased resistance to
experimental food allergy. CONCLUSION: IL-25 and CD4(+) TH2 cells induced by
ingested antigens enhance ILC2-derived IL-13 production, thereby promoting IgE
mediated experimental food allergy.
PMID- 26560040
TI - Low E-prostanoid 2 receptor levels and deficient induction of the IL-1beta/IL-1
type I receptor/COX-2 pathway: Vicious circle in patients with aspirin
exacerbated respiratory disease.
AB - BACKGROUND: We hypothesized that the 2 reported alterations in aspirin
exacerbated respiratory disease (AERD), reduced expression/production of COX
2/prostaglandin (PG) E2 and diminished expression of E-prostanoid (EP) 2
receptor, are closely linked. OBJECTIVE: We sought to determine the mechanisms
involved in the altered regulation of the COX pathway in patients with AERD.
METHODS: Fibroblasts were obtained from nasal mucosa; samples of control subjects
(NM-C, n = 8) and from nasal polyps from patients with aspirin-exacerbated
respiratory disease (NP-AERD, n = 8). Expression of the autocrine loop components
regulating PGE2 production and signaling, namely IL-1 type I receptor (IL-1RI),
COX-2, microsomal prostaglandin E synthase 1 (mPGES-1), and EP receptors, was
assessed at baseline and after stimulation with IL-1beta, PGE2, and specific EP
receptor agonists. RESULTS: Compared with NM-C fibroblasts, basal expression
levels of IL-1RI and EP2 receptor were lower in NP-AERD fibroblasts. IL-1beta
induced IL-1RI, COX-2, and mPGES-1 expression levels were also lower in these
cells. Levels of IL-1RI positively correlated with COX-2 and mPGES-1 expression
in both NM-C and NP-AERD fibroblasts. Incubation with either exogenous PGE2 or
selective EP2 agonist significantly increased expression of IL-1RI in NM-C
fibroblasts and had hardly any effect on NP-AERD fibroblasts. Alterations in IL
1RI, COX-2, and mPGES-1 expression that were found in NP-AERD fibroblasts were
corrected when EP2 receptor expression was normalized by transfection of NP-AERD
fibroblasts. CONCLUSION: Altered expression of EP2 in patients with AERD
contributes to deficient induction of IL-1RI, reducing the capacity of IL-1beta
to increase COX-2 and mPGES-1 expression, which results in low PGE2 production.
This impairment in the generation of PGE2 subsequently reduces its ability to
induce IL-1RI.
PMID- 26560041
TI - A homozygous STIM1 mutation impairs store-operated calcium entry and natural
killer cell effector function without clinical immunodeficiency.
PMID- 26560042
TI - Antigen-presenting epithelial cells can play a pivotal role in airway allergy.
PMID- 26560043
TI - Tissue proteases convert CCL23 into potent monocyte chemoattractants in patients
with chronic rhinosinusitis.
PMID- 26560044
TI - GM-CSF treatment prevents respiratory syncytial virus-induced pulmonary
exacerbation responses in postallergic mice by stimulating alveolar macrophage
maturation.
AB - BACKGROUND: Human respiratory syncytial virus (RSV) is a frequent cause of asthma
exacerbations, yet the susceptibility of asthmatic patients to RSV is poorly
understood. OBJECTIVE: We sought to address the contribution of resident alveolar
macrophages (rAMs) to susceptibility to RSV infection in mice that recovered from
allergic airway eosinophilia. METHODS: Mice were infected with RSV virus after
clearance of allergic airway inflammation (AAI). The contribution of post-AAI
rAMs was studied in vivo by means of clodronate liposome-mediated depletion,
adoptive transfer, and treatment with recombinant cytokines before RSV infection.
RESULTS: After clearing the allergic bronchial inflammation, post-AAI mice had
bronchial hyperreactivity and increased inflammatory cell influx when infected
with RSV compared with nonallergic mice, whereas viral clearance was comparable
in both mouse groups. Post-AAI rAMs were necessary and sufficient for mediating
these proinflammatory effects. In post-AAI mice the residing CD11c(hi)
autofluorescent rAM population did not upregulate the terminal differentiation
marker sialic acid-binding immunoglobulin-like lectin F and overproduced TNF and
IL-6 through increased nuclear factor kappaB nuclear translocation. In line with
these results, post-AAI lungs had reduced levels of the rAM maturation cytokine
GM-CSF. Intratracheal administration of GM-CSF induced final rAM maturation in
post-AAI mice and prevented the increased susceptibility to RSV-induced
hyperreactivity and inflammation. CONCLUSION: Defective production of GM-CSF
leads to insufficient post-AAI rAM maturation in mice that recovered from an AAI,
causing increased susceptibility to RSV-induced immunopathology. Promoting the
differentiation of post-AAI rAMs might be a therapeutic option for preventing RSV
induced exacerbations in human asthmatic patients.
PMID- 26560045
TI - Efficacy of T-cell transcription factor-specific DNAzymes in murine skin
inflammation models.
PMID- 26560046
TI - GSK3beta mediates the carcinogenic effect of HPV16 in cervical cancer.
AB - Cervical cancer is one of the most prevalent and fatal cancers among women and
infection of the human papillomavirus (HPV) is the most important risk factor.
This study investigated how HPV16 regulated GSK3beta expression and function to
promote cervical cancers. The expression of GSK3beta was analyzed by quantitative
PCR and western blot. The proliferation, invasion, and clonogenic survival of
cells with different E6/E7 levels were measured by MTT, transwell invasion
assays, and soft agar colony-forming assays, respectively. The levels of GSK3beta
were correlated with the copy numbers and expression levels of HPV16 E6/E7 genes.
HPV16 E6/E7 genes regulated GSK3beta transcription through an element located in
the promoter 85 and 250 base pairs upstream of the transcription start site. The
abilities of cell proliferation, invasion, and clonogenic survival were increased
in C33A cells by ectopic HPV16 E6/E7 and decreased in CaSki cells by knocking
down HPV16 E6/E7 levels. Meanwhile, LiCl increased GSK3beta transcript levels and
the proliferation of CaSki cells in a HPV16-dependent manner. These data
indicated that GSK3beta may participated in HPV16 mediated deregulation of
wnt/beta-catenin and other signaling pathways promoting the progression and
invasion of cervical cancers.
PMID- 26560047
TI - Pan-transcriptomic analysis identifies coordinated and orthologous functional
modules in the diatoms Thalassiosira pseudonana and Phaeodactylum tricornutum.
AB - Diatoms are important primary producers in the ocean that thrive in diverse and
dynamic environments. Their survival and success over changing conditions depend
on the complex coordination of gene regulatory processes. Here we present an
integrated analysis of all publicly available microarray data for the diatoms
Thalassiosira pseudonana and Phaeodactylum tricornutum. This resource includes
shared expression patterns, gene functions, and cis-regulatory DNA sequence
motifs in each species that are statistically coordinated over many experiments.
These data illustrate the coordination of transcriptional responses in diatoms
over changing environmental conditions. Responses to silicic acid depletion
segregate into multiple distinctly regulated groups of genes, regulation by heat
shock transcription factors (HSFs) is implicated in the response to nitrate
stress, and distinctly coordinated carbon concentrating, CO2 and pH-related
responses are apparent. Fundamental features of diatom physiology are similarly
coordinated between two distantly related diatom species, including the
regulation of photosynthesis, cellular growth functions and lipid metabolism.
These integrated data and analyses can be explored publicly
(http://networks.systemsbiology.net/diatom-portal/).
PMID- 26560048
TI - Discovery of a marine-derived bis-indole alkaloid fascaplysin, as a new class of
potent P-glycoprotein inducer and establishment of its structure-activity
relationship.
AB - The screening of IIIM natural products repository for P-gp modulatory activity in
P-gp over-expressing human adenocarcinoma LS-180 cells led to the identification
of 7 natural products viz. withaferin, podophyllotoxin, 3-demethylcolchicine,
agnuside, reserpine, seseberecine and fascaplysin as P-gp inducers. Fascaplysin
(6a), a marine-derived bis-indole alkaloid, was the most potent among all of
them, showing induction of P-gp with EC50 value of 25 nM. P-gp induction is one
of the recently targeted strategy to increase amyloid-beta clearance from
Alzheimer brains. Thus, we pursued a medicinal chemistry of fascaplysin to
establish its structure-activity relationship for P-gp induction activity. Four
series of analogs viz. substituted quaternary fascaplysin analogs, D-ring opened
quaternary analogs, D-ring opened non-quaternary analogs, and beta-carbolinium
analogs were synthesized and screened for P-gp induction activity. Among the
total of 48 analogs screened, only quaternary nitrogen containing analogs 6a-g
and 10a, 10h-l displayed promising P-gp induction activity; whereas non-planar
non-quaternary analogs 9a-m, 13a-n, 15a-h were devoid of this activity. The P-gp
induction activity of best compounds was then confirmed by western-blot analysis,
which indicated that fascaplysin (6a) along with 4,5-difluoro analog of
fascaplysin 6f and D-ring opened analog 10j displayed 4-8 fold increase in P-gp
expression in LS-180 cells at 1 MUM. Additionally, compounds 6a and 6f also
showed inhibition of acetylcholinestease (AChE), an enzyme responsible for
neuronal loss in Alzheimer's disease. Thus, fascaplysin and its analogs showing
promising P-gp induction along with AChE inhibition at 1 MUM, with good safety
window (LS-180: IC50 > 10 MUM, hGF: 4 MUM), clearly indicates their promise for
development as an anti-Alzheimer agent.
PMID- 26560049
TI - Design, synthesis, and biological evaluation of novel quinazolinyl-diaryl urea
derivatives as potential anticancer agents.
AB - Through a structure-based molecular hybridization approach, a series of novel
quinazolinyl-diaryl urea derivatives were designed, synthesized, and screened for
their in vitro antiproliferative activities against three cancer cell lines
(HepG2, MGC-803, and A549). Six compounds (7 g, 7 m, 7 o, 8 e, 8 g, and 8 m)
showed stronger activity against a certain cell line compared with the positive
reference drugs sorafenib and gefitinib. Among the six compounds, 8 g exhibited
the strongest activity. In particular, compound 8 g induced A549 apoptosis,
arrested cell cycle at the G0/G1 phase, elevated intracellular reactive oxygen
species level, and decreased mitochondrial membrane potential. This compound can
also effectively regulate the expression of apoptosis- and cell cycle-related
proteins, and influence the Raf/MEK/ERK pathway. Molecular docking and structure
activity relationship analyses revealed that it can bind well to the active site
of the receptor c-Raf, which was consistent with the biological data. Therefore,
compound 8 g may be a potent antitumor agent, representing a promising lead for
further optimization.
PMID- 26560050
TI - Anticonvulsant activity, crystal structures, and preliminary safety evaluation of
N-trans-cinnamoyl derivatives of selected (un)modified aminoalkanols.
AB - Adequate control of seizures remains an unmet need in epilepsy. In order to
identify new anticonvulsant agents, a series of N-trans-cinnamoyl derivatives of
selected aminoalkanols was synthetized. The compounds were obtained in the
reaction of N-acylation carried out in a two-phase system. The substances were
tested in animal models of seizures induced either electrically (maximal
electroshock--MES; 6-Hz test) or chemically, by subcutaneous injection of
pentetrazol (scPTZ). Neurotoxicity was determined by the rotarod test.
Lipophilicity of the active compounds, expressed as RM0, was determined by
reversed-phase thin layer chromatography and it ranged from 1.390 to 2.219. From
among the tested series of compounds, R,S-(E)-N-(1-hydroxypropan-2-yl)-3
phenylprop-2-enamide (1) and R,S-(E)-N-(2-hydroxypropyl)-3-phenylprop-2-enamide
(3) exhibited the best anticonvulsant activity. Compound 1, when administered to
mice by intraperitoneal (i.p.) injection, showed the ED50 values of 86.6, 60.9,
and 109.6 mg/kg in the MES, 6-Hz, and scPTZ tests, respectively. For compound 3,
the ED50 values were found to be 47.1 mg/kg in MES and 77.1 mg/kg in scPTZ (mice,
i.p.). The distances measured in crystals of compound 1 were: 7.99 A--from the
phenyl ring to the hydroxyl group in the amide moiety, 5.729 A--from the phenyl
ring to the amide group, and 3.112 A--from the amide group to the hydroxyl group
in the amide moiety. The reported compounds did not exhibit mutagenic potential
when assayed in the Ames test. Compounds 1 and 3 did not affect viability and
morphology of human hepatocellular carcinoma cells (HepG2).
PMID- 26560051
TI - Cutaneous Lymphoma in Korea: A Nationwide Retrospective Study.
AB - The epidemiological and clinicopathological features of cutaneous lymphoma may
vary by geographical area. However, only a few large-scale epidemiological
studies of cutaneous lymphoma have been performed, mainly in the USA and Europe.
This aim of this study was to determine the recent characteristics of cutaneous
lymphoma in Korea according to the WHO/EORTC classification. A total of 422
patients with newly diagnosed cutaneous lymphoma from January 2009 to December
2013 comprising 293 cases of mature T-cell and natural killer (NK)-cell lymphoma
and 39 cases of mature B-cell lymphoma were retrospectively reviewed. The
incidence of mature B-cell lymphoma was lower in Korea than in Europe and the
USA. Diffuse large B-cell lymphoma was more prevalent in Korea than in Western
countries. The incidence of extranodal NK/T-cell lymphoma, nasal-type was higher
in Korea than in Western countries and Japan.
PMID- 26560052
TI - Longitudinal assessment of hepatitis C fibrosis progression by collagen and
smooth muscle actin morphometry in comparison to serum markers.
AB - BACKGROUND: Assessment of fibrosis progression in chronic liver disease relies
upon non-invasive tools and changes in semi-quantitative histopathology scores
that may not be reliable. AIM: To assess the diagnostic performance of the
FibroSURE (FS) index and collagen/alpha smooth muscle actin (alpha-SMA)
morphometry in relation to longitudinal changes in fibrosis on paired biopsies.
METHODS: The study cohort included 201 chronic hepatitis C (CHC) nonresponders
enrolled in a prior phase II anti-fibrotic study. Serum FS and paired biopsies,
with both collagen and alpha-SMA morphometry, were evaluated at baseline and week
52. RESULTS: Study patients were mostly male (67%) and Caucasian (77%), with
Ishak stages 2 (n = 79), 3 (n = 88) and 4 (n = 30), excluded (n = 4 stage 1 or
5). Mean biopsy length was 22.9 mm. For baseline Ishak 2/3 vs. 4, there were no
significant differences in AUROCs for collagen (0.71), SMA (0.66) or FS (0.70).
At week 52, 62% of patients had no change in Ishak stage, but collagen/alpha-SMA
increased by 34-51% (P < 0.0001), and FS decreased by 5% (P = 0.008). Among the
33% of patients with +/-1 Ishak stage change, FS changes were not significant,
but alpha-SMA increased 29-72%, and collagen increased by 12-38% (P = 0.01 for +1
only). CONCLUSIONS: Longitudinal changes in collagen and alpha-SMA morphometry
are apparent prior to change in histological stage or FibroSURE in CHC
nonresponders with intermediate fibrosis. This likely reflects quantitative
morphological differences that are not detected by routine histological staging
or serum markers such as FibroSURE.
PMID- 26560053
TI - Treatment of Acrodermatitis Continua of Hallopeau With Ustekinumab as
Monotherapy.
PMID- 26560054
TI - Current status of chimeric antigen receptor therapy for haematological
malignancies.
AB - The field of adoptive cell transfer includes chimeric antigen receptor (CAR)
engineered T cells, constructs that emerged from basic research into principles
of immunology and have transformed into clinically effective therapies for
haematological malignancies. T cells engineered to express these artificial
receptors hold great promise, but also carry significant risk. While permanent
genetic modification of mature T cells appears safe, modulating their in vivo
function is difficult, partly because the robust response can trigger other arms
of the immune system. Suicide systems and toxicity management with cytokine
blockade or signal transduction modulators have emerged as a new frontier in this
field, a far cry from early problems getting CAR T cells to work at all.
Currently, clinical trials in patients with relapsed or refractory B cell
malignancies treated with CD19-specific CAR T cells have induced durable
remissions in adults and children. Results from these trials indicate that more
work needs to be done to understand biomarkers of efficacy, the role of T cell
persistence and how to integrate this care into standard practice. Cell therapy
will not be a 'one size fits all' class of medicine, and here we will discuss the
development of this therapy and important questions for its future.
PMID- 26560055
TI - Self-rated chronic conditions and 2-week prevalence in adults in Zhongshan,
China: an epidemiological survey.
AB - OBJECTIVE: To examine the association between behavioural factors and the risk of
chronic conditions and 2-week prevalence. DESIGN: This was a cross-sectional
survey. SETTING: The study was conducted in Zhongshan, China. PARTICIPANTS: A
multistage clustering sampling method was used to select a representative sample
of residents from the household registration system between July and September
2011. The overall sample replacement rate was 9.4%, and the final sample included
43 028 individuals. OUTCOME MEASURES: Chronic conditions and 2-week prevalence.
RESULTS: 4979 (11.6%) of the participants reported having at least one chronic
condition, 1067 (2.5%) had two or more concurrent chronic conditions, and 6830
(15.9%) reported having at least one disease in a 2-week recall period. The most
common chronic condition was primary hypertension, which was reported by 6.8% of
participants. Logistic regression models demonstrated that the main factors for
having a chronic condition and 2-week prevalence were older age (>=65 years of
age; OR 44.91, 95% CI 33.05 to 61.03; and OR 12.71, 95% CI 10.44 to 15.46,
respectively), obesity (OR 3.00, 95% CI 2.63 to 3.42; and OR 2.50, 95% CI 2.22 to
2.82, respectively) and being a former smoker (OR 3.02, 95% CI 2.54 to 3.58; and
OR 3.24, 95% CI 2.74 to 3.82, respectively). CONCLUSIONS: This study suggests
that older age, obesity and unhealthy behaviours are high-risk factors for poorer
health status among the residents of Zhongshan, China. The present findings
highlight the importance of recognising and managing harmful behaviours in order
to improve health.
PMID- 26560056
TI - Evidence used in model-based economic evaluations for evaluating pharmacogenetic
and pharmacogenomic tests: a systematic review protocol.
AB - INTRODUCTION: Decision models can be used to conduct economic evaluations of new
pharmacogenetic and pharmacogenomic tests to ensure they offer value for money to
healthcare systems. These models require a great deal of evidence, yet research
suggests the evidence used is diverse and of uncertain quality. By conducting a
systematic review, we aim to investigate the test-related evidence used to inform
decision models developed for the economic evaluation of genetic tests. METHODS
AND ANALYSIS: We will search electronic databases including MEDLINE, EMBASE and
NHS EEDs to identify model-based economic evaluations of pharmacogenetic and
pharmacogenomic tests. The search will not be limited by language or date. Title
and abstract screening will be conducted independently by 2 reviewers, with
screening of full texts and data extraction conducted by 1 reviewer, and checked
by another. Characteristics of the decision problem, the decision model and the
test evidence used to inform the model will be extracted. Specifically, we will
identify the reported evidence sources for the test-related evidence used,
describe the study design and how the evidence was identified. A checklist
developed specifically for decision analytic models will be used to critically
appraise the models described in these studies. Variations in the test evidence
used in the decision models will be explored across the included studies, and we
will identify gaps in the evidence in terms of both quantity and quality.
DISSEMINATION: The findings of this work will be disseminated via a peer-reviewed
journal publication and at national and international conferences.
PMID- 26560057
TI - Testing a model of facilitated reflection on network feedback: a mixed method
study on integration of rural mental healthcare services for older people.
AB - OBJECTIVE: To test a management model of facilitated reflection on network
feedback as a means to engage services in problem solving the delivery of
integrated primary mental healthcare to older people. DESIGN: Participatory mixed
methods case study evaluating the impact of a network management model using
organisational network feedback (through social network analysis, key informant
interviews and policy review). INTERVENTION: A model of facilitated network
reflection using network theory and methods. SETTING: A rural community in South
Australia. PARTICIPANTS: 32 staff from 24 services and 12 senior service managers
from mental health, primary care and social care services. RESULTS: Health and
social care organisations identified that they operated in clustered self-managed
networks within sectors, with no overarching purposive older people's mental
healthcare network. The model of facilitated reflection revealed service goal and
role conflicts. These discussions helped local services to identify as a network,
and begin the problem-solving communication and referral links. A Governance
Group assisted this process. Barriers to integrated servicing through a network
included service funding tied to performance of direct care tasks and the lack of
a clear lead network administration organisation. CONCLUSIONS: A model of
facilitated reflection helped organisations to identify as a network, but
revealed sensitivity about organisational roles and goals, which demonstrated
that conflict should be expected. Networked servicing needed a neutral network
administration organisation with cross-sectoral credibility, a mandate and the
resources to monitor the network, to deal with conflict, negotiate commitment
among the service managers, and provide opportunities for different sectors to
meet and problem solve. This requires consistency and sustained intersectoral
policies that include strategies and funding to facilitate and maintain health
and social care networks in rural communities.
PMID- 26560058
TI - A multilevel study on the association of observer-assessed working conditions
with depressive symptoms among female eldercare workers from 56 work units in 10
care homes in Denmark.
AB - OBJECTIVES: Eldercare workers in Denmark have a higher prevalence of poor
psychological health than other occupational groups. We examined the association
between working conditions assessed by trained observers and depressive symptoms
assessed by self-report in a study of female Danish eldercare workers. METHODS:
Working conditions were observed based on action regulation theory and defined as
(1) regulation requirements, a workplace resource providing opportunity for
decision-making and skill development and (2) barriers for task completion. We
examined the associations of individual and work unit averaged working conditions
with depressive symptoms in a sample of 95 individually observed eldercare
workers. Further, we examined the association of work unit averaged working
conditions with depressive symptoms in a sample of 205 care workers, including
both observed and non-observed individuals. We used regression models that
allowed for correlations within work units and care homes and adjusted these
models for demographics, job characteristics and stressful life events. RESULTS:
Higher levels of regulation requirements were associated with lower depressive
symptoms at the individual level (p=0.04), but not at the workplace level.
Barriers were not associated with depressive symptoms at the individual level. At
the workplace level, a higher number of qualitatively different barriers (p=0.04)
and a higher number of barriers for equipment use (p=0.03) were associated with
lower levels of depressive symptoms in the age and cohabitation adjusted model,
however statistical significance was lost in the fully adjusted model.
CONCLUSIONS: Low level of regulation requirements was associated with a high
level of depressive symptoms. The study highlights the importance of examining
both individual and workplace levels of working conditions.
PMID- 26560059
TI - Defining venous thromboembolism and measuring its incidence using Swedish health
registries: a nationwide pregnancy cohort study.
AB - OBJECTIVE: To accurately define venous thromboembolism (VTE) in the routinely
collected Swedish health registers and quantify its incidence in and around
pregnancy. STUDY DESIGN: Cohort study using data from the Swedish Medical Birth
Registry (MBR) linked to the National Patient Registry (NPR) and the Swedish
Prescribed Drug Register (PDR). SETTING: Secondary care centres, Sweden.
PARTICIPANT: 509,198 women aged 15-44 years who had one or more pregnancies
resulting in a live birth or stillbirth between 2005 and 2011. MAIN OUTCOME
MEASURE: To estimate the incidence rate (IR) of VTE in and around pregnancy using
various VTE definitions allowing direct comparison with other countries. RESULTS:
The rate of VTE varied based on the VTE definition. We found that 43% of cases
first recorded as outpatient were not accompanied by anticoagulant prescriptions,
whereas this proportion was much lower than those cases first recorded in the
inpatient register (9%). Using our most inclusive VTE definition, we observed
higher rates of VTE compared with previously published data using similar
methodology. These reduced by 31% (IR=142/100,000 person-years; 95% CI 132 to
153) and 22% (IR=331/100,000 person-years; 95% CI 304 to 361) during the
antepartum and postpartum periods, respectively, using a restrictive VTE
definition that required anticoagulant prescriptions associated with diagnosis,
which were more in line with the existing literature. CONCLUSIONS: We found that
including VTE codes without treatment confirmation risks the inclusion of false
positive cases. When defining VTE using the NPR, anticoagulant prescription
information should therefore be considered particularly for cases recorded in an
outpatient setting.
PMID- 26560060
TI - Patient and nurse preferences for nurse handover-using preferences to inform
policy: a discrete choice experiment protocol.
AB - INTRODUCTION: Nursing bedside handover in hospital has been identified as an
opportunity to involve patients and promote patient-centred care. It is important
to consider the preferences of both patients and nurses when implementing bedside
handover to maximise the successful uptake of this policy. We outline a study
which aims to (1) identify, compare and contrast the preferences for various
aspects of handover common to nurses and patients while accounting for other
factors, such as the time constraints of nurses that may influence these
preferences.; (2) identify opportunities for nurses to better involve patients in
bedside handover and (3) identify patient and nurse preferences that may
challenge the full implementation of bedside handover in the acute medical
setting. METHODS AND ANALYSIS: We outline the protocol for a discrete choice
experiment (DCE) which uses a survey design common to both patients and nurses.
We describe the qualitative and pilot work undertaken to design the DCE. We use a
D-efficient design which is informed by prior coefficients collected during the
pilot phase. We also discuss the face-to-face administration of this survey in a
population of acutely unwell, hospitalised patients and describe how data
collection challenges have been informed by our pilot phase. Mixed multinomial
logit regression analysis will be used to estimate the final results. ETHICS AND
DISSEMINATION: This study has been approved by a university ethics committee as
well as two participating hospital ethics committees. Results will be used within
a knowledge translation framework to inform any strategies that can be used by
nursing staff to improve the uptake of bedside handover. Results will also be
disseminated via peer-reviewed journal articles and will be presented at national
and international conferences.
PMID- 26560061
TI - Current-reported outcome domains in studies of adults with a focus on the
treatment of tinnitus: protocol for a systematic review.
AB - INTRODUCTION: In Europe alone, over 70 million people experience tinnitus.
Despite its considerable socioeconomic relevance, progress in developing
successful treatments has been limited. Clinical effectiveness is judged
according to change in primary outcome measures, but because tinnitus is a
subjective condition, the definition of outcomes is challenging and it remains
unclear which distinct aspects of tinnitus (ie, 'domains') are most relevant for
assessment. The development of a minimum outcome reporting standard would go a
long way towards addressing these problems. In 2006, a consensus meeting
recommended using 1 of 4 questionnaires for tinnitus severity as an outcome in
clinical trials, in part because of availability in different language
translations. Our initiative takes an approach motivated by clinimetrics, first
by determining what to measure before seeking to determine how to measure it.
Agreeing on the domains that contribute to tinnitus severity (ie, 'what') is the
first step towards achieving a minimum outcome reporting standard for tinnitus
that has been reached via a methodologically rigorous and transparent process.
METHODS AND ANALYSIS: Deciding what should be the core set of outcomes requires a
great deal of discussion and so lends itself well to international effort. This
protocol lays out the first-step methodology in defining a Core Domain Set for
clinical trials of tinnitus by establishing existing knowledge and practice with
respect to which outcome domains have been measured and which instruments used in
recent registered and published clinical trials. ETHICS AND DISSEMINATION: No
ethical issues are foreseen. Findings will be reported at national and
international ear, nose and throat (ENT) and audiology conferences and in a peer
reviewed journal, using PRISMA (Preferred Reporting Items for Systematic reviews
and Meta-analysis) guidelines. TRIAL REGISTRATION NUMBER: The systematic review
protocol is registered on PROSPERO (International Prospective Register of
Systematic Reviews): CRD42015017525.
PMID- 26560062
TI - Analysis of HLA-B15 and HLA-B27 in spondyloarthritis with peripheral and axial
clinical patterns.
AB - OBJECTIVE: Human leucocyte antigen (HLA) B27 and HLA-B15 are associated with
spondyloarthritis (SpA). Recent Assessment of SpondyloArthritis international
Society (ASAS) criteria emphasise a distinction between SpA with axial and
peripheral patterns. We analysed whether HLA-A, HLA-B and HLA-DRB1 alleles could
associate with these patterns. METHODS: We studied 100 healthy individuals and
178 patients with SpA according to European Spondyloarthropathy Study Group
(ESSG) criteria. Patients were then classified according to ASAS criteria, the
axial spondyloarthritis pattern (axSpA) being defined by ascertained sacroiliitis
and the peripheral pattern (pSpA) by enthesitis and/or arthritis in extremities.
A combined ax/p pattern was also considered. RESULTS: Only HLA-B27 and HLA-B15
alleles were associated with SpA. ASAS criteria for axSpA were met in 152
patients (12 with isolated axSpA and 140 with a combined ax/p patterns). When the
ASAS peripheral criteria were applied, 161 patients met these criteria (13 with
isolated pSpA and 148 with a combined ax/p pattern). HLA-B27 was found in 83% of
patients with axSpA and 43% of ax/pSpA patients according to axASAS. HLA-B27
occurred in 7% controls but not in any patient with isolated pSpA. HLA-B15 was
encountered in 31% of patients with isolated pSpA and 20% of ax/pSpA patients
according to pASAS criteria. Moreover, 2 healthy controls, but none of our
patients with isolated axSpA were positive for HLA-B15. CONCLUSIONS: Our data
suggest that the presence of HLA-B15 favours the development of isolated/combined
peripheral rather than isolated axSpA, while HLA-B27 promotes an
isolated/combined axial disease and excludes a peripheral pattern. HLA-B15 should
be considered in addition to HLA-B27 when diagnosing patients with SpA according
to ASAS criteria.
PMID- 26560063
TI - Vascular endothelial growth factor as a predictive marker for POEMS syndrome
treatment response: retrospective cohort study.
AB - OBJECTIVE: POEMS (polyneuropathy, organomegaly, endocrinopathy, M-protein and
skin changes) syndrome is a rare multisystem disease characterised by plasma cell
dyscrasia and overproduction of vascular endothelial growth factor (VEGF). VEGF
is assumed to be useful in monitoring disease activity, because VEGF levels
usually decrease after treatment. However, there is no study to investigate
whether the extent of decrease in VEGF correlates with clinical outcome. We
tested the predictive efficacy of serum VEGF levels in POEMS syndrome. METHOD:
This was an institutional review board approved retrospective observational
cohort study of 20 patients with POEMS monitored regularly for more than 12
months (median follow-up, 87 months) after treatment onset using our
prospectively accumulated database of POEMS from 1999 to 2015. Patients were
treated by autologous peripheral blood stem cell transplantation or thalidomide
administration. Serum VEGF was measured by ELISA. Outcome measures included
clinical and laboratory findings and relapse-free survival. RESULTS: Serum VEGF
levels decreased rapidly after treatment, and stabilised by 6 months post
treatment. Patients with normalised serum VEGF levels (<1040 pg/mL) at 6 months
showed prolonged relapse-free survival (HR=12.81, 95% CI 2.691 to 90.96;
p=0.0001) and greater later clinical improvement. The rate of serum VEGF
reduction over the first 6 months post treatment correlated with increased grip
strength, serum albumin levels, and compound muscle action potential amplitudes
at 12 months. CONCLUSIONS: Serum VEGF level at 6 months post treatment is a
predicative biomarker for disease activity and prognosis in POEMS syndrome. Serum
VEGF could be used as a surrogate endpoint for relapse-free survival or clinical
or laboratory improvement of POEMS syndrome for clinical trials.
PMID- 26560064
TI - Effect of vegetable consumption on the association between peripheral leucocyte
telomere length and hypertension: a case-control study.
AB - OBJECTIVES: Peripheral leucocyte telomere length has been suggested to be
inversely associated with hypertension risk. Both telomere length and
hypertension risk can be modified by certain dietary factors, such as fruit and
vegetables. This study was to examine the potential effect of these dietary
factors on the association between telomere length and hypertension risk. STUDY
DESIGN: A community-based case-control study. PARTICIPANTS: 271 hypertensive
patients and 455 normotensive controls aged 40-70 years and living in Yinzhou,
Zhejiang Province, China. OUTCOME MEASURES: Peripheral leucocyte relative
telomere length (RTL) was measured using quantitative real-time PCR. Dietary
intake was assessed by a brief semiquantitative food frequency questionnaire. The
association between RTL and hypertension risk was analysed using logistic
regression, and the modulatory effect of dietary intake on RTL-related
hypertension risk was analysed using likelihood ratio tests. RESULTS: Among
controls, longer age-adjusted RTL was associated with higher vegetable intake
(p=0.01). Individuals with longer age-adjusted RTL (based on median value) were
30% less likely to have hypertension (OR=0.70, 95% CI 0.52 to 0.96; p=0.03). The
observed RTL-hypertension relationship appeared to be modified by vegetable
intake-longer RTL was significantly associated with lower hypertension risk only
in those with greater vegetable consumption (OR=0.28, 95% CI 0.14 to 0.57;
p<0.001), but not in those with lower vegetable intake (P-interaction=0.008).
CONCLUSIONS: Certain dietary factors might modify telomere-related hypertension
risk.
PMID- 26560065
TI - Yeast Interspecies Comparative Proteomics Reveals Divergence in Expression
Profiles and Provides Insights into Proteome Resource Allocation and Evolutionary
Roles of Gene Duplication.
AB - Omics analysis is a versatile approach for understanding the conservation and
diversity of molecular systems across multiple taxa. In this study, we compared
the proteome expression profiles of four yeast species (Saccharomyces cerevisiae,
Saccharomyces mikatae, Kluyveromyces waltii, and Kluyveromyces lactis) grown on
glucose- or glycerol-containing media. Conserved expression changes across all
species were observed only for a small proportion of all proteins differentially
expressed between the two growth conditions. Two Kluyveromyces species, both of
which exhibited a high growth rate on glycerol, a nonfermentative carbon source,
showed distinct species-specific expression profiles. In K. waltii grown on
glycerol, proteins involved in the glyoxylate cycle and gluconeogenesis were
expressed in high abundance. In K. lactis grown on glycerol, the expression of
glycolytic and ethanol metabolic enzymes was unexpectedly low, whereas proteins
involved in cytoplasmic translation, including ribosomal proteins and elongation
factors, were highly expressed. These marked differences in the types of
predominantly expressed proteins suggest that K. lactis optimizes the balance of
proteome resource allocation between metabolism and protein synthesis giving
priority to cellular growth. In S. cerevisiae, about 450 duplicate gene pairs
were retained after whole-genome duplication. Intriguingly, we found that in the
case of duplicates with conserved sequences, the total abundance of proteins
encoded by a duplicate pair in S. cerevisiae was similar to that of protein
encoded by nonduplicated ortholog in Kluyveromyces yeast. Given the frequency of
haploinsufficiency, this observation suggests that conserved duplicate genes,
even though minor cases of retained duplicates, do not exhibit a dosage effect in
yeast, except for ribosomal proteins. Thus, comparative proteomic analyses across
multiple species may reveal not only species-specific characteristics of
metabolic processes under nonoptimal culture conditions but also provide valuable
insights into intriguing biological principles, including the balance of proteome
resource allocation and the role of gene duplication in evolutionary history.
PMID- 26560066
TI - Integrated Transcriptomic-Proteomic Analysis Using a Proteogenomic Workflow
Refines Rat Genome Annotation.
AB - Proteogenomic re-annotation and mRNA splicing information can lead to the
discovery of various protein forms for eukaryotic model organisms like rat.
However, detection of novel proteoforms using mass spectrometry proteomics data
remains a formidable challenge. We developed EuGenoSuite, an open source multiple
algorithmic proteomic search tool and utilized it in our in-house integrated
transcriptomic-proteomic pipeline to facilitate automated proteogenomic analysis.
Using four proteogenomic pipelines (integrated transcriptomic-proteomic, Peppy,
Enosi, and ProteoAnnotator) on publicly available RNA-sequence and MS proteomics
data, we discovered 363 novel peptides in rat brain microglia representing novel
proteoforms for 249 gene loci in the rat genome. These novel peptides aided in
the discovery of novel exons, translation of annotated untranslated regions,
pseudogenes, and splice variants for various loci; many of which have known
disease associations, including neurological disorders like schizophrenia,
amyotrophic lateral sclerosis, etc. Novel isoforms were also discovered for genes
implicated in cardiovascular diseases and breast cancer for which rats are
considered model organisms. Our integrative multi-omics data analysis not only
enables the discovery of new proteoforms but also generates an improved reference
for human disease studies in the rat model.
PMID- 26560067
TI - Cross-linking immunoprecipitation-MS (xIP-MS): Topological Analysis of Chromatin
associated Protein Complexes Using Single Affinity Purification.
AB - In recent years, cross-linking mass spectrometry has proven to be a robust and
effective method of interrogating macromolecular protein complex topologies at
peptide resolution. Traditionally, cross-linking mass spectrometry workflows have
utilized homogenous complexes obtained through time-limiting reconstitution,
tandem affinity purification, and conventional chromatography workflows. Here, we
present cross-linking immunoprecipitation-MS (xIP-MS), a simple, rapid, and
efficient method for structurally probing chromatin-associated protein complexes
using small volumes of mammalian whole cell lysates, single affinity
purification, and on-bead cross-linking followed by LC-MS/MS analysis. We first
benchmarked xIP-MS using the structurally well-characterized phosphoribosyl
pyrophosphate synthetase complex. We then applied xIP-MS to the chromatin
associated cohesin (SMC1A/3), XRCC5/6 (Ku70/86), and MCM complexes, and we
provide novel structural and biological insights into their architectures and
molecular function. Of note, we use xIP-MS to perform topological studies under
cell cycle perturbations, showing that the xIP-MS protocol is sufficiently
straightforward and efficient to allow comparative cross-linking experiments.
This work, therefore, demonstrates that xIP-MS is a robust, flexible, and widely
applicable methodology for interrogating chromatin-associated protein complex
architectures.
PMID- 26560069
TI - Estimation of Drug Binding to Brain Tissue: Methodology and in Vivo Application
of a Distribution Assay in Brain Polar Lipids.
AB - The unbound drug concentration-effect relationship in brain is a key aspect in
CNS drug discovery and development. In this work, we describe an in vitro high
throughput distribution assay between an aqueous buffer and a microemulsion of
porcine brain polar lipids (BPL). The derived distribution coefficient LogDBPL
was applied to the prediction of unbound drug concentrations in brain (Cu,b) and
nonspecific binding to brain tissue. The in vivo relevance of the new assay was
assessed for a large set of proprietary drug candidates and CNS drugs by (1)
comparing observed compound concentrations in rat CSF with Cu,b calculated using
the LogDBPL assay in combination with total drug brain concentrations, (2)
comparing Cu,b derived from LogDBPL and total drug brain concentrations to Cu,b
estimated using in vitro P-glycoprotein efflux ratio data and unbound drug plasma
levels, and (3) comparing tissue nonspecific binding data from human brain
autoradiography studies for 17 PET tracer candidates to distribution in BPL. In
summary, the LogDBPL assay provides a predicted drug fraction unbound in brain
tissue that is nearly identical to brain homogenate equilibrium dialysis with an
estimation of in vivo Cu,b that is superior to LogD in octanol. LogDBPL
complements the approach for predicting Cu,b based on in vitro P-glycoprotein
efflux ratio and in vivo unbound plasma concentration and stands as a fast and
cost-effective tool for nonspecific brain binding optimization of PET ligand
candidates.
PMID- 26560068
TI - Endothelial Cell Proteomic Response to Rickettsia conorii Infection Reveals
Activation of the Janus Kinase (JAK)-Signal Transducer and Activator of
Transcription (STAT)-Inferferon Stimulated Gene (ISG)15 Pathway and Reprogramming
Plasma Membrane Integrin/Cadherin Signaling.
AB - Rickettsia conorii is the etiologic agent of Mediterranean spotted fever, a re
emerging infectious disease with significant mortality. This Gram-negative,
obligately intracellular pathogen is transmitted via tick bites, resulting in
disseminated vascular endothelial cell infection with vascular leakage. In the
infected human, Rickettsia conorii infects endothelial cells, stimulating
expression of cytokines and pro-coagulant factors. However, the integrated
proteomic response of human endothelial cells to R. conorii infection is not
known. In this study, we performed quantitative proteomic profiling of primary
human umbilical vein endothelial cells (HUVECs) with established R conorii
infection versus those stimulated with endotoxin (LPS) alone. We observed
differential expression of 55 proteins in HUVEC whole cell lysates. Of these, we
observed induction of signal transducer and activator of transcription (STAT)1,
MX dynamin-like GTPase (MX1), and ISG15 ubiquitin-like modifier, indicating
activation of the JAK-STAT signaling pathway occurs in R. conorii-infected
HUVECs. The down-regulated proteins included those involved in the pyrimidine and
arginine biosynthetic pathways. A highly specific biotinylated cross-linking
enrichment protocol was performed to identify dysregulation of 11 integral plasma
membrane proteins that included up-regulated expression of a sodium/potassium
transporter and down-regulation of alpha-actin 1. Analysis of Golgi and soluble
Golgi fractions identified up-regulated proteins involved in platelet-endothelial
adhesion, phospholipase activity, and IFN activity. Thirty four rickettsial
proteins were identified with high confidence in the Golgi, plasma membrane, or
secreted protein fractions. The host proteins associated with rickettsial
infections indicate activation of interferon-STAT signaling pathways; the
disruption of cellular adhesion and alteration of antigen presentation pathways
in response to rickettsial infections are distinct from those produced by
nonspecific LPS stimulation. These patterns of differentially expressed proteins
suggest mechanisms of pathogenesis as well as methods for diagnosis and
monitoring Rickettsia infections.
PMID- 26560070
TI - Clinical and histological findings of autosomal dominant renal-limited disease
with LMX1B mutation.
AB - AIM: Mutations of LMX1B cause nail-patella syndrome, a rare autosomal dominant
disorder. Recently, LMX1B R246Q heterozygous mutations were recognised in
nephropathy without extrarenal manifestation. The aim of this study was to
clarify characteristics of nephropathy caused by R246Q mutation. METHODS: Whole
exome sequencing was performed on a large family with nonsyndromic autosomal
dominant nephropathy without extrarenal manifestation. Clinical and histological
findings of patients with LMX1B mutation were investigated. RESULTS: LMX1B R246Q
heterozygous mutation was identified in five patients over three generations.
Proteinuria or haematoproteinuria was recognized by urinary screening from all
patients in childhood. Proteinuria gradually increased to nephrotic levels and
renal function decreased in adolescence. Two patients progressed to end-stage
renal disease in adulthood. Renal histology demonstrated minimal change in
childhood and focal segmental glomerulosclerosis in adulthood. Using electron
microscopy, focal collagen deposition could be detected in glomeruli even when a
"moth-eaten appearance" was not apparent in the glomerular basement membrane. In
addition, podocin expression in glomerular podocytes was significantly decreased,
even in the early stages of disease progression. CONCLUSION: Comprehensive
genetic analyses and collagen or tannic acid staining may be useful for diagnosis
of LMX1B-associated nephropathy. While renal prognosis of R246Q may be worse than
that of typical NPS nephropathy, signs of podocytopathy can be detected during
the infantile period; thus, childhood urinary screening may facilitate early
detection.
PMID- 26560071
TI - Effects of dietary supplementation of selenium and iodine on growth performance,
carcass characteristics and histology of thyroid gland in goats.
AB - This study assessed the effects of dietary selenium (Se), iodine (I) and a
combination of both on growth performance, thyroid gland activity, carcass
characteristics and the concentration of iodine and selenium in Longissimus
lumborum (LL) muscle in goats. Twenty-four bucks were randomly assigned to four
dietary treatments: control (CON), basal diet without supplementation, basal diet
+ 0.6 mg Se/kg dry matter (DM) (SS), 0.6 mg I/kg DM (IP), or combination of 0.6
mg/kg DM Se and 0.6 mg/kg DM I (SSIP) and fed for 100 days. Animals fed diet SSIP
exhibited higher (P < 0.05) body weight and better feed conversion ratio (FCR)
than those fed other diets. Dressing percentage of goats fed the supplemented
diets was higher (P < 0.05) than that of the control. Carcasses from the IP group
had higher (P < 0.05) total fat proportion than the SSIP group. The levels of
both elements were significantly elevated (P < 0.05) in LL muscle in supplemented
goats. Thyroid follicular epithelial cells of IP and SSIP animals were
significantly higher than those of CON and SS groups. The study demonstrated that
the combined Se and I dietary supplementation improves growth performance,
carcass dressing percentage and increases the retention of Se and I in goat meat.
PMID- 26560072
TI - Winter cropping in Ficus tinctoria: an alternative strategy.
AB - The many species of figs (Ficus, Moraceae) have evolved a variety of reproductive
phenologies that ensure the survival of both the fig plants and their short
lived, species-specific, pollinating wasps. A phenological study of 28 male and
23 female plants of a dioecious hemiepiphytic fig, Ficus tinctoria, was conducted
in Xishuangbanna, SW China at the northern margin of tropical SE Asia. In
contrast to other figs of seasonal climates, which have a winter low in fig
production, both sexes produced their major fig crops at the coldest time of the
year. Male plants released pollinators during the period when most female trees
were receptive and male syconia had a long wasp-producing (D) phase, which
ensured high levels of pollination. Female crops ripened at the end of the dry
season, when they attracted numerous frugivorous birds and dispersed seeds can
germinate with the first reliable rains. Few syconia were produced by either sex
during the rest of the year, but these were sufficient to maintain local
pollinator populations. We suggest that this unique phenological strategy has
evolved to maximize seed dispersal and establishment in this seasonal climate.
PMID- 26560073
TI - Endoscope-guided coblator tongue base resection using an endoscope-holding system
for obstructive sleep apnea.
AB - BACKGROUND: Multilevel obstruction in obstructive sleep apnea commonly includes
retroglossal obstruction. To improve surgical success rates, tongue volume
reduction with posterior midline glossectomy and/or lingual tonsillectomy is
widely performed. METHODS: Nasotracheal intubation was utilized, and the combined
tongue procedure was performed as a final step after palatal surgery. The tongue
was pulled maximally by a retraction suture and a McIVOR (Karl Storz, Tuttlingen,
Germany) or Davis mouth gag (Karl Storz,Tuttlingen, Germany), and a medium-length
tongue blade was applied to expose the tongue base. A 70-degree rigid endoscope
was fixed by the holding system and introduced into the oral cavity. Endoscope
guided coblator tongue base resection was then performed. RESULTS: The surgeon
could use both hands for the surgery, enabling a more delicate resection of
tongue base tissue. CONCLUSION: This technique was acceptable and can be
successfully used in patients with a large tongue, in whom exposing the tongue
base for surgery is difficult.
PMID- 26560075
TI - The Impact of Posttraumatic Stress Disorder on Physiological Arousal, Disability,
and Sensory Pain Thresholds in Patients With Chronic Whiplash.
AB - OBJECTIVE: Whiplash-associated disorders (WAD) are common and incur substantial
personal and economic costs. Research has shown that persistent posttraumatic
stress reactions predict poorer functional recovery in WAD; however, the specific
mechanism through which this occurs is unclear. The current study is the first to
examine the direct impact of posttraumatic stress disorder (PTSD) symptoms in WAD
using laboratory tested pain measures. MATERIALS AND METHODS: A mixed
experimental design was used to examine the impact of exposure to accident cues
on chronic WAD individuals with (n=33) and without (n=39) PTSD. Groups were
compared at baseline and postaccident cue on self-reported pain and negative
effect and laboratory tested arousal and sensory pain threshold measures.
RESULTS: At baseline, WAD individuals with PTSD reported greater disability,
negative effect, pain, arousal, and lower pain thresholds than those without
PTSD. As expected, exposure to accident cues resulted in greater increases in
arousal and negative effect for those with PTSD. Changes in sensory pain
thresholds revealed a hyperalgesic effect in cold pain thresholds for the PTSD
group compared with the No PTSD group and mixed findings for pressure pain
thresholds. DISCUSSION: Findings from the current study highlight the negative
impact of PTSD on both physical and psychological outcomes in chronic WAD. From a
clinical perspective, data suggest that patients exposed to accident cues may
experience arousal that lowers their threshold to certain pain stimuli. Further
investigation of effective multidisciplinary interventions and in particular the
treatment of PTSD in WAD is identified as an important area of further
investigation.
PMID- 26560074
TI - The anatomical basis for modulatory convergence in the antennal lobe of Manduca
sexta.
AB - The release of neuromodulators by widely projecting neurons often allows sensory
systems to alter how they process information based on the physiological state of
an animal. Neuromodulators alter network function by changing the biophysical
properties of individual neurons and the synaptic efficacy with which individual
neurons communicate. However, most, if not all, sensory networks receive multiple
neuromodulatory inputs, and the mechanisms by which sensory networks integrate
multiple modulatory inputs are not well understood. Here we characterized the
relative glomerular distribution of two extrinsic neuromodulators associated with
distinct physiological states, serotonin (5-HT) and dopamine (DA), in the
antennal lobe (AL) of the moth Manduca sexta. By using immunocytochemistry and
mass dye fills, we characterized the innervation patterns of both 5-HT- and
tyrosine hydroxylase-immunoreactive processes relative to each other, to
olfactory receptor neurons (ORNs), to projection neurons (PNs), and to several
subsets of local interneurons (LNs). 5-HT immunoreactivity had nearly complete
overlap with PNs and LNs, yet no overlap with ORNs, suggesting that 5-HT may
modulate PNs and LNs directly but not ORNs. TH immunoreactivity overlapped with
PNs, LNs, and ORNs, suggesting that dopamine has the potential to modulate all
three cell types. Furthermore, the branching density of each neuromodulator
differed, with 5-HT exhibiting denser arborizations and TH-ir processes being
sparser. Our results suggest that 5-HT and DA extrinsic neurons target partially
overlapping glomerular regions, yet DA extends further into the region occupied
by ORNs.
PMID- 26560076
TI - Social identity, social networks and recovery capital in emerging adulthood: A
pilot study.
AB - BACKGROUND: It has been argued that recovery from substance dependence relies on
a change in identity, with past research focused on 'personal identity'. This
study assessed support for a social identity model of recovery in emerging adults
through examining associations between social identity, social networks, recovery
capital, and quality of life. METHODS: Twenty participants aged 18-21 in
residential treatment for substance misuse were recruited from four specialist
youth drug treatment services - three detoxification facilities and one
psychosocial rehabilitation facility in Victoria, Australia. Participants
completed a detailed social network interview exploring the substance use of
groups in their social networks and measures of quality of life, recovery
capital, and social identity. RESULTS: Lower group substance use was associated
with higher recovery capital, stronger identification with non-using groups, and
greater importance of non-using groups in the social network. Additionally,
greater identification with and importance of non-using groups were associated
with better environmental quality of life, whereas greater importance conferred
on using groups was associated with reduced environmental quality of life.
CONCLUSIONS: Support was found for the role of social identity processes in
reported recovery capital and quality of life. Future research in larger,
longitudinal samples is required to improve understanding of social identity
processes during treatment and early recovery and its relationship to recovery
stability.
PMID- 26560077
TI - The influence of international medical electives on career preference for primary
care and rural practice.
AB - BACKGROUND: Previous studies have demonstrated a correlation between medical
students who undertake international medical electives (IMEs) in resource poor
settings and their reported career preference for primary care in underserved
areas such as rural practice. This study examines whether a similar correlation
exists in the Australian medical school context. METHODS: Data was extracted from
the Medical Schools Outcomes Database (MSOD) of Australian medical students that
completed commencing student and exit questionnaires between 2006 and 2011.
Student responses were categorized according to preferred training program and
preferred region of practice at commencement. The reported preferences at exit of
students completing IMEs in low and middle income countries (LMIC) were compared
to those completing electives in high income countries (HIC). RESULTS: The effect
of elective experience for students expressing a preference for primary care at
commencement was non-significant, with 40.32 % of LMIC and 42.11 % of HIC
students maintaining a preference for primary care. Similarly there were no
significant changes following LMIC electives for students expressing a preference
for specialist training at commencement with 11.81 % of LMIC and 10.23 % of HIC
students preferring primary care at exit. The effect of elective experience for
students expressing a preference for rural practice at commencement was non
significant, with 41.51 % of LMIC and 49.09 % of HIC students preferring rural
practice at exit. Similarly there were no significant changes following LMIC
electives for students expressing a preference for urban practice at
commencement, with 7.84 % of LMIC and 6.70 % of HIC students preferring rural
practice at exit. CONCLUSIONS: This study did not demonstrate an association
between elective experience in resource poor settings and a preference for
primary care or rural practice. This suggests that the previously observed
correlation between LMIC electives and interest in primary care in disadvantaged
communities is likely dependent on student and elective program characteristics
and supports the need for further research and critical examination of elective
programs at Australian medical schools.
PMID- 26560079
TI - A General Strategy for the Discovery of Metabolic Pathways: d-Threitol, l
Threitol, and Erythritol Utilization in Mycobacterium smegmatis.
AB - We describe a general integrated bioinformatic and experimental strategy to
discover the in vitro enzymatic activities and in vivo functions (metabolic
pathways) of uncharacterized enzymes discovered in microbial genome projects
using the ligand specificities of the solute binding proteins (SBPs) for ABC
transporters. Using differential scanning fluorimetry, we determined that the SBP
for an ABC transporter encoded by the genome of Mycobacterium smegmatis is
stabilized by d-threitol. Using sequence similarity networks and genome
neighborhood networks to guide selection of target proteins for pathway enzymes,
we applied both in vitro and in vivo experimental approaches to discover novel
pathways for catabolism of d-threitol, l-threitol, and erythritol.
PMID- 26560080
TI - TcpM: a novel relaxase that mediates transfer of large conjugative plasmids from
Clostridium perfringens.
AB - Conjugative transfer of toxin and antibiotic resistance plasmids in Clostridium
perfringens is mediated by the tcp conjugation locus. Surprisingly, neither a
relaxase gene nor an origin of transfer (oriT) has been identified on these
plasmids, which are typified by the 47 kb tetracycline resistance plasmid pCW3.
The tcpM gene (previously called intP) encodes a potential tyrosine recombinase
that was postulated to be an atypical relaxase. Mutagenesis and complementation
studies showed that TcpM was required for wild-type transfer of pCW3 and that a
tyrosine residue, Y259, was essential for TcpM activity, which was consistent
with the need for a relaxase-mediated hydrophilic attack at the oriT site. Other
catalytic residues conserved in tyrosine recombinases were not required for TcpM
activity, suggesting that TcpM was not a site-specific recombinase. Mobilization
studies led to the identification of the oriT site, which was located in the 391
bp intergenic region upstream of tcpM. The oriT site was localized to a 150 bp
region, and gel mobility shift studies showed that TcpM could bind to this
region. Based on these studies we postulate that conjugative transfer of pCW3
involves the atypical relaxase TcpM binding to and processing the oriT site to
initiate plasmid transfer.
PMID- 26560081
TI - Analytical detection of trimetazidine produced by metabolic conversion of
lomerizine in doping control analysis.
AB - The identification of trimetazidine in urine samples might result from
administration of the permitted drug lomerizine. Laboratories are therefore urged
to carefully investigate suspicious cases where trimetazidine is detected.
Differentiation of abuse of the banned substance trimetazidine from use of the
permitted drug lomerizine would be supported by analysis of the intact drug
lomerizine and/or specific metabolites. Copyright (c) 2015 John Wiley & Sons,
Ltd.
PMID- 26560078
TI - Serum irisin levels are lower in patients with breast cancer: association with
disease diagnosis and tumor characteristics.
AB - BACKGROUND: Irisin is a recently discovered myokine, involved in the browning of
white adipose tissue. To date, its function has been mainly associated with
energy homeostasis and metabolism, and it has been proposed as a promising
therapeutic target for obesity and metabolic diseases. This is the first study
investigating the role of irisin in human breast cancer. METHODS: Participants
included one hundred and one (101) female patients with invasive ductal breast
cancer and fifty one (51) healthy women. Serum levels of irisin, leptin,
adiponectin and resistin were quantified in duplicates by ELISA. Serum levels of
CEA, CA 15-3 and Her-2/neu were measured on an immunology analyzer. The
association between irisin and breast cancer was examined by logistic regression
analysis. The feasibility of serum irisin in discriminating breast cancer
patients was assessed by ROC curve analysis. Potential correlations with
demographic, anthropometric and clinical parameters, with markers of adiposity
and with breast tumor characteristics were also investigated. RESULTS: Serum
levels of irisin were significantly lower in breast cancer patients compared to
controls (2.47 +/- 0.57 and 3.24 +/- 0.66 MUg/ml, respectively, p < 0.001). A
significant independent association between irisin and breast cancer was observed
by univariate and multivariate analysis (p < 0.001). It was estimated that a 1
unit increase in irisin levels leads to a reduction in the probability of breast
cancer by almost 90%. Irisin could effectively discriminate breast cancer
patients at a cut-off point of 3.21 MUg/ml, with 62.7% sensitivity and 91.1%
specificity. A positive association with tumor stage and marginal associations
with tumor size and lymph node metastasis were observed (p < 0.05, p < 0.01, p <
0.01, respectively). CONCLUSIONS: Our novel findings implicate irisin in breast
cancer and suggest its potential application as a new diagnostic indicator of the
presence of disease.
PMID- 26560082
TI - Do We Need More Than a Transthoracic Echocardiography When Evaluating Children
with Congenital Heart Disease before Cardiac Surgery?
AB - AIM: To determine if a transthoracic echocardiography (TTE) can be used as the
sole diagnostic imaging modality to evaluate children with congenital heart
disease (CHD) undergoing cardiac surgery. METHODS: A retrospective study was
carried out at the King Abdulaziz Cardiac Center. We reviewed all pediatric
patients who underwent cardiac surgery during the period January 2011 to December
2011. RESULTS: Three hundred ninety-two pediatric patients with CHD fulfilled the
inclusion criteria. Of these patients, 287 (73%) underwent surgical interventions
based on a TTE alone, while 105 (27%) required additional diagnostic imaging
modalities, including a cardiac catheterization (68/105; 65%), cardiac computed
tomography angiography (36/105; 34%), or cardiac magnetic resonance imaging
(1/105; 1%). A TTE was not enough for all the patients who underwent a cardiac
catheterization to find out additional anatomical information (22%), either to
directly measure pulmonary artery pressures (62%) or to study vascular reactivity
in patients with pulmonary hypertension (16%). Of 36 patients who underwent a
cardiac computed tomography angiography, five (14%) had additional information to
be added to TTE findings. Of all the patients, 81% had enough information using
only the TTE compared to 19% in whom the TTE was not enough to provide all needed
information. Only (7/392; 1.8%) patients had additional minor intraoperative
findings that did not affect the surgical decision. CONCLUSION: Despite the
emergence of other imaging modalities, a TTE can be used as the sole diagnostic
imaging modality for a preoperative assessment in the majority of children with
CHD. Other imaging modalities can be employed with limited indications.
PMID- 26560083
TI - Correction to "Concurrent Adaptation of Human and Machine Improves Simultaneous
and Proportional Myoelectric Control".
PMID- 26560084
TI - Correction to "Effects of Innovative WALKBOT Robotic-Assisted Locomotor Training
on Balance and Gait Recovery in Hemiparetic Stroke: A Prospective, Randomized,
Experimenter Blinded Case Control Study With a Four-Week Follow-Up".
PMID- 26560085
TI - As-needed intravenous antihypertensive therapy and blood pressure control.
AB - BACKGROUND: Hospitalized patients with elevated blood pressure (BP) in most cases
should be treated with intensification of oral regimens, but are often given
intravenous (IV) antihypertensives. OBJECTIVE: To determine frequency of
prescribing and administering episodic IV antihypertensives and outcomes. DESIGN:
Retrospective review. SETTING: Urban academic hospital. PATIENTS: Non-critically
ill, hospitalized patients with an IV antihypertensive order for enalaprilat,
labetalol, hydralazine, or metoprolol. MEASUREMENTS: We analyzed BP thresholds
for ordering and administering IV antihypertensives, the types and frequencies of
IV antihypertensives administered, and the effect of IV antihypertensive use on
short-term BP and adverse outcomes. The BP change during hospitalization was
contrasted in those receiving IV antihypertensives between those who did and did
not receive subsequent intensification of chronic oral antihypertensive regimens.
RESULTS: Two hundred forty-six patients had an episodic IV antihypertensive
order. One hundred seventy-two patients received 458 doses, with 48% receiving a
single dose. Over 98% of episodic IV antihypertensive doses were administered for
systolic blood pressure (SBP) <200 mm Hg and 84.5% for SBP <180 mm Hg. Within 6
hours of administration, there was a statistically significant decline in average
SBP and diastolic BP in patients receiving IV hydralazine and labetolol. After
administration of IV antihypertensives, the oral inpatient medication regimen was
adjusted in 52% of patients; these patients had a greater reduction in SBP from
admission to discharge than patients with no change to their oral regimens. A
total of 32.6% of patients receiving treatment experienced a BP reduction of more
than 25% within 6 hours. CONCLUSIONS: IV antihypertensive drugs are ordered and
administered in patients with asymptomatic, uncontrolled BP for levels
unassociated with substantive immediate cardiovascular risk, which may cause
adverse effects.
PMID- 26560087
TI - Single circulating tumor cell sequencing as an advanced tool in cancer
management.
AB - Circulating tumor cells (CTCs) shed by the primary tumor and metastases are
considered a real-time 'liquid biopsy', reflecting the disease complexity that
evolves during progression, showing in its late stages different genetic,
epigenetic and expression features. Consequently, heterogeneity and development
of characteristic features upon disease progression are the two main goals that
emerging technologies should account for in view of a clinical application.
Single-cell analysis, now possible due to technological advances, may help
elucidate tumor heterogeneity at the CTC level. This review focuses on the
necessary steps for the analysis of CTCs at the single-cell level. A concise
overview is given on the alternative methods referring in particular to studies
on the mutational status of single CTCs from cancer patients.
PMID- 26560086
TI - Central delivery of iodine-125-labeled cetuximab, etanercept and anakinra after
perispinal injection in rats: possible implications for treating Alzheimer's
disease.
AB - INTRODUCTION: Alzheimer's disease is a debilitating condition, and the search for
an effective treatment is ongoing. Inflammation, in reaction to amyloid
deposition, is thought to accelerate cognitive decline. With tumor necrosis
factor alpha being an important proinflammatory cytokine, a recent trial
investigated the effect of the tumor necrosis factor alpha inhibitor etanercept
after peripheral administration in patients with Alzheimer's disease. Although
there was no significant effect, others have claimed spectacular effects of
etanercept after perispinal injection. In the present study, the central delivery
of drugs with a large molecular weight was evaluated after injection in the
cervical perispinal region in rats. If successful, this strategy might increase
therapeutic options for patients with Alzheimer's disease. METHODS: Nine male
Sprague-Dawley rats were given injections of iodine-125-labeled cetuximab (146
kDa), etanercept (51 kDa), and anakinra (17 kDa). Each radioiodinated drug was
injected in the perispinal region in two rats and into the dorsal tail vein in
one rat. Directly after injection, the rats were placed in a head-down position
for 3 minutes to direct blood flow into the valveless vertebral venous system. A
single-positron emission computed tomography scan was acquired starting 5 minutes
after injection, subsequently the rats were euthanized and bio-distribution was
determined. RESULTS: Intracranial delivery of the radiolabeled drugs could not
be visualized in all but one of the rats. Injected drugs accumulated locally in
the perispinal region. CONCLUSIONS: In this study, no evidence could be found for
the delivery of drugs to the central nervous system after perispinal injection.
Additional research is needed before this treatment can be used in patients with
Alzheimer's disease.
PMID- 26560088
TI - PB2 subunit of avian influenza virus subtype H9N2: a pandemic risk factor.
AB - Avian influenza viruses of subtype H9N2 that are found worldwide are occasionally
transmitted to humans and pigs. Furthermore, by co-circulating with other
influenza subtypes, they can generate new viruses with the potential to also
cause zoonotic infections, as observed in 1997 with H5N1 or more recently with
H7N9 and H10N8 viruses. Comparative analysis of the adaptive mutations in
polymerases of different viruses indicates that their impact on the
phylogenetically related H9N2 and H7N9 polymerases is higher than on the non
related H7N7 and H1N1pdm09 polymerases. Analysis of polymerase reassortants
composed of subunits of different viruses demonstrated that the efficient
enhancement of polymerase activity by H9N2-PB2 does not depend on PA and PB1.
These observations suggest that the PB2 subunit of the H9N2 polymerase has a high
adaptive potential and may therefore be an important pandemic risk factor.
PMID- 26560089
TI - Factors Governing the Bridging Water Protonation State in Polynuclear Mg(2+)
Proteins.
AB - An aqua ligand bridges metal cations in a wide variety of enzymes, many of which
are drug targets for various diseases. However, the factors affecting its
protonation state and thus biological roles remain elusive. By computing the free
energy for replacing the bridging H2O by OH(-) in various model Mg(2+) sites, we
have evaluated how the nature of an aqua bridge depends on the site's net charge
(i.e., the number of charged ligands in the first and second shell and the number
of metal cations), the site's solvent exposure, the ligand's charge-donating
ability, the bridging oxygen's hydrogen-bonding interactions, intramolecular
proton transfer from the bridging H2O to a nearby carboxylate, and the metal
coordination number. The results reveal the key factors dictating the protonation
state of bridging H2O and provide guidelines in predicting whether H2O or OH(-)
bridges two Mg(2+) in polynuclear sites. This helps to elucidate the nucleophile
in the enzyme-catalyzed reaction and the net charge of the metal complex (metal
cation and first-shell ligands), which plays a critical role in binding.
PMID- 26560091
TI - Second-Order Nonlinear Optical Properties of a Dithienylethene
Indolinooxazolidine Hybrid: A Joint Experimental and Theoretical Investigation.
AB - The nonlinear optical (NLO) properties of a double photochrome molecular switch
are reported for the first time by considering the four trans forms of a
dithienylethene-indolinooxazolidine hybrid. The four forms are characterized by
means of hyper-Rayleigh scattering (HRS) experiments and quantum chemical
calculations. Experimental measurements provide evidence that the pH- and light
triggered transformations between the different forms of the hybrid are
accompanied by large variations of the first hyperpolarizability, which makes
this compound an effective multistate NLO switch. Quantum chemical calculations
conducted at the time-dependent Hartree-Fock and time-dependent DFT levels agree
with the experimental data and allow a complete rationalization of the NLO
responses of the different forms. The HRS signal of the forms with an open
indolinooxazolidine moiety are more than one order of magnitude larger than that
measured for the other forms, whereas the open/closed status of the
dithienylethene subunit barely influences the dynamic NLO properties. However,
extrapolation of the NLO responses to the static limit leads to univocally
distinguishable intrinsic responses for three of the various forms. This hybrid
system thus acts as a highly efficient multistate NLO switch for eventual
exploitation in optical memory systems with multiple storage and nondestructive
readout capacity.
PMID- 26560092
TI - Latin American Immigration to the United States.
PMID- 26560093
TI - Lower limb function and 10-year survival in population aged 75 years and older.
AB - BACKGROUND: Scientific societies recommend assessing lower limb function in usual
clinical practice. The Short Physical Performance Battery (SPPB) is one of the
most validated tools to assess this, but its capacity to predict long-term
mortality in very old population attending primary care has not been studied.
OBJECTIVE: To assess the ability of the SPPB to predict 10-year survival in
individuals aged 75 and over. METHODS: Prospective cohort study with a 10-year
follow-up. A representative sample of people aged 75 years or older without
severe dependence (Barthel Index > 20) treated at a Spanish primary care centre
(n = 315). Baseline evaluation included geriatric assessment with most well-known
death predictors. The three SPPB subtasks (standing balance, walking speed and
chair stand tests) were administered. Kaplan-Meier curves and Cox proportional
hazard models were calculated for all-cause mortality. RESULTS: Mean age was 81.9
years (60.6% female). Ten-year survival of elders with SPPB score <7 and >=7 was
0.23 and 0.37 (P < 0.001), respectively. This difference remained statistically
significant in the Cox model adjusted by age, gender, number of drugs prescribed,
cognitive status, body mass index and visual sharpness (adjusted hazard ratio =
1.37; 95% confidence interval: 1.01-1.86). Also, walking speed and chair stand
subtasks were both individual-independent predictors of 10-year survival.
CONCLUSIONS: Our findings indicate that SPPB is an independent predictor of long
term survival. The chair stand subtask could be a predictor as useful as the full
performance battery, becoming a good alternative for primary care where the
burden of performing all three subtasks could be excessive.
PMID- 26560095
TI - Abstracts of the 26th Regional Congress of the International Society of Blood
Transfusion in conjunction with the 6(th) Annual Conference of The Indonesian
Society of Transfusion Medicine, Bali, Indonesia, November 14-16, 2015.
PMID- 26560094
TI - A randomized controlled trial of the effect of an intensive 1-year care
management program on measures of health status in independent, community-living
old elderly: the Eldercare project.
AB - BACKGROUND: Primary care practitioners are familiar with the frail elderly and
commonly have to deal with their multi-morbidity and their functional decline,
both physically and mentally. However, there are well elderly with high quality
of life and very few co-morbidities who seldom seek medical care. OBJECTIVE: To
determine if a nurse-based program of home-delivered care, linked directly with
the primary care practitioner or primary care team, would improve quality of
life, symptoms, satisfaction with care and utilization of community and medical
services, in independent community living old elderly. DESIGN: Randomized
controlled trial. SETTING: St. John's, Newfoundland, Canada. PARTICIPANTS: Two
hundred and thirty-six independent, community-dwelling, cognitively functioning,
people aged 80 years and older. INTERVENTION: A nurse-based program of care,
carried out in the patients home, that involved a detailed assessment of needs,
the development of a plan to meet the needs, and up to eight visits to the
patients home during a 1-year period to facilitate the meeting of those needs.
CONTROL GROUP: Usual care MAIN OUTCOME MEASUREMENTS: Quality of Life measured
using the SF-36 and the CASP-19 scales; symptomology using the Comorbidity
Symptom Scale; patient satisfaction using the PSQ-18; and assessment of health
care services (community services, emergency room visits, hospitalizations, use
of diagnostic services and family doctor visits) through patient recall, family
physician chart review and assessment of hospitalization records. RESULTS: There
were no statistical or meaningful differences between the intervention and
control groups in any of the outcomes measured. CONCLUSION: The intensive, home
delivered, program of care for the well old elderly did not have an impact on the
outcomes measured.
PMID- 26560097
TI - Safety of proton pump inhibitors and risk of gastric cancers: review of
literature and pathophysiological mechanisms.
AB - INTRODUCTION: Despite being an overall safe drug, several long-term adverse
effects are associated with proton pump inhibitors (PPIs). The link between PPI
use and gastric neuroendocrine tumors (NETs), gastric adenocarcinomas and
Barrett's esophagus progression gastric cancers has been investigated due to PPI
induced hypergastrinemia. AREAS COVERED: The pathophysiological mechanisms
underlying PPI exposure and gastric NETs, gastric adenocarcinomas and Barrett's
esophagus progression are discussed. The quality of randomized control studies,
cohort studies and case reports investigating the link between gastric cancers
and PPIs are examined. Recommendations for clinicians are provided. EXPERT
OPINION: PPIs cause a hypergastrinemic state, increasing enterochromaffin-like
cell dysplasia and risk of gastric NET development, increasing gastritis severity
in the context of Helicobacter pylori infection, and progression of
carcinogenesis in a certain predisposed subset of Barrett's esophagus patients.
There are case reports of PPI-induced gastric NETs and adenocarcinomas as
consequences of these effects. In pernicious anemia and chronic gastritis,
clinicians should be aware of potential increased risk of gastric NET development
with chronic PPI use in these patients. Eradication status of H. pylori prior to
commencing long-term PPI therapy should be established to reduce the risk of
severe atrophic gastritis and development of gastric dysplasia.
PMID- 26560098
TI - Ecological Effects of Biochar on the Structure and Function of Stream Benthic
Communities.
AB - The introduction of biochar, activated carbon, and other carbonaceous materials
to aquatic ecosystems significantly reduces the toxicity and bioavailability of
contaminants. However, previous studies have shown that these materials can have
negative effects on aquatic organisms. We conducted field and mesocosm
experiments to test the hypothesis that biochar altered the structure and
function of stream benthic communities. After 30 d in the field, colonization by
stoneflies (Plecoptera) was significantly lower in trays containing biochar
compared to the results from the controls. In stream mesocosms, biochar increased
macroinvertebrate drift and significantly reduced community metabolism. However,
most measures of community composition showed little variation among biochar
treatments, and significant responses were limited to a single stonefly species
(Capnia confusa). When benthic communities were simultaneously exposed to biochar
and Cu, effects were primarily associated with metal exposure. Because it is
unlikely that biochar treatments would be employed in uncontaminated areas, these
moderately negative effects should be considered within the context of the
positive benefits associated with reduced contaminant bioavailability and
toxicity. Additional research is necessary to improve our understanding of the
mechanisms responsible for biochar effects on benthic communities and to identify
the optimal application rates and size fractions that will maximize contaminant
sorption but minimize potential negative effects.
PMID- 26560099
TI - Does Terminating the Avoidance of Cow's Milk Lead to Growth in Height.
AB - BACKGROUND: Cow's milk allergy is known to result in decreased body height;
accordingly, the aim of this study was to investigate whether termination of milk
avoidance leads to an increase in body height. METHODS: Between 2010 and 2011,
253 children with food allergies who were >=2 years of age visited our outpatient
department; 195 had height data available from approximately 1 year after their
initial food allergy diagnosis and were included in the study. The height
standard deviation scores (HtSDs) were calculated using data from the 2000
Japanese National Physical Growth Survey Report for Infants and Children, and
changes in height were then retrospectively evaluated using clinical records.
RESULTS: The mean age of the 195 patients was 5.8 +/- 3.0 years. The mean HtSDs
increased significantly from -0.19 +/- 0.99 at diagnosis to -0.12 +/- 1.02 (p =
0.025) 1 year later for all children. The HtSDs of 110 cases had increased, but
the HtSDs of 85 cases had decreased 1 year after the initial diagnosis. The only
significant factor related to increased HtSD was tolerated milk allergy 1 year
after the initial diagnosis (p = 0.004). CONCLUSIONS: Terminating the avoidance
of cow's milk might lead to growth in height, although a prospective study with a
larger sample size is needed to confirm these results.
PMID- 26560096
TI - Insights into the Role of Chemokines, Damage-Associated Molecular Patterns, and
Lymphocyte-Derived Mediators from Computational Models of Trauma-Induced
Inflammation.
AB - SIGNIFICANCE: Traumatic injury elicits a complex, dynamic, multidimensional
inflammatory response that is intertwined with complications such as multiple
organ dysfunction and nosocomial infection. The complex interplay between
inflammation and physiology in critical illness remains a challenge for
translational research, including the extrapolation to human disease from animal
models. RECENT ADVANCES: Over the past decade, we and others have attempted to
decipher the biocomplexity of inflammation in these settings of acute illness,
using computational models to improve clinical translation. In silico modeling
has been suggested as a computationally based framework for integrating data
derived from basic biology experiments as well as preclinical and clinical
studies. CRITICAL ISSUES: Extensive studies in cells, mice, and human blunt
trauma patients have led us to suggest (i) that while an adequate level of
inflammation is required for healing post-trauma, inflammation can be harmful
when it becomes self-sustaining via a damage-associated molecular pattern/Toll
like receptor-driven feed-forward circuit; (ii) that chemokines play a central
regulatory role in driving either self-resolving or self-maintaining inflammation
that drives the early activation of both classical innate and more recently
recognized lymphoid pathways; and (iii) the presence of multiple thresholds and
feedback loops, which could significantly affect the propagation of inflammation
across multiple body compartments. FUTURE DIRECTIONS: These insights from data
driven models into the primary drivers and interconnected networks of
inflammation have been used to generate mechanistic computational models.
Together, these models may be used to gain basic insights as well as serving to
help define novel biomarkers and therapeutic targets.
PMID- 26560100
TI - Integrative phenotyping framework (iPF): integrative clustering of multiple omics
data identifies novel lung disease subphenotypes.
AB - BACKGROUND: The increased multi-omics information on carefully phenotyped
patients in studies of complex diseases requires novel methods for data
integration. Unlike continuous intensity measurements from most omics data sets,
phenome data contain clinical variables that are binary, ordinal and categorical.
RESULTS: In this paper we introduce an integrative phenotyping framework (iPF)
for disease subtype discovery. A feature topology plot was developed for
effective dimension reduction and visualization of multi-omics data. The approach
is free of model assumption and robust to data noises or missingness. We
developed a workflow to integrate homogeneous patient clustering from different
omics data in an agglomerative manner and then visualized heterogeneous
clustering of pairwise omics sources. We applied the framework to two batches of
lung samples obtained from patients diagnosed with chronic obstructive lung
disease (COPD) or interstitial lung disease (ILD) with well-characterized
clinical (phenomic) data, mRNA and microRNA expression profiles. Application of
iPF to the first training batch identified clusters of patients consisting of
homogenous disease phenotypes as well as clusters with intermediate disease
characteristics. Analysis of the second batch revealed a similar data structure,
confirming the presence of intermediate clusters. Genes in the intermediate
clusters were enriched with inflammatory and immune functional annotations,
suggesting that they represent mechanistically distinct disease subphenotypes
that may response to immunomodulatory therapies. The iPF software package and all
source codes are publicly available. CONCLUSIONS: Identification of subclusters
with distinct clinical and biomolecular characteristics suggests that integration
of phenomic and other omics information could lead to identification of novel
mechanism-based disease sub-phenotypes.
PMID- 26560101
TI - A Reappraisal of the Purported Gastric Pellet with Pterosaurian Bones from the
Upper Triassic of Italy.
AB - A small accumulation of bones from the Norian (Upper Triassic) of the Seazza
Brook Valley (Carnic Prealps, Northern Italy) was originally (1989) identified as
a gastric pellet made of pterosaur skeletal elements. The specimen has been
reported in literature as one of the very few cases of gastric ejecta containing
pterosaur bones since then. However, the detailed analysis of the bones preserved
in the pellet, their study by X-ray microCT, and the comparison with those of
basal pterosaurs do not support a referral to the Pterosauria. Comparison with
the osteology of a large sample of Middle-Late Triassic reptiles shows some
affinity with the protorosaurians, mainly with Langobardisaurus pandolfii that
was found in the same formation as the pellet. However, differences with this
species suggest that the bones belong to a similar but distinct taxon. The
interpretation as a gastric pellet is confirmed.
PMID- 26560103
TI - Effect of Different Groundwater Levels on Seismic Dynamic Response and Failure
Mode of Sandy Slope.
AB - Heavy seismic damage tends to occur in slopes when groundwater is present. The
main objectives of this paper are to determine the dynamic response and failure
mode of sandy slope subjected simultaneously to seismic forces and variable
groundwater conditions. This paper applies the finite element method, which is a
fast and efficient design tool in modern engineering analysis, to evaluate
dynamic response of the slope subjected simultaneously to seismic forces and
variable groundwater conditions. Shaking table test is conducted to analyze the
failure mode and verify the accuracy of the finite element method results. The
research results show that dynamic response values of the slope have different
variation rules under near and far field earthquakes. And the damage location and
pattern of the slope are different in varying groundwater conditions. The
destruction starts at the top of the slope when the slope is in no groundwater,
which shows that the slope appears obvious whipping effect under the earthquake.
The destruction starts at the toe of the slope when the slope is in the high
groundwater levels. Meanwhile, the top of the slope shows obvious seismic
subsidence phenomenon after earthquake. Furthermore, the existence of the
groundwater has a certain effect of damping.
PMID- 26560102
TI - Immunogenicity and Protective Efficacy of Brugia malayi Heavy Chain Myosin as
Homologous DNA, Protein and Heterologous DNA/Protein Prime Boost Vaccine in
Rodent Model.
AB - We earlier demonstrated the immunoprophylactic efficacy of recombinant heavy
chain myosin (Bm-Myo) of Brugia malayi (B. malayi) in rodent models. In the
current study, further attempts have been made to improve this efficacy by
employing alternate approaches such as homologous DNA (pcD-Myo) and heterologous
DNA/protein prime boost (pcD-Myo+Bm-Myo) in BALB/c mouse model. The gene bm-myo
was cloned in a mammalian expression vector pcDNA 3.1(+) and protein expression
was confirmed in mammalian Vero cell line. A significant degree of protection
(79.2%+/-2.32) against L3 challenge in pcD-Myo+Bm-Myo immunized group was
observed which was much higher than that exerted by Bm-Myo (66.6%+/-2.23) and pcD
Myo (41.6%+/-2.45). In the heterologous immunized group, the percentage of
peritoneal leukocytes such as macrophages, neutrophils, B cells and T cells
marginally increased and their population augmented further significantly
following L3 challenge. pcD-Myo+Bm-Myo immunization elicited robust cellular and
humoral immune responses as compared to pcD-Myo and Bm-Myo groups as evidenced by
an increased accumulation of CD4+, CD8+ T cells and CD19+ B cells in the mouse
spleen and activation of peritoneal macrophages. Though immunized animals
produced antigen-specific IgG antibodies and isotypes, sera of mice receiving pcD
Myo+Bm-Myo or Bm-Myo developed much higher antibody levels than other groups and
there was profound antibody-dependent cellular adhesion and cytotoxicity (ADCC)
to B. malayi infective larvae (L3). pcD-Myo+Bm-Myo as well as Bm-Myo mice
generated a mixed T helper cell phenotype as evidenced by the production of both
pro-inflammatory (IL-2, IFN-gamma) and anti-inflammatory (IL-4, IL-10) cytokines.
Mice receiving pcD-Myo on contrary displayed a polarized pro-inflammatory immune
response. The findings suggest that the priming of animals with DNA followed by
protein booster generates heightened and mixed pro- and anti-inflammatory immune
responses that are capable of providing high degree of protection against
filarial larval invasion.
PMID- 26560104
TI - Comment on "Effects of Reduction Mammaplasty Operations on the Spinal Column:
Clinical and Radiological Response".
PMID- 26560105
TI - Auditing HIV Testing Rates across Europe: Results from the HIDES 2 Study.
AB - European guidelines recommend the routine offer of an HIV test in patients with a
number of AIDS-defining and non-AIDS conditions believed to share an association
with HIV; so called indicator conditions (IC). Adherence with this guidance
across Europe is not known. We audited HIV testing behaviour in patients
accessing care for a number of ICs. Participating centres reviewed the case notes
of either 100 patients or of all consecutive patients in one year, presenting for
each of the following ICs: tuberculosis, non-Hodgkins lymphoma, anal and cervical
cancer, hepatitis B and C and oesophageal candidiasis. Observed HIV-positive
rates were applied by region and IC to estimate the number of HIV diagnoses
potentially missed. Outcomes examined were: HIV test rate (% of total patients
with IC), HIV test accepted (% of tests performed/% of tests offered) and new HIV
diagnosis rate (%). There were 49 audits from 23 centres, representing 7037
patients. The median test rate across audits was 72% (IQR 32-97), lowest in
Northern Europe (median 44%, IQR 22-68%) and highest in Eastern Europe (median
99%, IQR 86-100). Uptake of testing was close to 100% in all regions. The median
HIV+ rate was 0.9% (IQR 0.0-4.9), with 29 audits (60.4%) having an HIV+ rate
>0.1%. After adjustment, there were no differences between regions of Europe in
the proportion with >0.1% testing positive (global p = 0.14). A total of 113
patients tested HIV+. Applying the observed rates of testing HIV+ within
individual ICs and regions to all persons presenting with an IC suggested that
105 diagnoses were potentially missed. Testing rates in well-established HIV ICs
remained low across Europe, despite high prevalence rates, reflecting missed
opportunities for earlier HIV diagnosis and care. Significant numbers may have
had an opportunity for HIV diagnosis if all persons included in IC audits had
been tested.
PMID- 26560106
TI - Saccular Transcriptome Profiles of the Seasonal Breeding Plainfin Midshipman Fish
(Porichthys notatus), a Teleost with Divergent Sexual Phenotypes.
AB - Acoustic communication is essential for the reproductive success of the plainfin
midshipman fish (Porichthys notatus). During the breeding season, type I males
use acoustic cues to advertise nest location to potential mates, creating an
audible signal that attracts reproductive females. Type II (sneaker) males also
likely use this social acoustic signal to find breeding pairs from which to steal
fertilizations. Estrogen-induced changes in the auditory system of breeding
females are thought to enhance neural encoding of the advertisement call, and
recent anatomical data suggest the saccule (the main auditory end organ) as one
possible target for this seasonal modulation. Here we describe saccular
transcriptomes from all three sexual phenotypes (females, type I and II males)
collected during the breeding season as a first step in understanding the
mechanisms underlying sexual phenotype-specific and seasonal differences in
auditory function. We used RNA-Seq on the Ion Torrent platform to create a
combined transcriptome dataset containing over 79,000 assembled transcripts
representing almost 9,000 unique annotated genes. These identified genes include
several with known inner ear function and multiple steroid hormone receptors.
Transcripts most closely matched to published genomes of nile tilapia and large
yellow croaker, inconsistent with the phylogenetic relationship between these
species but consistent with the importance of acoustic communication in their
life-history strategies. We then compared the RNA-Seq results from the saccules
of reproductive females with a separate transcriptome from the non-reproductive
female phenotype and found over 700 differentially expressed transcripts,
including members of the Wnt and Notch signaling pathways that mediate cell
proliferation and hair cell addition in the inner ear. These data constitute a
valuable resource for furthering our understanding of the molecular basis for
peripheral auditory function as well as a range of future midshipman and cross
species comparative studies of the auditory periphery.
PMID- 26560107
TI - Fluid retention, muscle damage, and altered body composition at the Ultraman
triathlon.
AB - PURPOSE: The primary purpose of this investigation was to determine the effects
of participation in a 3-day multistage ultraendurance triathlon (stage 1 = 10 km
swim, 144.8 km bike; stage 2 = 275.4 km bike; stage 3 = 84.4 km run) on body mass
and composition, hydration status, hormones, muscle damage, and blood glucose.
METHODS: Eighteen triathletes (mean +/- SD; age 41 +/- 7.5 years; height 175 +/-
9 cm; weight 73.5 +/- 9.8 kg; male n = 14, female n = 4) were assessed before and
after each stage of the race. Body mass and composition were measured via
bioelectrical impedance, hydration status via urine specific gravity, hormones
and muscle damage via venous blood draw, and blood glucose via fingerstick.
RESULTS: Following the race, significant changes included reductions in body mass
(qualified effect size: trivial), fat mass (moderate), and percent body fat
(small); increases in percent total body water (moderate) and urine specific
gravity (large); and unchanged absolute total body water and fat-free mass. There
were also extremely large increases in creatine kinase, C-reactive protein,
aldosterone and cortisol combined with reductions in testosterone (small) and the
testosterone:cortisol ratio (moderate). There were associations between post-race
aldosterone and total body water (r = -0.504) and changes in cortisol and fat
free mass (r = -0.536). Finally, blood glucose increased in a stepwise manner
prior to each stage. CONCLUSIONS: Participation in Ultraman Florida leads to
fluid retention and dramatic alterations in body composition, muscle health,
hormones, and metabolism.
PMID- 26560108
TI - History-dependent changes in the recovery process of the middle latency cutaneous
reflex gain after ankle sprain injury.
AB - PURPOSE: We previously reported that suppressive middle latency cutaneous
reflexes (MLRs) in the peroneus longus (PL) are exaggerated in subjects with
chronic ankle instability, and the changes are related to functional instability.
However, the time-varying history of these neurophysiological changes after an
ankle sprain is yet to be elucidated. Therefore, in the present study, we
investigated the time course of the changes in the PL MLR after an ankle sprain
in relation to the number of sprain recurrences. METHODS: Twenty-three subjects
with ankle sprain were classified into 3 groups according to their history of
ankle sprain: first ankle sprain, 2-3 ankle sprains, and >=4 ankle sprains.
Twenty-three age-matched control subjects also participated. The PL MLRs were
elicited by stimulating the sural nerve while the subjects performed different
levels of isometric ankle eversion. Gain of MLR was estimated using linear
regression analysis (slope value) of the amplitude modulation of MLRs obtained
from graded isometric contractions. RESULT: The gain of MLRs first increased 4
weeks after the injury. In subjects with their first ankle sprain, the MLRs
returned to almost baseline levels after 3 months. In contrast, the increase in
MLR gain persisted even after 3 months in subjects with recurrent ankle sprains.
In addition, the MLR gains were closely related to functional recovery of the
ankle joint. CONCLUSIONS: Our findings suggest that the recovery process of MLR
gains were strongly affected by the history of ankle sprains as well as the
functional recovery of the ankle joint.
PMID- 26560110
TI - Are wound ring protectors effective in reducing surgical site infection post
appendectomy? A systematic review and meta-analysis.
AB - INTRODUCTION: Surgical site infection (SSI) is one of the main causes of
postoperative morbidity and mortality. Appendectomy for acute appendicitis is one
of the most commonly performed surgical interventions worldwide. The use of ring
retractors to protect the wound edge from contaminated intra-abdominal contents
may be an effective method to reduce SSI. AIM: The aim of this systematic review
and meta-analysis is to determine whether the use of wound ring retractors
reduces SSI rates after open appendectomy. METHODS: A systematic review of
randomized controlled trials (RCTs) and meta-analysis of ring retractors was
undertaken using the PRISMA guidelines. PubMed, Cochrane RCTs Central Register,
CINAHL, and ISRCTN registry were searched for eligible studies. Only studies in
which open appendectomy was undertaken were included. The Cochrane
Collaboration's RevMan 5.3 was used for analysis. A subgroup analysis by degree
of appendiceal inflammation was performed. RESULTS: Four RCTs inclusive of 939
patients met eligibility requirements. One trial used single ring while three
used double ring protectors. Differences in the definition of SSI, skin
preparation, and type and duration of prophylactic antibiotic were found between
the 4 studies. The use of ring retractors show some evidence of SSI reduction
risk ratio 0.44 [95 % CI (0.21, 0.90)]. On sub-analysis, ring retractor was more
effective in more severe degrees of appendiceal inflammation i.e., the
contaminated group. CONCLUSION: Our review suggests some benefit in using ring
retractors to reduce SSI post appendectomy; however the small number and variable
quality of the studies suggest the need for more RCTs to confirm these results.
PMID- 26560109
TI - Is there a role for antifibrinolytics in pelvic and acetabular fracture surgery?
AB - BACKGROUND: Pelvic and acetabular fractures are rare, complex injuries associated
with significant morbidity. Fixation of these injuries requires major orthopaedic
surgery which in itself is associated with substantial blood loss owing to the
extensile operative approach and prolonged operating time required to address the
complex fracture anatomy. In order to reduce morbidity, a multifactor approach to
blood conservation must be adopted. CURRENT ROLE OF ANTIFIBRINOLYTICS IN
ORTHOPAEDIC SURGERY: The use of antifibrinolytics to reduce operative blood loss
is well documented in many surgical specialties, including orthopaedic surgery.
Elective spinal surgery and joint arthroplasty have benefited from the
introduction of antifibrinolytics; however, their role in trauma and fracture
surgery is not fully defined. Pelvic and acetabular fracture surgery would
benefit from further investigation on the benefit and safety of these agents.
CONCLUSION: Routine use cannot be recommended at this time but agents may be
considered on a case-specific basis.
PMID- 26560111
TI - Effectiveness of retrograde intrarenal stone surgery in obese patients.
AB - BACKGROUND: A number of comorbid health problems can be found in obese patients.
These problems increase the surgical risk in obese patients. AIMS: To determine
the effectiveness of retrograde intrarenal surgery for renal stone treatment in
obese patients. METHODS: We retrospectively reviewed the data of 106 patients who
had retrograde intrarenal surgery with the diagnosis of renal stone in our
clinic. The patients were divided into three groups regarding their body mass
indexes: >=30 kg/m2 being obese (group 1), 25-29.9 kg/m2 being overweight (group
2), and <25 kg/m2 being normal weight (group 3). The patients were compared for
age, gender, and stone characteristics. In addition, the duration of surgery,
stone-free rate (SFR), complication rate, and the duration of the hospital stay
were compared among the groups. RESULTS: Twenty eight patients were obese (group
1), 49 patients were overweight (group 2), and 29 patients were normal weight
(group 3). The mean ages of groups 1, 2 and 3 were 51.5 (29-84), 47 (30-76) and
35 (19-84) years, respectively (p = 0.001). SFR was 85.7 % in group 1, 89.8 % in
group 2, and 75.9 % in group 3 (p = 0.24). The duration of surgery was similar in
groups 1, 2, and 3, being 45.5 (25-95), 50 (30-120), and 45.5 (10-100) min,
respectively (p = 0.23). None of the patients had major complications.
CONCLUSIONS: Our results indicate that retrograde intrarenal surgery is a safe
and efficient surgical method for renal stone treatment in obese and overweight
patients.
PMID- 26560112
TI - Floral nectar production and carbohydrate composition and the structure of
receptacular nectaries in the invasive plant Bunias orientalis L. (Brassicaceae).
AB - The data relating to the nectaries and nectar secretion in invasive Brassicacean
taxa are scarce. In the present paper, the nectar production and nectar
carbohydrate composition as well as the morphology, anatomy and ultrastructure of
the floral nectaries in Bunias orientalis were investigated. Nectary glands were
examined using light, fluorescence, scanning electron and transmission electron
microscopy. The quantities of nectar produced by flowers and total sugar mass in
nectar were relatively low. Total nectar carbohydrate production per 10 flowers
averaged 0.3 mg. Nectar contained exclusively glucose (G) and fructose (F) with
overall G/F ratio greater than 1. The flowers of B. orientalis have four
nectaries placed at the base of the ovary. The nectarium is intermediate between
two nectary types: the lateral and median nectary type (lateral and median glands
stay separated) and the annular nectary type (both nectaries are united into
one). Both pairs of glands represent photosynthetic type and consist of epidermis
and glandular tissue. However, they differ in their shape, size, secretory
activity, dimensions of epidermal and parenchyma cells, thickness of secretory
parenchyma, phloem supply, presence of modified stomata and cuticle
ornamentation. The cells of nectaries contain dense cytoplasm, plastids with
starch grains and numerous mitochondria. Companion cells of phloem lack cell wall
ingrowths. The ultrastructure of secretory cells indicates an eccrine mechanism
of secretion. Nectar is exuded throughout modified stomata.
PMID- 26560113
TI - Drought tolerance and proteomics studies of transgenic wheat containing the maize
C4 phosphoenolpyruvate carboxylase (PEPC) gene.
AB - Enhancing drought tolerance of crops has been a great challenge in crop
improvement. Here, we report the maize phosphoenolpyruvate carboxylase (PEPC)
gene was able to confer drought tolerance and increase grain yield in transgenic
wheat (Triticum aestivum L.) plants. The improved of drought tolerance was
associated with higher levels of proline, soluble sugar, soluble protein, and
higher water use efficiency. The transgenic wheat plants had also a more
extensive root system as well as increased photosynthetic capacity during stress
treatments. The increased grain yield of the transgenic wheat was contributed by
improved biomass, larger spike and grain numbers, and heavier 1000-grain weight
under drought-stress conditions. Under non-stressed conditions, there were no
significant increases in these of the measured traits except for photosynthetic
rate when compared with parental wheat. Proteomic research showed that the
expression levels of some proteins, including chlorophyll A-B binding protein and
pyruvate, phosphate dikinase, which are related to photosynthesis, PAP fibrillin,
which is involved in cytoskeleton synthesis, S-adenosylmethionine synthetase,
which catalyzes methionine synthesis, were induced in the transgenic wheat under
drought stress. Additionally, the expression of glutamine synthetase, which is
involved in ammonia assimilation, was induced by drought stress in the wheat. Our
study shows that PEPC can improve both stress tolerance and grain yield in wheat,
demonstrating the efficacy of PEPC in crop improvement.
PMID- 26560114
TI - Current trends in Bt crops and their fate on associated microbial community
dynamics: a review.
AB - Cry protein expressing insect-resistant trait is mostly deployed to control major
devastating pests and minimize reliance on the conventional pesticides. However,
the ethical and environmental issues are the major constraints in their
acceptance, and consequently, the cultivation of genetically modified (GM) crops
has invited intense debate. Since root exudates of Bacillus thuringiensis (Bt)
crops harbor the insecticidal protein, there is a growing concern about the
release and accumulation of soil-adsorbed Cry proteins and their impact on non
target microorganisms and soil microbial processes. This review pertains to
reports from the laboratory studies and field trials to assess the Bt toxin
proteins in soil microbes and the processes determining the soil quality in
conjunction with the existing hypothesis and molecular approaches to elucidate
the risk posed by the GM crops. Ecological perturbations hinder the risk aspect
of soil microbiota in response to GM crops. Therefore, extensive research based
on in vivo and interpretation of results using high-throughput techniques such as
NGS on risk assessment are imperative to evaluate the impact of Bt crops to
resolve the controversy related to their commercialization. But more studies are
needed on the risk associated with stacked traits. Such studies would strengthen
our knowledge about the plant-microbe interactions.
PMID- 26560115
TI - Knowledge that people with intellectual disabilities have of their inhaled asthma
medications: messages for pharmacists.
AB - BACKGROUND: Fifteen percent of Australians with intellectual disability (ID) are
reported to have asthma. People with ID are at risk of poor health knowledge due
to deficits in intellectual and adaptive functioning, but their medication
knowledge has largely been ignored in research to date. OBJECTIVE: To explore the
level of understanding of asthma medication use of people with ID who self
administer their inhaled medications, in order to inform future educational
support. Setting The research was conducted in NSW, Australia, at the
participants' homes, the point of health care access, or the offices of relevant
support organisations. METHOD: In this qualitative study face-to-face interviews
were conducted with people with ID using a semi-structured interview guide. The
interviews were recorded, transcribed and thematically analysed. Main outcome
Identification of barriers to asthma medication self-management by people with
ID. RESULTS: Seventeen people with ID who self-administer their asthma
medications were interviewed. Factors influencing their asthma medication
knowledge and use included understanding of their illness and the need for
medication; aspects of self-management and autonomy versus dependence. This
sample of people with ID had a good understanding of the importance of using
their inhaled asthma medications, as well as asthma triggers, and the difference
between use of preventer and reliever medications. Both enablers and barriers to
asthma medication self-management were identified in the domains of managing
attacks, adherence, knowledge of side effects and sources of information on
correct use of inhalers. The level of autonomy for medication use varied, with
motivation to self-manage asthma influenced by the level of support that was
practically available to individual participants. CONCLUSION: This research
investigated aspects of asthma medication self-management of people with ID.
Based on the barriers identified, pharmacists should promote use of spacers and
written asthma action plans as well as counsel people with ID about how to
recognise and minimise side effects of asthma medications. Specific strategies
for pharmacists when educating people with ID and their caregivers include active
listening to determine understanding of concepts, exercising care with language,
and working with the person's known routines to maximise adherence with preventer
medications.
PMID- 26560116
TI - RING-, HECT-, and RBR-type E3 Ubiquitin Ligases: Involvement in Human Cancer.
AB - In the ubiquitylation system, E3 ubiquitin ligases play a key role in determining
substrate specificity and catalyzing the transfer of ubiquitin from E2 enzymes to
the substrate. Growing evidence has shown that E3 ubiquitin ligases are involved
in cancer development and progression. The RING-type and HECT-type E3 ligases are
the classically categorized groups of E3 ubiquitin ligases, and more of these
enzymes are being shown to be potential targets for cancer therapy. The recently
classified RBR E3 ligases catalyze the transfer of ubiquitin by a RING/HECT
hybrid-like mechanism. Notably, these ligases are also emphasized as important
potential candidates for targets of cancer treatment drugs. The present review
provides an overview of the RING-, HECT- and RBR-type E3 ligases, and discusses
their roles in cancer and cancer therapy.
PMID- 26560117
TI - Tribbles-Related Protein Family Members as Regulators or Substrates of the
Ubiquitin-Proteasome System in Cancer Development.
AB - Tribbles-related protein (TRB) family members are the mammalian orthologs of
Drosophila tribbles. Tribbles was originally identified as a cell cycle regulator
during Drosophila development. Tribbles genes are evolutionary conserved, and
three TRB genes (TRB1, TRB2 and TRB3) have been identified in mammals. TRBs are
considered pseudokinases because they lack an ATP binding site or one of the
conserved catalytic motifs essential for kinase activity. Instead, TRBs play
important roles in various cellular processes as scaffolds or adaptors to promote
the degradation of target proteins and to regulate several key signaling
pathways. Recent research has focused on the role of TRBs in tumorigenesis and
neoplastic progression. In this review, we focus on the physiological roles of
TRB family members in tumorigenesis through the regulation of the ubiquitin
proteasome system and discuss TRBs as biomarkers or potential therapeutic targets
in cancer.
PMID- 26560118
TI - Protein Knockdown Technology: Application of Ubiquitin Ligase to Cancer Therapy.
AB - Selective degradation of pathogenic proteins by small molecules in cells is a
novel approach for development of therapeutic agents against various diseases,
including cancer. We and others have developed a protein knockdown technology
with a series of hybrid small compounds, called SNIPERs (Specific and Nongenetic
IAP-dependent Protein ERasers); and peptidic chimeric molecules, called PROTACs
(proteolysis-targeting chimeric molecules), which induce selective degradation of
target proteins via the ubiquitin-proteasome pathway. These compounds include two
different ligands connected by a linker; one is a ligand for a ubiquitin ligase
and the other is a ligand for the target protein, which are expected to crosslink
these proteins in cells. Theoretically, any cytosolic protein can be targeted for
degradation by this technology. To date, several SNIPERs and PROTACs against
various oncogenic proteins have been developed, which specifically induce
polyubiquitylation and proteasomal degradation of the oncogenic proteins,
resulting in cell death, growth arrest, or impaired migration of cancer cells.
Thus, this protein knockdown technology has a great potential for cancer therapy.
PMID- 26560119
TI - E3 Ubiquitin Ligases as Molecular Targets in Human Oral Cancers.
AB - The ubiquitin-proteasome pathway is involved in various biological processes.
Several oncogenic E3 ligases target tumor suppressor proteins for ubiquitin
mediated degradation. Alternatively, some other E3 ligases play as a tumor
suppressor specifically targeting oncogene products. Deregulation of these E3
ligases induces unbalance between oncogenic signal and tumor suppressor pathway
and leads to cellular transformation, tumor growth and metastasis in various
human malignancies including oral, and head and neck cancers. Facilitated
degradation of the cyclin-dependent kinase (CDK) inhibitor p27(Kip1) has been
observed in oral, and head and neck cancers, and is correlated with their poor
prognosis. SCF(Skp2), KPC complex, Pirh2 and CRL4(DDB2-Artemis) have been
reported as E3 ligases targeting p27(Kip1) for degradation. In oral cancers, it
is reported that overexpression of Skp2 and Pirh2 is associated with poor
prognosis. Thus, chemical inhibitors against these E3 ligases are applicable for
oral cancer therapy. Some potential compounds that inhibit E3 ligase activity of
SCF(Skp2) have been reported. Moreover, the HECT-type E3 ligase WWP family and
Smurf1 are also involved in the development and growth of human oral cancers.
Therefore, small molecule inhibitors against HECT-type E3 ligases are discussed
as anti-oral cancer drugs.
PMID- 26560120
TI - The SCF-type E3 Ubiquitin Ligases as Cancer Targets.
AB - The ubiquitin system controls protein stability and function. F-box proteins form
SCF (SKP1-Cullin1-F-box protein)-type ubiquitin (E3) ligases to selectively
target their substrates for degradation via the ubiquitin-proteasome pathway.
Here, we review F-box proteins associated with cancer development. S-phase kinase
associated protein 2 (SKP2) (also known as FBXL1) is often overexpressed in human
cancers, and functions as an oncogenic E3 ligase to degrade tumor suppressor gene
products. Moreover, F-box/WD repeat-containing protein 7 (FBXW7) (also known as
Fbw7) is often mutated in human cancers and functions as a tumor suppressive E3
ligase targeting oncogenic proteins for degradation. SKP2 is a potential drug
target for cancer therapy and FBXW7 is useful in determining patient diagnosis,
prognosis, and drug sensitivity. In this review, we also discuss other F-box
proteins involved in cancer-associated cellular processes such as cell cycle
control, epigenetic regulation, epithelial mesenchymal transition,
apoptosis/survival, drug resistance, and DNA-damage responses.
PMID- 26560121
TI - Regulation of Epithelial-Mesenchymal Transition by E3 Ubiquitin Ligases and
Deubiquitinase in Cancer.
AB - Epithelial-mesenchymal transition (EMT) plays an important role in the
development of tumor metastases by facilitating cell migration and invasion. One
of the hallmarks of EMT is the diminished expression of E-cadherin and gain of
mesenchymal traits, which are regulated by core EMT-inducing transcriptional
factors (EMT-TFs), such as Snail/Slug, ZEB1/ZEB2, and Twist1. EMT-TFs are known
to be extremely labile proteins, and their protein levels are tightly controlled
by the ubiquitin-proteasome system (UPS). Several E3 ubiquitin ligases have been
shown to play crucial roles in the regulation of EMT, and genetic aberrations and
alterations in these ligases have been detected in human cancer. In this review,
we focused on EMT-TFs, describing the UPS controlling their activities and
functions in cancer. A deeper understanding of the role of UPS in the regulation
of EMT will provide valuable information for the development of effective anti
metastatic drugs to modulate the malignant processes mediated by EMT.
PMID- 26560122
TI - Biostabilization and Transport of Cohesive Sediment Deposits in the Three Gorges
Reservoir.
AB - Cohesive sediment deposits in the Three Gorges Reservoir, China, were used to
investigate physical and geochemical properties, biofilm mass, and erosion and
deposition characteristics. Biofilm cultivation was performed in a recirculating
flume for three different periods (5, 10 and 15 days) under ambient temperature
and with sufficient nutrients supply. Three groups of size-fractionated sediment
were sequentially used, including 0-0.02 mm, 0.02-0.05 mm and 0.05-0.10 mm.
Desired conditions for erosion and deposition were designed by managing high bed
shear stress at the narrow part of upstream flume and low shear stress at the
wide part of downstream flume. Biostabilization and transport characteristics of
the biofilm coated sediment (bio-sediment) were strongly influenced by the
cultivation period, and the results were compared with clean sediment. The bio
sediment was more resistant to erosion, and the mean shear stress was increased
by factors of 2.65, 2.73 and 5.01 for sediment with 5, 10 and 15 days of biofilm
growth compared with clean sediment, resulting in less sediment being eroded from
the bed. Simultaneously, the settling velocity was smaller for bio-sediment due
to higher organic content and porosity (i.e., lower density). Additionally, there
was a smaller probability of deposition for sediment with a longer cultivation
period after erosion, resulting in more retention time in aquatic systems. These
results will benefit water management in natural rivers.
PMID- 26560123
TI - "1-2-3 Pap" Intervention Improves HPV Vaccine Series Completion among Appalachian
Women.
AB - Completion of the Human Papillomavirus (HPV) vaccine series is a national
priority. This study not only identified correlates of intent to complete the
vaccine series and actual series completion, but also tested the efficacy of a
DVD intervention to promote series completion. Women's beliefs that all three
doses reduced cancer risk predicted intent and completion. Intention predicted
completion, as did the belief that having a friend accompany the woman would
promote completion. Beyond these effects, women assigned to the intervention were
2.44 times more likely than women in the control group to complete the series.
Thus, in controlled analyses, a theory-grounded DVD intervention successfully
promoted HPV series completion in a community setting. This method of
intervention has high translational potential.
PMID- 26560124
TI - CIP2A overexpression induces autoimmune response and enhances JNK signaling
pathway in human lung cancer.
AB - BACKGROUND: Cancerous inhibitor of PP2A (CIP2A) is a recently characterized
oncoprotein, which promotes cancer cell proliferation. But the role of CIP2A in
lung cancer progression is still not well understood. METHODS: The expression
level of CIP2A in lung cancer tissues was examined by immunohistochemistry. CIP2A
associated cell proliferation was performed by knock down or overexpression of
CIP2A in lung cancer cells. Phospho-array was used to screen kinase candidates
related to expression change of CIP2A. Western-blot and luciferase reporter assay
were used to validate phospho-array results. RESULTS: Overexpression of CIP2A in
lung cancer not only triggers immune response in lung cancer patients but also
promotes lung cancer cell proliferation. By phospho-array, several kinase
candidates were identified, one of which is c-Jun activated kinases (JNK). The
knock down of CIP2A decreased JNK phosphorylation, and the phosphorylation of
downstream transcriptional factors, ATF2 and c-Jun, whose transcriptional
activity were decreased as well. Furthermore, the expression level of CIP2A also
affected the phosphorylation of the upstream kinase of JNK, MKK4/MKK7. At last,
treatment with JNK inhibitor partially abolished CIP2A-induced cell
proliferation. CONCLUSION: CIP2A is a tumor-associated autoantigen in lung
cancer, which promote lung cancer proliferation partially through MKK4/7-JNK
signaling pathway.
PMID- 26560125
TI - Association between dental amalgam fillings and Alzheimer's disease: a population
based cross-sectional study in Taiwan.
AB - INTRODUCTION: The potential effects of amalgam fillings on the development of
Alzheimer's disease (AD) are not well understood. The aim of the study was to
evaluate the association between dental amalgam fillings and Alzheimer's disease
in Taiwanese population aged 65 and older. METHODS: Data were retrieved from the
Longitudinal Health Insurance Database (LHID 2005 and 2010). The study enrolled
1,943,702 beneficiaries from the LHID database. After excluding death cases and
individuals aged 65 and under, 207,587 enrollees were finally involved in the
study. Dental amalgam fillings are coded as 89001C, 89002C, 89003C, 89101C,
89102C, or 89103C in the national health insurance research database (NHIRD).
Alzheimer's disease was diagnosed using the International Classification of
Diseases, Ninth Revision, Clinical Modification (ICD-9-CM) codes 331.0. RESULTS:
Individuals exposed to amalgam fillings had higher risk of Alzheimer's disease
(odds ratio, OR = 1.105, 95 % confidence interval, CI = 1.025-1.190) than their
non-exposed counterparts. Further analysis showed that the odds ratio of
Ahlzheimer's disease was 1.07 (95 % CI = 0.962-1.196) in men and 1.132 (95 % CI =
1.022-1.254) in women. CONCLUSIONS: Women who were exposed to amalgam fillings
were 1.132 times more likely to have Alzheimer's disease than were their non
exposed counterparts.
PMID- 26560126
TI - Hunt summons royal colleges for talks on preparing for industrial action.
PMID- 26560128
TI - Erratum.
PMID- 26560129
TI - Nonmarital Relationships and Changing Perceptions of Marriage Among African
American Young Adults.
AB - Cohabitation has become increasingly widespread over the past decade. Such trends
have given rise to debates about the relation between cohabitation and marriage,
in terms of what cohabitation means for individual relationship trajectories and
for the institution of marriage more generally. Using recent data from a sample
of almost 800 African Americans and fixed effects modeling procedures, in the
present study the authors shed some light on these debates by exploring the
extent to which cohabitation, relative to both singlehood and dating, was
associated with within-individual changes in African Americans' marital beliefs
during the transition to adulthood. The findings suggest that cohabitation is
associated with changes in marital beliefs, generally in ways that repositioned
partners toward marriage, not away from it. This was especially the case for
women. These findings suggest that, for young African American women,
cohabitation holds a distinct place relative to dating and, in principle if not
practice, relative to marriage.
PMID- 26560127
TI - Healthcare Databases in Thailand and Japan: Potential Sources for Health
Technology Assessment Research.
AB - BACKGROUND: Health technology assessment (HTA) has been continuously used for
value-based healthcare decisions over the last decade. Healthcare databases
represent an important source of information for HTA, which has seen a surge in
use in Western countries. Although HTA agencies have been established in Asia
Pacific region, application and understanding of healthcare databases for HTA is
rather limited. Thus, we reviewed existing databases to assess their potential
for HTA in Thailand where HTA has been used officially and Japan where HTA is
going to be officially introduced. METHOD: Existing healthcare databases in
Thailand and Japan were compiled and reviewed. Databases' characteristics e.g.
name of database, host, scope/objective, time/sample size, design, data
collection method, population/sample, and variables were described. Databases
were assessed for its potential HTA use in terms of
safety/efficacy/effectiveness, social/ethical, organization/professional,
economic, and epidemiological domains. Request route for each database was also
provided. RESULTS: Forty databases- 20 from Thailand and 20 from Japan-were
included. These comprised of national censuses, surveys, registries,
administrative data, and claimed databases. All databases were potentially used
for epidemiological studies. In addition, data on mortality, morbidity,
disability, adverse events, quality of life, service/technology utilization,
length of stay, and economics were also found in some databases. However, access
to patient-level data was limited since information about the databases was not
available on public sources. CONCLUSION: Our findings have shown that existing
databases provided valuable information for HTA research with limitation on
accessibility. Mutual dialogue on healthcare database development and usage for
HTA among Asia-Pacific region is needed.
PMID- 26560130
TI - Morphological Heterogeneity and Attachment of Phaeobacter inhibens.
AB - The Roseobacter clade is a key group of bacteria in the ocean exhibiting diverse
metabolic repertoires and a wide range of symbiotic life-styles. Many
Roseobacters possess remarkable capabilities of attachment to both biotic and
abiotic surfaces. When attached to each other, these bacteria form multi-cellular
structures called rosettes. Phaeobacter inhibens, a well-studied Roseobacter,
exhibits various cell sizes and morphologies that are either associated with
rosettes or occur as single cells. Here we describe the distribution of P.
inhibens morphologies and rosettes within a population. We detect an N
acetylglucosamine-containing polysaccharide on the poles of some cells and at the
center of all rosettes. We demonstrate that rosettes are formed by the attachment
of individual cells at the polysaccharide-containing pole rather than by cell
division. Finally, we show that P. inhibens attachment to abiotic surfaces is
hindered by the presence of DNA from itself, but not from other bacteria. Taken
together, our findings demonstrate that cell adhesiveness is likely to play a
significant role in the life cycle of P. inhibens as well as other Roseobacters.
PMID- 26560131
TI - Capacity of Health Facilities to Manage Hypertension in Mukono and Buikwe
Districts in Uganda: Challenges and Recommendations.
AB - BACKGROUND: The burden of chronic diseases is increasing in both low- and middle
income countries. However, healthcare systems in low-income countries are
inadequately equipped to deal with the growing disease burden, which requires
chronic care for patients. The aim of this study was to assess the capacity of
health facilities to manage hypertension in two districts in Uganda. METHODS: In
a cross-sectional study conducted between June and October 2012, we surveyed 126
health facilities (6 hospitals, 4 Health Center IV (HCIV), 23 Health Center III
(HCIII), 41 Health Center II (HCII) and 52 private clinics/dispensaries) in
Mukono and Buikwe districts in Uganda. We assessed records, conducted structured
interviews with heads of facilities, and administered questionnaires to 271
health workers. The study assessed service provision for hypertension,
availability of supplies such as medicines, guidelines and equipment, in-service
training for hypertension, knowledge of hypertension management, challenges and
recommendations. RESULTS: Of the 126 health facilities, 92.9% reported managing
(diagnosing/treating) patients with hypertension, and most (80.2%) were run by
non-medical doctors or non-physician health workers (NPHW). Less than half (46%)
of the facilities had guidelines for managing hypertension. A 10th of the
facilities lacked functioning blood pressure devices and 28% did not have
stethoscopes. No facilities ever calibrated their BP devices except one. About a
half of the facilities had anti-hypertensive medicines in stock; mainly thiazide
diuretics (46%), beta blockers (56%) and calcium channel blockers (48.4%). Alpha
blockers, mixed alpha & beta blockers and angiotensin II receptor antagonists
were only stocked by private clinics/dispensaries. Most HCIIs lacked anti
hypertensive medicines, including the first line thiazide diuretics. Significant
knowledge gaps in classification of patients as hypertensive were noted among
respondents. All health workers (except 5, 1.9%) indicated that they needed
additional training in hypertension management. Several provider and patient
related challenges were also observed in this study. CONCLUSIONS: Health
facilities in this setting are inadequately equipped to provide services for
management of hypertension. Diagnostic equipment, anti-hypertensive drugs and
personnel present great challenges. To address the increasing burden of
hypertension and other chronic diseases, measures are needed to substantially
strengthen the healthcare facilities, including training of personnel in
management of hypertension and other chronic diseases, and improving diagnostic
and treatment supplies.
PMID- 26560132
TI - Development of a decision analytic model to support decision making and risk
communication about thrombolytic treatment.
AB - BACKGROUND: Individualised prediction of outcomes can support clinical and shared
decision making. This paper describes the building of such a model to predict
outcomes with and without intravenous thrombolysis treatment following ischaemic
stroke. METHODS: A decision analytic model (DAM) was constructed to establish the
likely balance of benefits and risks of treating acute ischaemic stroke with
thrombolysis. Probability of independence, (modified Rankin score mRS <= 2),
dependence (mRS 3 to 5) and death at three months post-stroke was based on a
calibrated version of the Stroke-Thrombolytic Predictive Instrument using data
from routinely treated stroke patients in the Safe Implementation of Treatments
in Stroke (SITS-UK) registry. Predictions in untreated patients were validated
using data from the Virtual International Stroke Trials Archive (VISTA). The
probability of symptomatic intracerebral haemorrhage in treated patients was
incorporated using a scoring model from Safe Implementation of Thrombolysis in
Stroke-Monitoring Study (SITS-MOST) data. RESULTS: The model predicts
probabilities of haemorrhage, death, independence and dependence at 3-months,
with and without thrombolysis, as a function of 13 patient characteristics.
Calibration (and inclusion of additional predictors) of the Stroke-Thrombolytic
Predictive Instrument (S-TPI) addressed issues of under and over prediction.
Validation with VISTA data confirmed that assumptions about treatment effect were
just. The C-statistics for independence and death in treated patients in the DAM
were 0.793 and 0.771 respectively, and 0.776 for independence in untreated
patients from VISTA. CONCLUSIONS: We have produced a DAM that provides an
estimation of the likely benefits and risks of thrombolysis for individual
patients, which has subsequently been embedded in a computerised decision aid to
support better decision-making and informed consent.
PMID- 26560133
TI - The quantitative evaluation of the impact of viable medial meniscus graft type on
the biochemical and biomechanical properties of the rabbit tibial cartilage.
AB - BACKGROUND: Knowledge of the impact of viable medial meniscus allograft and
autograft transplantation on biochemical and mechanical properties of cartilage
is needed to understand the development of joint osteoarthritis. The purpose of
this study was to evaluate this relationship 6 months after viable medial
meniscal autograft and allograft transplantation. METHODS: Twenty rabbits were
chosen for the study. The medial menisci were excised from 14 animals and stored
under tissue culture conditions for 2 weeks. Seven menisci were implanted as
autografts (group A) and seven as allografts (group B). The control group
consisted of six animals which underwent arthrotomy. The tibial cartilage was
used for mechanical and biochemical evaluation. RESULTS: The respective decreases
of glycosaminoglycans (GAGs) and elasticity were 13.4 and 14.8% for group A and
30.4 and 32.6% for group B. The differences between group A and B and between
each group and the control were statistically significant. The total collagen
content was significantly lower in group B. CONCLUSIONS: The type of viable
meniscal graft has an influence on the biochemical composition of the
extracellular matrix (ECM) and biomechanical properties of the underlying tibial
cartilage. A 1% decrease of glycosaminoglycan content is associated with a 1.1%
decrease of cartilage elasticity. The average ratio of decrease of cartilage
elasticity to that of the meniscus was 0.77 regardless of the type of meniscus
graft. The viable allograft causes irreversible ECM disorder of the cartilage.
Knowledge of the biochemical composition of the ECM meniscal grafts may serve as
a predictor of their chondroprotective properties.
PMID- 26560134
TI - Water deficit stress-induced changes in carbon and nitrogen partitioning in
Chenopodium quinoa Willd.
AB - MAIN CONCLUSION: Water deficit stress followed by re-watering during grain
filling resulted in the induction of the ornithine pathway and in changes in
Quinoa grain quality. The genetic diversity of Chenopodium quinoa Willd. (Quinoa)
is accompanied by an outstanding environmental adaptability and high nutritional
properties of the grains. However, little is known about the biochemical and
physiological mechanisms associated with the abiotic stress tolerance of Quinoa.
Here, we characterized carbon and nitrogen metabolic changes in Quinoa leaves and
grains in response to water deficit stress analyzing their impact on the grain
quality of two lowland ecotypes (Faro and BO78). Differences in the stress
recovery response were found between genotypes including changes in the activity
of nitrogen assimilation-associated enzymes that resulted in differences in grain
quality. Both genotypes showed a common strategy to overcome water stress
including the stress-induced synthesis of reactive oxygen species scavengers and
osmolytes. Particularly, water deficit stress induced the stimulation of the
ornithine and raffinose pathways. Our results would suggest that the regulation
of C- and N partitioning in Quinoa during grain filling could be used for the
improvement of the grain quality without altering grain yields.
PMID- 26560135
TI - The Relationship between Anxiety Sensitivity and Posttraumatic Stress Disorder:
What is the Impact of Nicotine Withdrawal?
AB - OBJECTIVES: Anxiety sensitivity (AS) is related to the development and
maintenance of posttraumatic stress disorder (PTSD) among cigarette smokers, and
is also implicated in the amplification of acute nicotine withdrawal symptoms.
The present study sought to examine the role of nicotine withdrawal in moderating
the association between AS and PTSD symptom severity among a sample of treatment
seeking smokers with PTSD. METHOD: Participants (n = 117) were enrolled in a
randomized controlled trial for the treatment of PTSD and nicotine dependence.
Cross-sectional data were randomly sampled from three different study time
points. A series of multiple regression models were tested. RESULTS: Results
revealed main effects of both AS and withdrawal severity on PTSD severity after
controlling for gender, assessment time-point, negative affectivity, and
biochemically verified smoking (expired carbon monoxide). The interaction of AS
and withdrawal was also significant, and appeared to be specific to PTSD
avoidance and hyperarousal symptoms. However, contrary to expectations, the
association between AS and PTSD symptoms was only significant at relatively lower
levels of nicotine withdrawal. CONCLUSIONS: These findings highlight the complex
interplay between AS, nicotine withdrawal, and their synergistic effect in terms
of the exacerbation of PTSD symptomology.
PMID- 26560136
TI - Criticizing animal experimentation, at my peril.
AB - Initiatives leading to even modest reduction in animal use at major U.S.
universities are likely to continue to face strong opposition. At least, that's
the conclusion the author draws from his efforts at Northwestern University. In
fact, despite a growing body of evidence that animal-based research is flawed at
best and misleading or un-scientific at worst its use is growing at Northwestern
and elsewhere. Moreover, recent discoveries concerning animal consciousness and
emotion have not led to notable improvements in the conditions in which AWA
protected animals live at the Chicago vivarium. There, animals languish in
featureless rooms or sterile cages without access to daylight and with little
opportunity to express their natural behaviors and aptitudes. The writer's public
exposure of these conditions led to a fierce backlash. Unless there is a
significant change in laboratory and university culture, change will only come
when the marketplace and funding agencies demand better and more reliable, non
animal models for the testing of drug toxicity and effectiveness.
PMID- 26560137
TI - Moving toward the ideal insulin for insulin pumps.
AB - Advances in insulin formulations have been important for diabetes management and
achieving optimal glycemic control. Rapid-acting insulin analogs provide a faster
time-action profile than regular insulin and are approved for use in pumps.
However, the need remains for therapy to deliver a more physiologic insulin
profile. New insulin formulations and delivery methods are in development, with
the aim of accelerating insulin absorption to accomplish ultra-fast-acting
insulin time-action profiles. Furthermore, the integration of continuous glucose
monitoring with insulin pump therapy enables on-going adjustment of insulin
delivery to optimize glycemic control throughout the day and night. These
technological and pharmacological advances are likely to facilitate the
development of closed-loop pump systems (i.e., artificial pancreas), and improve
glycemic control and quality of life for patients with diabetes.
PMID- 26560138
TI - Accurate molecular imaging of small animals taking into account animal models,
handling, anaesthesia, quality control and imaging system performance.
AB - Small-animal imaging has become an important technique for the development of new
radiotracers, drugs and therapies. Many laboratories have now a combination of
different small-animal imaging systems, which are being used by biologists,
pharmacists, medical doctors and physicists. The aim of this paper is to give an
overview of the important factors in the design of a small animal, nuclear
medicine and imaging experiment. Different experts summarize one specific aspect
important for a good design of a small-animal experiment.
PMID- 26560139
TI - Interventions to Improve Medication Adherence in Hypertensive Patients:
Systematic Review and Meta-analysis.
AB - This systematic review applied meta-analytic procedures to synthesize medication
adherence interventions that focus on adults with hypertension. Comprehensive
searching located trials with medication adherence behavior outcomes. Study
sample, design, intervention characteristics, and outcomes were coded. Random
effects models were used in calculating standardized mean difference effect
sizes. Moderator analyses were conducted using meta-analytic analogues of ANOVA
and regression to explore associations between effect sizes and sample, design,
and intervention characteristics. Effect sizes were calculated for 112 eligible
treatment-vs.-control group outcome comparisons of 34,272 subjects. The overall
standardized mean difference effect size between treatment and control subjects
was 0.300. Exploratory moderator analyses revealed interventions were most
effective among female, older, and moderate- or high-income participants. The
most promising intervention components were those linking adherence behavior with
habits, giving adherence feedback to patients, self-monitoring of blood pressure,
using pill boxes and other special packaging, and motivational interviewing. The
most effective interventions employed multiple components and were delivered over
many days. Future research should strive for minimizing risks of bias common in
this literature, especially avoiding self-report adherence measures.
PMID- 26560140
TI - Potential Cost-Effectiveness of Prenatal Distribution of Misoprostol for
Prevention of Postpartum Hemorrhage in Uganda.
AB - BACKGROUND: In settings where home birth rates are high, prenatal distribution of
misoprostol has been advocated as a strategy to increase access to uterotonics
during the third stage of labor to prevent postpartum hemorrhage (PPH). Our
objective was to project the potential cost-effectiveness of this strategy in
Uganda from both governmental (the relevant payer) and modified societal
perspectives. METHODS AND FINDINGS: To compare prenatal misoprostol distribution
to status quo (no misoprostol distribution), we developed a decision analytic
model that tracked the delivery pathways of a cohort of pregnant women from the
prenatal period, labor to delivery without complications or delivery with PPH,
and successful treatment or death. Delivery pathway parameters were derived from
the Uganda Demographic and Health Survey. Incidence of PPH, treatment efficacy,
adverse event and case fatality rates, access to misoprostol, and health resource
use and cost data were obtained from published literature and supplemented with
expert opinion where necessary. We computed the expected incidence of PPH,
mortality, disability adjusted life years (DALYs), costs and incremental cost
effectiveness ratios (ICERs). We conducted univariate and probabilistic
sensitivity analyses to examine robustness of our results. In the base-case
analysis, misoprostol distribution lowered the expected incidence of PPH by 1.2%
(95% credibility interval (CrI): 0.55%, 1.95%), mortality by 0.08% (95% CrI:
0.04%, 0.13%) and DALYs by 0.02 (95% CrI: 0.01, 0.03)." and "ICERs were US$181
(95% CrI: 81, 443) per DALY averted from a governmental perspective, and US$64
(95% CrI: -84, 260) per DALY averted from a modified societal perspective
[corrected]. CONCLUSIONS: Prenatal distribution of misoprostol is potentially
cost-effective in Uganda and should be considered for national-level scale up for
prevention of PPH.
PMID- 26560141
TI - NOD promoter-controlled AtIRT1 expression functions synergistically with NAS and
FERRITIN genes to increase iron in rice grains.
AB - Rice is a staple food for over half of the world's population, but it contains
only low amounts of bioavailable micronutrients for human nutrition.
Consequently, micronutrient deficiency is a widespread health problem among
people who depend primarily on rice as their staple food. Iron deficiency anemia
is one of the most serious forms of malnutrition. Biofortification of rice grains
for increased iron content is an effective strategy to reduce iron deficiency.
Unlike other grass species, rice takes up iron as Fe(II) via the IRON REGULATED
TRANSPORTER (IRT) in addition to Fe(III)-phytosiderophore chelates. We expressed
Arabidopsis IRT1 (AtIRT1) under control of the Medicago sativa EARLY NODULIN 12B
promoter in our previously developed high-iron NFP rice lines expressing
NICOTIANAMINE SYNTHASE (AtNAS1) and FERRITIN. Transgenic rice lines expressing
AtIRT1 alone had significant increases in iron and combined with NAS and FERRITIN
increased iron to 9.6 ug/g DW in the polished grains that is 2.2-fold higher as
compared to NFP lines. The grains of AtIRT1 lines also accumulated more copper
and zinc but not manganese. Our results demonstrate that the concerted expression
of AtIRT1, AtNAS1 and PvFERRITIN synergistically increases iron in both polished
and unpolished rice grains. AtIRT1 is therefore a valuable transporter for iron
biofortification programs when used in combination with other genes encoding iron
transporters and/or storage proteins.
PMID- 26560142
TI - Local dependence in random graph models: characterization, properties and
statistical inference.
AB - Dependent phenomena, such as relational, spatial and temporal phenomena, tend to
be characterized by local dependence in the sense that units which are close in a
well-defined sense are dependent. In contrast with spatial and temporal
phenomena, though, relational phenomena tend to lack a natural neighbourhood
structure in the sense that it is unknown which units are close and thus
dependent. Owing to the challenge of characterizing local dependence and
constructing random graph models with local dependence, many conventional
exponential family random graph models induce strong dependence and are not
amenable to statistical inference. We take first steps to characterize local
dependence in random graph models, inspired by the notion of finite
neighbourhoods in spatial statistics and M-dependence in time series, and we show
that local dependence endows random graph models with desirable properties which
make them amenable to statistical inference. We show that random graph models
with local dependence satisfy a natural domain consistency condition which every
model should satisfy, but conventional exponential family random graph models do
not satisfy. In addition, we establish a central limit theorem for random graph
models with local dependence, which suggests that random graph models with local
dependence are amenable to statistical inference. We discuss how random graph
models with local dependence can be constructed by exploiting either observed or
unobserved neighbourhood structure. In the absence of observed neighbourhood
structure, we take a Bayesian view and express the uncertainty about the
neighbourhood structure by specifying a prior on a set of suitable neighbourhood
structures. We present simulation results and applications to two real world
networks with 'ground truth'.
PMID- 26560143
TI - Absolute Quantification of Endogenous Ras Isoform Abundance.
AB - Ras proteins are important signalling hubs situated near the top of networks
controlling cell proliferation, differentiation and survival. Three almost
identical isoforms, HRAS, KRAS and NRAS, are ubiquitously expressed yet have
differing biological and oncogenic properties. In order to help understand the
relative biological contributions of each isoform we have optimised a
quantitative proteomics method for accurately measuring Ras isoform protein copy
number per cell. The use of isotopic protein standards together with selected
reaction monitoring for diagnostic peptides is sensitive, robust and suitable for
application to sub-milligram quantities of lysates. We find that in a panel of
isogenic SW48 colorectal cancer cells, endogenous Ras proteins are highly
abundant with >=260,000 total Ras protein copies per cell and the rank order of
isoform abundance is KRAS>NRAS>=HRAS. A subset of oncogenic KRAS mutants exhibit
increased total cellular Ras abundance and altered the ratio of mutant versus
wild type KRAS protein. These data and methodology are significant because Ras
protein copy number is required to parameterise models of signalling networks and
informs interpretation of isoform-specific Ras functional data.
PMID- 26560144
TI - The use of medication in selective mutism: a systematic review.
AB - Despite limited evidence, selective serotonin reuptake inhibitors (SSRIs) and
monoamine oxidase inhibitors (MAOIs) are used to reduce symptoms of selective
mutism (SM) in children unresponsive to psychosocial interventions. We review
existing evidence for the efficacy of these medications, limitations of the
literature, and resulting treatment considerations. Bibliographic searches were
conducted in Medline, Embase, PsycInfo, Web of Science and Cochrane up to June
2015. Two reviewers independently sought studies of children with SM as primary
psychiatric diagnosis, which reported response to medication treatment. Abstracts
were limited to those reporting original data. Two reviewers independently
assessed the ten papers reporting on >2 subjects regarding study design, key
results, and limitations. Heterogeneity of designs mandated a descriptive
summary. Symptomatic improvement was found for 66/79 children treated with SSRIs
and 4/4 children treated with phenelzine. Only 3/10 studies had unmedicated
comparison groups and only two were double-blinded. This review may be affected
by publication bias, missed studies, and variability of outcome measures in
included studies. Although there is some evidence for symptomatic improvement in
SM with medication, especially SSRIs, it is limited by small numbers, lack of
comparative trials, lack of consistent measures, and lack of consistent reporting
on tolerability. The clinician must weigh this paucity of evidence against the
highly debilitating nature of SM, and its adverse effects on the development of
those children whose progress with psychosocial interventions is limited or very
slow. Studies of optimal dosage and timing of medications in relation to
psychosocial treatments are also needed.
PMID- 26560145
TI - Dual PI3K/mTOR inhibitor BEZ235 exerts extensive antitumor activity in HER2
positive gastric cancer.
AB - BACKGROUND: To investigate the in vitro and in vivo antitumor activity of dual
PI3K/mTOR inhibitor BEZ235 (NVP-BEZ235) in HER2-positive gastric cancer. METHODS:
HER2-positive breast cancer cell line (BT474), HER2-positive (NCI-N87 and
SNU216), and HER2-negative (MKN45) gastric cancer cell lines were used in this
study. Cell viability, cell cycle, and HER2 downstream signaling pathways were
analyzed using the MTS assay, flow cytometry, and western blotting, respectively.
For the in vivo experiments, HER2-positive gastric cancer patient-derived
xenografts were treated with BEZ235 to assess its antitumor activity. RESULTS:
The sensitivity of trastuzumab in BT474 cells was higher than that for NCI-N87
and SNU216 cells, which may be partially attributed to continuously active HER2
downstream signaling pathway. BEZ235 inhibited the proliferation of NCI-N87 and
SNU216 cells in vitro in a dose-dependent manner by inducing the cell cycle
arrest at the G1 phase. BEZ235 demonstrated greater inhibitory effects than
trastuzumab, a unique targeted drug, in both the in vitro and in vivo set of
experiments. Additionally, our results indicate that BEZ235 displayed some
synergism with trastuzumab. BEZ235 exhibited its antitumor activity in gastric
cancer by inhibiting important HER2 downstream signaling pathways, as indicated
by the inhibition of phosphorylated AKT and S6. CONCLUSION: The present study has
demonstrated, for the first time, the antitumor activity of BEZ235 against HER2
positive gastric cancer in patient-derived xenografts, as well its synergistic
interaction with trastuzumab. These important findings can be utilized to
facilitate the design of future clinical trials.
PMID- 26560146
TI - New scoring methodology improves the sensitivity of the Alzheimer's Disease
Assessment Scale-Cognitive subscale (ADAS-Cog) in clinical trials.
AB - INTRODUCTION: As currently used, the Alzheimer's Disease Assessment Scale
Cognitive subscale (ADAS-Cog) has low sensitivity for measuring Alzheimer's
disease progression in clinical trials. A major reason behind the low sensitivity
is its sub-optimal scoring methodology, which can be improved to obtain better
sensitivity. METHODS: Using item response theory, we developed a new scoring
methodology (ADAS-CogIRT) for the ADAS-Cog, which addresses several major
limitations of the current scoring methodology. The sensitivity of the ADAS
CogIRT methodology was evaluated using clinical trial simulations as well as a
negative clinical trial, which had shown an evidence of a treatment effect.
RESULTS: The ADAS-Cog was found to measure impairment in three cognitive domains
of memory, language, and praxis. The ADAS-CogIRT methodology required
significantly fewer patients and shorter trial durations as compared to the
current scoring methodology when both were evaluated in simulated clinical
trials. When validated on data from a real clinical trial, the ADAS-CogIRT
methodology had higher sensitivity than the current scoring methodology in
detecting the treatment effect. CONCLUSIONS: The proposed scoring methodology
significantly improves the sensitivity of the ADAS-Cog in measuring progression
of cognitive impairment in clinical trials focused in the mild-to-moderate
Alzheimer's disease stage. This provides a boost to the efficiency of clinical
trials requiring fewer patients and shorter durations for investigating disease
modifying treatments.
PMID- 26560147
TI - Human Genetic Relevance and Potent Antitumor Activity of Heat Shock Protein 90
Inhibition in Canine Lung Adenocarcinoma Cell Lines.
AB - BACKGROUND: It has been an open question how similar human and canine lung
cancers are. This has major implications in availability of human treatments for
dogs and in establishing translational models to test new therapies in pet dogs.
The prognosis for canine advanced lung cancer is poor and new treatments are
needed. Heat shock protein 90 (HSP90) is an ATPase-dependent molecular chaperone
ubiquitously expressed in eukaryotic cells. HSP90 is essential for
posttranslational conformational maturation and stability of client proteins
including protein kinases and transcription factors, many of which are important
for the proliferation and survival of cancer cells. We investigated the activity
of STA-1474, a HSP90 inhibitor, in two canine lung cancer cell lines, BACA and
CLAC. RESULTS: Comparative genomic hybridization analysis of both cell lines
revealed genetic relevance to human non-small cell lung cancer. STA-1474
inhibited growth and induced apoptosis of both cell lines in a dose- and time
dependent manner. The ICs50 after 72 h treatment with STA-1474 were 0.08 and 0.11
MUM for BACA and CLAC, respectively. When grown as spheroids, the IC50 of STA
1474 for BACA cells was approximately two-fold higher than when grown as a
monolayer (0.348 MUM vs. 0.168 MUM), whereas CLAC spheroids were relatively drug
resistant. Treatment of tumor-stromal fibroblasts with STA-1474 resulted in a
dose-dependent decrease in their relative cell viability with a low IC50 of 0.28
MUM. CONCLUSIONS: Here we first established that lung adenocarcinoma in people
and dogs are genetically and biochemically similar. STA1474 demonstrated
biological activity in both canine lung cancer cell lines and tumor-stromal
fibroblasts. As significant decreases in relative cell viability can be achieved
with nanomolar concentrations of STA-1474, investigation into the clinical
efficacy of this drug in canine lung cancer patients is warranted.
PMID- 26560148
TI - Changes of Laryngeal Mobility and Symptoms Following Thyroid Surgery: 6-Month
Follow-Up.
AB - OBJECTIVE: Swallowing disorders are frequent complaints after thyroidectomy even
in the absence of recurrent laryngeal nerve palsy. The aim of this study was to
assess different symptoms in relation to laryngeal mobility following
thyroidectomy. MATERIALS AND METHODS: 53 patients (mean age 52.4 +/- 12.5 years;
36 female) with initially benign diagnosis and intact recurrent nerve functioning
were prospectively evaluated. Laryngeal movement was analyzed by ultrasound
preoperatively and 1, 3, and 6 months postoperatively. In addition, a dysphagia
and voice-specific quality-of-life questionnaire was used. RESULTS: Mean
laryngeal movement differed between genders preoperatively and postoperatively
resulting in a recovery predominantly in women (reduction of mobility at 1, 3,
and 6 months postoperatively in females was 6.0, 3.7, and 1.5 mm, and in males
13.8, 11.7, and 10.3 mm, respectively). Mainly, women reported hoarseness (9
females) and cervical discomfort (7 females, 3 males) 1 month postoperatively.
After 6 months, these complaints resolved (cervical discomfort 1 female).
CONCLUSION: Laryngeal mobility was significantly impaired postoperatively and
only females revealed a recovery close to baseline after 6 months. Although
showing only a small grade of recovery of laryngeal movement, subjective clinical
symptoms were found to be rare in male patients.
PMID- 26560149
TI - Survival in Resected Stage II Colorectal Cancer Is Dependent on Tumor Depth,
Vascular Invasion, Postoperative CEA Level, and The Number of Examined Lymph
Nodes.
AB - BACKGROUND: The aim of the present study was to determine which aspects of tumor
histology influence postoperative early relapse and overall survival rates after
radical resection of stage II colorectal cancer (CRC). METHODS: Data were
collected for 425 patients with stage II CRC who began treatment at a single
institution between January 2006 and October 2013. All the enrolled patients were
followed up on until death or until December 2014. Clinically significant factors
affecting postoperative early relapse and overall survival rates were analyzed.
RESULTS: Using a multivariate analysis, tumor invasion depth (P = 0.008),
vascular invasion (P = 0.029), postoperative carcinoembryonic antigen (CEA) level
(P = 0.001), and retrieval of less than 12 lymph nodes (P = 0.002) were found to
be independent predictors for postoperative early relapse. A combination of tumor
invasion depth, vascular invasion, postoperative CEA level, and number of lymph
nodes retrieved showed that the greater the number of predictors involved, the
higher the likelihood of postoperative early relapse and the poorer the overall
survival. CONCLUSIONS: This study revealed that T4 invasion, vascular invasion,
postoperative CEA level, and the number of examined lymph nodes may significantly
affect the prognosis of stage II CRC patients after radical resection. The risks
of postoperative early relapse and worse clinical outcome increase in proportion
to the values of these four parameters.
PMID- 26560150
TI - Trends in Prevalence of Thyroid Cancer Over Three Decades: A Retrospective Cohort
Study of 17,526 Surgical Patients.
AB - INTRODUCTION: Thyroid cancer (TC) incidence has been increasing in recent years.
The aim of this study was to investigate our institution-based estimates of
operative volumes for TC over the last three decades. MATERIALS AND METHODS: This
was a retrospective cohort study of patients undergoing thyroid surgery at our
institution. Patient characteristics were reviewed in three subgroups: Group I
(treated in 1981-1986), Group II (treated in 1987-2002), and Group III (treated
in 2003-2012). RESULTS: TC was diagnosed in 1578/17,526 (9.0%) thyroid
operations. Incidence of TC increased from 3.7% in Group I to 10.4% in Group III
(p < 0.001). Incidence of papillary TC increased form 40.6% in Group I to 81.3%
in Group III (p < 0.001). In the latter group, 23.5% of all papillary TCs were
diagnosed in patients with Hashimoto's disease. Meanwhile, incidence of
anaplastic TC decreased from 16.2% in Group I to 2.1% in Group III patients (p <
0.001). pT1 tumors were diagnosed in 8.1% Group I and 54.8% Group III (p <
0.001), whereas pT4 tumors were identified in 40.5% Group I, 2.4% Group II, and
0.84% Group III subjects (p < 0.001). pT3 tumors were found in 51.6% Group I,
whereas multifocal papillary TCs were found in 15.7% Group III patients, the
latter with a higher prevalence of pN1 stage (p < 0.001). CONCLUSIONS: The
following trends in surgical volume for TC were identified throughout the study
period: a fivefold increase of thyroid operations for TC, a threefold increase in
incidence of papillary TC, and an eightfold decrease in incidence of anaplastic
TC. It is of interest that a significant increase in incidence of multifocal
papillary TC in young female patients with Hashimoto's disease was found over
time.
PMID- 26560151
TI - Optimising Breast Conservation Treatment for Multifocal and Multicentric Breast
Cancer: A Worthwhile Endeavour?
AB - BACKGROUND: Breast conservation treatment (BCT) is an accepted treatment modality
for early breast cancer. However, multifocal and multicentric breast cancer
(MFMCBC) is a relative contraindication to BCT. This study was performed to
compare BCT rates in MFMCBC and unifocal tumours and its outcomes. METHODS: A
retrospective analysis was performed for patients with breast malignancies who
underwent operative treatment between 2009 and 2011. Successful BCT was defined
as the ability to obtain clear margins for all tumour foci through a single
incision with acceptable resultant cosmesis. RESULTS: A total of 160 patients
were analysed, of which 40 were MFMCBC. Thirty-four of the 40 patients with
MFMCBC underwent BCT (85 %). After a mean follow-up period of 55 months, there
were no local recurrences in patients with MFMCBC. CONCLUSION: BCT was achieved
in 85 % of the patients with MFMCBC in this cohort without evidence of poorer
local control. Further investigation is needed to confirm this finding for its
potential contribution to improved survival outcomes.
PMID- 26560152
TI - Liver Exposure Using Sterile Glove Pouch During Laparoscopic Right Liver Surgery
in Hepatocellular Carcinoma Patients.
AB - BACKGROUND: Although laparoscopy is widely accepted for liver resection, lesions
in the posterior and superior segments and deep region in the right lobe are
difficult for visualization during laparoscopic liver resection (LLR). In this
study, we aim examine the effects of using sterile gloves (SG) pouch padding
during LLR. METHODS: Forty-two hepatocellular carcinoma (HCC) patients were
included in our study. We performed LLR using SG (n = 24, SG group) and without
SG during LLR (n = 18, NSG). We also compared the time of various procedures,
blood loss, and liver function between the two groups. RESULTS: We did not
observe any major complications or death in all patients. The time of liver
parenchyma transection and portal triad clamping in SG group is significantly
shorter than those in NSG group (30.29 +/- 5.55 vs. 39.00 +/- 3.68 min p < .001
for liver parenchyma transection, 23.00 +/- 5.60 vs. 31.60 +/- 5.03 min p < .001
for portal triad clamping). Blood loss in SG group (162.91 +/- 90.91 ml) was
significantly lower than in NSG group (236.66 +/- 101.67 ml p = .024). The levels
of alanine aminotransferase (ALT) and aspartate aminotransferase (AST) were
increased one day after LLR, and decreased to normal level on day 7 after LLR in
both groups. CONCLUSIONS: Our data suggests that a sterile glove pouch could
enhance exposure in surgical field, which results in decrease in blood loss and
procedure time. More studies with large sample size, large tumor size, and longer
follow-up are needed.
PMID- 26560153
TI - Evaluation of Major Online Diabetes Risk Calculators and Computerized Predictive
Models.
AB - Classical paper-and-pencil based risk assessment questionnaires are often
accompanied by the online versions of the questionnaire to reach a wider
population. This study focuses on the loss, especially in risk estimation
performance, that can be inflicted by direct transformation from the paper to
online versions of risk estimation calculators by ignoring the possibilities of
more complex and accurate calculations that can be performed using the online
calculators. We empirically compare the risk estimation performance between four
major diabetes risk calculators and two, more advanced, predictive models.
National Health and Nutrition Examination Survey (NHANES) data from 1999-2012 was
used to evaluate the performance of detecting diabetes and pre-diabetes. American
Diabetes Association risk test achieved the best predictive performance in
category of classical paper-and-pencil based tests with an Area Under the ROC
Curve (AUC) of 0.699 for undiagnosed diabetes (0.662 for pre-diabetes) and 47%
(47% for pre-diabetes) persons selected for screening. Our results demonstrate a
significant difference in performance with additional benefits for a lower number
of persons selected for screening when statistical methods are used. The best AUC
overall was obtained in diabetes risk prediction using logistic regression with
AUC of 0.775 (0.734) and an average 34% (48%) persons selected for screening.
However, generalized boosted regression models might be a better option from the
economical point of view as the number of selected persons for screening of 30%
(47%) lies significantly lower for diabetes risk assessment in comparison to
logistic regression (p < 0.001), with a significantly higher AUC (p < 0.001) of
0.774 (0.740) for the pre-diabetes group. Our results demonstrate a serious lack
of predictive performance in four major online diabetes risk calculators.
Therefore, one should take great care and consider optimizing the online versions
of questionnaires that were primarily developed as classical paper
questionnaires.
PMID- 26560154
TI - A Cognitive Neural Architecture Able to Learn and Communicate through Natural
Language.
AB - Communicative interactions involve a kind of procedural knowledge that is used by
the human brain for processing verbal and nonverbal inputs and for language
production. Although considerable work has been done on modeling human language
abilities, it has been difficult to bring them together to a comprehensive tabula
rasa system compatible with current knowledge of how verbal information is
processed in the brain. This work presents a cognitive system, entirely based on
a large-scale neural architecture, which was developed to shed light on the
procedural knowledge involved in language elaboration. The main component of this
system is the central executive, which is a supervising system that coordinates
the other components of the working memory. In our model, the central executive
is a neural network that takes as input the neural activation states of the short
term memory and yields as output mental actions, which control the flow of
information among the working memory components through neural gating mechanisms.
The proposed system is capable of learning to communicate through natural
language starting from tabula rasa, without any a priori knowledge of the
structure of phrases, meaning of words, role of the different classes of words,
only by interacting with a human through a text-based interface, using an open
ended incremental learning process. It is able to learn nouns, verbs, adjectives,
pronouns and other word classes, and to use them in expressive language. The
model was validated on a corpus of 1587 input sentences, based on literature on
early language assessment, at the level of about 4-years old child, and produced
521 output sentences, expressing a broad range of language processing
functionalities.
PMID- 26560155
TI - Beyond antidoping and harm minimisation: a stakeholder-corporate social
responsibility approach to drug control for sport.
AB - Debate about the ethics of drug control in sport has largely focused on arguing
the relative merits of the existing antidoping policy or the adoption of a health
based harm minimisation approach. A number of ethical challenges arising from
antidoping have been identified, and a number of, as yet, unanswered questions
remain for the maturing ethics of applying harm minimisation principles to drug
control for sport. This paper introduces a 'third approach' to the debate,
examining some implications of applying a stakeholder theory of corporate social
responsibility (CSR) to the issue of doping in sport. The introduction of the
stakeholder-CSR model creates an opportunity to challenge the two dominant
schools by enabling a different perspective to contribute to the development of
an ethically robust drug control for sport.
PMID- 26560156
TI - Understanding (and) consent: a response to MacKay.
PMID- 26560158
TI - Evaluating the effect of health warnings in influencing Australian smokers'
psychosocial and quitting behaviours using fuzzy causal network.
AB - This paper explores the application of fuzzy causal networks (FCNs) to evaluating
effect of health warnings in influencing Australian smokers' psychosocial and
quitting behaviour. The sample data used in this study are selected from the
International Tobacco Control Policy Evaluation Survey project. Our research
findings have demonstrated that new health warnings implemented in Australia have
obvious impacts on smokers' psychosocial and quitting behaviours. FCN is a useful
framework to investigate such impacts that overcome the limitation of using
traditional statistical techniques, such as linear regression and logistics
regression, to analyse non-linear data.
PMID- 26560157
TI - Factors associated with the receipt of fertility preservation services along the
decision-making pathway in young Canadian female cancer patients.
AB - PURPOSE: This study investigated the factors associated with the receipt of
fertility preservation (FP) services along the decision-making pathway in young
Canadian female cancer patients. The roles of the oncologists were examined.
METHODS: A total of 188 women who were diagnosed with cancer between the ages of
18-39 after the year 2000 and had finished active cancer treatment by the time of
the survey (2012-2013) participated in the study. Logistic regression models and
Pearson chi (2) tests were used for analyses. RESULTS: The mean ages of
participants at diagnosis and at survey time were 30.2 (SD = 3.7) and 33.9 (SD =
5.9). One quarter (n = 45, 23.9 %) did not recall having a fertility discussion
with their oncologists. Of the three quarters who had a fertility discussion (n =
143, 76.1 %), discussions were equally initiated by oncologists (n = 71) and
patients (n = 72). Of the 49 women (26 %) who consulted a fertility specialist,
17 (9 %) underwent a FP procedure. Fertility concern at diagnosis was the driving
force of the receipt of FP services at all decision points. Our findings suggest
that not only was the proactive approach of oncologists in initiating a fertility
discussion important, the quality of the discussion was equally critical in the
decision-making pathway. CONCLUSIONS: Oncologists play a pivotal role in the
provision of fertility services in that they are not only gate keepers, knowledge
brokers, and referral initiators of FP consultation, but also they are catalysts
in supporting cancer patients making important FP decision in conjunction with
the consultation provided by a fertility specialist.
PMID- 26560159
TI - Enterprises and challenges in diagnostics for precision medicine: an interview
with Eddie Blair.
AB - Interview with Dr Eddie Blair, PhD, by Claire Raison (Commissioning Editor) Dr
Eddie Blair is Managing Director of Integrated Medicines Ltd (Cambridge, UK), a
company he formed in 2003 to enable precision medicine by combining diagnostic
testing with new and existing medicines. Dr Blair has raised angel and private
equity investments in excess of L12 million, has published over 40 primary peer
reviewed papers, including a series on companion diagnostic valuation, and is
named inventor on at least 12 patents. Dr Blair is a member of the Editorial
Advisory Board of Expert Review of Molecular Diagnostics and speaks to the
Commissioning Editor here about entrepreneurship, obstacles and potential of
introducing diagnostics innovations into routine clinical practice.
PMID- 26560160
TI - Do we really know how much we are feeding our patients?
AB - BACKGROUND: Nutrition support is important in critical illness, and accurate
recording is particularly important to determine whether nutritional goals are
met both from a caloric and volume perspective. OBJECTIVE: To assess accuracy of
enteral feeding records, to increase nursing education and to improve nutritional
documentation. METHODS: An uncontrolled, prospective, pre- and post-intervention
study was completed as part of a quality improvement initiative. This study was
performed in a 950-bed university hospital (Philadelphia, Pennsylvania) and
focused in a 25-bed, closed intensive care unit (ICU) with a multidisciplinary
rounding team of intensivist, nurse, pharmacist, dietitian and respiratory
therapist. Nurse researchers reviewed 188 patient electronic medical records
(EMR) and compared the data to volume data saved on enteral feeding pump. Data
analysis revealed inconsistencies between the pump readings and EMR. The need for
a prospective intervention was recognized and implementation of this intervention
included pump calibration and teaching modules aimed at improving enteral feeding
protocols. During post-intervention, another 234 records were reviewed. RESULTS:
The intervention of an education program reduced the documented discrepancy
between the pump readings and charted volumes from 44 to 33%. A correlation
analysis also showed a tighter relationship post-intervention (rpost = 0.84 vs.
rpre = 0.76, both had a p < 0.01). CONCLUSION: This study highlights the
importance of accurate nutritional monitoring in the ICU and demonstrates that
educational interventions can improve enteral feeding protocols. Pump
calibrations, frequent interrogation and vigilant nutritional documentation can
improve enteral nutrition delivery. Future studies are needed to determine if the
effects are sustainable and if further education will further improve
documentation and delivery.
PMID- 26560161
TI - [Ophthalmic care in the Second World War, 75 years after].
PMID- 26560162
TI - Current interpretation of optical coherence tomography in the posterior pole.
AB - OBJECTIVE: To review the literature in order to describe the current nomenclature
for the interpretation of retinal images of optical coherence tomography (OCT) in
the macular area. METHODS: A comprehensive literature search was conducted in the
major biomedical databases since the introduction of OCT in ophthalmological
field. RESULTS: Quantitative variations of central macular thickness and proper
terminology used throughout the years are directly related to the technology and
equipment used. CONCLUSIONS: The current nomenclature of normal macular
architecture represented in vivo on spectral domain OCT technology provides a
clear and valid anatomical interpretation that can be applied, not only in
research, but also in everyday practice.
PMID- 26560163
TI - Human tear metabolome.
PMID- 26560164
TI - Primary conjunctival follicular lymphoma mimicking chronic conjunctivitis.
AB - CLINICAL CASE: The case is presented of a 43 year-old male patient with chronic
follicular conjunctivitis, negative bacterial serology, and refractory to local
treatment. The incisional biopsy performed showed to be consistent with reactive
lymphoid hyperplasia. A year later, a new incisional biopsy showed follicular
lymphoma, with no systemic involvement, and he was treated with local
radiotherapy. DISCUSSION: When a chronic follicular conjunctivitis is refractory
to treatment, it is essential to perform an incisional biopsy to establish the
histopathological diagnosis that can range from chronic inflammation, reactive
lymphoid hyperplasia to lymphoma. Follicular lymphoma is rare among conjunctival
lymphomas, and the staging is indispensable for the correct therapeutic approach.
PMID- 26560165
TI - Visual involvement in foreign-body intestinal perforations.
AB - INTRODUCTION: Intestinal perforation due to ingestion of a foreign body accounts
for 21% of the total in our hospital. METHODS: All cases of intestinal
perforation due to foreign body ingestion occurring since 1990 were collected (29
cases), and the visual characteristics of these patients were analysed. RESULTS
AND DISCUSSION: The mean age was 74.2 years (all of them presbyopic) with the
majority being female (1.9:1). The most frequently ingested foreign body was fish
bone (55%). The corrected near visual acuity obtained a mean value of 0.73, while
the real visual accuity (only one of them wore glasses to eat) was 0.145. Four
patients (20%) had only one eye, and the TNO test was positive in only 12 (60%).
At least 13 (65%) had some degree of cataract. CONCLUSIONS: Improving near visual
acuity with either early cataract surgery and/or multifocal intraocular lenses
may decrease the number of gastrointestinal perforations.
PMID- 26560166
TI - Birdshot-like retinochoroidopathy as onset of Whipple's disease.
PMID- 26560167
TI - Treatment of ophthalmological diseases in the 16th century. An analysis of the
medicinal plants from new Spain published by Francisco Hernandez.
PMID- 26560168
TI - Deceiving the on-off cells: Historic evolution of our comprehension of the way
animals camouflage themselves.
PMID- 26560169
TI - From physiological vascular tortuosity to the tortuositas vasorum retinae.
PMID- 26560170
TI - Tazarotene foam, 0.1%, for the treatment of acne.
AB - INTRODUCTION: Acne is a common skin condition of the pilosebaceous units that
affects the young and old, ranges from moderate to severe and can be treated with
an array of options. Topical retinoids are the initial treatment for acne due to
their ability to treat comedones, the starting point of acne. AREAS COVERED:
Tazarotene is a topical retinoid available as a cream, gel and foam. Tazarotene
0.1% foam was FDA approved in 2012 for the treatment of acne in patients ages
>=12 and is the first foam topical retinoid on the market. Phase I and III trials
support the efficacy and safety of tazarotene foam for acne. EXPERT OPINION: The
foam vehicles may increase compliance and satisfaction in some patients and as
retinoids are commonly first line acne treatments, this new topical retinoid foam
may be a useful option for some acne patients.
PMID- 26560171
TI - T cell immunity to dengue virus and implications for vaccine design.
AB - Dengue virus infections are increasing at an alarming rate in many tropical and
subtropical countries and represent, in some of these areas, a leading cause of
hospitalization and death among children. The lack of a clear definition of the
correlates of protection from severe dengue disease represents a major hurdle for
vaccine development. In particular, the role of T lymphocytes during dengue
infection remains unclear and there is evidence suggesting that these cells may
be important for both protective immunity and/or immunopathology. In this review
we discuss the findings that support a protective role of T cells versus those
supporting their involvement in pathogenesis. A better understanding of T cell
immunity is urgently needed for the development of safe and efficacious vaccines.
PMID- 26560172
TI - Psychological therapies for preventing seasonal affective disorder.
AB - BACKGROUND: Seasonal affective disorder (SAD) is a seasonal pattern of recurrent
major depressive episodes that most commonly occurs during autumn or winter and
remits in spring. The prevalence of SAD ranges from 1.5% to 9%, depending on
latitude. The predictable seasonal aspect of SAD provides a promising opportunity
for prevention. This is one of four reviews on the efficacy and safety of
interventions to prevent SAD; we focus on psychological therapies as preventive
interventions. OBJECTIVES: To assess the efficacy and safety of psychological
therapies (in comparison with no treatment, other types of psychological therapy,
second-generation antidepressants (SGAs), light therapy, melatonin or agomelatine
or lifestyle interventions) in preventing SAD and improving patient-centred
outcomes among adults with a history of SAD. SEARCH METHODS: We conducted a
search of the Cochrane Depression, Anxiety and Neurosis Review Group Specialised
Register (CCDANCTR) to 11 August 2015. The CCDANCTR contains reports of relevant
randomised controlled trials from EMBASE (1974 to date), MEDLINE (1950 to date),
PsycINFO (1967 to date) and the Cochrane Central Register of Controlled Trials
(CENTRAL). Furthermore, we searched the Cumulative Index to Nursing and Allied
Health Literature (CINAHL), Web of Knowledge, The Cochrane Library and the Allied
and Complementary Medicine Database (AMED) (to 26 May 2014). We conducted a grey
literature search (e.g. in clinical trial registries) and handsearched the
reference lists of all included studies and pertinent review articles. SELECTION
CRITERIA: To examine efficacy, we planned to include randomised controlled trials
on adults with a history of winter-type SAD who were free of symptoms at the
beginning of the study. To examine adverse events, we intended to include non
randomised studies. We planned to include studies that compared psychological
therapy versus any other type of psychological therapy, placebo, light therapy,
SGAs, melatonin, agomelatine or lifestyle changes. We also intended to compare
psychological therapy in combination with any of the comparator interventions
listed above versus the same comparator intervention as monotherapy. DATA
COLLECTION AND ANALYSIS: Two review authors screened abstracts and full-text
publications against the inclusion criteria. Two review authors planned to
independently extract data and assess risk of bias. We planned to pool data for
meta-analysis when participant groups were similar and when studies assessed the
same treatments versus the same comparator and provided similar definitions of
outcome measures over a similar duration of treatment; however, we included no
studies. MAIN RESULTS: We identified 2986 citations through electronic searches
and reviews of reference lists after de-duplication of search results. We
excluded 2895 records during title and abstract review and assessed 91 articles
at full-text review for eligibility. We found no controlled studies on use of
psychological therapy to prevent SAD and improve patient-centred outcomes in
adults with a history of SAD. AUTHORS' CONCLUSIONS: Presently, there is no
methodologically sound evidence available to indicate whether psychological
therapy is or is not an effective intervention for prevention of SAD and
improvement of patient-centred outcomes among adults with a history of SAD.
Randomised controlled trials are needed to compare different types of
psychological therapies and to compare psychological therapies versus placebo,
light therapy, SGAs, melatonin, agomelatine or lifestyle changes for prevention
of new depressive episodes in patients with a history of winter-type SAD.
PMID- 26560173
TI - Melatonin and agomelatine for preventing seasonal affective disorder.
AB - BACKGROUND: Seasonal affective disorder (SAD) is a seasonal pattern of recurrent
major depressive episodes that most commonly occurs during autumn or winter and
remits in spring. The prevalence of SAD in the United States ranges from 1.5% to
9%, depending on latitude. The predictable seasonal aspect of SAD provides a
promising opportunity for prevention. This is one of four reviews on the efficacy
and safety of interventions to prevent SAD; we focus on agomelatine and melatonin
as preventive interventions. OBJECTIVES: To assess the efficacy and safety of
agomelatine and melatonin (in comparison with each other, placebo, second
generation antidepressants, light therapy, psychological therapy or lifestyle
interventions) in preventing SAD and improving patient-centred outcomes among
adults with a history of SAD. SEARCH METHODS: We conducted a search of the
Specialised Register of the Cochrane Depression, Anxiety and Neurosis Review
Group (CCDANCTR) to 11 August 2015. The CCDANCTR contains reports of relevant
randomised controlled trials from EMBASE (1974 to date), MEDLINE (1950 to date),
PsycINFO (1967 to date) and the Cochrane Central Register of Controlled Trials
(CENTRAL). Furthermore, we searched the Cumulative Index to Nursing and Allied
Health Literature (CINAHL), Web of Knowledge, The Cochrane Library and the Allied
and Complementary Medicine Database (AMED) (to 26 May 2014). We conducted a grey
literature search (e.g. in clinical trial registries) and handsearched the
reference lists of all included studies and pertinent review articles. SELECTION
CRITERIA: To examine efficacy, we planned to include randomised controlled trials
(RCTs) on adults with a history of winter-type SAD who were free of symptoms at
the beginning of the study. To examine adverse events, we intended to include non
randomised studies. We planned to include studies that compared agomelatine
versus melatonin, or agomelatine or melatonin versus placebo, any second
generation antidepressant (SGA), light therapy, psychological therapies or
lifestyle changes. We also intended to compare melatonin or agomelatine in
combination with any of the comparator interventions listed above versus the same
comparator intervention as monotherapy. DATA COLLECTION AND ANALYSIS: Two review
authors screened abstracts and full-text publications against the inclusion
criteria. Two review authors planned to independently extract data and assess
risk of bias of included studies. We planned to pool data for meta-analysis when
participant groups were similar and when studies assessed the same treatments by
using the same comparator and presented similar definitions of outcome measures
over a similar duration of treatment; however, we identified no studies for
inclusion. MAIN RESULTS: We identified 2986 citations through electronic searches
and reviews of reference lists after de-duplication of search results. We
excluded 2895 records during title and abstract review and assessed 91 articles
at full-text level for eligibility. We identified no controlled studies on use of
melatonin and agomelatine to prevent SAD and to improve patient-centred outcomes
among adults with a history of SAD. AUTHORS' CONCLUSIONS: No available
methodologically sound evidence indicates that melatonin or agomelatine is or is
not an effective intervention for prevention of SAD and improvement of patient
centred outcomes among adults with a history of SAD. Lack of evidence clearly
shows the need for well-conducted, controlled studies on this topic. A well
conducted RCT of melatonin or agomelatine for prevention of SAD would assess the
comparative benefits and risks of these interventions against others currently
used to treat the disorder.
PMID- 26560175
TI - A New Brachylophosaurin Hadrosaur (Dinosauria: Ornithischia) with an Intermediate
Nasal Crest from the Campanian Judith River Formation of Northcentral Montana.
AB - BACKGROUND: Brachylophosaurini is a clade of hadrosaurine dinosaurs currently
known from the Campanian (Late Cretaceous) of North America. Its members include:
Acristavus gagslarsoni, which lacks a nasal crest; Brachylophosaurus canadensis,
which possesses a flat paddle-shaped nasal crest projecting posteriorly over the
dorsal skull roof; and Maiasaura peeblesorum, which possesses a dorsally
projecting nasofrontal crest. Acristavus, from the lower Two Medicine Formation
of Montana (~81-80 Ma), is hypothesized to be the ancestral member of the clade.
Brachylophosaurus specimens are from the middle Oldman Formation of Alberta and
equivalent beds in the Judith River Formation of Montana; the upper Oldman
Formation is dated 77.8 Ma. METHODOLOGY/PRINCIPAL FINDINGS: A new
brachylophosaurin hadrosaur, Probrachylophosaurus bergei (gen. et sp. nov.) is
described and phylogenetically analyzed based on the skull and postcranium of a
large individual from the Judith River Formation of northcentral Montana (79.8
79.5 Ma); the horizon is equivalent to the lower Oldman Formation of Alberta.
Cranial morphology of Probrachylophosaurus, most notably the nasal crest, is
intermediate between Acristavus and Brachylophosaurus. In Brachylophosaurus, the
nasal crest lengthens and flattens ontogenetically, covering the supratemporal
fenestrae in large adults. The smaller nasal crest of Probrachylophosaurus is
strongly triangular in cross section and only minimally overhangs the
supratemporal fenestrae, similar to an ontogenetically earlier stage of
Brachylophosaurus. Sutural fusion and tibial osteohistology reveal that the
holotype of Probrachylophosaurus was relatively more mature than a similarly
large Brachylophosaurus specimen; thus, Probrachylophosaurus is not simply an
immature Brachylophosaurus. CONCLUSIONS/SIGNIFICANCE: The small triangular
posteriorly oriented nasal crest of Probrachylophosaurus is proposed to represent
a transitional nasal morphology between that of a non-crested ancestor such as
Acristavus and the large flat posteriorly oriented nasal crest of adult
Brachylophosaurus. Because Probrachylophosaurus is stratigraphically and
morphologically intermediate between these taxa, Probrachylophosaurus is
hypothesized to be an intermediate member of the Acristavus-Brachylophosaurus
evolutionary lineage.
PMID- 26560176
TI - Superior Photostability and Photocatalytic Activity of ZnO Nanoparticles Coated
with Ultrathin TiO2 Layers through Atomic-Layer Deposition.
AB - Atomic-layer deposition (ALD) is a thin-film growth technology that allows for
conformal growth of thin films with atomic-level control over their thickness.
Although ALD is successful in the semiconductor manufacturing industry, its
feasibility for nanoparticle coating has been less explored. Herein, the ALD
coating of TiO2 layers on ZnO nanoparticles by employing a specialized rotary
reactor is demonstrated. The photocatalytic activity and photostability of ZnO
nanoparticles coated with TiO2 layers by ALD and chemical methods were examined
by the photodegradation of Rhodamine B dye under UV irradiation. Even though the
photocatalytic activity of the presynthesized ZnO nanoparticles is higher than
that of commercial P25 TiO2 nanoparticles, their activity tends to decline due to
severe photocorrosion. The chemically synthesized TiO2 coating layer on ZnO
resulted in severely declined photoactivity despite the improved photostability.
However, ultrathin and conformal ALD TiO2 coatings (~ 0.75-1.5 nm) on ZnO
improved its photostability without degradation of photocatalytic activity.
Surprisingly, the photostability is comparable to that of pure TiO2, and the
photocatalytic activity to that of pure ZnO.
PMID- 26560174
TI - Oxacillin sensitization of methicillin-resistant Staphylococcus aureus and
methicillin-resistant Staphylococcus pseudintermedius by antisense peptide
nucleic acids in vitro.
AB - BACKGROUND: Antibiotic resistance genes can be targeted by antisense agents,
which can reduce their expression and thus restore cellular susceptibility to
existing antibiotics. Antisense inhibitors can be gene and pathogen specific, or
designed to inhibit a group of bacteria having conserved sequences within
resistance genes. Here, we aimed to develop antisense peptide nucleic acids
(PNAs) that could be used to effectively restore susceptibility to beta-lactams
in methicillin-resistant Staphylococcus aureus (MRSA) and methicillin-resistant
Staphylococcus pseudintermedius (MRSP). RESULTS: Antisense PNAs specific for
conserved regions of the mobilisable gene mecA, and the growth essential gene,
ftsZ, were designed. Clinical MRSA and MRSP strains of high oxacillin resistance
were treated with PNAs and assayed for reduction in colony forming units on
oxacillin plates, reduction in target gene mRNA levels, and cell size. Anti-mecA
PNA at 7.5 and 2.5 MUM reduced mecA mRNA in MRSA and MRSP (p < 0.05). At these
PNA concentrations, 66 % of MRSA and 92 % of MRSP cells were killed by oxacillin
(p < 0.01). Anti-ftsZ PNA at 7.5 and 2.5 MUM reduced ftsZ mRNA in MRSA and MRSP,
respectively (p <= 0.05). At these PNA concentrations, 86 % of MRSA cells and 95
% of MRSP cells were killed by oxacillin (p < 0.05). Anti-ftsZ PNAs resulted in
swelling of bacterial cells. Scrambled PNA controls did not affect MRSA but
sensitized MRSP moderately to oxacillin without affecting mRNA levels.
CONCLUSIONS: The antisense PNAs effects observed provide in vitro proof of
concept that this approach can be used to reverse beta-lactam resistance in
staphylococci. Further studies are warranted as clinical treatment alternatives
are needed.
PMID- 26560177
TI - Longitudinal Evaluation of Residual Cortical and Subcortical Motor Evoked
Potentials in Spinal Cord Injured Rats.
AB - We have applied transcranial electrical stimulation to rats with spinal cord
injury and selectively tested the motor evoked potentials (MEPs) conveyed by
descending motor pathways with cortical and subcortical origin. MEPs were
elicited by electrical stimulation to the brain and recorded on the tibialis
anterior muscles. Stimulation parameters were characterized and changes in MEP
responses tested in uninjured rats, in rats with mild or moderate contusion, and
in animals with complete transection of the spinal cord. All injuries were
located at the T8 vertebral level. Two peaks, termed N1 and N2, were obtained
when changing from single pulse stimulation to trains of 9 pulses at 9 Hz.
Selective injuries to the brain or spinal cord funiculi evidenced the subcortical
origin of N1 and the cortical origin of N2. Animals with mild contusion showed
small behavioral deficits and abolished N1 but maintained small amplitude N2
MEPs. Substantial motor deficits developed in rats with moderate contusion, and
these rats had completely eliminated N1 and N2 MEPs. Animals with complete cord
transection had abolished N1 and N2 and showed severe impairment of locomotion.
The results indicate the reliability of MEP testing to longitudinally evaluate
over time the degree of impairment of cortical and subcortical spinal pathways
after spinal cord injuries of different severity.
PMID- 26560178
TI - Multiscale and multiresolution modeling of shales and their flow and
morphological properties.
AB - The need for more accessible energy resources makes shale formations increasingly
important. Characterization of such low-permeability formations is complicated,
due to the presence of multiscale features, and defies conventional methods. High
quality 3D imaging may be an ultimate solution for revealing the complexities of
such porous media, but acquiring them is costly and time consuming. High-quality
2D images, on the other hand, are widely available. A novel three-step,
multiscale, multiresolution reconstruction method is presented that directly uses
2D images in order to develop 3D models of shales. It uses a high-resolution 2D
image representing the small-scale features to reproduce the nanopores and their
network, a large scale, low-resolution 2D image to create the larger-scale
characteristics, and generates stochastic realizations of the porous formation.
The method is used to develop a model for a shale system for which the full 3D
image is available and its properties can be computed. The predictions of the
reconstructed models are in excellent agreement with the data. The method is,
however, quite general and can be used for reconstructing models of other
important heterogeneous materials and media. Two biological examples and from
materials science are also reconstructed to demonstrate the generality of the
method.
PMID- 26560179
TI - Incidentally-detected t(9;22)(q34;q11)/BCR-ABL1- positive clone developing into
chronic phase chronic myeloid leukaemia after four years of dormancy.
PMID- 26560180
TI - aEEG and cEEG: Two complementary techniques to assess seizures and encephalopathy
in neonates: Editorial on "Amplitude-integrated EEG for detection of neonatal
seizures: A systematic review" by Rakshasbhuvankar et al.
PMID- 26560182
TI - A Simple and Rapid Test-card Method to Detect Hepatitis B Surface Antigen and
Antibody: Potential Application in Young Children and Infants.
AB - BACKGROUND: Hepatitis B surface antigen (HBsAg) and hepatitis B surface antibody
(anti-HBs) were conventionally determined by enzyme immunoassays. We aimed to
apply a rapid, simple, and accurate method to detect HBsAg and its antibody.
METHODS: We collected 1463 serum samples from healthy volunteers, hepatitis B
carriers, and children of HBsAg-positive mothers. The test card that we examined
is a chromatographic immunoassay for the qualitative detection of either HBsAg or
anti-HBs. We then compared the results of the test card to the results of the
conventional enzyme-immunoassay method, which is regarded as a standard. RESULTS:
In the use of the test card to check HBsAg, the sensitivity was 88.8% and the
specificity was 100%. The median hepatitis B virus viral load was significantly
higher in the true-positive group [10(3.71) copies/mL (range, 10(2)-10(9.03)
copies/mL)] than in the false-negative group [10(2) copies/mL (range, 10(2)
10(3.26) copies/mL)] (p = 0.005). In those who were younger than 2 years, the
diagnostic accuracy of the HBsAg test card was 100%. Then, 1272 samples were
tested for anti-HBs rapid test card. The sensitivity was 91.8% and the
specificity was 96.5%. The median anti-HBs titer was significantly higher in the
true-positive group (295.8 mIU/mL) than in the false-negative group (42.3 mIU/mL;
p < 0.001). CONCLUSION: Because of (1) the limited amount of blood sample
required and (2) most of the young hepatitis B virus carriers having high
viremia, and no concerns of false negativity, the test card is a good rapid
screening tool for the detection of HBsAg and anti-HBs in pediatric group.
PMID- 26560183
TI - Effects of Breast Milk and Vanilla Odors on Premature Neonate's Heart Rate and
Blood Oxygen Saturation During and After Venipuncture.
AB - BACKGROUND: Different studies have shown that the use of olfactory stimuli during
painful medical procedures reduces infants' response to pain. The main purpose of
the current study was to investigate the effect of breast milk odor and vanilla
odor on premature infants' vital signs including heart rate and blood oxygen
saturation during and after venipuncture. METHODS: A total of 135 preterm infants
were randomly selected and divided into three groups of control, vanilla odor,
and breast milk odor. Infants in the breast milk group and the vanilla group were
exposed to breast milk odor and vanilla odor from 5 minutes prior to sampling
until 30 seconds after sampling. RESULTS: The results showed that breast milk
odor has a significant effect on the changes of neonatal heart rate and blood
oxygen saturation during and after venipuncture and decreased the variability of
premature infants' heart rate and blood oxygen saturation. Vanilla odor has no
significant effect on premature infants' heart rate and blood oxygen saturation.
CONCLUSION: Breast milk odor can decrease the variability of premature infants'
heart rate and blood oxygen saturation during and after venipuncture.
PMID- 26560184
TI - Assessment of the Safety of Chondrocyte Sheet Implantation for Cartilage
Regeneration.
AB - We have previously studied the effects of chondrocyte sheets on the repair and
regeneration of articular cartilage by using temperature-responsive culture
inserts. On the basis of this work, we succeeded in rapid fabrication of
chondrocyte sheets with the use of a coculture method in which inserts were
placed between synoviocytes and chondrocytes. Treatment of cartilage defects
using layered chondrocyte sheets promotes repair and regeneration; this method is
compatible with in vivo osteoarthritis models that reproduce partial-thickness
defects. In human stem cell clinical research guidelines, the Ministry of Health,
Labour and Welfare (MHLW) approved several applications related to this
technology. Indeed, its translation to a clinical setting is already yielding
favorable results. In this study, we evaluated the risk of tumorigenesis
associated with this treatment and characterized the dynamics of biological
processes associated with the posttransplantation cell sheets in vivo.
Furthermore, we also confirmed the safety of the procedure by using array
comparative genomic hybridization (array CGH) and G-band staining to screen for
deleterious genetic aberrations during prolonged subculture of cells. The safety
of chondrocytes that were cultured for longer than normal was confirmed by the
array CGH and G-band staining results. In addition, tumorigenicity testing
confirmed that culture chondrocyte sheets are not tumorigenic. Furthermore, from
the evaluation of bioluminescence imaging following implantation of the cell
sheets, it was confirmed that the transplanted chondrocytes and synoviocytes
remained in the knee joint and did not transfer elsewhere over time. We believe
that the technique used in this study is a highly useful method for evaluating
the safety of not only chondrocytes but also extensive subculturing in general.
PMID- 26560185
TI - [Adequacy of therapeutic effort. A challenge on the way].
PMID- 26560186
TI - RGD mimetics gamma-AApeptides and methods of use (US 20,140,004,039 A1): a patent
evaluation.
AB - The patent (US 20140004039 A1) claims Arg-Gly-Asp (RGD) mimetics based on a new
type of peptidomimetics - gamma-AApeptides - which display high binding affinity
and specificity to integrin alphavbeta3. Integrin alphavbeta3 is one of the most
important proteins involved in tumor angiogenesis and metastasis of solid tumors.
It binds tightly to the tripeptide RGD, a prominent recognition motif found in
extracellular matrix proteins. As alphavbeta3 is frequently upregulated during
tumor angiogenesis, molecules mimicking the RGD recognition motif may target
alphavbeta3 specifically and therefore can be used for cancer prevention or
targeted diagnosis. Indeed, several positron emission tomography tracers
targeting alphavbeta3 are currently under clinical investigation. gamma
AApeptides as a new class of peptidomimetics show enhanced stability against
proteolytic degradation and are amendable for derivatization due to their
enormous chemodiversity. gamma-AApeptide-based RGD mimetics, including linear,
cyclic and multimeric gamma-AApeptides, display comparable binding affinity and
specificity to integrin alphavbeta3. These RGD mimetics can be synthesized easily
on the solid phase and have been shown to be excellent positron emission
tomography tracers by targeting glioblastoma tumor on the mouse model. As gamma
AApeptide-based peptidomimetics are more stable than RGD peptides, they could be
novel agents for the diagnostics and treatment of various cancers.
PMID- 26560187
TI - Production of Human Albumin in Pigs Through CRISPR/Cas9-Mediated Knockin of Human
cDNA into Swine Albumin Locus in the Zygotes.
AB - Precise genome modification in large domesticated animals is desirable under many
circumstances. In the past it is only possible through lengthy and burdensome
cloning procedures. Here we attempted to achieve that goal through the use of the
newest genome-modifying tool CRISPR/Cas9. We set out to knockin human albumin
cDNA into pig Alb locus for the production of recombinant human serum albumin
(rHSA). HSA is a widely used human blood product and is in high demand. We show
that homologous recombination can occur highly efficiently in swine zygotes. All
16 piglets born from the manipulated zygotes carry the expected knockin allele
and we demonstrated the presence of human albumin in the blood of these piglets.
Furthermore, the knockin allele was successfully transmitted through germline.
This success in precision genomic engineering is expected to spur exploration of
pigs and other large domesticated animals to be used as bioreactors for the
production of biomedical products or creation of livestock strains with more
desirable traits.
PMID- 26560188
TI - A 2015 focus on preventing drug-induced arrhythmias.
AB - Drug-induced Torsade de Pointes arrhythmia is a life-threatening adverse effect
feared by pharmaceutical companies. For the last decade, the cardiac safety
guidelines have imposed human ether-a-go-go-related gene channel blockade and
prolongation of QT interval as surrogates for proarrhythmic risk propensity of a
new chemical entity. Suffering from a lack of specificity, this assessment
strategy led to a great amount of false positive outcomes. Therefore, this review
will discuss new pharmaceutical strategies: the cardiac safety proposal that
recently emerged, the Comprehensive in vitro Proarrhythmia Assay, combining in
vitro assays that integrate effects on main cardiac ion channels, with
computational models of human ventricular action potential as well as assays
using human stem cell-derived cardiomyocytes for an improved prediction of drug's
proarrhythmic liability, alternative pharmacological perspectives as well as the
current treatment of drug-induced long QT syndrome.
PMID- 26560189
TI - i-TTM Model for Ab Initio-Based Ion-Water Interaction Potentials. 1. Halide-Water
Potential Energy Functions.
AB - New potential energy functions (i-TTM) describing the interactions between halide
ions and water molecules are reported. The i-TTM potentials are derived from fits
to electronic structure data and include an explicit treatment of two-body
repulsion, electrostatics, and dispersion energy. Many-body effects are
represented through classical polarization within an extended Thole-type model.
By construction, the i-TTM potentials are compatible with the flexible and fully
ab initio MB-pol potential, which has recently been shown to accurately predict
the properties of water from the gas to the condensed phase. The accuracy of the
i-TTM potentials is assessed through extensive comparisons with CCSD(T)-F12, DF
MP2, and DFT data as well as with results obtained with common polarizable force
fields for X(-)(H2O)n clusters with X(-) = F(-), Cl(-), Br(-), and I(-), and n =
1-8. By construction, the new i-TTM potentials will enable direct simulations of
vibrational spectra of halide-water systems from clusters to bulk and interfaces.
PMID- 26560190
TI - Depressive symptoms are associated with daytime sleepiness and subjective sleep
quality in dementia with Lewy bodies.
AB - OBJECTIVE: Sleep problems and depression are common symptoms in dementia with
Lewy bodies (DLB), where patients typically experience subjectively poor sleep
quality, fatigue and excessive daytime sleepiness. However, whilst sleep
disturbances have been linked to depression, this relationship has not received
much attention in DLB. The present cross-sectional study addresses this by
examining whether depressive symptoms are specifically associated with subjective
sleep quality and daytime sleepiness in DLB, and by examining other contributory
factors. METHODS: DLB patients (n = 32) completed the Pittsburgh Sleep Quality
Index (PSQI), Epworth Sleepiness Scale (ESS) and the 15-item Geriatric Depression
Scale (GDS-15). Motor and cognitive functioning was also assessed. Pearson
correlations were used to assess the relationship between GDS-15, ESS and PSQI
scores. RESULTS: GDS-15 scores were positively associated with both ESS (r =
0.51, p < 0.01) and PSQI (r = 0.59, p < 0.001) scores. CONCLUSIONS: Subjective
poor sleep and daytime sleepiness were associated with depressive symptoms in
DLB. Given the cross-sectional nature of the present study, the directionality of
this relationship cannot be determined, although this association did not appear
to be mediated by sleep quality or daytime sleepiness. Nevertheless, these
findings have clinical relevance; daytime sleepiness or poor sleep quality might
indicate depression in DLB, and subsequent work should examine whether the
treatment of depression can reduce excessive daytime sleepiness and improve sleep
quality in DLB patients. Alternatively, more rigorous screening for sleep
problems in DLB might assist the treatment of depression. (c) 2015 The Authors.
International Journal of Geriatric Psychiatry published by John Wiley & Sons,
Ltd.
PMID- 26560191
TI - Response to exercise and mechanical efficiency in non-ischaemic stunning, induced
by short-term rapid pacing in dogs: a role for calcium?
AB - AIM: Rapid pacing (RP) is a regularly used model to induce heart failure in dogs.
The aim of the study was to evaluate Ca2+ handling, left ventricular (LV)
contractile response during Ca2+ administration compared to exercise, as well as
oxygen consumption and mechanical efficiency after 48 h of RP. METHODS: Fifty
three mongrel dogs were instrumented to measure LV pressure, LV fractional
shortening, regional wall thickening and coronary blood flow. Contractile reserve
was measured with isoproterenol and intravenous (IV) Ca2+ administration. To
assess the function of the sarcoplasmic reticulum (SR), post-extrasystolic
potentiation (PESP) and SR Ca2+ uptake were measured. A graded treadmill test was
performed in baseline and after RP (n = 14). In a separate group of animals (n =
5), myocardial performance and oxygen consumption were measured using a wide
range of loading conditions. RESULTS: Left ventricular contractility was
significantly decreased upon cessation of pacing. The contractile response to
isoproterenol was blunted compared to a preserved response to IV Ca2+ . Post
extrasystolic potentiation was slightly increased after RP. Maximal velocity
(Vmax ) of SR Ca2+ uptake was unchanged. Contractile response during exercise is
attenuated after RP. External work is reduced, whereas oxygen consumption is
preserved, provoking a reduced mechanical efficiency. CONCLUSION: Forty-eight
hours RP provokes a reversible LV dysfunction, while the SR function and response
to exogenous Ca2+ are preserved. This is compatible with an intracellular
functional remodelling to counteract Ca2+ overload provoked by RP. Left
ventricular dysfunction is accompanied by a reduced contractile reserve, but an
unchanged oxygen consumption, illustrating an alteration in oxygen utilization.
PMID- 26560192
TI - How to escape from Haller's rule: Olfactory system complexity in small and large
Trichogramma evanescens parasitic wasps.
AB - While Haller's rule states that small animals have relatively larger brains,
minute Trichogramma evanescens Westwood (Hymenoptera: Trichogrammatidae)
parasitic wasps scale brain size linearly with body size. This linear brain
scaling allows them to decrease brain size beyond the predictions of Haller's
rule, and is facilitated by phenotypic plasticity in brain size. In the present
study we addressed whether this plasticity resulted in adaptations to the
complexity of the morphology of the olfactory system of small and large T.
evanescens. We used confocal laser scanning microscopy to compare size and number
of glomeruli in the antennal lobe in the brain, and scanning electron microscopy
to compare length and number of olfactory sensilla on the antennae. The results
show a similar level of complexity of the olfactory system morphology of small
and large wasps. Wasps with a similar genotype but very different brain and body
size have similarly sized olfactory sensilla and most of them occur in equal
numbers on the antennae. Small and large wasps also have a similar number of
glomeruli in the antennal lobe. Glomeruli in small brains are, however, smaller
in both absolute and relative volume. These similarities between small and large
wasps may indicate that plasticity in brain size does not require plasticity in
the gross morphology of the olfactory system. It may be vital for wasps of all
sizes to have a large number of olfactory receptor types, to maintain olfactory
precision in their search for suitable hosts, and consequently maintain their
reproductive success and Darwinian fitness.
PMID- 26560194
TI - Cancer Cell Radiobiological Studies Using In-House-Developed alpha-Particle
Irradiator.
AB - An alpha-particle irradiator, enabling high-precision irradiation of cells for in
vitro studies, has been constructed. The irradiation source was a (241)Am source,
on which well inserts containing cancer cells growing in monolayer were placed.
The total radioactivity, uniformity, and alpha-particle spectrum were determined
by use of HPGe detector, Gafchromic dosimetry film, and PIPS detector
measurements, respectively. Monte Carlo simulations were used for dosimetry.
Three prostate cancer (LNCaP, DU145, PC3) and three pancreatic cancer (Capan-1,
Panc-1, BxPC-3) cell lines were irradiated by alpha-particles to the absorbed
doses 0, 0.5, 1, and 2 Gy. For reference, cells were irradiated using (137)Cs to
the absorbed doses 0, 1, 2, 4, 6, 8, and 10 Gy. Radiation sensitivity was
estimated using a tetrazolium salt-based colorimetric assay with absorbance
measurements at 450 nm. The relative biological effectiveness for alpha-particles
relative to gamma-irradiation at 37% cell survival for the LNCaP, DU145, PC3,
Capan-1, Panc-1, and BxPC-3 cells was 7.9 +/- 1.7, 8.0 +/- 0.8, 7.0 +/- 1.1, 12.5
+/- 1.6, 9.4 +/- 0.9, and 6.2 +/- 0.7, respectively. The results show the
feasibility of constructing a desktop alpha-particle irradiator as well as
indicate that both prostate and pancreatic cancers are good candidates for
further studies of alpha-particle radioimmunotherapy.
PMID- 26560193
TI - Strengths and Weaknesses of a Planar Whole-Body Method of (153)Sm Dosimetry for
Patients with Metastatic Osteosarcoma and Comparison with Three-Dimensional
Dosimetry.
AB - PURPOSE: Dosimetric accuracy depends directly upon the accuracy of the activity
measurements in tumors and organs. The authors present the methods and results of
a retrospective tumor dosimetry analysis in 14 patients with a total of 28 tumors
treated with high activities of (153)Sm-ethylenediaminetetramethylenephosphonate
((153)Sm-EDTMP) for therapy of metastatic osteosarcoma using planar images and
compare the results with three-dimensional dosimetry. MATERIALS AND METHODS:
Analysis of phantom data provided a complete set of parameters for dosimetric
calculations, including buildup factor, attenuation coefficient, and camera dead
time compensation. The latter was obtained using a previously developed
methodology that accounts for the relative motion of the camera and patient
during whole-body (WB) imaging. Tumor activity values calculated from the
anterior and posterior views of WB planar images of patients treated with (153)Sm
EDTMP for pediatric osteosarcoma were compared with the geometric mean value. The
mean activities were integrated over time and tumor-absorbed doses were
calculated using the software package OLINDA/EXM. RESULTS: The authors found that
it was necessary to employ the dead-time correction algorithm to prevent measured
tumor activity half-lives from often exceeding the physical decay half-life of
(153)Sm. Measured half-lives so long are unquestionably in error. Tumor-absorbed
doses varied between 0.0022 and 0.27 cGy/MBq with an average of 0.065 cGy/MBq;
however, a comparison with absorbed dose values derived from a three-dimensional
analysis for the same tumors showed no correlation; moreover, the ratio of three
dimensional absorbed dose value to planar absorbed dose value was 2.19. From the
anterior and posterior activity comparisons, the order of clinical uncertainty
for activity and dose calculations from WB planar images, with the present
methodology, is hypothesized to be about 70%. CONCLUSION: The dosimetric results
from clinical patient data indicate that absolute planar dosimetry is unreliable
and dosimetry using three-dimensional imaging is preferable, particularly for
tumors, except perhaps for the most sophisticated planar methods. The relative
activity and patient kinetics derived from planar imaging show a greater level of
reliability than the dosimetry.
PMID- 26560195
TI - Synthesis, Radiolabeling, and Bioevaluation of Bis(Trifluoromethanesulfonyl)
Imide.
AB - Imidazolium salts have antitumor potential and toxicological effects on various
microorganisms. The authors' aim is to synthesize a new imidazolium salt and to
assess its pharmacokinetic and antitumor potentials by in vitro and in vivo
studies. In this study, bis(trifluoromethanesulfonyl) imide (ITFSI) was
synthesized and labeled with (131)I using the iodogen method. The efficiency of
radiolabeling was determined with high yield (95.5% +/- 3.7%). Pharmacokinetic
properties of the compound were investigated in albino Wistar rats using
radiolabeled compound. The radiolabeled compound ((131)I-ITFSI) has been stable
during a period of 3 hours in human serum. The uptake of (131)I-ITFSI reached
maximum in the spleen, liver, and blood at 60 minutes, large intestine and heart
at 30 minutes, and ovary at 120 minutes. It is observed that intracellular uptake
of the radiolabeled compound is higher in the CaCo-2 (colon adenocarcinoma tumor)
cell line than HEK-293 (human epithelial kidney) cell line. In further study,
antitumor potential of ITFSI on a colon adenocarcinoma tumor-bearing animal model
may be investigated.
PMID- 26560196
TI - Claudin 8 Contributes to Malignant Proliferation in Human Osteosarcoma U2OS
Cells.
AB - Human osteosarcoma (OS) represents one of the most common primary sarcomas often
originating in the metaphyses of long bones. However, its underlying molecular
pathogenesis is still only vaguely understood. Several tight junction proteins
were shown to be associated with and involved in tumorigenesis. This study is
aimed to evaluate the role of Claudin 8 (CLDN8) in human OS. Lentivirus-based
short hairpin RNA targeting CLDN8 specifically depleted its endogenous expression
in U2OS and SW1353 OS cells, with a reduction by 97.7% and 89.3%, respectively,
in contrast to control. Depletion of CLDN8 led to a significant diminution in
cell viability and proliferation. To test the mechanism by which CLDN8 modulates
cell proliferation, the flow cytometry assay and apoptosis assay were performed
and confirmed that G1-S transition was blocked and a strong proapoptotic effect
was induced in U2OS cells by CLDN8 knockdown. These data demonstrate that CLDN8
plays an essential role in OS proliferation in vitro, which will provide a new
opportunity for discovering and identifying novel effective treatment strategies.
PMID- 26560197
TI - Methods of preservation and flotation for the detection of nematode eggs and
coccidian oocysts in faeces of the forest musk deer.
AB - Parasitic infections influence the health of captive forest musk deer (Moschus
berezovskii) and affect population increases. Nevertheless, there are few
quantitative studies regarding forest musk deer parasites, and there is no common
preservation method or flotation solution used for detection of faecal parasites
because of the biology of the worms and the host physiological state. The
objective of this study was to evaluate preservation and flotation methods for
the detection of nematode eggs and coccidian oocysts in faeces of the forest musk
deer. The McMaster technique was used to count nematode eggs and coccidian
oocysts in 33 samples of faeces. For the nematode eggs, the differences among
flotation solutions were significant (P< 0.01), with sodium nitrate being the
best flotation solution, and the combination of freezing and sodium nitrate
resulted in the greatest number of eggs per gram (EPG = 209.4 +/- 67.8). For the
coccidian oocysts, the interaction between preservation method and flotation
solution was significant (P< 0.01), and the combination of formalin and sodium
chloride yielded the greatest number of oocysts per gram (OPG = 1010.7 +/-
162.3). The forest musk deer had a high prevalence of parasitic infections, with
the parasite load of coccidia (96.4%) significantly greater than that of
nematodes (71.9%, P< 0.01). These results confirm that captive forest musk deer
suffer from serious parasitic invasions and demonstrate that the novel method
described here could be utilized for parasitological diagnosis, detection and
prevention in species of Moschidae and Cervidae.
PMID- 26560198
TI - Early dysautonomia detected by heart rate variability predicts late depression in
female patients following mild traumatic brain injury.
AB - Depression is one of the frequent complications following a mild traumatic brain
injury (mTBI). Recent research indicated that abnormalities in the autonomic
nervous system (ANS) can be evaluated by a noninvasive power spectral analysis of
the heart rate variability (HRV). In this study, we investigated whether a
frequency-domain analysis of HRV was correlated with late depression in mTBI
patients. In total, 181 patients diagnosed with mTBI and 83 volunteers as healthy
controls were recruited in 2010-2014. Beck Depression Inventory (BDI) scores were
used to evaluate depression in the 1st week of assessment and at 1.5-, 3-, 6-, 12
, and 18-month follow-ups. Correlation and logistic regression analyses of the
1st week HRV parameters with BDI scores at 18 months were performed in individual
female mTBI patients. Female mTBI patients were more vulnerable to depression
accompanied by reduced HRV compared to healthy controls. Over time, depression
was aggravated in female mTBI patients but was alleviated in male mTBI patients.
A significantly lower parasympathetic proportion of the ANS was noted at 18
months with respect to the 1st week in female mTBI patients. In addition,
depression in female mTBI patients at 18 months after injury was significantly
correlated with a decrease in the parasympathetic proportion of the ANS in the
1st week (rho = -0.411; p < .05). Dysautonomia resulted in higher risks of
depression in female mTBI patients. We concluded that early dysautonomia
following an mTBI contributes to late depression in female mTBI patients.
PMID- 26560199
TI - A laboratory exercise to illustrate protein-membrane interactions.
AB - The laboratory protocol presented here takes about 3 hours to perform and
investigates protein and lipid interactions. Students first purify His6 -tagged
human apolipoprotein A-I (apoA-I) with Ni-NTA affinity resin in a simple batch
protocol and prepare multilamellar vesicles (MLV) from pre-dried phospholipid
films. When apoA-I is added to the MLV, much smaller protein/lipid nanodisc
complexes are formed in some instances. Nanodisc formation can be monitored by a
decrease in light-scattering intensity at 340 nm using a simple
spectrophotometer. Students will observe nanodisc formation with MLV formed from
the anionic phospholipid dimyristoylphosphatidyl glycerol, which pack poorly into
lipid bilayers, but not with MLV formed from the zwitterionic phospholipid
dimyristoyl phosphatidylcholine, which form stable bilayers. This laboratory
exercise is accompanied by questions and exercises that enable students a deeper
of the dimensions of apoA-I and nanodiscs as well as the biological relevance of
nanodisc formation in the process of reverse cholesterol transport.
PMID- 26560200
TI - Management of Orbital and Periocular Vascular Anomalies.
AB - PURPOSE: To review the treatment modalities available to clinicians who treat
orbital and periocular vascular anomalies, with a focus on newer approaches.
METHODS: The authors' experience, along with a literature review, was used to
provide a concise summary of the available approaches to the treatment of
periocular vascular anomalies. Emerging diagnostic tools and therapies are
highlighted. RESULTS: The treatment of orbital and periocular vascular anomalies,
including vascular malformations and tumors, increasingly utilizes a
multidisciplinary team and a combination of endovascular, percutaneous, and open
surgical techniques. CONCLUSIONS: A growing reliance on new instrumentation and
tools in a team-oriented approach to treatment may lead to better results with
improved visual function and cosmesis and with reduced risk of complications.
PMID- 26560201
TI - Sex-dichotomous effects of functional COMT genetic variations on cognitive
functions disappear after menopause in both health and schizophrenia.
AB - Different genetic variations in the catechol-O-methyltransferase (COMT) gene have
been indicated to functionally regulate the encoded enzyme. Despite the vast
literature on the single nucleotide COMT ValMet polymorphism, the impact of
complex haplotypes on cognitive functions has been overlooked. Here we contrasted
the effects of complex COMT haplotypes with the ValMet polymorphism on cognitive
functions and their interaction with menopause, in healthy subjects and patients
with schizophrenia. Healthy adults (N=229) as well as patients with schizophrenia
(N=172) underwent a comprehensive cognitive assessment taking into account the
menopausal state. Functional COMT variations selectively modulated working memory
and executive functions. Strikingly, these effects were present only in adult men
but not in women before menopause, in both healthy subjects and patients with
schizophrenia. Importantly, the same pattern of COMT-dependent effects present in
men reappeared in women after menopause. Thus, functional COMT mutations seem to
modulate cognitive functions depending on the hormonal status. These data clarify
the importance of taking into account the combined effect of sex, hormonal status
and genetics.
PMID- 26560202
TI - Surface hydrogenation regulated wrinkling and torque capability of hydrogenated
graphene annulus under circular shearing.
AB - Wrinkles as intrinsic topological feature have been expected to affect the
electrical and mechanical properties of atomically thin graphene. Molecular
dynamics simulations are adopted to investigate the wrinkling characteristics in
hydrogenated graphene annulus under circular shearing at the inner edge. The
amplitude of wrinkles induced by in-plane rotation around the inner edge is
sensitive to hydrogenation, and increases quadratically with hydrogen coverage.
The effect of hydrogenation on mechanical properties is investigated by
calculating the torque capability of annular graphene with varying hydrogen
coverage and inner radius. Hydrogenation-enhanced wrinkles cause the aggregation
of carbon atoms towards the inner edge and contribute to the critical torque
strength of annulus. Based on detailed stress distribution contours, a shear-to
tension conversion mechanism is proposed for the contribution of wrinkles on
torque capacity. As a result, the graphane annulus anomalously has similar torque
capacity to pristine graphene annulus. The competition between hydrogenation
caused bond strength deterioration and wrinkling induced local stress state
conversion leads to a U-shaped evolution of torque strength relative to the
increase of hydrogen coverage from 0 to 100%. Such hydrogenation tailored
topological and mechanical characteristics provides an innovative mean to develop
novel graphene-based devices.
PMID- 26560203
TI - Room Temperature Electrical Detection of Spin Polarized Currents in Topological
Insulators.
AB - Topological insulators (TIs) are a new class of quantum materials that exhibit a
current-induced spin polarization due to spin-momentum locking of massless Dirac
Fermions in their surface states. This helical spin polarization in three
dimensional (3D) TIs has been observed using photoemission spectroscopy up to
room temperatures. Recently, spin polarized surface currents in 3D TIs were
detected electrically by potentiometric measurements using ferromagnetic detector
contacts. However, these electric measurements are so far limited to cryogenic
temperatures. Here we report the room temperature electrical detection of the
spin polarization on the surface of Bi2Se3 by employing spin sensitive
ferromagnetic tunnel contacts. The current-induced spin polarization on the
Bi2Se3 surface is probed by measuring the magnetoresistance while switching the
magnetization direction of the ferromagnetic detector. A spin resistance of up to
70 mOmega is measured at room temperature, which increases linearly with current
bias, reverses sign with current direction, and decreases with higher TI
thickness. The magnitude of the spin signal, its sign, and control experiments,
using different measurement geometries and interface conditions, rule out other
known physical effects. These findings provide further information about the
electrical detection of current-induced spin polarizations in 3D TIs at ambient
temperatures and could lead to innovative spin-based technologies.
PMID- 26560204
TI - Novel features of the ISC machinery revealed by characterization of Escherichia
coli mutants that survive without iron-sulfur clusters.
AB - Biological assembly of iron-sulfur (Fe-S) clusters is mediated by complex systems
consisting of multiple proteins. Escherichia coli possesses two distinct systems
called the ISC and SUF machineries encoded by iscSUA-hscBA-fdx-iscX and sufABCDSE
respectively. Deletion of both pathways results in absence of the biosynthetic
apparatus for Fe-S clusters, and consequent lethality, which has hampered
detailed genetic studies. Here we report that modification of the isoprenoid
biosynthetic pathway can offset the indispensability of the Fe-S cluster
biosynthetic systems and show that the resulting Deltaisc Deltasuf double mutants
can grow without detectable Fe-S cluster-containing proteins. We also constructed
a series of mutants in which each isc gene was disrupted in the deletion
background of sufABCDSE. Phenotypic analysis of the mutants revealed that Fdx, an
essential electron-transfer Fe-S protein in the ISC machinery, is dispensable
under anaerobic conditions, which is similar to the situation with IscA.
Furthermore, we found that several suppressor mutations in IscU, an Fe-S scaffold
protein responsible for the de novo Fe-S cluster assembly, could bypass the
essential role of the chaperone system HscA and HscB. These findings pave the way
toward a detailed molecular analysis to understand the mechanisms involved in Fe
S cluster biosynthesis.
PMID- 26560206
TI - Quality of life, self-esteem and psychosocial factors in adolescents with acne
vulgaris.
AB - BACKGROUND: Dermatological diseases, among which acne vulgaris, have
psychological impact on the affected generating feelings of guilt, shame and
social isolation. OBJECTIVES: To compare quality of life, self-esteem and other
psychosocial variables amongst adolescents with and without acne vulgaris, and
between levels of severity. METHODS: Cross-sectional observational study in a
sample of 355 high school students from the city of Joao Pessoa. Data collection
was performed with questionnaires and clinical-dermatological evaluation. The
primary variables were the incidence of AV; quality of life, set by the
Children's Dermatology Quality of Life Index and Dermatology Quality of Life
Index; and self-esteem, measured by the Rosenberg Self-Esteem Scale. For
calculation of statistical tests, we used the SPSS 20.0 software, considering
p=0.05. RESULTS: The sample, with an average age of 16, showed 89.3% prevalence
of acne vulgaris. The most prevalent psychosocial issue was "afraid that acne
will never cease", present in 58% of affected youth. The median score of Quality
of Life in Children's Dermatology Index was different amongst students with and
without acne vulgaris (p=0.003), as well as the Quality of Life in Dermatology
(p=0.038) scores, so that students with acne vulgaris have worse QoL. There was a
correlation between the severity of acne vulgaris and worse quality of life. Self
esteem was not significantly associated with the occurrence or severity of acne
vulgaris. CONCLUSIONS: acne vulgaris assumes significance in view of its high
prevalence and the effect on quality of life of adolescents, more severe at the
more pronounced stages of disease (p<0.001). The psychosocial impact of acne
vulgaris should be valued in the management of patients with this condition.
PMID- 26560205
TI - Coccidioidomycosis and the skin: a comprehensive review.
AB - Coccidioidomycosis is a highly prevalent disease in the Western hemisphere. It is
considered one of the most virulent primary fungal infections. Coccidioides
species live in arid and semi-arid regions, causing mainly pulmonary infection
through inhalation of arthroconidia although many other organs can be affected.
Primary inoculation is rare. Since the first case of coccidioidomycosis was
reported in 1892, the skin has been identified as an important target of this
disease. Knowledge of cutaneous clinical forms of this infection is important and
very useful for establishing prompt diagnosis and treatment. The purpose of this
article is to provide a review of this infection, emphasizing its cutaneous
manifestations, diagnostic methods and current treatment.
PMID- 26560207
TI - Dermatology relevance to graduates from the Universidade Estadual de Campinas
Medical School.
AB - BACKGROUND: Some research indicates that physicians do not dominate the expected
dermatological content for the proper exercise of the profession. This fact
compromises their diagnostic and therapeutic performance, generating unnecessary
costs. OBJECTIVE: The aim of this study was to evaluate the relevance of
Dermatology and the knowledge acquired in the specialty during the undergraduate
course in clinical practice of graduates at the State University of Campinas
Medical School (FCM/UNICAMP). METHOD: A questionnaire with 22 closed questions
and two open ones was electronically sent to physicians who had graduated more
than 10 years ago and others for less than 10 years. In the first group,
physicians were trained by the same curriculum and in the second group there were
subjects trained by the old and the new curriculum. RESULTS: Of the 126
respondents, 83% had completed a specialization course. Among all, 82% did not
study dermatology after graduation. The majority considered that Dermatology has
high relevance in clinical practice, regardless of the group. There was a
statistically significant difference between non-dermatologist doctors graduated
for more than 10 years and those graduated for less than 10 years regarding
confidence about lesion diagnosis, diagnostic investigation and treatment of skin
diseases. Physicians who have graduated for a longer time feel more insecure in
relation to patients with dermatoses. Concerning contributions offered by
graduation program completion they prioritized outpatient care, ability to
diagnose, knowledge of pathology, research and knowledge of lesions. CONCLUSION:
This study has shown that Dermatology is relevant in medical practice and more
recent graduates from the FCM/UNICAMP feel less insecure when treating a patient
with dermatoses.
PMID- 26560208
TI - Study of the histopathological types of cutaneous melanoma in Palmas-TO from 2001
to 2011.
AB - BACKGROUND: Cutaneous melanoma (CM) is considered serious for causing frequent
metastasis, presenting high mortality, resistance to available therapies and
incidences in laboring activity. OBJECTIVES: To study the histopathological types
of cutaneous melanoma in Palmas-TO from 2001 to 2011, according to risk factors,
location of lesions, Clark levels and Breslow thickness. METHODS: A descriptive,
retrospective and quantitative research in reports of the Servicos de Anatomia
Patologica in Palmas (SAPP) and Registro de Cancer de Base Populacional de Palmas
(RCBPP). RESULTS: The years of highest incidences were: 2004 (8 cases/17.8%),
2008 and 2011 (7 cases each/15.6%) and 2010 (6 cases/13.3%). Among the 45 cases
studied, there were predominance in patients between 41 and 60 years old, women,
caucasians, farmers, located in trunk, in situ type, superficial extensive and
metastatic cutaneous, Clark levels I (20%) and IV (17.7%), Breslow thickness <=1
mm (35.5%) and 2.01 to 4 mm (24.4%). CONCLUSIONS: The most common
histopathological types were: cutaneous melanoma in situ, superficial extensive
and metastatic, followed by nodular cutaneous melanoma, and finally, by other
forms. In this study, Clark levels and Breslow thickness pointed to greater
importance of thin melanomas and sun exposure without appropriate protection in
farmers.
PMID- 26560209
TI - Factors influencing citations to systematic reviews in skin diseases: a cross
sectional study through Web of Sciences and Scopus.
AB - BACKGROUND: Disseminating information derived from systematic reviews is a
fundamental step for translating evidence into practice. OBJECTIVE: To determine
which features of dermatological SR are associated with systematic review
dissemination, using citation rates as an indicator. METHODS: Dermatological
systematic reviews published between 2008 and 2012 were obtained from Scopus, the
ISI Web of Sciences and the Cochrane Skin Group. Bibliometric data of every
systematic review were collected and analyzed. RESULTS: A total of 320 systematic
reviews were analyzed. Univariable analysis showed that the journal impact
factor, number of authors, and total references cited were positively associated
with the number of citations. There was a significant difference in the median
number of citations with regard to the corresponding author's country, type of
skin disease, type of funding, and presence of international collaboration.
Cochrane reviews were significantly associated with a lower number of citations.
Multivariable analysis found that the number of authors, number of references
cited and the corresponding author from United Kingdom were independently
correlated with many citations. Cochrane systematic reviews tended to be
independently associated with a lower number of citations. CONCLUSIONS: Citation
number to systematic reviews may be improving by increasing the number of
authors, especially collaborative authors, and the number of cited references.
The reasons for the association of Cochrane SRs with fewer citations should be
addressed in future studies.
PMID- 26560210
TI - Leprosy in a University Hospital in Southern Brazil.
AB - BACKGROUND: Leprosy is an infectious disease that may lead to irreversible nerve
damage, compromising patient's quality of life and leading to loss of working
years. OBJECTIVES: To evaluate the epidemiological profile of patients followed
at a University Hospital. MATERIALS AND METHODS: This is a retrospective
observational study, based on a review of medical records. We studied the
clinical and epidemiological features of patients with leprosy monitored at the
Hospital de Clinicas of the Federal University of Parana between January 2005 and
January 2010. RESULTS: The mean age was 47.51, while 35.94% of patients were aged
41-60. The male:female rate was 1.8:1. The most prevalent occupations were:
retired, students or rural workers. Patients came mainly from Curitiba or nearby
areas, but there were also patients from the countryside. The mean diagnostic
delay was 24.57 months. Multibacillary forms prevailed, with the lepromatous
variety being the most common, closely followed by the borderline type. Neural
enlargement was found in more than 50% of the patients and 48.44% of them
developed reactional states. Hemolysis was the most commonly detected drug side
effect. Initial functional evaluation was possible in 70% of patients, 55% of
whom had disabilities upon diagnosis. The most prevalent associated disease was
hypertension. CONCLUSIONS: This study showed an important diagnostic delay and a
high rate of sequelae in this specific population. Brazil is one of the few
remaining countries that has not yet eradicated leprosy and it is important to
improve health policies in order to prevent sequelae and achieve eradication.
PMID- 26560212
TI - Lichen planopilaris epidemiology: a retrospective study of 80 cases.
AB - BACKGROUND: Lichen planopilaris is a frequent presentation of primary cicatricial
alopecia. Scalp distribution characterizes the main clinical presentations:
classic lichen planopilaris, frontal fibrosing alopecia and Graham-Little
Piccardi-Lassueur Syndrome (GLPLS). OBJECTIVE: Description of the clinical,
dermoscopic and histopathological findings of Lichen planopilaris in public and
private practices. METHOD: A retrospective observational study was performed by
reviewing medical records of patients with lichen planopilaris. RESULTS: Eighty
patients were included, 73 (91,25%) were female. Prototype II was seen in 53
(66,25%) patients. Classic lichen planopilaris was seen in 62,5% of the cases.
Frontal fibrosing alopecia was seen in 31% of the patients and only one patient
presented Graham-Little Piccardi-Lassueur Syndrome (GLPLS). Scalp lesions were
scattered throughout the scalp in 47 (58,75%) of the patients, while 24 (30%)
presented mainly central scalp lesions, 29 (36,25%) presented marginal lesions
and only 4 (5%) patents had vertex lesions. CONCLUSIONS: Clinical presentation of
Lichen planopilaris varies. To recognize the heterogeneity of the clinical
appearance in lichen planopilaris is important for differential diagnosis.
PMID- 26560211
TI - Research of primary hyperhidrosis in students of medicine of the State of
Sergipe, Brazil.
AB - BACKGROUND: Hyperhidrosis or excessive sweat production occurs at 2.9-9% of the
population. OBJECTIVE: To estimate the prevalence and disorders due to primary
hyperhidrosis (HP) in medicine students in the state of Sergipe. METHODS: Cross
sectional study using individual interviews. RESULTS: Hyperhidrosis was found in
14.76% of subjects, the most affected regions were palmar, plantar and axillary,
causing prejudice in daily activities. Family history occurred in 45% and 22.72%
was diagnosed by a physician. CONCLUSION: The prevalence of hyperhidrosis in
medicine students of Sergipe was high, with strong family and a small portion of
diagnoses made by medical professionals.
PMID- 26560213
TI - Patch test results in children and adolescents. Study from the Santa Casa de Belo
Horizonte Dermatology Clinic, Brazil, from 2003 to 2010.
AB - BACKGROUND: Patch testing is an efficient method to identify the allergen
responsible for allergic contact dermatitis. OBJECTIVE: To evaluate the results
of patch tests in children and adolescents comparing these two age groups'
results. METHODS: Cross-sectional study to assess patch test results of 125
children and adolescents aged 1-19 years, with suspected allergic contact
dermatitis, in a dermatology clinic in Brazil. Two Brazilian standardized series
were used. RESULTS: Seventy four (59.2%) patients had "at least one positive
reaction" to the patch test. Among these positive tests, 77.0% were deemed
relevant. The most frequent allergens were nickel (36.8%), thimerosal (18.4%),
tosylamide formaldehyde resin (6.8%), neomycin (6.4%), cobalt (4.0%) and
fragrance mix I (4.0%). The most frequent positive tests came from adolescents
(p=0.0014) and females (p=0.0002). There was no relevant statistical difference
concerning contact sensitizations among patients with or without atopic history.
However, there were significant differences regarding sensitization to nickel
(p=0.029) and thimerosal (p=0.042) between the two age groups under study, while
adolescents were the most affected. CONCLUSION: Nickel and fragrances were the
only positive (and relevant) allergens in children. Nickel and tosylamide
formaldehyde resin were the most frequent and relevant allergens among
adolescents.
PMID- 26560214
TI - Hieronymi Fracastorii: the Italian scientist who described the "French disease".
AB - Girolamo Fracastoro was a true Italian Renaissance man: he excelled in
literature, poetry, music, geography, geology, philosophy, astronomy and, of
course, medicine to the point that made Charles-Edward Armory Winslow define him
as "a peak unequaled by anyone between Hippocrates and Pasteur". In 1521
Fracastoro wrote the poem "Syphilis Sive de Morbo Gallico" in which was
established the use of the term "syphilis" for this terrible and inexplicably
transmitted disease, often referred to as "French disease" by the people of the
time and by Fracastoro himself.
PMID- 26560217
TI - Dermal type I collagen assessment by digital image analysis.
AB - Type I collagen is the main dermal component, and its evaluation is relevant to
quantitative studies in dermatopathology. However, visual gradation (0 to 4+) has
low precision and high subjectivity levels. This study aimed to develop and
validate a digital morphometric analysis technique to estimate type I collagen
levels in the papillary dermis. Four evaluators visually quantified (0 to 4+) the
density of type I collagen in 63 images of forearm skin biopsies marked by
immunohistochemistry and two evaluators analyzed the same images using digital
morphometric techniques (RGB split colors (I) and color deconvolution (II)).
Automated type I collagen density estimation in the papillary dermis (two
techniques) were correlated with visual evaluations (Spearman's rho coefficients
of 0.48 and 0.62 (p<0.01)). With regard to the inter-observer repeatability, the
four evaluators who used visual classification had an intraclass correlation
coefficient (for absolute agreement) of 0.53, while the other two evaluators who
used digital analysis (algorithm II) had an intraclass correlation coefficient of
0.97.
PMID- 26560216
TI - Radiofrequency for the treatment of skin laxity: mith or truth.
AB - The nonablative radiofrequency is a procedure commonly used for the treatment of
skin laxity from an increase in tissue temperature. The goal is to induce thermal
damage to thus stimulate neocollagenesis in deep layers of the skin and
subcutaneous tissue. However, many of these devices haven't been tested and their
parameters are still not accepted by the scientific community. Because of this,
it is necessary to review the literature regarding the physiological effects and
parameters for application of radiofrequency and methodological quality and level
of evidence of studies. A literature search was performed in MEDLINE, PEDro,
SciELO, PubMed, LILACS and CAPES and experimental studies in humans, which used
radiofrequency devices as treatment for facial or body laxity, were selected. The
results showed that the main physiological effect is to stimulate collagen
synthesis. There was no homogeneity between studies in relation to most of the
parameters used and the methodological quality of studies and level of evidence
for using radiofrequency are low. This fact complicates the determination of
effective parameters for clinical use of this device in the treatment of skin
laxity. The analyzed studies suggest that radiofrequency is effective, however
the physiological mechanisms and the required parameters are not clear in the
literature.
PMID- 26560218
TI - Persistent docetaxel-induced supravenous erythematous eruption.
AB - Taxanes are drugs used to treat many types of cancer, including breast and lung
cancer. The most common side effects of these drugs are neutropenia and
mucositis. Signs of skin toxicity are observed in about 65% of cases and include
alopecia, hypersensitivity reactions, persistent supravenous erythematous
eruption, nail changes, scleroderma reactions and others. We report two cases of
skin reaction to docetaxel and warn that it is not necessary to interrupt the
treatment in these cases.
PMID- 26560215
TI - Cutaneous primary B-cell lymphomas: from diagnosis to treatment.
AB - Primary cutaneous B-cell lymphomas are a heterogeneous group of mature B-cells
neoplasms with tropism for the skin, whose biology and clinical course differ
significantly from the equivalent nodal lymphomas. The most indolent forms
comprise the primary cutaneous marginal zone and follicle center B-cell lymphomas
that despite the excellent prognosis have cutaneous recurrences very commonly.
The most aggressive forms include the primary cutaneous large B-cell lymphomas,
consisting in two major groups: the leg type, with poor prognosis, and others,
the latter representing a heterogeneous group of lymphomas from which specific
entities are supposed to be individualized over time, such as intravascular large
B-cell lymphomas. Treatment may include surgical excision, radiotherapy,
antibiotics, corticosteroids, interferon, monoclonal antibodies and chemotherapy,
depending on the type of lymphoma and on the type and location of the skin
lesions. In subtypes with good prognosis is contraindicated overtreatment and in
those associated with a worse prognosis the recommended therapy relies on CHOP
like regimens associated with rituximab, assisted or not with local radiotherapy.
We review the primary cutaneous B-cell lymphomas, remembering the diagnostic
criteria, differential diagnosis, classification, and prognostic factors and
presenting the available therapies.
PMID- 26560219
TI - First case of congenital idiopathic hypohidrosis in China.
AB - A 43-year-old Chinese man presented with generalized hypohidrosis, which he had
had since birth, without obvious abnormalities of other skin appendages except a
sparse beard and axillary hairs. The sweat test revealed localized sweating on
the face, axillae and palms. Histopathologic examination showed that the sweat
glands were absent in the forearm and thigh, but some eccrine and apocrine sweat
glands were present in the right axilla. S-100 was expressed in the nerve
terminals surrounding the acini and ducts of the eccrine sweat glands, while
PGP9.5 was positive in the acini of apocrine glands and the nerve terminals
surrounding the eccrine glands in the axilla. To our knowledge, this is the first
case of congenital idiopathic hypohidrosis in China.
PMID- 26560220
TI - Granuloma faciale: a good therapeutic response with the use of topical
tacrolimus.
AB - Granuloma faciale is a rare dermatosis of chronic course, benign, usually
asymptomatic, first described in 1945 by Wingley. It is characterized by the
appearance of well-defined, single or multiple papules, plaques and nodules,
predominantly located in sun-exposed areas, especially the face. In this work we
report the case of a female patient, 58 years old, evolving for ten years with
multiple erythematous-brownish and asymptomatic papules on the face, whose
histological examination confirmed the diagnosis of granuloma faciale. The
patient was treated with topical tacrolimus, evolving with regression of lesions.
PMID- 26560221
TI - Hypopigmented macules of the limbs in two sisters: report on familial Bier spots.
AB - Bier spots are asymptomatic, small, irregular, hypopigmented macules
characterized by a normal histological appearance, which are usually found on the
arms and legs of young adults. We describe the simultaneous presence of Bier
spots in two siblings. This finding is unusual since, to the best of our
knowledge, concurrent familial cases have never been reported in the literature.
PMID- 26560222
TI - Mycobacterium abscessus skin infection after tattooing--Case report.
AB - Mycobacterium abscessus is a rapidly growing mycobacterium that has been
affecting people undergoing invasive procedures, such as videosurgery and
mesotherapy. This bacterium has global distribution, being found in numerous
niches. The frequency of published reports of infection by rapidly growing
mycobacteria associated with tattooing procedures has increased in recent years.
However, in Brazil there were no case reports of M. abscessus after tattooing in
the literature until now. In this paper, we describe the case of a patient with a
nine-month history of lesion on a tattoo site. The diagnosis of infection with
Mycobacterium abscessus was established by correlation between dermatological and
histopathological aspects, culture and molecular biology techniques. The patient
had significant improvement of symptoms with the use of clarithromycin
monotherapy.
PMID- 26560223
TI - Amantadine-induced livedo reticularis--Case report.
AB - Livedo reticularis is a spastic-anatomical condition of the small vessels which
translates morphologically by a reticular pattern, interspersing cyanosis, pallor
and erythema. The same can be congenital or acquired. Among the acquired, we
highlight the physiological livedo reticularis and the idiopathic livedo by
vasospasm; the latter configures the most common cause. The drug-induced type is
less common. The drugs amantadine and norepinephrine are often implicated.
Cyanosis is usually reversible if the causative factor is removed, however, with
chronicity, the vessels may become permanently dilated and telangiectatic. We
report a case of a patient diagnosed with Parkinson's disease with chronic livedo
reticularis associated with the use of amantadine and improvement after
discontinuation of the drug.
PMID- 26560224
TI - Mucosal-dominant pemphigus vulgaris in a captopril-taking woman with angioedema.
AB - We describe a 39-year-old woman with an apparent captopril-induced, contact
mucosal-dominant pemphigus vulgaris and angioedema, who took captopril during a
bout of arterial hypertension. This exposure suggests that captopril and
pathophysiology of angioedema stimulated the development of pemphigus vulgaris,
which was diagnosed using the novel, indirect immunofluorescence BIOCHIP mosaic,
with the modification to detect serum IgG4 autoantibodies. We discuss the
patient, who experienced a chain of events leading to the active stage of
pemphigus vulgaris, and review concepts of pemphigus vulgaris inducible by drugs
and pathological immunity.
PMID- 26560225
TI - Scanning electron microscopy of superficial white onychomycosis.
AB - Superficial white onychomycosis is characterized by opaque, friable, whitish
superficial spots on the nail plate. We examined an affected halux nail of a 20
year-old male patient with scanning electron microscopy. The mycological
examination isolated Trichophyton mentagrophytes. Abundant hyphae with the
formation of arthrospores were found on the nail's surface, forming small fungal
colonies. These findings showed the great capacity for dissemination of this form
of onychomycosis.
PMID- 26560226
TI - Histoid leprosy: a rare exuberant case.
AB - Leprosy is a neglected disease. We point up the need of recognizing the unusual
clinical presentations of the disease in order to make early diagnosis and proper
treatment possible, and break the transmission chain. The authors report a rare
type of multibacillary leprosy: histoid leprosy and present images of numerous
well-circumscribed indurated papules and nodules distributed throughout the
entire body.
PMID- 26560227
TI - Syndrome in question.
AB - Muir-Torre syndrome is a rare genodermatosis characterized by the occurrence of
at least one sebaceous tumor associated with visceral neoplasia, but with no
predisposing factors. The sebaceous neoplasm may appear before, during or after
the diagnosis of colorectal cancer. As it is regarded as a subtype of
nonpolyposis hereditary colorectal cancer, it is important to evaluate the
patient's first-degree relatives. The clinical course of the neoplasm is usually
more indolent and the syndrome has a good prognosis. We report the case of a
patient who, after a ten-year diagnosis of colorectal cancer, presented with
multiple sebaceous neoplasms.
PMID- 26560228
TI - Prevalence of dermatoses in dermatologic evaluation requests from patients
admitted to a tertiary hospital for 10 years.
AB - Skin diseases are common in hospitalized patients. However, there is a lack of
data concerning their frequency. The objective of this study is to evaluate the
prevalence of dermatological diagnoses in hospitalized patients after
consultation requested by non-dermatologist physicians to the Department of
Dermatology, Hospital de Clinicas de Porto Alegre period of 10 years. A total of
5685 patients were evaluated, representing an average of 48.2 patients per month.
The five most frequent groups were infectious dermatoses(33.25%), eczematous
dermatoses (11.49%), drug reactions (11.43%), vascular dermatoses (6.81%) and
group of pruritus, prurigo nodularis and urticaria (hives) (4.71%).
PMID- 26560229
TI - Do steroid hormones have an important role on cutaneous lupus physiopathology?
PMID- 26560230
TI - Bier spots and unilateral nevoid telangiectasia: more than just a coincidence.
PMID- 26560231
TI - Erratum.
AB - A mistake was made in article Bottino CB, Guimaraes TF, Gomes FR, D'Acri AM, Lima
RB, Martins CJ. Solitary eccrine syringofi broadenoma: a case report. An Bras
Dermatol. 2015;90(3 Suppl 1):S235-8. Image 2 was switched for image 3 and vice
versa. Figure 2 should show the image of a skin lesion (ankle nodule) and Figure
3 should show the image of the histopathological exam. The legends are correct.
Follows the corrected imags.
PMID- 26560232
TI - Enhanced Ionic Conductivity and Power Generation Using Ion-Exchange Resin Beads
in a Reverse-Electrodialysis Stack.
AB - Reverse electrodialysis (RED) is a promising technique for harvesting energy by
mixing seawater with river water. The energy production is usually limited by
ionic conductivity in dilute compartments of a RED system. Novel tests were
conducted in this research, which used ion-exchange resin beads (IERB) to replace
nonconductive spacer fabrics in RED compartments with dilute NaCl solution in a
modified stack containing Fumasep FKS and Fumasep FAS membranes. We compared the
conductivity of an IERB packed bed with that of an inert glass-beads-packed bed
as a control to confirm IERB's effectiveness. When applied in a RED system, IERB
decreased the stack resistance by up to 40%. The maximum gross power density
improved by 83% in the RED stack compared to that in a regular RED stack at 1.3
cm/s average linear flow velocity. IERB-filled stack resistance was modeled. The
model results fit well with experimental data, thereby confirming the
effectiveness of the new approach presented here. The net power density is also
estimated based on the measured pressure drop and pumping energy model. Both
gross and net power density was improved by over 75% at higher flow rate. A net
power density of 0.44 W/m(2) was achieved at a cell thickness of 500 MUm. To the
best of our knowledge, this research is the first to study the impact of IERB on
power generation and establishes a new approach to improving the power
performance of a RED system.
PMID- 26560233
TI - Shared adversities of children and comic superheroes as resources for promoting
resilience: Comic superheroes are an untapped resource for empowering vulnerable
children.
PMID- 26560234
TI - Child maltreatment and interpersonal relationship among Chinese children with
oppositional defiant disorder.
AB - Child maltreatment negatively affects children's development and wellbeing. This
study investigated the associations between child maltreatment (i.e., emotional
neglect, emotional abuse, and physical abuse) and interpersonal functioning,
including parent-child relationship, teacher-student relationship, and peer
relationships among children with oppositional defiant disorder (ODD). A total of
256 children with ODD and their parents and class master teachers from Mainland
China completed questionnaires. Results showed a negative correlation between
emotional abuse (parent-reported) and children's interpersonal relationships with
parents, teachers, and peers. Emotional neglect and physical abuse were related
to poor parent-child relationships. Latent profile analysis revealed three
profiles of child maltreatment among children with ODD. ODD children with more
severe levels of one type of maltreatment were also more likely to have
experienced severe levels of other types of maltreatment. Children with ODD who
were in the group of high maltreatment had the poorest quality of interpersonal
relationships. Our findings highlight the urgent need to prevent child
maltreatment and promote more positive parenting in families with ODD children.
PMID- 26560235
TI - Childhood physical abuse and aggression: Shame and narcissistic vulnerability.
AB - This study examined narcissistic vulnerability and shame-proneness as potential
mediators between childhood physical abuse (CPA) and adult anger and aggression.
Participants were 400 undergraduate students, 134 of whom had a history of CPA.
All participants completed self-report questionnaires assessing history of CPA,
shame-proneness, narcissistic vulnerability, physical aggression, trait anger,
and hostility. Results indicated abused participants were more angry and
aggressive and experienced higher levels of shame-proneness and narcissistic
vulnerability than nonabused participants. Multiple mediation analyses showed
that narcissistic vulnerability, but not shame-proneness, partially mediated the
relation between abuse and physical aggression. However, narcissistic
vulnerability and shame-proneness both emerged as partial mediators between abuse
and the anger and hostility variables. These findings suggest that narcissistic
vulnerability and shame-proneness may function as mediators of adjustment
following childhood maltreatment. Study limitations and recommendations for
future research are discussed.
PMID- 26560236
TI - NPHS1 gene mutations confirm congenital nephrotic syndrome in four Brazilian
cases: A novel mutation is described.
AB - AIM: Autosomal recessive mutations in NPHS1 gene are a common cause of congenital
nephrotic syndrome (CNS). The disorder is characterized by massive proteinuria
that manifests in utero or in the neonatal period during the first 3 months of
life. NPHS1 encodes nephrin, a member of the immunoglobulin family of cell
adhesion molecules and the main protein expressed at the renal slit diaphragm.
Currently, there are approximately 250 mutations described in the NPHS1 gene
distributed among all nephrin domains. The main objective of this study was to
perform the analysis of the NPHS1 gene in patients with congenital nephrotic
syndrome in order to determine the molecular cause of the disease. METHODS:
Direct sequencing of NPHS1 gene in four children was performed. RESULTS: Each
patient was heterozygous for two pathogenic mutations disclosing the molecular
cause of the disease in 100% of the cases. We identified six different mutations,
consisting of one in-frame deletion, one frameshift, and four missense
substitutions. The p.Val736Met mutation that is described here for the first time
was considered pathogenic by different mutation predictive algorithms. Regardless
of the type of mutation, three patients had a bad outcome and died CONCLUSIONS:
Despite the small size of the cohort, this study contributed to the increasing
number of deleterious mutations in the NPHS1 gene by describing a new mutation.
Also, since we identified NPHS1 pathogenic mutations as the cause of the disease
in all cases analyzed, it might be a frequent cause of CNS in the South Eastern
region of Brazil, although the analysis of a larger sample is required to obtain
more indicative epidemiological data.
PMID- 26560237
TI - Inhibitory effect of low-dose inorganic iodine on thyroidal radioactive iodine
uptake in healthy Japanese adults.
AB - In the event of a nuclear power plant accident, prophylactic administration of
potassium iodide (KI) is recommended to prevent thyroid damage due to uptake of
radioiodine. To assess the inhibitory effect of low-dose inorganic iodine on
thyroidal radioactive iodine uptake (RAIU) in healthy adults without dietary
iodine restriction, single or repeated doses of 10 mg inorganic iodine solution
were given to 22 Japanese volunteers, 18 men and 4 women with the mean age of
35.7 years, between 2011 and 2013. Changes in urinary iodine excretion, thyroid
function and 24-hour RAIU were also evaluated. The median 24-hour RAIU without
iodine restriction was 13% (range, 5-26%). A single-dose of 10 mg inorganic
iodine suppressed the median 24-hour RAIU measured one hour after iodine
administration to 3% (range, 1-7 %) and, in 90.9% of 22 participants their 24
hour RAIU was < 5%. For seven participants given 10 mg of inorganic iodine daily
for 14 days, the median 24-hour RAIU measured at 24 hours after the last
administration of iodine was 6% (range, 2-12%), although the inhibitory effect
was diminished in two participants. Serum thyroid stimulating hormone
concentration was slightly elevated in three participants without decreased serum
FT3 and FT4 levels. We conclude that a single-dose of 10 mg inorganic iodine is
sufficient to inhibit RAIU in adults, although the inhibitory effect of repeated
dose on RAIU is diminished when KI is given once daily. The dose, duration or
interval of iodine administration should be evaluated in iodine-sufficient
regions in a future.
PMID- 26560238
TI - Auditory system of fruit flies.
AB - The fruit fly, Drosophila melanogaster, is an invaluable model for auditory
research. Advantages of using the fruit fly include its stereotyped behavior in
response to a particular sound, and the availability of molecular-genetic tools
to manipulate gene expression and cellular activity. Although the receiver type
in fruit flies differs from that in mammals, the auditory systems of mammals and
fruit flies are strikingly similar with regard to the level of development,
transduction mechanism, mechanical amplification, and central projections. These
similarities strongly support the use of the fruit fly to study the general
principles of acoustic information processing. In this review, we introduce
acoustic communication and discuss recent advances in our understanding on
hearing in fruit flies. This article is part of a Special Issue entitled .
PMID- 26560239
TI - Attentional modulation of informational masking on early cortical representations
of speech signals.
AB - To recognize speech in a noisy auditory scene, listeners need to perceptually
segregate the target talker's voice from other competing sounds (stream
segregation). A number of studies have suggested that the attentional demands
placed on listeners increase as the acoustic properties and informational content
of the competing sounds become more similar to that of the target voice. Hence we
would expect attentional demands to be considerably greater when speech is masked
by speech than when it is masked by steady-state noise. To investigate the role
of attentional mechanisms in the unmasking of speech sounds, event-related
potentials (ERPs) were recorded to a syllable masked by noise or competing speech
under both active (the participant was asked to respond when the syllable was
presented) or passive (no response was required) listening conditions. The
results showed that the long-latency auditory response to a syllable (/bi/),
presented at different signal-to-masker ratios (SMRs), was similar in both
passive and active listening conditions, when the masker was a steady-state
noise. In contrast, a switch from the passive listening condition to the active
one, when the masker was two-talker speech, significantly enhanced the ERPs to
the syllable. These results support the hypothesis that the need to engage
attentional mechanisms in aid of scene analysis increases as the similarity (both
acoustic and informational) between the target speech and the competing
background sounds increases.
PMID- 26560240
TI - Identification of AB-FUBINACA metabolites in authentic urine samples suitable as
urinary markers of drug intake using liquid chromatography quadrupole tandem time
of flight mass spectrometry.
AB - Synthetic cannabinoids are a group of psychoactive drugs presently widespread
among drug users in Europe. Analytical methods to measure these compounds in
urine are in demand as urine is a preferred matrix for drug testing. For most
synthetic cannabinoids, the parent compounds are rarely detected in urine.
Therefore urinary metabolites are needed as markers of drug intake. AB-FUBINACA
was one of the top three synthetic cannabinoids most frequently found in seizures
and toxicological drug screening in Sweden (2013-2014). Drug abuse is also
reported from several other countries such as the USA and Japan. In this study,
28 authentic case samples were used to identify urinary markers of AB-FUBINACA
intake using liquid chromatography quadrupole tandem time of flight mass
spectrometry and human liver microsomes. Three metabolites suitable as markers of
drug intake were identified and at least two of them were detected in all but one
case. In total, 15 urinary metabolites of AB-FUBINACA were reported, including
hydrolxylations on the indazole ring and the amino-oxobutane moiety,
dealkylations and hydrolysis of the primary amide. No modifications on the
fluorobenzyl side-chain were observed. The parent compound was detected in 54% of
the case samples. Also, after three hours of incubation with human liver
microsomes, 77% of the signal from the parent compound remained. Copyright (c)
2015 John Wiley & Sons, Ltd.
PMID- 26560241
TI - Quantitative analysis of protein orientation in membrane environments by kinase
activity.
AB - AgrC is an integral membrane receptor protein with histidine kinase activity in
the accessory gene regulator (agr) quorum-sensing system of Staphylococcus
aureus. In this study, proteoliposomes were used as a model to investigate AgrC
orientation. Many approaches have been described to determine membrane protein
orientation, but they are often complicated and time consuming. In this study,
AgrC orientation in liposomes was determined by thiol-reactive reagent labeling
and a kinase activity assay. Our results suggest use of a kinase activity assay
could get an accurate percentage of functional protein orientation and only cost
nearly one-sixth of the time compared with the method based on thiol-reactive
reagent labeling. We present an effective and rapid method for determining the
orientation of membrane protein kinases like AgrC.
PMID- 26560242
TI - Smart linkers in polymer-drug conjugates for tumor-targeted delivery.
AB - To achieve effective chemotherapy, many types of drug delivery systems have been
developed for the specific environments in tumor tissues. Polymer-drug conjugates
are increasingly used in tumor therapy due to several significant advantages over
traditional delivery systems. In the fabrication of polymer-drug conjugates, a
smart linker is an important component that joins two fragments or molecules
together and can be cleared by a specific stimulus, which results in targeted
drug delivery and controlled release. By regulating the conjugation between the
drug and the nanocarriers, stimulus-sensitive systems based on smart linkers can
offer high payloads, certified stability, controlled release and targeted
delivery. In this review, we summarize the current state of smart linkers (e.g.
disulfide, hydrazone, peptide, azo) used recently in various polymer-drug
conjugate-based delivery systems with a primary focus on their sophisticated
design principles and drug delivery mechanisms as well as in vivo processes.
PMID- 26560243
TI - Physical performance and self-report outcomes associated with use of passive,
adaptive, and active prosthetic knees in persons with unilateral, transfemoral
amputation: Randomized crossover trial.
AB - Prosthetic knees are a vital component in an artificial limb. Contemporary knees
include passive, (mechanical), adaptive (computerized), or active (motorized)
control systems and have the potential to mitigate amputation-related functional
impairments and activity limitations. A 14 mo randomized crossover trial was
conducted. Participants (n = 12, mean age = 58 yr) were tested under three
conditions: passive control (existing knee), adaptive control (Ossur Rheo Knee
II), and active control (Ossur Power Knee II). Training and acclimation time were
provided to participants in the adaptive and active knees. Outcome measures
included indoor tests (Timed Up and Go test [TUG], stairs, and ramp), outdoor
tests (walking course and perceived exertion), step activity monitor, self-report
surveys (mobility, balance confidence, physical function, fatigue, and general
health), and fall incidence. Mixed-effects linear regression modeling was used to
evaluate data. Compared with passive control, adaptive control significantly
improved comfortable TUG time (difference = 0.91 s, p = 0.001) and reported
physical function (difference = 1.26 [T-score], p = 0.03). Active control
significantly increased comfortable TUG, fast TUG, and ramp times (difference =
3.02, 2.66, and 0.96 s, respectively, all p < 0.03) and increased balance
confidence (difference = 3.77, p = 0.003) compared with passive control. Findings
suggest that adaptive knee control may enhance function compared with passive
control but that active control can restrict mobility in middle-age or older
users with transfemoral amputation. CLINICAL TRIAL REGISTRATION:
ClinicalTrials.gov; "Use of Passive, Adaptive, and Active Prosthetic Knees in
Persons With Unilateral, Transfemoral Amputation": NCT02219230;
https://clinicaltrials.gov/ct2/show/NCT02219230.
PMID- 26560245
TI - Trends and Patterns in Reporting of Patient Safety Situations in Transplantation.
AB - Analysis and dissemination of transplant patient safety data are essential to
understanding key issues facing the transplant community and fostering a "culture
of safety." The Organ Procurement and Transplantation Network's (OPTN) Operations
and Safety Committee de-identified safety situations reported through several
mechanisms, including the OPTN's online patient safety portal, through which the
number of reported cases has risen sharply. From 2012 to 2013, 438 events were
received through either the online portal or other reporting pathways, and about
half were self-reports. Communication breakdowns (22.8%) and testing issues
(16.0%) were the most common types. Events included preventable errors that led
to organ discard as well as near misses. Among events reported by Organ
Procurement Organization (OPOs), half came from just 10 of the 58 institutions,
while half of events reported by transplant centers came from just 21 of 250
institutions. Thirteen (23%) OPOs and 155 (62%) transplant centers reported no
events, suggesting substantial underreporting of safety-related errors to the
national database. This is the first comprehensive, published report of the
OPTN's safety efforts. Our goals are to raise awareness of safety data recently
reported to the OPTN, encourage additional reporting, and spur systems
improvements to mitigate future risk.
PMID- 26560246
TI - Medium-Ring Effects on the Endo/Exo Selectivity of the Organocatalytic
Intramolecular Diels-Alder Reaction.
AB - The intramolecular Diels-Alder reaction has been used as a powerful method to
access the tricyclic core of the eunicellin natural products from a number of 9
membered-ring precursors. The endo/exo selectivity of this reaction can be
controlled through a remarkable organocatalytic approach, employing MacMillan's
imidazolidinone catalysts, although the mechanistic origin of this selectivity
remains unclear. We present a combined experimental and density functional theory
investigation, providing insight into the effects of medium-ring constraints on
the organocatalyzed intramolecular Diels-Alder reaction to form the isobenzofuran
core of the eunicellins.
PMID- 26560244
TI - Topoisomerase 2 Alpha Cooperates with Androgen Receptor to Contribute to Prostate
Cancer Progression.
AB - Overexpression of TOP2A is associated with risk of systemic progression in
prostate cancer patients, and higher levels of TOP2A were found in hormone
resistant cases. To elucidate the mechanism by which high levels of TOP2A
contribute to tumor progression we generated TOP2A overexpressing prostate cancer
cell lines. We show that TOP2A promotes tumor aggressiveness by inducing
chromosomal rearrangements of genes that contribute to a more invasive phenotype.
Anti-androgen treatment alone was ineffective in killing TOP2A overexpressing
cells due to activation of an androgen receptor network. TOP2A poisons killed
tumor cells more efficiently early in the progression course, while at later
stages they provided greater benefit when combined with anti-androgen therapy.
Mechanistically, we find that TOP2A enhances androgen signaling by facilitating
transcription of androgen responsive genes, thereby promoting tumor cell growth.
These studies revealed a relationship between TOP2A and androgen receptor
signaling pathway that contributes to prostate cancer progression and confers
sensitivity to treatments.
PMID- 26560247
TI - Coplanar Oligo(p-phenylenedisilenylene)s as Si?Si Analogues of Oligo(p
phenylenevinylene)s: Evidence for Extended pi-Conjugation through the Carbon and
Silicon pi-Frameworks.
AB - A series of oligo(p-phenylenedisilenylene)s (Si-OPVs 1-4), silicon analogues of
oligo(p-phenylenevinylene)s, up to the tetramer have been synthesized and
isolated by the introduction of a newly developed protecting group [(HexO)MEind]
for improving their solubility. The experimental and theoretical studies of the
Si-OPVs 1-4 demonstrate the fully extended pi-conjugation of the Si-OPV main
chains. Single crystal X-ray analyses of the monomer 1 and the dimer 2 revealed
the highly coplanar Si-OPV backbones facilitating the effective extension of the
pi-conjugation, which has further been validated by the significant increases in
the absorption maxima from 465 nm for the monomer 1 to 610 nm for the tetramer 4.
The absorption maxima exhibit an excellent fit to Meier's equation, leading to
the estimation of an effective conjugation length (ECL) of 9 repeat units (nECL =
9) and the absorption maximum of 635 nm for the infinite chain (lambdainfinity =
635 nm). In sharp contrast to other nonemissive disilenes, the Si-OPVs 2-4 show
an intense fluorescence from 613 to 668 nm at room temperature with the quantum
yields up to 0.48. All the data presented here provide the first evidence for the
efficient extended pi-conjugation between the Si?Si double bonds and the carbon
pi-electron systems over the entire Si-OPV skeleton. This study reveals the
possibility for developing the conjugated disilene pi-systems, in which the Si?Si
double bonds would be promising building blocks, significantly optimizing the
intrinsic photophysical and electrochemical properties of the carbon-based pi
conjugated materials.
PMID- 26560248
TI - Pulmonary pressure, telemedicine, and heart failure therapy.
PMID- 26560249
TI - Sustained efficacy of pulmonary artery pressure to guide adjustment of chronic
heart failure therapy: complete follow-up results from the CHAMPION randomised
trial.
AB - BACKGROUND: In the CHAMPION trial, significant reductions in admissions to
hospital for heart failure were seen after 6 months of pulmonary artery pressure
guided management compared with usual care. We examine the extended efficacy of
this strategy over 18 months of randomised follow-up and the clinical effect of
open access to pressure information for an additional 13 months in patients
formerly in the control group. METHODS: The CHAMPION trial was a prospective,
parallel, single-blinded, multicentre study that enrolled participants with New
York Heart Association (NYHA) Class III heart failure symptoms and a previous
admission to hospital. Patients were randomly assigned (1:1) by centre in block
sizes of four by a secure validated computerised randomisation system to either
the treatment group, in which daily uploaded pulmonary artery pressures were used
to guide medical therapy, or to the control group, in which daily uploaded
pressures were not made available to investigators. Patients in the control group
received all standard medical, device, and disease management strategies
available. Patients then remained masked in their randomised study group until
the last patient enrolled completed at least 6 months of study follow-up
(randomised access period) for an average of 18 months. During the randomised
access period, patients in the treatment group were managed with pulmonary artery
pressure and patients in the control group had usual care only. At the conclusion
of randomised access, investigators had access to pulmonary artery pressure for
all patients (open access period) averaging 13 months of follow-up. The primary
outcome was the rate of hospital admissions between the treatment group and
control group in both the randomised access and open access periods. Analyses
were by intention to treat. This trial is registered with ClinicalTrials.gov,
number NCT00531661. FINDINGS: Between Sept 6, 2007, and Oct 7, 2009, 550 patients
were randomly assigned to either the treatment group (n=270) or to the control
group (n=280). 347 patients (177 in the former treatment group and 170 in the
former control group) completed the randomised access period in August, 2010, and
transitioned to the open access period which ended April 30, 2012. Over the
randomised access period, rates of admissions to hospital for heart failure were
reduced in the treatment group by 33% (hazard ratio [HR] 0.67 [95% CI 0.55-0.80];
p<0.0001) compared with the control group. After pulmonary artery pressure
information became available to guide therapy during open access (mean 13
months), rates of admissions to hospital for heart failure in the former control
group were reduced by 48% (HR 0.52 [95% CI 0.40-0.69]; p<0.0001) compared with
rates of admissions in the control group during randomised access. Eight (1%)
device-related or system related complications and seven (1%) procedure-related
adverse events were reported. INTERPRETATION: Management of NYHA Class III heart
failure based on home transmission of pulmonary artery pressure with an implanted
pressure sensor has significant long-term benefit in lowering hospital admission
rates for heart failure. FUNDING: St Jude Medical Inc.
PMID- 26560250
TI - Magnesium stent scaffolds: DREAMS become reality.
PMID- 26560251
TI - The Ties that Bind Us.
PMID- 26560252
TI - Leading with Integrity--Being Present and Visible.
PMID- 26560253
TI - Scholar-in-Residence: An Organizational Capacity-Building Model to Move Evidence
to Action.
AB - Quality improvement healthcare leaders recognize that striving for excellence is
dependent on a multitude of complex and interactive factors. Translating evidence
into clinical practice guidelines, evidence-informed decision-making processes,
and policy documents does not, however, guarantee that evidence will reach the
point-of-care. This article describes an innovative engagement strategy called
the Scholar-in-Residence program. The program represents a model of collaboration
between a health region and a university, which is intended to build
organizational research capacity while simultaneously facilitating quality in
hospital care for seniors. We explain the program and provide implementation
details with examples to illustrate how the program builds organizational
research capacity at the point-of-care, where healthcare is delivered by
professionals, and received by patients admitted to a hospital. By explaining the
challenges we encountered, others interested in developing research engagement
activities in their health region are assisted and pitfalls are avoided.
PMID- 26560254
TI - Investing in Point-of-Care Nursing Scholarship: Economic and Ethical Arguments.
PMID- 26560255
TI - Teamwork and Patient Care Teams in an Acute Care Hospital.
AB - The literature suggests that effective teamwork among patient care teams can
positively impact work environment, job satisfaction and quality of patient care.
The purpose of this study was to determine the perceived level of nursing
teamwork by registered nurses, registered practical nurses, personal support
workers and unit clerks working on patient care teams in one acute care hospital
in northern Ontario, Canada, and to determine if a relationship exists between
the staff scores on the Nursing Teamwork Survey (NTS) and participant perception
of adequate staffing. Using a descriptive cross-sectional research design, 600
staff members were invited to complete the NTS and a 33% response rate was
achieved (N=200). The participants from the critical care unit reported the
highest scores on the NTS, whereas participants from the inpatient surgical (IPS)
unit reported the lowest scores. Participants from the IPS unit also reported
having less experience, being younger, having less satisfaction in their current
position and having a higher intention to leave. A high rate of intention to
leave in the next year was found among all participants. No statistically
significant correlation was found between overall scores on the NTS and the
perception of adequate staffing. Strategies to increase teamwork, such as staff
education, among patient care teams may positively influence job satisfaction and
patient care on patient care units.
PMID- 26560256
TI - Recruitment and Retention in Rural Nursing: It's Still an Issue!
AB - A perennial issue for rural and remote communities in Canada and in other parts
of the world is access to a healthcare delivery system including healthcare
personnel to provide care to their residents. In total, 18% of Canadians live in
rural locations but by proportion have fewer healthcare providers compared with
urban settings. Relying on a recently completed documentary analysis of published
reports and grey literature on rural and remote nursing practice from Canada and
around the world, we recognize that recruitment and retention will be a recurring
issue. However, a variety of programs and initiatives have been developed to
address this age-old problem. A discussion is provided about educational
opportunities, financial incentives and enhanced infrastructure that have been
developed to address recruitment and retention challenges. Ongoing evaluations of
each of these areas are necessary but require cooperation across provincial and
national settings.
PMID- 26560257
TI - Mentoring from Afar: Nurse Mentor Challenges in the Canadian Armed Forces.
AB - There is an integral connection between leadership, mentoring and professional
career progression within the nursing profession. The purpose of this article is
to examine recommendations and best practices from the literature and provide a
basis to construct a formalized successful mentoring dyad program with guidelines
on establishing and maintaining a productive mentoring relationship over long
distance. Canadian Armed Forces (CAF) nurses practice within a unique domain both
domestically and abroad. The military environment incorporates many aspects of
mentoring that could benefit significantly by distance interchange. Supported
through examining literature within nursing, CAF publications and other
professions along with contrasting successful distance mentoring programs, the
findings suggest that a top-down, leadership-driven formal mentoring program
could be beneficial to CAF nurses. The literature review outlines definitions of
terms for mentorship and distance mentoring or e-mentoring. A cross section of
technology is now embedded in all work environments with personal communication
devices commonplace. Establishing mentoring relationships from afar is practical
and feasible. This article provides a guided discussion for nursing leaders,
managers and grassroots nurses to implement mentoring programs over distances.
The recommendations and findings of this article could have universal
applications to isolated nursing environments outside of Canadian military
operational frameworks.
PMID- 26560258
TI - Management of gallstones and its related complications.
AB - The majority of gallstone patients remain asymptomatic; however, interest toward
the gallstone disease is continuing because of the high worldwide prevalence and
management costs and the development of gallstone symptoms and complications. For
cholesterol gallstone disease, moreover, a strong link exists between this
disease and highly prevalent metabolic disorders such as obesity, dyslipidemia,
type 2 diabetes, hyperinsulinemia, hypertriglyceridemia and the metabolic
syndrome. Information on the natural history as well as the diagnostic, surgical
(mainly laparoscopic cholecystectomy) and medical tools available to facilitate
adequate management of cholelithiasis and its complications are, therefore,
crucial to prevent the negative outcomes of gallstone disease. Moreover, some
risk factors for gallstone disease are modifiable and some preventive strategies
have become necessary to reduce the onset and the severity of complications.
PMID- 26560259
TI - The Mindful Attention Awareness Scale: Further Examination of Dimensionality,
Reliability, and Concurrent Validity Estimates.
AB - We examined the factor structure and psychometric properties of the Mindful
Attention Awareness Scale (MAAS) in a sample of 810 undergraduate students. Using
common exploratory factor analysis (EFA), we obtained evidence for a 1-factor
solution (41.84% common variance). To confirm unidimensionality of the 15-item
MAAS, we conducted a 1-factor confirmatory factor analysis (CFA). Results of the
EFA and CFA, respectively, provided support for a unidimensional model. Using
differential item functioning analysis methods within item response theory
modeling (IRT-based DIF), we found that individuals with high and low levels of
nonattachment responded similarly to the MAAS items. Following a detailed item
analysis, we proposed a 5-item short version of the instrument and present
descriptive statistics and composite score reliability for the short and full
versions of the MAAS. Finally, correlation analyses showed that scores on the
full and short versions of the MAAS were associated with measures assessing
related constructs. The 5-item MAAS is as useful as the original MAAS in
enhancing our understanding of the mindfulness construct.
PMID- 26560260
TI - A breath of fresh air.
PMID- 26560262
TI - Radio interference.
PMID- 26560261
TI - Universities' value.
PMID- 26560263
TI - China must act decisively to eradicate the ivory trade.
PMID- 26560274
TI - Keystone XL decision puts spotlight on US climate politics.
PMID- 26560275
TI - Arecibo Observatory director quits after funding row.
PMID- 26560276
TI - Uncertainty for UK science ahead of giant spending review.
PMID- 26560277
TI - Mega science prize split between 1,377 physicists.
PMID- 26560278
TI - Leukaemia success heralds wave of gene-editing therapies.
PMID- 26560279
TI - Canada creates science-minister post.
PMID- 26560281
TI - Science and sexism: In the eye of the Twitterstorm.
PMID- 26560282
TI - New life for pig-to-human transplants.
PMID- 26560283
TI - Biological research: Rethink biosafety.
PMID- 26560284
TI - CRISPR: A path through the thicket.
PMID- 26560288
TI - Drug pollution: industry responds.
PMID- 26560289
TI - Twentieth anniversary: Lessons from Madrid for next climate talks.
PMID- 26560290
TI - Policy advice: Irked by naivety about policymaking.
PMID- 26560291
TI - Drug pollution: Europe responds.
PMID- 26560292
TI - Economics: Account for soil as natural capital.
PMID- 26560293
TI - Astronomy: A small star with an Earth-like planet.
PMID- 26560294
TI - Earth science: Deadly combination.
PMID- 26560295
TI - Materials chemistry: Liquefied molecular holes.
PMID- 26560297
TI - Biological rhythms: Human sleep before the industrial era.
PMID- 26560298
TI - A rocky planet transiting a nearby low-mass star.
AB - M-dwarf stars--hydrogen-burning stars that are smaller than 60 per cent of the
size of the Sun--are the most common class of star in our Galaxy and outnumber
Sun-like stars by a ratio of 12:1. Recent results have shown that M dwarfs host
Earth-sized planets in great numbers: the average number of M-dwarf planets that
are between 0.5 to 1.5 times the size of Earth is at least 1.4 per star. The
nearest such planets known to transit their star are 39 parsecs away, too distant
for detailed follow-up observations to measure the planetary masses or to study
their atmospheres. Here we report observations of GJ 1132b, a planet with a size
of 1.2 Earth radii that is transiting a small star 12 parsecs away. Our Doppler
mass measurement of GJ 1132b yields a density consistent with an Earth-like bulk
composition, similar to the compositions of the six known exoplanets with masses
less than six times that of the Earth and precisely measured densities. Receiving
19 times more stellar radiation than the Earth, the planet is too hot to be
habitable but is cool enough to support a substantial atmosphere, one that has
probably been considerably depleted of hydrogen. Because the host star is nearby
and only 21 per cent the radius of the Sun, existing and upcoming telescopes will
be able to observe the composition and dynamics of the planetary atmosphere.
PMID- 26560299
TI - Liquids with permanent porosity.
AB - Porous solids such as zeolites and metal-organic frameworks are useful in
molecular separation and in catalysis, but their solid nature can impose
limitations. For example, liquid solvents, rather than porous solids, are the
most mature technology for post-combustion capture of carbon dioxide because
liquid circulation systems are more easily retrofitted to existing plants. Solid
porous adsorbents offer major benefits, such as lower energy penalties in
adsorption-desorption cycles, but they are difficult to implement in conventional
flow processes. Materials that combine the properties of fluidity and permanent
porosity could therefore offer technological advantages, but permanent porosity
is not associated with conventional liquids. Here we report free-flowing liquids
whose bulk properties are determined by their permanent porosity. To achieve
this, we designed cage molecules that provide a well-defined pore space and that
are highly soluble in solvents whose molecules are too large to enter the pores.
The concentration of unoccupied cages can thus be around 500 times greater than
in other molecular solutions that contain cavities, resulting in a marked change
in bulk properties, such as an eightfold increase in the solubility of methane
gas. Our results provide the basis for development of a new class of functional
porous materials for chemical processes, and we present a one-step, multigram
scale-up route for highly soluble 'scrambled' porous cages prepared from a
mixture of commercially available reagents. The unifying design principle for
these materials is the avoidance of functional groups that can penetrate into the
molecular cage cavities.
PMID- 26560300
TI - Plate tectonics on the Earth triggered by plume-induced subduction initiation.
AB - Scientific theories of how subduction and plate tectonics began on Earth--and
what the tectonic structure of Earth was before this--remain enigmatic and
contentious. Understanding viable scenarios for the onset of subduction and plate
tectonics is hampered by the fact that subduction initiation processes must have
been markedly different before the onset of global plate tectonics because most
present-day subduction initiation mechanisms require acting plate forces and
existing zones of lithospheric weakness, which are both consequences of plate
tectonics. However, plume-induced subduction initiation could have started the
first subduction zone without the help of plate tectonics. Here, we test this
mechanism using high-resolution three-dimensional numerical thermomechanical
modelling. We demonstrate that three key physical factors combine to trigger self
sustained subduction: (1) a strong, negatively buoyant oceanic lithosphere; (2)
focused magmatic weakening and thinning of lithosphere above the plume; and (3)
lubrication of the slab interface by hydrated crust. We also show that plume
induced subduction could only have been feasible in the hotter early Earth for
old oceanic plates. In contrast, younger plates favoured episodic lithospheric
drips rather than self-sustained subduction and global plate tectonics.
PMID- 26560301
TI - Widespread exploitation of the honeybee by early Neolithic farmers.
AB - The pressures on honeybee (Apis mellifera) populations, resulting from threats by
modern pesticides, parasites, predators and diseases, have raised awareness of
the economic importance and critical role this insect plays in agricultural
societies across the globe. However, the association of humans with A. mellifera
predates post-industrial-revolution agriculture, as evidenced by the widespread
presence of ancient Egyptian bee iconography dating to the Old Kingdom
(approximately 2400 BC). There are also indications of Stone Age people
harvesting bee products; for example, honey hunting is interpreted from rock art
in a prehistoric Holocene context and a beeswax find in a pre-agriculturalist
site. However, when and where the regular association of A. mellifera with
agriculturalists emerged is unknown. One of the major products of A. mellifera is
beeswax, which is composed of a complex suite of lipids including n-alkanes, n
alkanoic acids and fatty acyl wax esters. The composition is highly constant as
it is determined genetically through the insect's biochemistry. Thus, the
chemical 'fingerprint' of beeswax provides a reliable basis for detecting this
commodity in organic residues preserved at archaeological sites, which we now use
to trace the exploitation by humans of A. mellifera temporally and spatially.
Here we present secure identifications of beeswax in lipid residues preserved in
pottery vessels of Neolithic Old World farmers. The geographical range of bee
product exploitation is traced in Neolithic Europe, the Near East and North
Africa, providing the palaeoecological range of honeybees during prehistory.
Temporally, we demonstrate that bee products were exploited continuously, and
probably extensively in some regions, at least from the seventh millennium cal
BC, likely fulfilling a variety of technological and cultural functions. The
close association of A. mellifera with Neolithic farming communities dates to the
early onset of agriculture and may provide evidence for the beginnings of a
domestication process.
PMID- 26560302
TI - Oxygen regulation of breathing through an olfactory receptor activated by
lactate.
AB - Animals have evolved homeostatic responses to changes in oxygen availability that
act on different timescales. Although the hypoxia-inducible factor (HIF)
transcriptional pathway that controls long-term responses to low oxygen (hypoxia)
has been established, the pathway that mediates acute responses to hypoxia in
mammals is not well understood. Here we show that the olfactory receptor gene
Olfr78 is highly and selectively expressed in oxygen-sensitive glomus cells of
the carotid body, a chemosensory organ at the carotid artery bifurcation that
monitors blood oxygen and stimulates breathing within seconds when oxygen
declines. Olfr78 mutants fail to increase ventilation in hypoxia but respond
normally to hypercapnia. Glomus cells are present in normal numbers and appear
structurally intact, but hypoxia-induced carotid body activity is diminished.
Lactate, a metabolite that rapidly accumulates in hypoxia and induces
hyperventilation, activates Olfr78 in heterologous expression experiments,
induces calcium transients in glomus cells, and stimulates carotid sinus nerve
activity through Olfr78. We propose that, in addition to its role in olfaction,
Olfr78 acts as a hypoxia sensor in the breathing circuit by sensing lactate
produced when oxygen levels decline.
PMID- 26560304
TI - Bruch's membrane abnormalities in PRDM5-related brittle cornea syndrome.
AB - BACKGROUND: Brittle cornea syndrome (BCS) is a rare, generalized connective
tissue disorder associated with extreme corneal thinning and a high risk of
corneal rupture. Recessive mutations in transcription factors ZNF469 and PRDM5
cause BCS. Both transcription factors are suggested to act on a common pathway
regulating extracellular matrix genes, particularly fibrillar collagens. We
identified bilateral myopic choroidal neovascularization as the presenting
feature of BCS in a 26-year-old-woman carrying a novel PRDM5 mutation
(p.Glu134*). We performed immunohistochemistry of anterior and posterior segment
ocular tissues, as expression of PRDM5 in the eye has not been described, or the
effects of PRDM5-associated disease on the retina, particularly the extracellular
matrix composition of Bruch's membrane. METHODS: Immunohistochemistry using
antibodies against PRDM5, collagens type I, III, and IV was performed on the eyes
of two unaffected controls and two patients (both with Delta9-14 PRDM5).
Expression of collagens, integrins, tenascin and fibronectin in skin fibroblasts
of a BCS patient with a novel p.Glu134* PRDM5 mutation was assessed using
immunofluorescence. RESULTS: PRDM5 is expressed in the corneal epithelium and
retina. We observe reduced expression of major components of Bruch's membrane in
the eyes of two BCS patients with a PRDM5 Delta9-14 mutation. Immunofluorescence
performed on skin fibroblasts from a patient with p.Glu134* confirms the
generalized nature of extracellular matrix abnormalities in BCS. CONCLUSIONS:
PDRM5-related disease is known to affect the cornea, skin and joints. Here we
demonstrate, to the best of our knowledge for the first time, that PRDM5
localizes not only in the human cornea, but is also widely expressed in the
retina. Our findings suggest that ECM abnormalities in PRDM5-associated disease
are more widespread than previously reported.
PMID- 26560305
TI - A novel group of diverse Polinton-like viruses discovered by metagenome analysis.
AB - BACKGROUND: The rapidly growing metagenomic databases provide increasing
opportunities for computational discovery of new groups of organisms.
Identification of new viruses is particularly straightforward given the
comparatively small size of viral genomes, although fast evolution of viruses
complicates the analysis of novel sequences. Here we report the metagenomic
discovery of a distinct group of diverse viruses that are distantly related to
the eukaryotic virus-like transposons of the Polinton superfamily. RESULTS: The
sequence of the putative major capsid protein (MCP) of the unusual linear
virophage associated with Phaeocystis globosa virus (PgVV) was used as a bait to
identify potential related viruses in metagenomic databases. Assembly of the
contigs encoding the PgVV MCP homologs followed by comprehensive sequence
analysis of the proteins encoded in these contigs resulted in the identification
of a large group of Polinton-like viruses (PLV) that resemble Polintons
(polintoviruses) and virophages in genome size, and share with them a conserved
minimal morphogenetic module that consists of major and minor capsid proteins and
the packaging ATPase. With a single exception, the PLV lack the retrovirus-type
integrase that is encoded in the genomes of all Polintons and the Mavirus group
of virophages. However, some PLV encode a newly identified tyrosine recombinase
integrase that is common in bacteria and bacteriophages and is also found in the
Organic Lake virophage group. Although several PLV genomes and individual genes
are integrated into algal genomes, it appears likely that most of the PLV are
viruses. Given the absence of protease and retrovirus-type integrase, the PLV
could resemble the ancestral polintoviruses that evolved from bacterial
tectiviruses. Apart from the conserved minimal morphogenetic module, the PLV
widely differ in their genome complements but share a gene network with Polintons
and virophages, suggestive of multiple gene exchanges within a shared gene pool.
CONCLUSIONS: The discovery of PLV substantially expands the emerging class of
eukaryotic viruses and transposons that also includes Polintons and virophages.
This class of selfish elements is extremely widespread and might have been a
hotbed of eukaryotic virus, transposon and plasmid evolution. New families of
these elements are expected to be discovered.
PMID- 26560306
TI - Peroxiredoxin 6 Is a Crucial Factor in the Initial Step of Mitochondrial
Clearance and Is Upstream of the PINK1-Parkin Pathway.
AB - AIMS: PTEN-putative kinase 1 (PINK1)-Parkin-mediated mitophagy is crucial for the
clearance of damaged mitochondria. However, the mechanisms underlying PINK1
Parkin-mediated mitophagy are not fully understood. The goal of this study is to
identify new regulators and to elucidate the regulatory mechanisms of mitophagy.
RESULTS: Quantitative mitochondrial proteomic analysis revealed that 63 proteins
showed increased levels and 36 proteins showed decreased levels in cells
subjected to carbonyl cyanide m-chlorophenyl hydrazone (CCCP) treatment.
Peroxiredoxin 6 (PRDX6 or Prx6), a unique member of the ubiquitous PRDX family,
was recruited to depolarized mitochondria. Reactive oxygen species (ROS)
generated by CCCP promoted PRDX6 accumulation and PINK1 stabilization in damaged
mitochondria and induced mitophagy. In addition, depletion of PRDX6 resulted in
the stabilization of PINK1, accumulation of autophagic marker, p62, translocation
of Parkin to mitochondria, and lipidation of microtubule-associated protein 1
light chain 3. Furthermore, these events were blocked upon supplementation with
antioxidant N-acetyl-l-cysteine or depletion of PINK1. INNOVATION: This is the
first study to demonstrate that PRDX6 is the only member of the PRDX family that
relocates to damaged mitochondria, where it plays a crucial role in the initial
stage of mitophagy by controlling ROS homeostasis. CONCLUSION: ROS induce the
recruitment of PRDX6 to mitochondria, where PRDX6 controls ROS homeostasis in the
initial step of PINK1-Parkin-mediated mitophagy. Our study provides new insight
into the initial regulatory mechanisms of mitophagy and reveals the protective
role of PRDX6 in the clearance of damaged mitochondria.
PMID- 26560308
TI - Development and validation of risk prediction equations to estimate future risk
of blindness and lower limb amputation in patients with diabetes: cohort study.
AB - STUDY QUESTION: Is it possible to develop and externally validate risk prediction
equations to estimate the 10 year risk of blindness and lower limb amputation in
patients with diabetes aged 25-84 years? METHODS: This was a prospective cohort
study using routinely collected data from general practices in England
contributing to the QResearch and Clinical Practice Research Datalink (CPRD)
databases during the study period 1998-2014. The equations were developed using
763 QResearch practices (n=454,575 patients with diabetes) and validated in 254
different QResearch practices (n=142,419) and 357 CPRD practices (n=206,050). Cox
proportional hazards models were used to derive separate risk equations for
blindness and amputation in men and women that could be evaluated at 10 years.
Measures of calibration and discrimination were calculated in the two validation
cohorts. STUDY ANSWER AND LIMITATIONS: Risk prediction equations to quantify
absolute risk of blindness and amputation in men and women with diabetes have
been developed and externally validated. In the QResearch derivation cohort, 4822
new cases of lower limb amputation and 8063 new cases of blindness occurred
during follow-up. The risk equations were well calibrated in both validation
cohorts. Discrimination was good in men in the external CPRD cohort for
amputation (D statistic 1.69, Harrell's C statistic 0.77) and blindness (D
statistic 1.40, Harrell's C statistic 0.73), with similar results in women and in
the QResearch validation cohort. The algorithms are based on variables that
patients are likely to know or that are routinely recorded in general practice
computer systems. They can be used to identify patients at high risk for
prevention or further assessment. Limitations include lack of formally
adjudicated outcomes, information bias, and missing data. WHAT THIS STUDY ADDS:
Patients with type 1 or type 2 diabetes are at increased risk of blindness and
amputation but generally do not have accurate assessments of the magnitude of
their individual risks. The new algorithms calculate the absolute risk of
developing these complications over a 10 year period in patients with diabetes,
taking account of their individual risk factors. FUNDING, COMPETING INTERESTS,
DATA SHARING: JH-C is co-director of QResearch, a not for profit organisation
which is a joint partnership between the University of Nottingham and Egton
Medical Information Systems, and is also a paid director of ClinRisk Ltd. CC is a
paid consultant statistician for ClinRisk Ltd.
PMID- 26560309
TI - Use of electronic nicotine delivery systems and other tobacco products among USA
adults, 2014: results from a national survey.
AB - OBJECTIVES: This study assessed the awareness and use of traditional and novel
tobacco products and dual use of cigarettes with electronic nicotine delivery
systems (ENDS) among USA adults. METHODS: Data were obtained from the 2014
Tobacco Products and Risk Perceptions Survey of a probability sample of 5717 USA
adults conducted June-November, 2014. RESULTS: Use of ENDS varied by demography
and by cigarette and other tobacco use. Adults aged 25-34, non-heterosexual
adults, and those reporting poorer health reported higher rates of current ENDS
use. Current cigarette smokers had much greater odds of ENDS ever use than never
smokers, with one-half of all cigarette smokers having used ENDS and 20.7 %
currently using them. However, 22.0 % of current ENDS users were former cigarette
smokers, and 10.0 % were never cigarette smokers. CONCLUSIONS: Patterns of ENDS
use are evolving rapidly and merit continued surveillance. Nearly 10 % of adult
ENDS usage is among never smokers. The public health challenge is how to enhance
the potential that ENDS can replace combusted tobacco products without expanding
nicotine use among youth, long-term ex-smokers, and other vulnerable populations.
PMID- 26560310
TI - Seasonal Dynamics of Soil Labile Organic Carbon and Enzyme Activities in Relation
to Vegetation Types in Hangzhou Bay Tidal Flat Wetland.
AB - Soil labile organic carbon and soil enzymes play important roles in the carbon
cycle of coastal wetlands that have high organic carbon accumulation rates. Soils
under three vegetations (Phragmites australis, Spartina alterniflora, and
Scirpusm mariqueter) as well as bare mudflat in Hangzhou Bay wetland of China
were collected seasonally. Seasonal dynamics and correlations of soil labile
organic carbon fractions and soil enzyme activities were analyzed. The results
showed that there were significant differences among vegetation types in the
contents of soil organic carbon (SOC) and dissolved organic carbon (DOC),
excepting for that of microbial biomass carbon (MBC). The P. australis soil was
with the highest content of both SOC (7.86 g kg-1) and DOC (306 mg kg-1), while
the S. mariqueter soil was with the lowest content of SOC (6.83 g kg-1), and the
bare mudflat was with the lowest content of DOC (270 mg kg-1). Soil enzyme
activities were significantly different among vegetation types except for urease.
The P. australis had the highest annual average activity of alkaline
phosphomonoesterase (21.4 mg kg-1 h-1), and the S. alterniflora had the highest
annual average activities of beta-glycosidase (4.10 mg kg-1 h-1) and invertase
(9.81 mg g-1 24h-1); however, the bare mudflat had the lowest activities of
alkaline phosphomonoesterase (16.2 mg kg-1 h-1), beta-glycosidase (2.87 mg kg-1 h
1), and invertase (8.02 mg g-1 24h-1). Analysis also showed that the soil labile
organic carbon fractions and soil enzyme activities had distinct seasonal
dynamics. In addition, the soil MBC content was significantly correlated with the
activities of urease and beta-glucosidase. The DOC content was significantly
correlated with the activities of urease, alkaline phosphomonoesterase, and
invertase. The results indicated that vegetation type is an important factor
influencing the spatial-temporal variation of soil enzyme activities and labile
organic carbon in coastal wetlands.
PMID- 26560312
TI - A log-linear modelling approach to assessing the consistency of ego reports of
dyadic outcomes with applications to fertility and sexual partnerships.
AB - We propose a log-linear model to assess the consistency of ego reports of dyadic
outcomes. We do so specifically in the context where males and females report on
shared events, and we demonstrate how inconsistencies can be assessed by using a
log-linear model that estimates separate mixing totals for each set of reports.
This modelling approach immediately allows us to determine where inconsistencies
in reports occur. To demonstrate how our method can be easily implemented for
survey data, we apply it to both the 1992 National Health and Social Life Survey
and the 2002 National Survey of Family Growth. Our analysis identifies
inconsistencies in male and female reports of concurrent partnerships and the
number of biological children.
PMID- 26560311
TI - Fostering Formal Commutativity Knowledge with Approximate Arithmetic.
AB - How can we enhance the understanding of abstract mathematical principles in
elementary school? Different studies found out that nonsymbolic estimation could
foster subsequent exact number processing and simple arithmetic. Taking the
commutativity principle as a test case, we investigated if the approximate
calculation of symbolic commutative quantities can also alter the access to
procedural and conceptual knowledge of a more abstract arithmetic principle.
Experiment 1 tested first graders who had not been instructed about commutativity
in school yet. Approximate calculation with symbolic quantities positively
influenced the use of commutativity-based shortcuts in formal arithmetic. We
replicated this finding with older first graders (Experiment 2) and third graders
(Experiment 3). Despite the positive effect of approximation on the spontaneous
application of commutativity-based shortcuts in arithmetic problems, we found no
comparable impact on the application of conceptual knowledge of the commutativity
principle. Overall, our results show that the usage of a specific arithmetic
principle can benefit from approximation. However, the findings also suggest that
the correct use of certain procedures does not always imply conceptual
understanding. Rather, the conceptual understanding of commutativity seems to lag
behind procedural proficiency during elementary school.
PMID- 26560313
TI - Overexpression of the olive acyl carrier protein gene (OeACP1) produces
alterations in fatty acid composition of tobacco leaves.
AB - Taking into account that fatty acid (FA) biosynthesis plays a crucial role in
lipid accumulation in olive (Olea europaea L.) mesocarp, we investigated the
effect of olive acyl carrier protein (ACP) on FA composition by overexpressing an
olive ACP cDNA in tobacco plants. The OeACP1.1A cDNA was inserted in the nucleus
or in the chloroplast DNA of different tobacco plants, resulting in extensive
transcription of the transgenes. The transplastomic plants accumulated lower
olive ACP levels in comparison to nuclear-transformed plants. Moreover, the
phenotype of the former plants was characterized by pale green/white cotyledons
with abnormal chloroplasts, delayed germination and reduced growth. We suggest
that the transplastomic phenotype was likely caused by inefficient olive ACP mRNA
translation in chloroplast stroma. Conversely, total lipids from leaves of
nuclear transformants expressing high olive ACP levels showed a significant
increase in oleic acid (18:1) and linolenic acid (18:3), and a concomitant
significant reduction of hexadecadienoic acid (16:2) and hexadecatrienoic acid
(16:3). This implies that in leaves of tobacco transformants, as likely in the
mesocarp of olive fruit, olive ACP not only plays a general role in FA synthesis,
but seems to be specifically involved in chain length regulation forwarding the
elongation to C18 FAs and the subsequent desaturation to 18:1 and 18:3.
PMID- 26560314
TI - Cannabis exposure and risk of testicular cancer: a systematic review and meta
analysis.
AB - BACKGROUND: The aetiology of testicular cancer remains elusive. In this
manuscript, we review the evidence regarding the association between cannabis use
and testicular cancer development. METHODS: In this systematic review and meta
analysis, we reviewed literature published between 1(st) January 1980 and 13(th)
May 2015 and found three case-control studies that investigated the association
between cannabis use and development of testicular germ cell tumours (TGCTs).
RESULTS/CONCLUSIONS: Using meta-analysis techniques, we observed that a) current,
b) chronic, and c) frequent cannabis use is associated with the development of
TGCT, when compared to never-use of the drug. The strongest association was found
for non-seminoma development--for example, those using cannabis on at least a
weekly basis had two and a half times greater odds of developing a non-seminoma
TGCT compared those who never used cannabis (OR: 2.59, 95% CI 1.60-4.19). We
found inconclusive evidence regarding the relationship between cannabis use and
the development of seminoma tumours. It must be noted that these observations
were derived from three studies all conducted in the United States; and the
majority of data collection occurred during the 1990's.
PMID- 26560315
TI - Characterization of a novel metallo-beta-lactamases fold hydrolase from
Pelagibacterium halotolerans, a marine halotolerant bacterium isolated from East
China Sea.
AB - In this study, a novel metallo-beta-lactamases fold hydrolase PH-1 was identified
from Pelagibacterium halotolerans B2(T). This novel member of the family
Hyphomicrobiaceae was isolated from the East China Sea. In silico analysis
demonstrated that PH-1 and its relative homologues cluster in a unique branch and
constitute a new subgroup among MBLs. PH-1 was cloned and overexpressed in
Escherichia coli BL21 in a soluble form. SDS-PAGE, MALDI-TOF/TOF-MS, and size
exclusion chromatography analysis demonstrated that the PH-1 was a monomer with
molecular weight of about 29 kDa. Substrate specificity study showed PH-1
preferred penicillin type beta-lactams and exhibited maximum activity toward
penicillin-G. Additionally, our experiments also revealed that PH-1 was a
halotolerant enzyme since it is active under 4 M NaCl. The enzyme activity of PH
1 was negatively affected by 1 mM Mn(2+) and EDTA. These observations lay a
foundation for further study of MBLs from marine bacterium.
PMID- 26560316
TI - Using a Lethality Index to Assess Susceptibility of Tribolium confusum and
Oryzaephilus surinamensis to Insecticides.
AB - We evaluated knockdown caused by four insecticides: alpha-cypermethrin,
chlorfenapyr, pirimiphos-methyl and fipronil against adults of Tribolium confusum
Jacquelin Duval, the confused flour beetle and Oryzaephilus surinamensis (L.),
the sawtoothed grain beetle. Bioassays were conducted on concrete and metal
surfaces. Adults of the tested species were exposed on both surfaces treated with
the above insecticides at two doses (low and high). Knockdown assessment was done
after 15, 30 and 60 min of adult exposure in the treated surfaces. Also, after 1,
3, 5, 7 and 14 d of exposure, a lethality index was calculated with an equation
resulting to values from 0 to 100, where 100 indicated complete mortality and 0
complete survival. We also developed a lethality index by ranking each adult on
each surface from 0 to 4, 0: adults moved normally, 1: adults were knocked down,
but were able to walk for short intervals, 2: adults were knocked down and unable
to walk, but with visible movement of antennae etc., 3: adults were knocked down,
with very minimal movement of the tarsi and the antennae and 4: adults were dead
(no movement). Knockdown of adults immediately after exposure (15-60 min) was
higher for pirimiphos-methyl followed by alpha-cypermethrin, for both dose rates
tested and species, but only on the metal surface. The lethality index was nearly
100 for all insecticides after 5d of exposure for O. surinamensis, while for T.
confusum the adult lethality index was considerably lower for alpha-cypermethrin,
suggesting that that recovery from knockdown occurred. Chlorfenapyr was the only
insecticide that was more effective on concrete than on metal, while the reverse
was noted for the other three insecticides. These results show that knockdown has
different levels, which can be used as indicators of insect mortality or
recovery.
PMID- 26560319
TI - Global Trends in Nanotechnological Approaches for Various Health Issues - Volume
II.
PMID- 26560318
TI - Use of CLIA-waived point-of-care tests for infectious diseases in community
pharmacies in the United States.
AB - Review of point-of-care (POC) testing in community pharmacies, availability and
specifications of CLIA-waived infectious disease POC tests, and provide
recommendations for future community pharmacy POC models in an effort to improve
patient outcomes while reducing antibiotic resistance. PubMed and Medscape were
searched for the following keywords: infectious disease, community pharmacy,
rapid diagnostic tests, rapid assay, and POC tests. All studies utilizing POC
tests in community pharmacies for infectious disease were included. Studies,
articles, recommendations, and posters were reviewed and information categorized
into general implementation of POC testing in community pharmacies, CLIA-waived
tests available, Influenza, Group A Streptococcus pharyngitis, Helicobacter
pylori, HIV and Hepatitis C. POC testing provides a unique opportunity for
community pharmacists to implement collaborative disease management programmes
for infectious diseases and reduce over-prescribing of antibiotics and improve
patient outcomes through early detection, treatment and/or referral to a
specialist.
PMID- 26560317
TI - Characterization of secretomes provides evidence for adipose-derived mesenchymal
stromal cells subtypes.
AB - INTRODUCTION: This study was aimed at deciphering the secretome of adipose
derived mesenchymal stromal cells (ADSCs) cultured in standard and hypoxic
conditions to reveal proteins, which may be responsible for regenerative action
of these cells. METHODS: Human ADSCs were isolated from 10 healthy donors and
cultured for 3-4 passages. Cells were serum deprived and cell purity was assessed
using multiple cell surface markers. Conditioned media was collected and analyzed
using LC-MS with a focus on characterizing secreted proteins. RESULTS: Purity of
the ADSC assessed as CD90+/CD73+/CD105+/CD45-/CD31- cells was greater than 99 %
and viability was greater than 97 %. More than 600 secreted proteins were
detected in conditioned media of ADSCs. Of these 100 proteins were common to all
cultures and included key molecules involved in tissue regeneration such as
collagens and collagen maturation enzymes, matrix metalloproteases, matricellular
proteins, macrophage-colony stimulating factor and pigment epithelium derived
factor. Common set of proteins also included molecules, which contribute to
regenerative processes but were not previously associated with ADSCs. These
included olfactomedin-like 3, follistatin-like 1 and prosaposin. In addition,
ADSCs from the different subjects secreted proteins, which were variable between
different cultures. These included proteins with neurotrophic activities, which
were not previously associated with ADSCs, such as mesencephalic astrocyte
derived neurotrophic factor, meteorin and neuron derived neurotrophic factor.
Hypoxia resulted in secretion of 6 proteins, the most prominent included EGF-like
repeats and discoidin I-like domains 3, adrenomedullin and ribonuclease 4 of
RNase A family. It also caused the disappearance of 8 proteins, including
regulator of osteogenic differentiation cartilage-associated protein.
CONCLUSIONS: Human ADSCs with CD90+/CD73+/CD105+/CD45-/CD31
/PDGFRbeta+/NG2+/CD146+(-) immunophenotype secrete a large array of proteins, the
most represented group is comprised of extracellular matrix components. Number of
secreted proteins is largely unaffected by prolonged hypoxia. Variability in the
secretion of several proteins from cultured ADSCs of individual subjects suggests
that these cells exist as a heterogeneous population containing functionally
distinct subtypes, which differ in numbers between donors.
PMID- 26560320
TI - Nanotechnology in Disease Diagnostic Techniques.
AB - Currently the major research highlights of bioengineering and medical technology
are directed towards development of improved diagnostic techniques to screen
complex diseases. Screening requirements are to identify the cause of illnesses,
monitor improvement or progression of the state of diseases such as cancer,
cardiovascular or neurodegenerative diseases. Nanotechnology enables the
manipulation of materials at nanoscale and has shown potential to enhance
sensitivity, selectivity and lower the cost of a diagnosis. The causative
biomolecules (DNA, proteins) can be detected by red-shifted absorbance of gold
nanoparticles or alteration in the conductance of a nanowire or nanotubes, and
deflection of a micro or nano-cantilever. Several types of nanomaterials such as
metals, metal-oxides and quantum dots have shown ample advantages over
traditional diagnosis, intracellular labeling and visualization of target
cells/tissues. Nanotechnology has also opened several avenues which could be
further developed to enable enhanced visualization of tissues, cells, DNA and
proteins over a point-of-care device. Protein or gene chips created using
nanomaterials could further be integrated into a convenient nano-fluidic device
for better disease diagnosis.
PMID- 26560321
TI - Application of Efficient Nanoparticles for Early Diagnosis and Treatment of
Cancer.
AB - Cancer is considered as a prevalent cause of human deaths and undoubtedly, is the
most complex disease with multiple cellular physiological systems involved.
During the last decade, the application of nanotechnological products for cancer
treatment has received considerable attention. These sophisticated tools and
materials treat cancer though the early diagnosis, the prediction, the prevention
and the personalized therapy. This technology enabled the development of
nanoscale particles that can be conjugated with one or multiple functional
molecules simultaneously. Nanoparticles have the capability to be delivered
directly through blood vessels to the tumor site and interact with targeted tumor
specific proteins located inside or on the surface of cancer cells, since their
size is a hundred to thousand times smaller than cancer cells. In this review,
comprehensive outline of all the latest scientific and technological applications
such as quantum dots and gold nanoparticles alongside with their applications in
cancer diagnosis and treatment have been presented.
PMID- 26560322
TI - Applications of Nanotechnology in Diagnostics and Therapeutics of Alzheimer's and
Parkinson's Disease.
AB - In this paper, an extended review analysis has been presented concerning the
developments in brain drug delivery through new and efficient applications of
nanotechnology. Modern nanotechnological approaches for the diagnosis and
treatment of Alzheimer's and Parkinson's diseases are described along with
simultaneous analysis of safety and practical clinical usage of these strategies.
PMID- 26560323
TI - An Overview of Current Screening and Management Approaches for Prostate Cancer.
AB - Prostate cancer is the fourth leading cause of mortality in Australian men. The
prevalence and incidence is increasing in both developed and developing nations,
thus there is a need for better screening and management of this disorder. While
there is no direct known cause of prostate cancer, management is largely focused
on early detection and treatment strategies. Of particular concern is advanced
prostate cancer which can manifest as castrate resistant prostate cancer
characterized by therapy resistance. This short review outlines the global
epidemiology of prostate cancer, clinical manifestations, risk factors, current
screening strategies including first line clinical screening as well as the use
of circulating biomarkers, and treatment of prostate cancer through mainstream
therapeutics as well as the cutting edge peptide and nano-technology based
therapeutics that are being implemented or in the process of development to
overcome therapeutic obstacles in the treatment of prostate cancer.
PMID- 26560324
TI - An Overview on Global Trends in Nanotechnological Approaches for Alzheimer
Therapy.
AB - Despite extensive research for over two decades, the medical science is yet to
assign the exact aetiology and mode of progression of Alzheimer's disease (AD).
The modern era of AD drug development began with the proposal of the cholinergic
hypothesis of memory impairment. Since then, despite the proposal and phase
trials of many therapeutic options, only few drugs have shown some efficacy and
safety. The reasons behind this have been many including the ineffectiveness of
tested drugs and inadequacy of clinical development methods. In this manuscript,
we present an account of modern structural, functional and molecular imaging
developed for AD therapy. A comprehensive review of all the current and future
treatment options for AD, ranging from cholinergic drugs, NMDA receptor
antagonist, immunotherapy, drugs reducing Aβ production, and drugs targeting
tau protein and mitochondrial dysfunction has also been provided. However, the
failure of all the proposed treatment options to provide a complete cure of AD
has been pushing for the need of new therapies. The recent advent of nano-drugs
has been proposed to provide crucial breakthroughs in AD therapy. Hence, a
detailed outline of the usage and applications of nano-drugs in AD therapy, and
outstanding developments in nanodrug metabolism and disposition has been
discussed.
PMID- 26560325
TI - Disturbances in Maternal Steroidogenesis and Appearance of Intrauterine Growth
Retardation at High-Altitude Environments Are Established from Early Pregnancy.
Effects of Treatment with Antioxidant Vitamins.
AB - Pregnancies at high-altitudes are influenced by hypoxia and oxidative stress and
frequently affected by IUGR. However, a common thought is that early pregnant
women visiting altitude have no major complications for gestation development,
since IUGR is developed during the second half of pregnancy. Thus, using a well
characterized sheep-model, we aimed to determine whether long- and/or short-term
exposure to high-altitude may affect maternal steroidogenesis and therefore
embryo-fetal growth from conception. The second aim was to differentiate the
relative role of hypoxia and oxidative stress by assessing the effects of
supplementation with antioxidant agents during this early-pregnancy stage, which
were previously found to be useful to prevent IUGR. The results indicate that
both long- and short-term exposure to high-altitude causes disturbances in
maternal ovarian steroidogenesis and negatively affects embryo-fetal growth
already during the very early stages of gestation, with the consequences being
even worsened in newcomers to high-altitude. The supply of antioxidant during
this period only showed discrete effects for preventing IUGR. In conclusion, the
present study gives a warning for clinicians about the risks for early-pregnant
women when visiting high-altitude regions and suggests the need for further
studies on the effects of the length of exposure and on the interaction of the
exposure with the pregnancy stage.
PMID- 26560327
TI - Risk factors associated with the timing of hospital readmission in an underserved
low socioeconomic population.
AB - OBJECTIVE: Compare risk factors of hospital readmission between 30-, 60- and 90
day readmission groups in a low socioeconomic population. METHODS: Secondary data
obtained from the Epic Systems database management system for patients who
experienced a 30-, 60- or 90-day hospital readmission between 2006 and 2013. Risk
factors analyzed included sex, race/ethnicity, follow-up status, age, BMI,
systolic blood pressure, body temperature and pulse rate. Records for 2191 low
income patients (u age = 44.5 years; 72.5% female; 10.1% African American, 26.2%
Hispanic, 63.7% White) from a central Texas acute health and primary care
facility. RESULTS: The amount of time that passed between a patent's initial
hospital encounter and a follow-up visit had an effect in predicting both 60-day
(OR = 1.055) and 90-day (OR = 1.088) hospital readmission. Patient race/ethnicity
had an effect in predicting 90-day readmission. Hispanic patients had a lower
likelihood of being readmitted after 90 days than being readmitted after 30 days
as compared with White, non-Hispanic patients (OR = 0.688). CONCLUSIONS: Our
study suggests that risk factors identified at 30 days are similar to those at 60
and 90 days, with the exception of follow-up status and race/ethnicity.
PMID- 26560328
TI - Novel investigational drugs mimicking exercise for the treatment of cachexia.
AB - INTRODUCTION: Cachexia is a syndrome characterized by body weight loss, muscle
wasting and metabolic abnormalities, that frequently complicates the management
of people affected by chronic diseases. No effective therapy is actually
available, although several drugs are under clinical evaluation. Altered energy
metabolism markedly contributes to the pathogenesis of cachexia; it can be
improved by exercise, which is able to both induce anabolism and inhibit
catabolism. AREAS COVERED: This review focuses on exercise mimetics and their
potential inclusion in combined protocols to treat cachexia. The authors pay with
particular reference to the cancer-associated cachexia. EXPERT OPINION: Even
though exercise improves muscle phenotype, most patients retain sedentary habits
which are quite difficult to disrupt. Moreover, they frequently present with
chronic fatigue and comorbidities that reduce exercise tolerance. For these
reasons, drugs mimicking exercise could be beneficial to those who are unable to
comply with the practice of physical activity. Since some exercise mimetics may
exert serious side effects, further investigations should focus on treatments
which maintain their effectiveness on muscle phenotype while remaining tolerable
at the same time.
PMID- 26560326
TI - Correlates of retention on extended-release naltrexone among persons living with
HIV infection transitioning to the community from the criminal justice system.
AB - BACKGROUND: The acceptability of and retention on extended-release naltrexone (XR
NTX), an FDA-approved medication for the treatment of alcohol and opioid use
disorders, among persons living with HIV disease (PLH) under criminal justice
setting (CJS) supervision has not been evaluated to date. METHODS: Two double
blind placebo-controlled randomized trials of XR-NTX for inmates with HIV disease
transitioning to the community with (1) alcohol use disorders (AUDs) or (2)
opioid use disorders, are underway. Reasons for not accepting XR-NTX and an
evaluation of differences in demographic features between those who were retained
on study drug and those who did not return for their second injection post
release are discussed. RESULTS: 70% of eligible persons consented to participate;
almost 90% received their first injection; and almost 60% returned for their
first injection after release. Variables found to be associated (p<0.10) with
returning for the second injection included: not meeting criteria for hazardous
drinking (p=0.035; OR 0.424 (CI 0.191-0.941)); being prescribed antiretroviral
therapy (p=0.068; OR 2.170 (CI 0.943-4.992)); expressing experiencing serious
depression 30 days prior to incarceration (p=0.068; OR 1.889 (CI 0.955-3.737));
not having a positive cocaine urine screen on the day of release (DOR) (p=0.011;
OR 0.258 (CI 0.091-0.729)); and not meeting criteria for an AUD plus any
substance use disorder (p=0.068; OR 0.521 (CI 0.259-1.048)). Only positive
cocaine urine test on DOR was statistically significant after multivariate
regression analyses (p=0.005; OR 0.207 (CI 0.068-0.623)). CONCLUSION: CJS based
XR-NTX programs are highly acceptable among PLH, however retention on XR-NTX
after release is negatively impacted by relapse to cocaine use.
PMID- 26560329
TI - Identification of metabolites of isopropyl 3-(3,4-dihydroxyphenyl)-2
hydroxypropanoate in rats by high performance liquid chromatography combined with
electrospray ionization quadrupole time-of-flight tandem mass spectrometry.
AB - Isopropyl 3-(3,4-dihydroxyphenyl)-2-hydroxypropanoate (IDHP) is an
investigational new drug having the capacity for treating ailments in
cardiovascular and cerebrovascular system. In this work, a rapid and sensitive
method using high performance liquid chromatography coupled with electrospray
ionization quadrupole time-of-flight tandem mass spectrometry (HPLC-ESI-Q-TOF-MS)
was developed to reveal the metabolic profile of IDHP in rats after oral
administration. The method involved pretreatment of the samples by formic acid
methanol solution (v:v, 5:95), chromatographic separation by an Agilent Eclipse
XDB-C18 column (150 * 4.6 mm i.d., 5 um) and online identification of the
metabolites by Q-TOF-MS equipped with electrospray ionizer. A total of 16
metabolites from IDHP, including 4 phase I metabolites and 12 phase II
metabolites, were detected and tentatively identified from rat plasma, urine and
feces. Among these metabolites, Danshensu (DSS), a hydrolysis product of IDHP,
could be further transformed to 11 metabolites. These results indicated that DSS
was the main metabolite of IDHP in rats and the major metabolic pathways of IDHP
in vivo were hydrolysis, O-methylation, sulfation, glucuronidation and reduction.
The results also demonstrated that renal route was the main pathway of IDHP
clearance in rat. The present study provided valuable information for better
understanding the efficacy and safety of IDHP. This article is protected by
copyright. All rights reserved.
PMID- 26560330
TI - Multimodality imaging: Bird's eye view from The European Society of Cardiology
Congress 2015 London, August 29-September 2, 2015.
PMID- 26560331
TI - A Fuzzy-C-Means-Clustering Approach: Quantifying Chromatin Pattern of Non
Neoplastic Cervical Squamous Cells.
AB - Despite the effectiveness of Pap-smear test in reducing the mortality rate due to
cervical cancer, the criteria of the reporting standard of the Pap-smear test are
mostly qualitative in nature. This study addresses the issue on how to define the
criteria in a more quantitative and definite term. A negative Pap-smear test
result, i.e. negative for intraepithelial lesion or malignancy (NILM), is
qualitatively defined to have evenly distributed, finely granular chromatin in
the nuclei of cervical squamous cells. To quantify this chromatin pattern, this
study employed Fuzzy C-Means clustering as the segmentation technique, enabling
different degrees of chromatin segmentation to be performed on sample images of
non-neoplastic squamous cells. From the simulation results, a model representing
the chromatin distribution of non-neoplastic cervical squamous cell is
constructed with the following quantitative characteristics: at the best
representative sensitivity level 4 based on statistical analysis and human
experts' feedbacks, a nucleus of non-neoplastic squamous cell has an average of
67 chromatins with a total area of 10.827 MUm2; the average distance between the
nearest chromatin pair is 0.508 MUm and the average eccentricity of the chromatin
is 0.47.
PMID- 26560332
TI - Taking culture seriously in biomedical HIV prevention trials: a meta-synthesis of
qualitative studies.
AB - A substantial gap exists between widespread acknowledgement of the importance of
incorporating cultural sensitivity in biomedical HIV prevention trials and
empirical evidence to guide the operationalization of cultural sensitivity in
these trials. We conducted a systematic literature search and qualitative meta
synthesis to explore how culture is conceptualized and operationalized in global
biomedical HIV prevention trials. Across 29 studies, the majority (n = 17) were
conducted in resource-limited settings. We identified four overarching themes:
(1) semantic cultural sensitivity - challenges in communicating scientific
terminology into local vernaculars; (2) instrumental cultural sensitivity -
understanding historical experiences to guide tailoring of trial activities; (3)
budgetary, logistical, and personnel implications of operationalizing cultural
sensitivity; and (4) culture as an asset. Future investigations should address
how sociocultural considerations are operationalized across the spectrum of trial
preparedness, implementation, and dissemination in particular sociocultural
contexts, including intervention studies and evaluations of the effectiveness of
methods used to operationalize culturally sensitive practices.
PMID- 26560333
TI - Effects of polarization induced by non-weak electric fields on the excitability
of elongated neurons with active dendrites.
AB - An externally-applied electric field can polarize a neuron, especially a neuron
with elongated dendrites, and thus modify its excitability. Here we use a
computational model to examine, predict, and explain these effects. We use a two
compartment Pinsky-Rinzel model neuron polarized by an electric potential
difference imposed between its compartments, and we apply an injected ramp
current. We vary three model parameters: the magnitude of the applied potential
difference, the extracellular potassium concentration, and the rate of current
injection. A study of the Time-To-First-Spike (TTFS) as a function of
polarization leads to the identification of three regions of polarization
strength that have different effects. In the weak region, the TTFS increases
linearly with polarization. In the intermediate region, the TTFS increases either
sub- or super-linearly, depending on the current injection rate and the
extracellular potassium concentration. In the strong region, the TTFS decreases.
Our results in the weak and strong region are consistent with experimental
observations, and in the intermediate region, we predict novel effects that
depend on experimentally-accessible parameters. We find that active channels in
the dendrite play a key role in these effects. Our qualitative results were found
to be robust over a wide range of inter-compartment conductances and the ratio of
somatic to dendritic membrane areas. In addition, we discuss preliminary results
where synaptic inputs replace the ramp injection protocol. The insights and
conclusions were found to extend from our polarized PR model to a polarized PR
model with I h dendritic currents. Finally, we discuss the degree to which our
results may be generalized.
PMID- 26560334
TI - Dynamic effective connectivity in cortically embedded systems of recurrently
coupled synfire chains.
AB - As a candidate mechanism of neural representation, large numbers of synfire
chains can efficiently be embedded in a balanced recurrent cortical network
model. Here we study a model in which multiple synfire chains of variable
strength are randomly coupled together to form a recurrent system. The system can
be implemented both as a large-scale network of integrate-and-fire neurons and as
a reduced model. The latter has binary-state pools as basic units but is
otherwise isomorphic to the large-scale model, and provides an efficient tool for
studying its behavior. Both the large-scale system and its reduced counterpart
are able to sustain ongoing endogenous activity in the form of synfire waves, the
proliferation of which is regulated by negative feedback caused by collateral
noise. Within this equilibrium, diverse repertoires of ongoing activity are
observed, including meta-stability and multiple steady states. These states arise
in concert with an effective connectivity structure (ECS). The ECS admits a
family of effective connectivity graphs (ECGs), parametrized by the mean global
activity level. Of these graphs, the strongly connected components and their
associated out-components account to a large extent for the observed steady
states of the system. These results imply a notion of dynamic effective
connectivity as governing neural computation with synfire chains, and related
forms of cortical circuitry with complex topologies.
PMID- 26560335
TI - Antibiotic Exposure, Infection, and the Development of Pediatric Psoriasis: A
Nested Case-Control Study.
AB - IMPORTANCE: Antibiotics disrupt human microbiota and have been associated with
several pediatric autoimmune diseases. Psoriasis activity has been linked to
group A streptococcal and viral infections. OBJECTIVE: To determine whether
antibiotic exposure and infections are independently associated with incident
psoriasis in children. DESIGN, SETTING, AND PARTICIPANTS: This nested case
control study used data from the Health Improvement Network database, a
population-representative electronic health records database from the United
Kingdom, from June 27, 1994, through January 15, 2013. Data were analyzed from
September 17, 2014, through August 12, 2015. Children aged 1 to 15 years with
newly diagnosed psoriasis (n = 845) were compared with age- and sex-matched
controls (n = 8450) randomly chosen at the time of psoriasis diagnosis from
general practices with at least one case, excluding children with
immunodeficiency, inflammatory bowel disease, and juvenile arthritis. EXPOSURES:
Systemic antibacterial prescriptions and infections of the skin and other sites
within 2 years before psoriasis diagnosis. MAIN OUTCOMES AND MEASURES: Incident
psoriasis as determined by validated diagnostic codes. The association of
antibiotic exposure and infections with incident psoriasis was determined by
conditional logistic regression, adjusting for confounders. RESULTS: After
adjusting for matching, country, socioeconomic deprivation, outpatient visits,
and infections within the past 2 years, antibiotic exposure in the last 2 years
was weakly associated with incident psoriasis (adjusted odds ratio [aOR], 1.2;
95% CI, 1.0-1.5). The associations for infections of skin (aOR, 1.5; 95% CI, 1.2
1.7) and other sites (aOR, 1.3; 95% CI, 1.1-1.6) were similar. Untreated nonskin
infections (aOR, 1.5; 95% CI, 1.3-1.8) but not antibiotic-treated nonskin
infections (aOR, 1.1; 95% CI, 0.9-1.4) were associated with psoriasis. Results
were similar when using a lifetime exposure window. Different classes of
antibiotics and age of first antibiotic exposure were also not associated with
psoriasis. The findings did not substantively change when excluding periods of
varying length before diagnosis. CONCLUSIONS AND RELEVANCE: Infections are
associated with the development of pediatric psoriasis, but antibiotics do not
appear to contribute substantially to that risk.
PMID- 26560336
TI - Discriminative Power of Arterial Spin Labeling Magnetic Resonance Imaging and 18F
Fluorodeoxyglucose Positron Emission Tomography Changes for Amyloid-beta-Positive
Subjects in the Alzheimer's Disease Continuum.
AB - BACKGROUND: Recent studies have demonstrated that arterial spin labeling magnetic
resonance imaging (ASL-MRI) and fluorodeoxyglucose positron emission tomography
(FDG-PET) identify similar regional abnormalities and have comparable diagnostic
accuracy in Alzheimer's disease (AD). The agreement between these modalities in
the AD continuum, which is an important concept for early detection and disease
monitoring, is yet unclear. OBJECTIVE: We aimed to assess the ability of the
cerebral blood flow (CBF) measures from ASL-MRI and cerebral metabolic rate for
glucose (CMRgl) measures from FDG-PET to distinguish amyloid-beta-positive
(Abeta+) subjects in the AD continuum from healthy controls. METHODS: The study
included asymptomatic, cognitively normal (CN) controls and patients with early
mild cognitive impairment (MCI), late MCI, and AD, all with significant levels of
cortical Abeta based on their florbetapir PET scans to restrict the study to
patients truly in the AD continuum. The discrimination power of each modality was
based on the whole-brain patterns of CBF and CMRgl changes identified by partial
least squares logistic regression, a multivariate analysis technique. RESULTS:
While CBF changes in the posterior inferior aspects of the brain and a pattern of
CMRgl changes in the superior aspects of the brain including frontal and parietal
regions best discriminated the Abeta+ subjects in the early disease stages from
the Abeta- CN subjects, there was a greater agreement in the whole-brain patterns
of CBF and CMRgl changes that best discriminated the Abeta+ subjects from the
Abeta- CN subjects in the later disease stages. Despite the differences in the
whole-brain patterns of CBF and CMRgl changes, the discriminative powers of both
modalities were similar with statistically nonsignificant performance differences
in sensitivity and specificity. CONCLUSION: The results comparing measurements of
CBF to CMRgl add to previous reports that MRI-measured CBF has a similar
diagnostic ability to detect AD as has FDG-PET. Our findings that CBF and CMRgl
changes occur in different brain regions in Abeta+ subjects across the AD
continuum compared with Abeta- CN subjects may be the result of methodological
differences. Alternatively, these findings may signal alterations in
neurovascular coupling which alter relationships between brain perfusion and
glucose metabolism in the AD continuum.
PMID- 26560337
TI - Duration of treatment for asymptomatic bacteriuria during pregnancy.
AB - BACKGROUND: A previous Cochrane systematic review has shown that antibiotic drug
treatment of asymptomatic bacteriuria in pregnant women substantially decreases
the risk of pyelonephritis and reduces the risk of preterm delivery. However, it
is not clear whether single-dose therapy is as effective as longer conventional
antibiotic treatment. OBJECTIVES: To assess the effects of different durations of
treatment for asymptomatic bacteriuria in pregnancy. SEARCH METHODS: We searched
the Cochrane Pregnancy and Childbirth Group's Trials Register (31 August 2015)
and reference lists of identified articles. SELECTION CRITERIA: Randomized and
quasi-randomized trials comparing antimicrobial therapeutic regimens that
differed in duration (particularly comparing single dose with longer duration
regimens) in pregnant women diagnosed with asymptomatic bacteriuria. DATA
COLLECTION AND ANALYSIS: Two review authors independently assessed trials for
inclusion and risk of bias, extracted data and checked them for accuracy. We
assessed the quality of the evidence using the GRADE approach. MAIN RESULTS: We
included 13 studies, involving 1622 women. All were comparisons of single-dose
treatment with short-course (four- to seven-day) treatments. The risk of bias of
trials included in this review was largely unclear, and most trials were at high
risk of performance bias. The quality of the evidence was assessed using the
GRADE approach. When the any antibiotic agent was used, the 'no cure' rate for
asymptomatic bacteriuria in pregnant women was slightly lower for the short
course treatment over the single-dose treatment, although there was evidence of
statistical heterogeneity (average risk ratio (RR) 1.28, 95% confidence interval
(CI) 0.87 to 1.88; women = 1502, studies = 13; I2 = 56%; very low quality
evidence). Data from only good quality trials also showed better cure rates with
short (four- to seven-day) regimens of the same microbial agent (average RR 1.72,
95% CI 1.27 to 2.33; women = 803, studies = two; I2 = 0%; high quality evidence).
There was no clear difference in the recurrence of asymptomatic bacteriuria rate
between treatment and control groups, whether the same or different microbial
agents were used (RR 1.13, 95% CI 0.77 to 1.66; 445 women studies = eight; I2 =
0%; very low quality evidence). Differences were detected for low birthweight
babies, favoring a short course (four- to seven-day treatment) of the same
microbial agent, although the data come from a single trial (RR 1.65, 95% CI 1.06
to 2.57; 714 women; high quality evidence), but no differences were observed for
preterm delivery (RR 1.17, 95% CI 0.77 to 1.78; women = 804; studies = three; I2
= 23%; moderate quality) or pyelonephritis (RR 3.09, 95% CI 0.54 to 17.55; women
= 102; studies = two; I2 = 0%; very low quality evidence). Finally, single-dose
treatment of any microbial agent was associated with a decrease in reports of
'any side effects' (RR 0.70, 95% CI 0.56 to 0.88; 1460 women, studies = 12; I2 =
9%; low quality evidence). Evidence was downgraded for risk of bias concerns in
trials contributing data and for imprecise effect estimates (wide confidence
intervals crossing the line of no effect, and in some cases, small studies with
few events). AUTHORS' CONCLUSIONS: A single-dose regimen of antibiotics may be
less effective than a short-course (four- to seven-day) regimen, but more
evidence is needed from large trials measuring important outcomes, such as cure
rate. Women with asymptomatic bacteriuria in pregnancy should be treated by the
standard regimen of antibiotics until more data become available testing seven
day treatment compared with shorter courses of three- or five-day regimens.
PMID- 26560338
TI - Reassessing the Evolutionary History of the 17q21 Inversion Polymorphism.
AB - A polymorphic inversion that lies on chromosome 17q21 comprises two major
haplotype families (H1 and H2) that not only differ in orientation but also in
copy-number. Although the processes driving the spread of the inversion
associated lineage (H2) in humans remain unclear, a selective advantage has been
proposed for one of its subtypes. Here, we genotyped a large panel of individuals
from previously overlooked populations using a custom array with a unique panel
of H2-specific single nucleotide polymorphisms and found a patchy distribution of
H2 haplotypes in Africa, with North Africans displaying a higher frequency of
inverted subtypes, when compared with Sub-Saharan groups. Interestingly, North
African H2s were found to be closer to "non-African" chromosomes further
supporting that these populations may have diverged more recently from groups
outside Africa. Our results uncovered higher diversity within the H2 family than
previously described, weakening the hypothesis of a strong selective sweep on all
inverted chromosomes and suggesting a rather complex evolutionary history at this
locus.
PMID- 26560339
TI - Patterns of Gene Conversion in Duplicated Yeast Histones Suggest Strong Selection
on a Coadapted Macromolecular Complex.
AB - We find evidence for interlocus gene conversion in five duplicated histone genes
from six yeast species. The sequences of these duplicated genes, surviving from
the ancient genome duplication, show phylogenetic patterns inconsistent with the
well-resolved orthology relationships inferred from a likelihood model of gene
loss after the genome duplication. Instead, these paralogous genes are more
closely related to each other than any is to its nearest ortholog. In addition to
simulations supporting gene conversion, we also present evidence for elevated
rates of radical amino acid substitutions along the branches implicated in the
conversion events. As these patterns are similar to those seen in ribosomal
proteins that have undergone gene conversion, we speculate that in cases where
duplicated genes code for proteins that are a part of tightly interacting
complexes, selection may favor the fixation of gene conversion events in order to
maintain high protein identities between duplicated copies.
PMID- 26560340
TI - SynFind: Compiling Syntenic Regions across Any Set of Genomes on Demand.
AB - The identification of conserved syntenic regions enables discovery of predicted
locations for orthologous and homeologous genes, even when no such gene is
present. This capability means that synteny-based methods are far more effective
than sequence similarity-based methods in identifying true-negatives, a necessity
for studying gene loss and gene transposition. However, the identification of
syntenic regions requires complex analyses which must be repeated for pairwise
comparisons between any two species. Therefore, as the number of published
genomes increases, there is a growing demand for scalable, simple-to-use
applications to perform comparative genomic analyses that cater to both gene
family studies and genome-scale studies. We implemented SynFind, a web-based tool
that addresses this need. Given one query genome, SynFind is capable of
identifying conserved syntenic regions in any set of target genomes. SynFind is
capable of reporting per-gene information, useful for researchers studying
specific gene families, as well as genome-wide data sets of syntenic gene and
predicted gene locations, critical for researchers focused on large-scale genomic
analyses. Inference of syntenic homologs provides the basis for correlation of
functional changes around genes of interests between related organisms. Deployed
on the CoGe online platform, SynFind is connected to the genomic data from over
15,000 organisms from all domains of life as well as supporting multiple releases
of the same organism. SynFind makes use of a powerful job execution framework
that promises scalability and reproducibility. SynFind can be accessed at
http://genomevolution.org/CoGe/SynFind.pl. A video tutorial of SynFind using
Phytophthrora as an example is available at
http://www.youtube.com/watch?v=2Agczny9Nyc.
PMID- 26560341
TI - Genetic Adaptation to Climate in White Spruce Involves Small to Moderate Allele
Frequency Shifts in Functionally Diverse Genes.
AB - Understanding the genetic basis of adaptation to climate is of paramount
importance for preserving and managing genetic diversity in plants in a context
of climate change. Yet, this objective has been addressed mainly in short-lived
model species. Thus, expanding knowledge to nonmodel species with contrasting
life histories, such as forest trees, appears necessary. To uncover the genetic
basis of adaptation to climate in the widely distributed boreal conifer white
spruce (Picea glauca), an environmental association study was conducted using
11,085 single nucleotide polymorphisms representing 7,819 genes, that is,
approximately a quarter of the transcriptome.Linear and quadratic regressions
controlling for isolation-by-distance, and the Random Forest algorithm,
identified several dozen genes putatively under selection, among which 43 showed
strongest signals along temperature and precipitation gradients. Most of them
were related to temperature. Small to moderate shifts in allele frequencies were
observed. Genes involved encompassed a wide variety of functions and processes,
some of them being likely important for plant survival under biotic and abiotic
environmental stresses according to expression data. Literature mining and
sequence comparison also highlighted conserved sequences and functions with
angiosperm homologs.Our results are consistent with theoretical predictions that
local adaptation involves genes with small frequency shifts when selection is
recent and gene flow among populations is high. Accordingly, genetic adaptation
to climate in P. glauca appears to be complex, involving many independent and
interacting gene functions, biochemical pathways, and processes. From an applied
perspective, these results shall lead to specific functional/association studies
in conifers and to the development of markers useful for the conservation of
genetic resources.
PMID- 26560342
TI - The Use of (-)-Sparteine/Organolithium Reagents for the Enantioselective
Lithiation of 7,8-Dipropyltetrathia[7]helicene: Single and Double Kinetic
Resolution Procedures.
AB - The effect of organolithium reagent (RLi: R=nBu, iPr, sBu, tBu), solvent (diethyl
ether, diethyl ether/THF and MTBE), and stoichiometry on the (-)-sparteine
mediated silylation of 7,8-dipropyltetrathia[7]helicene shows that, unusually,
substantially more than 0.5 equivalent of RLi (R=iPr, sBu, tBu) and a large
excess of (-)-sparteine (R=nBu, sBu) is often needed to achieve substantial
conversions and good ee values. With nBuLi, however, just one equivalent of the
organolithium reagent is sufficient to obtain high conversions. Our best results
were obtained using the convenient tBuLi/(-)-sparteine adduct with which the need
for a high (-)-sparteine/RLi ratio can be avoided. Single- and double-kinetic
resolution (KR) procedures give enantiopure samples of 2-trimethylsilyl- and 2,13
di(trimethylsilyl)-7,8-dipropyltetrathia[7]helicene and two-step double-KR
combining (-)-sparteine/sBuLi and chiral formamides affords the synthetically
valuable 2-formyl-7,8-dipropyltetrathia[7]helicene. This is the first use of (-)
sparteine for the enantioselective lithiation of helicenes and the first report
of tBuLi outperforming sBuLi in a (-)-sparteine-mediated procedure.
PMID- 26560344
TI - Scaling the respiratory metabolism to phosphorus relationship in plant seedlings.
AB - There are empirical indications of an isometric scaling relationship between
plants' respiratory metabolism rates and nitrogen contents. To test the
hypothesis that there may be a similar relationship between plants' respiratory
metabolism and phosphorus contents we used data obtained from 150 laboratory and
field-grown seedlings representing 30 herbaceous species and 20 woody deciduous
species. Our results show that whole-plant respiration rates strongly scaled to
the 0.81-power of the whole-plant phosphorus content, across wide ranges of
growth conditions and functional classifications. Moreover, we also found a
similar scaling exponent between whole-plant respiration rates and total nitrogen
contents for the same set of samples. The similarities of the metabolic scaling
relationships suggest that similar mechanisms may be involved in the transport
and storage of phosphorus and nitrogen in plants.
PMID- 26560345
TI - Restabilizing attachment to cultural objects. Aesthetics, emotions and biography.
AB - The scholarship on aesthetics and materiality has studied how objects help shape
identity, social action and subjectivity. Objects, as 'equipment[s] for living'
(Luhmann 2000), become the 'obligatory passage points humans have to contend with
in order to pursue their projects (Latour 1991). They provide patterns to which
bodies can unconsciously latch onto, or help human agents work towards particular
states of being (DeNora 2000, 2003). Objects are central in the long term process
of taste construction, as any attachment to an object is made out of a delicate
equilibrium of mediators, bodies, situations and techniques (Hennion and his
collaborators (Hennion and Fouquet 2001; Hennion and Gomart 1999). In all of
these accounts objects are the end result of long-term processes of
stabilization, in which the actual material object (a musical piece, a sculpture,
an art installation, a glass of wine, the oeuvre of Bach as we know it) is both a
result and yet a key co-producer of its own generation. Whereas the literature
has been generous and detailed in exploring the processes of assembling and
sustaining object-centered attachments, it has not sufficiently engaged with what
happens when the aesthetic elements of cultural artifacts that have produced
emotional resonance are transformed: what do these artifacts morph into? What
explains the transition (or not) of different cultural objects? And relatedly,
what happens to the key aesthetic qualities that were so central to how the
objects had been defined, and to those who have emotionally attached to them? To
answer these questions, this article uses as exemplars two different cases of
attachment, predicated on the distinctive features of a cultural object--the
transcendence of opera and the authenticity of a soccer jersey--that have
undergone transformations.
PMID- 26560343
TI - Plasma Anti-Glial Fibrillary Acidic Protein Autoantibody Levels during the Acute
and Chronic Phases of Traumatic Brain Injury: A Transforming Research and
Clinical Knowledge in Traumatic Brain Injury Pilot Study.
AB - We described recently a subacute serum autoantibody response toward glial
fibrillary acidic protein (GFAP) and its breakdown products 5-10 days after
severe traumatic brain injury (TBI). Here, we expanded our anti-GFAP autoantibody
(AutoAb[GFAP]) investigation to the multicenter observational study Transforming
Research and Clinical Knowledge in TBI Pilot (TRACK-TBI Pilot) to cover the full
spectrum of TBI (Glasgow Coma Scale 3-15) by using acute (<24 h) plasma samples
from 196 patients with acute TBI admitted to three Level I trauma centers, and a
second cohort of 21 participants with chronic TBI admitted to inpatient TBI
rehabilitation. We find that acute patients self-reporting previous TBI with loss
of consciousness (LOC) (n = 43) had higher day 1 AutoAb[GFAP] (mean +/- standard
error: 9.11 +/- 1.42; n = 43) than healthy controls (2.90 +/- 0.92; n = 16; p =
0.032) and acute patients reporting no previous TBI (2.97 +/- 0.37; n = 106; p <
0.001), but not acute patients reporting previous TBI without LOC (8.01 +/- 1.80;
n = 47; p = 0.906). These data suggest that while exposure to TBI may trigger the
AutoAb[GFAP] response, circulating antibodies are elevated specifically in acute
TBI patients with a history of TBI. AutoAb[GFAP] levels for participants with
chronic TBI (average post-TBI time 176 days or 6.21 months) were also
significantly higher (15.08 +/- 2.82; n = 21) than healthy controls (p < 0.001).
These data suggest a persistent upregulation of the autoimmune response to
specific brain antigen(s) in the subacute to chronic phase after TBI, as well as
after repeated TBI insults. Hence, AutoAb[GFAP] may be a sensitive assay to study
the dynamic interactions between post-injury brain and patient-specific
autoimmune responses across acute and chronic settings after TBI.
PMID- 26560346
TI - Technological properties and probiotic potential of Lactobacillus fermentum
strains isolated from West African fermented millet dough.
AB - BACKGROUND: Throughout Africa, food fermentations are still driven by indigenous
microorganisms which influence the nutritional, organoleptic and safety of the
final products. However, for improved safety, consistent quality and beneficial
health effects, a trend has emerged which involves the isolation of indigenous
strains from traditional fermented products to be used as functional starter
cultures. These functional starter cultures possess inherent functional
characteristics and can contribute to food quality and safety by offering one or
more organoleptic, nutritional, technological or health advantage (probiotics).
With the aim of selecting potential probiotic starter cultures, Lactobacillus
fermentum strains isolated from fermented millet dough were investigated for
technological properties and probiotic traits in-vitro. RESULTS: A total of 176
L. fermentum strains were assessed for technological properties including rate of
acidification, exopolysaccharide production and amylase activity. Following this,
48 strains showing desirable technological properties were first screened for
acid resistance. Sixteen acid resistant strains were assessed for additional
probiotic properties including resistance to bile salts, bile salt hydrolysis,
antimicrobial property, haemolysis and antibiotics resistance. L. fermentum
strains clustered into 3 groups represented by 36 %, 47 % and 17 % as fast,
medium and slow acidifiers respectively. About 8 %, 78 % and 14 % of the strains
showed strong, weak and no exopolysaccharides production respectively. Amylase
activity was generally weak or not detected. After exposure of 48 L. fermentum
strains to pH 2.5 for 4 h, 16 strains were considered to be acid resistant. All
16 strains were resistant to bile salt. Four strains demonstrated bile salt
hydrolysis. Antimicrobial activity was observed towards Listeria monocytogenes
and Staphylococcus aureus but not E. coli and Salmonella enteritidis.
Lactobacillus fermentum strains were generally susceptible to antibiotics except
6 strains which showed resistance towards streptomycin, gentamicin and kanamycin.
CONCLUSION: In vitro determination of technological and probiotic properties have
shown strain specific difference among L. fermentum strains isolated from
fermented millet dough. Sixteen (16) L. fermentum strains have been shown to
possess desirable technological and probiotic characteristics in vitro. These
strains are therefore good candidates for further studies to elucidate their full
potential and possible application as novel probiotic starter cultures.
PMID- 26560347
TI - Ocelot Population Status in Protected Brazilian Atlantic Forest.
AB - Forest fragmentation and habitat loss are detrimental to top carnivores, such as
jaguars (Panthera onca) and pumas (Puma concolor), but effects on mesocarnivores,
such as ocelots (Leopardus pardalis), are less clear. Ocelots need native
forests, but also might benefit from the local extirpation of larger cats such as
pumas and jaguars through mesopredator release. We used a standardized camera
trap protocol to assess ocelot populations in six protected areas of the Atlantic
forest in southeastern Brazil where over 80% of forest remnants are < 50 ha. We
tested whether variation in ocelot abundance could be explained by reserve size,
forest cover, number of free-ranging domestic dogs and presence of top predators.
Ocelot abundance was positively correlated with reserve size and the presence of
top predators (jaguar and pumas) and negatively correlated with the number of
dogs. We also found higher detection probabilities in less forested areas as
compared to larger, intact forests. We suspect that smaller home ranges and
higher movement rates in smaller, more degraded areas increased detection. Our
data do not support the hypothesis of mesopredator release. Rather, our findings
indicate that ocelots respond negatively to habitat loss, and thrive in large
protected areas inhabited by top predators.
PMID- 26560348
TI - Automated analysis of confocal laser endomicroscopy images to detect head and
neck cancer.
AB - BACKGROUND: The purpose of this study was to develop an automated image analysis
algorithm to discriminate between head and neck cancer and nonneoplastic
epithelium in confocal laser endomicroscopy (CLE) images. METHODS: CLE was
applied to image head and neck cancer epithelium in vivo. Histopathologic
diagnosis from biopsies was used to classify the CLE images offline as cancer or
noncancer tissue. The classified images were used to train automated software
based on distance map histograms. The performance of the final algorithm was
confirmed by "leave 2 patients out" cross-validation and area under the curve
(AUC)/receiver operating characteristic (ROC) analysis. RESULTS: Ninety-two CLE
videos and 92 biopsies were analyzed from 12 patients. One hundred two frames of
classified neoplastic tissue and 52 frames of nonneoplastic tissue were used for
cross-validation of the developed algorithm. AUC varied from 0.52 to 0.92.
CONCLUSION: The proposed software allows an objective classification of CLE
images of head and neck cancer and adjacent nonneoplastic epithelium. (c) 2015
Wiley Periodicals, Inc. Head Neck 38: E1419-E1426, 2016.
PMID- 26560349
TI - Effects of porcine oocytes on the expression levels of transcripts encoding
glycolytic enzymes in granulosa cells.
AB - Oocytes play critical roles in regulating the expression of transcripts encoding
the glycolytic enzymes phosphofructokinase, platelet (PFKP) and lactate
dehydrogenase A (LDHA) in granulosa cells in mice, but whether this is the case
in pigs or other mammals has not been adequately investigated. Therefore, the aim
of this study was to determine whether porcine oocytes regulate the expression
levels of these transcripts in granulosa cells in vitro. Porcine cumulus cells
expressed higher levels of PFKP and LDHA transcripts than mural granulosa cells
(MGCs). However, co-culturing with oocytes had no significant effect on the
isolated cumulus cells. While murine oocytes promoted the expression of both Pfkp
and Ldha transcripts by murine MGCs, porcine oocytes promoted the expression of
only Pfkp, but not Ldha transcripts by murine MGCs. Neither murine nor porcine
oocytes affected PFKP and LDHA expression by porcine MGCs. Moreover, in the
presence of porcine follicular fluid, porcine oocytes maintained the expression
of PFKP, but not LDHA by porcine cumulus cells. Therefore, porcine oocytes are
capable of regulating the expression of PFKP but not LDHA in granulosa cells in
coordination with unknown factor(s) present in the follicular fluid.
PMID- 26560350
TI - The evolution of dual antiplatelet therapy in the setting of acute coronary
syndrome: ticagrelor versus clopidogrel.
AB - Review of: Wallentin L, Becker RC, Budaj A, et al. Ticagrelor versus clopidogrel
in patients with acute coronary syndromes. N Eng J Med 2009; 361(11): 1045-1057.
For acute coronary syndrome (ACS), a dual antiplatelet regimen comprised of
treatment with aspirin and either P2Y12 adenosine diphosphate receptor
antagonists, clopidogrel, prasugrel or ticagrelor is usually employed. This
article compares clopidogrel with ticagrelor for the prevention of vascular
events and death in broad population of ACS patients ranging from UA, NSTEMI to
STEMI, utilizing planned strategies of medical or invasive treatment strategy.
PMID- 26560351
TI - Effect of iterative reconstruction on variability and reproducibility of
epicardial fat volume quantification by cardiac CT.
AB - BACKGROUND: The epicardial fat volume (EFV) measured by cardiac CT has emerged as
an important parameter for understanding the pathophysiology of coronary
atherosclerosis. OBJECTIVE: We investigated the variability and reproducibility
of EFV measurements and evaluated the effect of model-based type iterative
reconstruction (M-IR) on measurement results. METHODS: Non-contrast cardiac CT
data (tube voltage 120-kVp, tube current time product 32 mAs) of 30 consecutive
patients were reconstructed with filtered back projection (FBP), hybrid type
iterative reconstruction (H-IR), and M-IR using a slice thickness of 3.0 mm. CT
attenuation and image noise was measured for all reconstructions. Two observers
independently quantified EFV using semi-automated software and interobserver
agreement was evaluated. RESULTS: There was no significant difference in the CT
attenuation of the ascending aorta among the three reconstructions. The mean
image noise on FBP-, H-IR-, and M-IR images was 48.0 +/- 7.9 HU, 29.6 +/- 4.8 HU,
and 9.3 +/- 1.3 HU, respectively; there was a significant difference among all
comparison combinations for the three reconstructions (p < 0.01). FBP yielded the
highest EFV among the three reconstructions (171.0 +/- 54.9 cm(3) [FBP], 153.8 +/
53.1 cm(3) [H-IR], and 134.0 +/- 46.4 cm(3) [M-IR]). For all three
reconstructions, interobserver correlations were excellent (r = 0.91 [FBP], 0.93
[H-IR], and 0.96 [M-IR]). Interobserver comparisons showed that the lowest Bland
Altman limit of agreement was with M-IR (mean difference 2.0 +/- 4.9%, 95% limit
of agreement, -24.0 to 28.0%) followed by H-IR (-2.6 +/- 7.1%, -39.8 to 34.6%)
and FBP (-0.2 +/- 8.6%, -45.3- to 45.0%). CONCLUSION: For the quantification of
epicardial fat by cardiac CT, model-based iterative reconstruction can improve
the image quality and lessen measurement variability.
PMID- 26560354
TI - Hearing voices: FDA seeks advice from patients.
PMID- 26560352
TI - Evolution of Prdm Genes in Animals: Insights from Comparative Genomics.
AB - Prdm genes encode transcription factors with a subtype of SET domain known as the
PRDF1-RIZ (PR) homology domain and a variable number of zinc finger motifs. These
genes are involved in a wide variety of functions during animal development. As
most Prdm genes have been studied in vertebrates, especially in mice, little is
known about the evolution of this gene family. We searched for Prdm genes in the
fully sequenced genomes of 93 different species representative of all the main
metazoan lineages. A total of 976 Prdm genes were identified in these species.
The number of Prdm genes per species ranges from 2 to 19. To better understand
how the Prdm gene family has evolved in metazoans, we performed phylogenetic
analyses using this large set of identified Prdm genes. These analyses allowed us
to define 14 different subfamilies of Prdm genes and to establish, through
ancestral state reconstruction, that 11 of them are ancestral to bilaterian
animals. Three additional subfamilies were acquired during early vertebrate
evolution (Prdm5, Prdm11, and Prdm17). Several gene duplication and gene loss
events were identified and mapped onto the metazoan phylogenetic tree. By
studying a large number of nonmetazoan genomes, we confirmed that Prdm genes
likely constitute a metazoan-specific gene family. Our data also suggest that
Prdm genes originated before the diversification of animals through the
association of a single ancestral SET domain encoding gene with one or several
zinc finger encoding genes.
PMID- 26560353
TI - No Accumulation of Transposable Elements in Asexual Arthropods.
AB - Transposable elements (TEs) and other repetitive DNA can accumulate in the
absence of recombination, a process contributing to the degeneration of Y
chromosomes and other nonrecombining genome portions. A similar accumulation of
repetitive DNA is expected for asexually reproducing species, given their entire
genome is effectively nonrecombining. We tested this expectation by comparing the
whole-genome TE loads of five asexual arthropod lineages and their sexual
relatives, including asexual and sexual lineages of crustaceans (Daphnia water
fleas), insects (Leptopilina wasps), and mites (Oribatida). Surprisingly, there
was no evidence for increased TE load in genomes of asexual as compared to sexual
lineages, neither for all classes of repetitive elements combined nor for
specific TE families. Our study therefore suggests that nonrecombining genomes do
not accumulate TEs like nonrecombining genomic regions of sexual lineages. Even
if a slight but undetected increase of TEs were caused by asexual reproduction,
it appears to be negligible compared to variance between species caused by
processes unrelated to reproductive mode. It remains to be determined if
molecular mechanisms underlying genome regulation in asexuals hamper TE activity.
Alternatively, the differences in TE dynamics between nonrecombining genomes in
asexual lineages versus nonrecombining genome portions in sexual species might
stem from selection for benign TEs in asexual lineages because of the lack of
genetic conflict between TEs and their hosts and/or because asexual lineages may
only arise from sexual ancestors with particularly low TE loads.
PMID- 26560355
TI - Regulatory decision-making meets the real world.
AB - As patient input in drug development increases and new data sources are tapped,
regulators need to organize and ensure the quality of data to inform decision
making.
PMID- 26560356
TI - A validated gene regulatory network and GWAS identifies early regulators of T
cell-associated diseases.
AB - Early regulators of disease may increase understanding of disease mechanisms and
serve as markers for presymptomatic diagnosis and treatment. However, early
regulators are difficult to identify because patients generally present after
they are symptomatic. We hypothesized that early regulators of T cell-associated
diseases could be found by identifying upstream transcription factors (TFs) in T
cell differentiation and by prioritizing hub TFs that were enriched for disease
associated polymorphisms. A gene regulatory network (GRN) was constructed by time
series profiling of the transcriptomes and methylomes of human CD4(+) T cells
during in vitro differentiation into four helper T cell lineages, in combination
with sequence-based TF binding predictions. The TFs GATA3, MAF, and MYB were
identified as early regulators and validated by ChIP-seq (chromatin
immunoprecipitation sequencing) and small interfering RNA knockdowns.
Differential mRNA expression of the TFs and their targets in T cell-associated
diseases supports their clinical relevance. To directly test if the TFs were
altered early in disease, T cells from patients with two T cell-mediated
diseases, multiple sclerosis and seasonal allergic rhinitis, were analyzed.
Strikingly, the TFs were differentially expressed during asymptomatic stages of
both diseases, whereas their targets showed altered expression during symptomatic
stages. This analytical strategy to identify early regulators of disease by
combining GRNs with genome-wide association studies may be generally applicable
for functional and clinical studies of early disease development.
PMID- 26560357
TI - Virtual typing by people with tetraplegia using a self-calibrating intracortical
brain-computer interface.
AB - Brain-computer interfaces (BCIs) promise to restore independence for people with
severe motor disabilities by translating decoded neural activity directly into
the control of a computer. However, recorded neural signals are not stationary
(that is, can change over time), degrading the quality of decoding. Requiring
users to pause what they are doing whenever signals change to perform decoder
recalibration routines is time-consuming and impractical for everyday use of
BCIs. We demonstrate that signal nonstationarity in an intracortical BCI can be
mitigated automatically in software, enabling long periods (hours to days) of
self-paced point-and-click typing by people with tetraplegia, without degradation
in neural control. Three key innovations were included in our approach: tracking
the statistics of the neural activity during self-timed pauses in neural control,
velocity bias correction during neural control, and periodically recalibrating
the decoder using data acquired during typing by mapping neural activity to
movement intentions that are inferred retrospectively based on the user's self
selected targets. These methods, which can be extended to a variety of neurally
controlled applications, advance the potential for intracortical BCIs to help
restore independent communication and assistive device control for people with
paralysis.
PMID- 26560358
TI - AAV gene transfer delays disease onset in a TPP1-deficient canine model of the
late infantile form of Batten disease.
AB - The most common form of the childhood neurodegenerative disease late infantile
neuronal ceroid lipofuscinosis (also called Batten disease) is caused by
deficiency of the soluble lysosomal enzyme tripeptidyl peptidase 1 (TPP1)
resulting from mutations in the TPP1 gene. We tested whether TPP1 gene transfer
to the ependyma, the epithelial lining of the brain ventricular system, in TPP1
deficient dogs would be therapeutically beneficial. A one-time administration of
recombinant adeno-associated virus (rAAV) expressing canine TPP1 (rAAV.caTPP1)
resulted in high expression of TPP1 predominantly in ependymal cells and
secretion of the enzyme into the cerebrospinal fluid leading to clinical benefit.
Diseased dogs treated with rAAV.caTPP1 showed delays in onset of clinical signs
and disease progression, protection from cognitive decline, and extension of life
span. By immunostaining and enzyme assay, recombinant protein was evident
throughout the brain and spinal cord, with correction of the neuropathology
characteristic of the disease. This study in a naturally occurring canine model
of TPP1 deficiency highlights the utility of AAV transduction of ventricular
lining cells to accomplish stable secretion of recombinant protein for broad
distribution in the central nervous system and therapeutic benefit.
PMID- 26560359
TI - Modeling pulmonary alveolar microlithiasis by epithelial deletion of the Npt2b
sodium phosphate cotransporter reveals putative biomarkers and strategies for
treatment.
AB - Pulmonary alveolar microlithiasis (PAM) is a rare, autosomal recessive lung
disorder associated with progressive accumulation of calcium phosphate
microliths. Inactivating mutations in SLC34A2, which encodes the NPT2b sodium
dependent phosphate cotransporter, has been proposed as a cause of PAM. We show
that epithelial deletion of Npt2b in mice results in a progressive pulmonary
process characterized by diffuse alveolar microlith accumulation, radiographic
opacification, restrictive physiology, inflammation, fibrosis, and an unexpected
alveolar phospholipidosis. Cytokine and surfactant protein elevations in the
alveolar lavage and serum of PAM mice and confirmed in serum from PAM patients
identify serum MCP-1 (monocyte chemotactic protein 1) and SP-D (surfactant
protein D) as potential biomarkers. Microliths introduced by adoptive transfer
into the lungs of wild-type mice produce marked macrophage-rich inflammation and
elevation of serum MCP-1 that peaks at 1 week and resolves at 1 month,
concomitant with clearance of stones. Microliths isolated by bronchoalveolar
lavage readily dissolve in EDTA, and therapeutic whole-lung EDTA lavage reduces
the burden of stones in the lungs. A low-phosphate diet prevents microlith
formation in young animals and reduces lung injury on the basis of reduction in
serum SP-D. The burden of pulmonary calcium deposits in established PAM is also
diminished within 4 weeks by a low-phosphate diet challenge. These data support a
causative role for Npt2b in the pathogenesis of PAM and the use of the PAM mouse
model as a preclinical platform for the development of biomarkers and therapeutic
strategies.
PMID- 26560360
TI - Analysis of ESR1 mutation in circulating tumor DNA demonstrates evolution during
therapy for metastatic breast cancer.
AB - Acquired ESR1 mutations are a major mechanism of resistance to aromatase
inhibitors (AIs). We developed ultra high-sensitivity multiplex digital
polymerase chain reaction assays for ESR1 mutations in circulating tumor DNA
(ctDNA) and investigated the clinical relevance and origin of ESR1 mutations in
171 women with advanced breast cancer. ESR1 mutation status in ctDNA showed high
concordance with contemporaneous tumor biopsies and was accurately assessed in
samples shipped at room temperature in preservative tubes. ESR1 mutations were
found exclusively in estrogen receptor-positive breast cancer patients previously
exposed to AI. Patients with ESR1 mutations had a substantially shorter
progression-free survival on subsequent AI-based therapy [hazard ratio, 3.1; 95%
confidence interval (CI), 1.9 to 23.1; P = 0.0041]. ESR1 mutation prevalence
differed markedly between patients who were first exposed to AI during the
adjuvant and metastatic settings [5.8% (3 of 52) versus 36.4% (16 of 44),
respectively; P = 0.0002]. In an independent cohort, ESR1 mutations were
identified in 0% (0 of 32; 95% CI, 0 to 10.9) tumor biopsies taken after
progression on adjuvant AI. In a patient with serial sampling, ESR1 mutation was
selected during metastatic AI therapy to become the dominant clone in the cancer.
ESR1 mutations can be robustly identified with ctDNA analysis and predict for
resistance to subsequent AI therapy. ESR1 mutations are rarely acquired during
adjuvant AI but are commonly selected by therapy for metastatic disease,
providing evidence that mechanisms of resistance to targeted therapy may be
substantially different between the treatment of micrometastatic and overt
metastatic cancer.
PMID- 26560361
TI - Postoperative management of heart failure in pediatric patients.
AB - Low cardiac output syndrome (LCOS) is a well-described entity occurring in 25-65%
of pediatric patients undergoing open-heart surgery. With judicious intensive
care management of LCOS, most patients have an uncomplicated postoperative
course, and within 24 h after cardiopulmonary bypass, the cardiac function
returns back to baseline. Some patients have severe forms of LCOS not responsive
to medical management alone, requiring temporary mechanical circulatory support
to prevent end-organ injury and to decrease myocardial stress and oxygen demand.
Occasionally, cardiac function does not recover and heart transplantation is
necessary. Long-term mechanical circulatory support devices are used as a bridge
to transplantation because of limited availability of donor hearts. Experience in
usage of continuous flow ventricular assist devices in the pediatric population
is increasing.
PMID- 26560362
TI - 15-Lipoxygenase inhibitors: a patent review.
AB - INTRODUCTION: 15-Lipoxygenases (15-LOXes) are a family of iron-containing
proteins that have the capability for unsaturated fatty acid peroxidation in
animals and plants. Two types of the enzyme, 15-LOX-1 and 15-LOX-2, have been
recognized in mammals to have different abilities in the peroxidation of
arachidonic acid and linoleic acid. In mammalians, the critical role of the
mentioned enzymes and their metabolites, hydroxyoctadecadienoic acid (HODE),
lipoxins and eoxins, in the formation of inflammation, sensitivities,
atherosclerosis and some cancers has been demonstrated. AREAS COVERED: This
article reviews relevant publications and patents on 15-LOX inhibitors from the
points of view of synthesis and biological activities. Herein, based on the
chemical structure and pharmacophore moiety, 15-LOX inhibitors are categorized
into heterocyclic, phenolic, allyl and allyloxy derivatives. EXPERT OPINION: It
is noteworthy that to date no pharmaceutical product from 15-LOX inhibitors has
been approved for therapeutic usage. Recently, the role of 15-LOX-1 in obesity,
by directly relating 15-LOX-1 expression with the proliferation and hypertrophy
of adipose cells, has been reported. Based on the role 15-LOX plays in promoting
cancer by amplifying PPARgamma transcription activity, however, it can be claimed
that 15-LOX inhibitors will be deemed suitable as chemotherapy agents in the near
future.
PMID- 26560364
TI - Treatment of olive mill wastewater by photooxidation with ZrO2-doped TiO2
nanocomposite and its reuse capability.
AB - Zirconium dioxide (zirconia, ZrO2)-doped TiO2 (TiO2/ZrO2) nanocomposite was used
for the photocatalytic oxidation of pollutant parameters [COD components
(CODtotal, CODdissolved and CODinert)], polyphenols (catechol, 3-hydroxybenzoic
acid, tyrosol and 4-hydroxybenzoic acid) and total polyaromatic amines [aniline,
4-nitroaniline, o-toluidine and o-anisidine] from the olive mill effluent
wastewaters at different operational conditions such as at different mass ratios
of ZrO2 (50, 25, 14, 10 and 5 wt%) in the TiO2/ZrO2 nanocomposite, at different
TiO2/ZrO2 photocatalyst concentrations (1, 4, 15 and 50 mg/L) and pH values (4.0
7.0-10.0) under 300 W UV irradiations, respectively. Under the optimized
conditions (pH = 4.6, 15 mg/L ZrO2/TiO2 nanocomposite with a ZrO2 mass ratio of
14 wt%, 300 W UV light, after 60 min photooxidation time, at 21 degrees C), the
maximum CODdissolved, total phenol and total aromatic amines photooxidation
yields were 99%, 89% and 95%, respectively. High pollutant removal (89%) yields
after sequential five times utilization of ZrO2/TiO2 nanocomposite show that this
catalyst can be effectively used commercially in the treatment of olive mill
effluent.
PMID- 26560365
TI - Design of a polarized filtering photonic-crystal fiber with gold-coated air
holes.
AB - A novel design of a gold-coated photonic-crystal fiber (PCF) is studied by using
the finite element method. The cross-section structure of the PCF is composed of
a square lattice of air holes in which two air holes are gold coated, and the air
hole layout is modified. The resonance strength and the impact of structural
parameters of the PCF on the polarization filter characteristics are studied.
Numerical results show that the resonance strength and wavelengths are different
in two polarized directions. The resonance strengths that we obtain can reach a
value of 720 dB/cm at the wavelength of 1.31 MUm. When the fiber length is 400
MUm, the crosstalk can reach a value of 247.2 dB at the wavelength of 1.31 MUm,
which can be applied in many polarization filter devices. And when the length of
fiber is longer than 200 MUm, the crosstalk is better than 20 dB with wavelength
ranges from 1.2 to 2 MUm. Meanwhile, we can realize the filtering effect with a
very short fiber.
PMID- 26560366
TI - Absolute measurement of laminar shear rate using photon correlation spectroscopy.
AB - Measurement of the components of the shear rate tensor S in a fluid provides
insight into the properties of that fluid. We detail a refined method for
performing direct and absolute measurement of the components of S in a fluid
using photon correlation spectroscopy, which we show gives an absolute, accurate,
noninvasive measurement of the shear rate from a single measurement at a single
location. We demonstrate this method in a cone and plate rheometer, where we
obtain measurements of the shear rate of water in 10 s with an absolute accuracy
of 2%.
PMID- 26560363
TI - Regulation of iron homeostasis by the p53-ISCU pathway.
AB - Accumulation of iron in tissues increases the risk of cancer, but iron regulatory
mechanisms in cancer tissues are largely unknown. Here, we report that p53
regulates iron metabolism through the transcriptional regulation of ISCU (iron
sulfur cluster assembly enzyme), which encodes a scaffold protein that plays a
critical role in Fe-S cluster biogenesis. p53 activation induced ISCU expression
through binding to an intronic p53-binding site. Knockdown of ISCU enhanced the
binding of iron regulatory protein 1 (IRP1), a cytosolic Fe-S protein, to an iron
responsive element in the 5' UTR of ferritin heavy polypeptide 1 (FTH1) mRNA and
subsequently reduced the translation of FTH1, a major iron storage protein. In
addition, in response to DNA damage, p53 induced FTH1 and suppressed transferrin
receptor, which regulates iron entry into cells. HCT116 p53(+/+) cells were
resistant to iron accumulation, but HCT116 p53(-/-) cells accumulated
intracellular iron after DNA damage. Moreover, excess dietary iron caused
significant elevation of serum iron levels in p53(-/-) mice. ISCU expression was
decreased in the majority of human liver cancer tissues, and its reduced
expression was significantly associated with p53 mutation. Our finding revealed a
novel role of the p53-ISCU pathway in the maintenance of iron homeostasis in
hepatocellular carcinogenesis.
PMID- 26560367
TI - Color-fringe pattern profilometry using a generalized phase-shifting algorithm.
AB - In order to overcome the limitations of the sequential phase-shifting fringe
pattern profilometry for dynamic measurements, a color-channel-based approach is
presented. The proposed technique consists of projecting and acquiring a colored
image formed by three sinusoidal phase-shifted patterns. Therefore, by using the
conventional three-step phase-shifting algorithm, only one color image is
required for phase retrieval each time. However, the use of colored fringe
patterns leads to a major problem, the color crosstalk, which introduces phase
errors when conventional phase-shifting algorithms with fixed phase-shift values
are utilized to retrieve the phase. To overcome the crosstalk issue, we propose
the use of a generalized phase-shifting algorithm with arbitrary phase-shift
values. The simulations and experimental results show that the proposed algorithm
can significantly reduce the influence of the color crosstalk.
PMID- 26560368
TI - Development and field tests of a narrowband all-reflective spatial heterodyne
spectrometer.
AB - We describe the design, development, and performance of a narrowband, all
reflective, unaliased spatial heterodyne spectrometer (SHS) that has been tested
in observations at the focus of the 1.6 m main telescope of the McMath-Pierce
solar telescope on Kitt Peak. The all-reflective SHS described herein is a highly
robust common-path Fourier transform spectrometer without moving parts that, over
a limited spectral region, combines the large field of view and high resolving
power characteristic of interference spectrometers but at substantially reduced
instrument size and optical tolerances. The self-scanned region of wavelength
space and resolving power of the SHS are determined by the beam size, the
diffraction grating groove density, the number of detector elements, and the
fixed orientation of a set of pilot mirrors. The results presented here represent
the first successful implementation of this reflective SHS design for field use.
We discuss concepts behind the unaliased reflective SHS design and report the
performance of the instrument when used to observe terrestrial airglow and
absorption features, the solar spectrum, and the Jovian spectrum near lambda=6300
A, at the achieved resolving power (R=lambda/deltalambda) of R>100,000. The
results confirm that reflective SHS instruments can deliver effective
interferometric performance in the visible to the far-ultraviolet wavelengths
with commercial optics of moderate surface quality.
PMID- 26560369
TI - Design of a low-cost and compact 1 * 5 wavelength-selective switch for access
networks.
AB - This paper describes the design, modeling, construction, and testing of a low
cost and compact (80 mm*50 mm) 1*5 wavelength-selective switch. The core beam
deflecting element of the switch is a nematic liquid crystal on silicon spatial
light modulator. The switch is designed for coarse wavelength-division
multiplexing wavelengths in order to bring the benefit of a low-cost, compact,
and robust switching design toward the customer end in the access network. During
the system development stage, a single optomechanical assembly was designed and
prototyped using the three-dimensional printing technology. The experimental
results show an insertion loss of -13.8+/-1.4 dB and a worst-case scenario
crosstalk level of -24.8 dB. Approaches for enhancing the performance of the
switch are analyzed and discussed.
PMID- 26560370
TI - Three-dimensional/two-dimensional convertible projection screen using see-through
integral imaging based on holographic optical element.
AB - We propose a 3D/2D convertible screen using a holographic optical element and
angular multiplexing method of volume hologram. The proposed screen, named a
multiplexed holographic optical element screen (MHOES), is composed of passive
optical components, and displaying modes between 3D and 2D modes are converted
according to projection directions. In a recording process, the angular
multiplexing method by using two reference waves with different incidence angles
enables the functions of 3D and 2D screens to be recorded in a single holographic
material. Also, in order to avoid the bulky experimental setup due to adopting
different projectors for the 3D and 2D modes, the projection part is realized
based on a prism. The designed projection part enables the single projector to
present 3D on 2D mode, where the 3D and 2D contents are simultaneously displayed
in one scene, without active components. The optical characteristics of MHOES are
experimentally analyzed, and displaying experiments with a full-color MHOES are
presented in order to verify the 3D/2D convertibility and see-through properties.
PMID- 26560371
TI - Gain-coupled distributed feedback laser based on periodic surface anode canals.
AB - A single-longitude-mode, broad-stripe, gain-coupled, distributed-feedback laser
based on periodic surface anode canals (PSACs) is demonstrated. The PSACs,
produced by i-line lithography, enhance the contrast of periodic current density
in the active layer without introducing effective photon coupling; calculated
grating kappaL is only 0.026. Power of 144.6 mW at 968.8 nm, with spectrum
linewidth less than 0.04 nm on every uncoated cleavage facet, is obtained at a
current of 1.2 A with a side-mode suppression ratio >29 dB.
PMID- 26560372
TI - Simulation of path delay multiplexing-based Fourier transform spectrometer for
fiber Bragg grating interrogation.
AB - A Fourier transform spectrometer (FTS) used for interrogating a fiber Bragg
grating (FBG) consists of a scanning-type interferometer. The FTS has a broad
wavelength range of operation and good multiplexing capability. However, it has
poor wavelength resolution and interrogation speed. We propose a modification to
the FTS using path delay multiplexing to improve the same. Using this method,
spatial resolution and interrogation time can be improved by n times by using n
path delays. In this paper, simulation results for n=2, 5 are shown.
PMID- 26560373
TI - Model-based defect detection on structured surfaces having optically unresolved
features.
AB - In this paper, we demonstrate, both numerically and experimentally, a method for
the detection of defects on structured surfaces having optically unresolved
features. The method makes use of synthetic reference data generated by an
observational model that is able to simulate the response of the selected optical
inspection system to the ideal structure, thereby providing an ideal measure of
deviation from nominal geometry. The method addresses the high dynamic range
challenge faced in highly parallel manufacturing by enabling the use of low
resolution, wide field of view optical systems for defect detection on surfaces
containing small features over large regions.
PMID- 26560374
TI - Restoration of the near-diffraction-limited response size at heterodyne detection
of microholograms, distorted by spherical aberration.
AB - Spherical aberrations (SAs) are an important factor in limiting the number of
recording layers in a multilayer microholographic data storage scheme. This paper
discusses the effect of the restoration of the response size, which makes it
possible to decrease considerably the requirement of accuracy for the SA
correction of the optical pickup system. The restoration occurs at the heterodyne
detection of microholograms distorted by aberrations. The effect of restoring is
demonstrated experimentally. A study of the dependence of the microholograms'
lateral and longitudinal sizes on the medium depth in which they were formed was
carried out. To measure the longitudinal size, a special material containing
submicron microspheres was used. The size of the microhologram distorted by
aberrations was then compared with the response size obtained at detection. In
the last step, a conclusion about the restoration of the response size is made.
The multilayer microholograms recording was carried out in iron-doped lithium
niobate at a depth range from 70 to 520 MUm. A mathematical model of the
microholograms' recording-reading was developed. This model makes it possible to
give a qualitative explanation of the processes leading to the restoration of the
near-diffraction-limited response size.
PMID- 26560375
TI - Toward the complete practicability for the linear-equation dwell time model in
subaperture polishing.
AB - This study intends to address several problems that are still obstructing the
complete practicability of the linear equation dwell time model (LEDTM) used in
deterministic subaperture polishing, including memory cost, time cost, arbitrary
boundary, and arbitrary tool path. For a large-scale surface error matrix, the
memory cost and time cost are two major problems of concern. Here, we present a
method that uses the operation of a sparse matrix to build and store the
coefficient matrix of the linear equation, which can reduce the memory cost and
time cost tens to hundreds of times, thus making LEDTM readily deal with a large
scale surface error matrix on a common personal computer, with a time cost of ~1
6 s for a surface error matrix with a scale of 300*300 to 600*600. The
compatibility for an arbitrary surface error boundary and tool path is also
addressed. Using the proposed method, we believe the LEDTM reaches a complete
practicability in engineering.
PMID- 26560376
TI - Reducing aberration effect of Fourier transform lens by modifying Fourier
spectrum of diffractive optical element in beam shaping optical system.
AB - In general, Fourier transform lenses are considered as ideal in the design
algorithms of diffractive optical elements (DOEs). However, the inherent
aberrations of a real Fourier transform lens disturb the far field pattern. The
difference between the generated pattern and the expected design will impact the
system performance. Therefore, a method for modifying the Fourier spectrum of
DOEs without introducing other optical elements to reduce the aberration effect
of the Fourier transform lens is proposed. By applying this method, beam shaping
performance is improved markedly for the optical system with a real Fourier
transform lens. The experiments carried out with a commercial Fourier transform
lens give evidence for this method. The method is capable of reducing the system
complexity as well as improving its performance.
PMID- 26560377
TI - Suspended-core microstructured fiber for refractometric detection of liquids.
AB - A silica suspended-core microstructured optical fiber sensor for detection of
liquids, operating at 1550 nm, is analyzed. The sensing principle is based on the
evanescent wave overlap into a tested analyte, which is filled via capillary
forces into the cladding holes. Validations for analytes in the refractive index
range of 1.35-1.43 are carried out with liquid-analyte-filling-length limits
being studied both theoretically and experimentally. We prove, for the first time
to our knowledge, that an extreme sensitivity of 342.86 dB/RIU and resolution of
4.4*10-5 can be achieved. This sensor represents a high-quality
alternative for applications requiring a facile, low-cost solution.
PMID- 26560378
TI - Remote measurement of surface roughness, surface reflectance, and body
reflectance with LiDAR.
AB - Light detection and ranging (LiDAR) intensity data are attracting increasing
attention because of the great potential for use of such data in a variety of
remote sensing applications. To fully investigate the data potential for target
classification and identification, we carried out a series of experiments with
typical urban building materials and employed our reconstructed built-in-lab
LiDAR system. Received intensity data were analyzed on the basis of the derived
bidirectional reflectance distribution function (BRDF) model and the established
integration method. With an improved fitting algorithm, parameters involved in
the BRDF model can be obtained to depict the surface characteristics. One of
these parameters related to surface roughness was converted to a most used
roughness parameter, the arithmetical mean deviation of the roughness profile
(Ra), which can be used to validate the feasibility of the BRDF model
in surface characterizations and performance evaluations.
PMID- 26560380
TI - Cryptanalysis of an "asymmetric optical cryptosystem based on coherent
superposition and equal modulus decomposition".
AB - We analyze and present an attack scheme of the asymmetric optical cryptosystem
proposed recently based on coherent superposition and equal modulus decomposition
[Opt. Lett.40, 475 (2015)OPLEDP0146-959210.1364/OL.40.000475]. We prove that the
attacker can recover the original image with the ciphertext and public keys
through the amplitude-phase retrieval algorithm by using two constraints. One
constraint of the amplitude-phase retrieval algorithm is the public key and the
other is obtained through the analysis of the cryptosystem. The simulation
results demonstrate the feasibility of the attack method.
PMID- 26560379
TI - General measurement of optical system aberrations with a continuously variable
lateral shear ratio by a randomly encoded hybrid grating.
AB - A general lateral shearing interferometry method to measure the wavefront
aberrations with a continuously variable shear ratio by the randomly encoded
hybrid grating (REHG) is proposed. The REHG consists of a randomly encoded binary
amplitude grating and a phase chessboard. Its Fraunhofer diffractions contain
only four orders which are the +/-1 orders in two orthogonal directions due to
the combined modulation of the amplitude and phase. As a result, no orders
selection mask is needed for the REHG and the shear ratio is continuously
variable, which is beneficial to the variation of sensitivity and testing range
for different requirements. To determine the fabrication tolerance of this hybrid
grating, the analysis of the effects of different errors on the diffraction
intensity distributions is carried out. Experiments have shown that the testing
method can achieve a continuously variable shear ratio with the same REHG, and
the comparison with a ZYGO GPI interferometer exhibits that the aberration
testing method by the REHG is highly precise and also has a good repeatability.
This testing method by the REHG is available for general use in testing the
aberrations of different optical systems in situ.
PMID- 26560381
TI - Component spectra extraction from terahertz measurements of unknown mixtures.
AB - The aim of this work is to extract component spectra from unknown mixtures in the
terahertz region. To that end, a method, hard modeling factor analysis (HMFA),
was applied to resolve terahertz spectral matrices collected from the unknown
mixtures. This method does not require any expertise of the user and allows the
consideration of nonlinear effects such as peak variations or peak shifts. It
describes the spectra using a peak-based nonlinear mathematic model and builds
the component spectra automatically by recombination of the resolved peaks
through correlation analysis. Meanwhile, modifications on the method were made to
take the features of terahertz spectra into account and to deal with the
artificial baseline problem that troubles the extraction process of some
terahertz spectra. In order to validate the proposed method, simulated wideband
terahertz spectra of binary and ternary systems and experimental terahertz
absorption spectra of amino acids mixtures were tested. In each test, not only
the number of pure components could be correctly predicted but also the
identified pure spectra had a good similarity with the true spectra. Moreover,
the proposed method associated the molecular motions with the component
extraction, making the identification process more physically meaningful and
interpretable compared to other methods. The results indicate that the HMFA
method with the modifications can be a practical tool for identifying component
terahertz spectra in completely unknown mixtures. This work reports the solution
to this kind of problem in the terahertz region for the first time, to the best
of the authors' knowledge, and represents a significant advance toward exploring
physical or chemical mechanisms of unknown complex systems by terahertz
spectroscopy.
PMID- 26560382
TI - Highly sensitive lateral deformable optical MEMS displacement sensor: anomalous
diffraction studied by rigorous coupled-wave analysis.
AB - This paper discusses the pulse signal of a highly sensitive lateral deformable
optical microelectromechanical systems (MEMS) displacement sensor based on Wood's
anomalies and its corresponding tolerance. The optical reflection amplitude of
the device changes with the displacement of the nanostructured grating elements.
Unexpectedly, the device's original sinusoidal signal develops into a new signal
form (i.e., a pulse signal), when the air gap between the two layers of gratings
decreases. Since the slope of the pulse signal, namely 2.5%/nm (i.e., 0.65
dB/nm), is eight times higher than that of the original signal form, namely
0.3%/nm (i.e., 0.03 dB/nm), the sensitivity of the structure improves by eight
times. However, this device is very sensitive to parameters such as its
wavelength, period, duty ratio, and air gap. In this paper we used rigorous
coupled wavelength analysis (RCWA) to analyze and optimize the respective
influence of each parameter on the device's performance. We have introduced two
methods to search for the optimal setting and have demonstrated the optimal
settings of different incident lights. The simulation results indicate that it is
close to 85% possible to achieve an actual device with the highest slope superior
to 0.5%/nm and it is 64% possible that the highest slope of an actual device
falls in the interval ranging from 1.0%/nm to 2.0%/nm. All the simulated data
helped us better understand the tolerance of the pulse signal and guide us toward
the development of an actual device.
PMID- 26560383
TI - Step angles to reduce the north-finding error caused by rate random walk with
fiber optic gyroscope.
AB - We study the relationship between the step angles and the accuracy of north
finding with fiber optic gyroscopes. A north-finding method with optimized step
angles is proposed to reduce the errors caused by rate random walk (RRW). Based
on this method, the errors caused by both angle random walk and RRW are reduced
by increasing the number of positions. For when the number of positions is even,
we proposed a north-finding method with symmetric step angles that can reduce the
error caused by RRW and is not affected by the azimuth angles. Experimental
results show that, compared with the traditional north-finding method, the
proposed methods with the optimized step angles and the symmetric step angles can
reduce the north-finding errors by 67.5% and 62.5%, respectively. The method with
symmetric step angles is not affected by the azimuth angles and can offer
consistent high accuracy for any azimuth angles.
PMID- 26560384
TI - Bidirectional reflection effects in practical integrating spheres.
AB - Integrating spheres play a central role in radiometric instrument calibration,
surface optical property measurement, and radiant source characterization. Our
work involves a simulation, based on the Monte Carlo ray-trace (MCRT) of
bidirectional reflections within a practical integrating sphere pierced with two
viewing ports. We used data from the literature to create an empirical model for
the bidirectional reflection distribution function (BRF) of Spectralon suitable
for use in the MCRT environment. The ratio of power escaping through the two
openings is shown to vary linearly with wall absorptivity for both diffuse and
bidirectional reflections. The sensitivity of this ratio to absorptivity is shown
to be less when reflections are weakly bidirectional.
PMID- 26560385
TI - Direct welding of glass and metal by 1 kHz femtosecond laser pulses.
AB - In the welding process between similar or dissimilar materials, inserting an
intermediate layer and pressure assistance are usually thought to be necessary.
In this paper, the direct welding between alumina-silicate glass and metal
(aluminum, copper, and steel), under exposure from 1 kHz femtosecond laser pulses
without any auxiliary processes, is demonstrated. The micron/nanometer-sized
metal particles induced by laser ablation were considered to act as the adhesive
in the welding process. The welding parameters were optimized by varying the
pulse energy and the translation velocity of the sample. The shear joining
strength characterized by a shear force testing equipment was as high as 2.34
MPa. This direct bonding technology has potential for applications in medical
devices, sensors, and photovoltaic devices.
PMID- 26560386
TI - Sky light polarization detection with linear polarizer triplet in light field
camera inspired by insect vision.
AB - Stable information of a sky light polarization pattern can be used for navigation
with various advantages such as better performance of anti-interference, no
"error cumulative effect," and so on. But the existing method of sky light
polarization measurement is weak in real-time performance or with a complex
system. Inspired by the navigational capability of a Cataglyphis with its
compound eyes, we introduce a new approach to acquire the all-sky image under
different polarization directions with one camera and without a rotating
polarizer, so as to detect the polarization pattern across the full sky in a
single snapshot. Our system is based on a handheld light field camera with a wide
angle lens and a triplet linear polarizer placed over its aperture stop.
Experimental results agree with the theoretical predictions. Not only real-time
detection but simple and costless architecture demonstrates the superiority of
the approach proposed in this paper.
PMID- 26560387
TI - Effect of colliding partners on the performance of SF6 and SO2 trace measurements
in photoacoustic spectroscopy.
AB - A photoacoustic (PA) cell was designed based on the numerical simulation and then
was fabricated in order to investigate the effect of various buffer gases on the
acoustic signals and the resonant frequencies. The sizes of resonator and buffer
chambers were suitably selected to improve signal-to-noise ratio. Atmospheric
SF6 impact is high due to its significant green house effect. A series
of experiments were performed to detect SF6 trace in the air and
various types of rare gases. Similar experiments were carried out for the urban
and industrial pollutant SO2 in the same environments. The resonant
frequencies were measured at various pressures for several rare gases, namely He,
Ne, Ar, Kr, and Xe. The PA spectroscopy of molecular traces in various gases
gives rise to sensible spectral shift. It was shown that the use of heavier
buffer gases at atmospheric pressure lead to detection of the lower
concentrations. In general, the relatively intense acoustic signal is recorded
for the heaviest species Xe due to its large vibrational-transitional excitation
cross section. Conversely, the light elements dissipate laser energy much larger
than the heavy species during the successive collisional excitations. Therefore
the trace molecules in helium exhibit a notable rise in the resonant frequency
accompanying a small PA signal.
PMID- 26560388
TI - Multifocus color image sequence fusion based on mean shift segmentation.
AB - This paper presents a region-based technique for fusion of a multifocus color
image sequence in the LUV color space. First, mean shift segmentation was applied
on the weighted average image of the image sequence to obtain the fusion
reference areas. Second, for each segmented area, the well-known modified
Laplacian (LAP2) was used as a focus measure to select the clearest parts within
the image sequence and then a final image focused with all parts can be
generated. Mutual information, QAB/F metric, entropy, standard deviation, image
sharpness metric, image contrast metric, average gradient, and spatial frequency
were adopted to assess the quality of the fused image. Experiments carried out
using standard image sequences from HeliconSoft demonstrated that the results
obtained through our technique offer good performance. The proposed technique can
be used to extend the depth of field (DOF) of a camera system effectively.
PMID- 26560389
TI - Highly transparent light-harvesting window film.
AB - We have simulated unique textured window films that capture solar radiation
without compromising the window's transparency by scattering infrared light
toward photovoltaic strips located at the edges of the window. These films are
ideal for powering electrochromic glass, which is difficult to install as each
window requires its own power source. Our most promising design consists of an
embedded array of 35 degrees cones coated with a five-layer SiO2-Ag
stack that was simulated to direct 1.4% of the incident light toward the edges
and generate 1 W of power under a collimated 1000 W/m2 AM1.5G source
at 60 degrees and an average of 0.5 W over a full year when applied to a 1 m*1
m window. The internal visible transmittance of the window with the applied film
is 95% at normal incidence, and remains above 85% for viewing angles up to 60
degrees . The haze is 0.6% at normal incidence and 3.9% at 60 degrees .
PMID- 26560390
TI - A reconfigurable all-fiber polarization-diversity coherent Doppler lidar:
principles and numerical simulations.
AB - This paper shows an efficient adaptation of a polarization diversity optical
front-end, commonly used in high-speed fiber-optic communications, in a coherent
Doppler lidar (CDL). The adopted architecture can be employed in a modified
transceiver design for an all-fiber micropulsed coherent Doppler wind lidar where
the performance limits of such systems are pushed beyond the conventionally
available wind CDLs. As a result, either a longer measurement range, crucial in
clear-air environments with low concentration of aerosols, or a shorter
integration time (resulting in a faster scanning) can be achieved. Alternatively,
in certain aerosol loading conditions where the presence of nonspherical aerosols
is considerable, the system can be reconfigured on the fly to analyze the cross
polarization of the backscatter optical signal. The result is the capability to
analyze the nature of aerosol particles for the detected range of interest. Due
to full utilization of the backscatter signal, i.e., detection of co-polarization
and cross polarization components, the signal-to-noise-ratio (SNR) as well as
detection range is improved in this configuration. Moreover, the system is
capable of providing a more reliable estimation of the aerosol backscatter
coefficient when compared with the contemporary CDLs. This system employs robust
and compact all-fiber subsystems, which are cost effective and widely available
as off-the-shelf components.
PMID- 26560391
TI - Monitoring and analysis of thermal deformation waves with a high-speed phase
measurement system.
AB - Thermal effects in optical substrates are vitally important in determining laser
damage resistance in long-pulse and continuous-wave laser systems. Thermal
deformation waves in a soda-lime-silica glass substrate have been measured using
high-speed interferometry during a series of laser pulses incident on the
surface. Two-dimensional images of the thermal waves were captured at a rate of
up to six frames per thermal event using a quantitative phase measurement method.
The system comprised a Mach-Zehnder interferometer, along with a high-speed
camera capable of up to 20,000 frames-per-second. The sample was placed in the
interferometer and irradiated with 100 ns, 2 kHz Q-switched pulses from a high
power Nd:YAG laser operating at 1064 nm. Phase measurements were converted to
temperature using known values of thermal expansion and temperature-dependent
refractive index for glass. The thermal decay at the center of the thermal wave
was fit to a function derived from first principles with excellent agreement.
Additionally, the spread of the thermal distribution over time was fit to the
same function. Both the temporal decay fit and the spatial fit produced a thermal
diffusivity of 5*10-7 m2/s.
PMID- 26560392
TI - Design of an InGaAsP/InP compact integrated optical depolarizer.
AB - In this paper, we propose and demonstrate two integrated optics InGaAsP/InP
depolarizer circuits. The first one is based on the Lyot depolarizer
configuration, while the second one is based on the Mach-Zehnder configuration.
Detailed simulation, using three-dimensional full vectorial beam propagation
method, shows that a high-index contrast material allows the design of a compact
polarization insensitive depolarizer. For the first design, an output degree of
polarization (DOP) less than 0.1 is obtained for light sources with spectral
widths larger than 25 nm, while for the second one, output DOP less than 0.06 is
obtained for light sources with spectral widths larger than 40 nm.
PMID- 26560393
TI - Theoretical and experimental investigations on measuring underwater temperature
by the coherent Brillouin scattering method.
AB - In this paper, a new method of measuring a water-stimulated Brillouin scattering
(SBS) frequency shift by optical coherent detection is presented, in order to
remote-sense the underwater temperature of the ocean. A single longitudinal mode,
passively Q-switched pulsed Nd:YAG laser is used as the light source, the water
SBS beam is used as the signal beam, and a portion of the incident laser beam is
used as the local oscillator. The heterodyne is detected by a high-speed
photodetector, and the heterodyne frequency is the Brillouin frequency shift.
Therefore, the underwater temperature can be determined according to the
relationship between the Brillouin frequency shift and the water temperature. To
test and verify its practicability, the heterodyne waveforms at different water
temperatures are recorded in the laboratory with a wide-band oscilloscope, and
the Brillouin frequency shifts are deduced by a Fourier transform. The
experimental results are consistent with the theoretical analysis. This work
provides the foundation for the development of a water temperature measurement
system based on coherent Brillouin scattering.
PMID- 26560394
TI - Simple and robust algorithm to extend the dynamic range of tip-tilt for a Shack
Hartmann sensor.
AB - We propose an algorithm to extend the dynamic range of tip-tilt (TT) for a Shack
Hartmann wave-front sensor. With this method, the dynamic range of TT is
determined by the size of the whole CCD pixel array rather than the size of the
sub-aperture. Thus the separate TT sensor in adaptive optics (AO) systems for
optical telescope can be saved, which will simplify the systems and enhance the
light energy efficiency. The proposed algorithm is computationally effective and
appropriate for the real-time TT computation of AO systems. The simulated and
experimental results show that the algorithm is robust to realistic scintillation
and photon noise and can work well under poor observing conditions. For the given
condition with r0 of 5 cm at 550 nm and average flux of 100 photons
per sub-aperture, the ultimate measurement accuracy of TT is about 5% pixels
(peak-to-valley value).
PMID- 26560395
TI - High-speed alternative phase-extraction method for imaging array-coupled binary
moire interferometry.
AB - An alternative phase-extraction methodology is presented for high-resolution
moire analysis, in which the projected and imaged pattern is imaged by a charge
coupled device (CCD) array relatively spaced at three pixels per period. The
profilometric method uses a photolithographically printed binary pattern with a
33.3% duty cycle symmetrically projected and imaged using telecentric lenses. The
resulting surface height map reduces phase error by 33% when compared to
conventional sinusoidal projection and extraction methods. The presented system
has the ability to resolve surface features with a lateral resolution of <50 MUm
and a topographical resolution <10 MUm.
PMID- 26560396
TI - Curvature wavefront sensing for the large synoptic survey telescope.
AB - The Large Synoptic Survey Telescope (LSST) will use an active optics system (AOS)
to maintain alignment and surface figure on its three large mirrors. Corrective
actions fed to the LSST AOS are determined from information derived from four
curvature wavefront sensors located at the corners of the focal plane. Each
wavefront sensor is a split detector such that the halves are 1 mm on either side
of focus. In this paper, we describe the extensions to published curvature
wavefront sensing algorithms needed to address challenges presented by the LSST,
namely the large central obscuration, the fast f/1.23 beam, off-axis pupil
distortions, and vignetting at the sensor locations. We also describe corrections
needed for the split sensors and the effects from the angular separation of
different stars providing the intrafocal and extrafocal images. Lastly, we
present simulations that demonstrate convergence, linearity, and negligible noise
when compared to atmospheric effects when the algorithm extensions are applied to
the LSST optical system. The algorithm extensions reported here are generic and
can easily be adapted to other wide-field optical systems including similar
telescopes with large central obscuration and off-axis curvature sensing.
PMID- 26560397
TI - Encapsulating peritoneal sclerosis: A review of 3 cases.
PMID- 26560398
TI - Acute kidney injury secondary to rhabdomyolysis in a nonagenarian patient taking
statins. Lessons to be considered.
PMID- 26560399
TI - Complete remission of nephrotic syndrome in a woman with renal amyloidosis due to
familial mediterranean fever.
PMID- 26560400
TI - An uncommon cause of spontaneous hemoperitoneum in a peritoneal dialysis patient.
PMID- 26560401
TI - Acute renal colic: Beyond kidney stones.
PMID- 26560402
TI - Atypical haemolytic-uraemic syndrome in a young patient with renal, neurological,
ocular and cardiovascular involvement.
PMID- 26560403
TI - Fullerenes in Aromatic Solvents: Correlation between Solvation-Shell Structure,
Solvate Formation, and Solubility.
AB - In this work, an all-atom molecular dynamics simulation technique was employed to
gain insight into the dynamic structure of the solvation shell formed around C60
and phenyl-C61-butyric acid methyl ester (PCBM) in nine aromatic solvents. A new
method was developed to visualize and quantify the distribution of solvent
molecule orientations in the solvation shell. A strong positive correlation was
found between the regularity of solvent molecule orientations in the solvation
shell and the experimentally obtained solubility limits for both C60 and PCBM.
This correlation was extended to predict a solubility of 36 g/L for PCBM in 1,2,4
trimethylbenze. The relationship between solvation-shell structure and solubility
provided detailed insight into solvate formation of C60 and solvation in relation
to solvent molecular structure and properties. The determined dependence of the
solvation-shell structure on the geometric shape of the solvent might allow for
enhanced control of fullerene solution-phase behavior during processing by
chemically tailoring the solvent molecular structure, potentially diminishing the
need for costly and environmentally harmful halogenated solvents and/or
additives.
PMID- 26560404
TI - Oxidative potential of coarse particulate matter (PM(10-2.5)) and its relation to
water solubility and sources of trace elements and metals in the Los Angeles
Basin.
AB - In this study, potential sources of water-soluble (WS) and water-insoluble (WI)
fractions of metals and trace elements in coarse particulate matter (CPM) (PM(10
2.5), 2.5 < dp < 10 MUm) were identified and their association with the redox
properties of CPM, measured by means of reactive oxygen species (ROS), was
explored. CPM was collected during 2012-2013 in Central Los Angeles (LA) and 2013
2014 in Anaheim, CA. Generally, WI components contributed to a larger fraction of
CPM ROS activity (as much as 64% and 54% at Central LA and Anaheim,
respectively). Two major source factors were identified by principal component
analysis for both the WS and WI fractions: vehicular abrasion and re-suspended
road dust. Univariate analysis indicated that several species were correlated
with CPM ROS activity: in WS fraction, metals such as Mn, Fe, Cd and Zn were
associated with WS ROS, while in WI fraction Ti, Fe, Ni, Pb and Cr had the
highest correlations with WI ROS activity. Multiple linear regression analysis
revealed that both vehicular abrasion and re-suspension of road dust were
associated with WS ROS activity, while only vehicular abrasion contributed
significantly to the WI ROS activity. Moreover, comparison with previous studies
indicated that the ROS activity of CPM has increased in the past 5 years in
Central LA. We attribute this increase mainly to the elevated levels of re
suspension of road dust caused by the increase in vehicle speed and number of
trucks in recent years in this area, reaffirming the growing importance of non
tailpipe traffic emissions on CPM toxicity.
PMID- 26560405
TI - Assessing the role of physical illness in young old and older old suicide
attempters.
AB - OBJECTIVES: Attributions for attempting suicide were explored in older adults
with and without serious physical illness. METHODS: An open-ended question was
used to explore attributions for attempting suicide in 101 hospitalized persons
aged 70+. Serious physical illness was defined as a score of 3 or 4 on any of the
13 non-psychiatric organ categories in the Cumulative Illness Rating Scale for
Geriatrics. RESULTS: Roughly one-third of hospitalized persons with (22/62) and
without (12/39) serious physical illness attributed the suicide attempt to
somatic distress. Among 70- to 79-year-olds, seriously physically ill patients
were more likely than healthier patients to attribute their attempt to
psychological pain (84% vs. 48%, p = 0.013). There were no significant
differences in attributions in persons with and without serious health problems
in the 80+ group. CONCLUSIONS: The processes by which physical illness confers
risk for attempted suicide in older adulthood may be age dependent. Interventions
are needed to mitigate psychological pain in physically ill older patients,
especially those in their seventies. Research is needed to understand how the
psychological processes that influence the desire for suicide change across older
adulthood. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26560406
TI - Construct validity of the Free and Cued Selective Reminding Test in older adults
with memory complaints.
AB - INTRODUCTION: The Free and Cued Selective Reminding Test (FCSRT) is the memory
test recommended by the International Working Group on Alzheimer's disease (AD)
for the detection of amnestic syndrome of the medial temporal type in prodromal
AD. Assessing the construct validity and internal consistency of the Italian
version of the FCSRT is thus crucial. METHODS: The FCSRT was administered to 338
community-dwelling participants with memory complaints (57% females, age 74.5 +/-
7.7 years), including 34 with AD, 203 with Mild Cognitive Impairment, and 101
with Subjective Memory Impairment. Internal Consistency was estimated using
Cronbach's alpha coefficient. To assess convergent validity, five FCSRT scores
(Immediate Free Recall, Immediate Total Recall, Delayed Free Recall, Delayed
Total Recall, and Index of Sensitivity of Cueing) were correlated with three well
validated memory tests: Story Recall, Rey Auditory Verbal Learning test, and Rey
Complex Figure (RCF) recall (partial correlation analysis). To assess divergent
validity, a principal component analysis (an exploratory factor analysis) was
performed including, in addition to the above-mentioned memory tasks, the
following tests: Word Fluencies, RCF copy, Clock Drawing Test, Trail Making Test,
Frontal Assessment Battery, Raven Coloured Progressive Matrices, and Stroop
Colour-Word Test. RESULTS: Cronbach's alpha coefficients for immediate recalls
(IFR and ITR) and delayed recalls (DFR and DTR) were, respectively, .84 and .81.
All FCSRT scores were highly correlated with those of the three well-validated
memory tests. The factor analysis showed that the FCSRT does not load on the
factors saturated by non-memory tests. CONCLUSIONS: These findings indicate that
the FCSRT has a good internal consistency and has an excellent construct validity
as an episodic memory measure.
PMID- 26560407
TI - Effect of emotion on memory for words and their context.
AB - Emotion influences various cognitive processes, such as memory. This beneficial
or detrimental effect can be studied with verbal material, yet in this case a
broad term of context has to be taken into account. The present work reviews
recent literature and proposes that traditional differentiation between semantic
and environmental context should be replaced with a novel conceptualization of
hippocampus-dependent relational memory and item memory (related to the
activations of cuneus and left amygdala). Additionally, instead of list-learning
paradigms, words should be memorized in the context of sentences or stories for
better control over their meaning. The recent evidence suggests that of
particular importance for ecological validity in research paradigms is the
presence of communicative and social context of verbal material related to such
processes as theory of mind and brain activations in temporoparietal junction,
posterior cingulate cortex, and dorsal medial prefrontal cortex. We propose that
studying memory of verbal material within context gives a better understanding of
enhancing and impairing effects of emotion as well as of the underlying brain
mechanisms.
PMID- 26560408
TI - Maternal healthcare in context: A qualitative study of women's tactics to improve
their experience of public healthcare in rural Burkina Faso.
AB - Improving the use of public maternal health facilities to prevent maternal death
is a priority in developing countries. Accumulating evidence suggests that a key
factor in choosing a facility-based delivery is the collaboration and the
communication between healthcare providers and women. This article attempts to
provide a fine-grained understanding of health system deficiencies, healthcare
provider practices and women's experiences with maternal public healthcare. This
article presents findings from ethnographic research conducted in the Central
East Region of Burkina Faso over a period of eight months (January-August 2013).
It is based on monthly interviews with 14 women from village (10) and town (4)
and on structured observations of clinical encounters in three primary healthcare
facilities (two rural and one urban) (23 days). In addition, 13 health workers
were interviewed and 11 focus groups with women from village (6) and town (5)
were conducted (48 participants). Guided by an analytic focus on strategies and
tactics and drawing on recent discussions on the notion of 'biomedical security',
the article explores what tactics women employ in their efforts to maximize their
chances of having a positive experience with public maternal healthcare. The
synthesis of the cases shows that, in a context of poverty and social insecurity,
women employ five tactics: establishing good relations with health workers, being
mindful of their 'health booklet', attending prenatal care consultations,
minimizing the waiting time at the maternity unit and using traditional
medicines. In this way, women strive to achieve biomedical security for
themselves and their child and to preserve their social reputation. The study
reveals difficulty in the collaboration and communication between health workers
and women and suggests that greater attention should be paid to social relations
between healthcare providers and users.
PMID- 26560409
TI - Complex and dynamic times of being chronically ill: Beyond disease trajectories
of patients with ulcerative colitis.
AB - This article contributes to health research literature by problematizing the
linear, sequential and intelligible understanding of time in the studies of
illness. Drawing on the work of Martin Heidegger, it attempts to overcome the
problem of considering the time of illness as either a framework controlling
patients' experiences or a mind-dependent feature of their lives. The paper
offers a conceptual analysis of the stories of ulcerative colitis patients from a
recent clinical trial to present temporalities of illness as both objective and
subjective, relational and dynamic. We attend to a combination of temporalities
related to the ambiguous unfolding of illness and patients' relationships with
such an unpredictable world of changing bodies, medical practices and temporal
norms. Furthermore, our analysis reveals openness of times and considers
ulcerative colitis patients as constantly evolving beings, with multiple
possibilities brought about by illness. The paper highlights co-existence of
times and considers patients' lives as incorporating a multiplicity of futures,
presents and pasts. It concludes with conceptual observations about the
consequences of developing complex approaches to illness in health research,
which can better highlight the situatedness of patients and their multi
dimensional temporal foundations.
PMID- 26560410
TI - Avoiding cancer risk information.
AB - RATIONALE: Perceived risk for health problems such as cancer is a central
construct in many models of health decision making and a target for behavior
change interventions. However, some portion of the population actively avoids
cancer risk information. The prevalence of, explanations for, and consequences of
such avoidance are not well understood. OBJECTIVE: We examined the prevalence and
demographic and psychosocial correlates of cancer risk information avoidance
preference in a nationally representative sample. We also examined whether
avoidance of cancer risk information corresponds with avoidance of cancer
screening. RESULTS: Based on our representative sample, 39% of the population
indicated that they agreed or strongly agreed that they would "rather not know
[their] chance of getting cancer." This preference was stronger among older
participants, female participants, and participants with lower levels of
education. Preferring to avoid cancer risk information was stronger among
participants who agreed with the beliefs that everything causes cancer, that
there's not much one can do to prevent cancer, and that there are too many
recommendations to follow. Finally, the preference to avoid cancer risk
information was associated with lower levels of screening for colon cancer.
CONCLUSION: These findings suggest that cancer risk information avoidance is a
multi-determined phenomenon that is associated with demographic characteristics
and psychosocial individual differences and also relates to engagement in cancer
screening.
PMID- 26560411
TI - Beyond inequality: Acknowledging the complexity of social determinants of health.
AB - The impact of inequality on health is gaining more attention as public and
political concern grows over increasing inequality. The income inequality
hypothesis, which holds that inequality is detrimental to overall population
health, is especially pertinent. However the emphasis on inequality can be
challenged on both empirical and theoretical grounds. Empirically, the evidence
is contradictory and contested; theoretically, it is inconsistent with our
understanding of human societies as complex systems. Research and discussion,
both scientific and political, need to reflect better this complexity, and give
greater recognition to other social determinants of health.
PMID- 26560412
TI - EARLY EVALUATION OF NEW HEALTH TECHNOLOGIES: THE CASE FOR PREMARKET STUDIES THAT
HARMONIZE REGULATORY AND COVERAGE PERSPECTIVES.
AB - With an increasing awareness that active engagement between policy decision
makers, HTA agencies, regulators and payers with industry in the premarket space
is needed, a disruptive comprehensive approach is described which moves the
evidentiary process exclusively into this space. Single harmonized studies pre
market to address regulatory and coverage needs and expectations are more likely
to be efficient and less costly and position evidence to drive rather than test
innovation. An example of such a process through the MaRS EXCITE program in
Ontario, Canada, now undergoing proof of concept, is briefly discussed. Other
examples of dialogue between decision makers and industry pre-market are provided
though these are less robust than a comprehensive evidentiary approach.
PMID- 26560413
TI - Anti-hapten antibodies in response to skin sensitization.
AB - Whereas T lymphocyte (T cell) activation is the key event in the acquisition of
skin sensitization and subsequent elicitation of allergic contact dermatitis, the
humoral component of immune responses to organic contact allergens has received
little consideration. There is evidence that, in experimental animals, topical
exposure to potent contact allergens is associated with B cell activation and
proliferation, and hapten-specific antibody production. However, there is very
limited evidence available for anti-hapten antibody responses being induced
following topical exposure of humans to contact allergens. Nevertheless, it is
important to appreciate that there are almost no negative studies in which
evidence for antibody production as the result of skin sensitization has been
sought and not found. That is, there is absence of evidence rather than evidence
of absence. Furthermore, exposure to chemical respiratory allergens, in which the
skin has been implicated as a potential route of sensitization, results in anti
hapten antibody responses. It is proposed that skin sensitization to contact
allergens will normally be accompanied by antibody production. The phenomenon is
worthy of investigation, as anti-hapten antibodies could potentially influence
and/or regulate the induction of skin sensitization. Moreover, such antibodies
may provide an informative correlate of the extent to which sensitization has
been acquired.
PMID- 26560414
TI - Introducing chemical biology applications to introductory organic chemistry
students using series of weekly assignments.
AB - Calls to bring interdisciplinary content and examples into introductory science
courses have increased, yet strategies that involve course restructuring often
suffer from the need for a significant faculty commitment to motivate change.
Minimizing the need for dramatic course reorganization, the structure,
reactivity, and chemical biology applications of classes of biological monomers
and polymers have been integrated into introductory organic chemistry courses
through three series of semester-long weekly assignments that explored (a)
Carbohydrates and Oligosaccharides, (b) Amino Acids, Peptides, and Proteins, and
(c) Nucleosides, Nucleotides, and Nucleic Acids. Comparisons of unannounced pre-
and post tests revealed improved understanding of a reaction introduced in the
assignments, and course examinations evaluated cumulative assignment topics.
Course surveys revealed that demonstrating biologically relevant applications
consistently throughout the semesters enhanced student interest in the connection
between basic organic chemistry content and its application to new and unfamiliar
bio-related examples. Covering basic material related to these classes of
molecules outside of the classroom opened lecture time to allow the instructor to
further build on information developed through the weekly assignments, teaching
advanced topics and applications typically not covered in an introductory organic
chemistry lecture course. Assignments were implemented as homework, either with
or without accompanying discussion, in both laboratory and lecture organic
courses within the context of the existing course structures.
PMID- 26560415
TI - Is Inflammation a Link Between Self-Reported Health and Infectious Disease Risk?
AB - Self-reported health (SRH) has been consistently shown to predict morbidity and
mortality. However, the mechanisms underlying this association are poorly
understood. The study by Cohen and colleagues reported in this issue of
Psychosomatic Medicine fills this gap by examining a potential biological
mechanism: alteration of immune system functioning. The study shows that SRH
predicted common cold after experimentally controlled virus inoculation in
healthy individuals. More specifically, SRH predicted the cold-related illness
expression as measured by objective clinical signs, whereas it did not predict
the infection rates as measured by predefined increases in specific antibodies.
This editorial discusses the significance of this study and the possibility that
inflammation, an innate immune response, is a link between SRH and common cold
risk. Because the illness expression of cold is generally attributed to increased
local inflammation and SRH has been found associated with increased systemic
inflammation, it is possible that SRH is a correlate of a heightened systemic
inflammatory state and thus leads to increased local inflammatory responses after
an exposure to a cold virus. SRH was also associated with well-known risk factors
for inflammation in this study, such as overweight, perceived stress, and social
isolation. Because of the strong predictive value of SRH for future morbidity and
mortality and the simple low-cost tools that enable its assessment, SRH has the
potential to identify high-risk individuals in various public health settings.
Future research is needed to address the translational applicability of these
findings and to further the mechanistic investigation in high-risk groups
including older adults.
PMID- 26560420
TI - Identification of a New Cell Population Constitutively Circulating in Healthy
Conditions and Endowed with a Homing Ability Toward Injured Sites.
AB - Stem and progenitor cells are the critical units for tissue maintenance,
regeneration, and repair. The activation of regenerative events in response to
tissue injury has been correlated with mobilization of tissue-resident progenitor
cells, which is functional to the wound healing process. However, until now there
has been no evidence for the presence of cells with a healing capacity
circulating in healthy conditions. We identified a rare cell population present
in the peripheral blood of healthy mice that actively participates in tissue
repair. These Circulating cells, with a Homing ability and involved in the
Healing process (CH cells), were identified by an innovative flowcytometry
strategy as small cells not expressing CD45 and lineage markers. Their
transcriptome profile revealed that CH cells are unique and present a high
expression of key pluripotency- and epiblast-associated genes. More importantly,
CH-labeled cells derived from healthy Red Fluorescent Protein (RFP)-transgenic
mice and systemically injected into syngeneic fractured wild-type mice migrated
and engrafted in wounded tissues, ultimately differentiating into tissue-specific
cells. Accordingly, the number of CH cells in the peripheral blood rapidly
decreased following femoral fracture. These findings uncover the existence of
constitutively circulating cells that may represent novel, accessible, and
versatile effectors of therapeutic tissue regeneration.
PMID- 26560421
TI - Escherichia coli ClbS is a colibactin resistance protein.
AB - The genomic pks island codes for the biosynthetic machinery that produces
colibactin, a peptide-polyketide metabolite. Colibactin is a genotoxin that
contributes to the virulence of extra-intestinal pathogenic Escherichia coli and
promotes colorectal cancer. In this work, we examined whether the pks-encoded
clbS gene of unknown function could participate in the self-protection of E. coli
producing colibactin. A clbS mutant was not impaired in the ability to inflict
DNA damage in HeLa cells, but the bacteria activated the SOS response and ceased
to replicate. This autotoxicity phenotype was markedly enhanced in a clbS uvrB
double mutant inactivated for DNA repair by nucleotide excision but was
suppressed in a clbS clbA double mutant unable to produce colibactin. In
addition, ectopic expression of clbS protected infected HeLa cells from
colibactin. Thus, ClbS is a resistance protein blocking the genotoxicity of
colibactin both in the procaryotic and the eucaryotic cells.
PMID- 26560422
TI - Expression of Sphingosine-1-phosphate (S1P) on the cerebral vasospasm after
subarachnoid hemorrhage in rabbits.
AB - PURPOSE: To demonstrate the relationship between of sphingosine-1-phosphate (S1P)
expression and subarachnoid hemorrhage (SAH). METHODS: The basilar arteries from
a "double-hemorrhage" rabbit model of SAH were used to investigate the relation
between S1P expression and SAH. Various symptoms, including blood clots, basilar
artery cross-sectional area, and S1P phosphatase expression were measured at day
3, 5, 7, 9. RESULTS: The expression of S1P was enhanced in the cerebral vasospasm
after subarachnoid hemorrhage in the rabbits. And S1P expression was consistent
with the basilar artery cross-sectional area changes at day 3, 5, 7, 9.
CONCLUSION: Sphingosine-1-phosphate expression in the cerebral arterial may be a
new indicator in the development of cerebral vasospasm after subarachnoid
hemorrhage and provide a new therapeutic method for SAH.
PMID- 26560423
TI - Improvement of bone repair in diabetic rats subjected to lambda780 nm low-level
laser therapy.
AB - PURPOSE: To investigate the effect of low-level laser therapy on bone healing in
diabetic rats. METHODS: Bone cavities (19 mm diameter) were performed in the
femur of 72 alloxan-induced diabetic rats, which were assigned into four groups:
CTR (non-diabetic control), DBT (diabetic) CTRL (non-diabetic irradiated) and
DBTL (diabetic irradiated). Low-level laser therapy was performed every 48 h for
seven days. Animals were euthanized at seven, 18 and 30 days. Alkaline
phosphatase serum levels and bone repair were analyzed. RESULTS: Low-level laser
therapy significantly increased alkaline phosphatase in at seven and 18 days
(p<0.001), and improved bone healing at seven (p<0.01), 18 (p<0.05) and 30
(p<0.01) in diabetic animals. In addition, bone healing in irradiated diabetic
group was statistically similar to control group at 30 days (p>0.05). CONCLUSION:
Low-level laser therapy increased the serum levels of alkaline phosphatase and
improved bone healing in alloxan-induced diabetic rats.
PMID- 26560424
TI - Physiological and biochemical measurements before, during and after pregnancy of
healthy rats.
AB - PURPOSE: To analyze the physiological and biochemical measurements before, during
and after pregnancy of healthy rats. METHODS: Wistar adult females rats (n=8)
were weighed and blood samples were obtained before, during and after pregnancy
for biochemical determinations, chow intake, water consumption and milk
production were evaluated. At day 10 postpartum, the rats were killed for
weighing of organs and adipose tissues. RESULTS: The results showed increase in
body weight, serum insulin and ingestion of water and chow. At day 17 pregnancy,
presented normal values in the OGTT. At days 7, 14 and 20 of pregnancy, there was
increase in triglyceride levels. At term pregnancy, there was weight gain due to
fetal growth. In the postpartum period presented reduced blood glucose levels.
The glycemic means were reduced during and after pregnancy compared to after
pregnancy. The triglyceride concentrations were increased before and during
pregnancy in relation to after pregnancy. The total cholesterol levels presented
no changes. CONCLUSION: The use of experimental animals is suitable for
evaluation of metabolic changes because the profile of answers found in this
study was similar to human profile, showing the relevance of translational
research to better understand the pathophysiological mechanisms and possible
treatment for diseases.
PMID- 26560425
TI - Acute systemic response to intraperitoneal implantation of polypropylene
mesh/chitosan-based film composite in pigs.
AB - PURPOSE: To assess the systemic response of pigs to the intraperitoneal
implantation of polypropylene mesh associated with chitosan-based film with a
degree of deacetylation of 95%. METHODS: Blood samples were collected 24 hours
before, and two and seven days after surgery. Systemic reactions were evaluated
based on white blood cell count, C-reactive protein, and total serum protein,
albumin and globulin levels. RESULTS: The systemic response was proportional to
the composite response induced by polypropylene mesh, and the tissue inflammatory
response was higher in the PP group (p=0.0033). CONCLUSION: The polypropylene
mesh/chitosan-based film composite did not elicit a systemic response in pigs.
PMID- 26560426
TI - Analysis of the healing process of the wounds occurring in rats using laser
therapy in association with hydrocolloid.
AB - PURPOSE: To evaluate wound healing in rats by using low-level laser therapy
(LLLT) associated with hydrocolloid occlusive dressing. METHODS: Forty male,
adult, Wistar rats were used, distributed into four groups: LG (received 2 J/cm2
of laser therapy); HG (treated with hydrocolloid); LHG (treated with 2 J/cm2 of
laser therapy and hydrocolloid); and the CG (treated with 1 mL of 0.9% saline).
The wound was evaluated at pre-determined periods 3rd and 7th days, considering
the macroscopic and histological parameters (inflammatory cells, capillary
neoformation, fibroblasts, collagen formation and reepithelialization). RESULTS:
The LG group at seven days showed increased collagen formation, the LHG group at
3 days showed mild collagen formation. The HG group and the CG at 7 days showed
complete reepithelialization. CONCLUSION: Low-level laser therapy as well as the
hydrocolloid dressing have favored the wound-healing process in rats.
PMID- 26560427
TI - Effects of nitrofurazone on correction of abdominal wall defect treated with
polypropylene mesh involved by fibrous tissue.
AB - PURPOSE: To evaluate the effects of nitrofurazone on the correction of abdominal
wall defect treated with polypropylene mesh involved by fibrous tissue in rats.
METHODS: A defect in the abdominal wall was created and corrected with
polypropylene mesh in 20 rats. They were randomly distributed into four groups:
control, fibrous mesh, nitrofurazone and nitrofurazone dip in the mesh.
Euthanasia was performed in 21 post-operative days. The healing process was
analyzed regarding the meshes and macroscopic and microscopic aspects. RESULTS:
All animals had adhesions. However, no statistically significant difference
(p>0.05) when compared between groups. Similarly microscopic analysis, in which
there was no statistical significance level for the evaluated parameters such as
mono and polymorphonuclear lymphocytes, granuloma, fibrosis, necrosis and
collagen proliferation. CONCLUSION: There was no significant effect on the
abdominal wall defect repair with polypropylene mesh surrounded by fibrous tissue
when dipped in nitrofurazone 2%.
PMID- 26560428
TI - Evaluation of systemic inflammatory responses in cholecystectomy by means of
access. Single-port umbilical incision, transvaginal NOTES, laparoscopy and
laparotomy.
AB - PURPOSE: To evaluate and compare clinical and inflammatory responses to the
surgical trauma caused by cholecystectomy via several access approaches: single
port umbilical incision (SILS), transvaginal natural orifice transluminal
endoscopic surgery (NOTES), laparoscopy, and Laparotomy. METHODS: Twenty-eight
female pigs were equally divided into four groups and submitted to
cholecystectomy by single-port umbilical incision, transvaginal NOTES,
laparoscopy, or Laparotomy. An additional five animals served as controls (sham
group). Animals were monitored perioperatively regarding anesthesia and surgical
procedure times, as well as for the presence of complications. Postoperatively,
they were evaluated regarding time to ambulation and feeding, and the presence of
clinical events. Procalcitonin, C-reactive protein (CRP), and AQUI feron-gamma
(IFN-gamma) measurements were performed before surgery and immediately, two days,
and seven days after surgery. Animals were sacrificed and necropsied at seven
days after surgery. RESULTS: All procedures were successfully performed as
proposed in each group. Only minor complications, such as gallbladder perforation
and bleeding from the liver bed, were observed during surgery in all groups. The
vaginal NOTES group showed higher anesthesia and surgical procedure times
compared to the other groups (p<0.001). No other between-group differences in
perioperative or postoperative times, clinical evolution, or serum inflammatory
markers were observed. Only adhesions were found on necropsy, with no differences
between groups. CONCLUSION: The single-port umbilical and transvaginal NOTES
access approaches were feasible and safe compared to laparoscopic and laparotomy
for cholecystectomy.
PMID- 26560429
TI - Evaluation of intraluminal pressure in cystorrhaphies with and without intestinal
serosal patch supplementation from canine cadavers.
AB - PURPOSE: To evaluate the maximal intraluminal pressure (MIP) supported by canine
cadaveric urinary bladders that underwent cystotomy followed by cystorraphy, with
and without serosal patching-supplementation. METHODS: Two groups (n=8 each) were
formed, and in one (conventional) the cystotomy was closed with cushing pattern.
In the other group (serosal), the same procedure was performed, and a piece of
jejunum was used for the construction of the serosal patching over the
cystorraphy. MIP was measured by means of an invasive blood pressure transducer
with closed stopcock attached to a multiparameter monitor. At the end of each
measurement, the bladder body circumference was assessed. RESULTS: Mean +/- SD
MIP sustained for the conventional and serosal groups were 28.88 +/- 5.08 and
65.38 +/- 10.99 mmHg, respectively (p < 0.0001). Bladder circumference did not
change significantly between groups (p = 0.35) and did not correlate with MIP
assessed in conventional (p = 0.27; r = 0.4379) and serosal groups (p = 0.37; r =
-0.3637). CONCLUSION: Serosal patch-supplemented cystorraphies were able to
sustain intraluminal pressures 55.8% higher, than nonsupplemented cystorraphies
in specimens from canine cadavers.
PMID- 26560430
TI - Importance of duration and number of ischemic postconditioning cycles in
preventing reperfusion mesenteric injuries. Experimental study in rats.
AB - PURPOSE: To evaluate the effect of ischemic postconditioning(IPC) on intestinal
mucosa of rats subjected to ischemia and reperfusion process comparing two cycles
of reperfusion and ischemia lasting two minutes each and four cycles of
reperfusion and ischemia lasting 30 seconds each. METHODS: Thirty Wistar rats
were distributed into three groups: group A (10 rats), ischemia (30 minutes) and
reperfusion (60 minutes); group B (10 rats), ischemia and reperfusion plus IPC by
two lasting two minutes each; and Group C (10 rats), ischemia and reperfusion
plus IPC by four cycles lasting 30 seconds each. Finally, a segment of small
intestine was resected for histological analysis. We analysed the results
according to Chiu et al. classification and proceeded to the statistical
treatment by Kruskal-Wallis test (p<0.05). RESULTS: The mean degree of tissue
injury according to Chiu et al. classification were: Group A, 2.77; in group B,
1.4; and group C, 1.4. B X C (p<0.05). CONCLUSIONS: Ischemic postconditioning was
able to minimize reperfusion injury of rats undergone mesenteric ischemia and
reperfusion process. There was no difference in the effectiveness of the method
comparing two cycles of two minutes with four cycles of 30 seconds by H&E
histological evaluation of the ileum after 60-minute reperfusion.
PMID- 26560431
TI - Comparative study of collagen deposition in the colon wall of patients operated
for sigmoid diverticular disease.
AB - PURPOSE: To investigate the deposition of collagen in the colon wall of patients
with sigmoid diverticulitis. METHODS: Samples of sigmoid tissue from 15 patients
(disease group), seven men and eight women aged 37-77 years who underwent surgery
for the treatment of diverticulitis, were selected. For the control group,
specimens from five patients, three men and two women aged 19-58 years undergoing
emergency surgery for sigmoid trauma were selected. These subjects had no
associated diseases. The histological study of the surgical specimens was
performed by staining with hematoxylin-eosin and picrosirius and using a
histochemical method for collagen quantification. RESULTS: Collagen deposition in
the colon wall in terms of area (F), glandular epithelium (E) and total area was
significantly higher in the disease group compared to control (p=0.003, p=0.026
and p=0.010, respectively). The collagen volume fraction (F fraction) and muscle
tissue (M fraction) were also significantly higher compared to control (p=0.044
and p=0.026, respectively). The muscle (M area) and volume fraction of glandular
epithelium (E fraction) did not differ significantly between the two groups,
(p=0.074 and p=1.000, respectively). CONCLUSION: In this study, collagen
deposition in the colon wall of the patients operated for sigmoid diverticulitis
was higher compared to patients without the disease.
PMID- 26560432
TI - Fishing in the Water: Effect of Sampled Water Volume on Environmental DNA-Based
Detection of Macroinvertebrates.
AB - Accurate detection of organisms is crucial for the effective management of
threatened and invasive species because false detections directly affect the
implementation of management actions. The use of environmental DNA (eDNA) as a
species detection tool is in a rapid development stage; however, concerns about
accurate detections using eDNA have been raised. We evaluated the effect of
sampled water volume (0.25 to 2 L) on the detection rate for three
macroinvertebrate species. Additionally, we tested (depending on the sampled
water volume) what amount of total extracted DNA should be screened to reduce
uncertainty in detections. We found that all three species were detected in all
volumes of water. Surprisingly, however, only one species had a positive
relationship between an increased sample volume and an increase in the detection
rate. We conclude that the optimal sample volume might depend on the species
habitat combination and should be tested for the system where management actions
are warranted. Nevertheless, we minimally recommend sampling water volumes of 1 L
and screening at least 14 MUL of extracted eDNA for each sample to reduce
uncertainty in detections when studying macroinvertebrates in rivers and using
our molecular workflow.
PMID- 26560433
TI - Enhanced visible photocatalytic activity of cotton ball like nano structured Cu
doped ZnO for the degradation of organic pollutant.
AB - Stringent Environmental standards followed worldwide led to the emergence of
advanced oxidation process for the removal of toxic contaminants from water and
wastewater. Among all semiconductor photocatalysts have great potential in the
degradation of organic and inorganic pollutants into lesser harmful products
under visible light irradiations. The present research work describes the
synthesis of Cu doped ZnO (CuDZ) via a co-precipitation method to attain high
crystallized powder confirmed by XRD analysis. The FE-SEM images showed that the
CuDZ has cotton ball like morphology with a uniform size ranged from 25 to 40nm.
TEM, FT-IR and UV-DRS studies of the synthesized CuDZ are also discussed in
detail. The photocatalytic activity of the as prepared CuDZ catalyst was tested
for the degradation of Direct Blue 71 (DB 71) dye in aqueous phase under visible
light irradiation. The degree of degradation was found to be dependent on aqueous
phase pH, duration of irradiation time, amount of photocatalyst, the initial dye
concentration and kinetics of photodegradation. The maximum photocatytic
degradation of DB 71 dye was found to be effective at pH 6.8. The optimum amount
of photocatalyst was found 3gL-1 of CuDZ for the complete degradation of DB 71
dye (0.01gL-1). The reusability of the photocatalyst indicates that 96% of DB 71
dye was degraded up to 3rd cycles of use. The visible photodegradation of DB 71
dye was exhibited pseudo-first-order kinetics. Chemical oxygen demand and ESI-MS
studies confirmed the complete mineralization of DB 71 dye molecules.
PMID- 26560434
TI - Chlorpyrifos and Endosulfan degradation studies in an annular slurry photo
reactor.
AB - TiO2 is one of those compounds which are highly used in photocatalytic
degradation of substrates using UV radiation. The substrates are degraded
oxidatively and hence finds an important position in advanced oxidation for
water/wastewater treatment processes. The thrust of this research was to evaluate
the effectiveness of Heterogeneous Photocatalysis (HP) technique, for the removal
of pesticides from water/wastewater. The photo-catalytic degradation of two
pesticides, widely used in India, viz., Endosulfan (ES) and Chlorpyriphos (CPS)
was studied in an annular slurry photo reactor under UVillumination at 254nm.
Results revealed that the degradation rate is significantly affected by the
initial pesticide concentration, pH of the solution and catalyst concentration.
Batch degradation studies on Endosulphan and Chlorpyrifos were conducted in the
concentration range from 5 to 25mg/L at a pH ranging from 3.5 to 10.5 and at a
catalyst loading of 0.5-2g/L. Endosulphan removal efficiency was about 80-99% and
chlorpyrifos removal efficiency was about 84-94%. L-H rate constants were
determined using L-H kinetics. High removal efficiencies obtained (80-99%)
indicate the effectiveness of this process and its potential for practical
application.
PMID- 26560435
TI - Xanthogranulomatous pancreatitis associated with a mucinous cystic neoplam.
PMID- 26560436
TI - Precordial junctional ST-segment depression with tall symmetric T-waves
signifying proximal LAD occlusion, case reports of STEMI equivalence.
AB - Timely reperfusion therapy by means of primary percutaneous coronary intervention
(PCI) is the preferred treatment for patients with ST-segment elevation
myocardial infarction. A significant number of patients with large acute
myocardial infarction, caused by occlusion of an epicardial coronary artery, do
not show ST-elevation on the electrocardiogram. Other ECG abnormalities may be
present, the so called STEMI-equivalents. One such STEMI equivalent, junctional
ST-segment depression followed by tall symmetrical T-waves in the precordial
leads, often in combination with slight ST-elevation in lead AVR, has been
associated with proximal occlusion of the left anterior descending coronary
artery. Recognition of this ECG pattern by ambulance staff, emergency physicians
and interventional cardiologists envolved in STEMI networks, is important to
ensure timely reperfusion therapy in these patients. In this paper we present
three patients with typical symptoms of acute myocardial infarction and the ECG
pattern with slight J-point depression combined with tall, symmetrical T-waves.
PMID- 26560437
TI - Guanosine triphosphate can directly regulate cortisol production by activating
Ca(2+)-messenger systems in bovine adrenal fasciculata cells.
AB - Adenosine triphosphate (ATP) is known to stimulate cortisol production in vitro,
however, the effect of guanosine triphosphate (GTP) on cortisol production is not
known. We studied the effect of GTP on cortisol production and investigated the
regulation of intracellular signal transduction systems, including the cyclic AMP
dependent and Ca(2+)-messenger systems, in bovine adrenal fasciculata cells. GTP
clearly induced cortisol biosynthesis but only to a level less than half the
adrenocorticotropic hormone (ACTH)-induced maximum. The binding site for [gamma
(35)S]-GTPgammaS was shown to differ completely from that for ATP and also from
those for Gs and Gi, as indicated by the fact that binding was not influenced by
pretreatment with cholera toxin and pertussis toxin. GTP significantly increased
cytosolic calcium ([Ca(2+)]i) and inositol 1, 4, 5-triphosphate without affecting
cyclic AMP formation. GTP-induced cortisol production was suppressed by H-9 and
Calphostin C (specific protein kinase C inhibitors) but not by H-8 and KT5720
(specific inhibitors of cyclic AMP-dependent protein kinase), suggesting that GTP
activates cortisol biosynthesis possibly via a protein kinase C-dependent
pathway. Extracellular calcium may be essential for GTP activity since GTP
induced cortisol production was almost completely suppressed in its absence. In
conclusion, it can be postulated that GTP-induced steroid secretion in bovine
adrenal fasciculata cells is under paracrine or autocrine control.
PMID- 26560438
TI - Association of polymorphisms in the ICOS and ICOSL genes with the pathogenesis of
autoimmune thyroid diseases.
AB - The prognosis of autoimmune thyroid diseases (AITDs), including Graves' disease
(GD) and Hashimoto's disease (HD), varies among patients. Inducible co-stimulator
(ICOS) (CD278) and co-stimulator ligand (ICOSL) (CD275) are important
costimulatory molecules. Their interactions play important roles in immune
regulation and the pathogenesis of autoimmune diseases through tuning T cell
activation, differentiation and function. To clarify the association between ICOS
ICOSL signals and AITD, we genotyped single-nucleotide polymorphism (SNP)1 and
SNP2 in the ICOS gene and SNP1, SNP2 and SNP3 in the ICOSL gene in 239 HD
patients, 232 GD patients, and 129 healthy volunteers (control subjects). There
were no differences in genotype and allele frequencies among the three groups,
although the frequencies of the AA genotype and A allele of ICOSL SNP2 (rs15927)
were slightly, but not significantly, higher in patients with GD, intractable GD,
and severe HD than in controls. The mRNA levels of ICOSL were also slightly, but
not significantly, lower in individuals with the AA genotype of ICOSL SNP2 than
in those with the AG+GG genotypes. In conclusion, the ICOS and ICOSL SNPs
examined in this study do not have an apparent effect on the disease
susceptibility and prognosis of AITDs.
PMID- 26560439
TI - Xanthii fructus inhibits inflammatory responses in LPS-stimulated RAW 264.7
macrophages through suppressing NF-kappaB and JNK/p38 MAPK.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Xanthii fructus (XF) has long been used to treat
a variety of inflammatory conditions in Korean traditional medicine, but the
underlying mechanisms that could explain the anti-inflammatory actions of XF
remain largely unknown. AIM OF THE STUDY: This study aimed to elucidate the anti
inflammatory effects of X. fructus (XF) and to examine its underlying molecular
mechanisms in lipopolysaccharide (LPS)-stimulated RAW 264.7 macrophages.
MATERIALS AND METHODS: The effect of XF on LPS-induced mRNA and protein
expressions of inflammatory mediators and cytokines were determined. Moreover,
the activation of the nuclear factor-kappaB (NF-kappaB) and mitogen-activated
protein kinase (MAPK) signaling pathways and the expression of heme oxygenase-1
(HO-1) were explored to elucidate the anti-inflammatory mechanisms. RESULTS: XF
significantly inhibited LPS-induced production of inflammatory mediators,
interleukin-6 (IL-6), nitric oxide (NO), and prostaglandin E2 (PGE2), without any
cytotoxicity. However, it did not affect tissue necrosis factor (TNF)-alpha or IL
1beta production in LPS-stimulated RAW 264.7 cells. Expression levels of
inducible nitric oxide synthase (iNOS) mRNA and protein were inhibited dose
dependently by XF in LPS-stimulated RAW 264.7 cells, but there were no changes in
cyclooxygenase-2 (COX-2) mRNA and protein. XF significantly attenuated LPS
induced phosphorylation and degradation of inhibitory kappa Balpha (IkappaBalpha)
and consequently reduced the nuclear translocation of p65 NF-kappaB. Pretreatment
with XF also strongly inhibited the LPS-induced phosphorylation of p38 kinase and
JNK, whereas the phosphorylation of ERK1/2 was not affected. In addition, XF led
to an increase in HO-1 expression. CONCLUSION: Taken together, our findings
support that XF inhibits LPS-induced inflammatory responses by blocking NF-kappaB
activation, inhibiting JNK/p38 MAPK phosphorylation, and enhancing HO-1
expression in macrophages, suggesting that it could be an attractive therapeutic
candidate for various inflammatory diseases.
PMID- 26560440
TI - Chemically defined media modifications to lower tryptophan oxidation of
biopharmaceuticals.
AB - Oxidation of biopharmaceuticals is a major product quality issue with potential
impacts on activity and immunogenicity. At Eli Lilly and Company, high tryptophan
oxidation was observed for two biopharmaceuticals in development produced in
Chinese hamster ovary cells. A switch from historical hydrolysate-containing
media to chemically defined media with a reformulated basal powder was thought to
be responsible, so mitigation efforts focused on media modification. Shake flask
studies identified that increasing tryptophan, copper, and manganese and
decreasing cysteine concentrations were individual approaches to lower tryptophan
oxidation. When amino acid and metal changes were combined, the modified
formulation had a synergistic impact that led to substantially less tryptophan
oxidation for both biopharmaceuticals. Similar results were achieved in shake
flasks and benchtop bioreactors, demonstrating the potential to implement these
modifications at manufacturing scale. The modified formulation did not negatively
impact cell growth and viability, product titer, purity, charge variants, or
glycan profile. A potential mechanism of action is presented for each amino acid
or metal factor based on its role in oxidation chemistry. This work served not
only to mitigate the tryptophan oxidation issue in two Lilly biopharmaceuticals
in development, but also to increase our knowledge and appreciation for the
impact of media components on product quality.
PMID- 26560441
TI - National compliance to an evidence-based multidisciplinary guideline on
pancreatic and periampullary carcinoma.
AB - BACKGROUND: We evaluated national compliance to selected quality indicators from
the Dutch multidisciplinary evidence-based guideline on pancreatic and
periampullary carcinoma and identified areas for improvement. METHODS: Compliance
to 3 selected quality indicators from the guideline was evaluated before and
after implementation of the guideline in 2011: 1) adjuvant chemotherapy after
tumor resection for pancreatic carcinoma, 2) discussion of the patient within a
multidisciplinary team (MDT) meeting and 3) a maximum 3-week interval between
final MDT meeting and start of treatment. RESULTS: In total 5086 patients with
pancreatic or periampullary carcinoma were included. In 2010, 2522 patients were
included and in 2012, 2564 patients. 1) Use of adjuvant chemotherapy following
resection for pancreatic carcinoma increased significantly from 45% (120 out of
268) in 2010 to 54% (182 out of 336) in 2012 which was mainly caused by an
increase in patients aged <75 years. 2) In 2012, 64% (896 of 1396) of patients
suspected of a pancreatic or periampullary carcinoma was discussed within a MDT
meeting which was higher in patients aged <75 years and patients starting
treatment with curative intent. 3) In 2012, the recommended 3 weeks between final
MDT meeting and start of treatment was met in 39% (141 of 363) of patients which
was not influenced by patient and tumor characteristics. CONCLUSION: Compliance
to three selected quality indicators in pancreatic cancer care was low in 2012.
Areas for improvement were identified. Future compliance will be investigated
through structured audit and feedback from the Dutch Pancreatic Cancer Audit.
PMID- 26560442
TI - Tremelimumab for the treatment of malignant mesothelioma.
AB - INTRODUCTION: Tremelimumab demonstrated therapeutic activity in different
malignancies, including malignant pleural mesothelioma (MPM); however, continued
research could improve the therapeutic index of this agent. AREAS COVERED: This
review describes tremelimumab's clinical efficacy, administration and safety in
patients affected with MPM and reports the state of the art clinical trials of
tremelimumab. A literature search using the PubMed database was conducted using
the search terms tremelimumab, MPM, current therapy, immune checkpoint blockage
and cytotoxic T lymphocyte-associated antigen-4. Data was also obtained from
meeting abstracts and clinical trial registries. EXPERT OPINION: The use of
immunotherapy has been extended from melanoma to thoracic malignancies or lung
cancer and MPM. The first clinical trials for MPM with drugs modulating immune
checkpoints have been tested or are currently being tested with the first results
now under critical consideration. Among these drugs, tremelimumab has been
attracting attention as a potential new treatment for MPM. Nevertheless, even
though clinical efficacy has been preliminarily demonstrated, the cost/benefit
ratio of this drug for this neoplasm is yet to be ascertained.
PMID- 26560443
TI - Diastasis of symphysis pubis and labor: Systematic review.
AB - Symphysis pubis diastasis (SPD) is an infrequent complication of labor that can
impair womens' general health through failure of the passive stability of the
pelvic girdle. Although conservative approaches are often used to decrease
symptoms and interpubic separation, notably few studies have analyzed the effect
of these methods on managing the symptoms of women with SPD. The purpose of this
study was to review the available literature on the conservative treatment of SPD
during pregnancy and labor. A computer-based search using PubMed, PEDro, and
CINAHL was performed up to November 2014. We selected all studies that considered
women with SPD during pregnancy or labor and treated them with conservative
methods and excluded those that included surgical intervention. Eighteen studies
were selected, most of which were case reports. Although the overall results of
conservative treatment were unclear because of the type and design of the
obtained studies, most of the studies reported bed rest in the lateral decubitus
position and a pelvic girdle as basic treatments. Additionally, the few clinical
trials reported recommended additional physiotherapy, including strengthening and
stabilizing exercises, to reduce SPD symptoms.
PMID- 26560444
TI - Patient Safety Reporting: Lessons From the Blind Men and the Elephant.
PMID- 26560445
TI - Synthesis of Amorphous Monomeric Glass Mixtures for Organic Electronic
Applications.
AB - We report a divergent synthetic strategy and novel design concept that exploit
molecular mixtures to create amorphous organic charge-transporting glasses. Using
Suzuki-Miyaura cross-coupling reactions, we synthesized well-defined molecular
mixtures in a single step. These solution-processable materials are
noncrystalline and show good thermal and morphological stabilities. Moreover,
they have robust hole and electron mobilities, which make them excellent
candidate materials for organic light-emitting diodes. Our general strategy
enables the facile synthesis of noncrystalline materials with well-controlled
electronic properties.
PMID- 26560446
TI - Dehydration Pathways of 1-Propanol on HZSM-5 in the Presence and Absence of
Water.
AB - The Bronsted acid-catalyzed gas-phase dehydration of 1-propanol (0.075-4 kPa) was
studied on zeolite H-MFI (Si/Al = 26, containing minimal amounts of extra
framework Al moieties) in the absence and presence of co-fed water (0-2.5 kPa) at
413-443 K. It is shown that propene can be formed from monomeric and dimeric
adsorbed 1-propanol. The stronger adsorption of 1-propanol relative to water
indicates that the reduced dehydration rates in the presence of water are not a
consequence of the competitive adsorption between 1-propanol and water. Instead,
the deleterious effect is related to the different extents of stabilization of
adsorbed intermediates and the relevant elimination/substitution transition
states by water. Water stabilizes the adsorbed 1-propanol monomer significantly
more than the elimination transition state, leading to a higher activation
barrier and a greater entropy gain for the rate-limiting step, which eventually
leads to propene. In a similar manner, an excess of 1-propanol stabilizes the
adsorbed state of 1-propanol more than the elimination transition state. In
comparison with the monomer-mediated pathway, adsorbed dimer and the relevant
transition states for propene and ether formation are similarly, while less
effectively, stabilized by intrazeolite water molecules.
PMID- 26560447
TI - Interstitial Fluid Flow Increases Hepatocellular Carcinoma Cell Invasion through
CXCR4/CXCL12 and MEK/ERK Signaling.
AB - Hepatocellular carcinoma (HCC) is the most common form of liver cancer (~80%),
and it is one of the few cancer types with rising incidence in the United States.
This highly invasive cancer is very difficult to detect until its later stages,
resulting in limited treatment options and low survival rates. There is a dearth
of knowledge regarding the mechanisms associated with the effects of
biomechanical forces such as interstitial fluid flow (IFF) on hepatocellular
carcinoma invasion. We hypothesized that interstitial fluid flow enhanced
hepatocellular carcinoma cell invasion through chemokine-mediated autologous
chemotaxis. Utilizing a 3D in vitro invasion assay, we demonstrated that
interstitial fluid flow promoted invasion of hepatocellular carcinoma derived
cell lines. Furthermore, we showed that autologous chemotaxis influences this
interstitial fluid flow-induced invasion of hepatocellular carcinoma derived cell
lines via the C-X-C chemokine receptor type 4 (CXCR4)/C-X-C motif chemokine 12
(CXCL12) signaling axis. We also demonstrated that mitogen-activated protein
kinase (MEK)/extracellular signal-regulated kinase (ERK) signaling affects
interstitial fluid flow-induced invasion; however, this pathway was separate from
CXCR4/CXCL12 signaling. This study demonstrates, for the first time, the
potential role of interstitial fluid flow in hepatocellular carcinoma invasion.
Uncovering the mechanisms that control hepatocellular carcinoma invasion will aid
in enhancing current liver cancer therapies and provide better treatment options
for patients.
PMID- 26560448
TI - Evaluation and validation of social and psychological markers in randomised
trials of complex interventions in mental health: a methodological research
programme.
AB - BACKGROUND: The development of the capability and capacity to evaluate the
outcomes of trials of complex interventions is a key priority of the National
Institute for Health Research (NIHR) and the Medical Research Council (MRC). The
evaluation of complex treatment programmes for mental illness (e.g. cognitive
behavioural therapy for depression or psychosis) not only is a vital component of
this research in its own right but also provides a well-established model for the
evaluation of complex interventions in other clinical areas. In the context of
efficacy and mechanism evaluation (EME) there is a particular need for robust
methods for making valid causal inference in explanatory analyses of the
mechanisms of treatment-induced change in clinical outcomes in randomised
clinical trials. OBJECTIVES: The key objective was to produce statistical methods
to enable trial investigators to make valid causal inferences about the
mechanisms of treatment-induced change in these clinical outcomes. The primary
objective of this report is to disseminate this methodology, aiming specifically
at trial practitioners. METHODS: The three components of the research were (1)
the extension of instrumental variable (IV) methods to latent growth curve models
and growth mixture models for repeated-measures data; (2) the development of
designs and regression methods for parallel trials; and (3) the evaluation of the
sensitivity/robustness of findings to the assumptions necessary for model
identifiability. We illustrate our methods with applications from psychological
and psychosocial intervention trials, keeping the technical details to a minimum,
leaving the reporting of the more theoretical and mathematically demanding
results for publication in appropriate specialist journals. RESULTS: We show how
to estimate treatment effects and introduce methods for EME. We explain the use
of IV methods and principal stratification to evaluate the role of putative
treatment effect mediators and therapeutic process measures. These results are
extended to the analysis of longitudinal data structures. We consider the design
of EME trials. We focus on designs to create convincing IVs, bearing in mind
assumptions needed to attain model identifiability. A key area of application
that has become apparent during this work is the potential role of treatment
moderators (predictive markers) in the evaluation of treatment effect mechanisms
for personalised therapies (stratified medicine). We consider the role of
targeted therapies and multiarm trials and the use of parallel trials to help
elucidate the evaluation of mediators working in parallel. CONCLUSIONS: In order
to demonstrate both efficacy and mechanism, it is necessary to (1) demonstrate a
treatment effect on the primary (clinical) outcome, (2) demonstrate a treatment
effect on the putative mediator (mechanism) and (3) demonstrate a causal effect
from the mediator to the outcome. Appropriate regression models should be applied
for (3) or alternative IV procedures, which account for unmeasured confounding,
provided that a valid instrument can be identified. Stratified medicine may
provide a setting where such instruments can be designed into the trial. This
work could be extended by considering improved trial designs, sample size
considerations and measurement properties. FUNDING: The project presents
independent research funded under the MRC-NIHR Methodology Research Programme
(grant reference G0900678).
PMID- 26560449
TI - Dual daclatasvir and sofosbuvir for treatment of genotype 3 chronic hepatitis C
virus infection.
AB - Chronic hepatitis C virus (HCV) infection is one of the most common etiologies of
liver-related mortality throughout the world. Traditionally, therapy has been
focused on pegylated interferon in combination with ribavirin, with clinical
trials demonstrating that HCV genotype 1 had the lowest response rate (40-50%),
while genotype 3 had an intermediate response rate (60-70%). Recently,
significant advances have been made with all-oral direct-acting antiviral (DAA)
therapy, which have significantly improved cure rates for HCV genotype 1.
Accordingly, HCV genotype 3 is now potentially the most difficult to treat. One
of the most potent DAA medications is sofosbuvir, a pan-genotypic nucleotide
analogue that inhibits the NS5B polymerase of HCV. Daclatasvir, a pan-genotypic
inhibitor of the HCV NS5A replication complex, was recently approved in the
United States for treatment of HCV genotype 3 in conjunction with sofosbuvir.
This combination may provide a powerful tool in the treatment of HCV genotype 3.
PMID- 26560450
TI - Nature Index 2015 Collaborations.
PMID- 26560451
TI - Strength in numbers.
PMID- 26560452
TI - Comment: A well-connected world.
PMID- 26560453
TI - Developing partnerships.
PMID- 26560454
TI - China's diaspora brings it home.
PMID- 26560455
TI - Industrial-strength bonds.
PMID- 26560456
TI - Opening borders and barriers.
PMID- 26560457
TI - A guide to the Nature Index.
PMID- 26560459
TI - Epoch-based Entropy for Early Screening of Alzheimer's Disease.
AB - In this paper, we introduce a novel entropy measure, termed epoch-based entropy.
This measure quantifies disorder of EEG signals both at the time level and
spatial level, using local density estimation by a Hidden Markov Model on inter
channel stationary epochs. The investigation is led on a multi-centric EEG
database recorded from patients at an early stage of Alzheimer's disease (AD) and
age-matched healthy subjects. We investigate the classification performances of
this method, its robustness to noise, and its sensitivity to sampling frequency
and to variations of hyperparameters. The measure is compared to two alternative
complexity measures, Shannon's entropy and correlation dimension. The
classification accuracies for the discrimination of AD patients from healthy
subjects were estimated using a linear classifier designed on a development
dataset, and subsequently tested on an independent test set. Epoch-based entropy
reached a classification accuracy of 83% on the test dataset (specificity =
83.3%, sensitivity = 82.3%), outperforming the two other complexity measures.
Furthermore, it was shown to be more stable to hyperparameter variations, and
less sensitive to noise and sampling frequency disturbances than the other two
complexity measures.
PMID- 26560460
TI - Spice use in food: Properties and benefits.
AB - Spices are parts of plants that due to their properties are used as colorants,
preservatives, or medicine. The uses of spices have been known since long time,
and the interest in the potential of spices is remarkable due to the chemical
compounds contained in spices, such as phenylpropanoids, terpenes, flavonoids,
and anthocyanins. Spices, such as cumin (cuminaldehyde), clove (eugenol), and
cinnamon (cinnamaldehyde) among others, are known and studied for their
antimicrobial and antioxidant properties due to their main chemical compounds.
These spices have the potential to be used as preservatives in many foods namely
in processed meat to replace chemical preservatives. Main chemical compounds in
spices also confer other properties providing a variety of applications to
spices, such as insecticidal, medicines, colorants, and natural flavoring. Spices
provide beneficial effects, such as antioxidant activity levels that are
comparable to regular chemical antioxidants used so they can be used as a natural
alternative to synthetic preservatives. In this review, the main characteristics
of spices will be described as well as their chemical properties, different
applications of these spices, and the advantages and disadvantages of their use.
PMID- 26560461
TI - Effectiveness and Usability of Bioinformatics Tools to Analyze Pathways
Associated with miRNA Expression.
AB - MiRNAs are small, nonprotein-coding RNA molecules involved in gene regulation.
While bioinformatics help guide miRNA research, it is less clear how they perform
when studying biological pathways. We used 13 criteria to evaluate effectiveness
and usability of existing bioinformatics tools. We evaluated the performance of
six bioinformatics tools with a cluster of 12 differentially expressed miRNAs in
colorectal tumors and three additional sets of 12 miRNAs that are not part of a
known cluster. MiRPath performed the best of all the tools in linking miRNAs,
with 92% of all miRNAs linked as well as the highest based on our established
criteria followed by Ingenuity (58% linked). Other tools, including Empirical
Gene Ontology, miRo, miRMaid, and PhenomiR, were limited by their lack of
available tutorials, lack of flexibility and interpretability, and/or difficulty
using the tool. In summary, we observed a lack of standardization across
bioinformatic tools and a general lack of specificity in terms of pathways
identified between groups of miRNAs. Hopefully, this evaluation will help guide
the development of new tools.
PMID- 26560462
TI - Control of vein network topology by auxin transport.
AB - BACKGROUND: Tissue networks such as the vascular networks of plant and animal
organs transport signals and nutrients in most multicellular organisms. The
transport function of tissue networks depends on topological features such as the
number of networks' components and the components' connectedness; yet what
controls tissue network topology is largely unknown, partly because of the
difficulties in quantifying the effects of genes on tissue network topology. We
address this problem for the vein networks of plant leaves by introducing
biologically motivated descriptors of vein network topology; we combine these
descriptors with cellular imaging and molecular genetic analysis; and we apply
this combination of approaches to leaves of Arabidopsis thaliana that lack
function of, overexpress or misexpress combinations of four PIN-FORMED (PIN)
genes--PIN1, PIN5, PIN6, and PIN8--which encode transporters of the plant signal
auxin and are known to control vein network geometry. RESULTS: We find that PIN1
inhibits vein formation and connection, and that PIN6 acts redundantly to PIN1 in
these processes; however, the functions of PIN6 in vein formation are
nonhomologous to those of PIN1, while the functions of PIN6 in vein connection
are homologous to those of PIN1. We further find that PIN8 provides functions
redundant and homologous to those of PIN6 in PIN1-dependent inhibition of vein
formation, but that PIN8 has no functions in PIN1/PIN6-dependent inhibition of
vein connection. Finally, we find that PIN5 promotes vein formation; that all the
vein-formation-promoting functions of PIN5 are redundantly inhibited by PIN6 and
PIN8; and that these functions of PIN5, PIN6, and PIN8 are independent of PIN1.
CONCLUSIONS: Our results suggest that PIN-mediated auxin transport controls the
formation of veins and their connection into networks.
PMID- 26560463
TI - Afferent and efferent connections of C1 cells with spinal cord or hypothalamic
projections in mice.
AB - The axonal projections and synaptic input of the C1 adrenergic neurons of the
rostral ventrolateral medulla (VLM) were examined using transgenic dopamine-beta
hydroxylase Cre mice and modified rabies virus. Cre-dependent viral vectors
expressing TVA (receptor for envelopeA) and rabies glycoprotein were injected
into the left VLM. EnvelopeA-pseudotyped rabies-EGFP glycoprotein-deficient virus
(rabies-EGFP) was injected 4-6 weeks later in either thoracic spinal cord (SC) or
hypothalamus. TVA immunoreactivity was detected almost exclusively (95 %) in VLM
C1 neurons. In mice with SC injections of rabies-EGFP, starter cells (expressing
TVA + EGFP) were found at the rostral end of the VLM; in mice with hypothalamic
injections starter C1 cells were located more caudally. C1 neurons innervating SC
or hypothalamus had other terminal fields in common (e.g., dorsal vagal complex,
locus coeruleus, raphe pallidus and periaqueductal gray matter). Putative inputs
to C1 cells with SC or hypothalamic projections originated from the same brain
regions, especially the lower brainstem reticular core from spinomedullary border
to rostral pons. Putative input neurons to C1 cells were also observed in the
nucleus of the solitary tract, caudal VLM, caudal spinal trigeminal nucleus,
cerebellum, periaqueductal gray matter and inferior and superior colliculi. In
sum, regardless of whether they innervate SC or hypothalamus, VLM C1 neurons
receive input from the same general brain regions. One interpretation is that
many types of somatic or internal stimuli recruit these neurons en bloc to
produce a stereotyped acute stress response with sympathetic, parasympathetic,
vigilance and neuroendocrine components.
PMID- 26560465
TI - [Not Available].
PMID- 26560464
TI - Effects of Seasonal Upwelling on Inorganic and Organic Matter Dynamics in the
Water Column of Eastern Pacific Coral Reefs.
AB - The Gulf of Papagayo at the northern Pacific coast of Costa Rica experiences
pronounced seasonal changes in water parameters caused by wind-driven coastal
upwelling. While remote sensing and open water sampling already described the
physical nature of this upwelling, the spatial and temporal effects on key
parameters and processes in the water column have not been investigated yet,
although being highly relevant for coral reef functioning. The present study
investigated a range of water parameters on two coral reefs with different
exposure to upwelling (Matapalo and Bajo Rojo) in a weekly to monthly resolution
over one year (May 2013 to April 2014). Based on air temperature, wind speed and
water temperature, three time clusters were defined: a) May to November 2013
without upwelling, b) December 2013 to April 2014 with moderate upwelling,
punctuated by c) extreme upwelling events in February, March and April 2014.
During upwelling peaks, water temperatures decreased by 7 degrees C (Matapalo)
and 9 degrees C (Bajo Rojo) to minima of 20.1 and 15.3 degrees C respectively,
while phosphate, ammonia and nitrate concentrations increased 3 to 15-fold to
maxima of 1.3 MUmol PO43- L-1, 3.0 MUmol NH4+ L-1 and 9.7 MUmol NO3- L-1. This
increased availability of nutrients triggered several successive phytoplankton
blooms as indicated by 3- (Matapalo) and 6-fold (Bajo Rojo) increases in
chlorophyll a concentrations. Particulate organic carbon and nitrogen (POC and
PON) increased by 40 and 70% respectively from February to April 2014. Dissolved
organic carbon (DOC) increased by 70% in December and stayed elevated for at
least 4 months, indicating high organic matter release by primary producers. Such
strong cascading effects of upwelling on organic matter dynamics on coral reefs
have not been reported previously, although likely impacting many reefs in
comparable upwelling systems.
PMID- 26560466
TI - [Quality management and quality assurance].
PMID- 26560467
TI - [In Process Citation].
PMID- 26560468
TI - CORR Insights((r)): Obesity is Not Associated with Increased Short-term
Complications After Primary Total Shoulder Arthroplasty.
PMID- 26560469
TI - Help-Seeking Behavior for Children with Acute Respiratory Infection in Ethiopia:
Results from 2011 Ethiopia Demographic and Health Survey.
AB - BACKGROUND: Acute respiratory infection is a major contributor to morbidity and
mortality among children under five years of age in Ethiopia. While facilities
have been implemented to address this problem they are underused due to a lack in
help-seeking behavior. This study investigates factors related to the help
seeking behavior of mothers for children with acute respiratory infection using
data from the 2011 Ethiopia Demographic and Health Survey. METHODS: Data on
11,030 children aged 0-59 months obtained through interviewing women aged 15-49
years throughout Ethiopia was available. Descriptive statistics and logistic
regression analyses were performed to determine which factors are related to help
seeking behavior for acute respiratory infection. RESULTS: In the two weeks prior
to the survey, 773(7%) of the children were reported to have symptoms of acute
respiratory infection while treatment was sought for only 209 (27.2%). The odds
ratio for acute respiratory infection was 1.6 (95% CI: 1.2-2.0) for rural
residence with only 25.2% of these mothers seeking help compared to 46.4% for
mothers with an urban residence. Smaller family size, younger mothers' age and
having had prenatal care had a statistically significant odds ratio greater than
1 for both urban and rural residences. Highest wealth index had a statistically
significant odds ratio greater than 1 for rural residence only, whereas primary
education or higher had a statistically significant odds ratio greater than 1 for
urban residence. CONCLUSIONS: Children from rural areas are more at risk for
acute respiratory infection while their mothers are less likely to seek help.
Nevertheless, there is also underuse of available services in urban areas.
Interventions should target mothers with less education and wealth and older
mothers. Expanding prenatal care among these groups would encourage a better use
of available facilities and subsequently better care for their children.
PMID- 26560470
TI - Abolishing the internal market to save NHS costs.
PMID- 26560471
TI - Efficacy and safety of botulinum toxin injection for benign prostatic
hyperplasia: a systematic review and meta-analysis.
AB - PURPOSE: The aim of this study was to overcome the limitation of overlooking the
placebo effect in previous studies and to demonstrate the overall treatment
efficacy and safety of botulinum toxin type A (BTX-A) compared with placebo.
METHODS: We conducted a systematic review and meta-analysis of the published
literature in PubMed, Cochrane Library, and Embase reporting on BTX use in lower
urinary tract symptoms (LUTS)/benign prostate hyperplasia (BPH). Single-group
analysis for the placebo effect and meta-regression analysis for the moderator
effect were performed with high-quality RCTs compared with placebo. RESULTS: A
total of three studies were included, with a total sample size of 522 subjects
(260 subjects in the experimental group and 262 subjects in the control group).
Study duration ranged from 8 to 24 weeks. The pooled overall SMD in the mean
change in IPSS for the BTX-A group versus the placebo group was -1.02 (95 % CI
1.97, -0.07). The other outcomes (Q max, prostate volume, and post-voided
residual volume) were not statistically different between the two groups. The
placebo effect in single-group analysis ranged from 0 to 27.9 % for IPSS, and
from -1.1 to 28.7 % for Q max (lowest to highest, respectively). CONCLUSIONS:
This evidence-based systematic review and meta-analysis of the BTX-A injection
for LUTS/BPH showed no differences in efficacy compared with placebo and also
showed no difference in procedure-related adverse events occurred. Thus, the
results of this study do not provide evidence of clinical benefits of using the
BTX-A injection for LUTS/BPH in real clinical practice.
PMID- 26560472
TI - Externalization of phosphatidylserine via multidrug resistance 1 (MDR1)/P
glycoprotein in oxalate-treated renal epithelial cells: implications for calcium
oxalate urolithiasis.
AB - OBJECTIVES: We investigated the possible involvement of multidrug resistance
protein 1 P-glycoprotein (MDR1 P-gp) in the oxalate-induced redistribution of
phosphatidylserine in renal epithelial cell membranes. METHODS: Real-time PCR and
western blotting were used to examine MDR1 expression in Madin-Darby canine
kidney cells at the mRNA and protein levels, respectively, whereas surface
expressed phosphatidylserine was detected by the annexin V-binding assay.
RESULTS: Oxalate treatment resulted in increased synthesis of MDR1, which
resulted in phosphatidylserine (PS) externalization in the renal epithelial cell
membrane. Treatment with the MDR1 inhibitor PSC833 significantly attenuated
phosphatidylserine externalization. Transfection of the human MDR1 gene into
renal epithelial cells significantly increased PS externalization. CONCLUSIONS:
To our knowledge, this study is the first to show that oxalate increases the
synthesis of MDR1 P-gp, which plays a key role in hyperoxaluria-promoted calcium
oxalate urolithiasis by facilitating phosphatidylserine redistribution in renal
epithelial cells.
PMID- 26560473
TI - A comparison of RIFLE, AKIN, KDIGO, and Cys-C criteria for the definition of
acute kidney injury in critically ill patients.
AB - PURPOSE: AKI is a major clinical problem and predictor of prognosis in critically
ill patients. The aim of our study was to determine whether the new Cys-C
criteria for identification and prognosis of AKI were superior to the RIFLE,
AKIN, and KDIGO criteria. METHODS: In the retrospective and multicenter study,
the incidence of AKI was identified by the four criteria. Receiver operating
characteristic (ROC) curve was applied to compare the predictive ability for 28
day mortality, and logistic regression analysis was used for the calculation of
odds ratios and 95 % confidence intervals. RESULTS: In the 1036 patients
enrolled, the incidences of AKI were 26.4, 34.1, 37.8, and 36.1 %, respectively,
under the four criteria. Patients with AKI had higher mortality and longer length
of stay than those without in all definitions. Concordance in AKI diagnosis
between Cys-C and KDIGO criteria was 95.9 %, higher than AKIN and RIFLE criteria
(p < 0.0001). The area under ROC curves was 0.7023 for Cys-C criteria, which was
a significantly greater discrimination (p < 0.05). CONCLUSION: KDIGO criteria
identified significantly more AKI and AKI patients had significantly higher 28
day mortality than patients without AKI. The Cys-C criteria were more predictive
for short-term outcomes than other three criteria among critically ill patients.
PMID- 26560474
TI - Lateroconal fascia suspension for management of peritoneal tear and "curtain"
effect during retroperitoneal laparoscopic operations.
AB - PURPOSE: To describe the method of lateroconal fascia suspension for the
management of peritoneal tear and curtain effect during retroperitoneal
laparoscopic operations. MATERIALS AND METHODS: Between May 2013 and October
2014, we performed lateroconal fascia suspension in 30 cases of retroperitoneal
laparoscopic operations. Peritoneal tear occurred and retroperitoneal space
collapsed in 18 cases of them during the operation, and free edge of the
lateroconal fascia caused curtain effect and sheltered the field of view in
another 12 cases after the lateroconal fascia was incised longitudinally.
RESULTS: The curtain effect of lateroconal fascia was eliminated successfully,
and the sheltered field of view got normal in all the 12 cases. The collapsed
retroperitoneal space due to peritoneal tear got enlarged effectively and was
sufficient for the following operations in 15 patients of the overall 18 cases,
while the collapsed retroperitoneal space did not get enlarged significantly in
the other three cases. After the insertion of an extra 5-mm trocar into
peritoneal space, the collapsed retroperitoneal space got enlarged eventually.
Finally, retroperitoneal laparoscopic operations were continued and completed
successfully in all these 30 patients. It took 4 min to complete the suspension
procedure, and no related complications occurred during the whole suspension
process. CONCLUSION: Lateroconal fascia suspension method could manage most
peritoneal tears and curtain effect effectively during retroperitoneal
laparoscopic operations.
PMID- 26560475
TI - Urinary microprotein concentrations in the long-term follow-up of dilating
vesicoureteral reflux patients who underwent medical or surgical treatment.
AB - PURPOSE: This study examined the relationship between urinary microprotein
concentrations and renal functional parameters in children with dilating (grade
III-V) vesicoureteral reflux (VUR) who underwent either medical or surgical
treatment. METHODS: All 44 dilating VUR patients who were followed for 4 years
were screened for inclusion in this study. The patients' clinical features and
clinical outcomes, as well as the urinary activities of albumin (ALB),
transferrin (TRF), immunoglobulin G (IgG), alpha-1-microglobulin (alpha1-MG), and
N-acetyl-beta-glucosaminidase (NAG), were retrospectively analyzed. RESULTS: High
values of NAG, alpha1-MG, IgG, TRF, and ALB were noted in 73.33, 58.33, 43.33,
24.14, and 53.33 % of patients, respectively, at the first examination. Cystatin
C, eGFR, and urinary microprotein levels were associated with a good prognosis
after 4 years of follow-up. No differences in recurrent UTI, cystatin C
concentration, most microprotein/creatinine (Cr) ratios, eGFR, or DeltaGFR4 %
were found between the groups. High levels of urinary proteins were found in 2.38
9.52 % of cases after 4 years of follow-up. ALB/Cr, IgG/Cr, and alpha1-MG/Cr
levels were positively correlated with 99mTc-dimercaptosuccinic acid (DMSA)
grade, and alpha1-MG excretion was inversely correlated with eGFR. CONCLUSIONS:
The levels of microprotein were elevated at diagnosis in a higher proportion of
patients than for the other markers examined. At long-term follow-up, the reflux
level had decreased or completely resolved in all patients, and the proportions
of microproteins that were elevated were significantly reduced. Renal impairment
measured by eGFR and DMSA grade was related to increased urinary alpha1-MG
levels.
PMID- 26560476
TI - Impact of dialysis modality on the survival of patients with end-stage renal
disease and prior stroke.
AB - PURPOSE: Of patients with end-stage renal disease (ESRD), 8-16 % had a history of
stroke at dialysis initiation. We used the National Health Insurance Research
Database of Taiwan to evaluate whether peritoneal dialysis (PD) or hemodialysis
(HD) confers a survival advantage for patients with incident ESRD and prior
stroke. METHODS: We identified 975 patients undergoing PD and 975 propensity
score-matched patients with newly diagnosed ESRD and prior stroke undergoing HD
between 2000 and 2010. Both cohorts were followed up until the end of 2011.
Comparisons of the risks of mortality between PD and HD were analyzed using the
Cox proportional hazards regression model. RESULTS: In the propensity score
matched cohorts, there was a 2.4 per 100 person-years greater mortality in
patients with PD (20.4 vs. 18.0 per 100 person-years) with an adjusted hazard
ratio (HR) of 1.20 (95 % CI 1.06-1.36). For patients with diabetes, ESRD and
prior stroke, patients undergoing PD had inferior survival compared with those
undergoing HD (adjusted HR 1.22, 95 % CI 1.05-1.43), particularly among female
patients (adjusted HR 1.55, 95 % CI 1.25-1.91). For patients with ESRD and prior
stroke but without diabetes, there was no significant difference in mortality
between PD and HD (adjusted HR 1.20, 95 % CI 0.96-1.50). CONCLUSIONS: PD was
associated with overall poorer survival among patients with diabetes, ESRD and
prior stroke and with similar overall survival among patients with ESRD and prior
stroke, but without diabetes, compared with HD.
PMID- 26560477
TI - Hemodiafiltration and hemodialysis differently affect P wave duration and
dispersion on the surface electrocardiogram.
AB - AIM: The incidence of atrial fibrillation is increased during hemodialysis (HD);
however, the effects of hemodiafiltration (HDF) on atrial arrhythmias have not
been evaluated. The prolongation of the P wave and P dispersion (Pd) can predict
atrial arrhythmias. METHODS: Data from 30 patients receiving HDF over a period of
3 months were collected; the same group of patients was then evaluated during
treatment with conventional HD for at least another 3 months. Electrolyte values
were obtained, and surface electrocardiograms (ECG), echocardiography, and Holter
ECGs were performed. RESULTS: The duration of the P wave and Pd increased
significantly during HD. The left atrial diameter decreased significantly only
during HDF. During HDF, the left atrial cross diameter measured at the beginning
of the session was positively correlated with the incidence of supraventricular
premature beats (p = 0.011, r = 0.4556). The decrease in left atrial diameter
during HDF was negatively correlated with the incidence of supraventricular
premature beats (p = 0.016, r = -0.43). During HDF, the changes in sodium and Pd
were significantly positively correlated (p < 0.05, r = 0.478). During HD, the
changes in ionized calcium levels and Pd were positively correlated (p < 0.05, r
= 0.377). CONCLUSION: Our results suggest that HDF has a more beneficial effect
on P wave duration and Pd than HD. The alterations in the ECG markers may be the
result of the simultaneous occurrence of certain electrolyte imbalances and renal
replacement methods.
PMID- 26560478
TI - Iroquois homeobox 2 suppresses cellular motility and chemokine expression in
breast cancer cells.
AB - BACKGROUND: Disseminated tumor cells (DTCs) can be detected using ultrasensitive
immunocytochemical assays and their presence in the bone marrow can predict the
subsequent occurrence of overt metastasis formation and metastatic relapse. Using
expression profiling on early stage primary breast tumors, low IRX2 expression
was previously shown to be associated with the presence of DTCs in the bone
marrow, suggesting a possible role of IRX2 in the early steps of metastasis
formation. The purpose of this study is to gain insights into the significance of
IRX2 protein function in the progression of breast cancer. METHODS: To assess the
physiological relevance of IRX2 in breast cancer, we evaluated IRX2 expression in
a large breast cancer cohort (n = 1992). Additionally, constitutive IRX2 over
expression was established in BT-549 and Hs578T breast cancer cell lines.
Subsequently we analyzed whether IRX2 overexpression effects chemokine secretion
and cellular motility of these cells. RESULTS: Low IRX2 mRNA expression was found
to correlate with high tumor grade, positive lymph node status, negative hormone
receptor status, and basal type of primary breast tumors. Also in cell lines low
IRX2 expression was associated with mainly basal breast cancer cell lines. The
functional studies show that overexpression of the IRX2 transcription factor in
basal cell lines suppressed secretion of the pro-metastatic chemokines and
inhibited cellular motility but did not influence cell proliferation. CONCLUSION:
Our results imply that the IRX2 transcription factor might represent a novel
metastasis associated protein that acts as a negative regulator of cellular
motility and as a repressor of chemokine expression. Loss of IRX2 expression
could therefore contribute to early hematogenous dissemination of breast cancer
by sustaining chemokine secretion and enabling mobilization of tumor cells.
PMID- 26560479
TI - The antiradical activity of some selected flavones and flavonols. Experimental
and quantum mechanical study.
AB - The aim of the study was to examine the antiradical and antioxidant activity of
some flavones and flavonols with different models of hydroxylation and
methoxylation. Antiradical activity was measured using ABTS and DPPH radicals and
ferric ions (FRAP test). The reduction potential of the compounds was also
investigated by determination of minimal hydrogen abstraction energy for each of
the hydroxyl hydrogens of all compounds using quantum chemistry methods.
Quercetin appeared to be a strong antioxidant when the FRAP test was performed
and the strongest for ABTS and DPPH tests whereas genkwanin was the weakest
antioxidant for three tests (FRAP, ABTS, and DPPH). Flavonols appeared to have
much stronger antiradical activity than flavones. An exception was luteolin,
which belongs to flavones but exhibited antiradical activity comparable to that
of flavonols, probably due to the presence of a hydroxyl group in the B ring at
the 3' position next to another hydroxyl group at position 4'. The study using
UB3LYP/6-31G(d,p) model chemistry of density functional theory (DFT) showed the
lowest hydrogen abstraction energy (HAE) for the hydroxyl group situated at 3' or
5' of myricetin. Based on the experimental results and computational studies, we
conclude that the hydroxyl group situated at 4' in the B ring in flavonoids, and
to a lesser at the 3' and 3 position in flavonols is the most important for
antioxidant activity of flavonoids. We observe strong negative Spearman's rank
order correlations between minimal HAE and antiradical activity of flavonoids in
all three tests and double-tailed rejection P values are less than 0.001.
PMID- 26560480
TI - An investigation of folic acid-protein association sites and the effect of this
association on folic acid self-assembly.
AB - The contribution of folic acid (FA)-tryptophan interactions to FA-protein
association was investigated in the context of using FA as a drug carrier in
protein delivery systems. Bovine serum albumin (BSA) and indolicidin were used as
model proteins in the study. The FA-BSA complex was characterized by using the
Bradford reagent to identify the impact of FA-BSA association on BSA-dye reagent
interactions. UV-visible spectroscopic analysis of the FA-BSA mixture showed that
the absorbance maximum of BSA-dye reagent occurred at 595 nm, even after the
association of FA with BSA. This confirms that protonated amino acid groups of
the protein are not involved in FA-BSA association. Moreover, molecular dynamics
(MD) simulation confirmed the presence of an associative interaction between
aromatic moieties in FA and tryptophan moieties in the indolicidin molecule,
which disrupted FA self-assembly. An X-ray diffraction (XRD) study showed that
there was limited disruption of FA self-assembly after the addition of BSA or
tryptophan. This suggests that FA and BSA are compatible and associate with each
other. Graphical Abstract Mechanism of folic acid and protein association.
PMID- 26560481
TI - Added Sugar, Macro- and Micronutrient Intakes and Anthropometry of Children in a
Developing World Context.
AB - OBJECTIVE: The objective of this study was to determine the relationship between
added sugar and dietary diversity, micronutrient intakes and anthropometric
status in a nationally representative study of children, 1-8.9 years of age in
South Africa. METHODS: Secondary analysis of a national survey of children
(weighted n = 2,200; non weighted n = 2818) was undertaken. Validated 24-hour
recalls of children were collected from mothers/caregivers and stratified into
quartiles of percentage energy from added sugar (% EAS). A dietary diversity
score (DDS) using 9 food groups, a food variety score (FVS) of individual food
items, and a mean adequacy ratio (MAR) based on 11 micronutrients were
calculated. The prevalence of stunting and overweight/obesity was also
determined. RESULTS: Added sugar intake varied from 7.5-10.3% of energy intake
for rural and urban areas, respectively. Mean added sugar intake ranged from 1.0%
of energy intake in Quartile 1 (1-3 years) (Q1) to 19.3% in Q4 (4-8 years). Main
sources of added sugar were white sugar (60.1%), cool drinks (squash type)
(10.4%) and carbonated cool drinks (6.0%). Added sugar intake, correlated
positively with most micronutrient intakes, DDS, FVS, and MAR. Significant
negative partial correlations, adjusted for energy intake, were found between
added sugar intake and intakes of protein, fibre, thiamin, pantothenic acid,
biotin, vitamin E, calcium (1-3 years), phosphorus, iron (4-8 years), magnesium
and zinc. The prevalence of overweight/obesity was higher in children aged 4-8
years in Q4 of %EAS than in other quartiles [mean (95%CI) % prevalence overweight
23.0 (16.2-29.8)% in Q4 compared to 13.0 (8.7-17.3)% in Q1, p = 0.0063].
CONCLUSION: Although DDS, FVS, MAR and micronutrient intakes were positively
correlated with added sugar intakes, overall negative associations between
micronutrients and added sugar intakes, adjusted for dietary energy, indicate
micronutrient dilution. Overweight/obesity was increased with higher added sugar
intakes in the 4-8 year old children.
PMID- 26560482
TI - Molecular signatures of mesenchymal stem cell-derived extracellular vesicle
mediated tissue repair.
AB - Extracellular vesicles (EVs) play important roles in intercellular communications
via their content molecules, and mimic, at least in part, the roles that are
played by their originating cells. Consistent with this notion, an increasing
number of reports have suggested that EVs derived from mesenchymal stem cells
(MSCs), which are therapeutically beneficial to a wide range of diseases, can
serve as drugs to treat multiple diseases. EVs contain a variety of molecules,
including proteins, microRNAs, and mRNAs, and are associated with biological
processes in a content molecule-dependent manner. In this article, we review the
latest reports regarding the therapeutic potential of MSC-EVs by focusing on the
underlying molecular mechanisms of their effects. Specifically, we feature the
effects of MSC-EVs in terms of their content molecules and of the tissue recovery
processes endowed by these molecules.
PMID- 26560484
TI - Chemotherapy-induced neutropenia as a prognostic factor in patients with
unresectable pancreatic cancer.
AB - PURPOSE: We conducted a retrospective cohort study to examine whether neutropenia
could be an indicator of good prognosis in patients treated with gemcitabine
(GEM) for unresectable pancreatic cancer. METHODS: A total of 178 patients with
unresectable pancreatic cancer, who were treated with first-line (n = 121) or
second-line (n = 57) GEM, were included in our analyses. A Cox proportional
hazard model was used to examine the effect of the grade of GEM-induced
neutropenia on prognosis. Furthermore, the difference in survival time for each
grade was assessed using a log-rank test. RESULTS: In the first-line population,
the hazard ratios of patients with grade 2 or grade 3 neutropenia compared with
the ratios of those without neutropenia (grade 0) were 0.43 (95% CI 0.27-0.70)
and 0.37 (0.21-0.65), respectively (p < 0.05). The median survival time (MST) was
3.8 months for grade 0, 9.4 months for grade 2, and 10.1 for grade 3. Landmark
analysis of the second-line population revealed a hazard ratio of 0.52 (0.30
0.82) for grade 1 and 0.49 for grade 2 (0.28-0.72) (p < 0.05). MST was 1.3 months
for grade 0, 4.7 months for grade 1, and 4.6 months for grade 2. CONCLUSIONS: We
found that neutropenia grade was an indicator of good prognosis in patients
treated with first-line and second-line GEM for unresectable pancreatic cancer. A
prospective study should be performed to examine whether dosage adjustment using
neutropenia grade as an indicator would improve prognosis.
PMID- 26560483
TI - Effect of pH adjustment by mixing steroid for venous pain in colorectal cancer
patients receiving oxaliplatin through peripheral vein: a multicenter randomized
phase II study (APOLLO).
AB - PURPOSE: The aim of this phase II clinical trial was to evaluate the preventive
effect of dexamethasone mixing injection for venous pain in patients with
colorectal cancer during chemotherapy. MATERIALS AND METHODS: Patients were
randomized to receive a 2-h intravenous infusion of oxaliplatin 130 mg/m(2) on
day 1 followed by capecitabine 1000 mg/m(2) (or S-1 40-60 mg/m(2)) twice daily on
days 1 through 14 of every 3 weeks with or without dexamethasone 1.65 mg at the
infusion on day 1. RESULTS: A total of 53 patients were enrolled. The analysis
population consisted of 49 patients (arm A, with dexamethasone N = 24; arm B,
without dexamethasone N = 25). The incidence of venous pain >=grade 2 based on
the CTCAE version 4.0 was 33.3 % in arm A and 56.0 % in arm B (relative risk
0.60; 95 % CI 0.31-1.16). The incidences based on the verbal rating scale for
arms A and B were 50.0 and 64.0 %, respectively (relative risk 0.78; 95 % CI 0.48
1.28). CONCLUSION: The primary endpoint was not met in this preliminary study.
PMID- 26560485
TI - Schedule-dependent modulation of the pharmacokinetics of MK-2206, an oral pan-AKT
inhibitor: perspectives.
PMID- 26560486
TI - Phase I study of nintedanib in combination with pemetrexed as second-line
treatment of Japanese patients with advanced non-small cell lung cancer.
AB - PURPOSE: This open-label, phase I, dose-escalation part of a phase I/II study
evaluated the safety, pharmacokinetics, and preliminary efficacy of nintedanib, a
triple angiokinase inhibitor, combined with pemetrexed in Japanese patients with
advanced non-small cell lung cancer (NSCLC) after first-line chemotherapy.
METHODS: A fixed dose of pemetrexed (500 mg/m(2) iv) was administered on Day 1 of
each 21-day cycle followed by oral nintedanib twice daily (bid) on days 2-21,
starting at 100 mg bid and escalating to 200 mg bid in 50-mg intervals, using a
standard 3 + 3 design. After >=4 cycles of combination therapy, patients could
continue nintedanib monotherapy until disease progression or undue adverse events
(AEs). Primary endpoints were maximum tolerated dose (MTD), defined as the
highest dose at which the incidence of dose-limiting toxicities (DLTs) was <33.3
% during the first treatment course, and AEs (CTCAE v3.0). DLTs were primarily
defined as grade >=3 non-hematologic or grade 4 hematologic AEs. RESULTS:
Eighteen patients were included in the analysis. DLTs were experienced by 2/9
patients receiving 200 mg bid, 1/6 receiving 150 mg bid, and 0/3 receiving the
lowest dose. The MTD of nintedanib plus pemetrexed was 200 mg bid. The most
common drug-related AEs were elevated liver enzymes and gastrointestinal AEs. Two
patients achieved partial response, and 10 had stable disease. CONCLUSIONS:
Nintedanib plus pemetrexed had a manageable safety profile and showed promising
signs of efficacy in previously treated Japanese patients with advanced NSCLC. As
in Caucasian patients, the MTD of nintedanib was 200 mg bid. Clinical trial
information NCT00979576.
PMID- 26560487
TI - Local transdermal therapy to the breast for breast cancer prevention and DCIS
therapy: preclinical and clinical evaluation.
AB - PURPOSE: Women at high risk of breast cancer and those with carcinoma in situ
need non-toxic, well-tolerated preventive interventions. One promising approach
is drug delivery through the breast skin (local transdermal therapy, LTT). Our
goal was to test novel drugs for LTT, to establish that LTT is applicable to non
steroidal drugs. METHODS: Athymic nude rats were treated with oral tamoxifen,
transdermal 4-hydroxytamoxifen (4-OHT) or endoxifen gel applied daily to the
axillary mammary gland for 6 weeks (Study 1). Study 2 was identical to Study 1,
testing transdermal telapristone acetate (telapristone) gel versus subcutaneous
implant. At euthanasia, mammary glands and blood were collected. In Study 3,
consenting women requiring mastectomy were randomized to diclofenac patch applied
to the abdomen or the breast for 3 days preoperatively. At surgery, eight tissue
samples per breast were collected from predetermined locations, along with venous
blood. Drug concentrations were measured using liquid chromatography-tandem mass
spectroscopy. RESULTS: Mammary tissue concentrations of 4-OHT, endoxifen, and
telapristone were significantly higher in the axillary glands of the gel-treated
animals, compared to inguinal glands or to systemically treated animals. Plasma
concentrations were similar in gel and systemically treated animals. The clinical
trial showed significantly higher mammary concentrations when diclofenac was
applied to the breast skin versus the abdominal skin, but concentrations were
variable. CONCLUSIONS: These results demonstrate that lipophilic drugs can be
developed for LTT; although the nude rat is suitable for testing drug
permeability, delivery is systemic. In human, however, transdermal application to
the breast skin provides local delivery.
PMID- 26560488
TI - Biochemical markers of placental dysfunction in assisted conception.
AB - A possible mechanism for poor perinatal outcomes in singleton pregnancies
conceived following assisted reproductive technologies (ART) and those conceived
naturally following a period of infertility (>12 months) is thought to be
placental dysfunction. This was investigated by measuring plasma concentrations
of biochemical markers: (i) soluble fms-like tyrosine kinase1 (sFlt1); (ii)
placental growth factor (PlGF); (iii) leptin; and (iv) plasminogen activator
inhibitor 2 (PAI-2), serially at four antenatal time points. Baseline
concentrations of each marker after delivery were also measured. The control
group was naturally conceived singleton pregnancies with no history of
infertility. Non-smoking, age-matched nulliparous women with no significant
medical history were recruited to all groups. The ART group had significantly
lower mean plasma concentrations of PlGF at all antenatal time points compared to
the control group (p < 0.001). The subfertility (SF) group had significantly
higher mean serum concentrations of leptin than the other groups at all time
points (p < 0.001), even after correction for body mass index. There were no
significant differences in sFlt1 and PAI-2 concentrations between the groups. Low
plasma PlGF concentrations in the ART group might suggest abnormal placentation
and/or abnormal function in ART pregnancies with relevance to pathogenesis of
pregnancy complications in these women.
PMID- 26560489
TI - Targeting angiogenesis in endometrial cancer - new agents for tailored
treatments.
AB - INTRODUCTION: Endometrial carcinoma represents the most frequent gynecologic
tumor in developed countries. The majority of women presents with low-grade
tumors but a significant subset of women experience recurrence and do not survive
their disease. Patients with stage III/ IV or recurrent endometrial cancer have a
poor prognosis. Identification of active and tolerable new targeted agents versus
specific molecular targets is a priority objective. Angiogenesis is a complex
process that plays a crucial role in the development of many types of cancer and
in particular endometrial cancer. AREAS COVERED: In this review, the authors
highlight the main angiogenetic molecular pathways and the anti-angiogenic agents
in Phase II clinical trials for endometrial cancer treatment. The authors focus
on reports from recent years on angiogenesis inhibitors used in endometrial
cancer, including anti- vascular endothelial growth factor (VEGF) monoclonal
antibodies (bevacizumab and aflibercept), mammalian target of rapamycin
inhibitors (mTORi) (everolimus, temsirolimus and ridaforolimus), PI3 K inhibitors
(BKM120), tyrosine kinase inhibitors (brivanib, sunitinib, dovitinib and
nintedanib) and thalidomide. EXPERT OPINION: These anti-angiogenic drugs, while
used either alone or in combination with chemotherapy, have presented mixed
results in treating endometrial cancer patients. Challenges for the future
include the identification of new pathways, early identification and overcoming
resistance and the use of these molecules in combination with old and new
chemotherapeutic and targeted agents.
PMID- 26560491
TI - Reassessing the boundaries of liver transplantation for hepatocellular carcinoma:
Where do we stand with tumor down-staging?
AB - Down-staging of hepatocellular carcinoma prior to liver transplantation (LT) has
generated a lot of interest in recent years and has been identified in two recent
national conferences on hepatocellular carcinoma as one of the priorities for
research. Down-staging is defined as reduction in the tumor burden using local
regional therapy specifically to meet acceptable criteria for LT. The rationale
behind down-staging of tumors initially exceeding conventional criteria for LT is
to select a subgroup of tumors with favorable biology and prognosis for LT as
assessed by their response to local regional therapy. The expectation is to
achieve comparable posttransplant survival between patients who achieve
successful tumor down-staging before LT and those whose tumors meet LT criteria
at the outset without needing down-staging. The application of tumor down-staging
requires a highly structured approach using a treatment protocol that includes
five essential components: eligibility criteria, down-staging endpoints,
selection of the type of local regional therapy, minimal observation period from
successful tumor down-staging to LT, and criteria for treatment failure and
exclusion from LT. This review article summarizes published data on down-staging
and addresses key questions related to each of the components of the down-staging
protocol as well as treatment efficacy. CONCLUSION: Based on a review of
published data and recommendations from recent national and international
conferences on hepatocellular carcinoma and LT, a standardized down-staging
protocol is proposed to further evaluate the feasibility and efficacy of applying
tumor down-staging on a broader scale.
PMID- 26560492
TI - A Case of Hepatotoxicity Induced by Adulterated "Tiger King", a Chinese Herbal
Medicine Containing Sildenafil.
AB - Detection of Phosphodiesterase Type 5 (PDE-5) inhibitors and their analogues in
"100% natural" or "herbal" supplements have been described in numerous reports.
However, few reports have been published in relation to actual harm caused by
counterfeit erectile dysfunction herbal supplements. We describe a case of a 65
year old male admitted to a tertiary hospital with acute liver toxicity, possibly
induced by adulterated "Chinese herbal" supplement "Tiger King" for sexual
enhancement. Chemical analysis of the tablets discovered the presence of
therapeutic doses of sildenafil with no other herbal components. Other
medications were excluded as potential causes of the hepatic impairment.
According to the Naranjo adverse drug reaction scale and the Roussel Uclaf
Causality Assessment Method (RUCAM) the probability of association of
Hepatotoxicity with Sildenafil was "possible" and "probable" respectively
(Naranjo score of 4, RUCAM score of 7). Within three days of admission, the
patient's clinical status and liver function improved without any specific
treatment. His liver function tests normalized 30 days post discharge. Further
pharmacovigilance actions should be taken by regulatory authorities and
pharmaceutical companies in order to determine the relation between sildenafil
and hepatotoxicity. This case emphasizes the importance of raising public
awareness on the potential dangers of "Tiger king" in particular, and other
counterfeit medications or herbal supplements of unknown origin.
PMID- 26560490
TI - Therapeutic Antiviral Effect of the Nucleic Acid Polymer REP 2055 against
Persistent Duck Hepatitis B Virus Infection.
AB - Previous studies have demonstrated that nucleic acid polymers (NAPs) have both
entry and post-entry inhibitory activity against duck hepatitis B virus (DHBV)
infection. The inhibitory activity exhibited by NAPs prevented DHBV infection of
primary duck hepatocytes in vitro and protected ducks from DHBV infection in vivo
and did not result from direct activation of the immune response. In the current
study treatment of primary human hepatocytes with NAP REP 2055 did not induce
expression of the TNF, IL6, IL10, IFNA4 or IFNB1 genes, confirming the lack of
direct immunostimulation by REP 2055. Ducks with persistent DHBV infection were
treated with NAP 2055 to determine if the post-entry inhibitory activity
exhibited by NAPs could provide a therapeutic effect against established DHBV
infection in vivo. In all REP 2055-treated ducks, 28 days of treatment lead to
initial rapid reductions in serum DHBsAg and DHBV DNA and increases in anti-DHBs
antibodies. After treatment, 6/11 ducks experienced a sustained virologic
response: DHBsAg and DHBV DNA remained at low or undetectable levels in the serum
and no DHBsAg or DHBV core antigen positive hepatocytes and only trace amounts of
DHBV total and covalently closed circular DNA (cccDNA) were detected in the liver
at 9 or 16 weeks of follow-up. In the remaining 5/11 REP 2055-treated ducks, all
markers of DHBV infection rapidly rebounded after treatment withdrawal: At 9 and
16 weeks of follow-up, levels of DHBsAg and DHBcAg and DHBV total and cccDNA in
the liver had rebounded and matched levels observed in the control ducks treated
with normal saline which remained persistently infected with DHBV. These data
demonstrate that treatment with the NAP REP 2055 can lead to sustained control of
persistent DHBV infection. These effects may be related to the unique ability of
REP 2055 to block release of DHBsAg from infected hepatocytes.
PMID- 26560493
TI - Eltrombopag Induced Thrombosis: A Case with Acute Myocardial Infarction.
AB - Eltrombopag is a non-peptide thrombopoietin receptor agonist. Eltrombopag has
originally been developed for conditions where therapy for thrombocytopenia is
needed. Secondary to eltrombopag have been reported thrombotic events, chest
pain, acute renal failure, neutropenia, ascites, retinal exudates,
antiphospholipid syndrome. In this case, we present a 53 year-old patient who had
diagnosis of Idiopathic Thrombocytopenic Purpura (ITP) for 30 years with
splenectomy. He's still having low thrombocyte counts despite the classical ITP
therapy. He was treated with eltrombopag for the last 2 months and had inferior
myocardial infarction despite that having no additional risk factors for coronary
heart disease.
PMID- 26560494
TI - Prospects for the future of prostate cancer vaccines.
AB - Cancer therapy is undergoing a revolution fueled by clinical data demonstrating
that the immune system has significant anti-tumor capability. Although the main
focus of this revolution currently rests upon immune checkpoint inhibitors in
diseases such as melanoma, lung and bladder cancer, it was actually a therapeutic
cancer vaccine in prostate cancer that provided the first data demonstrating that
a modern immunotherapy, beyond cytokines, could enhance clinical outcomes. As
immunotherapy is poised to take center stage among cancer therapies, the role of
cancer vaccines remains somewhat undefined in prostate cancer, though emerging
data suggest that vaccines could play a crucial therapeutic role.
PMID- 26560495
TI - The Expression Status and Prognostic Value of Cancer Stem Cell Biomarker CD133 in
Cutaneous Squamous Cell Carcinoma.
AB - IMPORTANCE: The CD133 protein has been considered a key biomarker of cancer stem
cells in various cancers. However, the expression status and prognostic
significance of CD133 in cutaneous squamous cell carcinoma (cSCC) are poorly
understood. OBJECTIVE: To investigate the expression of cancer stem cell
biomarker CD133 in cSCC tissue and its effect on clinicopathological features and
outcomes in patients with cSCC. DESIGN, SETTING, AND PARTICIPANTS:
Immunohistochemistry was performed on a tissue microarray to investigate the
expression levels of CD133 in cSCC tissue. Receiver operating characteristic
curve analysis, Kaplan-Meier plots, and a Cox proportional hazards regression
model were applied to analyze the data. Samples were obtained from the archives
of the First Affiliated Hospital, Sun Yat-Sen University Cancer Center, and
Guangzhou Institute of Dermatology and Venerology. In total, 165 paraffin
embedded clinicopathological samples from 165 patients were obtained from the
archives of hospitals between June 1, 1996, and December 31, 2010. Follow-up data
were available for these cases. MAIN OUTCOMES AND MEASURES: The CD133 expression
in cSCC tissue, correlation of CD133 expression with clinicopathological features
of cSCC, and association of CD133 expression with prognosis in patients with
cSCC. RESULTS: Based on the receiver operating characteristic curves, the cutoff
value for high CD133 expression was defined as greater than 65% of tumor cells
positively stained. High CD133 expression was observed in 50.9% (84 of 165) of
the cSCC samples and in 16.7% (5 of 30) of adjacent nonmalignant epithelial
tissue samples (P = .001). High CD133 expression was positively correlated with
poorly differentiated cSCC (48.0% [73 of 84] for well to moderately
differentiated vs 84.6% [11 of 84] for poorly differentiated, P = .01) and with
advanced tumor stage (45.5% [55 of 84] for stage I-II vs 65.9% [29 of 84] for
stage III, P = .02). In univariable survival analysis, high CD133 expression was
correlated with poor prognosis (mean survival, 63.4 vs 95.7 months; P < .001). In
multivariable analysis, CD133 expression was an independent prognostic factor for
cSCC (hazard ratio, 1.9152; 95% CI, 1.1950-3.3495; P = .02). CONCLUSIONS AND
RELEVANCE: High CD133 expression is associated with poorly differentiated and
advanced-stage cSCC. High CD133 expression was also correlated with poor
prognosis in patients with cSCC. It may serve as a useful biomarker to predict
prognosis in patients with cSCC.
PMID- 26560497
TI - WITHDRAWN: S-adenosyl-L-methionine for alcoholic liver diseases.
PMID- 26560496
TI - Serine 1179 Phosphorylation of Endothelial Nitric Oxide Synthase Increases
Superoxide Generation and Alters Cofactor Regulation.
AB - Endothelial nitric oxide synthase (eNOS) is responsible for maintaining systemic
blood pressure, vascular remodeling and angiogenesis. In addition to producing
NO, eNOS can also generate superoxide (O2-.) in the absence of the cofactor
tetrahydrobiopterin (BH4). Previous studies have shown that bovine eNOS serine
1179 (Serine 1177/human) phosphorylation critically modulates NO synthesis.
However, the effect of serine 1179 phosphorylation on eNOS superoxide generation
is unknown. Here, we used the phosphomimetic form of eNOS (S1179D) to determine
the effect of S1179 phosphorylation on superoxide generating activity, and its
sensitivity to regulation by BH4, Ca2+, and calmodulin (CAM). S1179D eNOS
exhibited significantly increased superoxide generating activity and NADPH
consumption compared to wild-type eNOS (WT eNOS). The superoxide generating
activities of S1179D eNOS and WT eNOS did not differ significantly in their
sensitivity to regulation by either Ca2+ or CaM. The sensitivity of the
superoxide generating activity of S1179D eNOS to inhibition by BH4 was
significantly reduced compared to WT eNOS. In eNOS-overexpressing 293 cells, BH4
depletion with 10mM DAHP for 48 hours followed by 50ng/ml VEGF for 30 min to
phosphorylate eNOS S1179 increased ROS accumulation compared to DAHP-only treated
cells. Meanwhile, MTT assay indicated that overexpression of eNOS in HEK293 cells
decreased cellular viability compared to control cells at BH4 depletion condition
(P<0.01). VEGF-mediated Serine 1179 phosphorylation further decreased the
cellular viability in eNOS-overexpressing 293 cells (P<0.01). Our data
demonstrate that eNOS serine 1179 phosphorylation, in addition to enhancing NO
production, also profoundly affects superoxide generation: S1179 phosphorylation
increases superoxide production while decreasing sensitivity to the inhibitory
effect of BH4 on this activity.
PMID- 26560498
TI - Quinoidal Oligo(9,10-anthryl)s with Chain-Length-Dependent Ground States: A
Balance between Aromatic Stabilization and Steric Strain Release.
AB - Quinoidal pi-conjugated polycyclic hydrocarbons have attracted intensive research
interest due to their unique optical/electronic properties and possible magnetic
activity, which arises from a thermally excited triplet state. However, there is
still lack of fundamental understanding on the factors that determine the
electronic ground states. Herein, by using quinoidal oligo(9,10-anthryl)s, it is
demonstrated that both aromatic stabilisation and steric strain release play
balanced roles in determining the ground states. Oligomers with up to four
anthryl units were synthesised and their ground states were investigated by
electronic absorption and electron spin resonance (ESR) spectroscopy, assisted by
density functional theory (DFT) calculations. The quinoidal 9,10-anthryl dimer 1
has a closed-shell ground state, whereas the tri- (2) and tetramers (3) both have
an open-shell diradical ground state with a small singlet-triplet gap. Such a
difference results from competition between two driving forces: the large steric
repulsion between the anthryl/phenyl units in the closed-shell quinoidal form
that drives the molecule to a flexible open-shell diradical structure, and
aromatic stabilisation due to the gain of more aromatic sextet rings in the
closed-shell form, which drives the molecule towards a contorted quinoidal
structure. The ground states of these oligomers thus depend on the overall
balance between these two driving forces and show chain-length dependence.
PMID- 26560499
TI - Underlying Cortical Dysplasia as Risk Factor for Traumatic Epilepsy: An Animal
Study.
AB - Traumatic brain injury (TBI) is a significant risk factor for development of
epilepsy in humans. It is unclear, however, why some persons are at an increased
risk of becoming epileptic, while others recover from the TBI seizure-free. We
previously showed that the presence of a proepileptic pathology increases the
risk of epilepsy in an animal model of cortical dysplasia (CD) after a secondary
insult, which we described as the "second hit". Here we sought to evaluate the
prevalence of epileptic activity and seizures in CD after a moderate TBI to
determine the influence of dysplastic pathology on TBI-induced epileptogenesis.
CD was generated in rats through in utero irradiation (the "first hit").
Nondysplastic and CD rats were surgically implanted with EEG electrodes.
Craniotomies were performed over the pre-central cortex, and rats were given a
moderate TBI using the lateral fluid percussion injury device. Rats were
monitored with chronic EEG and video. EEG data were analyzed for the occurrence
of interictal spikes and epileptic EEG seizure patterns. Brains were harvested
and evaluated histologically. Spontaneous seizures are more prominent and occur
earlier in rats with CD after a moderate TBI compared with nondysplastic control
rats. All of the CD animals exhibited interictal spiking after TBI, while only a
portion of nondysplastic animals produced spikes. These results suggest that the
presence of a proepileptic pathology may increase the risk for the development of
epilepsy after TBI. Diagnosis and treatment of TBI may depend on underlying
pathologies contributing to epilepsy after a brain injury.
PMID- 26560500
TI - Electroanatomic mapping systems (CARTO/EnSite NavX) vs. conventional mapping for
ablation procedures in a training program.
AB - BACKGROUND: Three-dimensional electroanatomic mapping (EAM) systems reduce
radiation exposure when radio frequency catheter ablation (RFCA) procedures are
performed by well-trained senior operators. Given the steep learning curve
associated with complex RFCA, trainees and their mentors must rely on multiple
imaging modalities to maximize safety and success, which might increase procedure
and fluoroscopy times. The objective of the present study is to determine if 3-D
EAM (CARTO and ESI-NavX) improves procedural outcomes (fluoroscopy time, radio
frequency time, procedure duration, complication, and success rates) during CA
procedures as compared to fluoroscopically guided conventional mapping alone in
an academic teaching hospital. METHODS: We analyzed a total of 1070 consecutive
RFCA procedures over an 8-year period for fluoroscopic time stratified by
ablation target and mapping system. Multivariate logistic regression and adjusted
odds ratios were calculated for each variable. RESULTS: No statistically
significant differences in acute success rates were noted between conventional
and 3-D mapping cases [CARTO (p = 0.68) or ESI-NavX (p = 0.20)]. Moreover,
complication rates were also not significantly different between CARTO (p = 0.23)
and ESI-NavX (p = 0.53) when compared to conventional mapping. Procedure, radio
frequency, and fluoroscopy times were significantly longer with CARTO and ESI
NavX versus conventional mapping [fluoroscopy time: CARTO, 28.3 min; ESI, 28.5
min; and conventional, 24.3 min; p < 0.001)]. CONCLUSIONS: The use of 3-D EAM
systems during teaching cases significantly increases radiation exposure when
compared with conventional mapping. These findings suggest a need to develop
alternative training strategies that enhance confidence and safety during
catheter manipulation and allow for reduced fluoroscopy and procedure times
during RFCA.
PMID- 26560501
TI - A Systems Biology-Based Investigation into the Pharmacological Mechanisms of
Sheng-ma-bie-jia-tang Acting on Systemic Lupus Erythematosus by Multi-Level Data
Integration.
AB - Sheng-ma-bie-jia-tang (SMBJT) is a Traditional Chinese Medicine (TCM) formula
that is widely used for the treatment of Systemic Lupus Erythematosus (SLE) in
China. However, molecular mechanism behind this formula remains unknown. Here, we
systematically analyzed targets of the ingredients in SMBJT to evaluate its
potential molecular mechanism. First, we collected 1,267 targets from our
previously published database, the Traditional Chinese Medicine Integrated
Database (TCMID). Next, we conducted gene ontology and pathway enrichment
analyses for these targets and determined that they were enriched in metabolism
(amino acids, fatty acids, etc.) and signaling pathways (chemokines, Toll-like
receptors, adipocytokines, etc.). 96 targets, which are known SLE disease
proteins, were identified as essential targets and the rest 1,171 targets were
defined as common targets of this formula. The essential targets directly
interacted with SLE disease proteins. Besides, some common targets also had
essential connections to both key targets and SLE disease proteins in enriched
signaling pathway, e.g. toll-like receptor signaling pathway. We also found
distinct function of essential and common targets in immune system processes.
This multi-level approach to deciphering the underlying mechanism of SMBJT
treatment of SLE details a new perspective that will further our understanding of
TCM formulas.
PMID- 26560503
TI - Cerebrospinal Fluid Biomarkers Predict Clinical Evolution in Patients with
Subjective Cognitive Decline and Mild Cognitive Impairment.
AB - BACKGROUND: Determination of Alzheimer's disease (AD) by cerebrospinal fluid
(CSF) biomarkers - 42-amino-acid amyloid-beta (Abeta42), total tau and
phosphorylated tau (p-tau) - has demonstrated high validity for detecting AD
neuropathological changes. However, their prognostic utility to predict the onset
of dementia in predementia subjects is still questioned. We aimed to study the
prospective clinical evolution of a group of subjects with subjective cognitive
decline (SCD) or mild cognitive impairment (MCI) and to determine the prognostic
capacity of AD CSF biomarkers. METHODS: 149 subjects with MCI or SCD, not meeting
dementia criteria, underwent a prospective clinical, neuropsychological and CSF
biomarker study. Patients were initially classified as SCD or MCI following
internationally accepted criteria. CSF sampling was obtained and analysed
following consensus protocols. Neuropsychological and clinical evaluations were
conducted at the follow-up. Statistical analysis considering the final clinical
diagnosis, regression analysis to define risk factors and survival curves for
progression were made. RESULTS: 72.4% of subjects (83% MCI and 27% SCD) with a
pathological CSF ratio (Abeta42/p-tau) met criteria for dementia during the 5
year follow-up versus 18.7% of subjects from the group with a normal ratio. The
pathological CSF ratio was a powerful marker of risk for AD dementia (OR 27.1;
95% CI 10.3-71.2). Kaplan-Meier survival curves showed that only 15% of subjects
with a pathological CSF ratio remained free of AD dementia at 5 years of follow
up. All subjects who reverted to normal cognition presented a normal CSF profile
at baseline. CONCLUSION: An abnormal AD CSF biomarker profile in predementia
subjects is a powerful predictor of cognitive and/or functional decline in the
medium term.
PMID- 26560502
TI - Assessment of risk of peripheral vascular disease and vascular care capacity in
low- and middle-income countries.
AB - BACKGROUND: This study aimed to describe national peripheral vascular disease
(PVD) risk and health burden, and vascular care capacity in Ghana. The gap
between PVD burden and vascular care capacity in low- and middle-income countries
was defined, and capacity improvement priorities were identified. METHODS: Data
to estimate PVD risk factor burden were obtained from the World Health
Organization Study on Global Ageing and Adult Health (SAGE), Ghana, and the
Institute of Health Metrics and Evaluation Global Burden of Disease (IHME GBD)
database. In addition, a novel nationwide assessment of vascular care capacity
was performed, with 20 vascular care items assessed at 40 hospitals in Ghana.
Factors contributing to specific item deficiency were described. RESULTS: From
the SAGE database, there were 4305 respondents aged at least 50 years with data
to estimate PVD risk. Of these, 57.4 per cent were at moderate to risk high of
PVD with at least three risk factors; extrapolating nationally, the estimate was
1 654 557 people. Based on IHME GBD data, the estimated disability-adjusted life
years incurred from PVD increased fivefold from 1990 to 2010 (from 6.3 to 31.7
per 100 000 persons respectively). Vascular care capacity assessment demonstrated
marked deficiencies in items for diagnosis, and in perioperative and vascular
surgical care. Deficiencies were most often due to absence of equipment, lack of
training and technology breakage. CONCLUSION: Risk factor reduction and
management as well as optimization of current resources are paramount to avoid
the large burden of PVD falling on healthcare systems in low- and middle-income
countries. These countries are not well equipped to handle vascular surgical
care, and rapid development of such capacity would be difficult and expensive.
PMID- 26560504
TI - Long-term functional results after open partial horizontal laryngectomy type IIa
and type IIIa: A comparison study.
AB - BACKGROUND: The purpose of this study was to compare long-term swallowing, voice
results, and quality of life (QOL) after open partial horizontal laryngectomy
(OPHL) type IIa and type IIIa. METHODS: Twenty-three patients after OPHL type IIa
and 18 patients after OPHL type IIIa were involved. Swallowing skills and
neoglottis' motility and vibrations were videoendoscopically assessed.
Aerodynamic measures, spectrogram analysis, aspiration pneumonia, body weight
variations, and voice perceptual assessment were performed. Generic voice-related
and swallowing-related QOL were assessed. Data were statistically compared using
Mann-Whitney U test or Fisher exact tests, as appropriate. RESULTS: Significant
differences were found only for the residue with solids and for the
intelligibility (I) parameter of the overall quality impression and
intelligibility, additive and unnecessary noise, speech fluency, and presence of
voiced segments scale with patients of the OPHL type IIIa group showing worse
performances than the OPHL type IIa group. CONCLUSION: Patients who underwent
OPHL type IIa and type IIIa show comparable long-term functional outcomes. OPHL
type IIIa represents a valid surgical alternative to OPHL type IIa. (c) 2015
Wiley Periodicals, Inc. Head Neck 38: E1427-E1435, 2016.
PMID- 26560506
TI - A Youthful Age Identity Mitigates the Effect of Post-Traumatic Stress Disorder
Symptoms on Successful Aging.
PMID- 26560505
TI - Expression of Forkhead box M1 in soft tissue leiomyosarcoma: Clinicopathologic
and in vitro study using a newly established cell line.
AB - Leiomyosarcoma (LMS) of soft tissue is a sarcoma with smooth-muscle
differentiation, and conventional chemotherapy does not improve its outcome. The
application of novel antitumor agents and precise prognostication has been
demanded. The expression of the protein Forkhead box M1 (FOXM1), a member of the
FOX family, is considered an independent predictor of poor survival in many
cancers and sarcomas. However, the expression status of FOXM1 in LMS is poorly
understood. The purposes of this study were to examine the correlation between
the expression of FOXM1 and clinicopathologic or prognostic factors and to
clarify the efficacy of FOXM1 target therapy in LMS. We evaluated the
immunohistochemical expressions of FOXM1 using 123 LMS tumor specimens.
Univariate and multivariate survival analyses revealed that FOXM1 expression was
associated with poor prognosis in LMS. An in vitro study was then carried out to
examine the antitumor effect of a FOXM1 inhibitor (thiostrepton) and siRNA on a
novel LMS cell line, TC616. We also assessed the efficacy of the combined use of
doxorubicin and thiostrepton. Thiostrepton showed dose-dependent antitumor
activity and TC616 cells treated with the combination of thiostrepton and
doxorubicin showed lower proliferation compared to those treated with either drug
individually. FOXM1 interruption by siRNA decreased cell proliferation and
increased chemosensitivity. In conclusion, FOXM1 has potential to be a
therapeutic target for LMS.
PMID- 26560507
TI - The Impact of Young Onset Dementia on Informal Caregivers Compared with Late
Onset Dementia: Results from the NeedYD Study.
AB - OBJECTIVES: The impact of the dementia might be more severe for caregivers of
people with young onset dementia (YOD) compared with those who care for someone
with late onset dementia (LOD), as a young age among caregivers has been
identified as a predictor of increased burden. The present study compares well
being between LOD and YOD caregivers longitudinally because this knowledge is
essential in order to develop adequate support programs. DESIGN, SETTING, AND
PARTICIPANTS: 220 YOD and 108 LOD patient-caregiver dyads were included from two
prospective cohorts with a 2-year follow up. To assess well-being we used the
Short Sense of Competence Questionnaire, the RAND-36, the Symptom Checklist 90,
and the Montgomery Asberg Depression Rating Scale. The severity and the course of
the different measures used to describe caregiver burden were analyzed with
linear mixed models. RESULTS: Caregivers in both groups experienced high levels
of physical and psychological complaints, mild depressive symptoms, lower health
related quality of life (HRQoL), and decreased feelings of competence. The
severity and the course of most measures were similar in both groups, although
HRQoL on both the physical and the mental domain was lower for the YOD
caregivers. CONCLUSIONS: The number of actual psychological and physical
complaints does not differ between YOD and LOD caregivers. YOD caregivers have
greater perceived difficulties in daily life because of these complaints,
however.
PMID- 26560509
TI - Examining the Pathways Between Self-Awareness and Well-Being in Mild to Moderate
Alzheimer Disease.
AB - OBJECTIVE: To investigate the relationship between awareness of memory loss and
psychological well-being in a nonclinically depressed sample of participants with
mild to moderate Alzheimer disease (AD). METHODS: Study participants (N = 104)
enrolled through Columbia University Medical Center and the University of
Pennsylvania completed clinical and cognitive assessments. Participants were
rated with regard to their degree of awareness of memory deficits and completed
questionnaires relating to their psychological well-being, including mood and
quality of life (QOL). Mediating models were used to establish the relationship
between awareness, depression, and QOL and to examine potential mediators of
awareness and depression, including psychological distress, objective memory
deficits, and negative self-ratings. RESULTS: There was a direct association
between awareness of memory deficits and depressed mood but not awareness and
QOL. However, there was an indirect association between awareness and QOL through
depression. Neither psychological distress, memory deficits, nor negative self
ratings mediated the relationship between awareness and depression. CONCLUSION:
Awareness is associated with depressed mood in nonclinically depressed
participants with mild to moderate AD. However, depressed mood does not appear to
reflect the direct psychological reaction to awareness of memory loss. Moreover,
awareness has only an indirect association with QOL via depressed mood. These
results suggest that preserved awareness does not have a direct negative impact
on overall psychological well-being in AD.
PMID- 26560508
TI - Prevalence of Post-Traumatic Stress Disorder in Aging Vietnam-Era Veterans:
Veterans Administration Cooperative Study 569: Course and Consequences of Post
Traumatic Stress Disorder in Vietnam-Era Veteran Twins.
AB - OBJECTIVE: The prevalence of post-traumatic stress disorder (PTSD) among aging
Vietnam-era veterans is not well characterized. METHODS: In a cross-sectional
study, 5,598 male Vietnam-era veterans and members of the Vietnam Era Twin
Registry were assessed for PTSD using the Composite International Diagnostic
Interview. Current symptoms were measured with the PTSD Checklist (PCL). PTSD was
estimated according to age (<60 or >= 60) and Vietnam theater service. RESULTS:
The lifetime prevalence of PTSD in theater veterans aged at least 60 years was
16.9% (95% CI: 13.9%-20.5%) and higher than the 5.5% (95% CI: 4.3%-7.0%) among
nontheater veterans. Among veterans younger than 60 years, the comparable
prevalence was 22.0% for theater (95% CI: 16.7%-28.4%) and 15.7% for nontheater
(95% CI: 13.4%-18.2%) veterans. Similar results were found for theater service
and current PTSD prevalence (past 12 months). PCL scores were significantly
higher in theater compared with nontheater veterans in both younger and older
cohorts. In both the younger and older cohorts significant differences in
lifetime and current PTSD prevalence and PCL scores persisted in theater service
discordant twin pairs. CONCLUSION: Vietnam service is related to elevated PTSD
prevalence and current symptom burden in aging veterans. More than 30 years after
the end of the Vietnam conflict, many veterans continue to suffer from PTSD,
which highlights the need for continuing outreach throughout the life course.
PMID- 26560510
TI - Dual Trajectories of Depression and Cognition: A Longitudinal Population-Based
Study.
AB - OBJECTIVE: To examine the relationships over time between dual trajectories of
depressive symptoms and several cognitive domains. METHODS: In a 5-year
longitudinal study, 1,978 randomly selected individuals aged 65+ years at
recruitment were assessed annually. Repeated measures were of depressive symptoms
on the modified Center for Epidemiologic Studies Depression Scale and composite
scores in the cognitive domains of attention, executive function, memory,
language, and visuospatial function. Latent class trajectories were identified
for depression and for each cognitive domain and their associations investigated
using dual trajectory modeling. Cognitive trajectories with z scores below -1
were designated as persistently low. RESULTS: Five depressive symptom
trajectories were observed: rarely depressed (60.5%); low-grade, decreasing
symptoms (18.5%); low-grade, increasing symptoms (9.6%); moderate-grade symptoms
(7.4%); and consistent higher-grade symptoms (4.0%). For each cognitive domain
six trajectories were observed. The rarely depressed and low-grade decreasing
symptom groups were the least likely to have persistently low cognition. The
symptom trajectory most strongly associated with persistently low functioning in
each domain was not the higher-grade group but rather the low-grade increasing
group in the case of attention and the moderate-grade trajectory in the other
four domains. CONCLUSION: Consistently higher-grade depressive symptoms are less
strongly associated with poor cognitive functioning than with either moderate- or
low-grade increasing depressive symptom trajectories, over time and across
different domains. Examining both depression and cognition longitudinally allows
heterogeneity of both to be addressed, revealing latent groups with potential
diagnostic and prognostic implications.
PMID- 26560511
TI - Tetrahydrocannabinol in Behavioral Disturbances in Dementia: A Crossover
Randomized Controlled Trial.
AB - OBJECTIVES: Neuropsychiatric symptoms (NPS) are highly prevalent in dementia, but
effective pharmacotherapy without important side effects is lacking. This study
aims to assess the efficacy and safety of oral tetrahydrocannabinol (THC) in the
treatment of NPS in dementia. DESIGN: Randomized, double-blind, placebo
controlled, repeated crossover trial, consisting of six treatment blocks of 2
weeks each. SETTING: Two hospital sites in The Netherlands, September 2011 to
December 2013. PARTICIPANTS: Patients with dementia and clinically relevant NPS.
INTERVENTION: Within each block THC (0.75 mg twice daily in blocks 1-3 and 1.5 mg
twice daily in blocks 4-6) and placebo were administered in random order for 3
consecutive days, followed by a 4-day washout. MEASUREMENTS: Primary outcome was
change in Neuropsychiatric Inventory (NPI) score. Analyses were performed
intention-to-treat. Data from all subjects were used without imputation. Sample
size required for a power of 80% was 20 patients, because of repeated crossover.
RESULTS: 22 patients (15 men, mean age 76.4 [5.3] years) were included, of whom
20 (91%) completed the trial. THC did not reduce NPI compared to placebo (blocks
1-3: 1.8, 97.5% CI: -2.1 to 5.8; blocks 4-6: -2.8, 97.5% CI: -7.4 to 1.8). THC
was well tolerated, as assessed by adverse event monitoring, vital signs, and
mobility. The incidence of adverse events was similar between treatment groups.
Four non-related serious adverse events occurred. CONCLUSIONS: This is the
largest randomized controlled trial studying the efficacy of THC for NPS, to
date. Oral THC did not reduce NPS in dementia, but was well tolerated by these
vulnerable patients, supporting future higher dosing studies.
PMID- 26560513
TI - Self-Rated and Caregiver-Rated Quality of Life in Alzheimer Disease with a Focus
on Evolving Patient Ability to Respond to Questionnaires: 5-Year Prospective
ALSOVA Cohort Study.
AB - OBJECTIVE: To examine and compare self-rated and caregiver-rated measures of
quality of life (QoL) in relation to disease progression in patients with very
mild or mild Alzheimer disease (AD) and at what disease stage patient's ability
to respond to QoL questionnaires with or without assistance begins to diminish.
METHODS: 236 patients with very mild or mild AD and their family caregivers from
three Finnish hospital districts participated in this prospective, longitudinal
study with 5 years of follow-up. Three patient-reported instruments were used to
assess QoL (the generic 15D, the Quality of Life in Alzheimer Disease [QoL-AD]
questionnaire, and a visual analogue scale) as well as one caregiver-rated
assessment of patient QoL (QoL-AD). AD severity was evaluated with the Clinical
Dementia Rating Scale - Sum of Boxes (CDR-SOB). RESULTS: All self- and caregiver
rated QoL estimates correlated with AD severity. The self- and caregiver-rated
QoL scores began to diverge even with very mild cognitive impairment after CDR
SOB reached 4, the value that corresponds with a Mini-Mental State Examination
(MMSE) score of 25-30. Patients also began to need assistance in responding to
questionnaires at very early stages of AD (CDR-SOB score: 4-6). Furthermore,
their ability to respond to QoL questionnaires with or without assistance
declined after CDR-SOB reached 11 points, a value that correlates with an early
moderate stage of AD and MMSE score of 11-20. CONCLUSIONS: AD patients' self
rated QoL ratings are much more insensitive to disease progression than caregiver
ratings.
PMID- 26560514
TI - Recent updates in chronic obstructive pulmonary disease.
AB - Chronic obstructive pulmonary disease (COPD), characterized by chronic airways
inflammation and progressive airflow limitation, is a common, preventable and
treatable disease. Worldwide, COPD is a major cause of morbidity and mortality;
smoking tobacco is the most important risk factor. This translational review of
recent updates in COPD care for the primary care audience, includes
recommendations from the 2015 Global Initiative for chronic obstructive lung
disease (GOLD) report on diagnosis, pharmacological and non-pharmacological
treatment, prevalence of comorbidities, management of exacerbations and the
asthma and COPD overlap syndrome, with a focus on the importance and benefit of
physical activity and exercise in COPD patients. Exacerbations and comorbidities
contribute to the overall severity of COPD in individual patients. Management of
exacerbations includes reducing the impact of the current exacerbation and
preventing development of subsequent episodes. Healthcare professionals need to
be alert to comorbidities, such as cardiovascular disease, anxiety/depression,
lung cancer, infections and diabetes, which are common in COPD patients and can
have a significant impact on HRQoL and prognosis. Pulmonary rehabilitation is
recommended by a number of guidelines for all symptomatic COPD patients,
regardless of severity, and involves exercise training, patient education,
nutritional advice and psychosocial support. At all stages of COPD, regular
physical activity and exercise can aid symptom control, improve HRQoL, reduce
rates of hospitalization, and improve morbidity and respiratory mortality.
Healthcare professionals play a pivotal role in improving HRQoL and health
related outcomes in COPD patients to meet their specific needs and in providing
appropriate diagnosis, management and advice on smoking cessation.
PMID- 26560512
TI - Relationship Between Cerebrovascular Risk, Cognition, and Treatment Outcome in
Late-Life Psychotic Depression.
AB - OBJECTIVE: To examine whether cerebrovascular risk, executive function, and
processing speed are associated with acute treatment outcome of psychotic
depression in older adults. METHODS: The authors analyzed data from 142 persons
aged 60 years or older with major depression with psychotic features who
participated in a 12-week randomized controlled trial (RCT) comparing olanzapine
plus sertraline with olanzapine plus placebo. The independent variables were
baseline cerebrovascular risk (Framingham Stroke Risk Score), baseline executive
function (Stroop interference score and the initiation/perseveration subscale of
the Mattis Dementia Rating Scale), and baseline processing speed (color and word
reading components of the Stroop). The outcome variable was change in severity of
depression, measured by the 17-item Hamilton Depression Rating Scale total score,
during the course of the RCT. RESULTS: Greater baseline cerebrovascular risk was
significantly associated with less improvement in depression severity over time,
after controlling for pertinent covariates. Neither executive function nor
processing speed predicted outcome. CONCLUSION: This study suggests an
association of cerebrovascular risk, but not executive function or processing
speed, with treatment outcome of major depression with psychotic features in
older adults.
PMID- 26560515
TI - CHEST Annual meeting 2015.
PMID- 26560517
TI - Development and demonstration of a state model for the estimation of incidence of
partly undetected chronic diseases.
AB - BACKGROUND: Estimation of incidence of the state of undiagnosed chronic disease
provides a crucial missing link for the monitoring of chronic disease epidemics
and determining the degree to which changes in prevalence are affected or biased
by detection. METHODS: We developed a four-part compartment model for undiagnosed
cases of irreversible chronic diseases with a preclinical state that precedes the
diagnosis. Applicability of the model is tested in a simulation study of a
hypothetical chronic disease and using diabetes data from the Health and
Retirement Study (HRS). RESULTS: A two dimensional system of partial differential
equations forms the basis for estimating incidence of the undiagnosed and
diagnosed disease states from the prevalence of the associated states. In the
simulation study we reach very good agreement between the estimates and the true
values. Application to the HRS data demonstrates practical relevance of the
methods. DISCUSSION: We have demonstrated the applicability of the modeling
framework in a simulation study and in the analysis of the Health and Retirement
Study. The model provides insight into the epidemiology of undiagnosed chronic
diseases.
PMID- 26560518
TI - Weather and Prey Predict Mammals' Visitation to Water.
AB - Throughout many arid lands of Africa, Australia and the United States, wildlife
agencies provide water year-round for increasing game populations and enhancing
biodiversity, despite concerns that water provisioning may favor species more
dependent on water, increase predation, and reduce biodiversity. In part,
understanding the effects of water provisioning requires identifying why and when
animals visit water. Employing this information, by matching water provisioning
with use by target species, could assist wildlife management objectives while
mitigating unintended consequences of year-round watering regimes. Therefore, we
examined if weather variables (maximum temperature, relative humidity [RH], vapor
pressure deficit [VPD], long and short-term precipitation) and predator-prey
relationships (i.e., prey presence) predicted water visitation by 9 mammals. We
modeled visitation as recorded by trail cameras at Sevilleta National Wildlife
Refuge, New Mexico, USA (June 2009 to September 2014) using generalized linear
modeling. For 3 native ungulates, elk (Cervus Canadensis), mule deer (Odocoileus
hemionus), and pronghorn (Antilocapra americana), less long-term precipitation
and higher maximum temperatures increased visitation, including RH for mule deer.
Less long-term precipitation and higher VPD increased oryx (Oryx gazella) and
desert cottontail rabbits (Sylvilagus audubonii) visitation. Long-term
precipitation, with RH or VPD, predicted visitation for black-tailed jackrabbits
(Lepus californicus). Standardized model coefficients demonstrated that the
amount of long-term precipitation influenced herbivore visitation most. Weather
(especially maximum temperature) and prey (cottontails and jackrabbits) predicted
bobcat (Lynx rufus) visitation. Mule deer visitation had the largest influence on
coyote (Canis latrans) visitation. Puma (Puma concolor) visitation was solely
predicted by prey visitation (elk, mule deer, oryx). Most ungulate visitation
peaked during May and June. Coyote, elk and puma visitation was relatively
consistent throughout the year. Within the diel-period, activity patterns for
predators corresponded with prey. Year-round water management may favor species
with consistent use throughout the year, and facilitate predation. Providing
water only during periods of high use by target species may moderate unwanted
biological costs.
PMID- 26560516
TI - Neuropilin-2 Regulates Endosome Maturation and EGFR Trafficking to Support Cancer
Cell Pathobiology.
AB - Neuropilin-2 (NRP2) is a non-tyrosine kinase receptor frequently overexpressed in
various malignancies, where it has been implicated in promoting many
protumorigenic behaviors, such as imparting therapeutic resistance to metastatic
cancer cells. Here, we report a novel function of NRP2 as a regulator of
endocytosis, which is enhanced in cancer cells and is often associated with
increased metastatic potential and drug resistance. We found that NRP2 depletion
in human prostate and pancreatic cancer cells resulted in the accumulation of
EEA1/Rab5-positive early endosomes concomitant with a decrease in Rab7-positive
late endosomes, suggesting a delay in early-to-late endosome maturation. NRP2
depletion also impaired the endocytic transport of cell surface EGFR, arresting
functionally active EGFR in endocytic vesicles that consequently led to aberrant
ERK activation and cell death. Mechanistic investigations revealed that WD-repeat
and FYVE-domain-containing protein 1 (WDFY1) functioned downstream of NRP2 to
promote endosome maturation, thereby influencing the endosomal trafficking of
EGFR and the formation of autolysosomes responsible for the degradation of
internalized cargo. Overall, our results indicate that the NRP2/WDFY1 axis is
required for maintaining endocytic activity in cancer cells, which supports their
oncogenic activities and confers drug resistance. Therefore, therapeutically
targeting endocytosis may represent an attractive strategy to selectively target
cancer cells in multiple malignancies.
PMID- 26560519
TI - Interrelationships between glutamine and citrulline metabolism.
AB - PURPOSE OF REVIEW: This article analyzes the contribution of glutamine to the
synthesis of citrulline and reviews the evidence that glutamine supplementation
increases citrulline production. RECENT FINDINGS: Glutamine supplementation has
been proposed in the treatment of critically ill patients; however, a recent
large multicenter randomized controlled trial resulted in increased mortality in
the glutamine-supplemented group. Within this context, defining the contribution
of glutamine to the production of citrulline, and thus to de-novo arginine
synthesis, has become a pressing issue. SUMMARY: The beneficial effects of
glutamine supplementation may be partially mediated by the effects of glutamine
on citrulline synthesis by the gut and the de-novo synthesis of arginine by the
kidney and other tissues. Although there is no strong evidence to support that
glutamine is a major precursor for citrulline synthesis in humans, glutamine has
the potential to increase overall gut function and in this way increase
citrulline production.
PMID- 26560521
TI - The biology of the metabolic syndrome and aging.
AB - PURPOSE OF REVIEW: Aging of the world population is a major contributor to the
growing prevalence of the metabolic syndrome, as older persons are frequently
affected by the constellation of cardiovascular and metabolic risk factors that
constitute the syndrome. The metabolic syndrome has been related to the
increasing prevalence of obesity, which is escalating even among older age
groups. The present review covers data on the novel proposed biological mediators
of the metabolic syndrome, which are as well linked to the aging process. RECENT
FINDINGS: Relevant biological mediators of metabolic syndrome and unhealthy aging
include sarcopenic obesity, insulin resistance with ectopic fat accumulation,
magnesium metabolism alterations, systemic and hypothalamic inflammation,
shortening of telomeres length, epigenetics, and circadian rhythm disturbances.
SUMMARY: Metabolic syndrome is related to increased accumulation of central
adiposity and ectopic fat infiltration in the skeletal muscle and the liver,
linked to overeating and sedentarism with deleterious consequences in late life.
Obesity may be complicated with sarcopenia, which refers to loss of muscle mass,
strength, and quality in older populations. Prevention of obesity and metabolic
syndrome is a priority through the promotion of healthier lifestyles and policies
for sugar and saturated fats, which might be widely implemented.
PMID- 26560520
TI - Protein anabolic resistance in cancer: does it really exist?
AB - PURPOSE OF REVIEW: Preventing unintentional weight and muscle loss is of crucial
importance to maintain the condition and well-being of patients with cancer,
improve treatment response and tolerance, and prolong survival. Anabolic
resistance might explain why some cancer patients do not respond to nutritional
intervention, but does recent evidence actually support this? We will discuss
recent literature that casts doubt on attenuated anabolic potential in cancer.
RECENT FINDINGS: Although anabolic resistance was observed in the past, more
recent studies have shown that advanced cancer patients have an anabolic
potential after intake of high-quality proteins. Furthermore, a consistent linear
relationship is observed in cancer between (essential) amino acid availability
from the diet and net protein gain. The studied cancer patients, however, were
often characterized by a normal or obese body weight, following the trend in the
general population, and mild systemic inflammation. Factors like recent
chemotherapy, surgery, or cachexia do not seem to attenuate the anabolic
potential to feeding. SUMMARY: Cancer patients have a normal anabolic potential
which relates to the amount of essential amino acids in the meal. It remains to
be determined if this is also the case in weak cancer patients with a short life
expectancy and high systemic inflammation.
PMID- 26560522
TI - The conserved role of protein restriction in aging and disease.
AB - PURPOSE OF REVIEW: Dietary interventions are effective strategies for preventing
disease and promoting health span. Many of the effects of dietary restriction are
linked to amino acid and protein levels and their regulation of nutrient
signaling pathways. Thus, protein restriction is a promising therapeutic strategy
for preventing aging-related diseases and extending life span. RECENT FINDINGS:
Studies in yeast and flies have shown that amino acid restriction promotes
longevity and protection. In rodents, protein restriction extends life span and
alleviates detrimental aging phenotypes. Finally, clinical trials in middle-aged
adults have demonstrated the role of a protein-restricted diet in promoting
health span. Interestingly, the population over the age of 65 may not benefit
from severe protein restriction potentially because of the increased
physiological decline that leads to decreased amino acid absorption and altered
protein synthesis. SUMMARY: Protein restriction can have profound effects on
health and longevity, but excessive restriction is detrimental, particularly in
the very old. The investigation of the mechanisms that modulate nutrient-sensing
pathways is important to understand how regulation of protein intake can optimize
health span and longevity.
PMID- 26560523
TI - Mood, food, and cognition: role of tryptophan and serotonin.
AB - PURPOSE OF REVIEW: Food is not only necessary as a metabolic fuel for the body,
it becomes more and more evident that there exists an association between food
and brain functions like mood and cognition. Tryptophan represents a key element
for brain functioning, because of its role as a precursor for production of
neurotransmitter serotonin (5-hydroxytryptamine). In clinical conditions, which
involve chronic immune system activation or under cytokine therapy, lower
tryptophan levels because of high catabolism of tryptophan as indicated by the
kynurenine to tryptophan ratio are common and often associate with depressive
mood. RECENT FINDINGS: Studies in the in vitro model of mitogen-stimulated
peripheral blood mononuclear cells revealed that several phytocompounds, mainly
antioxidants like polyphenols and vitamins, can interfere with inflammatory
signaling cascades including tryptophan breakdown. If extrapolated to the in vivo
situation, such compounds could increase blood and brain tryptophan availability
for serotonin production. Although there is some in vivo evidence for the effect
of such compounds, outcomes are hardly predictable and most likely depend on the
individual's immunological state. SUMMARY: Not only a diet rich in tryptophan but
also a diet rich in antioxidants can have a positive impact on mood and
cognition. This could be of special relevance for individuals who present with
low grade inflammation conditions.
PMID- 26560524
TI - The ageing gastrointestinal tract.
AB - PURPOSE OF REVIEW: This article reviews the impact of ageing on the
gastrointestinal tract, including effects on the absorption of nutrients and
drugs and the gastrointestinal tract defence system against ingested pathogens.
RECENT FINDINGS: Recent publications support earlier observations of an age
related selective decline in gut function including changes in taste, oesophageal
sphincter motility, gastric emptying, and neurons of the myenteric plexus related
to gut transit which may impact the nutritional status. Ageing is also associated
with structural and functional mucosal defence defects, diminished abilities to
generate protective immunity, and increased incidence of inflammation and
oxidative stress. A number of gastrointestinal disorders occur more frequently in
the elderly population. SUMMARY: Alterations in gut function with ageing have
particular implications for oesophageal, gastric, and colonic motility. Older
individuals are particularly susceptible to malnutrition, postprandial
hypotension, dysphagia, constipation, and faecal incontinence. Decrease in the
number of nerve cells of the myenteric plexus that impact digestive absorption
and the surface area of the small intestine because of degeneration of villi may
lead to blunted absorption of nutrients. Impairment of the intestinal immune
system as a result of ageing, including the mucosal layer of the gastrointestinal
tract, appears to be a significant contributor to the age-related increase in the
incidence and severity of infections.
PMID- 26560525
TI - Amino acid sensing and activation of mechanistic target of rapamycin complex 1:
implications for skeletal muscle.
AB - PURPOSE OF REVIEW: This article evaluates recent studies on the mechanisms
involved in sensing changes in amino acid availability and activation of the
mechanistic target of rapamycin complex 1 (mTORC1). RECENT FINDINGS: mTORC1 is
sensitive to changes in amino acid availability and a well known regulator of
protein turnover. The mechanisms of amino acid sensing and mTORC1 signaling are
emerging with multiple potential sensors (e.g., solute carrier family 38, member
9, lysosomal protein transmembrane 4 beta/solute carrier family 7, member 5
solute carrier family 3, member 2) and signal transducers (e.g., Sestrins, ADP
ribosylation factor 1, and microspherule protein 1) identified. Studies in
various cell lines have unveiled the importance of the lysosome in amino acid
sensing and signal transmission. SUMMARY: Recent discoveries in amino acid
sensing highlight a complex scenario, whereby mTORC1 is not merely sensitive to
some amino acids and not others, but where specific amino acids are sensed by
specific pathways under specific conditions. The physiological purpose of such an
arrangement remains to be unraveled, but it would allow mTORC1 to precisely
regulate growth during different metabolic conditions. Understanding the
mechanisms responsible for sensing amino acid availability and regulating mTORC1
activity is an important prerequisite for the development of nutritional
strategies to combat skeletal muscle wasting disorders.
PMID- 26560526
TI - Nutrient interface with biology and aging.
AB - PURPOSE OF REVIEW: To highlight recent conundrums in the interface of nutrition,
biology and aging. RECENT FINDINGS: A Mediterranean diet with extra virgin olive
oil, or similar plant-based diets, including five helpings of fruit and
vegetables, exercise and nonsmoking are the mainstays of aging successfully.
Recent studies have questioned the utility of weight loss in older persons, the
use of antioxidant vitamin supplements as well as the appropriate level of sodium
intake. The understanding of the role of ethnicity in the levels of vitamin D
binding protein has questioned the measurement of 25(OH)vitamin D by itself. Gut
microbiota may also appear important for aging. SUMMARY: Continuous scientific
advances are leading us to question whether some of our nutrient beliefs need to
be altered in older persons.
PMID- 26560527
TI - Microbiota and aging.
AB - PURPOSE OF REVIEW: This article summarizes our current knowledge of changes in
the intestinal microbiota in elderly people and centenarians. RECENT FINDINGS:
Age-related processes comprise specific changes in the intestinal microbiota and
related metabolic alterations. They result in 'inflamm-aging', which is
associated with age-related inflammatory processes and diseases, including
cachexia, frailty, cancer, and metabolic as well as neurological diseases. Age
related microbial changes consist of an increase in proteolytic bacteria and a
decrease in saccharolytic bacteria. These changes are associated with sarcopenia
and longevity, and might be attenuated by pre and probiotics. These findings
could explain, at least in part, why probiotics have been successfully used in
elderly people for the treatment of respiratory and gastrointestinal infections,
and for the enhancement of vaccination responses. SUMMARY: The intestinal
microbiota changes with age. These changes are of relevance in regard to
morbidity and mortality in the elderly population. Dietetic (probiotics,
prebiotics) and other lifestyle interventions might delay, or even reverse, such
alterations.
PMID- 26560528
TI - Living in interesting times--challenging protein metabolism in the era of the
epidemiological shift.
PMID- 26560529
TI - Recent developments in percutaneous mitral valve treatment.
AB - In recent years, various percutaneous techniques have been introduced for the
treatment of mitral regurgitation (MR), including direct leaflet repair,
annuloplasty and left ventricular remodeling. Percutaneous mitral repair targets
both primary degenerative and secondary mitral valve regurgitation and may be
considered in selected high-surgical-risk patients. The assessment of mitral
functional anatomy by echocardiography and computed tomography is crucial when
selecting the appropriate repair strategy, according to the regurgitant valve
lesion and the surrounding anatomy. The ongoing clinical use of new devices in
annuloplasty and percutaneous mitral valve replacement is a promising new
scenario in the treatment of MR that goes beyond the conventional surgical
approach.
PMID- 26560530
TI - Transglutaminase inhibitors: a patent review.
AB - INTRODUCTION: Transglutaminases (TGases) are a class of enzymes that play
multifunctional roles. Their protein-crosslinking activity has been linked to
fibrosis and Huntington's disease, their glutamine deamidation activity has been
related to celiac disease and their GTP-binding activity has been implicated in
cancer. All of these physiological disorders have prompted the development of
inhibitors, which has accelerated dramatically over the past decade. AREAS
COVERED: This review presents an overview of TGase inhibitors published in the
patent literature, from the first compounds developed in the late 1980's, to the
current date. This article is focussed on the chemical structure of new
inhibitors and their probable mechanism of action. EXPERT OPINION: Comparison of
effective TGase inhibitors reveals common structural features that may guide
future design. Many of these elements are embodied in the first TGase inhibitor
to recently enter into clinical trials.
PMID- 26560531
TI - Eco-physiological adaptation shapes the response of calcifying algae to nutrient
limitation.
AB - The steady increase in global ocean temperature will most likely lead to nutrient
limitation in the photic zone. This will impact the physiology of marine algae,
including the globally important calcifying coccolithophores. Understanding their
adaptive patterns is essential for modelling carbon production in a low-nutrient
ocean. We investigated the physiology of Helicosphaera carteri, a representative
of the abundant but under-investigated flagellated functional group of
coccolithophores. Two strains isolated from contrasting nutrient regimes (South
Atlantic and Mediterranean Sea) were grown in phosphorus-replete and phosphorus
limited batch cultures. While growing exponentially in a phosphorus-replete
medium, the Mediterranean strain exhibited on average 24% lower growth rate, 36%
larger coccosphere volume and 21% lower particulate inorganic carbon (PIC)
production than the Atlantic strain. Under phosphorus limitation, the same strain
was capable of reaching a 2.6 times higher cell density than the Atlantic strain
due to lower phosphorus requirements. These results suggest that local
physiological adaptation can define the performance of this species under
nutrient limitation.
PMID- 26560533
TI - Simultaneous Detection of Major Drug Resistance Mutations of HIV-1 Subtype B
Viruses from Dried Blood Spot Specimens by Multiplex Allele-Specific Assay.
AB - A multiplex allele-specific (MAS) assay has been developed for the detection of
HIV-1 subtype C drug resistance mutations (DRMs). We have optimized the MAS assay
to determine subtype B DRMs in dried blood spots (DBS) collected from patients on
antiretroviral therapy. The new assay accurately detected DRMs, including low
abundance mutations that were often missed by Sanger sequencing.
PMID- 26560532
TI - Multicenter Evaluation of the Xpert Norovirus Assay for Detection of Norovirus
Genogroups I and II in Fecal Specimens.
AB - Norovirus is the most common cause of sporadic gastroenteritis and outbreaks
worldwide. The rapid identification of norovirus has important implications for
infection prevention measures and may reduce the need for additional diagnostic
testing. The Xpert Norovirus assay recently received FDA clearance for the
detection and differentiation of norovirus genogroups I and II (GI and GII),
which account for the vast majority of infections. In this study, we evaluated
the performance of the Xpert Norovirus assay with both fresh, prospectively
collected (n = 914) and frozen, archived (n = 489) fecal specimens. A Centers for
Disease Control and Prevention (CDC) composite reference method was used as the
gold standard for comparison. For both prospective and frozen specimens, the
Xpert Norovirus assay showed positive percent agreement (PPA) and negative
percent agreement (NPA) values of 98.3% and 98.1% for GI and of 99.4% and 98.2%
for GII, respectively. Norovirus prevalence in the prospective specimens
(collected from March to May of 2014) was 9.9% (n = 90), with the majority of
positives caused by genogroup II (82%, n = 74). The positive predictive value
(PPV) of the Xpert Norovirus assay was 75% for GI-positive specimens, whereas it
was 86.5% for GII-positive specimens. The negative predictive values (NPV) for GI
and GII were 100% and 99.9%, respectively.
PMID- 26560534
TI - HemaSpot, a Novel Blood Storage Device for HIV-1 Drug Resistance Testing.
AB - HemaSpot, a novel dried-blood storage filter device, was used for HIV-1 pol
resistance testing in 30 fresh United States blood samples and 54 previously
frozen Kenyan blood samples. Genotyping succeeded in 79% and 58% of samples,
respectively, improved with shorter storage and higher viral load, and had good
(86%) resistance mutation concordance to plasma.
PMID- 26560535
TI - Two Serious Cases of Infection with Clostridium celatum after 40 Years in Hiding?
AB - Clostridium celatum [ce.la'tum. L. adj. celatum hidden] has been known since
1974, when it was isolated from human feces. In 40 years, no association with
human infection has been reported. In this work, we present two serious cases of
infection with the anaerobic Gram-positive rod Clostridium celatum.
PMID- 26560536
TI - Epidemiology of Invasive Group A Streptococcal Disease in Alaska, 2001 to 2013.
AB - The Arctic Investigations Program (AIP) began surveillance for invasive group A
streptococcal (GAS) infections in Alaska in 2000 as part of the invasive
bacterial diseases population-based laboratory surveillance program. Between 2001
and 2013, there were 516 cases of GAS infection reported, for an overall annual
incidence of 5.8 cases per 100,000 persons with 56 deaths (case fatality rate,
10.7%). Of the 516 confirmed cases of invasive GAS infection, 422 (82%) had
isolates available for laboratory analysis. All isolates were susceptible to
penicillin, cefotaxime, and levofloxacin. Resistance to tetracycline,
erythromycin, and clindamycin was seen in 11% (n = 8), 5.8% (n = 20), and 1.2% (n
= 4) of the isolates, respectively. A total of 51 emm types were identified, of
which emm1 (11.1%) was the most prevalent, followed by emm82 (8.8%), emm49
(7.8%), emm12 and emm3 (6.6% each), emm89 (6.2%), emm108 (5.5%), emm28 (4.7%),
emm92 (4%), and emm41 (3.8%). The five most common emm types accounted for 41% of
isolates. The emm types in the proposed 26-valent and 30-valent vaccines
accounted for 56% and 78% of all cases, respectively. GAS remains an important
cause of invasive bacterial disease in Alaska. Continued surveillance of GAS
infections will help improve understanding of the epidemiology of invasive
disease, with an impact on disease control, notification of outbreaks, and
vaccine development.
PMID- 26560537
TI - Robust Real-Time Reverse Transcription-PCR for Detection of Foot-and-Mouth
Disease Virus Neutralizing Carryover Contamination.
AB - During an outbreak of foot-and-mouth disease (FMD), real-time reverse
transcription-PCR (rRT-PCR) is the most commonly used diagnostic method to detect
viral RNA. However, while this assay is often conducted during the outbreak
period, there is an inevitable risk of carryover contamination. This study shows
that the carryover contamination can be prevented by the use of target-specific
restriction endonuclease in that assay.
PMID- 26560538
TI - Peaks of Promise and Peril: Screening for Antibiotic Resistance by Matrix
Assisted Laser Desorption Ionization-Time of Flight Mass Spectrometry.
AB - An article in this issue of the Journal of Clinical Microbiology (J.-H. Youn, S.
K. Drake, R. A. Weingarten, K. M. Frank, J. P. Dekker, and A. F. Lau, J Clin
Microbiol 53:35-42, 2015, http://dx.doi.org/10.1128/JCM.01643-15) describes the
use of matrix-assisted laser desorption ionization-time of flight (MALDI-TOF)
mass spectrometry for the detection of organisms carrying a blaKPC-containing
plasmid. This powerful and promising application highlights the challenges of
using MALDI-TOF mass spectrometry for purposes other than organism
identification.
PMID- 26560539
TI - Occurrence of Fungal DNA Contamination in PCR Reagents: Approaches to Control and
Decontamination.
AB - Nucleic acid amplification techniques permitting sensitive and rapid screening in
patients at risk for invasive fungal infections are an important addition to
conventional fungal diagnostic methods. However, contamination with fungal DNA
may be a serious threat to the validity of fungal amplification-based assays.
Besides rigorous handling procedures to avoid false-positive test results from
exogenous sources, we have implemented protocols for comprehensive assessment of
fungal contamination in all materials involved in the analytical process. Traces
of fungal DNA were found in different commercially available PCR reagents,
including lyophilized primers, TaqMan probes, and master mix solutions. These
contaminants resulted in a considerable rate of false-positive tests in panfungal
real-time PCR analysis. To address this problem, we have established a
decontamination protocol based on the activity of a double-strand specific DNase.
Using this approach, we have significantly reduced the frequency of false
positive test results attributable to contaminated reagents. On the basis of our
findings, we strongly recommend routine monitoring of all reagents used in fungal
PCR assays for the presence of relevant contaminants. As long as fungal-grade
reagents are not readily available, pretreatment methods facilitating elimination
of fungal DNA are critical for reducing the risk of false-positive results in
highly sensitive molecular fungal detection assays.
PMID- 26560540
TI - Performance of a Novel Point-of-Care Molecular Assay for Detection of Influenza A
and B Viruses and Respiratory Syncytial Virus (Enigma MiniLab) in Children with
Acute Respiratory Infection.
AB - The performance of the Enigma MiniLab assay for influenza A and B viruses and
respiratory syncytial virus (RSV) was compared to a centralized laboratory
respiratory virus panel. The positive and negative percent agreement for
influenza A virus, influenza B virus, and RSV were 79.2% (95% confidence interval
[95% CI], 57.8 to 92.9%) and 99.4% (95% CI, 98.4 to 99.9), 100% (95% CI, 47.8 to
100%) and 100% (95% CI, 99.3 to 100%), 98.5% (95% CI, 94.6 to 99.8%) and 94.5%
(95% CI, 91.9 to 96.4%), respectively.
PMID- 26560541
TI - Misidentification of a Rare Species, Cryptococcus laurentii, by Commonly Used
Commercial Biochemical Methods and Matrix-Assisted Laser Desorption Ionization
Time of Flight Mass Spectrometry Systems: Challenges for Clinical Mycology
Laboratories.
AB - Forty-two putative Cryptococcus laurentii isolates identified by the Vitek 2
system were collected in China. The gold standard, internal transcribed spacer
(ITS) sequencing, confirmed that only two isolates were genuine C. laurentii.
Bruker Biotyper matrix-assisted laser desorption ionization-time of flight mass
spectrometry was able to identify the C. laurentii isolates with an expanded
custom database.
PMID- 26560542
TI - Comparison of illumigene Group A Streptococcus Assay with Culture of Throat Swabs
from Children with Sore Throats in the New Zealand School-Based Rheumatic Fever
Prevention Program.
AB - Group A streptococcal (GAS) pharyngitis is a particularly important condition in
areas of New Zealand where the incidence of acute rheumatic fever remains
unacceptably high. Prompt diagnosis and treatment of GAS pharyngitis are
cornerstones of the Rheumatic Fever Prevention Programme, but these are hindered
by the turnaround time of culture. Tests with excellent performance and rapid
turnaround times are needed. For this study, throat swabs (Copan ESwabs) were
collected from schoolchildren self-identifying with a sore throat. Samples were
tested by routine culture and the illumigene GAS assay using loop-mediated
isothermal amplification. Discrepant results were resolved by retesting of the
same specimen by an alternative molecular assay. Seven hundred fifty-seven throat
swab specimens were tested by both methods. The performance characteristics of
the illumigene assay using culture on blood agar as the "gold standard" and
following discrepancy analysis were as follows: sensitivity, 82% and 87%,
respectively; specificity, 93% and 98%, respectively; positive predictive value,
61% and 88%, respectively; and negative predictive value, 97% and 97%,
respectively. In our unique setting of a school-based throat swabbing program,
the illumigene assay did not perform quite as well as described in previous
reports. Despite this, its improved sensitivity and rapid turnaround time
compared with those of culture are appealing.
PMID- 26560543
TI - Comparison of Six Automated Treponema-Specific Antibody Assays.
AB - Six different Treponema (TP)-specific immunoassays were compared to the
fluorescent treponemal antibody absorption (FTA-ABS) test. A total of 615 samples
were tested. The overall percent agreement, analytical sensitivity, and
analytical specificity of each assay compared to the FTA-ABS test were as
follows: Architect Syphilis TP, 99.2%, 96.8%, and 100%; Cobas Syphilis, 99.8%,
99.4%, and 100%; ADVIA Centaur Syphilis, 99.8%, 99.4%, and 100%; HISCL Anti-TP
assay kit, 99.7%, 98.7%, and 100%; Immunoticles Auto3 TP, 99.0%, 97.5%, and
99.6%; Mediace TPLA, 98.0%, 98.1%, and 98.0%. All results that were discrepant
between the TP-specific assays were associated with samples from noninfectious
cases (11 immunoassay false positives and 7 from previous syphilis cases). Our
study demonstrated that TP-specific immunoassays generally showed high
sensitivities, specificities, and percentages of agreement compared to FTA-ABS,
with rare cases of false-positive or false-negative results. Therefore, most TP
specific immunoassays are acceptable for use in screening for syphilis. However,
it is important to perform a thorough review of a patient's clinical and
treatment history for interpreting the results of syphilis serology.
PMID- 26560545
TI - Veterinary Students' Recollection Methods for Surgical Procedures: A Qualitative
Study.
AB - When veterinary students face their first live animal surgeries, their level of
anxiety is generally high and this can affect their ability to recall the
procedure they are about to undertake. Multimodal teaching methods have
previously been shown to enhance learning and facilitate recall; however, student
preferences for recollection methods when translating theory into practice have
not been documented. The aim of this study was to investigate veterinary
students' experience with recollection of a surgical procedure they were about to
perform after using multiple methods for preparation. From a group of 171
veterinary students enrolled in a basic surgery course, 26 students were randomly
selected to participate in semi-structured interviews. Results showed that 58% of
the students used a visual, dynamic method of recollection, mentally visualizing
the video they had watched as part of their multimodal preparation. A mental
recipe was used by 15%, whereas 12% mentally visualized their own notes. The
study provides new information regarding veterinary students' methods of
recollection of surgical procedures and indicates that in Danish veterinary
students, a visual dynamic method is the most commonly used. This is relevant
information in the current educational situation, which uses an array of
educational tools, and it stresses the importance of supporting the traditional
surgical teaching methods with high-quality instructional videos.
PMID- 26560546
TI - Development and Use of an Interactive Computerized Dog Model to Evaluate Cranial
Nerve Knowledge in Veterinary Students.
AB - In veterinary medicine, the cognitive skills necessary to interpret neurological
disorders from text-based case descriptions may not translate into the diagnostic
capabilities required for clinical neurological patients. As live animals
exhibiting certain specific neurological disorders are infrequent during a
student's exposure to clinics, students may graduate without the experience
necessary to make an accurate diagnosis in the field. To address this, we have
developed a computerized simulated dog head that can exhibit cranial nerve
dysfunctions and respond to specific testing procedures in a clinically accurate
manner. To evaluate whether this type of model could add value to traditional
student assessments, we created a multiple-choice quiz system with three types of
questions: standard text-based cases, videos of an expert performing an
examination of the simulated dog, and an interactive version requiring the
student to perform an appropriate examination of the simulated dog to uncover the
lesion localization. In an experiment conducted with 97 freshman veterinary
students who had recently been taught cranial nerve anatomy and function, we
found that examination performance decreased with the need for interactivity
compared to memorization of fact, while satisfaction increased. Students were
less likely to identify the correct disorder when they had to conduct the
examination of the virtual dog themselves, revealing an inadequacy in traditional
neuroanatomical teaching. However, students overwhelmingly supported the use of
interactive question for assessment. Interestingly, performance on text-based
questions did not correlate significantly with interactive or video questions.
The results have implications for veterinary teaching and assessment within the
classroom and in clinical environments.
PMID- 26560544
TI - Epidemiology Analysis of Streptococcus pyogenes in a Hospital in Southern Taiwan
by Use of the Updated emm Cluster Typing System.
AB - emm typing is the most widely used molecular typing method for the human pathogen
Streptococcus pyogenes (group A streptococcus [GAS]). emm typing is based on a
small variable region of the emm gene; however, the emm cluster typing system
defines GAS types according to the nearly complete sequence of the emm gene.
Therefore, emm cluster typing is considered to provide more information regarding
the functional and structural properties of M proteins in different emm types of
GAS. In the present study, 677 isolates collected between 1994 and 2008 in a
hospital in southern Taiwan were analyzed by the emm cluster typing system. emm
clusters A-C4, E1, E6, and A-C3 were the most prevalent emm cluster types and
accounted for 67.4% of total isolates. emm clusters A-C4 and E1 were associated
with noninvasive diseases, whereas E6 was significantly associated with both
invasive and noninvasive manifestations. In addition, emm clusters D4, E2, and E3
were significantly associated with invasive manifestations. Furthermore, we found
that the functional properties of M protein, including low fibrinogen-binding and
high IgG-binding activities, were correlated significantly with invasive
manifestations. In summary, the present study provides updated epidemiological
information on GAS emm cluster types in southern Taiwan.
PMID- 26560547
TI - Understanding Reliability: A Review for Veterinary Educators.
AB - Veterinary medical faculty and administrators routinely administer student
assessments and conduct surveys to make decisions regarding student performance
and to assess their courses/curricula. The decisions that are made are a result
of the scores generated. However, how reliable are the scores and how confident
can we be about these decisions? Reliability is one of the hallmarks of validity
evidence, but what does this mean and what affects the reliability of scores? The
purpose of this article is to provide veterinary medical educators and
administrators with fundamental information regarding the concept of reliability.
Specifically, we review what sources of error reduce the reliability of scores
and we describe the different types of reliability coefficients that are
reported.
PMID- 26560548
TI - Collaborative Testing in Practical Laboratories: An Effective Teaching-Learning
Method in Histology.
AB - This article presents an experimental teaching and learning program used in
histology with first-year students in the second term in the Faculty of Biology
at Huanghuai University, China. Eighty-six students were divided randomly into
two groups (n=43 per group). Tests were conducted at the end of each practical
laboratory (10 laboratories in total) in which collaborative testing was used in
the experimental group and traditional testing in the control group. To assess
achievement, a final examination in histology was carried out at the end of the
course. To determine students' attitude to the teaching styles, a questionnaire
survey was conducted at the end of the term. Results showed that students
preferred the collaborative testing format. In the experimental group, students'
scores were significantly higher than those of students in the control group in
final examinations. These findings indicate that collaborative testing enhances
student learning and understanding of the material taught, and suggest that
collaborative testing is an effective teaching-learning method in histology.
PMID- 26560549
TI - Clinical Practice of Epidural Puncture in Dogs and Cats Assisted by a Commercial
Acoustic Puncture Assist Device-Epidural Locator: Preliminary Results.
AB - The objective of this study was to compare an Acoustic Puncture Assist Device
Epidural Locator (APAD-EL) with the "pop sensation" (POP) and "lack of
resistance" (LOR) commonly used to confirm penetration of the ligamentum flavum
and to ensure correct epidural placement in dogs and cats. We recruited 38 dogs
and cats undergoing surgery and receiving epidural analgesia. Two anesthetists
performed epidural puncture using the POP and LOR signs. Simultaneously, APAD-EL
was used to collect visual and acoustic confirmation during advancement and
placement of the needle tip for post hoc evaluation. A positive APAD-EL sign
consists of a sudden pressure drop at the needle tip visible on a display and a
concomitant pitch change of an acoustic signal. Failure to record a sudden
pressure drop is considered a negative APAD sign. Descriptive statistics were
used. In 32 patients with positive POP and LOR, the APAD was also positive. In
one patient, POP was positive with a negative LOR and APAD result. Five patients
had negative POP but positive LOR. Four patients had APAD positive and one (a
dog) APAD negative. The study results showed that the APAD-EL information
supports the subjective signs of correct needle placement suggested by positive
POP and LOR experienced by trained anesthetists. The technique can be useful to
assist difficult epidural puncture and as a training and teaching tool.
PMID- 26560550
TI - Rater Errors in Clinical Performance Assessments.
AB - Rater errors are some of the most significant validity threats to any performance
assessment. Veterinary medical education routinely uses raters to assess student
performance in a variety of scenarios (e.g., clinical assessments, OSCEs, etc.).
The purpose of this "teaching tip" is to introduce veterinary medical educators
to the notion of rater error, identify a list of common rater errors, and discuss
how these errors can be addressed and minimized so as to produce accurate and
defensible measures of student performance.
PMID- 26560551
TI - Biochemical Pharmacology of the Sigma-1 Receptor.
AB - The sigma-1 receptor (S1R) is a 223 amino acid two transmembrane (TM) pass
protein. It is a non-ATP-binding nonglycosylated ligand-regulated molecular
chaperone of unknown three-dimensional structure. The S1R is resident to
eukaryotic mitochondrial-associated endoplasmic reticulum and plasma membranes
with broad functions that regulate cellular calcium homeostasis and reduce
oxidative stress. Several multitasking functions of the S1R are underwritten by
chaperone-mediated direct (and indirect) interactions with ion channels, G
protein coupled receptors and cell-signaling molecules involved in the regulation
of cell growth. The S1R is a promising drug target for the treatment of several
neurodegenerative diseases related to cellular stress. In vitro and in vivo
functional and molecular characteristics of the S1R and its interactions with
endogenous and synthetic small molecules have been discovered by the use of
pharmacologic, biochemical, biophysical, and molecular biology approaches. The
S1R exists in monomer, dimer, tetramer, hexamer/octamer, and higher oligomeric
forms that may be important determinants in defining the pharmacology and
mechanism(s) of action of the S1R. A canonical GXXXG in putative TM2 is important
for S1R oligomerization. The ligand-binding regions of S1R have been identified
and include portions of TM2 and the TM proximal regions of the C terminus. Some
client protein chaperone functions and interactions with the cochaperone 78-kDa
glucose-regulated protein (binding immunoglobulin protein) involve the C
terminus. Based on its biochemical features and mechanisms of chaperone action
the possibility that the S1R is a member of the small heat shock protein family
is discussed.
PMID- 26560552
TI - Effect of post-harvest treatments on the occurrence of ochratoxin A in raw cocoa
beans.
AB - Cocoa beans are the principal raw material for chocolate manufacture. Moulds have
an important place in the change in the quality of cocoa beans due to their role
in the production of free fatty acids and mycotoxins, namely ochratoxin A (OTA).
This study investigated the impact of the key post-harvest treatments, namely the
fermentation and drying methods on OTA contamination of raw cocoa beans.
Analytical methods for OTA detection were based on solid-liquid extraction, clean
up using an immunoaffinity column, and identification by reversed-phase HPLC with
fluorescence detection. Of a total of 104 randomly selected cocoa samples
analysed, 32% had OTA contents above 2 ug kg(-1). Cocoa sourced from pods in a
bad state of health had a maximum OTA content of 39.2 ug kg(-1), while that
obtained from healthy pods recorded 11.2 ug kg(-1). The production of OTA in
cocoa beans increased according to the pod-opening delay and reached 39.2 ug kg(
1) after an opening delay of 7 days after harvest, while 6.1 and 11.2 ug kg(-1)
were observed when pods were opened after 0 and 4 days. OTA production also
seemed to depend considerably to the cocoa fermentation materials. When using
plastic boxes for bean fermentation, the OTA production was enhanced and reached
an average OTA content of about 4.9 ug kg(-1), while the raw cocoa treated in
banana leaves and wooden boxes recorded 1.6 and 2.2 ug kg(-1) on average
respectively. In parallel, the OTA production was not really influenced by either
the mixing or the duration of the fermentation or the drying materials.
PMID- 26560553
TI - Distinguishing high-flow from low-flow vascular malformations using maximum
intensity projection images in dynamic magnetic resonance angiography -
comparison to other MR-based techniques.
AB - BACKGROUND: In addition to ultrasound, magnetic resonance imaging (MRI) is
considered a suitable, non-invasive technique to assess the type and extent of
vascular malformations. The distinction between low- and high-flow lesions is
crucial because it determines appropriate patient treatment. PURPOSE: To
distinguish high-flow from low-flow lesions on the basis of the enhancement
pattern on MIP images acquired from dynamic time-resolved MR angiography (MRA)
and compare it with previously described MR-based methods. MATERIAL AND METHODS:
We examined 25 consecutive patients with previously diagnosed vascular
malformations. Next, each malformation was classified as "high-flow" or "low
flow" using the following criteria: (i) findings on T1-weighted (T1W) and T2
weighted (T2W) imaging (signal voids, signal intensity); (ii) the time interval
between the start of arterial enhancement and the onset of lesion enhancement
(artery-lesion time); (iii) the time of maximum lesion enhancement; and (iv)
analysis of the slope of the enhancement curve. RESULTS: Of the 25 patients,
seven had high-flow and 18 had low-flow malformations. Signal voids on spin-echo
T1W images were observed only in four of seven high-flow malformations and in two
of 18 low-flow malformations. Analysis of signal intensity on T2W images showed
increased signal intensity in 17 of 18 low-flow malformations, and in two of
seven high-flow lesions. Calculation of the artery-lesion time, maximum
enhancement time, and slope revealed significant differences between the high-
and low-flow groups. CONCLUSION: In conclusion, the slope of the enhancement
curve appears to be useful in distinguishing between high- and low-flow vascular
malformations. Standardization of MR image evaluation criteria is essential.
PMID- 26560554
TI - [Reply to "Meningitis, lumbar puncture and procalcitonin"].
PMID- 26560555
TI - Free-space optical channel simulator for weak-turbulence conditions.
AB - Free-space optical (FSO) communication may be severely influenced by the
inevitable turbulence effect that results in channel gain fluctuations and
fading. The objective of this paper is to provide a simple and effective
simulator of the weak-turbulence FSO channel that emulates the influence of the
temporal covariance effect. Specifically, the proposed model is based on
lognormal distributed samples with a corresponding correlation time. The
simulator is based on the solution of the first-order stochastic differential
equation (SDE). The results of the provided SDE analysis reveal its efficacy for
turbulent channel modeling.
PMID- 26560556
TI - Simple method based on intensity measurements for characterization of aberrations
from micro-optical components.
AB - We report a simple method, based on intensity measurements, for the
characterization of the wavefront and aberrations produced by micro-optical
focusing elements. This method employs the setup presented earlier in [Opt.
Express 22, 13202 (2014)] for measurements of the 3D point spread function, on
which a basic phase-retrieval algorithm is applied. This combination allows for
retrieval of the wavefront generated by the micro-optical element and, in
addition, quantification of the optical aberrations through the wavefront
decomposition with Zernike polynomials. The optical setup requires only an in
motion imaging system. The technique, adapted for the optimization of micro
optical component fabrication, is demonstrated by characterizing a planoconvex
microlens.
PMID- 26560557
TI - High-contrast filtering by multipass diffraction between paired volume Bragg
gratings.
AB - High-contrast filtering via multiple reflections between matched volume Bragg
gratings (VBGs) is demonstrated. The use of multiple reflections serves to
increase the suppression ratio of the out-of-band spectral content such that
contributions of grating sidelobes can be mitigated. The result is a device that
retains spectral and angular selectivity and diffracts light into a single order
with high efficiency but reshapes the spectral/angular response to achieve higher
signal-to-noise ratios. We demonstrate that multipass spectral filters can be
recorded with extremely high suppression ratios using reflecting Bragg gratings
(RBGs) in three different configurations. These filters demonstrate roll-offs of
over 150 dB/nm. Similarly, we demonstrate angular filtering by multipass
transmitting gratings.
PMID- 26560558
TI - Three-dimensional reconstruction method for flame chemiluminescence distribution
with complicated structure.
AB - In this study, reconstruction of flame chemiluminescence distribution with
complicated structure was numerically investigated and experimentally validated.
The ill-conditioned equations were constructed using the quasi-Monte Carlo method
and solved by an algebraic reconstruction technique, where the convergence
criterion was the Euclidean norm of the dimensionless displacement vector.
Results of a phantom study revealed that the number of camera angles is the main
restriction on reconstruction accuracy, and increase of the flame's
nonhomogeneity improves the sensibility of reconstruction accuracy to image
resolution. Results of experimental reconstruction showed the CH* distribution in
a Meker burner flame. This work provides a better understanding in how to
establish experimental systems for complicated flame reconstruction.
PMID- 26560559
TI - Assessment of the characterization of nonabsorbing nanoparticles in suspension
from effective optical properties.
AB - We analyze a method recently proposed to retrieve the size, refractive index, and
concentration of particles in nonabsorbing nanofluids from measurements of the
complex effective refractive index of two dilutions of the nanofluid [Opt.
Lett.39, 559 (2014)]. The method uses simple formulas to retrieve the particles'
parameters. First, we discuss precautions needed with the new method when
inferring the refractive index of the particles from measurements of the
imaginary part of the effective refractive index of two dilutions of the original
nanofluid. Then we analyze the use of this methodology to obtain some average
radius in the size of polydisperse suspensions and in the case of suspensions of
weakly absorbing particles. We also perform an error analysis considering fixed
errors in measurements and calculating the errors in the retrieved size,
refractive index, and concentration of particles. Finally, we characterize
experimentally nanofluids of polymeric particles fabricated of poly(methyl
methacrylate) (PMMA) and polystyrene (PS) for which we achieved an uncertainty of
5*10(-3) and 1*10(-2) in the determination of the particle refractive index,
respectively, and a precision better than 3% in the determination of their radii.
PMID- 26560560
TI - Application of vector ray tracing to the computation of Mobius shifts for the
primary and secondary rainbows.
AB - The Mobius approximation for the primary rainbow and the Konnen approximation for
the secondary rainbow have been modified to yield consistent predictions of the
Mobius shift of the top and bottom rainbows, respectively. The applicability
ranges of the Mobius and Konnen approximations are investigated by comparison to
vector ray tracing (VRT) simulations. For the primary rainbow, these results
indicate that the Mobius approximation is valid for spheroidal water droplets
(m=1.333) in the range of aspect ratios 0.98<=a/c<=1.02. For the secondary
rainbow, the Konnen approximation predicts the Mobius shift well for spheroidal
water droplets within the range 0.99<=a/c<=1.01. For a spheroidal droplet with
side-on incidence, the difference between the approximations and VRT simulations
are discussed. Furthermore, the dependence of Mobius shifts on the relative
refractive index of droplet is discussed.
PMID- 26560561
TI - Flexible geometrical calibration for fringe-reflection optical three-dimensional
shape measurement.
AB - Accurate geometrical calibration is the basis of a fringe-reflection testing
system, especially the calibration of reflection ray directions. However, such a
calibration procedure is challenging because of two reasons: first of all, the
common method of reflection ray directions calibration, which is based on the
pinhole camera imaging model, fails in the presence of the pupil imaging
aberration. What's more, although using a camera lens with an external stop in
front can remove the pupil imaging aberration, it is difficult to achieve the
exact geometrical measurement of the camera pinhole and the calibration of the
reflection ray directions into the camera because of the low signal-to-noise
ratio of images. In this paper, we introduce a new calibration method by finding
the points on the liquid crystal display in front of the camera with different
positions corresponding to the same camera pixels through correspondence
matching. The calibration process and the results from the experiments on fringe
reflection testing demonstrate that the calibration method presented in this
paper is simple, practical, and flexible.
PMID- 26560562
TI - Universal dispersion model for characterization of optical thin films over a wide
spectral range: application to hafnia.
AB - A dispersion model capable of expressing the dielectric response of a broad class
of optical materials in a wide spectral range from far IR to vacuum UV is
described in detail. The application of this universal dispersion model to a
specific material is demonstrated using the ellipsometric and spectrophotometric
characterization of a hafnia film prepared by vacuum evaporation on silicon
substrate. The characterization utilizes simultaneous processing of data from
multiple techniques and instruments covering the wide spectral range and includes
the characterization of roughness, nonuniformity, transition layer, and native
oxide layer on the back of the substrate. It is shown how the combination of
measurements in light reflected from both sides of the sample and transmitted
light allows the separation of weak absorption in films and substrates. This
approach is particularly useful in the IR region where the absorption structures
in films and substrates often overlap and a prior measurement of the bare
substrate may be otherwise necessary for precise separation. Individual phenomena
that contribute to the dielectric response, i.e., interband electronic
transitions, electronic excitations involving the localized states, and phonon
absorption, are discussed in detail. A quantitative analysis of absorption on
localized states, permitting the separation of transitions between localized
states from transitions between localized and extended states, is utilized to
obtain estimates of the density of localized states and film stoichiometry.
PMID- 26560563
TI - Properties of transmission and leaky modes in a plasmonic waveguide constructed
by periodic subwavelength corrugated metallic wire with open hollow rings in THz
regime.
AB - In this paper, we propose a new metallic cylindrical antenna at terahertz
frequencies using the concept of low-frequency spoof surface plasmon polaritons
(SPPs). The antenna is developed by introducing an open hollow ring (OHR) in each
unit cell of the conventional periodic subwavelength corrugated metallic wire
(PSCMW). The new structure is referred to as the PSCMW-OHR. The dispersion
properties of PSCMWs and PSCMW-OHRs, the near-field and far-field distributions,
as well as the radiation efficiencies are numerically evaluated and compared. By
analyzing the numerical results, we find that there are extra new propagation
modes that exist in the annular groove of the PSCMW-OHR, aside from the normal
transmission modes in the PSCMW. Moreover, the dispersion line of the new SPP
mode exhibits a negative slope. Surprisingly and interestingly, after passing
through the light line, the propagation gets into the radiation zone, where it
becomes a leaky mode with a complex-valued propagation constant. We have found
that the far-field radiation of propagation in the leaky mode can lead to
frequency scanning effects with a scanning angle of 22 degrees , and the
radiation efficiency can be increased to 90%, provided that the total number of
the periodic unit cells is large enough.
PMID- 26560564
TI - Study of the measurement for the diffusion coefficient by digital holographic
interferometry.
AB - In the measurement of the diffusion coefficient by digital holographic
interferometry, the conformity between the experiment and the ideal physical
model is lacking analysis. Two data processing methods are put forward to
overcome this problem. By these methods, it is found that there is obvious
asymmetry in the experiment and the asymmetry is becoming smaller with time.
Besides, the initial time for diffusion cannot be treated as a constant
throughout the whole experiment. This means that there is a difference between
the experiment and the physical model. With these methods, the diffusion
coefficient of KCl in water at 0.33 mol/L and 25 degrees C is measured. When the
asymmetry is ignored, the result is 1.839*10(-9) m2/s, which is in good
agreement with the data in the literature. Because the asymmetry is becoming
smaller with time, the experimental data in the latter time period conforms to
the ideal physical model. With this idea, a more accurate diffusion coefficient
is 2.003*10(-9) m2/s, which is about 10% larger than the data in the literature.
PMID- 26560565
TI - High-speed all-optical logic inverter based on stimulated Raman scattering in
silicon nanocrystal.
AB - In this paper, we propose a new device architecture for an all-optical logic
inverter (NOT gate), which is cascadable with a similar device. The inverter is
based on stimulated Raman scattering in silicon nanocrystal waveguides, which are
embedded in a silicon photonic crystal structure. The Raman response function of
silicon nanocrystal is evaluated to explore the transfer characteristic of the
inverter. A maximum product criterion for the noise margin is taken to analyze
the cascadability of the inverter. The time domain response of the inverter,
which explores successful inversion operation at 100 Gb/s, is analyzed.
Propagation delay of the inverter is on the order of 5 ps, which is less than the
delay in most of the electronic logic families as of today. Overall dimension of
the device is around 755 MUm *15 MUm, which ensures integration compatibility
with the matured silicon industry.
PMID- 26560566
TI - Thermally induced all-optical inverter and dynamic hysteresis loops in graphene
oxide dispersions.
AB - We experimentally study the temporal dynamics of amplitude-modulated laser beams
propagating through a water dispersion of graphene oxide sheets in a fiber-to
fiber U-bench. Nonlinear refraction induced in the sample by thermal effects
leads to both phase reversing of the transmitted signals and dynamic hysteresis
in the input-output power curves. A theoretical model including beam propagation
and thermal lensing dynamics reproduces the experimental findings.
PMID- 26560567
TI - Optimization of long-period grating-based refractive index sensor by bent-fiber
interference.
AB - In this paper, we propose and demonstrate a novel approach to enhance the
refractive index (RI) sensitivity and eliminate the temperature cross-sensitivity
of a long-period grating (LPG) -based refractive index sensor by bent-fiber
interference. The approach is based on a hybrid structure composed of an LPG and
a bent-fiber intermodal interferometer. The bent-fiber intermodal interferometer
has a simple structure, which consists of a bare fiber semi-circular bending
region with a 5 mm bending radius. As the RI increases, the resonance wavelength
of the LPG moves toward a shorter wavelength, while the resonance wavelength of
the bent-fiber intermodal interferometer shifts to a longer wavelength. The
separation of two resonance dips increases with the RI; using two resonance dips
allows us to measure an RI with a higher sensitivity than if we had only used one
resonance dip. However, as the temperature increases, the separation of the two
resonance dips is constant. This approach can effectively enhance the RI
sensitivity and eliminate temperature cross-sensitivity.
PMID- 26560568
TI - Effect of AO/UV/RD exposure on spaceborne diffusers: a comparative experiment.
AB - The environmental measuring instrument (EMI) is a nadir-viewing wide-field
imaging spectrometer, which adopts spaceborne diffusers in in-flight calibration
systems, including an aluminum diffuser and a quartz volume diffuser. Spaceborne
diffusers, are the key components of in-flight calibration systems, and are used
to introduce sunlight into the EMI. Hemispheric reflectance and bidirectional
reflectance distribution function were experimentally measured to analyze
spaceborne diffuser performance. Radiation exposure experiments on atomic oxygen,
UV, and radiation dose of the spaceborne diffusers were performed at ground level
because the EMI works in low Earth orbit space environments. Effects of radiation
exposure on spaceborne diffusers were discussed in detail. Protective methods
were introduced to reduce the effects of the space environment, and an in-orbit
monitoring method was also proposed.
PMID- 26560569
TI - Polarization modulation of two-photon excited fluorescence in a V-shaped
dipicolinate-triphenylamine compound.
AB - Polarization modulation of two-photon excited fluorescence in a V-shaped
dipicolinate-triphenylamine compound was investigated with 100 fs 800 nm laser
pulses. The peak fluorescence intensity versus the input irradiance was measured
to meet a square dependence, which offered evidence for two-photon excited
fluorescence. The variations of the two-photon excited fluorescence intensity
showed strong response to the different polarized incident lights and were
tightly dependent on the linearly polarized component of the incident light.
Furthermore, the polarization modulation efficiency of the two-photon excited
fluorescence had an obvious concentration dependence when the concentration of
solution was under 2.5*10(-4) mol/L. The enhancement of modulation efficiency
was attributed to the concentration dependence of the two-photon absorption cross
section.
PMID- 26560570
TI - Efficient optical image amplifier using periodically poled lithium niobate.
AB - An efficient optical image amplification scheme has been proposed and
demonstrated using the quasi-phase-matched optical parametric amplifier. Pumped
with the 152 MUJ per pulse pump laser at 532 nm, the input weak infrared image at
1064 nm with 3.5 pJ energy is amplified with a 55 dB optical gain using only a 3
mm long MgO-doped periodically poled lithium niobate (MgO:PPLN) crystal. A
spatial resolution of 17 lines/mm on the surface of the PPLN crystal is obtained.
Further numerical simulation indicates that our scheme enables efficient image
enhancement with a long pulsed pumping source, such as nanosecond lasers.
PMID- 26560571
TI - Multifunctional magneto-metasurface for terahertz one-way transmission and
magnetic field sensing.
AB - A magneto-metasurface is demonstrated for one-way transmission of terahertz (THz)
waves and magnetic field sensing. Due to the magneto-optical effect and the
asymmetric structure of the transmission system, magnetoplasmon mode splitting
for forward and backward THz waves and one-way transmission has been observed in
this magneto-metasurface. Significantly, the resonance of the magneto-metasurface
has been found that can remain at 0.750 THz at a temperature of 218 K, performing
as a stable isolator with an isolation of larger than 30 dB within a magnetic
field disturbance from 0.23 to 0.35 T. Also, since the resonance of the magneto
metasurface can be tuned by the different external magnetic fields at a
temperature that is higher or lower than 218 K, the magneto-metasurface can work
as a highly sensitive magnetic field sensor. The sensitivity of this device
reaches S=513.05 GHz.T(-1) when T=230 K. This multifunctional magneto
metasurface has broad potential in THz application systems.
PMID- 26560572
TI - Smartphone-based colorimetric analysis for detection of saliva alcohol
concentration.
AB - A simple device and associated analytical methods are reported. We provide
objective and accurate determination of saliva alcohol concentrations using
smartphone-based colorimetric imaging. The device utilizes any smartphone with a
miniature attachment that positions the sample and provides constant illumination
for sample imaging. Analyses of histograms based on channel imaging of red-green
blue (RGB) and hue-saturation-value (HSV) color space provide unambiguous
determination of blood alcohol concentration from color changes on sample pads. A
smartphone-based sample analysis by colorimetry was developed and tested with
blind samples that matched with the training sets. This technology can be adapted
to any smartphone and used to conduct color change assays.
PMID- 26560573
TI - Demonstration of temperature imaging by H2O absorption spectroscopy using
compressed sensing tomography.
AB - This paper introduces temperature imaging by total-variation-based compressed
sensing (CS) tomography of H2O vapor absorption spectroscopy. A controlled
laboratory setup is used to generate a constant two-dimensional temperature
distribution in air (a roughly Gaussian temperature profile with a central
temperature of 677 K). A wavelength-tunable laser beam is directed through the
known distribution; the beam is translated and rotated using motorized stages to
acquire complete absorption spectra in the 1330-1365 nm range at each of 64 beam
locations and 60 view angles. Temperature reconstructions are compared to
independent thermocouple measurements. Although the distribution studied is
approximately axisymmetric, axisymmetry is not assumed and simulations show
similar performance for arbitrary temperature distributions. We study the
measurement error as a function of number of beams and view angles used in
reconstruction to gauge the potential for application of CS in practical test
articles where optical access is limited.
PMID- 26560574
TI - Microfabricated Otto chip device for surface plasmon resonance-based optical
sensing.
AB - Surface plasmon resonance (SPR) based sensors are usually designed using the
Kretschmann prism coupling configuration in which an input beam couples with a
surface plasmon through a thin metal film. This is generally preferred by sensor
developers for building planar devices instead of the Otto prism coupling
configuration, which, for efficient coupling, requires the metal surface to be
maintained at a distance on the order of the wavelength from the input prism
surface. In this paper, we report on the microfabrication and characterization of
an Otto chip device, which is suitable for applications of the SPR effect in gas
sensing and biosensing.
PMID- 26560575
TI - Pareto optimality between width of central lobe and peak sidelobe intensity in
the far-field pattern of lossless phase-only filters for enhancement of
transverse resolution.
AB - Resolution capability of an optical imaging system can be enhanced by reducing
the width of the central lobe of the point spread function. Attempts to achieve
the same by pupil plane filtering give rise to a concomitant increase in sidelobe
intensity. The mutual exclusivity between these two objectives may be considered
as a multiobjective optimization problem that does not have a unique solution;
rather, a class of trade-off solutions called Pareto optimal solutions may be
generated. Pareto fronts in the synthesis of lossless phase-only pupil plane
filters to achieve superresolution with prespecified lower limits for the Strehl
ratio are explored by using the particle swarm optimization technique.
PMID- 26560576
TI - Three-dimensional quantitative phase imaging via tomographic deconvolution phase
microscopy.
AB - The field of three-dimensional quantitative phase imaging (3D QPI) is expanding
rapidly with applications in biological, medical, and industrial research,
development, diagnostics, and metrology. Much of this research has centered on
developing optical diffraction tomography (ODT) for biomedical applications. In
addition to technical difficulties associated with coherent noise, ODT is not
congruous with optical microscopy utilizing partially coherent light, which is
used in most biomedical laboratories. Thus, ODT solutions have, for the most
part, been limited to customized optomechanical systems which would be relatively
expensive to implement on a wide scale. In the present work, a new phase
reconstruction method, called tomographic deconvolution phase microscopy (TDPM),
is described which makes use of commercial microscopy hardware in realizing 3D
QPI. TDPM is analogous to methods used in deconvolution microscopy which improve
spatial resolution and 3D-localization accuracy of fluorescence micrographs by
combining multiple through-focal scans which are deconvolved by the system point
spread function. TDPM is based on the 3D weak object transfer function theory
which is shown here to be capable of imaging "nonweak" phase objects with large
phase excursions. TDPM requires no phase unwrapping and recovers the entire
object spectrum via object rotation, mitigating the need to fill in the "missing
cone" of spatial frequencies algorithmically as in limited-angle ODT. In the
present work, TDPM is demonstrated using optical fibers, including single-mode,
polarization-maintaining, and photonic-crystal fibers as well as an azimuthally
varying CO2-laser-induced long-period fiber grating period as test phase objects.
PMID- 26560577
TI - Radiative transfer model for contaminated rough slabs.
AB - We present a semi-analytical model to simulate the bidirectional reflectance
distribution function (BRDF) of a rough slab layer containing impurities. This
model has been optimized for fast computation in order to analyze massive
hyperspectral data by a Bayesian approach. We designed it for planetary surface
ice studies but it could be used for other purposes. It estimates the
bidirectional reflectance of a rough slab of material containing inclusions,
overlaying an optically thick media (semi-infinite media or stratified media, for
instance granular material). The inclusions are assumed to be close to spherical
and constituted of any type of material other than the ice matrix. It can be any
other type of ice, mineral, or even bubbles defined by their optical constants.
We assume a low roughness and we consider the geometrical optics conditions. This
model is thus applicable for inclusions larger than the considered wavelength.
The scattering on the inclusions is assumed to be isotropic. This model has a
fast computation implementation and thus is suitable for high-resolution
hyperspectral data analysis.
PMID- 26560578
TI - Toward the design of a motion-free tunable coupling module for varying spatial
beam profiles: foundations of optimal coupling of a Gaussian mode into a fiber
collimator with a dynamic two-lens system.
AB - In this paper, we present analytical expressions for the coupling of the
fundamental Gaussian mode into a fiber collimator (FC) using a two-lens system.
For this two-lens system, we also derive the limiting condition imposed on the
focal lengths of the two individual lenses and their mutual separation for near
to-perfect mode coupling into the FC. Variations in the spatial mode profile of a
Gaussian beam may occur due to various reasons. These include controlled changes
in the beam profile inside mode-division multiplexed systems, and undesired
spatial profile variations in beams that pass through turbulent media. The
necessity of a dynamic mode-coupling module is dictated by the need to optimally
couple Gaussian beams with dynamically changing spatial profiles. Using the
analytical expressions derived for mode-coupling efficiency and the resulting
lens separation condition that is imposed on a two-lens coupling system, we
propose the design of a dynamic two-lens mode-coupling system with a pair of
electronically controlled tunable lenses. The proposed dynamic coupling module is
motion free and involves the movement of bulk components in order to achieve
optimal coupling. The experimental results are also presented to verify the
theoretical claims and the working principle of a two-lens mode-coupling system.
The results of the experiments are discussed in detail and an excellent agreement
is demonstrated between the proposed theoretical framework and the experimental
results.
PMID- 26560579
TI - In vivo assessment of wall strain in embryonic chick heart by spectral domain
optical coherence tomography.
AB - The ability to measure in vivo wall strain in embryonic hearts is important for
fully understanding the mechanisms of cardiac development. Optical coherence
tomography (OCT) is a powerful tool for the three-dimensional imaging of complex
myocardial activities in early-stage embryonic hearts with high spatial and
temporal resolutions. We describe a method to analyze periodic deformations of
myocardial walls and evaluate in vivo myocardial wall strains with a high-speed
spectral domain OCT system. We perform four-dimensional scanning on the outflow
tract (OFT) of chick embryonic hearts and determine a special cross-section in
which the OFT can be approximated as an annulus by analyzing Doppler blood-flow
velocities. For each image acquired at the special cross-section, the annular
myocardial wall is segmented with a semiautomatic boundary-detection algorithm,
and the fluctuation myocardial wall thickness is calculated from the area and
mean circumference of the myocardial wall. The experimental results shown with
the embryonic chick hearts demonstrate that the proposed method is a useful tool
for studying the biomechanical characteristics of embryonic hearts.
PMID- 26560580
TI - Efficient internal and surface fingerprint extraction and blending using optical
coherence tomography.
AB - Optical coherence tomography provides a 3D representation of fingertip skin where
surface and internal fingerprints are found. These fingerprints are
topographically identical. However, the surface skin is prone to damage,
distortion, and spoofing; and the internal fingerprint is difficult to access and
extract. This research presents a novel scaling-resolution approach to
fingerprint zone detection and extraction. Furthermore, a local-quality-based
blending procedure is also proposed. The accuracy of the zone-detection algorithm
is comparable to an earlier work, yielding a mean-squared error of 25.9 and
structural similarity of 95.8% (compared to a ground-truth estimate). Blending
the surface and internal fingerprints improved the National Institute of Science
and Technology's Fingerprint Image Quality scores and the average maximum match
scores (when matched against conventional surface counterparts). The fingerprint
blending procedure was able to combine high-quality regions from both
fingerprints, thus mitigating surface wrinkles and anomalous poor-quality
regions. Furthermore, spoof detection via a surface-to-internal fingerprint
comparison was proposed and tested.
PMID- 26560581
TI - Common-mode rejection in Martin-Puplett spectrometers for astronomical
observations at millimeter wavelengths.
AB - The Martin-Puplett interferometer (MPI) is a differential Fourier transform
spectrometer that measures the difference between spectral brightness at two
input ports. This unique feature makes the MPI an optimal zero instrument, able
to detect small brightness gradients embedded in a large common background. In
this paper, we experimentally investigate the common-mode rejection achievable in
the MPI at millimeter wavelengths, and discuss the use of the instrument to
measure the spectrum of cosmic microwave background anisotropy.
PMID- 26560582
TI - Computational imaging based on time-correlated single-photon-counting technique
at low light level.
AB - Imaging at low light levels has drawn much attention. In this paper, a method is
experimentally demonstrated to realize computational imaging under weak
illumination conditions. In our experiment, only one single-photon detector was
used to capture the photons. With the time-correlated single-photon-counting
technique, photons at a quite low level can be recorded and the time distribution
histograms were constructed. The intensity of the light can be estimated from the
histograms. The detection model was discussed, and clear images were obtained
through a ghost-imaging algorithm. In addition, we propose a modified algorithm
for the conventional ghost-imaging method that works more efficiently than the
traditional ghost-imaging algorithm. Moreover, this method provides a solution
for three-dimensional imaging combining with the time of flight of the photons.
PMID- 26560583
TI - Measuring the four paraxial lens parameters using an autostigmatic microscope.
AB - We describe a method of measuring the four paraxial lens parameters-the two
radii, the center thickness, and the index-of a realistic-size positive lens
using an autostigmatic microscope (ASM). The method is similar to measuring the
radius of curvature of a concave mirror with an ASM but slightly more complex in
that four characteristic distances must be measured to solve for the four unknown
parameters. Once the four distances are measured, it is shown how to use an Excel
spreadsheet and the add-in iterative "Solver" to find the four unknown
parameters. Finding the paraxial lens parameters is useful for troubleshooting a
lens assembly that does not perform as expected due to mislabeling, the incorrect
glass type used, insertion into the assembly backward, or for finding a
replacement glass type.
PMID- 26560584
TI - Statistical model of the efficiency for spatial light coupling into a single-mode
fiber in the presence of atmospheric turbulence.
AB - The average efficiency of spatial light coupling into a single-mode optical fiber
is widely used but cannot estimate the signal-to-noise ratio (SNR) and bit error
rate (BER) in free-space optical communication. We provide a statistical model
for coupling efficiency and derive the exact expression of the probability
density function (PDF). The simulation results confirm that the model is
reasonable in the condition of different turbulence intensities and wavefront
compensation terms, which is also consistent with our outdoor experiment. We also
estimate the average SNR and BER using the PDF. The model is quite useful in a
satellite-to-ground laser communication downlink.
PMID- 26560585
TI - Efficient and robust phase unwrapping algorithm based on unscented Kalman filter,
the strategy of quantizing paths-guided map, and pixel classification strategy.
AB - This paper presents an efficient and robust phase unwrapping algorithm which
combines an unscented Kalman filter (UKF) with a strategy of quantizing a paths
guided map and a pixel classification strategy based on phase quality
information. The advantages of the proposed method depend on the following
contributions: (1) the strategy of quantizing the paths-guided map can accelerate
the process of searching unwrapping paths and greatly reducing time consumption
on the unwrapping procedure; (2) the pixel classification strategy proposed by
this paper can reduce the error propagation effect by decreasing the amounts of
pixels with equal quantized paths-guided value in the process of unwrapping; and
(3) the unscented Kalman filter enables simultaneous filtering and unwrapping
without the information loss caused by linearization of a nonlinear model. In
addition, a new paths-guided map derived from a phase quality map is inserted
into the strategy of quantizing the paths-guided map to provide a more robust
path of unwrapping, and then ensures better unwrapping results. Results obtained
from synthetic data and real data show that the proposed method can efficiently
obtain better solutions with respect to some of the most used algorithms.
PMID- 26560586
TI - Laser reflection differential confocal large-radius measurement.
AB - A laser reflection differential confocal large-radius measurement (RDCLRM) method
is proposed to meet the requirements of high-precision measurement for a large
radius of curvature (ROC). The RDCLRM identifies the converging point of the
multiply reflected test beam by using the differential confocal focusing
technology. It then measures the distance between the positions of the test lens
corresponding to these converging points for different reflection times.
Therefore, a precise and high-efficiency measurement of a large ROC is achieved
with a shorter measurement lightpath. The theoretical analyses and preliminary
experimental results indicate that RDCLRM has a relative expanded uncertainty of
better than 0.005% (k=2).
PMID- 26560587
TI - Application of point diffraction interferometry for measuring angular
displacement to a sensitivity of 0.01 arcsec.
AB - The use of point diffraction interferometry is reported for measuring minutes, on
the order of 0.01 arcsec angular movements. The algorithm for determining the
angular displacement by the dynamics of the interference pattern is described. We
also demonstrate results for applying this method to the study of the linearity
and hysteresis of the angular shift of the platform, controlled by piezo
actuators, which are designed for angular adjustment of the mirror of a solar
extreme-ultraviolet telescope.
PMID- 26560588
TI - Displacement measurement using a laser feedback grating interferometer.
AB - A novel laser feedback grating interferometer (LFGI) with a phase-generated
carrier demodulation technique is proposed in this paper. Laser feedback grating
interference occurs when light emitted from a laser is diffracted by the double
diffraction system and re-enters the laser active cavity, thus generating a
modulation of the lasing field. In order to improve the displacement measurement
resolution, phase modulation is introduced by an electro-optic modulator in the
external cavity of the LFGI. Detection of the displacement can be easily achieved
by the time-domain orthogonal demodulation, which does not involve any
complicated calculation and is insensitive to the sampling error. Experimental
results show that the proposed system has a general nanometer-level resolution.
It provides a potential displacement sensor with high resolution, simple
mechanical structure, and good reliability.
PMID- 26560589
TI - Near-field observation of surface plasmon polaritons launched by V-shaped
nanorods on a gold surface.
AB - By scanning near-field optical microscopy, we study the propagation of surface
waves created by V-shaped nanorods deposited on a gold thin film. The nanorods
launch surface plasmon polaritons that interfere with the incident light,
producing interference patterns. The angle of the V-shaped rods varies from 110
degrees to 180 degrees (straight rod). We observe that the near-field
distribution strongly depends on the angle of the V. For angles close to straight
rods, a hot spot is visible, whereas for a narrower angle, the surface plasmon
waves are launched in specific directions. The experimental results are in good
qualitative agreement with numerical simulations performed with a simple
analytical model that considers the rods as a sum of isolated surface plasmon
sources.
PMID- 26560590
TI - Radiometric calibration of the Visible Infrared Imaging Radiometer Suite
reflective solar bands with robust characterizations and hybrid calibration
coefficients.
AB - The Visible Infrared Imaging Radiometer Suite (VIIRS) is now entering its fourth
year of in-orbit global environmental observation and is producing a wide range
of scientific output. The ocean color products in particular require a level of
accuracy from the reflective solar bands (RSBs) that is a magnitude higher than
the specification. In this work, we present an updated and completed core
calibration pipeline that achieves the best sensor data records (SDR) to date and
helps the ocean color products to reach maturity. We review the core calibration
methodology of the RSBs and describe each essential input, including the solar
diffuser stability monitor, the solar diffuser (SD), and lunar calibrations.
Their associated issues, along with the successful mitigation and improved
results, are described and presented. In particular, we illuminate the inaccuracy
suffered due to the evolving angular dependence in the degradation of the on
board SD that impacts the heart of the RSB calibration, but also show that lunar
based calibration instead provides the correct long-term baseline for the
successful restoration of the core methodology. The new look-up tables, which
combine the coefficients from the SD-based and lunar-based calibrations, produce
the optimal result, with an estimated accuracy of ~0.2%. This hybrid approach
highlights significant progress in the VIIRS RSB calibration and marks a
completion of the core calibration result upon which other physical impacts or
scientific issues can then be more accurately examined. We demonstrate the
significant improvement and its impact on the ocean color products by comparing
the current official output to the newly generated result. Lastly, we point out
that this hybrid calibration coefficients scheme is made possible by a VIIRS
design and layout change over its predecessor, the Moderate Resolution Imaging
Spectroradiometer, that allows both the SD and the moon to be viewed by the RSB
at the same angle of incidence. Thus, this design element warrants serious
consideration for other satellite sensors utilizing a similar calibration
methodology.
PMID- 26560591
TI - Comprehensive simulation platform for a metamaterial imaging system.
AB - Recently, a frequency-diverse, metamaterial-based aperture has been introduced in
the context of microwave and millimeter wave imaging. The generic form of the
aperture is that of a parallel plate waveguide, in which complementary
metamaterial elements patterned into the upper plate couple energy from the
waveguide mode to the scene. To reliably predict the imaging performance of such
an aperture prior to fabrication and experiments, it is necessary to have an
accurate forward model that predicts radiation from the aperture, a model for
scattering from an arbitrary target in the scene, and a set of image
reconstruction approaches that allow scene estimation from an arbitrary set of
measurements. Here, we introduce a forward model in which the metamaterial
elements are approximated as polarizable magnetic dipoles, excited by the fields
propagating within the waveguide. The dipoles used in the model can have
arbitrarily assigned polarizability characteristics. Alternatively, fields
measured from actual metamaterial samples can be decomposed into a set of
effective dipole radiators, allowing the performance of actual samples to be
quantitatively modeled and compared with simulated apertures. To confirm the
validity of our model, we simulate measurements and scene reconstructions with a
virtual multiaperture imaging system operating in the K-band spectrum (18-26.5
GHz) and compare its performance with an experimental system.
PMID- 26560592
TI - Experimental and theoretical investigation on passively Q-switched laser action
in c-cut Nd:MgO:LiNbO3.
AB - The performance of a diode-pumped c-cut Nd:MgO:LiNbO3 laser at 1093 nm was
demonstrated. Under an absorbed pump power of 7.450 W, a maximum continuous wave
output power of 1.570 W was obtained, corresponding to a slope efficiency of
26.0%. For passive Q-switching operation, 24 ns pulses were observed with a
repetition rate of 17.1 kHz, resulting in a peak power of 1357 W. The
experimental results were theoretically analyzed by a rate equation model, in
which the Gaussian spatial distribution of the intracavity photon density was
taken into account.
PMID- 26560593
TI - Giant circular dichroism induced by silver nanocuboid heterodimers.
AB - Metallic nanocuboid heterodimers are proposed to generate a giant circular
dichroism (CD) effect. Two cuboids in the heterodimers have different heights.
The dipole and quadrupole charge oscillation modes in the cuboids occur under
left- and right-handed circular polarizations. The height difference generates
phase difference between charge oscillations in the two cuboids. The two charge
oscillations and the phase difference between them are consistent with the Born
Kuhn model for the CD effect. The CD effect of the nanocuboid heterodimers can be
tuned by changing the structural parameters of the nanocuboid heterodimers,
especially the height difference between two cuboids. The results of this
research are not only useful for designing plasmonic structures to generate the
CD effect but also for understanding the physical mechanisms of the CD effect.
PMID- 26560594
TI - Composite method for precise freeform optical beam shaping.
AB - We present a composite freeform surface construction method for creating a high
accuracy irradiance distribution from a given incident beam under the influence
of diffraction. The main idea is that we first determine a fully continuous
freeform surface estimate by solving a standard Monge-Ampere equation and then
refine it using an iterative Fourier-transform algorithm associated with over
compensation. Although this method can only be implemented in the paraxial
approximation, it can significantly simplify the design and is applicable to many
examples that fulfill this restriction. The resulting optical surface, unwrapped
from the final phase, is an unusual discontinuous freeform surface that can
produce very promising performances in terms of surface roughness and irradiance
accuracy.
PMID- 26560595
TI - Peer Review Week: editorial.
AB - Editor-in-Chief Ron Driggers discusses Peer Review Week.
PMID- 26560596
TI - Gain and loss as a function of current density and temperature in interband
cascade lasers.
AB - We characterize the internal efficiency, internal loss, and optical gain versus
current density in 7-stage interband cascade lasers operating at lambda=3.1 and
3.45 MUm using a cavity-length study of the external differential quantum
efficiency (EDQE) and threshold current density at temperatures between 300 and
345 K. We find that the pronounced efficiency droop of the EDQE at high current
densities is primarily due to an increase in the internal loss rather than a
reduction in the internal efficiency. On the other hand, if the current density J
is fixed, the temperature variation of the EDQE at that J is due primarily to a
decrease of the internal efficiency. The gain versus current density is fit well
by a logarithmic relationship, although the magnitude of the experimental gain is
>20% below the theoretical estimate.
PMID- 26560597
TI - High-energy krypton fluoride lasers for inertial fusion.
AB - Laser fusion researchers have realized since the 1970s that the deep UV light
from excimer lasers would be an advantage as a driver for robust high-performance
capsule implosions for inertial confinement fusion (ICF). Most of this research
has centered on the krypton-fluoride (KrF) laser. In this article we review the
advantages of the KrF laser for direct-drive ICF, the history of high-energy KrF
laser development, and the present state of the art and describe a development
path to the performance needed for laser fusion and its energy application. We
include descriptions of the architecture and performance of the multi-kilojoule
Nike KrF laser-target facility and the 700 J Electra high-repetition-rate KrF
laser that were developed at the U.S. Naval Research Laboratory. Nike and Electra
are the most advanced KrF lasers for inertial fusion research and energy
applications.
PMID- 26560598
TI - Ultrafast Z-scan measurements of nonlinear optical constants of window materials
at 772, 1030, and 1550 nm.
AB - Femtosecond Z-scan measurements have been performed on six window materials at
772, 1030, and 1550 nm. Measurements of the nonlinear refractive index are
presented for reference materials, fused silica and BK7 and four near-infrared
window materials, multispectral ZnS (CLEARTRAN), aluminum oxynitride (AlON),
spinel (MgAl2O4) ceramic, and barium gallogermanate (BGG) glass.
PMID- 26560599
TI - Infrared photothermal imaging spectroscopy for detection of trace explosives on
surfaces.
AB - We are developing a technique for the standoff detection of trace explosives on
relevant substrate surfaces using photothermal infrared (IR) imaging spectroscopy
(PT-IRIS). This approach leverages one or more compact IR quantum cascade lasers,
which are tuned to strong absorption bands in the analytes and directed to
illuminate an area on a surface of interest. An IR focal plane array is used to
image the surface and detect increases in thermal emission upon laser
illumination. The PT-IRIS signal is processed as a hyperspectral image cube
comprised of spatial, spectral, and temporal dimensions as vectors within a
detection algorithm. The ability to detect trace analytes at standoff on relevant
substrates is critical for security applications but is complicated by the
optical and thermal analyte/substrate interactions. This manuscript describes a
series of PT-IRIS experimental results and analysis for traces of RDX, TNT,
ammonium nitrate, and sucrose on steel, polyethylene, glass, and painted steel
panels. We demonstrate detection at surface mass loadings comparable with
fingerprint depositions ( 10MUg/cm2 to 100MUg/cm2) from an area corresponding to
a single pixel within the thermal image.
PMID- 26560600
TI - Refractive index measurements of poly(methyl methacrylate) (PMMA) from 0.4-1.6
MUm.
AB - Using a transmission-spectrum-based method, the refractive index of a 50 MUm
thick sample of poly(methyl methacrylate) (PMMA) was measured as a function of
wavelength. To mitigate the effects of nonplane-parallel surfaces, the sample was
measured at 16 different locations. The technique resulted in the measurement of
index at several thousand independent wavelengths from 0.42 to 1.62 MUm, with a
relative RMS accuracy <0.5*10(-4) and absolute accuracy <2*10(-4).
PMID- 26560601
TI - Simulation of free-space optical guiding structure based on colliding gas flows.
AB - Preformed plasma channels with parabolic radial density profiles enable the
extended and stable optical guiding of high-intensity laser pulses. High-voltage
discharge capillaries, commonly used for channel formation, have limited guiding
length and opaque walls, complicating the diagnosis of the plasma within. This
paper proposes a free-space gas channel produced by the collision of several gas
flows. The collision of the gas flows forms an on-axis density depression
surrounded by higher density walls. By offsetting the flows, we demonstrated the
creation of what we believe is a novel vortex structure that exhibits a long
lived parabolic density profile. Once ionized, the resulting plasma density
profile has a near-parabolic dependence appropriate for guiding. We then
performed detailed two-dimensional (2D) fluid dynamics simulations to examine the
properties and stability of the guiding structure.
PMID- 26560602
TI - Imaging with multi-spectral mosaic-array cameras.
AB - The emerging class of multi-spectral mosaic-array cameras combines opportunities
of spectral data processing and full-motion video color display. We explore
capabilities of such sensors and propose the novel demosaicking algorithm capable
of enhancing resolution of equally sampled multi-spectral mosaic imagery. We
present experimental results of the proposed processing using the imagery
acquired with a nine-band short-wave infrared mosaic-array camera.
PMID- 26560603
TI - Spatial heterodyne spectroscopy at the Naval Research Laboratory.
AB - Spatial heterodyne spectroscopy (SHS) is based on traditional Michelson
interferometry. However, instead of employing retro-reflectors in the
interferometer arms, one or both of which are moving, it uses fixed, tilted
diffraction gratings and an imaging detector to spatially sample the optical path
differences. This concept allows high-resolution, high-throughput spectroscopy
without moving interferometer parts, particularly suitable for problems that
require compact, robust instrumentation. Here, we briefly review about 20 years
of ground- and space-based SHS work performed at the U.S. Naval Research
Laboratory (NRL), which started with a visit by Prof. Fred Roesler to NRL in
1993.
PMID- 26560604
TI - Suspended photonic waveguide devices.
AB - This article describes recent research at the U.S. Naval Research Laboratory that
focuses on the use of micro- and nanomachining techniques for photonic waveguide
devices. By selectively etching a sacrificial layer that the waveguide core is
supported by, in whole or in part, the waveguide obtains enhanced properties and
functionality, such as mechanical flexibility, index contrast, birefringence, and
evanescent field depth. We describe how these properties enable unique waveguide
applications in areas such as cavity optomechanics, displacement sensing, electro
optics, and nonlinear optics.
PMID- 26560605
TI - Power photodiodes for high dynamic range photonic links.
AB - High-power photodiode applications for multioctave high dynamic range links are
presented. A review of modulator and photodiode distortion analysis is given as
well as an introduction to polarization-dependent loss distortion as it pertains
to such systems. A new analysis of the photodiode distortion contributed
degradation of spurious free dynamic range (SFDR) is developed. Experimental data
covers high-power photodiodes for zero-bias high dynamic range links, showing
significant improvement in SFDR. A link is presented showing the degradation of
link performance when polarization-dependent loss is added into the system. A
summary of state-of-the-art device performance is covered as well as the outlook
on future applications for power photodiodes in analog photonic links requiring
high SFDR.
PMID- 26560606
TI - Optical measurements from single levitated particles using a linear
electrodynamic quadrupole trap.
AB - We have recently made advancements in a linear electrodynamic quadrupole (LEQ)
device for capturing and levitating either single or multiple micro-particles
that provides significant improvements in capture efficiency, reliability, and
optical measurement access. We have used our LEQ to trap particles ranging from
30 to less than 0.5 MUm in size and provide a controlled environment to study
particle physical/chemical dependencies on temperature, relative humidity, and
gas constituents. To demonstrate this approach, we present data and analysis of
liquid-droplet evaporation rates for two materials: glycerol and dibutyl
sebacate. Droplet size was monitored as a function of time by two independent
optical methods: direct imaging and fixed-angle light scattering. This new
approach provides a means to rapidly characterize a wide range of aerosol
particle properties and a platform for development of new aerosol optical
diagnostic measurements.
PMID- 26560607
TI - InAlAs/InGaAs avalanche photodiode arrays for free space optical communication.
AB - In free space optical communication, photodetectors serve not only as
communications receivers but also as position sensitive detectors (PSDs) for
pointing, tracking, and stabilization. Typically, two separate detectors are
utilized to perform these tasks, but recent advances in the fabrication and
development of large-area, low-noise avalanche photodiode (APD) arrays have
enabled these devices to be used both as PSDs and as communications receivers.
This combined functionality allows for more flexibility and simplicity in optical
system design without sacrificing the sensitivity and bandwidth performance of
smaller, single-element data receivers. This work presents the development of APD
arrays rated for bandwidths beyond 1 GHz with measured carrier ionization ratios
of approximately 0.2 at moderate APD gains. We discuss the fabrication and
characterization of three types of APD arrays along with their performance as
high-speed photodetectors.
PMID- 26560608
TI - Free-space optical communications research and demonstrations at the U.S. Naval
Research Laboratory.
AB - Free-space optical communication can allow high-bandwidth data links that are
hard to detect, intercept, or jam. This makes them attractive for many
applications. However, these links also require very accurate pointing, and their
availability is affected by weather. These challenges have limited the deployment
of free-space optical systems. The U.S. Naval Research Laboratory has, for the
last 15 years, engaged in research into atmospheric propagation and photonic
components with a goal of characterizing and overcoming these limitations. In
addition several demonstrations of free-space optical links in real-world Navy
applications have been conducted. This paper reviews this work and the principles
guiding it.
PMID- 26560609
TI - High-power lasers for directed-energy applications.
AB - In this article, we review and discuss the research programs at the Naval
Research Laboratory (NRL) on high-power lasers for directed-energy (DE)
applications in the atmosphere. Physical processes affecting propagation include
absorption/scattering, turbulence, and thermal blooming. The power levels needed
for DE applications require combining a number of lasers. In atmospheric
turbulence, there is a maximum intensity that can be placed on a target that is
independent of the initial beam spot size and laser beam quality. By combining a
number of kW-class fiber lasers, scientists at the NRL have successfully
demonstrated high-power laser propagation in a turbulent atmosphere and wireless
recharging. In the NRL experiments, four incoherently combined fiber lasers
having a total power of 5 kW were propagated to a target 3.2 km away. These
successful high-power experiments in a realistic atmosphere formed the basis of
the Navy's Laser Weapon System. We compare the propagation characteristics of
coherently and incoherently combined beams without adaptive optics. There is
little difference in the energy on target between coherently and incoherently
combined laser beams for multi-km propagation ranges and moderate to high levels
of turbulence. Unlike incoherent combining, coherent combining places severe
constraints on the individual lasers. These include the requirement of narrow
power spectral linewidths in order to have long coherence times as well as
polarization alignment of all the lasers. These requirements are extremely
difficult for high-power lasers.
PMID- 26560611
TI - Ultraviolet and extreme ultraviolet spectroscopy of the solar corona at the Naval
Research Laboratory.
AB - We review the history of ultraviolet and extreme ultraviolet spectroscopy with a
specific focus on such activities at the Naval Research Laboratory and on studies
of the extended solar corona and solar-wind source regions. We describe the
problem of forecasting solar energetic particle events and discuss an
observational technique designed to solve this problem by detecting supra-thermal
seed particles as extended wings on spectral lines. Such seed particles are
believed to be a necessary prerequisite for particle acceleration by heliospheric
shock waves driven by a coronal mass ejection.
PMID- 26560610
TI - Overview of transparent optical ceramics for high-energy lasers at NRL.
AB - In this review, we present our recent research progress at the Naval Research
Laboratory in the development of highly transparent and rugged ceramic window
materials such as MgAl2O4 spinel and beta-SiC; high-power solid-state laser gain
materials based on sesquioxide such as Yb(3+):Y2O3, Yb(3+):Lu2O3, and
Ho(3+):Lu2O3; and composite ceramics in the application for high-energy lasers.
Various powder synthesis/purification methods and powder post-process techniques
necessary to create high-purity powders are described. Ceramic fabrication
processes and chemical, morphological, and optical properties of the ceramics
developed at the Naval Research Laboratory (NRL) are highlighted. We also report
high-efficiency lasing from a hot-pressed rare-earth sesquioxide single layer and
composite ceramics made from coprecipitated powder.
PMID- 26560612
TI - Using a multiwavelength LiDAR for improved remote sensing of natural waters.
AB - This paper describes research to characterize the benefits of a multiwavelength
oceanographic LiDAR for various water types. Field measurements were conducted to
establish endmembers representative of both typical and extremely challenging
natural conditions. Laboratory tests were performed using a prototype
multiwavelength LiDAR in water tanks with optical conditions simulating both
sediment-laden and biologically rich water types. LiDAR models were used to
simulate the LiDAR signal from both field and laboratory experiments. Our
measurements and models show that using a laser wavelength of 470-490 nm in the
open ocean leads to an improvement factor of 1.50-1.75 compared to a 532 nm
system. In more turbid areas using a laser wavelength of 560-580 nm leads to an
improvement factor of 1.25. We conclude by demonstrating how using multiple LiDAR
wavelengths can help detect and characterize constituents in the water column.
PMID- 26560613
TI - Wavelength dependence of the bidirectional reflectance distribution function
(BRDF) of beach sands.
AB - The wavelength dependence of the dominant directional reflective properties of
beach sands was demonstrated using principal component analysis and the related
correlation matrix. In general, we found that the hyperspectral bidirectional
reflectance distribution function (BRDF) of beach sands has weak wavelength
dependence. Its BRDF varies slightly in three broad wavelength regions. The
variations are more evident in surfaces of greater visual roughness than in
smooth surfaces. The weak wavelength dependence of the BRDF of beach sand can be
captured using three broad wavelength regions instead of hundreds of individual
wavelengths.
PMID- 26560614
TI - Review of infrared fiber-based components.
AB - The infrared range of the optical spectrum is attractive for its use in sensing,
surveillance, and material characterization. The increasing availability of
compact laser sources and detectors in the infrared range stands in contrast with
the limited development of optical components for this optical range. We
highlight developments of infrared components with a particular focus on fiber
based components for compact optical devices and systems.
PMID- 26560615
TI - Airborne system for multispectral, multiangle polarimetric imaging.
AB - In this paper, we describe the design, fabrication, calibration, and deployment
of an airborne multispectral polarimetric imager. The motivation for the
development of this instrument was to explore its ability to provide information
about water constituents, such as particle size and type. The instrument is based
on four 16 MP cameras and uses wire grid polarizers (aligned at 0 degrees , 45
degrees , 90 degrees , and 135 degrees ) to provide the separation of the
polarization states. A five-position filter wheel provides for four narrow-band
spectral filters (435, 550, 625, and 750 nm) and one blocked position for dark
level measurements. When flown, the instrument is mounted on a programmable stage
that provides control of the view angles. View angles that range to +/-65 degrees
from the nadir have been used. Data processing provides a measure of the
polarimetric signature as a function of both the view zenith and view azimuth
angles. As a validation of our initial results, we compare our measurements, over
water, with the output of a Monte Carlo code, both of which show neutral points
off the principle plane. The locations of the calculated and measured neutral
points are compared. The random error level in the measured degree of linear
polarization (8% at 435) is shown to be better than 0.25%.
PMID- 26560616
TI - Optics research at the U.S. Naval Research Laboratory.
AB - The Naval Research Laboratory (NRL) was established in Washington, DC in 1923 and
is the corporate laboratory for the U.S. Navy and Marine Corps. Today NRL is a
world-class research institution conducting a broad program of research and
development (R&D), including many areas of optical science and technology. NRL is
conducting cutting-edge R&D programs to explore new scientific areas to enable
unprecedented Navy capabilities as well as improving current technologies to
increase the effectiveness of Navy and other Department of Defense systems. This
paper provides a broad overview of many of NRL's achievements in optics. Some of
the remaining articles in this feature issue will discuss NRL's most recent
research in individual areas, while other articles will present more detailed
historical perspectives of NRL's research concerning particular scientific
topics.
PMID- 26560617
TI - Theoretical foundations of NRL spectral target detection algorithms.
AB - The principal spectral detection algorithms developed at the Naval Research
Laboratory (NRL) over the past 20 years for use in operational systems are
described. These include anomaly detectors, signature-based methods, and
techniques for anomalous change detection. Newer derivations are provided that
have motivated more recent work. Mathematical methods facilitating the use of
forward models for the prediction of spectral signature statistics are described
and a detection algorithm is derived for ocean surveillance that is based on
principles of clairvoyant fusion.
PMID- 26560618
TI - Recent white-light coronagraphs at the Naval Research Laboratory.
AB - A white-light externally occulted coronagraph is a telescope designed to view the
very faint emission of the solar corona in the region close to the sun. It uses
the Lyot principle to mitigate the effects of diffraction. The first such
telescope flew on a spacecraft in 1971 and showed the dynamic nature of the
corona. Since that mission, six other such coronagraphic telescopes have been
flown, whose designs evolved to meet the requirements of the mission. This
article describes the latest two coronagraphs and compares their capabilities and
their designs.
PMID- 26560619
TI - Review of antireflective surface structures on laser optics and windows.
AB - We present recent advancements in structured, antireflective surfaces on optics,
including crystals for high-energy lasers as well as windows for the infrared
wavelength region. These structured surfaces have been characterized and show
high transmission and laser damage thresholds, making them attractive for these
applications. We also present successful tests of windows with antireflective
surfaces that were exposed to simulated harsh environments for the application of
these laser systems.
PMID- 26560620
TI - Microwave photonic delay line signal processing.
AB - This paper provides a path for the design of state-of-the-art fiber-optic delay
lines for signal processing. The theoretical forms for various radio-frequency
system performance metrics are derived for four modulation types: X- and Z-cut
Mach-Zehnder modulators, a phase modulator with asymmetric Mach-Zehnder
interferometer, and a polarization modulator with control waveplate and
polarizing beam splitter. Each modulation type is considered to cover the current
and future needs for ideal system designs. System gain, compression point, and
third-order output intercept point are derived from the transfer matrices for
each modulation type. A discussion of optical amplifier placement and fiber
effect mitigation is offered. The paper concludes by detailing two high
performance delay lines, built for unique applications, that exhibit performance
levels an order of magnitude better than commercial delay lines. This paper
should serve as a guide to maximizing the performance of future systems and offer
a look into current and future research being done to further improve photonics
technologies.
PMID- 26560621
TI - Characterization of the temporal phase fluctuations in a weak atmospheric
turbulence regime as a random bit-stream generator.
AB - This paper investigates the extent to which atmospheric turbulence can be
exploited as a random bit generator. Atmospheric turbulence is considered an
inherently random process due to the complex inhomogeneous system composition and
its sensitivity to changes in pressure, temperature, humidity, and wind
conditions. A self-calibrating Mach-Zehnder interferometer was used to collect
phase fluctuations in the temporal domain introduced to an optical beam
propagating through the atmosphere. The recorded phase fluctuations were
converted into bit streams that were further analyzed in order to search for
evidence of randomness. Empirical data and results that characterize the degree
of randomness produced in the temporal phase component of an optical wave
propagating through the atmosphere are presented.
PMID- 26560622
TI - Some results from the exploration of the solar atmosphere with high-resolution x
ray-EUV spectroscopy at the Naval Research Laboratory.
AB - The Naval Research Laboratory has been one of the world leaders in high
resolution UV-x-ray solar spectroscopy. Much has been learned about the
morphology and physical conditions in the atmosphere from spectroscopic
instrumentation flown on orbiting spacecraft. In this short summary I discuss the
solar atmosphere and our current knowledge of it, and show some of the results
obtained by spectroscopic investigations at the Naval Research Laboratory.
PMID- 26560623
TI - Toward high throughput optical metamaterial assemblies.
AB - Optical metamaterials have unique engineered optical properties. These properties
arise from the careful organization of plasmonic elements. Transitioning these
properties from laboratory experiments to functional materials may lead to
disruptive technologies for controlling light. A significant issue impeding the
realization of optical metamaterial devices is the need for robust and efficient
assembly strategies to govern the order of the nanometer-sized elements while
enabling macroscopic throughput. This mini-review critically highlights recent
approaches and challenges in creating these artificial materials. As the ability
to assemble optical metamaterials improves, new unforeseen opportunities may
arise for revolutionary optical devices.
PMID- 26560624
TI - Spatially modulated laser pulses for printing electronics.
AB - The use of a digital micromirror device (DMD) in laser-induced forward transfer
(LIFT) is reviewed. Combining this technique with high-viscosity donor ink
(silver nanopaste) results in laser-printed features that are highly congruent in
shape and size to the incident laser beam spatial profile. The DMD empowers LIFT
to become a highly parallel, rapidly reconfigurable direct-write technology. By
adapting half-toning techniques to the DMD bitmap image, the laser transfer
threshold fluence for 10 MUm features can be reduced using an edge-enhanced beam
profile. The integration of LIFT with this beam-shaping technique allows the
printing of complex large-area patterns with a single laser pulse.
PMID- 26560625
TI - Optimizing average power in low quantum defect lasers.
AB - Waste heat generation is a generic problem in high-power solid-state laser
systems. One way to reduce heat loading while improving efficiency is to reduce
the laser's quantum defect. This paper presents a simple analysis of low quantum
defect laser materials. In these laser materials, the effects of fluorescent
cooling and weak loss processes should not be ignored. Simple expressions are
developed for efficiency and heating in a steady-state purely radiative material.
These expressions are then extended to include weak losses and fluorescence
reabsorption. Evaluation of these relations using ytterbium-doped YAG is used to
illustrate several optimization schemes and the impact of realistic losses.
PMID- 26560626
TI - Coherent light transmission properties of commercial photonic crystal hollow core
optical fiber.
AB - Photonic crystal hollow core fiber (PC-HCF) has enabled many exciting new
applications in nonlinear optics and spectroscopy. However, to date there has
been less impact in coherent applications where preservation of optical phase
over long fiber lengths is crucial. This paper presents characteristics of three
commercially available PC-HCFs relevant to coherent applications including higher
order mode analysis, birefringence and polarization-dependent loss, and their
impact on coherent light transmission in PC-HCF. Multipath interference due to
higher-order mode propagation and Fresnel reflection is shown to generate excess
intensity noise in transmission, which can be suppressed by up to 20 dB through
high frequency phase modulation of the source laser. To demonstrate the potential
of PC-HCF in high performance sensing, a Mach-Zehnder interferometer (MZI)
incorporating 10 m of PC-HCF in each arm is characterized and demonstrates a
phase resolution (59*10(-9) rad/Hz(1/2) at 30 kHz) close to the shot noise
limit, which is better than can be achieved in a MZI made with the same length of
single mode solid core fiber because of the limit set by fundamental
thermodynamic noise (74*10(-9) rad/Hz(1/2) at 30 kHz).
PMID- 26560627
TI - Combining semiconductor quantum dots and bioscaffolds into nanoscale energy
transfer devices.
AB - Significant advances have been made in the development of nanoscale devices
capable of exciton transport via Forster resonance energy transfer. Several
requirements must be met for effective operation, including a reliable energy
harvesting source along with highly organized, precisely placed energy relay
elements. For the latter, biological scaffolds such as DNA provide a
customizable, symmetric, and stable structure that can be site-specifically
modified with organic fluorophores. Here, advancements in nanoscale energy
transfer devices incorporating semiconductor nanocrystals and bioscaffolds are
reviewed with discussion of biofunctionalization, linker chemistries, design
considerations, and concluding with applications in light harvesting, multiplexed
biosensing, and optical logic.
PMID- 26560628
TI - Irradiance correlations in retro-reflected beams.
AB - Communications links that utilize modulating retro-reflectors can make use of
turbulence-induced fade information available at the remote data-signal terminal
in order to optimize the data transfer rate. Experiments were conducted to
measure the irradiance in both the direct and the retro-reflected beams. Both on
axis and off-axis components were recorded in order to further study the
enhancement in the scintillation index observed in the retro-reflected beam.
Measurements were made over a 1.8 km terrestrial range at AP Hill, Virginia. The
degree of correlation of the received irradiance between the direct and double
passage beams is found to approach 90% on-axis and 70% outside of the Fresnel
zone radius. The scintillation index in the retro-reflected beam is enhanced on
axis due to reciprocal optical paths. The measured scintillation indices, and the
correlation of the retro-reflected beam with the direct beam, are compared with a
point source, point scatterer, and point receiver model in the strong
scintillation approximation.
PMID- 26560629
TI - US Naval Research Laboratory focus issue: introduction.
AB - Rather than concentrate on a single topic, this feature issue presents the wide
variety of research in optics that takes place at a single institution, the
United States Naval Research Laboratory (NRL) and is analogous to an NRL feature
issue published in Applied Optics in 1967. NRL is the corporate research
laboratory for the Navy and Marine Corps. It conducts a broadly based
multidisciplinary program of scientific research and advanced technological
development in the physical, engineering, space, and environmental sciences
related to maritime, atmospheric, and space domains. NRL's research is directed
toward new and improved materials, techniques, equipment, and systems in response
to identified and anticipated Navy needs. A number of articles in this issue
review progress in broader research areas while other articles present the latest
results on specific topics.
PMID- 26560632
TI - Preformed Seeds Modulate Native Insulin Aggregation Kinetics.
AB - Insulin aggregates under storage conditions via disulfide interchange reaction.
It is also known to form aggregates at the site of repeated injections in
diabetes patients, leading to injection amyloidosis. This has fueled research in
pharmaceutical and biotechnology industry as well as in academia to understand
factors that modulate insulin stability and aggregation. The main aim of this
study is to understand the factors that modulate aggregation propensity of
insulin under conditions close to physiological and measure effect of "seeds" on
aggregation kinetics. We explored the aggregation kinetics of insulin at pH 7.2
and 37 degrees C in the presence of disulfide-reducing agent dithiothreitol
(DTT), using spectroscopy (UV-visible, fluorescence, and Fourier transform
infrared spectroscopy) and microscopy (scanning electron microscopy, atomic force
microscopy) techniques. We prepared insulin "seeds" by incubating disulfide
reduced insulin at pH 7.2 and 37 degrees C for varying lengths of time (10 min
to 12 h). These seeds were added to the native protein and nucleation-dependent
aggregation kinetics was measured. Aggregation kinetics was fastest in the
presence of 10 min seeds suggesting they were nascent. Interestingly,
intermediate seeds (30 min to 4 h incubation) resulted in formation of transient
fibrils in 4 h that converted to amorphous aggregates upon longer incubation of
24 h. Overall, the results show that insulin under disulfide reducing conditions
at pH and temperature close to physiological favors amorphous aggregate formation
and seed "maturity" plays an important role in nucleation dependent aggregation
kinetics.
PMID- 26560630
TI - The pig X and Y Chromosomes: structure, sequence, and evolution.
AB - We have generated an improved assembly and gene annotation of the pig X
Chromosome, and a first draft assembly of the pig Y Chromosome, by sequencing BAC
and fosmid clones from Duroc animals and incorporating information from optical
mapping and fiber-FISH. The X Chromosome carries 1033 annotated genes, 690 of
which are protein coding. Gene order closely matches that found in primates
(including humans) and carnivores (including cats and dogs), which is inferred to
be ancestral. Nevertheless, several protein-coding genes present on the human X
Chromosome were absent from the pig, and 38 pig-specific X-chromosomal genes were
annotated, 22 of which were olfactory receptors. The pig Y-specific Chromosome
sequence generated here comprises 30 megabases (Mb). A 15-Mb subset of this
sequence was assembled, revealing two clusters of male-specific low copy number
genes, separated by an ampliconic region including the HSFY gene family, which
together make up most of the short arm. Both clusters contain palindromes with
high sequence identity, presumably maintained by gene conversion. Many of the
ancestral X-related genes previously reported in at least one mammalian Y
Chromosome are represented either as active genes or partial sequences. This
sequencing project has allowed us to identify genes--both single copy and
amplified--on the pig Y Chromosome, to compare the pig X and Y Chromosomes for
homologous sequences, and thereby to reveal mechanisms underlying pig X and Y
Chromosome evolution.
PMID- 26560631
TI - The chromatin environment shapes DNA replication origin organization and defines
origin classes.
AB - To unveil the still-elusive nature of metazoan replication origins, we identified
them genome-wide and at unprecedented high-resolution in mouse ES cells. This
allowed initiation sites (IS) and initiation zones (IZ) to be differentiated. We
then characterized their genetic signatures and organization and integrated these
data with 43 chromatin marks and factors. Our results reveal that replication
origins can be grouped into three main classes with distinct organization,
chromatin environment, and sequence motifs. Class 1 contains relatively isolated,
low-efficiency origins that are poor in epigenetic marks and are enriched in an
asymmetric AC repeat at the initiation site. Late origins are mainly found in
this class. Class 2 origins are particularly rich in enhancer elements. Class 3
origins are the most efficient and are associated with open chromatin and
polycomb protein-enriched regions. The presence of Origin G-rich Repeated
elements (OGRE) potentially forming G-quadruplexes (G4) was confirmed at most
origins. These coincide with nucleosome-depleted regions located upstream of the
initiation sites, which are associated with a labile nucleosome containing
H3K64ac. These data demonstrate that specific chromatin landscapes and
combinations of specific signatures regulate origin localization. They explain
the frequently observed links between DNA replication and transcription. They
also emphasize the plasticity of metazoan replication origins and suggest that in
multicellular eukaryotes, the combination of distinct genetic features and
chromatin configurations act in synergy to define and adapt the origin profile.
PMID- 26560633
TI - An alternative clean-up column for the determination of polychlorinated biphenyls
in solid matrices.
AB - The need for continuous monitoring of polychlorinated biphenyls (PCBs) has
necessitated the development of analytical techniques that are sensitive and
selective with minimal reagent requirement. In light of this, we developed a
column for clean-up of soil and sediment extracts, which is less demanding in
terms of the amount of solvent and sorbent. The dual-layer column consists of
acidified silica gel and molecularly imprinted polymers (MIPs). MIPs were
synthesized via aqueous suspension polymerization using PCB 15 as the dummy
template, 4-vinylpyridine as the functional monomer and ethylene glycol
dimethacrylate as the cross-linker and the obtained particles characterized via
SEM, BET, and batch rebinding assays. Pre-concentration of the spiked real-world
water sample using MISPE gave recoveries between 85.2 and 104.4% (RSD < 8.69). On
the other hand, the specific dual-layer column designed for clean-up of extracts
from complex matrices provided recoveries of 91.6-102.5% (RSD < 4%) for spiked
soil, which was comparable to clean-up using acidified silica (70.4-90.5%; RSD <
3.72%) and sulfoxide modified silica (89.7-103.0%; RSD < 13.0%). However, the
polymers were reusable maintaining recoveries of 79.8-111.8% after 30 cycles of
regeneration and re-use, thereby availing a cost-effective clean-up procedure for
continuous monitoring of PCBs. Method detection limits were 0.01-0.08 ng g(-1)
and 0.002-0.01 ng mL(-1) for solid matrices and water, respectively.
PMID- 26560634
TI - Heterogeneity in the three-year course of major depression among older adults.
AB - OBJECTIVE: The objective of this research was to identify distinct trajectories
of recovery in older depressed patients in order to identify optimal samples and
points for interventions. METHODS: The sample was 368 patients ages 60 years and
older diagnosed with major depression and enrolled in a naturalistic treatment
study and followed for up to 3 years. RESULTS: A model with four trajectory
classes fit the data best: a quick recovery class (43%), a persistent moderate
symptom class (27%), a persistent high symptom class (15%), and a slow recovery
class (15%). Compared with patients in the quick recovery class, patients in the
persistent moderate symptom class had more instrumental activities of daily
living/mobility limitations and lower levels of subjective social support.
Patients in the persistent high symptom class had higher levels of perceived
stress and lower levels of social support compared with those with a quick
recovery. Patients in the slow recovery class had a younger age of onset compared
with those in the quick recovery group. In multinomial logistic regression,
levels of perceived stress and social support at baseline significantly differed
across classes controlling for demographic and health variables. CONCLUSIONS:
Older patients diagnosed with major depression can have varying patterns of
response to treatment. Interventions targeting those patients with higher levels
of perceived stress and lower levels of subjective social support at the time of
the index episode may lead to more favorable long-term trajectories. Copyright
(c) 2015 John Wiley & Sons, Ltd.
PMID- 26560635
TI - Grape seed and skin extract protects against arsenic trioxide induced oxidative
stress in rat heart.
AB - Arsenic is a metalloid found in water, soil, and air from natural and
anthropogenic sources, and is commonly found in inorganic as well as organic
forms. The clinical use of arsenic trioxide (As2O3) in the treatment of acute
promyelocytic leukemia (APL) is limited by its cardiotoxic side effects. Grape
seed and skin extract (GSSE) is a polyphenolic mixture with antioxidant
properties. This study aimed to evaluate the protective effect of GSSE on arsenic
induced cardiac oxidative stress and injury. Animals exposed to 2.5 mg/kg As2O3
for 21 days exhibited a relevant increase in heart lipoperoxidation, protein
carbonylation, and inflammation, as well as a drop in the activity of antioxidant
enzymes such as catalase (CAT), superoxide dismutase (SOD), and glutathione
peroxidase (GPx). In addition, As2O3 disturbed heart lipidemia and lipase
activity, transition metals distribution and the associated enzymes,
intracellular mediators such as calcium and the associated calpain activity, as
well as myocardial architecture. Treatment with 4 g/kg GSSE protected against
most of the deleterious effects provoked by As2O3. Our data suggest that GSSE has
the potential to protect against As2O3-induced cardiotoxicity.
PMID- 26560637
TI - Relation entre la mastication et la qualite de l'alimentation des aines de
l'Etude longitudinale quebecoise sur la nutrition et le vieillissement (NuAge).
AB - The objective of this study was to assess the relationship between the quality of
mastication and dietary intake among community-dwelling older adults (67-84
years). A secondary analysis of data collected upon entry of participants in the
NuAge study was effected. Perceived masticatory efficiency (n=1793) was measured
using a questionnaire inspired by the Oral Health Impact Profile. Direct
assessment of masticatory efficiency was carried out in a subsample (n=94), using
a validated clinical test (Swallowing Threshold Test Index, 0-100%). Dietary
intake was calculated from three non-consecutive 24h recalls. Mean scores
indicate good perceived masticatory efficiency (Men:26.22+/-0.19/28;Women:25.81+/
0.21/28), but weak assessed efficiency (Men:60.8% [CI(57.3-64.2)]; Women:61.2%
[CI(57.7-64.7)]). Multivariate regression analysis, adjusting for confounding
variables (age, sex, schooling, living alone, income, smoking, chronic
conditions, functional autonomy), showed that masticatory efficiency (measured or
perceived) was not associated with intake of fruits/vegetables, protein, vitamin
C or folic acid.
PMID- 26560636
TI - Inhibition of myeloperoxidase at the peak of experimental autoimmune
encephalomyelitis restores blood-brain barrier integrity and ameliorates disease
severity.
AB - Oxidative stress is thought to contribute to disease pathogenesis in the central
nervous system (CNS) disease multiple sclerosis (MS). Myeloperoxidase (MPO), a
potent peroxidase that generates toxic radicals and oxidants, is increased in the
CNS during MS. However, the exact mechanism whereby MPO drives MS pathology is
not known. We addressed this question by inhibiting MPO in mice with experimental
autoimmune encephalomyelitis (EAE) using our non-toxic MPO inhibitor N-acetyl
lysyltyrosylcysteine amide (KYC). We found that therapeutic administration of KYC
for 5 days starting at the peak of disease significantly attenuated EAE disease
severity, reduced myeloid cell numbers and permeability of the blood-brain
barrier. These data indicate that inhibition of MPO by KYC restores blood-brain
barrier integrity thereby limiting migration of myeloid cells into the CNS that
drive EAE pathogenesis. In addition, these observations indicate that KYC may be
an effective therapeutic agent for the treatment of MS. We propose that during
experimental autoimmune encephalomyelitis (EAE) onset macrophages and neutrophils
migrate into the CNS and upon activation release myeloperoxidase (MPO) that
promotes disruption of the blood-brain barrier (BBB) and disease progression. KYC
restores BBB function by inhibiting MPO activity and in so doing ameliorates
disease progression.
PMID- 26560638
TI - Novel carboxymethyl cellulose based nanocomposite membrane: Synthesis,
characterization and application in water treatment.
AB - Significant efforts have been made to develop composite membranes with high
adsorption efficiencies for water treatment. In this study, a carboxymethyl
cellulose-graft-poly(acrylic acid) membrane was synthesized in the presence of
silica gel, which was used as an inorganic support. Then, different amounts of
bentonite were introduced to the carboxymethyl cellulose (CMC) grafted networks
as a multifunctional crosslinker, and nanocomposite membranes were prepared. The
nanocomposite membranes were characterized using Fourier transform infrared
spectroscopy, and scanning electron microscopy, which revealed their compositions
and surface morphologies. The novel synthesized nanocomposite membranes were
utilized as adsorbents for the removal of crystal violet (CV) and cadmium (Cd
(II)) ions, which were selected as representatives of a dye and a heavy metal,
respectively. We explored the effects of various parameters, such as time, pH,
temperature, initial concentration of adsorbate solution and amount of adsorbent,
on membrane adsorption capacity. Furthermore, the kinetic, adsorption isotherm
models and thermodynamic were employed for the description of adsorption
processes. The maximum adsorption capacities of membranes for CV and Cd (II) ions
were found to be 546 and 781 mg g(-1), respectively. The adsorption of adsorbate
ions by all types of nanocomposite membranes followed pseudo-second-order kinetic
model and was best fit with the Freundlich adsorption isotherm. The results
indicated that the synthesized nanocomposite membrane is an efficient adsorbent
for the removal of cationic dye and metal contaminants from aqueous solution
during water treatment.
PMID- 26560639
TI - Chlorination and oxidation of sulfonamides by free chlorine: Identification and
behaviour of reaction products by UPLC-MS/MS.
AB - Sulfonamides (SAs) are one class of the most widely used antibiotics around the
world and have been frequently detected in municipal wastewater and surface water
in recent years. Their transformation in waste water treatment plants (WWTP) and
in water treatment plants (WTP), as well as, their fate and transport in the
aquatic environment are of concern. The reaction of six sulfonamides
(sulfamethoxazole, sulfapyridine, sulfamethazine, sulfamerazine, sulfathiazole
and sulfadiazine) with free chlorine was investigated at a laboratory scale in
order to identify the main chlorination by-products. A previously validated
method, liquid chromatography/mass spectrometry, was used to analyse SAs and
their chlorination by-products. At room temperature, pH 6-7, reaction times of up
to 2 h and an initial concentration of 2 mg/L of free chlorine, the majority of
SAs suffered degradation of around 65%, with the exception of sulfamethoxazole
and sulfathiazole (20%). The main reaction of SAs with free chlorine occurred in
the first minute.
PMID- 26560640
TI - Remediation and phytotoxicity of decabromodiphenyl ether contaminated soil by
zero valent iron nanoparticles immobilized in mesoporous silica microspheres.
AB - Polybrominated diphenyl ethers (PBDEs) are a new class of environmental
pollutants which easily accumulated in the soil, especially at e-waste sites.
However, knowledge about their phytotoxicity after degradation is not well
understood. Nano zero valent iron (nZVI) immobilized in mesoporous silica
microspheres covered with FeOOH (SiO2@FeOOH@Fe) synthesized in this study was
utilized to remove decabromodiphenyl ether (BDE209) from soil. Results revealed
that the removal efficiency of BDE209 can be achieved 78% within 120 h using a
dosage of 0.165 g g(-1) and a pH of 5.42. Furthermore, the removal efficiency
enhanced with increasing soil moisture content and the decreasing of initial
BDE209 concentration. Phytotoxicity assays (biomass and germination rate, shoots
and roots elongation of Chinese cabbage) were carried out to provide a
preliminary risk assessment of treated soil for the application of SiO2@FeOOH@Fe.
PMID- 26560641
TI - So close, so different: geothermal flux shapes divergent soil microbial
communities at neighbouring sites.
AB - This study is focused on the (micro)biogeochemical features of two close
geothermal sites (FAV1 and FAV2), both selected at the main exhalative area of
Pantelleria Island, Italy. A previous biogeochemical survey revealed high CH4
consumption and the presence of a diverse community of methanotrophs at FAV2
site, whereas the close site FAV1 was apparently devoid of methanotrophs and
recorded no CH4 consumption. Next-Generation Sequencing (NGS) techniques were
applied to describe the bacterial and archaeal communities which have been linked
to the physicochemical conditions and the geothermal sources of energy available
at the two sites. Both sites are dominated by Bacteria and host a negligible
component of ammonia-oxidizing Archaea (phylum Thaumarchaeota). The FAV2
bacterial community is characterized by an extraordinary diversity of
methanotrophs, with 40% of the sequences assigned to Methylocaldum, Methylobacter
(Gammaproteobacteria) and Bejerickia (Alphaproteobacteria); conversely, a
community of thermo-acidophilic chemolithotrophs (Acidithiobacillus,
Nitrosococcus) or putative chemolithotrophs (Ktedonobacter) dominates the FAV1
community, in the absence of methanotrophs. Since physical andchemical factors of
FAV1, such as temperature and pH, cannot be considered limiting for
methanotrophy, it is hypothesized that the main limiting factor for methanotrophs
could be high NH4(+) concentration. At the same time, abundant availability of
NH4(+) and other high energy electron donors and acceptors determined by the
hydrothermal flux in this site create more energetically favourable conditions
for chemolithotrophs that outcompete methanotrophs in non-nitrogen-limited soils.
PMID- 26560642
TI - Contact allergy to chlorhexidine in a tertiary dermatology clinic in Denmark.
AB - BACKGROUND: Chlorhexidine is a widely used disinfectant in the healthcare setting
and in cosmetic products. A high prevalence of chlorhexidine contact allergy was
reported in Denmark in the 1980s (2.0-5.4% of patients patch tested). It is
unknown whether the prevalence is still high, which products cause the contact
allergy, and whether accidental re-exposure occurs in some patients. OBJECTIVES:
To estimate the prevalence of chlorhexidine contact allergy in a tertiary
dermatology clinic in Denmark; to investigate whether patch testing with both
chlorhexidine diacetate and chlorhexidine digluconate is necessary; to
investigate how many patients have combined immediate-type allergy and contact
allergy; and to identify which products cause chlorhexidine contact allergy, and
whether patients are accidentally re-exposed. METHODS: This was a retrospective
study including all patients patch tested with chlorhexidine during 2003-2013 at
the Department of Dermato-Allergology at Copenhagen University Hospital Gentofte
(n = 8497). All patients with a positive patch test reaction to chlorhexidine
were sent a questionnaire comprising questions about the cause of the allergy and
re-exposure. RESULTS: Overall, 1.0% (n = 82) of all patients patch tested with
chlorhexidine were positive. A decrease in the prevalence was observed over time,
most likely because of lowering of the test concentration from 1.0 to 0.5% in
2008. Of the 82 patients, 28 (0.3%) had positive test reactions to both
chlorhexidine salts, 43 (0.5%) had a positive test reaction only to chlorhexidine
diacetate, and 11 (0.1%) had a positive test reaction to chlorhexidine
digluconate. Three patients were both patch test-positive and prick test
positive. A known cause of the allergy was reported by 19 patients (40%) in the
questionnaire: the products used in the healthcare setting were mainly reported,
but some reported cosmetic products. Accidental re-exposure was reported by 15
patients (32%), of whom 13 reported symptoms. CONCLUSIONS: The prevalence of
chlorhexidine contact allergy does not seem to be higher in Denmark than in other
European countries. Patch testing with both chlorhexidine diacetate and
chlorhexidine digluconate may be beneficial. Testing for immediate-type allergy
in patients with a positive patch test reaction to chlorhexidine is recommended.
Chlorhexidine-containing products used in the healthcare setting and in cosmetics
are potential causes of sensitization and allergy. Re-exposure is common,
highlighting the fact that patients and healthcare personnel need to be well
informed about possible sources of exposure.
PMID- 26560644
TI - Quantitative Neutron Dark-field Imaging through Spin-Echo Interferometry.
AB - Neutron dark-field imaging constitutes a seminal progress in the field of neutron
imaging as it combines real space resolution capability with information provided
by one of the most significant neutron scattering techniques, namely small angle
scattering. The success of structural characterizations bridging the gap between
macroscopic and microscopic features has been enabled by the introduction of
grating interferometers so far. The induced interference pattern, a spatial beam
modulation, allows for mapping of small-angle scattering signals and hence
addressing microstructures beyond direct spatial resolution of the imaging system
with high efficiency. However, to date the quantification in the small angle
scattering regime is severely limited by the monochromatic approach. To overcome
such drawback we here introduce an alternative and more flexible method of
interferometric beam modulation utilizing a spin-echo technique. This novel
method facilitates straightforward quantitative dark-field neutron imaging, i.e.
the required quantitative microstructural characterization combined with real
space image resolution. For the first time quantitative microstructural
reciprocal space information from small angle neutron scattering becomes
available together with macroscopic image information creating the potential to
quantify several orders of magnitude in structure sizes simultaneously.
PMID- 26560643
TI - Medically treated exacerbations in COPD by GOLD 1-4: A valid, robust, and
seemingly low-biased definition.
AB - AIM: We hypothesized that medically treated exacerbations in COPD defined as
treatments with oral corticosteroids alone or in combination with antibiotics by
register linkage with a nationwide prescription registry is a valid, robust and
low-biased measure of exacerbations. METHODS: A total of 13,591 individuals with
COPD in the Copenhagen General Population Study (2003-2013) were linked to the
Danish prescription registry. Exacerbations were defined as dispensing of oral
corticosteroids alone or in combination with antibiotics, dispensed less than
four weeks apart during three years of follow-up. Construct validity of this
definition of medically treated exacerbations was assessed by studying baseline
determinants as well as by studying the association between GOLD 1 through 4
grades and time to first exacerbation during follow-up. RESULTS: Among
individuals with COPD, 964 individuals (7.1%) had at least one exacerbation
during follow-up. At baseline, comparing those with versus without exacerbations
during follow-up, FEV1, 72% of predicted vs. 85% (p < 0.001), previous
exacerbations, 43% vs. 11% (p < 0.001), breathlessness, 33% vs. 14% (p < 0.001),
and use of inhaled medications, 54% vs. 14% (p < 0.001) were associated with
exacerbations. Compared to individuals with GOLD 1, the multivariable hazard
ratio (HR) for exacerbations was HR = 17.4 (12.3-24.5, p < 0.001) for GOLD 4, HR
= 4.8 (3.9-5.9, p < 0.001) for GOLD 3, and HR = 2.0 (1.7-2.3, p < 0.001) for GOLD
2. In sensitivity analyses, our definition of exacerbations was robust and
without major biases. CONCLUSIONS: Compared to individuals with GOLD 1, the risk
of exacerbations was 17-fold for GOLD 4, 5-fold for GOLD 3, and 2-fold for GOLD
2. Medically treated exacerbations defined by register linkage seem a valid,
robust, and low-biased measure of exacerbations in COPD.
PMID- 26560645
TI - Life without Fe-S clusters.
AB - Fe-S clusters are critically important cofactors implicated in numerous cellular
processes, including respiration, amino acid biosynthesis, cofactor biosynthesis,
tRNA modification, DNA repair and regulation of gene expression. In the
accompanying manuscript, Tanaka et al. show that reengineering of the isoprenoid
biosynthetic pathway in E. coli (to bypass the usage of essential Fe-S cluster
proteins by inserting the mevalonate pathway) can offset the indispensability of
the Fe-S cluster biosynthetic systems. They show that the resulting Deltaisc
Deltasuf double mutants supplemented with mevalonate can grow slowly without
detectable Fe-S cluster proteins. This result is astounding and raises
interesting questions about what is essential and what is dispensable in the
compendium of Fe-S cluster protein functions in this cell.
PMID- 26560673
TI - Tissue Distribution and Whole Body Burden of the Chlorinated Polyfluoroalkyl
Ether Sulfonic Acid F-53B in Crucian Carp (Carassius carassius): Evidence for a
Highly Bioaccumulative Contaminant of Emerging Concern.
AB - Following the global actions to phase out perfluoroctanesulfonic acid (PFOS) a
large number of alternative per- and polyfluoroalkyl substances, with poorly
defined hazard properties, are being used in increasing quantities. Here, we
report on the first detection of the chlorinated polyfluoroalkyl ether sulfonic
acid F-53B in biological samples and determine the tissue distribution and whole
body bioaccumulation factors (BAFwhole body) in crucian carp (Carassius
carassius). Analysis of fish samples from Xiaoqing River (XR) and Tangxun Lake
(TL) demonstrated a similar level of F-53B contamination with median
concentrations in blood of 41.9 and 20.9 ng/g, respectively. Tissue/blood ratios
showed that distribution of F-53B primarily occurs to the kidney (TL: 0.48, XR:
0.54), gonad (TL: 0.36, XR: 0.54), liver (TL: 0.38, XR: 0.53), and heart (TL:
0.47, XR: 0.47). Median Log BAFwhole body values for F-53B (XR: 4.124, TL: 4.322)
exceeded regulatory bioaccumulation criterion and were significantly higher than
those of PFOS in the same data sets (XR: 3.430, TL: 3.279). On the basis of its
apparent omnipresence and strong bioaccumulation propensity, it is hypothesized
that F-53B could explain a significant fraction of previously unidentified
organofluorine in biological samples from China, and regulatory actions for this
compound are encouraged.
PMID- 26560674
TI - Toddlers' bias to look at average versus obese figures relates to maternal anti
fat prejudice.
AB - Anti-fat prejudice (weight bias, obesity stigma) is strong, prevalent, and
increasing in adults and is associated with negative outcomes for those with
obesity. However, it is unknown how early in life this prejudice forms and the
reasons for its development. We examined whether infants and toddlers might
display an anti-fat bias and, if so, whether it was influenced by maternal anti
fat attitudes through a process of social learning. Mother-child dyads (N=70)
split into four age groups participated in a preferential looking paradigm
whereby children were presented with 10 pairs of average and obese human figures
in random order, and their viewing times (preferential looking) for the figures
were measured. Mothers' anti-fat prejudice and education were measured along with
mothers' and fathers' body mass index (BMI) and children's television viewing
time. We found that older infants (M=11months) had a bias for looking at the
obese figures, whereas older toddlers (M=32months) instead preferred looking at
the average-sized figures. Furthermore, older toddlers' preferential looking was
correlated significantly with maternal anti-fat attitudes. Parental BMI,
education, and children's television viewing time were unrelated to preferential
looking. Looking times might signal a precursor to explicit fat prejudice
socialized via maternal anti-fat attitudes.
PMID- 26560676
TI - Video tracking analysis of behavioral patterns during estrus in goats.
AB - Here, we report a new method for measuring behavioral patterns during estrus in
goats based on video tracking analysis. Data were collected from cycling goats,
which were in estrus (n = 8) or not in estrus (n = 8). An observation pen (2.5 m
* 2.5 m) was set up in the corner of the female paddock with one side adjacent to
a male paddock. The positions and movements of goats were tracked every 0.5 sec
for 10 min by using a video tracking software, and the trajectory data were used
for the analysis. There were no significant differences in the durations of
standing and walking or the total length of movement. However, the number of
approaches to a male and the duration of staying near the male were higher in
goats in estrus than in goats not in estrus. The proposed evaluation method may
be suitable for detailed monitoring of behavioral changes during estrus in goats.
PMID- 26560675
TI - Developmental dissociation between the maturation of procedural memory and
declarative memory.
AB - Declarative memory and procedural memory are known to be two fundamentally
different kinds of memory that are dissociable in their psychological
characteristics and measurement (explicit vs. implicit) and in the neural systems
that subserve each kind of memory. Declarative memory abilities are known to
improve from childhood through young adulthood, but the developmental maturation
of procedural memory is largely unknown. We compared 10-year-old children and
young adults on measures of declarative memory and working memory capacity and on
four measures of procedural memory that have been strongly dissociated from
declarative memory (mirror tracing, rotary pursuit, probabilistic classification,
and artificial grammar). Children had lesser declarative memory ability and
lesser working memory capacity than adults, but children exhibited learning
equivalent to adults on all four measures of procedural memory. Therefore,
declarative memory and procedural memory are developmentally dissociable, with
procedural memory being adult-like by age 10years and declarative memory
continuing to mature into young adulthood.
PMID- 26560677
TI - Computer-aided detection of cerebral microbleeds in susceptibility-weighted
imaging.
AB - Susceptibility-weighted imaging (SWI) is recognized as the preferred MRI
technique for visualizing cerebral vasculature and related pathologies such as
cerebral microbleeds (CMBs). Manual identification of CMBs is time-consuming, has
limited reliability and reproducibility, and is prone to misinterpretation. In
this paper, a novel computer-aided microbleed detection technique based on
machine learning is presented: First, spherical-like objects (potential CMB
candidates) with their corresponding bounding boxes were detected using a novel
multi-scale Laplacian of Gaussian technique. A set of robust 3-dimensional Radon-
and Hessian-based shape descriptors within each bounding box were then extracted
to train a cascade of binary random forests (RF). The cascade consists of
consecutive independent RF classifiers with low to high posterior probability
constraints to handle imbalanced training sets (CMBs and non-CMBs), and to
progressively improve detection rates. The proposed method was validated on 66
subjects whose CMBs were manually stratified into "possible" and "definite" by
two medical experts. The proposed technique achieved a sensitivity of 87% and an
average false detection rate of 27.1 CMBs per subject on the "possible and
definite" set. A sensitivity of 93% and false detection rate of 10 CMBs per
subject was also achieved on the "definite" set. The proposed automated approach
outperforms state of the art methods, and promises to enhance manual expert
screening. Benefits include improved reliability, minimization of intra-rater
variability and a reduction in assessment time.
PMID- 26560678
TI - Women in Cardiology: Very Few, Different Work, Different Pay.
PMID- 26560679
TI - Work Activities and Compensation of Male and Female Cardiologists.
AB - BACKGROUND: Much remains unknown about experiences, including working activities
and pay, of women in cardiology, which is a predominantly male specialty.
OBJECTIVES: The goal of this study was to describe the working activities and pay
of female cardiologists compared with their male colleagues and to determine
whether sex differences in compensation exist after accounting for differences in
work activities and other characteristics. METHODS: The personal, job, and
practice characteristics of a national sample of practicing cardiologists were
described according to sex. We applied the Peters-Belson technique and
multivariate regression analysis to evaluate whether gender differences in
compensation existed after accounting for differences in other measured
characteristics. The study used 2013 data reported by practice administrators to
MedAxiom, a subscription-based service provider to cardiology practices. Data
regarding cardiologists from 161 U.S. practices were included, and the study
sample included 2,679 subjects (229 women and 2,450 men). RESULTS: Women were
more likely to be specialized in general/noninvasive cardiology (53.1% vs.
28.2%), and a lower proportion (11.4% vs. 39.3%) reported an interventional
subspecialty compared with men. Job characteristics that differed according to
sex included the proportion working full-time (79.9% vs. 90.9%; p < 0.001), the
mean number of half-days worked (387 vs. 406 days; p = 0.001), and mean work
relative value units generated (7,404 vs. 9,497; p < 0.001) for women and men,
respectively. Peters-Belson analysis revealed that based on measured job and
productivity characteristics, the women in this sample would have been expected
to have a mean salary that was $31,749 (95% confidence interval: $16,303 to
$48,028) higher than that actually observed. Multivariate analysis confirmed the
direction and magnitude of the independent association between sex and salary.
CONCLUSIONS: Men and women practicing cardiology in this national sample had
different job activities and salaries. Substantial sex-based salary differences
existed even after adjusting for measures of personal, job, and practice
characteristics.
PMID- 26560680
TI - Towards cell-free isobutanol production: Development of a novel immobilized
enzyme system.
AB - Producing fuels and chemical intermediates with cell cultures is severely limited
by low product concentrations (<=0.2%(v/v)) due to feedback inhibition, cell
instability, and lack of economical product recovery processes. We have developed
an alternate simplified production scheme based on a cell-free immobilized enzyme
system. Two immobilized enzymes (keto-acid decarboxylase (KdcA) and alcohol
dehydrogenase (ADH)) and one enzyme in solution (formate dehydrogenase (FDH) for
NADH recycle) produced isobutanol titers 8 to 20 times higher than the highest
reported titers with S. cerevisiae on a mol/mol basis. These high conversion
rates and low protein leaching were achieved by covalent immobilization of
enzymes (ADH) and enzyme fusions (fKdcA) on methacrylate resin. The new enzyme
system without in situ removal of isobutanol achieved a 55% conversion of
ketoisovaleric acid to isobutanol at a concentration of 0.135 (mole isobutanol
produced for each mole ketoisovaleric acid consumed). Further increasing titer
will require continuous removal of the isobutanol using an in situ recovery
system.
PMID- 26560681
TI - Early prosthetic valve endocarditis caused by Corynebacterium kroppenstedtii.
AB - Corynebacterium (C.) kroppenstedtii is a rarely detected agent of bacterial
infections in humans. Here, we describe the first case of prosthetic valve
endocarditis caused by C. kroppenstedtii. Application of molecular methods using
surgically excised valve tissue was a cornerstone for the establishment of the
microbiological diagnosis, which is crucial for targeted antimicrobial treatment.
PMID- 26560682
TI - Gas Chromatography Coupled to Atmospheric Pressure Chemical Ionization FT-ICR
Mass Spectrometry for Improvement of Data Reliability.
AB - Atmospheric pressure chemical ionization (APCI) offers the advantage of molecular
ion information with low fragmentation. Hyphenating APCI to gas chromatography
(GC) and ultrahigh resolution mass spectrometry (FT-ICR MS) enables an improved
characterization of complex mixtures. Data amounts acquired by this system are
very huge, and existing peak picking algorithms are usually extremely time
consuming, if both gas chromatographic and ultrahigh resolution mass
spectrometric data are concerned. Therefore, automatic routines are developed
that are capable of handling these data sets and further allow the identification
and removal of known ionization artifacts (e.g., water- and oxygen-adducts,
demethylation, dehydrogenation, and decarboxylation). Furthermore, the data
quality is enhanced by the prediction of an estimated retention index, which is
calculated simply from exact mass data combined with a double bond equivalent
correction. This retention index is used to identify mismatched elemental
compositions. The approach was successfully tested for analysis of semivolatile
components in heavy fuel oil and diesel fuel as well as primary combustion
particles emitted by a ship diesel research engine. As a result, 10-28% of the
detected compounds, mainly low abundant species, classically assigned by using
only the mass spectrometric information, were identified as not valid and
removed. Although GC separation is limited by the slow acquisition rate of the FT
ICR MS (<1 Hz), a database driven retention time comparison, as commonly used for
low resolution GC/MS, can be applied for revealing isomeric information.
PMID- 26560683
TI - Targeted therapy for stress urinary incontinence: a systematic review based on
clinical trials.
AB - INTRODUCTION: Controversy exists regarding the therapeutic benefit of cell-based
therapy in the treatment of stress urinary incontinence (SUI). AREAS COVERED: The
aim of this systematic review was to evaluate evidence regarding the therapeutic
effect and safety of cell-based therapy in the treatment of SUI and to propose a
new approach to SUI treatment utilizing tissue engineering methodologies. We have
thoroughly reviewed the literature using PubMed in order to identify only
original, clinical studies involving cell therapy for SUI. EXPERT OPINION: Cell
based therapy, as practiced today, is a safe but ineffective method for SUI
treatment. The key to an optimal therapeutic outcome in SUI is accurate diagnosis
combined with targeted therapy. Targeted therapy in SUI should be based on cell
implantation to restore and regenerate the damaged urethral sphincter and/or the
construction of a neo-pubourethral ligament utilizing tissue engineering
methodologies.
PMID- 26560684
TI - Accessibility of outpatient healthcare providers for wheelchair users: Pilot
study.
AB - The Americans with Disabilities Act (ADA) requires full and equal access to
healthcare services and facilities, yet studies indicate individuals with
mobility disabilities receive less than thorough care as a result of ADA
noncompliance. The objective of our pilot study was to assess ADA compliance
within a convenience sample of healthcare clinics affiliated with a statewide
healthcare network. Site assessments based on the ADA Accessibility Guidelines
for Buildings and Facilities were performed at 30 primary care and specialty care
clinics. Clinical managers completed a questionnaire on standard practices for
examining and treating patients whose primary means of mobility is a wheelchair.
We found a majority of restrooms (83%) and examination rooms (93%) were
noncompliant with one or more ADA requirements. Seventy percent of clinical
managers reported not owning a height-adjustable examination table or wheelchair
accessible weight scale. Furthermore, patients were examined in their wheelchairs
(70%-87%), asked to bring someone to assist with transfers (30%), or referred
elsewhere due to an inaccessible clinic (6%). These methods of accommodation are
not compliant with the ADA. We recommend clinics conduct ADA self-assessments and
provide training for clinical staff on the ADA and requirements for accommodating
individuals with mobility disabilities.
PMID- 26560685
TI - Infectious Complications Following Small Bowel Transplantation.
AB - Microbiological spectrum and outcome of infectious complications following small
bowel transplantation (SBT) have not been thoroughly characterized. We performed
a retrospective analysis of all patients undergoing SBT from 2004 to 2013 in
Spain. Sixty-nine patients underwent a total of 87 SBT procedures (65 pediatric,
22 adult). The median follow-up was 867 days. Overall, 81 transplant patients
(93.1%) developed 263 episodes of infection (incidence rate: 2.81 episodes per
1000 transplant-days), with no significant differences between adult and
pediatric populations. Most infections were bacterial (47.5%). Despite universal
prophylaxis, 22 transplant patients (25.3%) developed cytomegalovirus disease,
mainly in the form of enteritis. Specifically, 54 episodes of opportunistic
infection (OI) occurred in 35 transplant patients. Infection was the major cause
of mortality (17 of 24 deaths). Multivariate analysis identified
retransplantation (hazard ratio [HR]: 2.21; 95% confidence interval [CI]: 1.02
4.80; p = 0.046) and posttransplant renal replacement therapy (RRT; HR: 4.19; 95%
CI: 1.40-12.60; p = 0.011) as risk factors for OI. RRT was also a risk factor for
invasive fungal disease (IFD; HR: 24.90; 95% CI: 5.35-115.91; p < 0.001). In
conclusion, infection is the most frequent complication and the leading cause of
death following SBT. Posttransplant RRT and retransplantation identify those
recipients at high risk for developing OI and IFD.
PMID- 26560687
TI - Hydrogen Peroxide Complex of Zinc.
AB - Metal(H2O2) complexes have been implicated in kinetic and computational studies
but have never been observed. Accordingly, H2O2 has been described as a very weak
ligand. We report the first metal(H2O2) adduct, which is made possible by
incorporating intramolecular hydrogen-bonding interactions with bound H2O2. This
Zn(II)(H2O2) complex decays in solution by a second-order process that is slow
enough to enable characterization of this species by X-ray crystallography. This
report speaks to the intermediacy of metal(H2O2) adducts in chemistry and biology
and opens the door to exploration of these species in oxidation catalysis.
PMID- 26560686
TI - Synthesis of Ethers via Reaction of Carbanions and Monoperoxyacetals.
AB - Although transfer of electrophilic alkoxyl ("RO+") from organic peroxides to
organometallics offers a complement to traditional methods for etherification,
application has been limited by constraints associated with peroxide reactivity
and stability. We now demonstrate that readily prepared tetrahydropyranyl
monoperoxyacetals react with sp(3) and sp(2) organolithium and organomagnesium
reagents to furnish moderate to high yields of ethers. The method is successfully
applied to the synthesis of alkyl, alkenyl, aryl, heteroaryl, and cyclopropyl
ethers, mixed O,O-acetals, and S,S,O-orthoesters. In contrast to reactions of
dialkyl and alkyl/silyl peroxides, the displacements of monoperoxyacetals provide
no evidence for alkoxy radical intermediates. At the same time, the high yields
observed for transfer of primary, secondary, or tertiary alkoxides, the latter
involving attack on neopentyl oxygen, are inconsistent with an SN2 mechanism.
Theoretical studies suggest a mechanism involving Lewis acid promoted insertion
of organometallics into the O-O bond.
PMID- 26560688
TI - A new role for an old drug: Ambroxol triggers lysosomal exocytosis via pH
dependent Ca2+ release from acidic Ca2+ stores.
AB - Ambroxol (Ax) is a frequently prescribed drug used to facilitate mucociliary
clearance, but its mode of action is yet poorly understood. Here we show by X-ray
spectroscopy that Ax accumulates in lamellar bodies (LBs), the surfactant
storing, secretory lysosomes of type II pneumocytes. Using lyso- and acidotropic
substances in combination with fluorescence imaging we confirm that these
vesicles belong to the class of acidic Ca(2+) stores. Ax lead to a significant
neutralization of LB pH, followed by intracellular Ca(2+) release, and to a dose
dependent surfactant exocytosis. Ax-induced Ca(2+) release was significantly
reduced and slowed down by pretreatment of the cells with bafilomycin A1 (Baf
A1), an inhibitor of the vesicular H(+) ATPase. These results could be nearly
reproduced with NH3/NH4(+). The findings suggest that Ax accumulates within LBs
and severely affects their H(+) and Ca(2+) homeostasis. This is further supported
by an Ax-induced change of nanostructural assembly of surfactant layers. We
conclude that Ax profoundly affects LBs presumably by disordering lipid bilayers
and by acting as a weak base. The pH change triggers - at least in part - Ca(2+)
release from stores and secretion of surfactant from type II cells. This novel
mechanism of Ax as a lysosomal secretagogue may also play a role for its recently
discussed use for lysosomal storage and other degenerative diseases.
PMID- 26560689
TI - Current concepts and future potential in neoadjuvant chemotherapy for esophageal
cancer.
AB - Many trials have evaluated preoperative chemotherapy for the treatment of locally
advanced esophageal cancer (LAEC). Most studies were small with conflicting
results and no clear evidence of survival advantage. However, two large trials
that included squamous cell carcinomas and adenocarcinomas of the esophagus
produced opposite outcomes with one showing limited benefit and the other showing
none. Recent meta-analyses suggest only a modest benefit from induction
chemotherapy in the treatment of LAEC. Two factors associated with prolonged
survival are: (1) an R0 resection and (2) pathological complete remission.
Preoperative chemotherapy is preferred in Europe for adenocarcinomas; however,
chemoradiation has been the treatment of choice in the US. The individualization
and optimization of therapy for esophageal cancer patients may come from an in
depth understanding of molecular biology and the development of predictive
biomarkers. The use of targeted and immunotherapy agents in the preoperative
setting are also promising and warrant further evaluation.
PMID- 26560690
TI - University-level nutrition training in West Africa: cost and financing issues.
AB - BACKGROUND: There is a serious shortage of skilled nutrition professionals in
West Africa. Investing in nutrition training is one of the strategies for
strengthening the human resource base in nutrition. However, little is known
about how nutrition training in the region is financed and the levels of tuition
fees charged. The purpose of this study was to provide a comprehensive assessment
about the levels of tuition fees charged for nutrition training in the West
Africa region and to determine to what extent this is of reach to the average
student. METHODOLOGY: The data for this study were obtained from 74 nutrition
degree programs operating in nine West African countries in 2013 through semi
structured interviews during on-site visits or through self-administered
questionnaires. They included the age of the programs, school ownership, tuition
fees, financial assistance, and main sources of funding. Tuition fees (in 2013
US$) were expressed per program to enable uniformity and comparability. Simple
descriptive and bivariate analyses were performed. RESULTS: Results from 74
nutrition training programs in nine countries showed a wide variation in tuition
fees within and between countries. The tuition fees for bachelor's, master's, and
doctoral programs, respectively, ranged from 372 to 4,325 (mean: 2,353); 162 to
7,678 (mean: 2,232); and 369 to 5,600 (mean: 2,208). The tuition fees were
significantly higher (p<0.05) in private institutions than in public institutions
(mean: US$3,079 vs. US$2,029 for bachelor's programs; US$5,118 vs. US$1,820 for
master's programs; and US$3,076 vs. US$1,815 for doctoral programs). The
difference in the tuition fees between Francophone and Anglophone countries was
not statistically significant (mean: US$2,570 vs. US$2,216 for bachelor's
programs; US$2,417 vs. US$2,147 for master's programs; US$3,285 vs. US$2,055 for
doctoral programs). In most countries, the tuition fees appeared to be out of
reach of the average student. Recent master's programs appeared to charge higher
fees than older ones. We found a significant negative correlation between tuition
fees and the age of the program, after controlling for school ownership (r=-0.33,
p<0.001). CONCLUSIONS: Our findings underscore the urgent need for national
governments in the region to establish benchmarks and regulate nutrition training
costs. In a region where the average annual gross national income (GNI) per
capita is barely 890$, the rising cost of tuition fees is likely to hinder access
of students from poor background to nutrition training. Governments should
institute financing mechanisms such as scholarships, public-private partnerships,
credit facilities, and donor funding to facilitate access to tertiary-level
nutrition training in the region.
PMID- 26560691
TI - The absence of physiological neonatal weight loss on the 1st-5th day is
associated with decreased later physical indices.
AB - AIM: To investigate associations between physiological neonatal weight loss on
the 1st-5th day and physical indices from birth up to the age of 17 years.
METHODS: Data were derived from the personal health records of healthy, full-term
and breastfed children born in Vilnius in 1990 and 1996. Five hundred and thirty
children (289 boys and 241 girls) who left a maternity unit on the 1st-5th day
after birth were included in the analysis. RESULTS: Infants left the maternity
unit on day 4.62 +/- 2.33. On the day of leaving a maternity unit, infants lost
105.06 +/- 130.48 g (2.85 +/- 3.65%) of birth weight. Girls who did not lose or
gained weight after birth had already weighed less at birth (3163 +/- 547 and
3490 +/- 403 g, respectively, p < 0.01) and remained lighter up to the age of 17
years (54.3 +/- 8.7 and 60.8 +/- 10.1 kg at the age of 17 years respectively, p <
0.001). Girls who did not lose or gained weight after birth were also shorter
than those who lost weight (164.3 +/- 5.7 and 168.6 +/- 5.4 cm at the age of 17
years, respectively, p < 0.001). CONCLUSION: Girls who did not lose or gained
weight immediately after birth tended to remain shorter and lighter during
childhood and adolescence. Only a few statistically significant differences were
obtained in boys.
PMID- 26560692
TI - NurA Is Endowed with Endo- and Exonuclease Activities that Are Modulated by HerA:
New Insight into Their Role in DNA-End Processing.
AB - The nuclease NurA and the ATPase HerA are present in all known thermophilic
archaea and cooperate with the highly conserved MRE11/RAD50 proteins to
facilitate efficient DNA double-strand break end processing during homologous
recombinational repair. However, contradictory results have been reported on the
exact activities and mutual dependence of these two enzymes. To understand the
functional relationship between these two enzymes we deeply characterized
Sulfolobus solfataricus NurA and HerA proteins. We found that NurA is endowed
with exo- and endonuclease activities on various DNA substrates, including linear
(single-stranded and double stranded) as well as circular molecules (single
stranded and supercoiled double-stranded). All these activities are not strictly
dependent on the presence of HerA, require divalent ions (preferably Mn2+), and
are inhibited by the presence of ATP. The endo- and exonculease activities have
distinct requirements: whereas the exonuclease activity on linear DNA fragments
is stimulated by HerA and depends on the catalytic D58 residue, the endonuclease
activity on circular double-stranded DNA is HerA-independent and is not affected
by the D58A mutation. On the basis of our results we propose a mechanism of
action of NurA/HerA complex during DNA end processing.
PMID- 26560694
TI - Harm to Others from Substance Use and Abuse: The Underused Potential in
Nationwide Registers.
AB - This article considers the potential in using nationwide registers to study harm
to others from substance use and abuse. The advantages of using registry data
include the opportunity to include the data on the entire population nationwide
and continuously updated longitudinal datasets; they allow for studying small
subpopulations and have little missing data. Personal identification numbers and
family numbers enable linkage of data from different registers. Such datasets can
include extensive information on individual and family levels. In this article,
we provide an introduction to nationwide registers and explain how they can be
applied to investigate two types of third-party harms: harm to children and harm
to partners/spouses from substance use and abuse in parents and partners/spouses.
Finally, we discuss challenges, benefits, and ethical considerations regarding
the use of such data.
PMID- 26560693
TI - The molecular architecture of the Dam1 kinetochore complex is defined by cross
linking based structural modelling.
AB - Accurate segregation of chromosomes during cell division is essential. The Dam1
complex binds kinetochores to microtubules and its oligomerization is required to
form strong attachments. It is a key target of Aurora B kinase, which
destabilizes erroneous attachments allowing subsequent correction. Understanding
the roles and regulation of the Dam1 complex requires structural information.
Here we apply cross-linking/mass spectrometry and structural modelling to
determine the molecular architecture of the Dam1 complex. We find microtubule
attachment is accompanied by substantial conformational changes, with direct
binding mediated by the carboxy termini of Dam1p and Duo1p. Aurora B
phosphorylation of Dam1p C terminus weakens direct interaction with the
microtubule. Furthermore, the Dam1p amino terminus forms an interaction interface
between Dam1 complexes, which is also disrupted by phosphorylation. Our results
demonstrate that Aurora B inhibits both direct interaction with the microtubule
and oligomerization of the Dam1 complex to drive error correction during mitosis.
PMID- 26560696
TI - Microstructures of Organometal Trihalide Perovskites for Solar Cells: Their
Evolution from Solutions and Characterization.
AB - The use of organometal trihalide perovskites (OTPs) in perovskite solar cells
(PSCs) is revolutionizing the field of photovoltaics, which is being led by
advances in solution processing of OTP thin films. First, we look at fundamental
phenomena pertaining to nucleation/growth, coarsening, and microstructural
evolution involved in the solution-processing of OTP thin films for PSCs from a
materials-science perspective. Established scientific principles that govern some
of these phenomena are invoked in the context of specific literature examples of
solution-processed OTP thin films. Second, the nature and the unique
characteristics of OTP thin-film microstructures themselves are discussed from a
materials-science perspective. Finally, we discuss the challenges and
opportunities in the characterization of OTP thin films for not only gaining a
deep understanding of defects and microstructures but also elucidating classical
and nonclassical phenomena pertaining to nucleation/growth, coarsening, and
microstructural evolution in these films. The overall goal is to have
deterministic control over the solution-processing of tailored OTP thin films
with desired morphologies and microstructures.
PMID- 26560697
TI - Recent research in flaxseed (oil seed) on molecular structure and metabolic
characteristics of protein, heat processing-induced effect and nutrition with
advanced synchrotron-based molecular techniques.
AB - Advanced synchrotron radiation-based infrared microspectroscopy is able to reveal
feed and food structure feature at cellular and molecular levels and
simultaneously provides composition, structure, environment, and chemistry within
intact tissue. However, to date, this advanced synchrotron-based technique is
still seldom known to food and feed scientists. This article aims to provide
detailed background for flaxseed (oil seed) protein research and then review
recent progress and development in flaxseed research in ruminant nutrition in the
areas of (1) dietary inclusion of flaxseed in rations; (2) heat processing
effect; (3) assessing dietary protein; (4) synchrotron-based Fourier transform
infrared microspectroscopy as a tool of nutritive evaluation within cellular and
subcellular dimensions; (5) recent synchrotron applications in flaxseed research
on a molecular basis. The information described in this paper gives better
insight in flaxseed research progress and update.
PMID- 26560699
TI - Opening clinical trial data: are the voluntary data-sharing portals enough?
AB - Data generated by the numerous clinical trials conducted annually worldwide have
the potential to be extremely beneficial to the scientific and patient
communities. This potential is well recognized and efforts are being made to
encourage the release of raw patient-level data from these trials to the public.
The issue of sharing clinical trial data has recently gained attention, with many
agreeing that this type of data should be made available for research in a timely
manner. The availability of clinical trial data is most important for study
reproducibility, meta-analyses, and improvement of study design. There is much
discussion in the community over key data sharing issues, including the risks
this practice holds. However, one aspect that remains to be adequately addressed
is that of the accessibility, quality, and usability of the data being shared.
Herein, experiences with the two current major platforms used to store and
disseminate clinical trial data are described, discussing the issues encountered
and suggesting possible solutions.
PMID- 26560698
TI - Tumor progression locus 2 ablation suppressed hepatocellular carcinoma
development by inhibiting hepatic inflammation and steatosis in mice.
AB - BACKGROUND: Tumor progression locus 2 (TPL2), a serine-threonine kinase,
functions as a critical regulator of inflammatory pathways and mediates oncogenic
events. The potential role of Tpl2 in nonalcoholic fatty liver disease (NAFLD)
associated hepatocellular carcinoma (HCC) development remains unknown. METHODS:
Both wild-type and Tpl2 knockout male mice were initiated by a hepatic carcinogen
(diethylnitrosamine, i.p. with a single dose of 25 mg.kg(-1))at 2 weeks of age,
and then were given the high carbohydrate diet feeding to induce hepatic
steatosis, inflammation, adenoma and HCC for 24 weeks. RESULTS: Tpl2 knockout
mice had significantly lower incidences of liver tumor and developed
hepatocellular adenoma only, which is contrast to wild-type mice where they all
developed HCC. Tpl2 knockout mice had significantly down-regulated
phosphorylation of JNK and ERK, and levels of mRNA expression of pro-inflammatory
cytokines (Il-1beta, Il-18, Mcp-1 and Nalp3), which correlated with the reduced
incidence and number of hepatic inflammatory foci. Furthermore, Tpl2 ablation
resulted in decreased hepatic steatosis and expression of de novo lipogenesis
related markers (ACC, SCD1, SREBP1C and AKT phosphorylation), as well as
reduction of endoplasmic reticulum stress biomarkers PERK and eIF-2a. CONCLUSION:
The study revealed for the first time that Tpl2 plays a significant role in
promoting HCC development by its pro-inflammatory effect, which suggested that
Tpl2 could be a molecular target for HCC prevention.
PMID- 26560701
TI - Online communication predicts Belgian adolescents' initiation of romantic and
sexual activity.
AB - Online communication is associated with offline romantic and sexual activity
among college students. Yet, it is unknown whether online communication is
associated with the initiation of romantic and sexual activity among adolescents.
This two-wave panel study investigated whether chatting, visiting dating
websites, and visiting erotic contact websites predicted adolescents' initiation
of romantic and sexual activity. We analyzed two-wave panel data from 1163
Belgian adolescents who participated in the MORES Study. We investigated the
longitudinal impact of online communication on the initiation of romantic
relationships and sexual intercourse using logistic regression analyses. The odds
ratios of initiating a romantic relationship among romantically inexperienced
adolescents who frequently used chat rooms, dating websites, or erotic contact
websites were two to three times larger than those of non-users. Among sexually
inexperienced adolescents who frequently used chat rooms, dating websites, or
erotic contact websites, the odds ratios of initiating sexual intercourse were
two to five times larger than that among non-users, even after a number of other
relevant factors were introduced. CONCLUSION: This is the first study to
demonstrate that online communication predicts the initiation of offline sexual
and romantic activity as early as adolescence. Practitioners and parents need to
consider the role of online communication in adolescents' developing sexuality.
WHAT IS KNOWN: * Adolescents increasingly communicate online with peers. * Online
communication predicts romantic and sexual activity among college students. What
is New: * Online communication predicts adolescents' offline romantic activity
over time. * Online communication predicts adolescents' offline sexual activity
over time.
PMID- 26560700
TI - Psychostimulant-Induced Testicular Toxicity in Mice: Evidence of Cocaine and
Caffeine Effects on the Local Dopaminergic System.
AB - Several organ systems can be affected by psychostimulant toxicity. However, there
is not sufficient evidence about the impact of psychostimulant intake on
testicular physiology and catecholaminergic systems. The aim of the present study
was to further explore potential toxic consequences of chronic exposure to
cocaine, caffeine, and their combination on testicular physiology. Mice were
injected with a 13-day chronic binge regimen of caffeine (3x5mg/kg), cocaine
(3*10mg/kg), or combined administration. Mice treated with cocaine alone or
combined with caffeine showed reduced volume of the seminiferous tubule
associated to a reduction in the number of spermatogonia. Cocaine-only and
combined treatments induced increased lipid peroxidation evaluated by TBARS assay
and decreased glutathione peroxidase mRNA expression. Importantly, caffeine
cocaine combination potentiated the cocaine-induced germ cell loss, and induced
pro-apoptotic BAX protein expression and diminished adenosine receptor A1 mRNA
levels. We analyzed markers of dopaminergic function in the testis and detected
the presence of tyrosine hydroxylase (TH) in the cytoplasm of androgen-producing
Leydig cells, but also in meiotic germs cells within seminiferous tubules.
Moreover, using transgenic BAC-Drd1a-tdTomato and D2R-eGFP mice, we report for
the first time the presence of dopamine receptors (DRs) D1 and D2 in testicular
mouse Leydig cells. Interestingly, the presence of DRD1 was also detected in the
spermatogonia nearest the basal lamina of the seminiferous tubules, which did not
show TH staining. We observed that psychostimulants induced downregulation of DRs
mRNA expression and upregulation of TH protein expression in the testis. These
findings suggest a potential role of the local dopaminergic system in
psychostimulant-induced testicular pathology.
PMID- 26560702
TI - Dry Co-Digestion of Poultry Manure with Agriculture Wastes.
AB - This study tested the effect on thermophilic and mesophilic digestion of poultry
manure (PM) or treated poultry manure (TPM) by the addition of agriculture wastes
(AWS) as a co-substrate under dry conditions. PM was co-digested with a mixture
of AWS consisting of coconut waste, cassava waste, and coffee grounds. Results
were increased methane content in biogas, with decreased ammonia accumulation and
volatile acids. The highest performance occurred under mesophilic conditions,
with a 63 and 41.3 % increase in methane production from addition of AWS to TPM
(562 vs. 344 mL g VS(-1) from control) and PM (406 vs. 287 mL g VS(-1) from
control), respectively. Thermophilic conditions showed lower performance than
mesophilic conditions. Addition of AWS increased methane production by 150 and
69.6 % from PM (323.4 vs. 129 mL g VS(-1) from control) and TPM (297.6 vs. 175.5
mL g VS(-1) from control), respectively. In all experiments, 100 % acetate
produced was degraded to methane. Maximum ammonia accumulation was lowered to
43.7 % by mixing of AWS (range 5.35-8.55 vs. 7.81-12.28 g N kg(-1) bed). The pH
was held at 7.3-8.8, a range suitable for methanogenesis.
PMID- 26560703
TI - [Survival benefit from the addition of bevacizumab to first-line
radiochemotherapy for the treatment of proneural glioblastoma?].
PMID- 26560704
TI - Whose preferences should be elicited for use in health-care decision-making? A
case study using anticoagulant therapy.
AB - The question of whose preferences to elicit in health-state valuation has been
widely discussed in the literature. The importance of this debate lies in the
fact that health-state utility values are used in health technology assessment
(HTA); therefore, an individual's preferences can influence decision-making. If
preferences differ across groups, making decisions based on one group's
preferences may be suboptimal for the other. Preferences for benefits, risks,
experiences and health states associated with anticoagulant therapies have been
elicited by researchers due to the underutilization of warfarin and the
introduction of non-vitamin K antagonist oral anticoagulants. The majority of
existing studies elicit preferences from patient populations as opposed to other
stakeholders such as the general public. This paper extends the preference debate
by using this clinical area as a case study, with a particular focus on HTA
guidelines and the recent advocacy of the use of preference information in
benefit-risk assessments.
PMID- 26560705
TI - Vegetative Propagule Pressure and Water Depth Affect Biomass and Evenness of
Submerged Macrophyte Communities.
AB - Vegetative propagule pressure may affect the establishment and structure of
aquatic plant communities that are commonly dominated by plants capable of clonal
growth. We experimentally constructed aquatic communities consisting of four
submerged macrophytes (Hydrilla verticillata, Ceratophyllum demersum, Elodea
nuttallii and Myriophyllum spicatum) with three levels of vegetative propagule
pressure (4, 8 and 16 shoot fragments for communities in each pot) and two levels
of water depth (30 cm and 70 cm). Increasing vegetative propagule pressure and
decreasing water level significantly increased the growth of the submerged
macrophyte communities, suggesting that propagule pressure and water depth should
be considered when utilizing vegetative propagules to re-establish submerged
macrophyte communities in degraded aquatic ecosystems. However, increasing
vegetative propagule pressure and decreasing water level significantly decreased
evenness of the submerged macrophyte communities because they markedly increased
the dominance of H. verticillata and E. nuttallii, but had little impact on that
of C. demersum and M. spicatum. Thus, effects of vegetative propagule pressure
and water depth are species-specific and increasing vegetative propagule pressure
under lower water level can facilitate the establishment success of submerged
macrophyte communities.
PMID- 26560706
TI - Intracellular localization of rice stripe virus RNA-dependent RNA polymerase and
its interaction with nucleocapsid protein.
AB - The RNA-dependent RNA polymerase (RdRp) of rice stripe virus (RSV) is critical
for both the transcription and replication of the viral genome. Despite its
importance, little is known about how it functions in cells. In the present
study, RSV RdRp was split into three pieces, since expression of the full protein
could not be achieved. Then, the intracellular localization of these three RdRp
fragments and their interactions with nucleocapsid protein (NP) were
investigated, which is another viral protein required for viral RNA synthesis.
The data showed that all three RdRp fragments displayed punctuate staining
patterns in the cytoplasm, and the C-terminal fragment co-localized with NP in
the perinuclear region. Both bimolecular fluorescence complementation and co
immunoprecipitation experiments demonstrated that of the three RdRp fragments,
only the C-terminal fragment could interact with NP. Further analysis using a
series of truncated NPs identified the N-terminal 50-amino-acid region within NP
as the determinant for its interaction with the C-terminus of RdRp.
PMID- 26560708
TI - The crisis in primary care and community and mental health services.
PMID- 26560707
TI - Return-to-work intervention for cancer survivors: budget impact and allocation of
costs and returns in the Netherlands and six major EU-countries.
AB - BACKGROUND: Return-to-work (RTW)-interventions support cancer survivors in
resuming work, but come at additional healthcare costs. The objective of this
study was to assess the budget impact of a RTW-intervention, consisting of
counselling sessions with an occupational physician and an exercise-programme.
The secondary objective was to explore how the costs of RTW-interventions and its
financial revenues are allocated among the involved stakeholders in several EU
countries. METHODS: The budget impact (BI) of a RTW-intervention versus usual
care was analysed yearly for 2015-2020 from a Dutch societal- and from the
perspective of a large cancer centre. The allocation of the expected costs and
financial benefits for each of the stakeholders involved was compared between the
Netherlands, Belgium, England, France, Germany, Italy, and Sweden. RESULTS: The
average intervention costs in this case were ?1,519/patient. The BI for the
Netherlands was ?-14.7 m in 2015, rising to ?-71.1 m in 2020, thus the
intervention is cost-saving as the productivity benefits outweigh the
intervention costs. For cancer centres the BI amounts to ?293 k in 2015,
increasing to ?1.1 m in 2020. Across European countries, we observed differences
regarding the extent to which stakeholders either invest or receive a share of
the benefits from offering a RTW-intervention. CONCLUSION: The RTW-intervention
is cost-saving from a societal perspective. Yet, the total intervention costs are
considerable and, in many European countries, mainly covered by care providers
that are not sufficiently reimbursed.
PMID- 26560709
TI - Inhibition of highly pathogenic porcine reproductive and respiratory syndrome
virus replication by recombinant pseudorabies virus-mediated RNA interference in
piglets.
AB - Highly pathogenic porcine reproductive and respiratory syndrome virus (HP-PRRSV)
is a variant of porcine reproductive and respiratory syndrome virus (PRRSV)
which, in recent years, has caused heavy economic losses to swine-producing
areas. Although current vaccines are somewhat prophylactic, they provide only
limited protection. Furthermore, there are currently no effective anti-HP-PRRSV
drugs. Consequently, it is necessary to develop novel antiviral strategies. In
the present study, three recombinant pseudorabies viruses (PRV) expressing siRNAs
against the ORF7 of HP-PRRSV strain HN1 (PRV gG-/siRNAN1, PRV gG-/siRNAN2, and
PRV gG-/siRNAN3) were evaluated for the inhibition of HP-PRRSV replication. The
results indicated that recombinant PRV-mediated siRNA could significantly
decrease the replication of traditional PRRSV strain H1 at mRNA and protein
levels in Marc-145 cells. Moreover, one recombinant PRV (PRV gG-/siRNAN2) was
found to be inhibit the multiplication of HP-PRRSV strain HN1 effectively in Marc
145 cells at both the protein and ORF7 mRNA level. Twenty 21-day-old healthy
weaned piglets were divided into four groups of five piglets each. Groups 1 and 2
were injected i.m. with PRV gG-/siRNAN2 and PRV gG-/siRNANeg individually. The
piglets in group 3 were challenged with the HP-PRRSV control. After 24h, the
piglets in groups 1-3 were challenged i.m. with HP-PRRSV strain HN1, while those
in group 4 were i.m. administered with PBS as a negative control. The results
showed that HP-PRRSV in serum and lung samples from piglets was effectively
inhibited by PRV gG-/siRNAN2. The clinical signs and gross lesions of piglets
inoculated with PRV gG-/siRNAN2 were significantly less invasive than those of
the PRV gG-/siRNANeg group and HP-PRRSV control group. These results showed that
siRNAs mediated by recombinant PRV could effectively suppress HP-PRRSV
replication in vitro as well as in vivo. RNAi mediated by recombinant PRV
presents a potential novel method to prevent HP-PRRSV infections in swine.
However, the protective efficiency of PRV gG-/siRNAN2 should be assessed in a
larger number of piglets in future studies.
PMID- 26560711
TI - Effect of drying methods on the phenolic content and antioxidant capacity of
Brazilian winemaking byproducts and their stability over storage.
AB - This work aimed to study the antioxidant capacity by different methods, the total
content of polyphenols and the stability over time of dried byproducts from
Brazilian hybrids and Vitis vinifera varieties. Oven-dried at 50 degrees C and
spray-dried samples were monitored for 90 days of storage. Under testing
conditions, BRS Violeta grapes showed the greatest stability and initial high
levels of total phenolics and anthocyanins remained almost unchanged until the
end of storage period. The same behavior was observed in BRS Violeta freeze-dried
skins, seeds and lees (8557, 9520 and 4261 mg GAE/100 g DM, respectively, and 829
and 257 mg mv-3-glc/100 g DM in skin and lees, respectively). In all
methodologies tested, BRS Violeta also showed higher values for antioxidant
capacity. These results suggest that dried winemaking byproducts can be used as
rich sources of polyphenol compounds for industrial extractions with high
stability and antioxidant capacity.
PMID- 26560712
TI - An overview of tyrosine kinase inhibitors for the treatment of epithelial ovarian
cancer.
AB - INTRODUCTION: Epithelial ovarian cancer (EOC) is the most lethal gynecologic
malignancy and the fifth most common cause of cancer-related deaths in women.
Initial treatment with surgery and chemotherapy has improved survival
significantly. However, the disease progresses or recurs in most patients. Thus,
there is an urgent need to develop more effective treatment strategies. AREAS
COVERED: This article provides an overview of tyrosine kinase inhibitors (TKIs)
for the treatment of EOC, which is based on English peer-reviewed articles on
MEDLINE and related abstracts presented at major conferences. The authors
highlight the data from the published clinical trials in EOC patients who were
treated with TKIs or TKI-based regimens. EXPERT OPINION: EOC is responsive to
most chemotherapeutic drugs and/or biological agents and represents an ideal
disease model for investigating novel anti-cancer agents. Numerous small-molecule
TKIs targeting the VEGFR, PARP, PI3K-AKT-mTOR, MAPK, Src, PKC, Wee1 and HER1/2
signaling pathways are currently being tested in clinical trials. Research is
needed for devising regimens combining TKIs with other agents in an optimal
timing schedule and for identifying potential biomarkers predictive of response
and survival.
PMID- 26560713
TI - Tackling Glaucoma from within the Brain: An Unfortunate Interplay of BDNF and
TrkB.
AB - According to the neurotrophin deprivation hypothesis, diminished retrograde
delivery of neurotrophic support during an early stage of glaucoma pathogenesis
is one of the main triggers that induce retinal ganglion cell (RGC) degeneration.
Therefore, interfering with neurotrophic signaling seems an attractive strategy
to achieve neuroprotection. Indeed, exogenous neurotrophin administration to the
eye has been shown to reduce loss of RGCs in animal models of glaucoma; however,
the neuroprotective effect was mostly insufficient for sustained RGC survival. We
hypothesized that treatment at the level of neurotrophin-releasing brain areas
might be beneficial, as signaling pathways activated by target-derived
neurotrophins are suggested to differ from pathways that are initiated at the
soma membrane. In our study, first, the spatiotemporal course of RGC degeneration
was characterized in mice subjected to optic nerve crush (ONC) or laser induced
ocular hypertension (OHT). Subsequently, the well-known neurotrophin brain
derived neurotrophic factor (BDNF) was chosen as the lead molecule, and the
levels of BDNF and its high-affinity receptor, tropomyosin receptor kinase B
(TrkB), were examined in the mouse retina and superior colliculus (SC) upon ONC
and OHT. Both models differentially influenced BDNF and TrkB levels. Next, we
aimed for RGC protection through viral vector-mediated upregulation of collicular
BDNF, thought to boost the retrograde neurotrophin delivery. Although the
previously reported temporary neuroprotective effect of intravitreally delivered
recombinant BDNF was confirmed, viral vector-induced BDNF overexpression in the
SC did not result in protection of the RGCs in the glaucoma models used. These
findings most likely relate to decreased neurotrophin responsiveness upon vector
mediated BDNF overexpression. Our results highlight important insights concerning
the complexity of neurotrophic factor treatments that should surely be considered
in future neuroprotective strategies.
PMID- 26560714
TI - Porcine lung mesenchymal stromal cells possess differentiation and
immunoregulatory properties.
AB - INTRODUCTION: Mesenchymal stem (stromal) cells (MSCs) possess self-renewal,
differentiation and immunoregulatory properties, and therefore are being
evaluated as cellular therapy for inflammatory and autoimmune diseases, and for
tissue repair. MSCs isolated from bone marrow are extensively studied. Besides
bone marrow, MSCs have been identified in almost all organs of the body including
the lungs. Lung-derived MSCs may be more effective as therapy for lung diseases
as compared to bone marrow-derived MSCs. Pigs are similar to humans in anatomy,
physiology and immunological responses, and thus may serve as a useful large
animal preclinical model to study potential cellular therapy for human diseases.
METHODS: We isolated MSCs from the lungs (L-MSCs) of 4-6-week-old germ-free pigs.
We determined the self-renewal, proliferation and differentiation potential of L
MSCs. We also examined the mechanisms of immunoregulation by porcine L-MSCs.
RESULTS: MSCs isolated from porcine lungs showed spindle-shaped morphology and
proliferated actively in culture. Porcine L-MSCs expressed mesenchymal markers
CD29, CD44, CD90 and CD105 and lacked the expression of hematopoietic markers
CD34 and CD45. These cells were multipotent and differentiated into adipocytes,
osteocytes and epithelial cells. Like human MSCs, L-MSCs possessed
immunoregulatory properties and inhibited proliferation of T cells and interferon
gamma and tumor necrosis factor-alpha production by T cells and dendritic cells,
respectively, and increased the production of T-helper 2 cytokines interleukin
(IL)-4 and IL-13 by T cells. L-MSCs induced the production of prostaglandin E2
(PGE2) in MSC-T cell co-cultures and inhibition of PGE2 significantly restored
(not completely) the immune modulatory effects of L-MSCs. CONCLUSIONS: Here, we
demonstrate that MSCs can be isolated from porcine lung and that these cells,
similar to human lung MSCs, possess in vitro proliferation, differentiation and
immunomodulatory functions. Thus, these cells may serve as a model system to
evaluate the contribution of lung MSCs in modulating the immune response,
interactions with resident epithelial cells and tissue repair in a pig model of
human lung diseases.
PMID- 26560715
TI - Prediction of cervical lymph node metastasis in patients with papillary thyroid
cancer using combined conventional ultrasound, strain elastography, and acoustic
radiation force impulse (ARFI) elastography.
AB - OBJECTIVES: To investigate the value of combined conventional ultrasound (US),
strain elastography (SE) and acoustic radiation force impulse (ARFI) elastography
for prediction of cervical lymph node metastasis (CLNM) in papillary thyroid
cancer (PTC). METHODS: A consecutive series of 203 patients with 222 PTCs were
preoperatively evaluated by US, SE, and ARFI including virtual touch tissue
imaging (VTI) and virtual touch tissue quantification (VTQ). A multivariate
analysis was performed to predict CLNM by 22 independent variables. Receiver
operating characteristic (ROC) curve analysis was used to evaluate the diagnostic
performance. RESULTS: Multivariate analysis demonstrated that VTI area ratio
(VAR) > 1 was the best predictor for CLNM, followed by abnormal cervical lymph
node (ACLN), capsule contact, microcalcification, capsule involvement, and
multiple nodules (all P < 0.05). ROC analyses of these characteristics showed the
areas under the curve (Az), sensitivity, and specificity were 0.600-0.630, 47.7 %
93.2 %, and 26.9 %-78.4 % for US, respectively; and they were 0.784, 83.0 %, and
73.9 %, respectively, for VAR > 1. As combination of US characteristics with and
without VAR, the Az, sensitivity, and specificity were 0.803 and 0.556, 83.0 %
and 100.0 %, and 77.6 % and 11.2 %, respectively (P < 0.001). CONCLUSIONS: ARFI
elastography shows superior performance over conventional US, particularly when
combined with US, in predicting CLNM in PTC patients. KEY POINTS: * Conventional
ultrasound is useful in predicting cervical lymph node metastasis preoperatively.
* Virtual touch tissue imaging area ratio is the strongest predicting factor. *
Predictive performance is markedly improved by combining ultrasound
characteristics with VAR. * Acoustic radiation force impulse elastography may be
a promising complementary tool.
PMID- 26560716
TI - Vein Diameter on Unenhanced Multidetector CT Predicts Reperfusion of Pulmonary
Arteriovenous Malformation after Embolotherapy.
AB - OBJECTIVE: To evaluate the value of the diameter of the draining vein of
pulmonary arteriovenous malformation (PAVM) on unenhanced chest MDCT in
diagnosing reperfusion after percutaneous vaso-occlusion therapy. METHODS: We
retrospectively reviewed our long-term experience of patients with hereditary
haemorrhagic telangiectasia and selected cases on the following criteria: an
initial pulmonary angiogram with embolotherapy of at least one PAVM, a follow-up
MDCT examination in the following year followed by a second pulmonary angiogram
with embolotherapy if needed. Follow-up unenhanced chest MDCT examinations were
analyzed blindly from results of pulmonary artery angiogram and clinical data,
the diameter of the efferent vein close to the PAVM sac was measured, then
compared to those of pulmonary artery angiogram as a gold standard. RESULTS:
Eighty-eight of 100 patients met inclusion criteria, in whom 62 of 176 PAVMs were
reperfused at angiogram. The mean diameter of the efferent vein on MDCT was 4.3
+/- 2.1 mm in patent PAVMs and 1.8 +/- 0.9 mm in non-patent PAVMs (p < 0.0001).
The optimal cutoff diameter based on ROC analysis was 2.5 mm (sensitivity = 98.4
%; specificity = 87.7 %). CONCLUSION: A diameter of the draining vein of PAVM of
2.5 mm or greater on unenhanced MDCT is a strong predictor of reperfusion. KEY
POINTS: * Diameter of draining vein of 2.5 mm or greater is associated with
reperfusion. * Unenhanced chest MDCT predicts reperfusion of PAVMs with good
sensitivity and specificity. * Unenhanced MDCT can guide a decision of repeat
pulmonary angiogram and embolotherapy. * The mean vein diameter change of PAVMs
occluded at follow-up is 3.8 mm. * Overall success rate after a median of 6
months embolotherapy was 64.7 %.
PMID- 26560717
TI - Organ-based tube current modulation in a clinical context: Dose reduction may be
largely overestimated in breast tissue.
AB - OBJECTIVES: Organ-based tube current modulation aims to reduce exposure to
radiosensitive organs like the breasts by considering their anatomical location
and altering tube current during rotation. Former phantom studies demonstrated a
dose reduction of 20-37 %. Our study aimed to estimate the potential of dose
reduction with this technique in relation to the actual location of breast tissue
in a large clinical cohort. METHODS: A 1-year cohort of chest CTs of females
(N=1,263) was retrospectively evaluated. To estimate the relative dose effect,
breast location was analysed by measuring the angle range of glandular tissue
within the different dose zones. Relative exposure compared with constant tube
current was calculated. Descriptive statistics and Wilcoxon-test were applied.
RESULTS: Only 63 % of angle range of glandular breast tissue was found inside the
reduced dose zone. The estimated mean relative dose reduction was lower than
observed in former phantom studies(16 % vs. 20-37 %) but still significant
compared to constant tube current (p<0.0001). CONCLUSIONS: Although organ-based
tube current modulation results in a significant reduction of breast exposure
compared to non-modulated irradiation, the technique cannot unfold its full
potential, because breast tissue is often located outside the reduced dose zone,
resulting in significantly lower dose reduction than expected. KEY POINTS: *
OBTCM results in significant dose reduction compared to constant tube current
scans. * A substantial portion of glandular tissue lies outside the reduced dose
zone. * Potential dose reduction using organ-based tube current modulation may be
overestimated.
PMID- 26560718
TI - Novel application of chemical shift gradient echo in- and opposed-phase sequences
in 3 T MRI for the detection of H-MRS visible lipids and grading of glioma.
AB - OBJECTIVES: We evaluated the feasibility of using chemical shift gradient-echo
(GE) in- and opposed-phase (IOP) imaging to grade glioma. METHODS: A phantom
study was performed to investigate the correlation of (1)H MRS-visible lipids
with the signal loss ratio (SLR) obtained using IOP imaging. A cross-sectional
study approved by the institutional review board was carried out in 22 patients
with different glioma grades. The patients underwent scanning using IOP imaging
and single-voxel spectroscopy (SVS) using 3T MRI. The brain spectra acquisitions
from solid and cystic components were obtained and correlated with the SLR for
different grades. RESULTS: The phantom study showed a positive linear correlation
between lipid quantification at 0.9 parts per million (ppm) and 1.3 ppm with SLR
(r = 0.79-0.99, p < 0.05). In the clinical study, we found that SLR at the solid
portions was the best measure for differentiating glioma grades using optimal cut
points of 0.064 and 0.086 with classification probabilities for grade II (SII =
1), grade III (SIII = 0.50) and grade IV (SIV = 0.89). CONCLUSIONS: The results
underscore the lipid quantification differences in grades of glioma and provide a
more comprehensive characterization by using SLR in chemical shift GE IOP
imaging. SLR in IOP sequence demonstrates good performance in glioma grading. KEY
POINTS: * Strong correlation was seen between lipid concentration and SLR
obtained using IOP * IOP sequence demonstrates significant differences in signal
loss within the glioma grades * SLR at solid tumour portions was the best measure
for differentiation * This sequence is applicable in a research capacity for
glioma staging armamentarium.
PMID- 26560719
TI - How often are Patients Harmed When They Visit the Computed Tomography Suite? A
Multi-year Experience, in Incident Reporting, in a Large Academic Medical Center.
AB - OBJECTIVES: Our goal is to present our multi-year experience in incident
reporting in CT in a large medical centre. METHODS: This is an IRB-approved,
HIPAA-compliant study. Informed consent was waived for this study. The electronic
safety incident reporting system of our hospital was searched for the variables
from April 2006 to September 2012. Incident classifications were diagnostic test
orders, ID/documentation, safety/security/conduct, service coordination,
surgery/procedure, line/tube, fall, medication/IV safety, employee general
incident, environment/equipment, adverse drug reaction, skin/tissue and
diagnosis/treatment. RESULTS: A total of 1918 incident reports occurred in the
study period and 843,902 CT examinations were performed. The rate of safety
incident was 0.22 % (1918/843,902). The highest incident rates were due to
adverse drug reactions (652/843,902 = 0.077 %) followed by medication/IV safety
(573/843,902 = 0.068 %) and diagnostic test orders (206/843,902 = 0.024 %).
Overall 45 % of incidents (869/1918) caused no harm and did not affect the
patient, 33 % (637/1918) caused no harm but affected the patient, 22 % (420/1918)
caused temporary or minor harm/damage and less than 1 % (10/1918) caused
permanent or major harm/damage or death. CONCLUSION: Our study shows a total
safety incident report rate of 0.22 % in CT. The most common incidents are
adverse drug reaction, medication/IV safety and diagnostic test orders. KEY
POINTS: * Total safety incident report rate in CT is 0.22 %. * Adverse drug
reaction is the most common safety incident in CT. * Medication/IV safety is the
second most common safety incident in CT.
PMID- 26560720
TI - Incidence and risk factors of early arterial blood flow stasis during first
radioembolization of primary and secondary liver malignancy using resin
microspheres: an initial single-center analysis.
AB - OBJECTIVES: To retrospectively determine incidence of early arterial blood flow
stasis and its influencing factors during resin-based radioembolization (RE) of
liver tumours. METHODS: Data of patients undergoing resin-based RE from 06/2006
12/2013 were reviewed. Second RE procedures of the same liver lobe were excluded.
90-yttrium dose was calculated according to the body surface area method. Data
were categorized according to RE without full dose application because of early
stasis and with full dose application. Clinical/procedural characteristics were
recorded. Logistic regression was performed to identify associations between
clinical/procedural characteristics and early stasis. RESULTS: 362 patients [220
male; mean age 62 years (range 26-90)] underwent 416 RE sessions with early
stasis occurring in 103 REs (24.8 %). Highest incidence and degree of stasis were
observed in breast cancer metastases [42.6 % (20/47); 55.8 % of mean intended
dose administered]. Independent risk factors were: metastasized breast cancer
(odds ratio [OR] 2.18, p = 0.02), liver tumour-burden <25 % and 25-50 % (ORs
5.33, 15.64; p < 0.0001), tumour hypovascularity (OR 2.70, p = 0.04), previous
bevacizumab therapy (OR 2.79, p = 0.0009) and concurrent chemotherapy (OR 8.69, p
< 0.0001). CONCLUSION: Early stasis was observed in 24.8 % of resin-based REs. In
the presence of the identified risk factors, extra care should be taken during
microsphere administration. KEY POINTS: * Early arterial blood flow stasis is a
known problem of resin-based RE. * The study showed that early stasis occurs in
25 % of REs. * Several clinical and procedural factors are associated with early
stasis. * In patients at risk extra care should be taken during RE.
PMID- 26560721
TI - Prediction of biochemical recurrence after radical prostatectomy with PI-RADS
version 2 in prostate cancers: initial results.
AB - OBJECTIVES: To determine whether the Prostate Imaging Reporting and Data System
version 2 (PI-RADSv2) helps predict biochemical recurrence (BCR) after radical
prostatectomy for prostate cancer (PCa). METHODS: We included 158 patients with
PCa who underwent magnetic resonance imaging (MRI) and radical prostatectomy
(RP). Clinical (prostate-specific antigen, greatest percentage of core, and
percentage of positive core number), PI-RADSv2 score on MRI, and surgical
parameters (Gleason score, extracapsular extension, seminal vesicle invasion, and
tumour volume) were investigated. Univariate and multivariate analyses using
Cox's proportional hazards model were performed to assess parameters predictive
of BCR (two consecutive prostate specific antigens >=0.2 ng/ml). Kaplan-Meier
survival curves were analyzed. RESULTS: The rate of BCR was 13.3 % (21/158) after
surgery (median follow-up, 25 months; range, 12-36). No subject with a PI-RADS
score <4 had BCR. In univariate analysis, all parameters were significant for BCR
(p < 0.05), except seminal vesicle invasion (p = 0.254). Meanwhile, PI-RADS score
was the only independent parameter for BCR in multivariate analysis (p < 0.05).
Two-year, BCR-free survival post-RP was significantly lower for PI-RADS >=4 (84.7
85.5 %) than for PI-RADS <4 (100 %; p < 0.05). CONCLUSION: As a preoperative
imaging tool, PI-RADSv2 may be useful to predict BCR after radical prostatectomy
for PCa. KEY POINTS: * No subject with PI-RADS <4 had BCR after RP * PI-RADSv2
was the only predictor of BCR in multivariate analysis * Two-year, BCR-free
survival following RP was lower for PI-RADS>=4 than for PI-RADS<4 * Inter-rater
agreement was good for PI-RADS >=4 or not.
PMID- 26560722
TI - Hydrodynamic boost: a novel re-entry technique in subintimal angioplasty of below
the-knee vessels.
AB - OBJECTIVES: To describe the hydrodynamic boost (HB) technique and report our
preliminary results with this technique in the subintimal angioplasty of below
the-knee vessels. METHODS: HB was used in 23 cases (14 males, mean age 73 +/- 12
years) of critical limb ischemia, with long chronic total occlusion of tibial
arteries extended to the ankle level. The operator performs a manual injection of
diluted contrast dye through a 4 F catheter into the subintimal space, close to
the patent true distal lumen, in order to achieve a tear in the intimal flap and
a connection with the true lumen. RESULTS: In 19/23 (83 %) cases, the HB was
effective in creating a connection between the subintimal space and the true
distal lumen and it was possible to advance a wire and to conclude the procedure.
In 4/23 (17 %) lesions, the HB failed and the procedure was successfully
completed by retrograde approach. No major complications occurred. Mean length
between catheter tip and re-entry point was 8 +/- 5 mm. CONCLUSIONS: HB seems to
be a feasible, safe and effective re-entry technique in distal below-the-knee
vessels. This method represents an easy option for re-entry that extends the
possibility of antegrade approach to obtain a successful revascularization. KEY
POINTS: * In subintimal angioplasty of below-the-knee vessel re-entry can
represent a challenge. * Inability to re-enter may determine the failure of the
revascularization procedure. * HB is a novel re-entry technique feasible in
distal below-the-knee vessels. * HB may increase the success rate of antegrade
approach. * In case of failure, retrograde approach remains feasible.
PMID- 26560723
TI - Aberrant supracallosal longitudinal bundle: MR features, pathogenesis and
associated clinical phenotype.
AB - OBJECTIVE: To describe the MRI and structural features of a peculiar malformation
of the corpus callosum (CC) in a group of young patients with intellectual
disability. METHODS: We studied with conventional MRI and DTI a group of subjects
showing an aberrant supracallosal bundle, characterized by the presence of a
triangle-shaped bulging above the dorsal surface of CC on the midline. Clinical
evaluations, CGH-array and instrumental analysis were also collected. RESULTS:
Among 85 patients with malformed CC, we identified 15 subjects that showed the
supracallosal bundle. The CC was thickened in five cases, long and thinned in
three cases, short and thinned in three cases and it had a "ribbon-like"
appearance in four subjects. Additional brain anomalies were present in eight
cases. DTI colour maps and tractography showed that the bundle had an antero
posterior longitudinal orientation and that the tract bifurcated posteriorly,
ending in the posterior hippocampi. Patients had different combinations of
neurological symptoms, but all showed mild or severe intellectual disability.
CONCLUSIONS: Combining radiological and genetic data with embryological knowledge
of the development of cerebral commissures, we hypothesize that the supracallosal
bundle represents a vestigial structure, the dorsal fornix, present during fetal
life. Its persistence is associated with intellectual disability. KEY POINTS: *
An aberrant longitudinal bundle can be detected above corpus callosum. * The
presence of the supracallosal bundle is associated with intellectual disability.
* The supracallosal bundle may represent a persistent dorsal fornix.
PMID- 26560724
TI - 3D non-contrast-enhanced ECG-gated MR angiography of the lower extremities with
dual-source radiofrequency transmission at 3.0 T: Intraindividual comparison with
contrast-enhanced MR angiography in PAOD patients.
AB - OBJECTIVE: To compare prospectively image quality and diagnostic confidence of
flow-sensitive 3D turbo spin echo (TSE)-based non-contrast-enhanced MR
angiography (NE-MRA) at 3.0 T using dual-source radiofrequency (RF) transmission
with contrast-enhanced MRA (CE-MRA) in patients with peripheral arterial
occlusive disease (PAOD). METHODS: After consent was obtained, 35 patients (mean
age 69.1 +/- 10.6 years) with PAOD stage II-IV underwent NE-MRA followed by CE
MRA. Signal-to-noise ratio and contrast-to-noise ratio were calculated.
Subjective image quality was independently assessed by two radiologists and
stenosis scoring was performed in 875 arterial segments. Sensitivity,
specificity, positive predictive value (PPV), and negative predictive value (NPV)
for stenosis classification were calculated using CE-MRA as a reference method.
Diagnostic agreement with CE-MRA was evaluated with Cohen's kappa statistics.
RESULTS: NE-MRA provided high objective and subjective image quality at all
levels of the arterial tree. Sensitivity and specificity for the detection of
relevant stenosis was 91 % and 89 %, respectively; the NPV was 96 % and the PPV
78 %. There was good concordance between CE-MRA and NE-MRA in stenosis scoring.
CONCLUSIONS: 3D electrocardiography (ECG)-gated TSE NE-MRA with patient-adaptive
dual-source RF transmission at 3.0 T is a promising alternative for PAOD patients
with contraindications for gadolinium-based contrast agents. It offers high
sensitivity and NPV values in the detection of clinically relevant arterial
stenosis. KEY POINTS: * Flow-sensitive TSE NE-MRA is a promising technique for
PAOD evaluation. * Diagnostic accuracy is comparable to contrast-enhanced MRA. *
NE-MRA eliminates the risk of NSF in patients with renal insufficiency. * Costs
arising from the use of contrast agents can be avoided.
PMID- 26560725
TI - Back to the future: sagittal CT in the evaluation of COPD.
AB - OBJECTIVES: To identify features of obstructive airway disease on sagittal
reconstruction, compare the accuracy of findings to traditional imaging
characteristics of COPD, and determine the fraction of additional cases
identified using new characteristics. METHODS: The study was approved by the
centre's Institutional Review Board and is HIPAA compliant. Two hundred sixteen
patients with HRCT and spirometry within a 3-month window were included. Four
radiologists evaluated each HRCT for traditional characteristics of COPD and new
quantitative and qualitative features of obstruction on axial and sagittal
reconstructions. Imaging characteristics were assessed for correlation with the
spirometric diagnosis of obstructive airway disease. RESULTS: Quantitative and
qualitative findings on sagittal reconstruction are highly specific for COPD
(specificity >90 %). Features of hyperinflation on sagittal reconstruction are
more accurate predictors of obstruction than traditional axial measures, with
greater interobserver reliability (hyperinflation left hemidiaphragm: accuracy:
70.08 % +/- 2.49 %; kappa: 0.511 versus traditional measures: accuracy: 62.00 %
+/- 5.38 %; kappa: 0.407). Sagittal reconstruction identified 27-70 % more
patients with COPD than traditional axial findings (p < 0.05). CONCLUSIONS:
Analysis of sagittal reconstruction enables greater accuracy and specificity in
the diagnosis of obstructive airway disease compared to traditional measures on
axial imaging. Use of sagittal reconstructions can help identify up to 70 % more
patients with COPD than traditional imaging findings alone. KEY POINTS: * HRCT
sagittal reconstruction is useful in the evaluation of obstructive lung disease.
* Findings on sagittal reconstructions allow physicians to more accurately
diagnose COPD. * Routine use of sagittal reconstructions increases the
sensitivity for diagnosing COPD.
PMID- 26560726
TI - Third-generation dual-source CT of the neck using automated tube voltage
adaptation in combination with advanced modeled iterative reconstruction:
evaluation of image quality and radiation dose.
AB - PURPOSE: To evaluate image quality and radiation dose in third-generation dual
source computed tomography (DSCT) of the neck using automated tube voltage
adaptation (TVA) with advanced modelled iterative reconstruction (ADMIRE)
algorithm. METHODS: One hundred and sixteen patients were retrospectively
evaluated. Group A (n = 59) was examined on second-generation DSCT with automated
TVA and filtered back projection. Group B (n = 57) was examined on a third
generation DSCT with automated TVA and ADMIRE. Age, body diameter, attenuation of
several anatomic structures, noise, signal-to-noise ratio (SNR), contrast-to
noise ratio (CNR), radiation dose (CTDIvol) and size-specific dose estimates
(SSDE) were assessed. Diagnostic acceptability was rated by three readers.
RESULTS: Age (p = 0.87) and body diameter (p = 0.075) did not differ
significantly. Tube voltage in Group A was set automatically to 100 kV for all
patients (n = 59), and to 70 kV (n = 2), 80 kV (n = 5), and 90 kV (n = 50) in
Group B. Noise was reduced and CNR was increased significantly (p < 0.001).
Diagnostic acceptability was rated high in both groups, with better ratings in
Group B (p < 0.001). SSDE was reduced by 34 % in Group B (20.38 +/- 1.63 mGy vs.
13.04 +/- 1.50 mGy, p < 0.001). CONCLUSION: Combination of automated TVA and
ADMIRE in neck CT using third-generation DSCT results in a substantial radiation
dose reduction with low noise and increased CNR. KEY POINTS: * Third-generation
DSCT provides automated tube voltage adaptation with an increment of 10 kV. * 10
kV increment optimizes scans to the patient's neck anatomy. * TVA combined with
ADMIRE significantly lower radiation dose in contrast-enhanced neck CT. * TVA in
combination with ADMIRE reduces noise and increases SNR and CNR. * Image analysis
quoted less noise and better diagnostic acceptability in third-generation DSCT.
PMID- 26560727
TI - The radiogenomic risk score stratifies outcomes in a renal cell cancer phase 2
clinical trial.
AB - OBJECTIVES: To characterize a radiogenomic risk score (RRS), a previously defined
biomarker, and to evaluate its potential for stratifying radiological progression
free survival (rPFS) in patients with metastatic renal cell carcinoma (mRCC)
undergoing pre-surgical treatment with bevacizumab. METHODOLOGY: In this IRB
approved study, prospective imaging analysis of the RRS was performed on phase II
clinical trial data of mRCC patients (n = 41) evaluating whether patient
stratification according to the RRS resulted in groups more or less likely to
have a rPFS to pre-surgical bevacizumab prior to cytoreductive nephrectomy.
Survival times of RRS subgroups were analyzed using Kaplan-Meier survival
analysis. RESULTS: The RRS is enriched in diverse molecular processes including
drug response, stress response, protein kinase regulation, and signal
transduction pathways (P < 0.05). The RRS successfully stratified rPFS to
bevacizumab based on pre-treatment computed tomography imaging with a median
progression-free survival of 6 versus >25 months (P = 0.005) and overall survival
of 25 versus >37 months in the high and low RRS groups (P = 0.03), respectively.
Conventional prognostic predictors including the Motzer and Heng criteria were
not predictive in this cohort (P > 0.05). CONCLUSIONS: The RRS stratifies rPFS to
bevacizumab in patients from a phase II clinical trial with mRCC undergoing
cytoreductive nephrectomy and pre-surgical bevacizumab. KEY POINTS: * The RRS
SOMA stratifies patient outcomes in a phase II clinical trial. * RRS stratifies
subjects into prognostic groups in a discrete or continuous fashion. * RRS is
biologically enriched in diverse processes including drug response programs.
PMID- 26560728
TI - Low contrast media volume in pre-TAVI CT examinations.
AB - PURPOSE: To evaluate image quality using reduced contrast media (CM) volume in
pre-TAVI assessment. METHODS: Forty-seven consecutive patients referred for pre
TAVI examination were evaluated. Patients were divided into two groups: group 1
BMI < 28 kg/m(2) (n = 29); and group 2 BMI > 28 kg/m(2) (n = 18). Patients
received a combined scan protocol: retrospective ECG-gated helical CTA of the
aortic root (80kVp) followed by a high-pitch spiral CTA (group 1: 70 kV; group 2:
80 kVp) from aortic arch to femoral arteries. All patients received one bolus of
CM (300 mgI/ml): group 1: volume = 40 ml; flow rate = 3 ml/s, group 2: volume =
53 ml; flow rate = 4 ml/s. Attenuation values (HU) and contrast-to-noise ratio
(CNR) were measured at the levels of the aortic root (helical) and peripheral
arteries (high-pitch). Diagnostic image quality was considered sufficient at
attenuation values > 250HU and CNR > 10. RESULTS: Diagnostic image quality for
TAVI measurements was obtained in 46 patients. Mean attenuation values and CNR
(HU +/- SD) at the aortic root (helical) were: group 1: 381 +/- 65HU and 13 +/-
8; group 2: 442 +/- 68HU and 10 +/- 5. At the peripheral arteries (high-pitch),
mean values were: group 1: 430 +/- 117HU and 11 +/- 6; group 2: 389 +/- 102HU and
13 +/- 6. CONCLUSION: CM volume can be substantially reduced using low kVp
protocols, while maintaining sufficient image quality for the evaluation of
aortic root and peripheral access sites. KEY POINTS: * Image quality could be
maintained using low kVp scan protocols. * Low kVp protocols reduce contrast
media volume by 34-67 %. * Less contrast media volume lowers the risk of contrast
induced nephropathy.
PMID- 26560729
TI - Cross-national comparison of screening mammography accuracy measures in U.S.,
Norway, and Spain.
AB - OBJECTIVE: To compare accuracy measures for mammographic screening in Norway,
Spain, and the US. METHODS: Information from women aged 50-69 years who underwent
mammographic screening 1996-2009 in the US (898,418 women), Norway (527,464), and
Spain (517,317) was included. Screen-detected cancer, interval cancer, and the
false-positive rates, sensitivity, specificity, positive predictive value (PPV)
for recalls (PPV-1), PPV for biopsies (PPV-2), 1/PPV-1 and 1/PPV-2 were computed
for each country. Analyses were stratified by age, screening history, time since
last screening, calendar year, and mammography modality. RESULTS: The rate of
screen-detected cancers was 4.5, 5.5, and 4.0 per 1000 screening exams in the US,
Norway, and Spain respectively. The highest sensitivity and lowest specificity
were reported in the US (83.1 % and 91.3 %, respectively), followed by Spain
(79.0 % and 96.2 %) and Norway (75.5 % and 97.1 %). In Norway, Spain and the US,
PPV-1 was 16.4 %, 9.8 %, and 4.9 %, and PPV-2 was 39.4 %, 38.9 %, and 25.9 %,
respectively. The number of women needed to recall to detect one cancer was 20.3,
6.1, and 10.2 in the US, Norway, and Spain, respectively. CONCLUSIONS:
Differences were found across countries, suggesting that opportunistic screening
may translate into higher sensitivity at the cost of lower specificity and PPV.
KEY POINTS: * Positive predictive value is higher in population-based screening
programmes in Spain and Norway. * Opportunistic mammography screening in the US
has lower positive predictive value. * Screening settings in the US translate
into higher sensitivity and lower specificity. * The clinical burden may be
higher for women screened opportunistically.
PMID- 26560730
TI - Quantitative validation of a visual rating scale for frontal atrophy:
associations with clinical status, APOE e4, CSF biomarkers and cognition.
AB - OBJECTIVES: To validate a visual rating scale of frontal atrophy with
quantitative imaging and study its association with clinical status, APOE
epsilon4, CSF biomarkers, and cognition. METHODS: The AddNeuroMed and ADNI
cohorts were combined giving a total of 329 healthy controls, 421 mild cognitive
impairment patients, and 286 Alzheimer's disease (AD) patients. Thirty-four
patients with frontotemporal dementia (FTD) were also included. Frontal atrophy
was assessed with the frontal sub-scale of the global cortical atrophy scale (GCA
F) on T1-weighted images. Automated imaging markers of cortical volume,
thickness, and surface area were evaluated. Manual tracing was also performed.
RESULTS: The GCA-F scale reliably reflects frontal atrophy, with orbitofrontal,
dorsolateral, and motor cortices being the regions contributing most to the GCA-F
ratings. GCA-F primarily reflects reductions in cortical volume and thickness,
although it was able to detect reductions in surface area too. The scale showed
significant associations with clinical status and cognition. CONCLUSION: The GCA
F scale may have implications for clinical practice as supportive diagnostic tool
for disorders demonstrating predominant frontal atrophy such as FTD and the
executive presentation of AD. We believe that GCA-F is feasible for use in
clinical routine for the radiological assessment of dementia and other disorders.
KEY POINTS: * The GCA-F visual rating scale reliably reflects frontal brain
atrophy. * Orbitofrontal, dorsolateral, and motor cortices are the most
contributing regions. * GCA-F shows significant associations with clinical status
and cognition. * GCA-F may be supportive diagnostic tool for disorders
demonstrating predominant frontal atrophy. * GCA-F may be feasible for use in
radiological routine.
PMID- 26560731
TI - Dual time point imaging for F18-FDG-PET/CT does not improve the accuracy of nodal
staging in non-small cell lung cancer patients.
AB - OBJECTIVES: To analyze the diagnostic performance of dual time point imaging
(DTPI) for pre-therapeutic lymph node (LN) staging in non-small cell lung cancer
(NSCLC). METHODS: This was a retrospective analysis of 47 patients with NSCLC who
had undergone DTPI by PET (early + delayed) using F18-fluorodeoxyglucose (FDG).
PET raw data were reconstructed iteratively (point spread function + time-of
flight). LN uptake in PET was assessed visually (four-step score) and semi
quantitatively (SUVmax, SUVmean, ratios LN/primary, LN/liver, and LN/mediastinal
blood pool). DTPI analyses included retention indices (RIs), Delta-ratios and
changes in visual score. Histology or cytology served as standards of reference.
Accuracy was determined based on ROC analyses. RESULTS: Thirty-six of 155 LNs
were malignant. DTPI accuracy was low for all measures (visual assessment, 24.5%;
RI SUVmax, 68.4%; RI SUVmean, 65.8%; Delta-ratios, 63.9-76.1%) and significantly
inferior to early PET. Accuracies of early (range, 86.5-92.9%) and delayed PET
(range, 85.2-92.9%) were comparable. At early PET, accuracy of the visual score
(92.9%) was similar or superior to semi-quantitative analyses (range, 86.5
92.3%). CONCLUSIONS: Using a modern PET/CT device and novel image reconstruction,
neither additional delayed PET nor DTPI analyses improved the accuracy of PET
based LN staging. Dedicated visual assessment criteria performed very well. KEY
POINTS: * DTPI did not improve accuracy of PET-based LN staging in NSCLC. *
Analyzed SUV ratios were not superior to LN SUVmax or SUVmean. * A four-step
visual score may allow highly accurate, standardized LN assessment.
PMID- 26560732
TI - Computer tomography colonography participation and yield in patients under
surveillance for 6-9 mm polyps in a population-based screening trial.
AB - PURPOSE: Surveillance CT colonography (CTC) is a viable option for 6-9 mm polyps
at CTC screening for colorectal cancer. We established participation and
diagnostic yield of surveillance and determined overall yield of CTC screening.
MATERIAL AND METHODS: In an invitational CTC screening trial 82 of 982
participants harboured 6-9 mm polyps as the largest lesion(s) for which
surveillance CTC was advised. Only participants with one or more lesion(s) >=6 mm
at surveillance CTC were offered colonoscopy (OC); 13 had undergone preliminary
OC. The surveillance CTC yield was defined as the number of participants with
advanced neoplasia in the 82 surveillance participants, and was added to the
primary screening yield. RESULTS: Sixty-five of 82 participants were eligible for
surveillance CTC of which 56 (86.2 %) participated. Advanced neoplasia was
diagnosed in 15/56 participants (26.8 %) and 9/13 (69.2 %) with preliminary OC.
Total surveillance yield was 24/82 (29.3 %). No carcinomas were detected. Adding
surveillance results to initial screening CTC yield significantly increased the
advanced neoplasia yield per 100 CTC participants (6.1 to 8.6; p < 0.001) and per
100 invitees (2.1 to 2.9; p < 0.001). CONCLUSION: Surveillance CTC for 6-9 mm
polyps has a substantial yield of advanced adenomas and significantly increased
the CTC yield in population screening. KEY POINTS: * The participation rate in
surveillance CT colonography (CTC) is 86 %. * Advanced adenoma prevalence in a 6
9 mm CTC surveillance population is high. * Surveillance CTC significantly
increases the yield of population screening by CTC. * Surveillance CTC for 6-9 mm
polyps is a safe strategy. * Surveillance CTC is unlikely to yield new important
extracolonic findings.
PMID- 26560733
TI - Portrayal of radiology in a major medical television series: How does it
influence the perception of radiology among patients and radiology professionals?
AB - OBJECTIVES: To assess how the portrayal of Radiology on medical TV shows is
perceived by patients and radiology professionals. METHODS: In this IRB-approved
study with patient consent waived, surveys were conducted among adult patients
scheduled for radiological examinations and radiology professionals. The
questionnaire investigated medical TV watching habits including interest in
medical TV shows, appearance of radiological examination/staff, radiology's role
in diagnosis-making, and rating of the shows' accuracy in portraying radiology
relative to reality. RESULTS: One hundred and twenty-six patients and 240
professionals (133 technologists, 107 radiologists) participated. 63.5 % patients
and 63.2 % technologists rated interest in medical TV shows >=5 (scale 1-10)
versus 38.3 % of radiologists. All groups noted regular (every 2nd/3rd show) to
>1/show appearance of radiological examinations in 58.5-88.2 % compared to 21.0
46.2 % for radiological staff appearance. Radiology played a role in diagnosis
making regularly to >1/show in 45.3-52.6 %. There is a positive correlation for
interest in medical TV and the perception that radiology is accurately portrayed
for patients (r = 0.49; P = 0.001) and technologists (r = 0.38; P = 0.001) but
not for radiologists (r = 0.01). CONCLUSIONS: The majority of patients perceive
the portrayed content as accurate. Radiologists should be aware of this
cultivation effect to understand their patients' behaviour which may create false
expectations towards radiological examinations and potential safety hazards. KEY
POINTS: * Radiology in medical TV shows is conveyed as important in diagnosis
making * Presence of radiological staff is less frequent compared to examinations
shown * Positive correlation for interest in medical TV and radiology perceived
as accurate * TV experience may create false expectations and potential safety
hazards.
PMID- 26560735
TI - Update on the use of meningococcal serogroup C CRM197-conjugate vaccine
(Meningitec) against meningitis.
AB - Meningitec is a CRM197-conjugated meningococcal serogroup C (MenC) vaccine, first
licensed in 1999. It has been used as a primary and booster vaccine in infants,
toddlers, older children and adults, and has been shown to be immunogenic and
well-tolerated in all age groups, including premature infants. Vaccine
effectiveness has been demonstrated using combined data on all three licensed
MenC conjugate vaccines. Evidence from clinical trials, however, suggests that
the different MenC conjugate vaccines behave differently with respect to the
induction and persistence of bactericidal antibody and generation of immune
memory. It appears that Meningitec has a less favorable immunologic profile
compared particularly to tetanus toxoid (TT) MenC conjugate vaccines. Data from
comparative trials have raised interesting questions on priming of the immune
system by conjugate vaccines, particularly in infants. The results from these and
other studies are reviewed here with specific focus on Meningitec.
PMID- 26560736
TI - The Value of Psychiatric Diagnoses.
PMID- 26560734
TI - Magnetic resonance elastography is superior to acoustic radiation force impulse
for the Diagnosis of fibrosis in patients with biopsy-proven nonalcoholic fatty
liver disease: A prospective study.
AB - Magnetic resonance elastography (MRE), an advanced magnetic resonance-based
imaging technique, and acoustic radiation force impulse (ARFI), an ultrasound
based imaging technique, are accurate for diagnosing nonalcoholic fatty liver
disease (NAFLD) fibrosis. However, no head-to-head comparisons between MRE and
ARFI for diagnosing NAFLD fibrosis have been performed. We compared MRE versus
ARFI head-to-head for diagnosing fibrosis in well-characterized patients with
biopsy-proven NAFLD. This cross-sectional analysis of a prospective cohort
involved 125 patients (54.4% female) who underwent MRE, ARFI, and contemporaneous
liver biopsies scored using the Nonalcoholic Steatohepatitis Clinical Research
Network histological scoring system. The performances of MRE versus ARFI for
diagnosing fibrosis were evaluated using area under the receiver operating
characteristic curves (AUROCs). The mean (+/- standard deviation) age and body
mass index were 48.9 (+/-15.4) years and 31.8 (+/-7.0) kg/m(2) , respectively.
For diagnosing any fibrosis (>= stage 1), the MRE AUROC was 0.799 (95% confidence
interval [CI] 0.723-0.875), significantly (P = 0.012) higher than the ARFI AUROC
of 0.664 (95% CI 0.568-0.760). In stratified analysis by presence or absence of
obesity, MRE was superior to ARFI for diagnosing any fibrosis in obese patients
(P < 0.001) but not in nonobese patients (P = 0.722). The MRE AUROCs for
diagnosing >=stages 2, 3, and 4 fibrosis were 0.885 (95% CI 0.816-0.953), 0.934
(95% CI 0.863-1.000), and 0.882 (95% CI 0.729-1.000); and the ARFI AUROCs were
0.848 (95% CI 0.776-0.921), 0.896 (95% CI 0.824-0.968), and 0.862 (95% CI 0.721
1.000). MRE had higher AUROCs than ARFI for discriminating dichotomized fibrosis
stages at all dichotomization cutoff points, but the AUROC differences decreased
as the cutoff points (fibrosis stages) increased. CONCLUSION: MRE is more
accurate than ARFI for diagnosing any fibrosis in NAFLD patients, especially
those who are obese.
PMID- 26560737
TI - Automatic Fall Detection System Based on the Combined Use of a Smartphone and a
Smartwatch.
AB - Due to their widespread popularity, decreasing costs, built-in sensors, computing
power and communication capabilities, Android-based personal devices are being
seen as an appealing technology for the deployment of wearable fall detection
systems. In contrast with previous solutions in the existing literature, which
are based on the performance of a single element (a smartphone), this paper
proposes and evaluates a fall detection system that benefits from the detection
performed by two popular personal devices: a smartphone and a smartwatch (both
provided with an embedded accelerometer and a gyroscope). In the proposed
architecture, a specific application in each component permanently tracks and
analyses the patient's movements. Diverse fall detection algorithms (commonly
employed in the literature) were implemented in the developed Android apps to
discriminate falls from the conventional activities of daily living of the
patient. As a novelty, a fall is only assumed to have occurred if it is
simultaneously and independently detected by the two Android devices (which can
interact via Bluetooth communication). The system was systematically evaluated in
an experimental testbed with actual test subjects simulating a set of falls and
conventional movements associated with activities of daily living. The tests were
repeated by varying the detection algorithm as well as the pre-defined mobility
patterns executed by the subjects (i.e., the typology of the falls and non-fall
movements). The proposed system was compared with the cases where only one device
(the smartphone or the smartwatch) is considered to recognize and discriminate
the falls. The obtained results show that the joint use of the two detection
devices clearly increases the system's capability to avoid false alarms or 'false
positives' (those conventional movements misidentified as falls) while
maintaining the effectiveness of the detection decisions (that is to say, without
increasing the ratio of 'false negatives' or actual falls that remain
undetected).
PMID- 26560738
TI - Chemoproteomic Approach to Explore the Target Profile of GPCR ligands:
Application to 5-HT1A and 5-HT6 Receptors.
AB - Determination of the targets of a compound remains an essential aspect in drug
discovery. A complete understanding of all binding interactions is critical to
recognize in advance both therapeutic effects and undesired consequences.
However, the complete polypharmacology of many drugs currently in clinical
development is still unknown, especially in the case of G protein-coupled
receptor (GPCR) ligands. In this work we have developed a chemoproteomic platform
based on the use of chemical probes to explore the target profile of a compound
in biological systems. As proof of concept, this methodology has been applied to
selected ligands of the therapeutically relevant serotonin 5-HT1A and 5-HT6
receptors, and we have identified and validated some of their off-targets. This
approach could be extended to other drugs of interest to study the targeted
proteome in disease-relevant systems.
PMID- 26560739
TI - Adjuvant corticosteroids for reducing death in neonatal bacterial meningitis.
AB - BACKGROUND: Bacterial meningitis remains a significant cause of neonatal and
childhood morbidity and mortality in many countries of the world, particularly in
developing countries. In some instances, children recover but remain impaired as
a result of neurological sequelae such as hearing loss, developmental delay and
cognitive impairment. OBJECTIVES: To assess the effectiveness and safety of
adjunctive corticosteroids in reducing death and neurological sequelae in
neonates with bacterial meningitis. SEARCH METHODS: We searched the Cochrane
Central Register of Controlled Trials (CENTRAL; 2015, Issue 7), MEDLINE via
PubMed (1966 to July 2015), African Index Medicus (up to January 2015), the
Cumulative Index to Nursing and Allied Health Literature (CINAHL) (up to July
2015), EMBASE (up to July 2015) and the metaRegister of Controlled Trials (mRCT)
for ongoing trials. SELECTION CRITERIA: All randomised controlled trials (RCTs)
or quasi-RCTs of adjunctive corticosteroids for treatment of neonates with
bacterial meningitis. DATA COLLECTION AND ANALYSIS: Two review authors
independently assessed and extracted data on methods, participants, interventions
and outcomes (all-cause death until hospital discharge, presence of sensorineural
deafness at one year and presence of neurological deficits or developmental delay
at two years, adverse events). Risk ratio (RR), risk difference (RD) and number
needed to treat for an additional beneficial outcome (NNTB) or number needed to
treat for an additional harmful outcome (NNTH) were calculated when appropriate.
We assessed quality using the Cochrane risk of bias assessment tool and the GRADE
(Grades of Recommendation, Assessment, Development and Evaluation) system. MAIN
RESULTS: We found two trials with 132 participants that met our inclusion
criteria. One of the included trials was a quasi-randomised trial.Adjunctive
corticosteroids reduced the risk of death (typical RR 0.46, 95% confidence
interval (CI) 0.24 to 0.88; typical RD -0.19, 95% CI -0.33 to -0.04; NNTB = 6;
two studies, 132 participants, very low-quality evidence) but did not have a
significant effect on the number of infants with sensorineural deafness at two
years (RR 1.80, 95% CI 0.18 to 18.21; RD 0.04, 95% CI -0.12 to 0.21; one study,
38 participants, low-quality evidence). In one trial, dexamethasone reduced the
likelihood of hearing loss at four to 10 weeks post discharge (RR 0.41, 95% CI
0.17 to 0.98; RD -0.25, 95% CI -0.48 to -0.01; one study, 59 participants, low
quality evidence). Data reported on the other outcomes of interest were
insufficient. AUTHORS' CONCLUSIONS: Very low-quality data from two randomised
controlled trials suggest that some reduction in death and hearing loss may
result from use of adjunctive steroids alongside standard antibiotic therapy for
treatment of patients with neonatal meningitis. Benefit is not yet seen with
regards to reduction in neurological sequelae. Researchers who wish to clarify
these findings must conduct more robustly designed trials with greater numbers of
participants, evaluating more relevant outcomes and providing adequate follow-up.
PMID- 26560740
TI - Sensitivity of the Balance Error Scoring System and the Sensory Organization Test
in the Combat Environment.
AB - This study evaluated the utility of the Balance Error Scoring System (BESS) and
the Sensory Organization Test (SOT) as tools for the screening and monitoring of
Service members (SMs) with mild traumatic brain injury (mTBI) in a deployed
setting during the acute and subacute phases of recovery. Patient records (N =
699) were reviewed for a cohort of SMs who sustained a blast-related mTBI while
deployed to Afghanistan and were treated at the Concussion Restoration Care
Center (CRCC) at Camp Leatherneck. On initial intake into the CRCC, participants
completed two assessments of postural control, the BESS, and SOT. SMs with mTBI
performed significantly worse on the BESS and SOT when compared with comparative
samples. When the SOT data were further examined using sensory ratios, the
results indicated that postural instability was primarily a result of vestibular
and visual integration dysfunction (r > 0.62). The main finding of this study was
that the sensitivity of the SOT composite score (50-58%) during the acute phase
was higher than previous sensitivities found in the sports medicine literature
for impact-related trauma.
PMID- 26560741
TI - Determination of RNA polymerase binding surfaces of transcription factors by NMR
spectroscopy.
AB - In bacteria, RNA polymerase (RNAP), the central enzyme of transcription, is
regulated by N-utilization substance (Nus) transcription factors. Several of
these factors interact directly, and only transiently, with RNAP to modulate its
function. As details of these interactions are largely unknown, we probed the
RNAP binding surfaces of Escherichia coli (E. coli) Nus factors by nuclear
magnetic resonance (NMR) spectroscopy. Perdeuterated factors with [(1)H,(13)C]
labeled methyl groups of Val, Leu, and Ile residues were titrated with protonated
RNAP. After verification of this approach with the N-terminal domain (NTD) of
NusG and RNAP we determined the RNAP binding site of NusE. It overlaps with the
NusE interaction surface for the NusG C-terminal domain, indicating that RNAP and
NusG compete for NusE and suggesting possible roles for the NusE:RNAP
interaction, e.g. in antitermination and direct transcription:translation
coupling. We solved the solution structure of NusA-NTD by NMR spectroscopy,
identified its RNAP binding site with the same approach we used for NusG-NTD, and
here present a detailed model of the NusA-NTD:RNAP:RNA complex.
PMID- 26560742
TI - Medical Gains of Chondroitin Sulfate Upon Fucosylation.
AB - Chondroitin sulfate (CS) is a glycosaminoglycan (GAG) composed of alternating N
acetyl galactosamine and glucuronic acid units within disaccharide building
blocks. CS is a key functional component in proteoglycans of cartilaginous
tissues. Owing to its numerous biological roles, CS is widely explored in the
pharmaceutical market as nutraceutical ingredient commonly utilized against
arthritis, osteoarthrosis, and sometimes osteoporosis. Tissues like shark
cartilage and bovine trachea are common sources of CS. Nonetheless, a new CS type
has been introduced and investigated in the last few decades in what regards its
medical potentials. It is named fucosylated chondroitin sulfate (FucCS). This
less common CS type is isolated exclusively from the body wall of sea cucumbers.
The presence of fucosyl branching units in the holothurian FucCS gives to this
unique GAG, therapeutic properties in various pathophysiological systems which
are inexistent in the common CS explored in the market. Examples of these systems
are coagulation, thrombosis, hemodialysis, atherosclerosis, cellular growth,
angiogenesis, fibrosis, tumor growth, inflammation, viral and protozoan
infections, hyperglycemia, diabetes-related pathological events and tissue
damage. This report aims at describing the medical benefits gained upon
fucosylation of CS. Clinical prospects of these medical benefits are also
discussed herein.
PMID- 26560743
TI - Etiology of Pervasive Versus Situational Antisocial Behaviors: A Multi-Informant
Longitudinal Cohort Study.
AB - The aim of this study was to disentangle pervasive from situational antisocial
behaviors using multiple informants, and to investigate their genetic and
environmental etiologies in preadolescence and across time. Antisocial behaviors
were assessed in 2,232 twins from the Environmental Risk (E-Risk) Longitudinal
Twin Study at ages 5 and 12. Pervasive antisocial behaviors were defined as
behaviors that mothers, teachers, interviewers, and twins themselves agreed on.
Results from a psychometric model indicated that the variation in children's
pervasive antisocial behaviors was mostly accounted for by familial influences
that originated in childhood, whereas situational behaviors were explained by
newly emerging nonshared environmental and genetic influences. This study shows
that children's pervasive and situational antisocial behaviors have distinct
etiologies that could guide research and treatment.
PMID- 26560744
TI - Raster-scanned intensity-controlled carbon ion therapy for mucosal melanoma of
the paranasal sinus.
AB - BACKGROUND: The purpose of this study was to evaluate the use of raster-scanned
intensity-controlled carbon ion therapy (ICCT) in the treatment of mucosal
melanoma of the paranasal sinus. METHODS: Patients received combined intensity
modulated radiotherapy (IMRT) plus carbon ion (C12). Records of 18 consecutive
patients treated between 2009 and 2013 were analyzed retrospectively regarding
toxicity (Common Terminology Criteria for Adverse Events, version 4), treatment
response (Response Evaluation Criteria in Solid Tumors [RECIST]), and
control/survival rates. RESULTS: Most patients had advanced disease (T4, 94%;
gross residual disease, 78%). Median dose was 74 GyE (median boost volume = 157
mL). C12 treatments were planned as ICCT, no concurrent chemotherapy was
administered. Grade III or higher late toxicity was not observed. Overall
survival (OS), progression-free survival (PFS), and locoregional control at 3
years were 16.2%, 0%, and 58.3%, respectively (median follow-up, 18 months).
Resection status did not impact locoregional control or survival rates.
CONCLUSION: ICCT results in promising locoregional control at mild toxicity. OS
is poor because of the occurrence of distant metastases; therefore, addition of
systemic components to primary treatment should be investigated. (c) 2015 Wiley
Periodicals, Head Neck 38: E1445-E1451, 2016.
PMID- 26560746
TI - Continuous infusion of factor VIII concentrates in obese patients with severe
haemophilia A: is weight-based dose-adjustment required?
PMID- 26560747
TI - Applause sign: screening utility for dementia and cognitive impairment.
AB - OBJECTIVE: To examine the diagnostic utility of applause sign scores for the
diagnosis of dementia and mild cognitive impairment. METHODS: Consecutive
unselected new outpatient referrals to a dedicated cognitive disorders clinic
over a 12-month period were administered the clapping test. Criterion diagnosis
was by usual clinic assessment using standard diagnostic criteria, blind to
applause sign score. RESULTS: Applause sign scores differed significantly (p <
0.001) between diagnostic groups (dementia, mild cognitive impairment, subjective
memory complaint) and did not correlate with other cognitive screening instrument
scores. Nearly three-quarters of those with an abnormal score had cognitive
impairment. Applause sign score was specific but not sensitive for a diagnosis of
cognitive impairment. CONCLUSION: The applause sign supports a diagnosis of
dementia or cognitive impairment in high prevalence settings and may be useful in
conjunction with other cognitive screening tests.
PMID- 26560745
TI - Decreased and Increased Anisotropy along Major Cerebral White Matter Tracts in
Preterm Children and Adolescents.
AB - Premature birth is highly prevalent and associated with neurodevelopmental delays
and disorders. Adverse outcomes, particularly in children born before 32 weeks of
gestation, have been attributed in large part to white matter injuries, often
found in periventricular regions using conventional imaging. To date,
tractography studies of white matter pathways in children and adolescents born
preterm have evaluated only a limited number of tracts simultaneously. The
current study compares diffusion properties along 18 major cerebral white matter
pathways in children and adolescents born preterm (n = 27) and full term (n =
19), using diffusion magnetic resonance imaging and tractography. We found that
compared to the full term group, the preterm group had significantly decreased FA
in segments of the bilateral uncinate fasciculus and anterior segments of the
right inferior fronto-occipital fasciculus. Additionally, the preterm group had
significantly increased FA in segments of the right and left anterior thalamic
radiations, posterior segments of the right inferior fronto-occipital fasciculus,
and the right and left inferior longitudinal fasciculus. Increased FA in the
preterm group was generally associated with decreased radial diffusivity. These
findings indicate that prematurity-related white matter differences in later
childhood and adolescence do not affect all tracts in the periventricular zone
and can involve both decreased and increased FA. Differences in the patterns of
radial diffusivity and axial diffusivity suggest that the tissue properties
underlying group FA differences may vary within and across white matter tracts.
Distinctive diffusion properties may relate to variations in the timing of injury
in the neonatal period, extent of white matter dysmaturity and/or compensatory
processes in childhood.
PMID- 26560748
TI - Functional Neuroimaging in Psychopathy.
AB - BACKGROUND AND AIM: Psychopathy is associated with cognitive and affective
deficits causing disruptive, harmful and selfish behaviour. These have
considerable societal costs due to recurrent crime and property damage. A better
understanding of the neurobiological bases of psychopathy could improve
therapeutic interventions, reducing the related social costs. To analyse the
major functional neural correlates of psychopathy, we reviewed functional
neuroimaging studies conducted on persons with this condition. METHODS: We
searched the PubMed database for papers dealing with functional neuroimaging and
psychopathy, with a specific focus on how neural functional changes may correlate
with task performances and human behaviour. RESULTS: Psychopathy-related
behavioural disorders consistently correlated with dysfunctions in brain areas of
the orbitofrontal-limbic (emotional processing and somatic reaction to emotions;
behavioural planning and responsibility taking), anterior cingulate-orbitofrontal
(correct assignment of emotional valence to social stimuli; violent/aggressive
behaviour and challenging attitude) and prefrontal-temporal-limbic (emotional
stimuli processing/response) networks. Dysfunctional areas more consistently
included the inferior frontal, orbitofrontal, dorsolateral prefrontal,
ventromedial prefrontal, temporal (mainly the superior temporal sulcus) and
cingulated cortices, the insula, amygdala, ventral striatum and other basal
ganglia. CONCLUSIONS: Emotional processing and learning, and several social and
affective decision-making functions are impaired in psychopathy, which correlates
with specific changes in neural functions.
PMID- 26560749
TI - Rate of employment after liver transplantation in France: a single-centre study.
AB - BACKGROUND: A return to gainful employment is an important outcome parameter
after liver transplantation (LT). A recent study in the USA has shown a very high
rate of unemployment after LT (75%). To date, there are no available data in
France, where the public health insurance programme guarantees financial
protection for everyone. AIMS: The aim of this study was to assess the employment
rate after LT in a French LT centre and to determine factors associated with
employment after LT. METHODS: All patients who had undergone liver
transplantation at our centre between January 2000 and April 2011 and who met the
following criteria responded to a questionnaire: (i) between 18 and 65 years old
at the time of LT, (ii) alive 1 year after LT, (iii) alive, not retired and
released from the hospital at the time of survey, (iv) French residents who were
affiliated with French national health insurance. RESULTS: A total of 345 LTs
were performed in 314 patients during the study period. Of the patients, 109 were
excluded from the study: 23 had died within the first year after LT, 28 had died
at the time of the survey, three were still in the hospital, seven were living in
a foreign country, 11 had retired and 37 were older than 65 years after LT. Two
hundred five patients were included in the study. The response rate was 76.6%
(157/205). Patients responded a mean 6.1 +/- 0.9 years after LT, 77.7% were
French nationals, 73.2% were men, and the mean age at LT was 48.8 +/- 9.9 years.
The aetiologies of liver disease were as follows: alcohol 32.5%, hepatitis C
26.1%, alcohol and hepatitis C 3.8%, hepatitis B 15.3%, biliary cirrhosis 5.1%,
autoimmune 2.5% and other causes 14.7%. Two years after LT, 43.3% of patients
were employed. The demographic variables associated with post-LT employment were
male sex (P<0.001), age under 40 years at LT (P=0.02), a sedentary job (P=0.007),
raising children under the age of 18 years at the time of LT (P=0.01), a high
level of education (P=0.001), not being affiliated with the French universal
health coverage or 'CMU' (P=0.001). Only 53.3% of the patients who did not return
to work after LT stated that they felt like they had a physical disability.
CONCLUSION: The rate of return to work after LT in France was 43.1%, which was
higher than that reported in the US study. However, this rate remains low and
policies supporting return to work are needed to help liver recipients who wish
to work after LT.
PMID- 26560750
TI - Chronic hepatitis C: treat or wait? A prospective study on reasons for treatment
or nontreatment in the era of first-generation protease inhibitors.
AB - BACKGROUND AND AIMS: In many countries, current treatment for patients with
chronic hepatitis C involves a combination of peginterferon and ribavirin,
associated with a protease inhibitor for hepatitis C virus genotype 1. More
recent and efficient less toxic antiviral treatments are now available for some
patients. Thus, the decision to treat or to wait is challenging. The aims of this
study were to: (a) estimate the proportion of treated patients, (b) evaluate the
reasons for this decision, and (c) examine the patients' points-of-view in
treatment decision. METHODS: This was a prospective study conducted at three
French referral centers between March and June 2013. Epidemiological and
virological data, reasons for treatment or nontreatment, and data on the doctors'
and patients' choices were collected. RESULTS: A total of 255 patients were
analyzed. Only 52.6% of patients with fibrosis of 2 or higher were treated.
Treatment uptake was reduced in the following groups: previously treated
patients, those with poor tolerance during prior treatment, those with heavy
alcohol consumption, and those with hepatocellular carcinoma. Of the cirrhotic
patients, 55% were not treated: 51.1% had a contraindication, 22.2% had a
previous nonresponse. When treatment was refused by the patient, fear of side
effects and professional problems were the most frequently cited reasons (90 and
40%, respectively). CONCLUSION: Patients were treated primarily according to
consensus guidelines. However, only 45% of cirrhotic patients were treated. In
7.6% of the cases, the patient refused therapy. This study enabled us to measure
the importance of patient choice in medical decision-making. Well-informed
patients expected not only more efficient but also well-tolerated therapy.
PMID- 26560751
TI - Factors related to increased resting energy expenditure in men with liver
cirrhosis.
AB - OBJECTIVE: Hypermetabolism in cirrhosis is associated with a high risk of
complications and mortality. However, studies about underlying mechanisms are
usually focussed on isolated potential determinants and specific etiologies, with
contradictory results. We aimed at investigating differences in nutrition,
metabolic hormones, and hepatic function between hypermetabolic and
nonhypermetabolic men with cirrhosis of the liver. PATIENTS AND METHODS: We
prospectively enrolled 48 male cirrhotic inpatients. We evaluated their resting
energy expenditure (REE) and substrate utilization by indirect calorimetry, body
composition by dual-energy X-ray absorptiometry, liver function, and levels of
major hormones involved in energy metabolism by serum sample tests. Patients with
ascites, specific metabolic disturbances, and hepatocellular carcinoma were
excluded. RESULTS: REE and REE adjusted per fat-free mass (FFM) were
significantly increased in cirrhotic patients. Overall, 58.3% of cirrhotic
patients were classified as hypermetabolic. Groups did not differ significantly
in age, etiology of cirrhosis, liver function, presence of ascites, use of
diuretics, beta-blockers, or presence of transjugular intrahepatic portosystemic
shunts. Hypermetabolic cirrhotic patients had lower weight, BMI (P<0.05),
nonprotein respiratory quotient (P<0.01), leptin (P<0.05), and leptin adjusted
per fat mass (FM) (P<0.05), but higher FFM% (P<0.05) and insulin resistance
[homeostatic model assessment-insulin resistance (HOMA-IR)] (P<0.05). Only HOMA
IR, leptin/FM, and FFM% were independently related to the presence of
hypermetabolism. CONCLUSION: Hypermetabolic cirrhotic men are characterized by
lower weight, higher FFM%, insulin resistance, and lower leptin/FM when compared
with nonhypermetabolic men. HOMA-IR, FFM%, and leptin/FM were independently
associated with hypermetabolism, and may serve as easily detectable markers of
this condition in daily clinical practice.
PMID- 26560752
TI - How psychosocial factors affect well-being of practice assistants at work in
general medical care?--a questionnaire survey.
AB - BACKGROUND: Well-being at work is an important aspect of a workforce strategy.
The aim of the study was to explore and evaluate psychosocial factors and health
and work-related outcomes of practices assistants depending on their employment
status in general medical practices. METHODS: This observational study was based
on a questionnaire survey to evaluate psychosocial aspects at work in general
medical practices. A standardized questionnaire was used, the Copenhagen
Psychosocial Questionnaire (COPSOQ). Beside descriptive analyses linear
regression analyses were performed for each health and work-related outcome scale
of the COPSOQ. RESULTS: 586 practice assistants out of 794 respondents (73.8 %)
from 234 general medical practices completed the questionnaire. Practice
assistants reported the highest scores for the psychosocial factor 'sense of
community' (mean = 85.9) and the lower score for 'influence at work' (mean =
41.2). Moreover, practice assistants who worked part-time rated their
psychosocial factors at work and health-related outcomes more positively than
full-time employees. Furthermore, the two scales of health related outcomes
'burnout' and 'job satisfaction' showed strong associations between different
psychosocial factors and socio-demographic variables. CONCLUSIONS: Psychosocial
factors at work influence well-being at work and could be strong risk factors for
poor health and work-related outcomes. Effective management of these issues could
have an impact on the retention and recruitment of health care staff.
PMID- 26560753
TI - Modulation of tumor immunity: a patent evaluation of WO2015026684A1.
AB - A high percentage of regulatory T cells (Tregs) among tumor-infiltrating
lymphocytes weakens the immune response against tumors. The anergy of effector T
cells (Teff) can be reversed by immune checkpoint treatment, which inhibits Tregs
and boosts the activation of Teff. Both effects can be obtained by triggering the
glucocorticoid-induced TNF receptor-related (GITR), a costimulatory molecule
expressed by Teff and Tregs, and by inhibiting the programmed cell death (PD)-1
receptor, an inhibitory molecule expressed by Teff. Patent W02015026684A1
provides a method of treating human tumors using a combination of a molecule
triggering GITR and another inhibiting PD-1. The treatment approach was tested on
three murine models of cancer, and the synergic effect of antihuman antibodies
(Abs) in combination was tested in mixed lymphocyte reactions. Immune checkpoint
treatment can break tolerance toward tumors and promote tumor rejection. The
patented approach is very interesting and might be successful. The combined use
of PD-1 antagonists and GITR agonists is synergic and tumor-centered, and adverse
events might be less problematic than expected. A crucial point in translating
the murine studies to humans is the differences between murine and human GITR and
the evidence that some antihuman GITR Abs are not agonists.
PMID- 26560754
TI - 5-HTTLPR Expression Outside the Skin: An Experimental Test of the Emotional
Reactivity Hypothesis in Children.
AB - BACKGROUND: There is increasing evidence that variation in the promoter region of
the serotonin transporter gene SLC6A4 (i.e., the 5-HTTLPR polymorphism) moderates
the impact of environmental stressors on child psychopathology. Emotional
reactivity -the intensity of an individual's response to other's emotions- has
been put forward as a possible mechanism underlying these gene-by-environment
interactions (i.e., G*E). Compared to children homozygous for the L-allele (LL
genotypes), children carrying an S-allele (SS/SL-genotypes), specifically when
they have been frequently exposed to negative emotions in the family environment,
might be more emotionally reactive and therefore more susceptible to affective
environmental stressors. However, the association between 5-HTTLPR and emotional
reactivity in children has not yet been empirically tested. Therefore, the goal
of this study was to test this association in a large-scale experiment. METHODS:
Children (N = 521, 52.5% boys, Mage = 9.72 years) were genotyped and randomly
assigned to happy, angry or neutral dynamic facial expressions and vocalizations.
Motor and affective emotional reactivity were assessed through children's self
reported negative and positive affect (n = 460) and facial electromyography
activity (i.e., fEMG: the zygomaticus or "smile" muscle and the corrugator or
"frown" muscle, n = 403). Parents reported on their negative and positive
parenting behaviors. RESULTS: Children mimicked and experienced the emotion they
were exposed to. However, neither motor reactivity nor affective reactivity to
these emotions depended on children's 5-HTTLPR genotype: SS/SL-genotypes did not
manifest any stronger response to emotional stimuli than LL-genotypes. This
finding remained the same when taking the broader family environment into
account, controlling for kinship, age, gender and genetic ancestry, and when
including a tri-allelic factor. CONCLUSIONS: We found no evidence for an
association between the 5-HTTLPR polymorphism and children's emotional
reactivity. This finding is important, in discounting one potential underlying
endophenotype of G*E between the 5-HTTLPR and affective environmental stressors.
PMID- 26560756
TI - PATHOLOGY AND MOLECULAR DETECTION OF RABIES VIRUS IN FERRET BADGERS ASSOCIATED
WITH A RABIES OUTBREAK IN TAIWAN.
AB - Until Rabies virus (RABV) infection in Taiwan ferret badgers (TWFB; Melogale
moschata subaurantiaca) was diagnosed in mid-June 2013, Taiwan had been
considered rabies free for >50 yr. Although rabies has also been reported in
ferret badgers in China, the pathologic changes and distribution of viral
antigens of ferret badger-associated rabies have not been described. We performed
a comprehensive pathologic study and molecular detection of rabies virus in three
necropsied rabid TWFBs and evaluated archival paraffin-embedded tissue blocks of
six other TWFBs necropsied during 2004 and 2012. As in other RABV-infected
species, the characteristic pathologic changes in TWFBs were nonsuppurative
meningoencephalomyelitis, ganglionitis, and the formation of typical
intracytoplasmic Negri bodies, with the brain stem most affected. There was also
variable spongiform degeneration, primarily in the perikaryon of neurons and
neuropil, in the cerebral cortex, thalamus, and brain stem. In nonnervous system
tissues, representative lesions included adrenal necrosis and lymphocytic
interstitial sialadenitis. Immunohistochemical staining and fluorescent antibody
test demonstrated viral antigens in the perikaryon of the neurons and axonal or
dendritic processes throughout the nervous tissue and in the macrophages in
various tissues. Similar to raccoons (Procyon lotor) and skunks (Mephitidae), the
nervous tissue of rabid TWFBs displayed widely dispersed lesions, RABV antigens,
and large numbers of Negri bodies. We traced the earliest rabid TWFB case back to
2004.
PMID- 26560755
TI - Analysis of key genes of jasmonic acid mediated signal pathway for defense
against insect damages by comparative transcriptome sequencing.
AB - Corn defense systems against insect herbivory involve activation of genes that
lead to metabolic reconfigurations to produce toxic compounds, proteinase
inhibitors, oxidative enzymes, and behavior-modifying volatiles. Similar
responses occur when the plant is exposed to methyl jasmonate (MeJA). To compare
the defense responses between stalk borer feeding and exogenous MeJA on a
transcriptional level, we employed deep transcriptome sequencing methods
following Ostrinia furnacalis leaf feeding and MeJA leaf treatment. 39,636 genes
were found to be differentially expressed with O. furnacalis feeding, MeJA
application, and O. furnacalis feeding and MeJA application. Following Gene
Ontology enrichment analysis of the up- or down- regulated genes, many were
implicated in metabolic processes, stimuli-responsive catalytic activity, and
transfer activity. Fifteen genes that indicated significant changes in the O.
furnacalis feeding group: LOX1, ASN1, eIF3, DXS, AOS, TIM, LOX5, BBTI2, BBTI11,
BBTI12, BBTI13, Cl-1B, TPS10, DOX, and A20/AN1 were found to almost all be
involved in jasmonate defense signaling pathways. All of the data demonstrate
that the jasmonate defense signal pathway is a major defense signaling pathways
of Asian corn borer's defense against insect herbivory. The transcriptome data
are publically available at NCBI SRA: SRS965087.
PMID- 26560757
TI - Liquid chromatographic determination of caffeine and adrenergic stimulants in
food supplements sold in Brazilian e-commerce for weight loss and physical
fitness.
AB - Methyl-xanthines and adrenergic stimulants, such as caffeine and synephrine, are
commonly added to food supplements due to their stimulating and thermogenic
effects. In addition, the abusive consumption of food supplements with ergogenic
and aesthetic purposes has been observed worldwide. This work describes the study
of caffeine, p-synephrine, hordenine, octopamine, tyramine, ephedrine and salicin
as stimulants in dietary supplements marketed in Brazil for weight loss and
physical fitness claims. A total of 94 different products were acquired from 30
Brazilian websites. Thus, the sampling of marketed supplements was performed in
virtual commerce (e-commerce) with claims of weight loss, appetite reduction, fat
burning and metabolism acceleration. The developed analytical method involved the
separation of the stimulants by HPLC with diode array detection (HPLC-DAD) by
using a gradient elution of flow rate (0.7-2.5 ml min(-1)) and mobile phase
composition (0.1% H3PO4/methanol). The validated method was applied to the study
of 46 dietary supplements. Caffeine, p-synephrine and ephedrine were found to be
present as stimulants in 52% of the studied samples marketed as encapsulated or
bulk forms. Caffeine was found to be present in concentrations that represent
doses from 25.0 to 1476.7 mg day(-1). Synephrine was found in concentrations that
represent doses from 59.1 to 127.0 mg day(-1). Ephedrine was found to be
associated with caffeine in one formulation at a concentration representing a
26.1 mg day(-1) dosage.
PMID- 26560758
TI - Changes in lean and skeletal muscle body mass in adult females with anorexia
nervosa before and after weight restoration.
AB - BACKGROUND & AIMS: Data on the deficits in lean body mass (LBM) and total body
skeletal muscle mass (SM) in anorexia nervosa (AN) is scarce and inconsistent.
Furthermore, the usefulness of the reported body mass index (BMI) severity cut
off for AN has not been tested with respect to these important parameters. The
study had two aims, namely to study LBM patterns and SM in adult females with AN
before and after weight restoration, and to examine the clinical usefulness of
the 16.5 kg/m2 BMI cut-off for assessing the protein status in terms of LBM and
SM in AN patients. METHODS: Body composition was measured by dual-energy X-ray
absorptiometry (DXA) before and after weight gain in 90 adult female inpatients
with AN, and 90 controls matched by post-treatment BMI and age. Patients were
stratified into two groups using BMI 16.5 kg/m2 as a cut-off. RESULTS: Before
weight restoration, patients in the BMI<=16.5 kg/m2 subgroup (n = 65) had lower
LBM, SM and lean extremity mass percentage, but higher %LBM and lean trunk-to
extremity ratio on average than controls. However, those with BMI >16.5 kg/m2 (n
= 25) displayed lower lean extremity mass percentage and higher %LBM, but no
significant differences in LBM and SM with respect to controls. Moreover the time
* subgroup interaction was significant in terms of LBM and SM, meaning that,
changes occur in different manner over time in the two AN subgroups. However no
differences were found between the two AN subgroups in either demographic or
other eating disorder characteristics. After weight gain, normalization of LBM,
%LBM, lean extremity mass percentage and SM was achieved across the entire AN
sample, and the BMI<=16.5 kg/m2 subgroup. The fat mass was the major determinant
of gain in LBM; the higher the FM at baseline, the greater the increase in LBM.
CONCLUSIONS: Our results suggest a BMI cut-off <=16.5 kg/m2 as a clinical
threshold for determining AN severity. As short-term weight restoration is
associated with a normalization in LBM and SM, it appears that biological
regulation of weight gain remains intact in AN, i.e., unaffected by the severity
of malnutrition. CLINICAL TRIALS REGISTRY: Changes in lean and skeletal muscle
body mass in adult females with anorexia nervosa before and after weight
restoration (ISRCTN168721194).
PMID- 26560760
TI - Massive parallel processing of image reconstruction from bispectrum through
turbulence.
AB - This paper presents a massively parallel method for the phase reconstruction of
an object from its bispectrum phase. Our aim is to recover an enhanced version of
a turbulence-corrupted image by developing an efficient and fast parallel image
restoration algorithm. The proposed massively parallel bispectrum algorithm
relies on multiple block parallelization. Further, in each block, we employ
wavefront processing through strength reduction to parallelize an iterative
algorithm. Results are presented and compared with the existing iterative
bispectrum method. We report a speed-up factor of 85.94 with respect to
sequential implementation of the same algorithm for an image size of 1024*1024.
PMID- 26560761
TI - Compact noise-like pulse fiber laser and its application for supercontinuum
generation in highly nonlinear fiber.
AB - We report on supercontinuum generation in a highly nonlinear fiber (HNLF) pumped
by noise-like pulses (NLPs) emitted from a compact fiber ring laser. The compact
erbium-doped fiber ring laser is constructed by using an optical integrated
component and mode-locked by the nonlinear polarization rotation technique. The
laser produces NLPs with a 3-dB spectral bandwidth of 60.2 nm, repetition rate of
9.36 MHz, and pulse energy of 2.8 nJ. Numerical simulations reproduce the
generation of NLPs in the experiment. The NLPs are then launched into a 110-m
long HNLF and a supercontinuum with a 20-dB spectral width over 500 nm is
obtained. Such a simple and inexpensive supercontinuum-generation system is a
potential alternative for various practical applications.
PMID- 26560759
TI - The association between green space and depressive symptoms in pregnant women:
moderating roles of socioeconomic status and physical activity.
AB - BACKGROUND: The current study explored the association between green space and
depression in a deprived, multiethnic sample of pregnant women, and examined
moderating and mediating variables. METHOD: 7547 women recruited to the 'Born in
Bradford' cohort completed a questionnaire during pregnancy. A binary measure of
depressive symptoms was calculated using a validated survey. Two green space
measures were used: quintiles of residential greenness calculated using the
normalised difference vegetation index for three neighbourhood sizes (100, 300
and 500 m buffer zones around participant addresses); access to major green
spaces estimated as straight line distance between participant address and
nearest green space (>0.5 hectares). Logistic regression analyses examined
relationships between green space and depressive symptoms, controlling for
ethnicity, demographics, socioeconomic status (SES) and health behaviours.
Multiplicative interactions explored variations by ethnic group, SES or activity
levels. Mediation analysis assessed indirect effects via physical activity.
RESULTS: Pregnant women in the greener quintiles were 18-23% less likely to
report depressive symptoms than those in the least green quintile (for within 100
m of green space buffer zone). The green space-depressive symptoms association
was significant for women with lower education or who were active. Physical
activity partially mediated the association of green space, but explained only a
small portion of the direct effect. CONCLUSIONS: Higher residential greenness was
associated with a reduced likelihood of depressive symptoms. Associations may be
stronger for more disadvantaged groups and for those who are already physically
active. Improving green space is a promising intervention to reduce risk of
depression in disadvantaged groups.
PMID- 26560762
TI - High brightness laser-diode device emitting 160 watts from a 100 MUm/NA 0.22
fiber.
AB - A practical method of achieving a high-brightness and high-power fiber-coupled
laser-diode device is demonstrated both by experiment and ZEMAX software
simulation, which is obtained by a beam transformation system, free-space beam
combining, and polarization beam combining based on a mini-bar laser-diode chip.
Using this method, fiber-coupled laser-diode module output power from the
multimode fiber with 100 MUm core diameter and 0.22 numerical aperture (NA) could
reach 174 W, with equalizing brightness of 14.2 MW/(cm2.sr). By this method,
much wider applications of fiber-coupled laser-diodes are anticipated.
PMID- 26560763
TI - Fringe order error in multifrequency fringe projection phase unwrapping: reason
and correction.
AB - A multifrequency fringe projection phase unwrapping algorithm (MFPPUA) is
important to fringe projection profilometry, especially when a discontinuous
object is measured. However, a fringe order error (FOE) may occur when MFPPUA is
adopted. An FOE will result in error to the unwrapped phase. Although this kind
of phase error does not spread, it brings error to the eventual 3D measurement
results. Therefore, an FOE or its adverse influence should be obviated. In this
paper, reasons for the occurrence of an FOE are theoretically analyzed and
experimentally explored. Methods to correct the phase error caused by an FOE are
proposed. Experimental results demonstrate that the proposed methods are valid in
eliminating the adverse influence of an FOE.
PMID- 26560764
TI - Thin-disk laser pump schemes for large number of passes and moderate pump source
quality.
AB - Thin-disk laser pump layouts yielding an increased number of passes for a given
pump module size and pump source quality are proposed. These layouts result from
a general scheme based on merging two simpler pump optics arrangements. Some
peculiar examples can be realized by adapting standard, commercially available
pump optics with an additional mirror pair. More pump passes yield better
efficiency, opening the way for the usage of active materials with low
absorption. In a standard multipass pump design, scaling of the number of beam
passes brings about an increase in the overall size of the optical arrangement or
an increase in the pump source quality requirements. Such increases are minimized
in our scheme, making them eligible for industrial applications.
PMID- 26560765
TI - Empirical mode decomposition profilometry: small-scale capabilities and
comparison to Fourier transform profilometry.
AB - We present the empirical mode decomposition profilometry (EMDP) for the analysis
of fringe projection profilometry (FPP) images. It is based on an iterative
filter, using empirical mode decomposition, which is free of spatial filtering
and adapted for surfaces characterized by a broadband spectrum of deformation.
Its performances are compared to Fourier transform profilometry, the benchmark of
FPP. We show both numerically and experimentally that using EMDP improves
strongly the profilometry small-scale capabilities. Moreover, the height
reconstruction distortion is much lower: the reconstructed height field is now
both spectrally and statistically accurate. EMDP is thus particularly suited to
quantitative experiments.
PMID- 26560766
TI - Photonic crystal fiber modal interferometer based on thin-core-fiber mode
exciter.
AB - A thin-core-fiber excited photonic crystal fiber modal interferometer has been
proposed and experimentally demonstrated. By employing a thin-core fiber as the
mode exciter, both of the core and cladding modes propagate in the photonic
crystal fiber and interfere with each other. The experimental results show that
the transmission dips corresponding to different-order modes have various strain
responses with opposite shift directions. The strain sensitivity could be
improved to 58.57 pm/MUepsilon for the applied strain from 0 to 491 MUepsilon by
utilizing the wavelength interval between the dips with opposite shift
directions. Moreover, due to the pure silica property of the employed photonic
crystal fiber, the proposed fiber modal interferometer exhibits a low-temperature
sensitivity of about 0.56 pm/ degrees C within a temperature range from 26.4
degrees C (room temperature) to 70 degrees C. Additionally, the proposed fiber
modal interferometer has several advantages, such as good stability, compact
structure, and simple fabrication. Therefore, it is more applicable for strain
measurement with reducing temperature cross-sensitivity.
PMID- 26560767
TI - 2 kW narrow spectral width monolithic continuous wave in a near-diffraction
limited fiber laser.
AB - We demonstrate a monolithic continuous wave (CW) fiber laser source at 1070 nm,
producing 2 kW laser power with a very narrow spectral width (~75 GHz) and near
diffraction-limited beam quality (M2<1.4). The laser consists of a CW fiber laser
oscillator and two double cladding fiber amplifiers in the master oscillator
power amplifier configuration. The master oscillator is a distributed Bragg
reflected fiber laser, producing ~6 W laser power with ~25 GHz spectral width.
The two double cladding fiber amplifiers were developed to enhance the laser
power up to ~200 and ~2050 W, respectively. The slope efficiency of the main
amplifier reaches 84.8%. Under the full power output, the 3 dB spectral width and
20 dB spectral width of the laser emission spectrum was ~75 GHz and 1.2 nm,
respectively.
PMID- 26560768
TI - Analysis and characterization of high-resolution and high-aspect-ratio imaging
fiber bundles.
AB - High-contrast imaging fiber bundles (FBs) are characterized and modeled for wide
angle and high-resolution imaging applications. Scanning electron microscope
images of FB cross sections are taken to measure physical parameters and verify
the variations of irregular fibers due to the fabrication process. Modal analysis
tools are developed that include irregularities in the fiber core shapes and
provide results in agreement with experimental measurements. The modeling
demonstrates that the irregular fibers significantly outperform a perfectly
regular "ideal" array. Using this method, FBs are designed that can provide high
contrast with core pitches of only a few wavelengths of the guided light.
Structural modifications of the commercially available FB can reduce the core
pitch by 60% for higher resolution image relay.
PMID- 26560769
TI - Filterless frequency 12-tupling optical millimeter-wave generation using two
cascaded dual-parallel Mach-Zehnder modulators.
AB - A novel frequency 12-tupling optical millimeter-wave (mm-wave) generation using
two cascaded dual-parallel Mach-Zehnder modulators (DP-MZMs) without an optical
filter is proposed and demonstrated by computer simulation. By properly adjusting
the amplitude and phase of radio frequency (RF) driving signal and the direct
current (DC) bias points of two DP-MZMs, a 120 GHz mm-wave with an optical
sideband suppression ratio (OSSR) of 25.1 dB and a radio frequency spurious
suppression ratio (RFSSR) of 19.1 dB is shown to be generated from a 10 GHz RF
driving signal, which largely reduces the response frequency of electronic
devices. Furthermore, it is also proved to be valid that even if the phase
difference of RF driving signals, the RF driving voltage, and the DC bias voltage
deviate from the ideal values to a certain degree, the performance is still
acceptable. Since no optical filter is employed to suppress the undesired optical
sidebands, a high-spectral-purity mm-wave signal tunable from 48 to 216 GHz can
be obtained theoretically when a RF driving signal from 4 to 18 GHz is applied to
the DP-MZMs, and the system can be readily implemented in wavelength-division
multiplexing upconversion systems to provide high-quality optical local
oscillator signal.
PMID- 26560770
TI - Interband cascade lasers with long lifetimes.
AB - Narrow-ridge interband cascade lasers were subjected to accelerated aging. The
aging curves were statistically evaluated by a log-normal distribution of the
failure time, and by the mixed effects of the degradation parameters. Based on
10,000 h of output power trend data for lasers operating at 90 degrees C and the
maximum cw power, an unexpectedly long lifetime is predicted. The projected
lifetimes range from about 500,000 h (57 years) for the linear degradation model
to 183,000 h (21 years) for the exponential one.
PMID- 26560771
TI - Frequency stability of a wavelength meter and applications to laser frequency
stabilization.
AB - Interferometric wavelength meters have attained frequency resolutions down to the
megahertz range. In particular, Fizeau interferometers, which have no moving
parts, are becoming a popular tool for laser characterization and stabilization.
In this paper, we characterize such a wavelength meter using an ultrastable laser
in terms of relative frequency instability sigma(y)(tau) and demonstrate that it
can achieve a short-term instability sigma(y)(1s)~2*10(-10) and a frequency drift
of order 10 MHz/day. We use this apparatus to demonstrate frequency control of a
near-infrared laser, where a frequency instability below 3*10(-10) from 1 to 2000
s is achieved. Such performance is, for example, adequate for ion trapping and
atom cooling experiments.
PMID- 26560772
TI - Improving the spectral resolution of flat-field concave grating miniature
spectrometers by dividing a wide spectral band into two narrow ones.
AB - In this study, a new flat-field concave grating miniature spectrometer is
proposed with improved resolution across a wide spectral band. A mirror is added
to a conventional concave grating spectrometer and placed near the existing
detector array, allowing a wide spectral band to be divided into two adjacent
subspectral bands. One of these bands is directly detected by the detector, and
the other is indirectly analyzed by the same detector after being reflected by
the mirror. These two subspectral bands share the same entrance slit, concave
grating, and detector, which allows for a compact size, while maintaining an
improved spectral resolution across the entire spectral band. The positions of
the mirror and other parameters of the spectrometer are designed by a computer
procedure and the optical design software ZEMAX. Simulation results show that the
resolution of this kind of flat-field concave grating miniature spectrometer is
better than 1.6 nm across a spectral band of 700 nm. Experiments based on three
laser sources reveal that the measured resolutions are comparable to the
simulated ones, with a maximum relative error between them of less than 19%.
PMID- 26560773
TI - Tellurite glass defect-core spiral photonic crystal fiber with low loss and large
negative flattened dispersion over S + C + L + U wavelength bands.
AB - A defected-core spiral photonic crystal fiber is proposed to achieve very large
negative flattened dispersion and small confinement loss. Simulation results
reveal that the designed structure exhibits very large flattened dispersion over
S+C+L+U wavelength bands and an average dispersion of about -720.7 ps nm(-1) km(
1) with an absolute dispersion variation of 12.7 ps nm(-1) km(-1) over the
wavelength ranging from 1.45 to 1.65 MUm. The proposed fiber has five air-hole
rings in the cladding leading to very small confinement loss of 0.00111 dB/km at
the excitation wavelength of 1.55 MUm. The tolerance of the fiber dispersion of
+/-2% changing in the structural parameters is investigated for practical
conditions.
PMID- 26560775
TI - Retrieving mesopause temperature and line-of-sight wind from full-diurnal-cycle
Na lidar observations.
AB - Narrowband Na lidar measurement of mesopause region temperatures were pioneered
by Fricke and von Zahn in 1985, in 1990 by She et al. at Colorado State
University (CSU), with upgrades to measure both temperature and wind in 1994, and
under sunlit conditions in 1996 with 24 h continuous observational capability in
2002. This paper details the assumptions and procedures for the retrieval of
mesopause region temperatures, line-of-sight winds, and sodium densities from day
and night signals from the CSU narrowband Na lidar. The Hanle effect and the
effect of the pulsed laser line shape function on the accuracy of temperature and
LOS wind retrieval are also discussed.
PMID- 26560774
TI - Diffraction-limited step-zoom telescope by image restoration.
AB - The design of a step-zoom telescope and its ability to achieve a diffraction
limited performance is explored. The basic idea is to include digital
postprocessing to compensate for changes in the modulation transfer function of
the system, assuming the knowledge of the range to the object. The instrument is
conformed of a two-mirror telescope, two lenses, and a detector. High-quality
images and a zoom telescope that ranges from 22 to 61 f-number is achieved by
moving the primary mirror and two lenses. The preliminary calculations for the
design process and a simulation that shows the performance of the step-zoom
telescope are described.
PMID- 26560776
TI - Spectral density response functions for modulated polarimeters.
AB - Conventional imaging devices are often compared using their optical transfer
functions (OTFs) in space and their impulse responses in time. Modulated
polarimeters cannot be directly compared this way, since they are frequency
multiplexed. Here we define a spectral density response function that describes
how the spectral density matrix of the Stokes parameters for an object transfers
through a modulated polarimeter. This response function facilitates the objective
comparison of polarimeters in a way that is analogous to the OTF for conventional
imaging systems. The spectral density response is used to calculate a Wiener
filter for a rotating analyzer polarimeter as an example of filter optimization
for modulated polarimetry.
PMID- 26560777
TI - Diode-end-pumped single-longitudinal-mode Er:LuAG laser with intracavity etalons
at 1.6 MUm.
AB - We present a laser-diode-pumped 1.6 MUm single-longitudinal-mode Er:LuAG laser.
The intracavity etalons were used as mode selectors for the single-longitudinal
mode operation. The maximum single-longitudinal-mode output power was 153 mW with
the wavelength of 1650.2 nm at the pump power of 9.18 W, corresponding to a slope
efficiency of 4.3%. The M2 factor was measured to be 1.07. In addition, by
changing the thickness of the etalons, a maximum single-longitudinal-mode output
power of 114 mW at 1628.1 nm with a slope efficiency of 3.5% was also achieved.
To our knowledge, the intracavity etalons Er:LuAG laser operated at single
longitudinal-mode is being reported for the first time.
PMID- 26560778
TI - Binocular open-view system to perform estimations of aberrations and scattering
in the human eye.
AB - We present a system that integrates a double-pass (DP) instrument and a Hartmann
Shack (HS) wavefront sensor to provide information not only on aberrations, but
also on the scattering that occurs in the human eye. A binocular open-view design
permits evaluations to be made under normal viewing conditions. Furthermore, the
system is able to compensate for both the spherical and astigmatic refractive
errors that occur during measurements by using devices with configurable optical
power. The DP and HS techniques provide comparable data after estimating
wavefront slopes with respect to the intersections of an ideal grid and
compensating for residual errors caused by the optical defects of the measuring
system. Once comparable data is obtained, it is possible to use this combined
manner of assessment to provide information on scattering. Measurements in an
artificial eye suggest that the characteristics of the ocular fundus may induce
deviations of DP with respect to the HS data. These differences were quantified
in terms of the modulation transfer function in young, healthy eyes measured in
infrared light to demonstrate the potential use of the system in visual optics
studies.
PMID- 26560779
TI - Diode-pumped tri-wavelength synchronously mode-locked Yb,Y:CaF2 laser.
AB - A tri-wavelength synchronous mode-locking operation of a diode-pumped Yb,Y:CaF2
laser has been investigated by using a semiconductor saturable absorber mirror at
1 MUm. The tri-wavelength synchronous mode-locking pulses were centered at
1045.7, 1047.6, and 1049.5 nm. An interference pattern was obtained in the
autocorrelation trace, where the beat pulse width was 3.2 ps and the repetition
rate was 0.52 THz. The maximum average output power was 196 mW with pulse width
120 ps and repetition rate of 89 MHz.
PMID- 26560780
TI - Parallel-quadrature on-axis phase-shifting common-path interferometer using a
polarizing beam splitter.
AB - A common-path parallel-quadrature on-axis phase-shifting interferometry using a
modified Michelson configuration with a polarizing cube beam splitter is proposed
for quantitative phase measurement. The frequency spectrum of the circularly
polarized object beam is split into two beams using a beam splitter. One beam is
converted to a 45 degrees linearly polarized beam to act as the object beam, and
the other beam is low-filtered by a pinhole mirror to act as the reference beam.
Two interferograms with quadrature phase shift can be simultaneously captured by
combining the 45 degrees linearly polarized object beam with the circularly
polarized reference beam through a 45 degrees tilted polarizing cube beam
splitter, and the phase of a specimen can be then retrieved through a two-step
phase-shifting algorithm. Experiments are carried out to demonstrate the validity
and stability of the proposed method.
PMID- 26560781
TI - Developing a video tracking method to study interactions between close pairs of
optically trapped particles in three dimensions.
AB - We develop a video tracking method that utilizes an interpolation-based
normalized cross-correlation approach to track the position of microscopic
spherical particles in three dimensions. Subnanometer resolution is demonstrated.
The method does not assume that the particle's image is radially symmetric,
making it useful for determining the position when particles are close and their
images overlap. This is demonstrated in a study of the electrostatic and
hydrodynamic interactions between a pair of beads in dual laser tweezers traps.
PMID- 26560782
TI - Tolerance analysis of multilayer diffractive optics based on polychromatic
integral diffraction efficiency.
AB - Multilayer diffractive optical elements (MLDOEs) can achieve high diffraction
efficiency for broadband wavelength. Polychromatic integral diffraction
efficiency (PIDE) is the key concern for evaluating diffraction efficiency over
the waveband. The modulation transfer function of a hybrid refractive-diffractive
optical system is directly affected by the PIDE. The relationship between PIDE
and continuous manufacturing errors for microstructure heights and periodic
widths of MLDOEs is studied theoretically in this paper, and an example of MLDOEs
is discussed in the visible waveband. The analysis results can be used for
manufacturing error control in microstructure heights and periodic widths.
PMID- 26560783
TI - Quantitative analysis of essential oils of Thymus daenensis using laser-induced
fluorescence and Raman spectroscopy.
AB - Laser-induced fluorescence and Raman spectroscopy are used for the investigation
of different genotypes of Thymus daenensis native to the Ilam province of Iran.
Different genotypes of T. daenensis essential oils, labeled T1 through T7,
possess slight differences with regard to the composition of the thymol. The gas
chromatography-mass spectrometry (GC-MS) method is performed to determine the
concentration of each constituent as a reference method. The Raman spectra of
different concentrations of pure thymol dissolved in hexane as standard samples
are obtained via a laboratory prototype Raman spectroscopy setup for the
calculation of the calibration curve. The regression coefficient and limit of
detection are calculated. The possibility of the differentiation of different
genotypes of T. daenensis is also examined by laser-induced fluorescence
spectroscopy, although we do not know the exact amounts of their components. All
the fluorescence spectral information is used jointly by cluster analysis to
differentiate between 7 genotypes. Our results demonstrate the acceptable
precision of Raman spectroscopy with GC-MS and corroborate the capacity of Raman
spectroscopy in applications in the quantitative analysis field. Furthermore, the
cluster analysis results show that laser-induced fluorescence spectroscopy is an
acceptable technique for the rapid classification of different genotypes of T.
daenensis without having any previous information of their exact amount of
constituents. So, the ability to rapidly and nondestructively differentiate
between genotypes makes it possible to efficiently select high-quality herbs from
many samples.
PMID- 26560784
TI - High numerical aperture holographic microscopy reconstruction with extended z
range.
AB - A holographic microscopy reconstruction method compatible with a high numerical
aperture microscope objective (MO) up to NA=1.4 is proposed. After off-axis and
reference field curvature corrections, and after selection of the +1 grating
order holographic image, a phase mask that transforms the optical elements of the
holographic setup into an afocal device is applied in the camera plane. The
reconstruction is then made by the angular spectrum method. The field is first
propagated in the image half-space from the camera to the afocal image of the MO
optimal plane (the plane for which the MO has been designed) by using a quadratic
kernel. The field is then propagated from the MO optimal plane to the object with
the exact kernel. Calibration of the reconstruction is made by imaging a
calibrated object such as a USAF resolution target for different positions along
z. Once the calibration is done, the reconstruction can be made with an object
located in any plane z. The reconstruction method has been validated
experimentally with a USAF target imaged with a NA=1.4 microscope objective. Near
optimal resolution is obtained over an extended range (+/-50 MUm) of z
locations.
PMID- 26560785
TI - Silver/cyclic olefin copolymer hollow glass waveguides for infrared laser
delivery.
AB - Metal/dielectric-coated hollow glass waveguides (HGWs) have been studied
extensively for the efficient transmission of radiation over a broad spectral
range. In this study, a low-absorption optical polymer, cyclic olefin copolymer
(COC), is investigated as a dielectric material for HGWs designed for the
delivery of various IR lasers. Using established silver (Ag) plating techniques
and a newly optimized polymer-coating procedure, Ag/COC HGWs with low attenuation
coefficients are fabricated for operation at the following three wavelengths: 808
nm, 1.064 MUm, and 2.94 MUm. The spectral responses of the HGW designs are used
to develop a film thickness dependency of the COC layer formation based on the
concentration of the solution used in the polymer deposition procedure. Further,
the attenuation coefficients of the HGWs are measured using the cutback method at
the three wavelengths as a function of the curvature of the waveguide. In order
of increasing operation, the attenuation coefficients are measured to be 0.549,
0.095, and 0.298 dB/m for the HGWs in the straight configuration. These
experimental values for the straight attenuation coefficients are compared to
theoretical values calculated using a ray transfer matrix approach and are found
to be in good agreement.
PMID- 26560786
TI - Alignment analyses of a galvanometer-based scanner in free-space Fourier domain
optical coherence tomography.
AB - Free-space Fourier domain optical coherence tomography is adopted for biomedical
imaging with ultrahigh resolution, in which the setup consists of an
interferometer and a spectrometer. Two-dimensional lateral sampling in the sample
arm of the interferometer is achieved by using a galvanometer-based scanner.
Optical path difference (OPD) drift in the full scan field of view is observed in
the assembly process of the scanner. A galvo mirror mount offset with respect to
the rotation axis is demonstrated as the derivation of this OPD drift by both
geometric analyses and model building. Then, an iterative assembly process of the
scanner is proposed with the OPD drift taken as the alignment criteria.
PMID- 26560787
TI - Polarization dependence of the nonlinear interaction between sinusoidally
modulated optical signals in a randomly birefringent optical fiber.
AB - In this paper, polarization dependence of the nonlinear interaction between two
sinusoidally modulated optical signals (SMOSs) in a randomly birefringent silica
optical fiber is investigated analytically and experimentally. Vector analysis is
performed on the nonlinear interaction between two orthogonally polarized or co
polarized SMOSs carried by identical or different laser wavelengths in a randomly
birefringent silica optical fiber. Dependence of the nonlinear interaction on the
polarization states of two SMOSs is investigated by analyzing the power of the
first-order sideband as a result of the nonlinear interaction. The presented
theoretical study reveals the polarization dependence of the nonlinear
interaction between two SMOSs as confirmed by the close agreement between
theoretical and experimental results. This study provides insight into the
polarization dependence of operation of a Kerr phase interrogator and the tools
for optimizing the performance of sensing devices based on a Kerr phase
interrogator.
PMID- 26560788
TI - Theoretical analysis on the rotation-induced frequency difference in ring lasers
with coupled cavities.
AB - We analyzed the effective scale factor of ring laser gyros with coupled cavities
in a general way. The coupled cavities can be made of both an odd and even number
of mirrors, or even fiber coil. Compared with the "zero-vector-area" design in
previous publications, we use the propagation loss rather than transmittance and
reflectivity of mirrors to characterize the coupled cavities, which are more
universal and controllable. In addition, we found the area of the coupled
cavities could further enhance the effective scale factor by 1+l/L, where l and L
are the round-trip length of the ring lasers and the coupled cavity,
respectively. Therefore, the scheme using coupled cavities to enhance the
sensitivity is more practical. These findings are important to realize highly
sensitive ring laser gyros.
PMID- 26560789
TI - 3D mouse shape reconstruction based on phase-shifting algorithm for fluorescence
molecular tomography imaging system.
AB - This work introduces a fast, low-cost, robust method based on fringe pattern and
phase shifting to obtain three-dimensional (3D) mouse surface geometry for
fluorescence molecular tomography (FMT) imaging. We used two pico
projector/webcam pairs to project and capture fringe patterns from different
views. We first calibrated the pico projectors and the webcams to obtain their
system parameters. Each pico projector/webcam pair had its own coordinate system.
We used a cylindrical calibration bar to calculate the transformation matrix
between these two coordinate systems. After that, the pico projectors projected
nine fringe patterns with a phase-shifting step of 2pi/9 onto the surface of a
mouse-shaped phantom. The deformed fringe patterns were captured by the
corresponding webcam respectively, and then were used to construct two phase
maps, which were further converted to two 3D surfaces composed of scattered
points. The two 3D point clouds were further merged into one with the
transformation matrix. The surface extraction process took less than 30 seconds.
Finally, we applied the Digiwarp method to warp a standard Digimouse into the
measured surface. The proposed method can reconstruct the surface of a mouse
sized object with an accuracy of 0.5 mm, which we believe is sufficient to obtain
a finite element mesh for FMT imaging. We performed an FMT experiment using a
mouse-shaped phantom with one embedded fluorescence capillary target. With the
warped finite element mesh, we successfully reconstructed the target, which
validated our surface extraction approach.
PMID- 26560790
TI - Analysis of a segmented q-plate tunable retarder for the generation of first
order vector beams.
AB - In this work we study a prototype q-plate segmented tunable liquid crystal
retarder device. It shows a large modulation range (5pi rad for a wavelength of
633 nm and near 2pi for 1550 nm) and a large clear aperture of one inch diameter.
We analyze the operation of the q-plate in terms of Jones matrices and provide
different matrix decompositions useful for its analysis, including the
polarization transformations, the effect of the tunable phase shift, and the
effect of quantization levels (the device is segmented in 12 angular sectors). We
also show a very simple and robust optical system capable of generating all
polarization states on the first-order Poincare sphere. An optical polarization
rotator and a linear retarder are used in a geometry that allows the generation
of all states in the zero-order Poincare sphere simply by tuning two retardance
parameters. We then use this system with the q-plate device to directly map an
input arbitrary state of polarization to a corresponding first-order vectorial
beam. This optical system would be more practical for high speed and programmable
generation of vector beams than other systems reported so far. Experimental
results are presented.
PMID- 26560791
TI - Detection and correction of wavefront errors caused by slight reference tilt in
two-step phase-shifting digital holography.
AB - A simple and convenient method, without the need for any additional optical
devices and measurements, is suggested to improve the quality of the
reconstructed object wavefront in two-step phase-shifting digital holography by
decreasing the errors caused by reference beam tilt, which often occurs in
practice and subsequently introduces phase distortion in the reconstructed wave.
The effects of reference beam tilt in two-step generalized interferometry is
analyzed theoretically, showing that this tilt incurs no error either on the
extracted phase shift or on the retrieved real object wave amplitude on the
recording plane, but causes great deformation of the recovered object wavefront.
A corresponding error detection and correction approach is proposed, and the
formulas to calculate the tilt angle and correct the wavefront are deduced. A
series of computer simulations to find and remove the wavefront errors caused by
reference beam tilt demonstrate the effectiveness of this method.
PMID- 26560792
TI - Wink-controlled polarization-switched telescopic contact lenses.
AB - We describe a wink-controlled hands-free switching system for eye-borne
telescopic vision, based on a previously tested fixed-magnification telescope
embedded within scleral contact lenses. Here we integrate orthogonal polarizers
into the contact lens covering the F/9.1 refractive 1* and F/9.6 catadioptric
2.8* vision paths, to allow switching via external liquid crystal shutters. We
provide hands-free control by an infrared wink/blink monitor, using passive
retroreflectors embedded within the contact lenses. We demonstrate system
operation of the self-contained switching eyewear and the modified contact lenses
with a life-size human eye model with mechanical "eyelids."
PMID- 26560793
TI - Continuous zoom antenna for mobile visible light communication.
AB - In this paper, we design a continuous zoom antenna for mobile visible light
communication (VLC). In the design, a right-angle reflecting prism was adopted to
fold the space optical path, thus decreasing the antenna thickness. The surface
of each lens in the antenna is spherical, and the system cost is relatively low.
Simulation results indicated that the designed system achieved the following
performance: zoom ratio of 2.44, field of view (FOV) range of 18 degrees -48
degrees , system gain of 16.8, and system size of 18 mm*6 mm. Finally, we
established an indoor VLC system model in a room the size of 5 m *5 m *3 m and
compared the detection results of the zoom antenna and fixed-focus antenna
obtained in a multisource communication environment, a mobile VLC environment,
and a multiple-input multiple-output communication environment. The simulation
results indicated that the continuous zoom antenna could realize large FOV and
high gain. Moreover, the system showed improved stability, mobility, and
environmental applicability.
PMID- 26560794
TI - Generation of 1.5 W average power, 18 kHz repetition rate coherent mid
ultraviolet radiation at 271.2 nm.
AB - This paper presents to our knowledge a first time study on the generation of 1.5
W average power, 18 kHz repetition rate coherent mid-ultraviolet (UV) radiation
at 271.2 nm. The work is based on frequency summing of coherent green (G: 510.6
nm) and yellow (Y: 578.2 nm) radiations of a copper-HBr laser in a beta-barium
borate crystal. Average and peak sum frequency conversion efficiencies of about
13% and 16%, respectively, are obtained. The sum frequency results are
experimentally analyzed in terms of the extent of matching of green and yellow
pump radiations in space, time, and frequency domains. The result is of high
significance for many applications in photonics components fabrication,
semiconductor technology, and spectroscopy.
PMID- 26560795
TI - Adaptive defect and pattern detection in amplitude and phase structures via
photorefractive four-wave mixing.
AB - This work comprises the theoretical and numerical validations of experimental
work on pattern and defect detection of periodic amplitude and phase structures
using four-wave mixing in photorefractive materials. The four-wave mixing optical
processor uses intensity filtering in the Fourier domain. Specifically, the
nonlinear transfer function describing four-wave mixing is modeled, and the
theory for detection of amplitude and phase defects and dislocations are
developed. Furthermore, numerical simulations are performed for these cases. The
results show that this technique successfully detects the slightest defects
clearly even with no prior enhancement. This technique should prove to be useful
in quality control systems, production-line defect inspection, and e-beam
lithography.
PMID- 26560796
TI - Simulation and experimental study of aspect ratio limitation in Fresnel zone
plates for hard-x-ray optics.
AB - For acquiring high-contrast and high-brightness images in hard-x-ray optics,
Fresnel zone plates with high aspect ratios (zone height/zone width) have been
constantly pursued. However, knowledge of aspect ratio limits remains limited.
This work explores the achievable aspect ratio limit in polymethyl methacrylate
(PMMA) by electron-beam lithography (EBL) under 100 keV, and investigates the
lithographic factors for this limitation. Both Monte Carlo simulation and EBL on
thick PMMA are applied to investigate the profile evolution with exposure doses
over 100 nm wide dense zones. A high-resolution scanning electron microscope at
low acceleration mode for charging free is applied to characterize the resultant
zone profiles. It was discovered for what we believe is the first time that the
primary electron-beam spreading in PMMA and the proximity effect due to extra
exposure from neighboring areas could be the major causes of limiting the aspect
ratio. Using the optimized lithography condition, a 100 nm zone plate with aspect
ratio of 15/1 was fabricated and its focusing property was characterized at the
Shanghai Synchrotron Radiation Facility. The aspect ratio limit found in this
work should be extremely useful for guiding further technical development in
nanofabrication of high-quality Fresnel zone plates.
PMID- 26560797
TI - Development of a design tool for closed-loop digital vibrometer.
AB - The closed-loop technique has been demonstrated as a possible configuration to
design a vibrometer based upon self-mixing interferometry. The electronic
feedback loop allows a better linearity while extending the linearity range of a
self-mixing interferometer. A deep analysis of the feedback loop is carried out
in order to improve stability and performance through a digital approach. This
work describes first the simulation of the closed-loop vibrometer, and then the
performance obtained through its implementation on an FPGA based prototype.
PMID- 26560798
TI - Effect of Molecular Architecture of PDMAEMA-POEGMA Random and Block Copolymers on
Their Adsorption on Regenerated and Anionic Nanocelluloses and Evidence of
Interfacial Water Expulsion.
AB - Block copolymers of poly(2-(dimethylamino)ethyl methacrylate) (PDMAEMA) and
poly(oligo(ethylene glycol) methyl ether methacrylate) (POEGMA) with varying
block sizes were synthesized by consecutive reversible addition-fragmentation
chain transfer (RAFT) polymerization and then exposed to cellulose substrates
with different anionic charge density. The extent and dynamics of quaternized
PDMAEMA-b-POEGMA adsorption on regenerated cellulose, cellulose nanofibrils
(CNF), and (2,2,6,6-tetramethylpiperidin-1-yl)oxyl (TEMPO)-oxidized cellulose
nanofibrils (TOCNF) was determined by using electromechanical and optical
techniques, namely, quartz crystal microbalance (QCM-D) and surface plasmon
resonance (SPR), respectively. PDMAEMA-b-POEGMA equilibrium adsorption increased
with the anionic charge of cellulose, an indication of electrostatic
interactions. Such an observation was further confirmed by atomic force
microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). Depending on their
architecture, adsorption on TOCNF of some of the PDMAEMA-b-POEGMA copolymers
produced a significant reduction in QCM frequency, as expected from large mass
uptake, while surprisingly, other copolymers induced the opposite effect. This
latter, remarkable behavior was ascribed to coupled water expulsion from the
interface upon charge neutralization of anionic surface sites with adsorbing
cationic polymer segments. These observations were further investigated with SPR
and QCM-D measurements using deuterium oxide solvent exchange to determine the
amount of coupled water at the TOCNF-block copolymer interface. Finally, random
copolymers with similar composition adsorbed to a larger extent compared to the
respective block copolymers, revealing the effect of adsorbed loops and tails as
well as hydration.
PMID- 26560799
TI - Mercury-mediated cross-resistance to tellurite in Pseudomonas spp. isolated from
the Chilean Antarctic territory.
AB - Mercury salts and tellurite are among the most toxic compounds for microorganisms
on Earth. Bacterial mercury resistance is established mainly via mercury
reduction by the mer operon system. However, specific mechanisms underlying
tellurite resistance are unknown to date. To identify new mechanisms for
tellurite detoxification we demonstrate that mercury resistance mechanisms can
trigger cross-protection against tellurite to a group of Pseudomonads isolated
from the Chilean Antarctic territory. Sequencing of 16S rRNA of four isolated
strains resulted in the identification of three Pseudomonads (ATH-5, ATH-41 and
ATH-43) and a Psychrobacter (ATH-62) bacteria species. Phylogenetic analysis
showed that ATH strains were related to other species previously isolated from
cold aquatic and soil environments. Furthermore, the identified merA genes were
related to merA sequences belonging to transposons commonly found in isolated
bacteria from mercury contaminated sites. Pseudomonas ATH isolates exhibited
increased tellurite resistance only in the presence of mercury, especially ATH
43. Determination of the growth curves, minimal inhibitory concentrations and
growth inhibition zones showed different tellurite cross-resistance of the ATH
strains and suggested a correlation with the presence of a mer operon. On the
other hand, reactive oxygen species levels decreased while the thiol content
increased when the isolates were grown in the presence of both toxicants.
Finally, qPCR determinations of merA, merC and rpoS transcripts from ATH-43
showed a synergic expression pattern upon combined tellurite and mercury
treatments. Altogether, the results suggest that mercury could trigger a cell
response that confers mercury and tellurite resistance, and that the underlying
mechanism participates in protection against oxidative damage.
PMID- 26560800
TI - Accuracy of Aortic Annulus Diameter Measurement: Comparison of Multi-Detector CT,
Two- and Three-Dimensional Echocardiography.
AB - OBJECTIVE: Accurate preprocedural quantification of the aortic annulus diameter
is crucial for the operative success of the aortic valve surgery and especially
transcatheter aortic valve replacement (TAVR). We conducted a prospective study
to compare the accuracy of preoperative aortic annulus measurements using
different imaging methods and direct measurements for aortic valve surgery.
METHODS: We enrolled 52 patients who underwent open aortic valve surgery between
March 2012 and March 2014. Aortic annulus diameter was prospectively measured by
transthoracic two-dimensional echocardiography (2D-TTE), transesophageal three
dimensional echocardiography (3D-TEE), and multi-detector computed tomography
(MDCT). Imaging measurements were performed blindly by lab technicians. At
surgery, the aortic annulus diameter was directly measured. RESULTS: Of the three
methods, MDCT provided the smallest error in determining aortic annulus size as
compared with the measurements at surgery. The limit of agreement of the aortic
diameter by MDCT was smallest in the present study. CONCLUSIONS: The MDCT
provided the most accurate measurement of aortic annulus diameter compared with
2D-TTE and 3D-TEE.
PMID- 26560801
TI - Illness perceptions are the main predictors of depression and anxiety symptoms in
patients with chronic pain.
AB - Depression and anxiety symptoms in chronic pain are associated with adverse
clinical outcomes, and appear highly related to patient's illness perceptions as
well as with marital adjustment. This study aimed to investigate the predictive
value of pain variables, marital adjustment and illness perceptions on depression
and anxiety in patients with chronic pain. Two hundred patients were recruited
from a pain unit in a public hospital in the north of Portugal. Patients
completed a questionnaire that assessed illness perceptions (IPQ-Brief), marital
adjustment (revised dyadic adjustment scale), depression and anxiety symptoms
(hospital anxiety depression scale) and pain variables (pain intensity and pain
disability index). Depression and anxiety symptoms were associated with pain
intensity, pain-related disability, marital adjustment and illness perceptions.
Results from hierarchical regression showed that illness perceptions contributed
significantly to depression and anxiety symptoms over and above the effects of
pain intensity, pain-related disability and marital adjustment, after controlling
for gender. In multivariate analyses, pain intensity, pain-related disability and
marital adjustment were uniquely related to depression and anxiety symptoms,
whereas specific illness perceptions were uniquely related to depression symptoms
(identity, treatment control, emotional response and coherence) and to anxiety
symptoms (identity, emotional response and concern). Perceptions of greater
symptomatology (identity) and of emotional impact, and lesser perceptions of
treatment control and understanding of chronic pain (illness comprehensibility)
were significantly associated with increased depression symptoms. Perceptions of
greater symptomatology (identity), emotional impact and greater concern were
associated with anxiety symptoms. These findings indicate that the contribution
of illness perceptions was greater than that made by traditional covariates, and
may therefore be a useful basis for future psychological interventions.
PMID- 26560802
TI - Interaction between cannabis consumption and childhood abuse in psychotic
disorders: preliminary findings on the role of different patterns of cannabis
use.
AB - AIM: Several studies have suggested that lifetime cannabis consumption and
childhood abuse synergistically contribute to the risk for psychotic disorders.
This study aimed to extend existing findings regarding an additive interaction
between childhood abuse and lifetime cannabis use by investigating the moderating
role of type and frequency of cannabis use. METHODS: Up to 231 individuals
presenting for the first time to mental health services with psychotic disorders
and 214 unaffected population controls from South London, United Kingdom, were
recruited as part of the Genetics and Psychosis study. Information about history
of cannabis use was collected using the Cannabis Experiences Questionnaire.
Childhood physical and sexual abuse was assessed using the Childhood Experience
of Care and Abuse Questionnaire. RESULTS: Neither lifetime cannabis use nor
reported exposure to childhood abuse was associated with psychotic disorder when
the other environmental variable was taken into account. Although the combination
of the two risk factors raised the odds for psychosis by nearly three times
(adjusted OR = 2.94, 95% CI: 1.44-6.02, P = 0.003), no evidence of interaction
was found (adjusted OR = 1.46, 95% CI: -0.54 to 3.46, P = 0.152). Furthermore,
the association of high-potency cannabis and daily consumption with psychosis was
at least partially independent of the effect of childhood abuse. CONCLUSIONS: The
heavy use of high-potency cannabis increases the risk of psychosis but, in
addition, smoking of traditional resin (hash) and less than daily cannabis use
may increase the risk for psychosis when combined with exposure to severe
childhood abuse.
PMID- 26560803
TI - The health status of a village population, 7 years after a major Q fever
outbreak.
AB - From 2007 to 2010, The Netherlands experienced a major Q fever outbreak with more
than 4000 notifications. Previous studies suggested that Q fever patients could
suffer long-term post-infection health impairments, especially fatigue. Our
objective was to assess the Coxiella burnetii antibody prevalence and health
status including fatigue, and assess their interrelationship in Herpen, a high
incidence village, 7 years after the outbreak began. In 2014, we invited all 2161
adult inhabitants for a questionnaire and a C. burnetii indirect fluorescence
antibody assay (IFA). The health status was measured with the Nijmegen Clinical
Screening Instrument (NCSI), consisting of eight subdomains including fatigue. Of
the 70.1% (1517/2161) participants, 33.8% (513/1517) were IFA positive. Of 147
participants who were IFA positive in 2007, 25 (17%) seroreverted and were now
IFA negative. Not positive IFA status, but age <50 years, smoking and co
morbidity, were independent risk factors for fatigue. Notified participants
reported significantly more often fatigue (31/49, 63%) than non-notified IFA
positive participants (150/451, 33%). Although fatigue is a common sequel after
acute Q fever, in this community-based survey we found no difference in fatigue
levels between participants with and without C. burnetii antibodies.
PMID- 26560804
TI - Muller cell-mediated neurite outgrowth of the retinal ganglion cells via P2Y6
receptor signals.
AB - Muller cells, the primary macroglia of the retina, support various functions of
retinal ganglion cells (RGCs). Here, we demonstrate a nucleotide-mediated
communication between these two types of cells, by which Muller cells control
neurite outgrowth of RGCs by activation of P2 receptors such as P2Y6 . Cultured
mouse RGCs had significantly enhanced neurite outgrowth when cultured with either
cultured mouse Muller cells or conditioned medium derived from Muller cells, and
this was completely inhibited by the nucleotide-degrading enzyme, apyrase. This
increase in outgrowth was mimicked by exogenously applied nucleotides such as
ATP, uridine triphosphate, and uridine diphosphate. Pharmacological and genetic
analysis revealed that P2Y6 receptor in RGCs was responsible for the increased
neurite outgrowth. P2Y6 receptor was expressed in the ganglion cell layer of the
retina and in RGC primary cultures. High performance liquid chromatography has
revealed that Muller cells constitutively release uridine triphosphate, which is
immediately metabolized into uridine diphosphate, an endogenous agonist for P2Y6
receptor. In the in vitro ocular hypertension model (i.e., glaucoma model),
neurite outgrowth in RGCs was significantly reduced, which was associated with a
decrease in P2Y6 receptors. Taken together, Muller cells control neurite
outgrowth of RGCs by activating P2 receptors such as P2Y6 receptor, and the
receptor expression level might be down-regulated in glaucoma. Muller cells
support various functions of retina including those of retinal ganglion cells
(RGCs). Here, we report an importance of nucleotide-mediated communication
between these two types of cells. Muller cells were found to release uridine
diphosphate (UTD), uridine triphosphate (UTP), and activate P2Y6 receptors in
RGCs, which was essential for neurite outgrowth of RGCs. In addition, P2Y6
receptors in RGCs were reduced in a glaucoma model in vitro, suggesting an
involvement of their dysfunction in the pathogenesis of glaucoma.
PMID- 26560805
TI - Working Life and Retirement Expectancies at Age 50 by Social Class: Period and
Cohort Trends and Projections for Finland.
AB - Objectives: The balance between the amount of time spent in work and in
retirement underlies the long-term sustainability of the social security system.
We examined socioeconomic differences in how increasing longevity is distributed
between labor market statuses in Finland. Method: We used register data and the
Sullivan method to analyze life expectancy at age 50 spent in different labor
market statuses over the period 1989-2012 and across cohorts born in 1938-1953.
We projected the future mortality and labor market participation rates of
partially observed cohorts. Results: Both working life expectancy at age 50 and
the share of remaining life spent in work have increased across periods following
the recession of the early 1990s, and across successive cohorts. The trends were
similar across the social classes, but there were large differences in the
numbers of years spent in various states: for the most recent period and the
youngest cohort, we find that compared with upper non-manual employees, male and
female manual workers were expected to spend 3.6-3.7 fewer years in work, 1.7-4.7
fewer years in statutory retirement, and 3.2-3.9 more years in other forms of
nonemployment. Discussion: Our finding that the share of remaining life at age 50
spent in work is increasing implies that pressure on the welfare system is not as
severe as is commonly thought.
PMID- 26560806
TI - Identifying consumer preferences for specific beef flavor characteristics in
relation to cattle production and postmortem processing parameters.
AB - Sensory analysis of ground LL samples representing 12 beef product categories was
conducted in 3 different regions of the U.S. to identify flavor preferences of
beef consumers. Treatments characterized production-related flavor differences
associated with USDA grade, cattle type, finishing diet, growth enhancement, and
postmortem aging method. Consumers (N=307) rated cooked samples for 12 flavors
and overall flavor desirability. Samples were analyzed to determine fatty acid
content. Volatile compounds produced by cooking were extracted and quantified.
Overall, consumers preferred beef that rated high for beefy/brothy, buttery/beef
fat, and sweet flavors and disliked beef with fishy, livery, gamey, and sour
flavors. Flavor attributes of samples higher in intramuscular fat with greater
amounts of monounsaturated fatty acids and lesser proportions of saturated, odd
chain, omega-3, and trans fatty acids were preferred by consumers. Of the
volatiles identified, diacetyl and acetoin were most closely correlated with
desirable ratings for overall flavor and dimethyl sulfide was associated with an
undesirable sour flavor.
PMID- 26560807
TI - In vivo activity of cefquinome against Riemerella anatipestifer using the
pericarditis model in the duck.
AB - Cefquinome is a fourth-generation cephalosporin with broad-spectrum antibacterial
activity, including activity against enteric gram-negative bacilli such as
Riemerella anatipestifer. The pericarditis model was used to examine the
pharmacodynamic characteristics of cefquinome against R. anatipestifer. Serum
levels of cefquinome following the administration of different doses were
determined by LC-MS/MS. Ducks with ca. 10(6) CFU/mL at the initiation of therapy
were treated with cefquinome at doses that ranged from 0.0156 to 2 mg/kg of body
weight/day (in 3, 6, 12, or 24 divided doses) for 24 h. The percentage of a 24-h
dosing interval that the unbound serum cefquinome concentrations exceeded the MIC
(fT > MIC) were the pharmacokinetic (PK)-pharmacodynamic (PD) parameter that best
correlated with efficacy (R(2) 86.3% for R. anatipestifer, compared with 58.9%
for the area under the concentration-time curve/MIC and 10.6% for peak/MIC). A
sigmoid Emax model was used to estimate the magnitudes of the %fT > MIC
associated with net bacterial stasis, a 1-log10 CFU reduction from baseline, and
a 2-log10 CFU reduction from baseline; the corresponding values were (22.5 +/-
1.3) %, (35.2 +/- 4.5) %, and (42.4 +/- 2.7) %. These data showed that treatment
with cefquinome results in marked antibacterial effects in vivo against R.
anatipestifer and that the host's immunity may also play a key role in the anti
infective therapy process.
PMID- 26560808
TI - Cardboard/sawdust briquettes as biomass fuel: Physical-mechanical and thermal
characteristics.
AB - This paper elaborates experimental analysis of cardboard/sawdust briquettes as a
viable option for biomass fuel. Physical-mechanical and thermal characteristics
of cardboard/sawdust briquettes were investigated. The influence of the main
parameters on heating content was also examined through an ANOVA and regression
analysis, i.e. pressure influence (that was applied in a punch-and-die process),
cardboard/sawdust ratio influence and finally drying temperature influence. In
order to find the maximum heating value, minimum ash content and maximum
compressive strength optimization were done. The optimal values obtained for the
studied briquetting process parameters are a compression force of 588.6 kN, a
sawdust mass of 46.66% and a drying temperature of 22 degrees C. According to the
mathematical model obtained, these optimal values give a maximum higher heating
value of 17.41 MJ/kg, a minimum ash content of 6.62% and a maximum compressive
strength of 149.54 N/mm. Finally, Cardboard/sawdust briquettes showed potential
for application as viable biomass fuel.
PMID- 26560809
TI - Influence of shape and size of the particles on jigging separation of plastics
mixture.
AB - Plastics are popular for numerous applications due to their high versatility and
favourable properties such as endurance, lightness and cheapness. Therefore the
generation of plastic waste is constantly increasing, becoming one of the larger
categories in municipal solid waste. Almost all plastic materials are recyclable,
but for the recycling to be possible it is necessary to separate the different
types of plastics. The aim of this research was to evaluate the performance of
the jig separation of bi-component plastic mixtures. For this study six
granulated plastics had been used: Polystyrene (PS), Polymethyl methacrylate
(PMMA), Polyethylene Terephthalate (PET-S, PET-D) and Polyvinyl Chloride (PVC-M,
PVC-D). Plastics mixtures were subjected to jigging in a laboratorial Denver
mineral jig. The results showed that the quality of the jigging separation varies
with the mixture, the density differences and with the size and shape of the
particles. In the case of particles with more regular shapes the quality of
separation of bi-component plastic mixtures improved with the increase of the
particle size. For lamellar particles the influence of particle size was minimal.
In general, the beneficiation of plastics with similar densities was not
effective, since the separation efficiency was lower than 25%. However, in bi
component plastic mixtures that join a low density plastic (PS) with a high
density one (PMMA, PET-S, PET-D, PVC-M and PVC-D), the quality of the jigging
separation was greatly improved. The PS grade in the sunk was less than 1% for
all the plastic mixtures. Jigging proved to be an effective method for the
separation of bi-component plastic mixtures. Jigging separation will be enhanced
if the less dense plastic, that overflows, has a lamellar shape and if the denser
plastic, that sinks, has a regular one.
PMID- 26560810
TI - Prevalence of chronic kidney disease in a representative sample of the Polish
population: results of the NATPOL 2011 survey.
AB - BACKGROUND: Chronic kidney disease (CKD) has been proven to be a major risk
factor of cardiovascular disease (CVD). Until now, data on the prevalence of CKD
among adults in Poland were limited. The NATPOL 2011 survey is a cross-sectional
observational study designed to assess the prevalence and control of CVD risk
factors in Poland, and the first study capable of evaluating CKD prevalence in
adult Polish citizens. METHODS: Serum creatinine concentration and the urine
albumin-to-creatinine ratio (ACR) were measured in 2413 randomly selected
participants (ages 18-79 years) from a national survey study. CKD was diagnosed
if the estimated glomerular filtration rate (eGFR) was <60 mL/min/1.73 m(2) or
>=60 mL/min/1.73 m(2) with coexisting albuminuria (ACR >= 30 mg/g). Additionally,
comorbidities and anthropometric and social factors related to the prevalence of
CKD were analysed. RESULTS: The prevalence of CKD was estimated at 5.8% [95%
confidence interval (95% CI) 4.6-7.2] using Chronic Kidney Disease Epidemiology
Collaboration formula. The general prevalence was higher when the MDRD was
applied [6.2% (95% CI 4.0-7.6)]. An eGFR <60 mL/min/1.73 m(2) was found in 1.9%
(95% CI 1.5-2.5) of the studied population. This was accompanied by low awareness
of this condition (14.9%). The frequency of albuminuria was estimated at 4.5%
(95% CI 3.4-5.9). Diabetes mellitus (DM) and arterial hypertension (AH) were more
frequent among respondents with diagnosed CKD compared with those without CKD
[18.5 versus 4.5% (P < 0.001) and 67.8 versus 29.0% (P < 0.001) respectively]. DM
and AH were, apart from increasing age, the two greatest risk factors of CKD.
CONCLUSION: The estimated prevalence of CKD among adults in Poland is 5.8% (~1
724 960 patients). Its prevalence was lower than expected. CKD is more frequent
in older subjects, smokers and people with comorbidities such as AH and DM.
PMID- 26560811
TI - Endurance exercise and growth hormone improve bone formation in young and growth
retarded chronic kidney disease rats.
AB - BACKGROUND: Childhood chronic kidney disease (CKD) is associated with both short
stature and abnormal bone mineralization. Normal longitudinal growth depends on
proper maturation of epiphyseal growth plate (EGP) chondrocytes, leading to the
formation of trabecular bone in the primary ossification centre. We have recently
shown that linear growth impairment in CKD is associated with impaired EGP growth
hormone (GH) receptor signalling and that exercise improved insulin-like growth
factor I (IGF-I) signalling in CKD-related muscle atrophy. METHODS: In this
study, 20-day-old rats underwent 5/6 nephrectomy (CKD) or sham surgery (C) and
were exercised with treadmill, with or without GH supplementation. RESULTS: CKD
related growth retardation was associated with a widened EGP hypertrophic zone.
This was not fully corrected by exercise (except for tibial length). Exercise in
CKD improved the expression of EGP key factors of endochondral ossification such
as IGF-I, vascular endothelial growth factor (VEGF), receptor activator of
nuclear factor kappa-B ligand (RANKL) and osteocalcin. Combining GH treatment
with treadmill exercise for 2 weeks improved the decreased trabecular bone volume
in CKD, as well as the expression of growth plate runt-related transcription
factor 2, RANKL, metalloproteinase 13 and VEGF, while GH treatment alone could
not do that. CONCLUSIONS: Treadmill exercise improves tibial bone linear growth,
as well as growth plate local IGF-I. When combined with GH treatment, running
exercise shows beneficial effects on trabecular bone formation, suggesting the
potential benefit of this combination for CKD-related short stature and bone
disease.
PMID- 26560813
TI - Electrically Tunable Bandgaps in Bilayer MoS2.
AB - Artificial semiconductors with manufactured band structures have opened up many
new applications in the field of optoelectronics. The emerging two-dimensional
(2D) semiconductor materials, transition metal dichalcogenides (TMDs), cover a
large range of bandgaps and have shown potential in high performance device
applications. Interestingly, the ultrathin body and anisotropic material
properties of the layered TMDs allow a wide range modification of their band
structures by electric field, which is obviously desirable for many
nanoelectronic and nanophotonic applications. Here, we demonstrate a continuous
bandgap tuning in bilayer MoS2 using a dual-gated field-effect transistor (FET)
and photoluminescence (PL) spectroscopy. Density functional theory (DFT) is
employed to calculate the field dependent band structures, attributing the widely
tunable bandgap to an interlayer direct bandgap transition. This unique electric
field controlled spontaneous bandgap modulation approaching the limit of
semiconductor-to-metal transition can open up a new field of not yet existing
applications.
PMID- 26560812
TI - Pyruvate Dehydrogenase Kinase 4 Promotes Vascular Calcification via SMAD1/5/8
Phosphorylation.
AB - Vascular calcification, a pathologic response to defective calcium and phosphate
homeostasis, is strongly associated with cardiovascular mortality and morbidity.
In this study, we have observed that pyruvate dehydrogenase kinase 4 (PDK4) is
upregulated and pyruvate dehydrogenase complex phosphorylation is increased in
calcifying vascular smooth muscle cells (VSMCs) and in calcified vessels of
patients with atherosclerosis, suggesting that PDK4 plays an important role in
vascular calcification. Both genetic and pharmacological inhibition of PDK4
ameliorated the calcification in phosphate-treated VSMCs and aortic rings and in
vitamin D3-treated mice. PDK4 augmented the osteogenic differentiation of VSMCs
by phosphorylating SMAD1/5/8 via direct interaction, which enhances BMP2
signaling. Furthermore, increased expression of PDK4 in phosphate-treated VSMCs
induced mitochondrial dysfunction followed by apoptosis. Taken together, our
results show that upregulation of PDK4 promotes vascular calcification by
increasing osteogenic markers with no adverse effect on bone formation,
demonstrating that PDK4 is a therapeutic target for vascular calcification.
PMID- 26560814
TI - Nobiletin, a Polymethoxylated Flavone, Inhibits Glioma Cell Growth and Migration
via Arresting Cell Cycle and Suppressing MAPK and Akt Pathways.
AB - Nobiletin, a bioactive polymethoxylated flavone (5,6,7,8,3(') ,4(')
hexamethoxyflavone), is abundant in citrus fruit peel. Although nobiletin
exhibits antitumor activity against various cancer cells, the effect of nobiletin
on glioma cells remains unclear. The aim of this study was to determine the
effects of nobiletin on the human U87 and Hs683 glioma cell lines. Treating
glioma cells with nobiletin (20-100 um) reduced cell viability and arrested the
cell cycle in the G0/G1 phase, as detected using a 3-(4,5-dimethylthiazol-2-yl)
2,5-diphenyltetrazolium bromide assay and propidium iodide (PI) staining,
respectively; however, nobiletin did not induce cell apoptosis according to PI
annexin V double staining. Data from western blotting showed that nobiletin
significantly attenuated the expression of cyclin D1, cyclin-dependent kinase 2,
cyclin-dependent kinase 4, and E2 promoter-binding factor 1 (E2F1) and the
phosphorylation of Akt/protein kinase B and mitogen-activated protein kinases,
including p38, extracellular signal-regulated kinase, and c-Jun N-terminal
kinase. Our data also showed that nobiletin inhibited glioma cell migration, as
detected by both functional wound healing and transwell migration assays.
Altogether, the present results suggest that nobiletin inhibits mitogen-activated
protein kinase and Akt/protein kinase B pathways and downregulates positive
regulators of the cell cycle, leading to subsequent suppression of glioma cell
proliferation and migration. Our findings evidence that nobiletin may have
potential for treating glioblastoma multiforme.
PMID- 26560815
TI - Dental Press Journal of Orthodontics and QUALIS.
PMID- 26560816
TI - The pursue of quality.
PMID- 26560817
TI - An interview with Carlos Alberto Estevanell Tavares.
PMID- 26560818
TI - Orthodontic decompensation in skeletal Class III malocclusion: redefining the
amount of movement assessed by Cone-Beam Computed Tomography.
AB - INTRODUCTION: Cone-Beam Computed Tomography (CBCT) is essential for
tridimensional planning of orthognathic surgery, as it allows visualization and
evaluation of bone structures and mineralized tissues. Tomographic slices allow
evaluation of tooth inclination and individualization of movement performed
during preoperative decompensation. The aim of this paper was to assess maxillary
and mandibular incisors inclination pre and post orthodontic decompensation in
skeletal Class III malocclusion. METHODS: The study was conducted on six
individuals with skeletal Class III malocclusion, surgically treated, who had
Cone-Beam Computed Tomographic scans obtained before and after orthodontic
decompensation. On multiplanar reconstruction view, tomographic slices (axial,
coronal and sagittal) were obtained on the long axis of each incisor. The
sagittal slice was used for measurement taking, whereas the references used to
assess tooth inclination were the long axis of maxillary teeth in relation to the
palatal plane and the long axis of mandibular teeth in relation to the mandibular
plane. RESULTS: There was significant variation in the inclination of incisors
before and after orthodontic decompensation. This change was of greater magnitude
in the mandibular arch, evidencing that natural compensation is more effective in
this arch, thereby requiring more intensive decompensation. CONCLUSION: When
routinely performed, the protocols of decompensation treatment in surgical
individuals often result in intensive movements, which should be reevaluated,
since the extent of movement predisposes to reduction in bone attachment levels
and root length.
PMID- 26560819
TI - Stability of smooth and rough mini-implants: clinical and biomechanical
evaluation - an in vivostudy.
AB - OBJECTIVE: To compare in vivo orthodontic mini-implants (MI) of smooth (machined)
and rough (acid etched) surfaces, assessing primary and secondary stability.
METHODS: Thirty-six (36) MI were inserted in the mandibles of six (6) dogs. Each
animal received six (6) MI. In the right hemiarch, three (3) MI without surface
treatment (smooth) were inserted, whereas in the left hemiarch, another three (3)
MI with acid etched surfaces (rough) were inserted. The two distal MI in each
hemiarch received an immediate load of 1.0 N for 16 weeks, whereas the MI in the
mesial extremity was not subject to loading. Stability was measured by insertion
and removal torque, initial and final mobility and by inter mini-implant
distance. RESULTS: There was no statistical behavioral difference between smooth
and rough MI. High insertion torque and reduced initial mobility were observed in
all groups, as well as a reduction in removal torques in comparison with
insertion torque. Rough MI presented higher removal torque and lower final
mobility in comparison to smooth MI. MI did not remain static, with displacement
of rough MI being smaller in comparison with smooth MI, but with no statistical
difference. CONCLUSIONS: MI primary stability was greater than stability measured
at removal. There was no difference in stability between smooth and rough MI when
assessing mobility, displacement and insertion as well as removal torques.
PMID- 26560820
TI - Dental and skeletal effects of combined headgear used alone or in association
with rapid maxillary expansion.
AB - OBJECTIVE: The aim of this study was to assess the effects of combined headgear
used alone or in association with rapid maxillary expansion, as the first step
for Class II malocclusion treatment. METHODS: The sample comprised 61 patients
divided into three groups: Group 1, combined headgear (CH); Group 2, CH + rapid
maxillary expansion (CH + RME); and Group 3, control (CG). In Group 1, patients
were treated with combined headgear until Class I molar relationship was
achieved. In Group 2, the protocol for headgear was the same; however, patients
were previously subject to rapid maxillary expansion. RESULTS: Results showed
distal displacement of maxillary molars for both experimental groups (p < 0.001),
with distal tipping only in Group 1 (CH) (p < 0.001). There was restriction of
forward maxillary growth in Group 2 (CH + RME) (p < 0.05) and clockwise rotation
of the maxilla in Group 1 (CH) (p < 0.05). CONCLUSION: Based on the results, it
is possible to suggest that treatment with both protocols was efficient; however,
results were more significant for Group 2 (CH + RME) with less side effects.
PMID- 26560821
TI - Influence of occlusal plane inclination and mandibular deviation on esthetics.
AB - OBJECTIVE: The aim of this study was to assess the degree of perception of
occlusal plane inclination and mandibular deviation in facial esthetics, assessed
by laypeople, dentists and orthodontists. METHODS: A woman with 5.88 degrees of
inclination and 5.54 mm of mandibular deviation was selected and, based on her
original photograph, four new images were created correcting the deviations and
creating more symmetric faces and smiles. Examiners assessed the images by means
of a questionnaire. Their opinions were compared by qualitative and quantitative
analyses. RESULTS: A total of 45 laypeople, 27 dentists and 31 orthodontists
filled out the questionnaires. All groups were able to perceive the asymmetry;
however, orthodontists were more sensitive, identifying asymmetries as from 4.32
degrees of occlusal plane inclination and 4.155 mm of mandibular deviation (p<
0.05). The other categories of evaluators identified asymmetries and assigned
significantly lower grades, starting from 5.88 degrees of occlusal plane
inclination and 5.54 mm of mandibular deviation (p< 0.05). CONCLUSION: Occlusal
plane inclination and mandibular deviation were perceived by all groups, but
orthodontists presented higher perception of deviations.
PMID- 26560822
TI - Experimental evidence of pharmacological management of anchorage in Orthodontics:
A systematic review.
AB - INTRODUCTION: Orthodontic anchorage is one of the most challenging aspects of
Orthodontics. Preventing undesired movement of teeth could result in safer and
less complicated orthodontic treatment. Recently, several reviews have been
published about the effects of different molecules on bone physiology and the
clinical side effects in Orthodontics. However, the effects of local application
of these substances on the rate of orthodontic tooth movement have not been
assessed. OBJECTIVES: The aim of this research was to analyze the scientific
evidence published in the literature about the effects of different molecules on
orthodontic anchorage. METHODS: The literature was systematically reviewed using
PubMed/Medline, Scopus and Cochrane databases from 2000 up to July 31st, 2014.
Articles were independently selected by two different researchers based on
previously established inclusion and exclusion criteria, with a concordance Kappa
index of 0.86. The methodological quality of the reviewed papers was performed.
RESULTS: Search strategy identified 270 articles. Twenty-five of them were
selected after application of inclusion/exclusion criteria, and only 11 qualified
for final analysis. Molecules involved in orthodontic anchorage were divided into
three main groups: osteoprotegerin (OPG), bisphosphonates (BPs) and other
molecules (OMs). CONCLUSIONS: Different drugs are able to alter the bone
remodeling cycle, influencing osteoclast function and, therefore, tooth movement.
Thus, they could be used in order to provide maximal anchorage while preventing
undesired movements. OPG was found the most effective molecule in blocking the
action of osteoclasts, thereby reducing undesired movements.
PMID- 26560823
TI - Effect of chlorhexidine varnish on gingival growth in orthodontic patients: a
randomized prospective split-mouth study.
AB - INTRODUCTION: Fixed orthodontic appliances patients suffer limitations on the
effective control of biofilm by mechanical methods, bringing the need of a
coadjutant in the control of inflammation and oral health improvement. OBJECTIVE:
The aim of this prospective split-mouth blind study was to analyze the effect of
a 40% chlorhexidine (CHX) varnish on gingival growth of patients with orthodontic
fixed appliances. METHODS: Healthy teenage patients with fixed orthodontic
appliances and increased gingival volume were recruited (n = 30). Each individual
was his own control, having in the maxilla one control side and one treatment
side. An application of varnishes occurred on the vestibular area of the upper
premolars and first molar crowns, on the control side (placebo varnish) and on
the experimental side (EC40(r) Biodentic CHX varnish). The varnishes and sides
were randomly chosen and its identification and group was kept by a third party
observer and it was not revealed to the researchers and participants until the
end of study. In order to establish a baseline registration, digital photographs
were taken by a trained photographer before varnish application at baseline (T0),
as well as 14 days (T14) and 56 days (T56) after the application. The gingival
volume was calculated indirectly using the vestibular areas (mm2) of the upper
second premolars' clinical crowns by RapidSketch(r) software, at all study times.
The data were analyzed using ANOVA and the Turkey-Krammer test. RESULTS: It was
observed, in the final sample of 30 individuals, that at T0, the control and
treatment groups were similar. At T14 and T56, a progressive reduction of the
clinical crown area was seen in the control group, and an increase in the average
area was detected in the experimental group (p < 0,05). CONCLUSIONS: The use of
40% CHX varnish decreases the gingival overgrowth in patients undergoing
orthodontic treatment. Further studies are necessary to set the action time and
frequency of application.
PMID- 26560824
TI - Reliability of Bolton analysis evaluation in tridimensional virtual models.
AB - OBJECTIVE: The present study aimed at evaluating the reliability of Bolton
analysis in tridimensional virtual models, comparing it with the manual method
carried out with dental casts. METHODS: The present investigation was performed
using 56 pairs of dental casts produced from the dental arches of patients in
perfect conditions and randomly selected from Universidade Federal da Bahia,
School of Dentistry, Orthodontics Postgraduate Program. Manual measurements were
obtained with the aid of a digital Cen-Tech 4"(r) caliper (Harpor Freight Tools,
Calabasas, CA, USA). Subsequently, samples were digitized on 3Shape(r)R-700T
scanner (Copenhagen, Denmark) and digital measures were obtained by Ortho
Analyzer software. RESULTS: Data were subject to statistical analysis and results
revealed that there were no statistically significant differences between
measurements with p-values equal to p = 0.173 and p= 0.239 for total and anterior
proportions, respectively. CONCLUSION: Based on these findings, it is possible to
deduce that Bolton analysis performed on tridimensional virtual models is as
reliable as measurements obtained from dental casts with satisfactory agreement.
PMID- 26560825
TI - Efficiency of different protocols for enamel clean-up after bracket debonding: an
in vitro study.
AB - OBJECTIVE: This study aimed to assess the efficiency of six protocols for
cleaning-up tooth enamel after bracket debonding. METHODS: A total of 60
premolars were divided into six groups, according to the tools used for clean-up:
12-blade bur at low speed (G12L), 12-blade bur at high speed (G12H), 30-blade bur
at low speed (G30L), DU10CO ORTHO polisher (GDU), Renew System (GR) and Diagloss
polisher (GD). Mean roughness (Ra) and mean roughness depth (Rz) of enamel
surface were analyzed with a profilometer. Paired t-test was used to assess Ra
and Rz before and after enamel clean-up. ANOVA/Tukey tests were used for
intergroup comparison. The duration of removal procedures was recorded. The
association between time and variation in enamel roughness (?Ra, ?Rz) were
evaluated by Pearson's correlation test. Enamel topography was assessed by
scanning electron microscopy (SEM). RESULTS: In Groups G12L and G12H, original
enamel roughness did not change significantly. In Groups G30L, GDU, GR and GD, a
smoother surface (p < 0.05) was found after clean-up. In Groups G30L and GD, the
protocols used were more time-consuming than those used in the other groups.
Negative and moderate correlation was observed between time and (?Ra, ?Rz); Ra
and (?Ra, ?Rz); Rz (r = - 0.445, r = - 0.475, p < 0.01). CONCLUSION: All enamel
clean-up protocols were efficient because they did not result in increased
surface roughness. The longer the time spent performing the protocol, the lower
the surface roughness.
PMID- 26560826
TI - Assessment of upper airways measurements in patients with mandibular skeletal
Class II malocclusion.
AB - OBJECTIVE: Mandibular Class II malocclusions seem to interfere in upper airways
measurements. The aim of this study was to assess the upper airways measurements
of patients with skeletal Class II malocclusion in order to investigate the
association between these measurements and the position and length of the
mandible as well as mandibular growth trend, comparing the Class II group with a
Class I one. METHODS: A total of 80 lateral cephalograms from 80 individuals aged
between 10 and 17 years old were assessed. Forty radiographs of Class I
malocclusion individuals were matched by age with forty radiographs of
individuals with mandibular Class II malocclusion. McNamara Jr., Ricketts, Downs
and Jarabak's measurements were used for cephalometric evaluation. Data were
submitted to descriptive and inferential statistical analysis by means of SPSS
20.0 statistical package. Student's t-test, Pearson correlation and intraclass
correlation coefficient were used. A 95% confidence interval and 5% significance
level were adopted to interpret the results. RESULTS: There were differences
between groups. Oropharynx and nasopharynx sizes as well as mandibular position
and length were found to be reduced in Class II individuals. There was a
statistically significant positive correlation between the size of the oropharynx
and Xi-Pm, Co-Gn and SNB measurements. In addition, the size of the nasopharynx
was found to be correlated with Xi-Pm, Co-Gn, facial depth, SNB, facial axis and
FMA. CONCLUSION: Individuals with mandibular Class II malocclusion were shown to
have upper airways measurements diminished. There was a correlation between
mandibular length and position and the size of oropharynx and nasopharynx.
PMID- 26560827
TI - Parent-assessed quality of life among adolescents undergoing orthodontic
treatment: a 12-month follow-up.
AB - OBJECTIVE: To assess parents' and caregivers' view of the first twelve months of
adolescents' orthodontic treatment with fixed appliances and to assess the
evaluative properties of the Brazilian version of the Parental-Caregiver
Perceptions Questionnaire (P-CPQ) in the orthodontic setting. METHODS: Data from
a sample of 96 parents and caregivers of adolescents undergoing orthodontic
treatment with fixed appliances were collected by means of P-CPQ. Assessments
were performed before banding and bracket bonding (T1) and 12 months after
placement of fixed appliances (T2). Statistical analysis included Wilcoxon signed
rank test for the overall P-CPQ score and Bonferroni correction for P-CPQ
subscales. The evaluative properties of the P-CPQ were assessed through
responsiveness calculation and the minimally clinical important difference
(MCID). RESULTS: Among the 96 participants, 76 were mothers of patients, 16 were
fathers, and four were other family members. Adolescents' mean age was 11.49 +/-
0.50 years. Most families earned equal to or less than three times the Brazilian
monthly minimum wage. There was significant improvement in the emotional and
social well-being subscales (p< 0.001), which contributed to improve patient's
overall quality of life (p< 0.001). Reductions in scores were associated with
clinically meaningful moderate changes in the overall score as well as in the
emotional and social well-being subscales. The MCID was 6.16 for the P-CPQ
overall score. CONCLUSION: Parents and caregivers reported significant
improvement in the quality of life of adolescents undergoing orthodontic
treatment with fixed appliances.
PMID- 26560829
TI - Agenesis of maxillary lateral incisor in an Angle Class II, Division 1
malocclusion patient.
AB - The present case report describes the orthodontic treatment of a patient with
agenesis of maxillary left lateral incisor and Angle Class II, Division 1
malocclusion. The patient also presented with maxillary midline deviation and
inclination of the occlusal plane in the anterior region. Treatment objectives
were: correction of sagittal relationship between the maxilla and the mandible;
correction of midline deviation, so as to cause maxillary and mandibular midlines
to coincide; correction of overbite and leveling of the occlusal plane, so as to
create ideal conditions for esthetic rehabilitation of anterior teeth. This case
was presented to the Brazilian Board of Orthodontics and Dentofacial Orthopedics
(BBO) as a requirement for the title of certified by the BBO.
PMID- 26560828
TI - Manual reduction of articular disc after traumatic extraction of mandibular third
molar: a case report.
AB - INTRODUCTION: Disc displacement without reduction with limited opening is an
intracapsular biomechanical disorder involving the condyle-disc complex. With the
mouth closed, the disc is in an anterior position in relation to the condylar
head and does not reduce with mouth opening. This disorder is associated with
persistent limited mandibular opening. CASE REPORT: The patient presented severe
limitation to fully open the mouth, interfering in her ability to eat. Clinical
examination also revealed maximum assisted jaw opening (passive stretch) with
less than 40 mm of maximum interincisal opening. Magnetic resonance imaging was
the method of choice to identify the temporomandibular disorders. CONCLUSION: By
means of reporting this rare case of anterior disc displacement without reduction
with limited opening, after traumatic extraction of a mandibular third molar, in
which manual reduction of temporomandibular joint articular disc was performed,
it was possible to prove that this technique is effective in the prompt
restoration of mandibular movements.
PMID- 26560830
TI - Dual embryonic origin of maxillary lateral incisors: clinical implications in
patients with cleft lip and palate.
AB - INTRODUCTION: Cleft lip and palate are craniofacial anomalies highly prevalent in
the overall population. In oral clefts involving the alveolar ridge, variations
of number, shape, size and position are observed in maxillary lateral incisors.
The objective of this manuscript is to elucidate the embryonic origin of
maxillary lateral incisors in order to understand the etiology of these
variations.Contextualization: The hypothesis that orofacial clefts would split
maxillary lateral incisor buds has been previously reported. However, recent
studies showed that maxillary lateral incisors have dual embryonic origin, being
partially formed by both the medial nasal process and the maxillary process. In
other words, the mesial half of the lateral incisor seems to come from the medial
nasal process while the distal half of the lateral incisor originates from the
maxillary process. In cleft patients, these processes do not fuse, which results
in different numerical and positional patterns for lateral incisors relating to
the alveolar cleft. In addition to these considerations, this study proposes a
nomenclature for maxillary lateral incisors in patients with cleft lip and
palate, based on embryology and lateral incisors position in relation to the
alveolar cleft. CONCLUSION: Embryological knowledge on the dual origin of
maxillary lateral incisors and the use of a proper nomenclature for their
numerical and positional variations renders appropriate communication among
professionals and treatment planning easier, in addition to standardizing
research analysis.
PMID- 26560831
TI - Stereoselective Degradation and Molecular Ecological Mechanism of Chiral
Pesticides Beta-Cypermethrin in Soils with Different pH Values.
AB - For decades, pesticides have been widely used for agricultural activities around
the world, and the environmental problems caused by these compounds have raised
widespread concern. However, the different enantioselective behaviors of chiral
pesticide enantiomers are often ignored. Here, the selective degradation patterns
and mechanisms of chiral pesticide enantiomers were successfully investigated for
the first time in the soils of three cultivation areas with different pH values.
Beta-cypermethrin was chosen as the target analyte. We found that the degradation
rates of the four isomers of beta-cypermethrin were different. We used stepwise
regression equations between degradation rates and functional genes to
quantitatively study their relationships. Quantitative response analysis revealed
that different isomers have different equations even under identical conditions.
The results of path analysis showed that a single functional gene can make
different direct and indirect contributions to the degradation of different
isomers. Finally, the high-throughput technology was used to analysis the genome
of the three tested soils and then compared the main microbial communities in
them. We have successfully devised a method to investigate the molecular
biological mechanisms of the selective degradation behavior of chiral compounds,
thus enabling us to better understand these mechanisms.
PMID- 26560832
TI - Mutations in CACNA2D4 Cause Distinctive Retinal Dysfunction in Humans.
PMID- 26560833
TI - Incidence and Characteristics of Cystoid Macular Edema after Cataract Surgery.
PMID- 26560834
TI - Changing physical activity and sedentary behaviour in people with COPD.
AB - People with chronic obstructive pulmonary disease (COPD) engage in low levels of
physical activity (PA). Given the evidence for the health benefits associated
with participating in 150 min of moderate-to-vigorous intensity PA each week,
there is considerable interest in methods to increase PA in people with COPD.
Studies to date have focused largely on exercise training and behavioural
approaches, and many have demonstrated minimal, if any effect. An intermediate
goal that focuses on reducing time spent in sedentary behaviour (SB) and
increasing participation in light intensity PA is a more realistic goal in this
population and offers a gateway to higher intensity PA. Although strategies that
are capable of reducing time spent in SB in COPD are unknown, studies that have
shown some increase in PA in this population often provide individualized goal
setting, motivational interviewing and frequent contact with health-care
professionals to provide advice regarding strategies to overcome barriers.
Therefore, these approaches should be considered in interventions to reduce time
in SB. There are a range of devices available to monitor time in SB for use in
both clinical and research settings. To move this area forward, a theoretically
informed and systematic approach to behaviour change is needed. The theoretical
model, the 'behaviour change wheel', is described and an example is provided of
how it can be applied to a person with COPD.
PMID- 26560835
TI - Development of the field of structural physiology.
AB - Electron crystallography is especially useful for studying the structure and
function of membrane proteins - key molecules with important functions in neural
and other cells. Electron crystallography is now an established technique for
analyzing the structures of membrane proteins in lipid bilayers that closely
simulate their natural biological environment. Utilizing cryo-electron
microscopes with helium-cooled specimen stages that were developed through a
personal motivation to understand the functions of neural systems from a
structural point of view, the structures of membrane proteins can be analyzed at
a higher than 3 A resolution. This review covers four objectives. First, I
introduce the new research field of structural physiology. Second, I recount some
of the struggles involved in developing cryo-electron microscopes. Third, I
review the structural and functional analyses of membrane proteins mainly by
electron crystallography using cryo-electron microscopes. Finally, I discuss
multifunctional channels named "adhennels" based on structures analyzed using
electron and X-ray crystallography.
PMID- 26560837
TI - Muographic imaging with a multi-layered telescope and its application to the
study of the subsurface structure of a volcano.
AB - In conventional muography observations using two detectors for muon tracking, the
accidental coincidence of vertical electromagnetic showers generates identical
trajectories to the muon tracks. Although muography has favorable properties,
which allow direct density measurements inside a volcano, the measured density is
lower than the actual value due to these fortuitous trajectories. We performed
muography of Usu volcano, and confirmed that, in comparison with a use of two
detectors, background noise levels were reduced by more than one order of
magnitude using seven detectors for selecting linear trajectories. The resultant
muographic image showed a high-density region underneath the central region of
Usu volcano. This picture is consistent with the magma intrusion model proposed
in previous studies. To clarify the three-dimensional location and actual size of
the detected high-density body, multidirectional muographic measurements are
necessary.
PMID- 26560836
TI - The unfolded protein response: the dawn of a new field.
AB - Originating from cancer research in mammalian cultured cells, the entirely new
field of the unfolded protein response (UPR) was born in 1988. The UPR is a
transcriptional induction program coupled with intracellular signaling from the
endoplasmic reticulum (ER) to the nucleus to maintain the homeostasis of the ER,
an organelle which controls the quality of proteins destined for the secretory
pathway. Extremely competitive analyses using the budding yeast Saccharomyces
cerevisiae revealed that although signaling from both the ER and cell surface is
initiated by activation of a transmembrane protein kinase, the mechanism
downstream of ER-resident Ire1p, a sensor molecule of the UPR, is unique. Thus,
unconventional spliceosome-independent mRNA splicing is utilized to produce the
highly active transcription factor Hac1p. This is the autobiographical story of
how a young and not yet independent scientist competed with a very famous full
professor in the early days of UPR research, which ultimately lead to their
sharing Lasker Basic Medical Research Award in 2014.
PMID- 26560838
TI - Risk Factors for Long-Term Mortality and Amputation after Open and Endovascular
Treatment of Acute Limb Ischemia.
AB - BACKGROUND: Acute limb ischemia (ALI) is a highly morbid and fatal vascular
emergency with little known about contemporary, long-term patient outcomes. The
goal was to determine predictors of long-term mortality and amputation after open
and endovascular treatment of ALI. METHODS: A retrospective review of ALI
patients at a single institution from 2005 to 2011 was performed to determine the
impact of revascularization technique on 5-year mortality and amputation. For
each main outcome 2 multivariable models were developed; the first adjusted for
preoperative clinical presentation and procedure type, the second also adjusted
for postoperative adverse events (AEs). RESULTS: A total of 445 limbs in 411
patients were treated for ALI. Interventions included surgical thrombectomy
(48%), emergent bypass (18%), and endovascular revascularization (34%). Mean age
was 68 +/- 15 years, 54% were male, and 23% had cancer. Most patients presented
with Rutherford classification IIa (54%) or IIb (39%). The etiology of ALI
included embolism (27%), in situ thrombosis (28%), thrombosed bypass grafts
(32%), and thrombosed stents (13%). Patients treated with open procedures had
significantly more advanced ischemia and higher rates of postoperative
respiratory failure, whereas patients undergoing endovascular interventions had
higher rates of technical failure. Rates of postprocedural bleeding and cardiac
events were similar between both treatments. Excluding Rutherford class III
patients (n = 12), overall 5-year mortality was 54% (stratified by treatment, 65%
for thrombectomy, 63% for bypass, and 36% for endovascular, P < 0.001); 5-year
amputation was 28% (stratified by treatment, 18% for thrombectomy, 27% for
bypass, and 17% for endovascular, P = 0.042). Adjusting for comorbidities,
patient presentation, AEs, and treatment method, the risk of mortality increased
with age (hazard ratio [HR] = 1.04, P < 0.001), female gender (HR = 1.50, P =
0.031), cancer (HR = 2.19, P < 0.001), fasciotomy (HR = 1.69, P = 0.204) in situ
thrombosis or embolic etiology (HR = 1.73, P = 0.007), cardiac AEs (HR = 2.25, P
< 0.001), respiratory failure (HR = 2.72, P < 0.001), renal failure (HR = 4.70, P
< 0.001), and hemorrhagic events (HR = 2.25, P = 0.003). Risk of amputation
increased with advanced ischemia (Rutherford IIb compared with IIa, HR = 2.57, P
< 0.001), thrombosed bypass etiology (HR = 3.53, P = 0.002), open
revascularization (OR; HR = 1.95, P = 0.022), and technical failure of primary
intervention (HR = 6.01, P < 0.001). CONCLUSIONS: After the treatment of ALI,
long-term mortality and amputation rates were greater in patients treated with
open techniques; OR patients presented with a higher number of comorbidities and
advanced ischemia, while also experiencing a higher rate of major postoperative
complications. Overall, mortality rates remained high and were most strongly
associated with baseline comorbidities, acuity of presentation, and perioperative
AEs, particularly respiratory failure. Comparatively, amputation risk was most
highly associated with advanced ischemia, thrombosed bypass, and failure of the
initial revascularization procedure.
PMID- 26560839
TI - Tryptophan oxidation catabolite, N-formylkynurenine, in photo degraded cell
culture medium results in reduced cell culture performance.
AB - Chemically defined media have been widely used in the biopharmaceutical industry
to enhance cell culture productivities and ensure process robustness. These
media, which are quite complex, often contain a mixture of many components such
as vitamins, amino acids, metals and other chemicals. Some of these components
are known to be sensitive to various stress factors including photodegradation.
Previous work has shown that small changes in impurity concentrations induced by
these potential stresses can have a large impact on the cell culture process
including growth and product quality attributes. Furthermore, it has been shown
to be difficult to detect these modifications analytically due to the complexity
of the cell culture media and the trace level of the degradant products. Here, we
describe work performed to identify the specific chemical(s) in photodegraded
medium that affect cell culture performance. First, we developed a model system
capable of detecting changes in cell culture performance. Second, we used these
data and applied an LC-MS analytical technique to characterize the cell culture
media and identify degradant products which affect cell culture performance.
Riboflavin limitation and N-formylkynurenine (NFK), a tryptophan oxidation
catabolite, were identified as chemicals which results in a reduction in cell
culture performance.
PMID- 26560840
TI - The looming tide of nontuberculous mycobacterial infections in Portugal and
Brazil.
AB - Nontuberculous mycobacteria (NTM) are widely disseminated in the environment and
an emerging cause of infectious diseases worldwide. Their remarkable natural
resistance to disinfectants and antibiotics and an ability to survive under low
nutrient conditions allows NTM to colonize and persist in man-made environments
such as household and hospital water distribution systems. This overlap between
human and NTM environments afforded new opportunities for human exposure, and for
expression of their often neglected and underestimated pathogenic potential. Some
risk factors predisposing to NTM disease have been identified and are mainly
associated with immune fragilities of the human host. However, infections in
apparently immunocompetent persons are also increasingly reported. The purpose of
this review is to bring attention to this emerging health problem in Portugal and
Brazil and to emphasize the urgent need for increased surveillance and more
comprehensive epidemiological data in both countries, where such information is
scarce and seriously thwarts the adoption of proper preventive strategies and
therapeutic options.
PMID- 26560841
TI - Refractory depression with catatonic features was remitted with administration of
intravenous dopamine and consequent bupropion as maintenance treatment.
PMID- 26560842
TI - The oral health of people with chronic schizophrenia: A neglected public health
burden.
AB - OBJECTIVE: People with chronic schizophrenia have high rates of physical ill
health such as heart disease. However, there has been less attention to the issue
of poor oral health including dental caries (tooth decay) and periodontal (gum)
disease, although both have consequences for quality of life and systemic
physical health. We therefore measured tooth decay and gum disease in Malaysians
with schizophrenia. METHODS: We recruited long-stay inpatients with schizophrenia
from June to October 2014. Four dental specialists assessed oral health using the
decayed-missing-filled teeth index, the Community Periodontal Index of Treatment
Needs and the Debris Index of the Simplified Oral Hygiene Index. Results were
compared with the 2010 Oral Health survey of the general Malaysian population.
RESULTS: A total of 543 patients participated (66.7% males, 33.3% females; mean
age = 54.8 years [standard deviation = 16.0]) with a mean illness duration of
18.4 years (standard deviation = 17.1). The mean decayed-missing-filled teeth was
20.5 (standard deviation = 9.9), almost double that of the general population
(11.7). Higher decayed-missing-filled teeth scores were associated with both
older age (p < 0.001) and longer illness duration (p = 0.048). Only 1% (n = 6)
had healthy gums. Levels of decay and periodontal disease were greatest in those
aged between 45 and 64 years, coinciding with the onset of tooth loss.
CONCLUSION: Dental disease in people with schizophrenia deserves the same
attention as other comorbid physical illness. The disparity in oral health is
most marked for dental decay. Possible interventions include oral health
assessments using standard checklists designed for non-dental personnel, help
with oral hygiene, management of iatrogenic dry mouth and early dental referral.
PMID- 26560843
TI - Mental disorders and distress: Associations with demographics, remoteness and
socioeconomic deprivation of area of residence across Australia.
AB - OBJECTIVES: Australian policy-making needs better information on socio
geographical associations with needs for mental health care. We explored two
national surveys for information on disparities in rates of mental disorders and
psychological distress. METHODS: Secondary data analysis using the 2011/2012
National Health Survey and 2007 National Survey of Mental Health and Wellbeing.
Key data were the Kessler 10 scores in adults in the National Health Survey (n =
12,332) and the National Survey of Mental Health and Wellbeing (n = 6558) and
interview-assessed disorder rates in the National Survey of Mental Health and
Wellbeing. Estimation of prevalence of distress and disorders for sub-populations
defined by geographic and socioeconomic status of area was followed by
investigation of area effects adjusting for age and gender. RESULTS: Overall,
approximately one person in 10 reported recent psychological distress at
high/very-high level, this finding varying more than twofold depending on
socioeconomic status of area with 16.1%, 13.3%, 12.0%, 8.4% and 6.9% affected in
the most to least disadvantaged quintiles, respectively, across Australia in
2011/2012. In the most disadvantaged quintile, the percentage (24.4%) with mental
disorders was 50% higher than that in the least disadvantaged quintile (16.9%) in
2007, so this trend was less strong than for Kessler10 distress. CONCLUSION:
These results suggest that disparities in mental health status in Australia based
on socioeconomic characteristics of area are substantial and persisting. Whether
considering 1-year mental disorders or 30-day psychological distress, these occur
more commonly in areas with socioeconomic disadvantage. The association is
stronger for Kessler10 scores suggesting that Kessler10 scores behaved more like
a complex composite indicator of the presence of mental and subthreshold
disorders, inadequate treatment and other responses to stressors linked to
socioeconomic disadvantage. To reduce the observed disparities, what might be
characterised as a 'Whole of Government' approach is needed, addressing elements
of socioeconomic disadvantage and the demonstrable and significant inequities in
treatment provision.
PMID- 26560844
TI - Enhanced Laser Desorption/Ionization Mass Spectrometric Detection of Gold
Nanoparticles in Biological Samples Using the Synergy between Added Matrix and
the Gold Core.
AB - Laser desorption/ionization mass spectrometry (LDI-MS) has been used to detect
gold nanoparticles (AuNPs) in biological samples, such as cells and tissues, by
ionizing their attached monolayer ligands. Many NP-attached ligands, however, are
difficult to ionize by LDI, making it impossible to track these NPs in biological
samples. In this work, we demonstrate that concentrations of matrix-assisted LDI
(MALDI) matrices an order of magnitude below the values typically used in MALDI
can facilitate the selective detection of AuNPs with these ligands, even in
samples as complex as cell lysate. This enhanced sensitivity arises from a
synergistic relationship between the gold core and the matrix that helps to
selectively ionize ligands attached to the AuNPs.
PMID- 26560846
TI - [Implications of TCGA Network Data on 2nd Generation Immunotherapy Concepts Based
on PD-L1 and PD-1 Target Structures].
AB - The era of cytokines, given to patients with metastatic renal cell carcinoma
(mRCC) as part of an unspecific immunomodulatory treatment concept, seems to have
ended with the introduction of targeted therapies. However, preliminary data from
studies on treatment with checkpoint inhibitors (e. g. anti-PD-1 and anti-PD-L1)
may point the way to second-generation immunotherapy. The rationale of such
immunomodulatory treatment is to stop or interrupt the tumour from "escaping" the
body's immune defence. Thompson et al. report that increased protein expression
of PD-L1 (CD274/ B7-H1) in tumour cells and tumour-infiltrating immune cells
(TILs; lymphocytes and histiocytes) is associated with unfavourable clinical
pathological parameters as well as poor survival. In small pilot groups of mRCC
patients it was found that increased PD-L1 protein expression in tumours and TILs
may be correlated with the objective response to anti-PD-1 treatment. Sometimes,
however, a very wide variety of response rates was observed, which raises the
question if this can be explained by individual expression levels of PD-L1 (CD
274) or PD-1 (PDCD1).Recently published data from the Cancer Genome Atlas (TCGA)
Kidney Renal Clear Cell Carcinoma (KIRC) Network now provide a genome-wide data
base that allows us to review or validate the molecular results obtained in clear
cell renal cell carcinomas (ccRCC) to date.In this study, we analysed the TCGA
KIRC mRNA expression data for PD-L1 and PD-1 for a possible association with
clinical pathological parameters and the survival of 417 ccRCC patients.The mRNA
expression of PD-L1 in primary nephrectomy specimens revealed no significant
association with unfavourable clinical parameters. Interestingly, though, a
positive correlation with patient survival was found (HR=0,59, p=0,006).These
results, which partly contradict the concept applied to date, point out the
necessity to ascertain the characteristics of PD-L1 and PD-1 expression at mRNA
and protein level in an appropriately sized patient population and evaluate the
clinical significance.
PMID- 26560845
TI - Immunogenicity of anti-TNF biologic agents in the treatment of rheumatoid
arthritis.
AB - INTRODUCTION: The use of biologic disease-modifying anti-rheumatic drugs
(DMARDs), including therapeutic antibodies, antibody fragments and protein
constructs that target key mediators in the pathophysiology of rheumatoid
arthritis (RA), has improved the chance of achieving low disease activity and
clinical remission. However, individual patients respond differently to biologic
DMARD therapy, particularly the tumor necrosis factor (TNF) inhibitors. AREAS
COVERED: While the variation of clinical response may be related to
pharmacogenetic and other unknown factors, immunogenicity associated with some of
these agents may contribute in part to a lack of efficacy and immune-mediated
side effects. Timely detection of immunogenicity may avoid continued
administration of ineffective treatment, and reduce unnecessary risks and costs.
Access to and appropriate implementation of clinically validated drug level
assays is required. EXPERT OPINION: There are currently no evidence-based
recommendations to guide biologic therapy on the basis of drug level and
immunogenicity testing but as more data become available and better tests are
developed, a strategy of immunopharmacologic guidance to individualize treatment
of RA will emerge. The potential benefits of this approach must be balanced
against the costs of monitoring, and further research is required.
PMID- 26560847
TI - [Characteristic Features of Urinary Incontinence--Diagnostic Investigation in
Geriatric Patients].
AB - Urinary incontinence is a common medical and social problem in elderly people. It
leads to a massive reduction in the quality of life of affected persons and their
dependants and causes an enormous socio-economic burden, which will increase
significantly within the next years and decades as the age structure of the
German population changes. Successful treatment of urinary incontinence in the
elderly requires a good pathophysiological understanding of the underlying
problem as well as individually tailored diagnostic procedures, which must be
oriented at the patient's wishes, the social environment and the resulting
therapeutic consequences. This especially applies to persons with symptoms of
dementia. Comorbidities such as diabetes mellitus, reduced mobility and a
medication-induced decrease in cognitive function play a major role in the
severity of urgency and urinary incontinence in the elderly. Also the frequently
described concomitant diagnosis of urinary tract infection must be exactly
evaluated. Before antibiotic treatment is given, it should be clarified if the
patient suffers from "harmless" bacteriuria or a urinary tract infection
requiring treatment. Patients with an age-associated decrease in brain power must
be diagnosed quite carefully, because these patients may potentially be harmed by
pharmacological treatment for overactive bladder syndrome.
PMID- 26560848
TI - The divergent impact of COMT Val158Met on executive function in children with and
without attention-deficit/hyperactivity disorder.
AB - Children with attention-deficit/hyperactivity disorder (ADHD) usually display
deficits in executive function (EF), which are primarily mediated by prefrontal
cortex (PFC). The functional polymorphism of catechol-O-methyltransferase (COMT),
Val158Met (rs4680), leads to observed polymorphic differences in the degradation
of dopamine within PFC. This study aimed to explore the effect of rs4680 on EF
using case-control design. In addition, considering the dynamic development of
EF, we also attempted to investigate whether this genetic influence changes
during development or not. A total of 597 ADHD children and 154 unaffected
controls were recruited. The EF was evaluated using Rey-Osterrieth complex figure
test (RCFT), trail making test (TMT) and Stroop color and word test for working
memory, shifting and inhibition. Association between genotype and EF was analyzed
using analysis of covariance (ancova). The results showed significant interaction
effect of genotype and ADHD diagnosis on RCFT performance (P < 0.001). However,
the associated genotypes between ADHD and controls were divergent. In ADHD, the
Met carriers performed better than the Val homozygotes on detail immediate
[(10.38 +/- 6.90) vs. (9.33 +/- 6.92), P = 0.007] and detail delay [(9.96 +/-
6.86) vs. (8.86 +/- 6.89), P = 0.004], while Val homozygotes showed better
performance compared with Met carrier controls [for detail immediate (14.55 +/-
6.18) vs. (11.10 +/- 6.45), P<0.001; for detail delay (14.31 +/- 5.96) vs. (11.31
+/- 6.96), P = 0.001]. We did not find significant interaction between genetic
variant and development. COMT Val158Met (rs4680) may have divergent effect on
working memory in ADHD children compared with healthy controls.
PMID- 26560849
TI - anti-Diradical Formation in 1,3-Dipolar Cycloadditions of Nitrile Oxides to
Acetylenes.
AB - By means of high level quantum chemical calculations (B2PLYPD and CCSD(T)), the
mechanisms of the reaction of nitrile oxides with alkenes and alkynes were
investigated. We were able to show that in the case of alkenes, regardless of the
chosen substituents, the concerted mechanism is always energetically favored as
compared to a two-step process, which runs through an anti-diradical species. In
the case of alkynes, the concerted mechanism is favored only for the reaction of
alkyl-substituted acetylenes. For aryl-substituted acetylenes, the activation
barrier toward the anti-diradical is equal to or lower than the activation
barrier of the concerted reaction. This reversal of the reaction paths is not
only limited to nitrile oxides as dipolarophiles. Conditions favoring the anti
diradical path are the presence of a triple bond in both the 1,3-dipole and the
dipolarophile and additionally an aryl substituent attached to the alkyne. The
featured energy relationships between the reaction paths are able to explain the
experimentally observed byproducts of the reaction of nitrile oxides with
arylacetylenes. The discovered differences for the preferred reaction path of 1,3
dipolar cycloadditions to acetylenes should be of considerable interest to a
broader field of chemists.
PMID- 26560850
TI - Both foliar and residual applications of herbicides that inhibit amino acid
biosynthesis induce alternative respiration and aerobic fermentation in pea
roots.
AB - The objective of this work was to ascertain whether there is a general pattern of
carbon allocation and utilisation in plants following herbicide supply,
independent of the site of application: sprayed on leaves or supplied to nutrient
solution. The herbicides studied were the amino acid biosynthesis-inhibiting
herbicides (ABIH): glyphosate, an inhibitor of aromatic amino acid biosynthesis,
and imazamox, an inhibitor of branched-chain amino acid biosynthesis. All treated
plants showed impaired carbon metabolism; carbohydrate accumulation was detected
in both leaves and roots of the treated plants. The accumulation in roots was due
to lack of use of available sugars as growth was arrested, which elicited soluble
carbohydrate accumulation in the leaves due to a decrease in sink strength. Under
aerobic conditions, ethanol fermentative metabolism was enhanced in roots of the
treated plants. This fermentative response was not related to a change in total
respiration rates or cytochrome respiratory capacity, but an increase in
alternative oxidase capacity was detected. Pyruvate accumulation was detected
after most of the herbicide treatments. These results demonstrate that both ABIH
induce the less-efficient, ATP-producing pathways, namely fermentation and
alternative respiration, by increasing the key metabolite, pyruvate. The plant
response was similar not only for the two ABIH but also after foliar or residual
application.
PMID- 26560851
TI - Synthesis of Highly Substituted Racemic and Enantioenriched Allenylsilanes via
Copper-Catalyzed Hydrosilylation of (Z)-2-Alken-4-ynoates with Silylboronate.
AB - Copper-catalyzed highly efficient hydrosilylation reaction of enynoates was
developed. Under simple reaction conditions, various di-, tri-, and
tetrasubstituted racemic allene products could be obtained in high yields. The
asymmetric 1,6-addition of silyl group to the (Z)-2-alken-4-ynoates could be
achieved under mild reaction conditions to afford the silyl-substituted
enantioenriched chiral allene products in good yields and with high
enantioselectivities.
PMID- 26560852
TI - Detection of eye blink artifacts from single prefrontal channel
electroencephalogram.
AB - Eye blinks are one of the most influential artifact sources in
electroencephalogram (EEG) recorded from frontal channels, and thereby detecting
and rejecting eye blink artifacts is regarded as an essential procedure for
improving the quality of EEG data. In this paper, a novel method to detect eye
blink artifacts from a single-channel frontal EEG signal was proposed by
combining digital filters with a rule-based decision system, and its performance
was validated using an EEG dataset recorded from 24 healthy participants. The
proposed method has two main advantages over the conventional methods. First, it
uses single-channel EEG data without the need for electrooculogram references.
Therefore, this method could be particularly useful in brain-computer interface
applications using headband-type wearable EEG devices with a few frontal EEG
channels. Second, this method could estimate the ranges of eye blink artifacts
accurately. Our experimental results demonstrated that the artifact range
estimated using our method was more accurate than that from the conventional
methods, and thus, the overall accuracy of detecting epochs contaminated by eye
blink artifacts was markedly increased as compared to conventional methods. The
MATLAB package of our library source codes and sample data, named Eyeblink
Master, is open for free download.
PMID- 26560853
TI - Clinical evaluation and therapeutic monitoring value of serum tumor markers in
lung cancer.
AB - BACKGROUND: Tumor markers CYFRA21-1, CEA, NSE, CA125, pro-GRP and SCC are
routinely used for lung cancer. However, there has been no systematic evaluation
of these markers in the same cohort. The aim of this study was to evaluate the
diagnostic and therapeutic monitoring value of these markers. METHODS: The levels
of 6 serum tumor markers were measured in 392 patients, including 308 patients
with non-small cell lung cancer (NSCLC) and 84 with small cell lung cancer
(SCLC), and 116 patients with benign lung diseases and 144 healthy controls. 34
patients were followed up after operation and chemotherapy. Multiple logistic
models and receiver operating characteristic (ROC) curves were used to evaluate
their diagnostic value. RESULTS: CEA, NSE, CA125 and pro-GRP in SCLC, and CYFRA21
1 as well as CEA in NSCLC, were higher than those in control groups. The level of
CEA and CA125 were related to the clinical stages of NSCLC. Pro-GRP was
significantly increased in extensive disease (ED) compared with limited disease
(LD) in SCLC. CYFRA21-1 was reduced after the third and fifth treatment cycle
respectively in patients who undergoing operation and without operation. NSE and
pro-GRP were reduced significantly after the second and third treatment cycles,
respectively. CONCLUSIONS: CEA, NSE, CA125 and pro-GRP could serve as biomarkers
for SCLC, and CEA and CYFRA21-1 could serve as biomarkers for NSCLC. Pro-GRP,
CA125 and CEA were related to the clinical stages of lung cancer. CYFRA21-1, NSE
and pro-GRP could be used for monitoring the effect of chemotherapy.
PMID- 26560854
TI - The role of hypoxia in pancreatic cancer: a potential therapeutic target?
AB - One of the key factors that correlates with poor survival of patients with
pancreatic cancer is the extent of hypoxic areas within the tumor tissue. The
adaptation of pancreatic cancer cells to limited oxygen delivery promotes the
induction of an invasive and treatment-resistant phenotype, triggering metastases
at an early stage of tumor development, which resist in most cases adjuvant
therapies following tumor resection. In this article, the authors summarize the
evidence demonstrating the significance of hypoxia in pancreatic cancer
pathogenesis and discuss the possible hypoxia-induced mechanisms underlying its
aggressive nature. We then conclude with promising strategies that target hypoxia
adapted pancreatic cancer cells.
PMID- 26560855
TI - Benzoxazolone Carboxamides as Potent Acid Ceramidase Inhibitors: Synthesis and
Structure-Activity Relationship (SAR) Studies.
AB - Ceramides are lipid-derived intracellular messengers involved in the control of
senescence, inflammation, and apoptosis. The cysteine amidase, acid ceramidase
(AC), hydrolyzes these substances into sphingosine and fatty acid and, by doing
so, regulates their signaling activity. AC inhibitors may be useful in the
treatment of pathological conditions, such as cancer, in which ceramide levels
are abnormally reduced. Here, we present a systematic SAR investigation of the
benzoxazolone carboxamides, a recently described class of AC inhibitors that
display high potency and systemic activity in mice. We examined a diverse series
of substitutions on both benzoxazolone ring and carboxamide side chain. Several
modifications enhanced potency and stability, and one key compound with a
balanced activity-stability profile (14) was found to inhibit AC activity in
mouse lungs and cerebral cortex after systemic administration. The results expand
our arsenal of AC inhibitors, thereby facilitating the use of these compounds as
pharmacological tools and their potential development as drug leads.
PMID- 26560856
TI - Application of the Steady-State Variable Nutation Angle Method for Faster
Determinations of Long T 1s-An Approach Useful for the Design of Hyperpolarized
MR Molecular Probes.
AB - In the dissolution-dynamic nuclear polarization technique, molecular probes with
long T 1s are preferred. 13C nuclei of small molecules with no directly bonded
protons or sp(3 13)C nuclei with proton positions substituted by deuterons may
fulfill this requirement. The T 1 determination of such new molecular probes is
crucial for the success of the hyperpolarized observation. Although the inversion
recovery approach remained by and large the standard for T 1 measurements, we
show here that the steady-state variable nutation angle approach is faster and
may be better suited for the determination of relatively long T 1s in thermal
equilibrium. Specifically, the T 1 of a new molecular probe, [uniformly labeled
(UL)-13C6, UL-2H8]2-deoxy-d-glucose, is determined here and compared to that of
[UL-13C6, UL-2H7]d-glucose.
PMID- 26560857
TI - Frequency of three prothrombotic polymorphisms among Syrian population: factor V
G1691A, prothrombin G20210A and methylenetetrahydrofolate reductase C677T.
AB - BACKGROUND: Thrombophilia is a multi-factorial disorder caused by inherited and
acquired factors. Among the inherited factors are factor V G1691A, prothrombin
G20210A and methylenetetrahydrofolate reductase (MTHFR) C677T single nucleotide
polymorphisms (SNPs). AIM: The main aim of this study was to assess the incidence
of these three SNPs in the Syrian population. SUBJECTS AND METHODS: A total of
200 unrelated healthy Syrians (100 males and 100 females) were recruited.
RESULTS: The prevalence of factor V G1691A, prothrombin G20210A and MTHFR C677T
SNPs among Syrians is 11.5%, 2.5% and 84.5%, respectively. Prevalence of factor V
G1691A and prothrombin G20210A SNPs among apparently healthy Syrian individuals
is very high. CONCLUSION: To the best of the authors knowledge, the Syrian
population harbours the highest prevalence of the MTHFR C677T polymorphism
compared to all other populations reported so far.
PMID- 26560858
TI - Subwavelength imaging through ion-beam-induced upconversion.
AB - The combination of an optical microscope and a luminescent probe plays a pivotal
role in biological imaging because it allows for probing subcellular structures.
However, the optical resolutions are largely constrained by Abbe's diffraction
limit, and the common dye probes often suffer from photobleaching. Here we
present a new method for subwavelength imaging by combining lanthanide-doped
upconversion nanocrystals with the ionoluminescence imaging technique. We
experimentally observed that the ion beam can be used as a new form of excitation
source to induce photon upconversion in lanthanide-doped nanocrystals. This
approach enables luminescence imaging and simultaneous mapping of cellular
structures with a spatial resolution of sub-30 nm.
PMID- 26560859
TI - Effects of combining transarterial chemoembolization with percutaneous microwave
coagulation therapy for hepatocellular carcinoma abutting the diaphragm.
AB - OBJECTIVE: This study aims to explore the clinical effectiveness of a combination
therapy of transarterial chemoembolization (TACE) and percutaneous microwave
coagulation therapy (PMCT) in treating hepatocellular carcinoma (HCC) abutting
the diaphragm. MATERIAL AND METHODS: Six cases with HCC were treated with TACE
followed by PMCT one month later with the aid of artificial pneumothorax.
RESULTS: CT/MRI revealed complete necrosis in the tumor lesions and the treated
tumor margins (>= 5 mm). Serum alpha-fetoprotein (AFP) levels markedly declined
in patients who originally had higher serum AFP levels. Postoperative
complications such as fever, mild hepatic dysfunction and pleural effusion were
alleviated within a short period of time. All patients were closely monitored
through follow-up; all patients survived, except for one patient who received a
liver transplantation. CONCLUSIONS: As lesions are either invisible or poorly
visible in sonography, determining an effective treatment for HCC abutting the
diaphragm remains a particular challenge. TACE and PMCT combined therapy with the
aid of artificial pneumothorax proved to be an available treatment option.
PMID- 26560860
TI - Helicobacter pylori among patients with symptoms of gastroduodenal ulcer disease
in rural Uganda.
AB - INTRODUCTION: To meet key millennium development goals, the rural population
needs to be reached for health assessment and service delivery. Gastroduodenal
ulcer disease is a common ailment affecting the health of people in Uganda. A
cross-sectional study was conducted at Bwera Hospital in Kasese district of
western Uganda, to establish the prevalence and predisposing factors of
Helicobacter pylori among gastroduodenal ulcer disease patients. METHODS: A
sample of 174 patients with symptoms of gastroduodenal ulcer disease was
purposively obtained. Using two laboratory test methods, the prevalence of H.
pylori among these patients was determined. A structured questionnaire was
administered to participants to establish their demographic background and
selected aspects of their lifestyle. Finally, the results obtained by enzyme
linked immunosorbent assay (ELISA) and immunochromatographic rapid test (IRT)
were compared. RESULTS: We established the prevalence of H. pylori as 29.9%
(52/174) by ELISA and 37.4% (65/174) by IRT. Cigarette smoking, poor sanitation,
and lack of formal education were the significant predisposing factors with p
values <0.05. The two tests gave identical results in 87.9% of the patients.
DISCUSSION: The prevalence of H. pylori by IRT and ELISA test methods was similar
to what has been reported elsewhere in developed countries; but was lower than
previously reported in developing countries including Uganda. The previous
studies in Uganda were carried out in the urban population and on young children;
and some used antibody-detection methods only, therefore leading to different
prevalence as a result of difference in study population and methods.
PMID- 26560861
TI - A trial with IgY chicken antibodies to eradicate faecal carriage of Klebsiella
pneumoniae and Escherichia coli producing extended-spectrum beta-lactamases.
AB - BACKGROUND: Extended-spectrum beta-lactamase (ESBL)-producing Enterobacteriaceae
is an emerging therapeutic challenge, especially in the treatment of urinary
tract infections. Following an outbreak of CTX-M-15 Klebsiella pneumoniae in
Uppsala, Sweden, an orphan drug trial on IgY chicken antibodies was undertaken in
an attempt to eradicate faecal carriage of ESBL-producing K. pneumoniae and
Escherichia coli. METHODS: Hens were immunised with epitopes from freeze-dried,
whole-cell bacteria (ESBL-producing K. pneumoniae and E. coli) and recombinant
proteins of two K. pneumoniae fimbriae subunits (fimH and mrkD). The egg yolks
were processed according to good manufacturing practice and the product was
stored at-20 degrees C until used. Using an internal database from the outbreak
and the regular laboratory database, faecal carriers were identified and
recruited from May 2005 to December 2013. The participants were randomised in a
placebo-controlled 1:1 manner. RESULTS: From 749 eligible patients, 327 (44%) had
deceased, and only 91 (12%) were recruited and signed the informed consent. In
the initial screening performed using the polymerase chain reaction, 24
participants were ESBL positive and subsequently randomised and treated with
either the study drug or a placebo. The study was powered for 124 participants.
Because of a very high dropout rate, the study was prematurely terminated. From
the outbreak cohort (n=247), only eight patients were screened, and only one was
positive with the outbreak strain in faeces. CONCLUSIONS: The present study
design, using IgY chicken antibodies for the eradication of ESBL-producing K.
pneumonia and E. coli, was ineffective in reaching its goal due to high mortality
and other factors resulting in a low inclusion rate. Spontaneous eradication of
ESBL-producing bacteria was frequently observed in recruited participants, which
is consistent with previous reports.
PMID- 26560862
TI - Optical Properties of Photovoltaic Organic-Inorganic Lead Halide Perovskites.
AB - Over the last several years, organic-inorganic lead halide perovskites have
rapidly emerged as a new photovoltaic contender. Although energy conversion
efficiency above 20% has now been certified, improved understanding of the
material properties contributing to these high performance levels may allow the
progression to even higher efficiency, stable cells. The optical properties of
these new materials are important not only to device design but also because of
the insight they provide into less directly accessible properties, including
energy-band structures, binding energies, and likely impact of excitons, as well
as into absorption and inverse radiative recombination processes.
PMID- 26560863
TI - Cheers, proost, saude: Cultural, contextual and psychological factors of wine and
beer consumption in Portugal and in the Netherlands.
AB - Wine and beer consumption are an integral part of European culture: Southern
Europe is associated with wine and Northern Europe is associated with beer. When
consumed in moderation, these alcoholic beverages can be part of a balanced and
healthy diet. In the 1990s, non-alcoholic beer (NAB), which has no cultural
roots, became available in the market. This review identifies determinants for
consumption of wine, beer, and NAB, using data on consumption patterns from
Portugal and the Netherlands. Since the 1960s the image of Portugal as a wine
country declined, whereas the image of the Netherlands as a beer country remained
stable. In each country beer is now the most consumed alcoholic beverage and is
mainly a men's beverage, whereas wine is the second most consumed and is consumed
by both genders. Cultural differences define Portuguese as "outdoors, everyday
drinkers", within a meal context, and Dutch as "at home, weekend drinkers." Wine
is perceived as the healthiest beverage, followed by NAB, and regular beer.
Motivation for consumption is related to context: wine for special occasions,
beer for informal occasions, and NAB for occasions when alcohol is not
convenient. Moderate wine and beer consumption seems to be surrounded by positive
emotions. This review is relevant for public health, for industry market
strategies, and identifies opportunities of future research on drinking
behaviour.
PMID- 26560864
TI - Effect of Mutations on the Binding of Kanamycin-B to RNA Hairpins Derived from
the Mycobacterium tuberculosis Ribosomal A-Site.
AB - Kanamycin is an aminoglycoside antibiotic used in the treatment of drug-resistant
tuberculosis. Mutations at the rRNA A-site have been associated with kanamycin
resistance in Mycobacterium tuberculosis clinical isolates. Understanding the
effect of these mutations on the conformation of the M. tuberculosis A-site is
critical for understanding the mechanisms of antibiotic resistance in M.
tuberculosis. In this work, we have studied RNA hairpins derived from the M.
tuberculosis A-site, the wild type and three mutants at the following positions
(M. tuberculosis/Escherichia coli numbering): A1400/1408 -> G, C1401/1409 -> U,
and the double mutant G1483/1491 C1401/1409 -> UA. Specifically, we used circular
dichroism, ultraviolet spectroscopy, and fluorescence spectroscopy to
characterize the conformation, stability, and binding affinity of kanamycin-B and
other aminoglycoside antibiotics for these RNA hairpins. Our results show that
the mutations affect the conformation of the decoding site, with the mutations at
position 1401/1409 resulting in significant destabilizations. Interestingly, the
mutants bind paromomycin with weaker affinity than the wild type, but they bind
kanamycin-B with similar affinity than the wild type. The results suggest that
the presence of mutations does not prevent kanamycin-B from binding. Instead,
kanamycin may promote different interactions with a third partner in the mutants
compared to the wild type. Furthermore, our results with longer and shorter
hairpins suggest that the region of the A-site that varies among organisms may
have modulating effects on the binding and interactions of the A-site.
PMID- 26560865
TI - Real-Time Classification of Hand Motions Using Ultrasound Imaging of Forearm
Muscles.
AB - Surface electromyography (sEMG) has been the predominant method for sensing
electrical activity for a number of applications involving muscle-computer
interfaces, including myoelectric control of prostheses and rehabilitation
robots. Ultrasound imaging for sensing mechanical deformation of functional
muscle compartments can overcome several limitations of sEMG, including the
inability to differentiate between deep contiguous muscle compartments, low
signal-to-noise ratio, and lack of a robust graded signal. The objective of this
study was to evaluate the feasibility of real-time graded control using a
computationally efficient method to differentiate between complex hand motions
based on ultrasound imaging of forearm muscles. Dynamic ultrasound images of the
forearm muscles were obtained from six able-bodied volunteers and analyzed to map
muscle activity based on the deformation of the contracting muscles during
different hand motions. Each participant performed 15 different hand motions,
including digit flexion, different grips (i.e., power grasp and pinch grip), and
grips in combination with wrist pronation. During the training phase, we
generated a database of activity patterns corresponding to different hand motions
for each participant. During the testing phase, novel activity patterns were
classified using a nearest neighbor classification algorithm based on that
database. The average classification accuracy was 91%. Real-time image-based
control of a virtual hand showed an average classification accuracy of 92%. Our
results demonstrate the feasibility of using ultrasound imaging as a robust
muscle-computer interface. Potential clinical applications include control of
multiarticulated prosthetic hands, stroke rehabilitation, and fundamental
investigations of motor control and biomechanics.
PMID- 26560866
TI - Six Conductivity Values to Use in the Bidomain Model of Cardiac Tissue.
AB - GOAL: The aim of this work is to produce a consistent set of six conductivity
values for use in the bidomain model of cardiac tissue. METHODS: Studies in 2007
by Hooks et al. and in 2009 by Caldwell et al. have found that, in the directions
longitudinal:transverse:normal (l:t:n) to the cardiac fibers, ratios of bulk
conductivities and conduction velocities are each approximately in the ratio
4:2:1. These results are used here as the basis for a method that can find sets
of six normalized bidomain conductivity values. RESULTS: It is found that the
ratios involving transverse and normal conductivities are quite consistent,
allowing new light to be shed on conductivity in the normal direction. For
example, it is found that the ratio of transverse to normal conductivity is much
greater in the intracellular (i) than the extracellular (e) domain. Using
parameter values from experimental studies leads to the proposal of a new nominal
six conductivity dataset: gil=2.4, gel=2.4, git=0.35, get=2.0, gin=0.08, and
gen=1.1 (all in mS/cm). CONCLUSION: When it is used to model partial thickness
ischaemia, this dataset produces epicardial potential distributions in accord
with experimental studies in an animal model. It is, therefore, suggested that
the dataset is suitable for use in numerical simulations. SIGNIFICANCE: Since the
bidomain approach is the most commonly used method for modeling cardiac
electrophysiological phenomena, new information about conductivity in the normal
direction, as well as a consistent set of six conductivity values, is valuable
for researchers who perform simulation studies.
PMID- 26560867
TI - An Obstructive Sleep Apnea Detection Approach Using a Discriminative Hidden
Markov Model From ECG Signals.
AB - Obstructive sleep apnea (OSA) syndrome is a common sleep disorder suffered by an
increasing number of people worldwide. As an alternative to polysomnography (PSG)
for OSA diagnosis, the automatic OSA detection methods used in the current
practice mainly concentrate on feature extraction and classifier selection based
on collected physiological signals. However, one common limitation in these
methods is that the temporal dependence of signals are usually ignored, which may
result in critical information loss for OSA diagnosis. In this study, we propose
a novel OSA detection approach based on ECG signals by considering temporal
dependence within segmented signals. A discriminative hidden Markov model (HMM)
and corresponding parameter estimation algorithms are provided. In addition,
subject-specific transition probabilities within the model are employed to
characterize the subject-to-subject differences of potential OSA patients. To
validate our approach, 70 recordings obtained from the Physionet Apnea-ECG
database were used. Accuracies of 97.1% for per-recording classification and
86.2% for per-segment OSA detection with satisfactory sensitivity and specificity
were achieved. Compared with other existing methods that simply ignore the
temporal dependence of signals, the proposed HMM-based detection approach
delivers more satisfactory detection performance and could be extended to other
disease diagnosis applications.
PMID- 26560868
TI - Deep Transcranial Magnetic Stimulation: Modeling of Different Coil
Configurations.
AB - OBJECTIVE: Deep transcranial magnetic stimulation (dTMS) has been recently used
in several clinical studies as diagnostic and therapeutic tool. However, electric
field (E) distributions induced in the brain by dTMS are still unknown. This
paper provides a characterization of the induced E distributions in the brain of
a realistic human model due to 16 different coil configurations. METHODS: The
scalar potential finite-element method was used to calculate the E distributions
differentiating the brain structures, e.g., cortex, white matter, anterior
cingulated cortex, cerebellum, thalamus, hypothalamus, nucleus accumbens,
amygdale, and hippocampus. RESULTS: Our results support that the double cone
coils and the large diameter circular coils are more prone to activate deeper
brain structures but are also characterized by a reduced focality on the surface
of the cortex, with the consequent possible counter effect of stimulating regions
not of interest. The Hesed coils, although their ability to reach deep brain
tissues is lower, seem to be more able to reduce the effect on other brain
regions where the stimulation is undesired. CONCLUSION: All the coil
configurations resulted subjected to a depth-focality tradeoff. SIGNIFICANCE:
Since there is not a configuration that is capable of achieving a stimulation
both deep and focal, the selection of the most suitable coil settings for a
specific clinical application should be based on a balanced evaluation between
these two different needs.
PMID- 26560870
TI - Advancing research in NeuroAIDS using collaboration and public data sharing.
AB - In this issue of BMC Medical Genomics Griffin et al. present a user-friendly and
freely accessible HIV-associated neurocognitive disorder (HAND) genomic database
that compiles viral (HIV-1) genetic sequences and other relevant clinical and
treatment data. We discuss the benefits and caveats of public data sharing in
NeuroAIDS research, while emphasizing the importance of such novel initiatives
for advancing knowledge.
PMID- 26560869
TI - Simulating the Interacting Effects of Intraspecific Variation, Disturbance, and
Competition on Climate-Driven Range Shifts in Trees.
AB - Climate change is expected to favor shifts in plant distributions; some such
shifts are already being observed along elevation gradients. However, the rate of
such shifts may be limited by their ability to reach newly suitable areas and by
competition from resident species. The degree of local adaptation and genetic
variation may also play a role in the interaction between migrants and residents
by affecting relative fitness. We used a simulation model to explore the
interacting effects of dispersal, fecundity, disturbance, and genetic variation
on range-edge dynamics between a pair of demographically similar tree species.
Ideal climate for an individual is determined by genotype. The simulated
landscape undergoes an 80-year period of climate change in which climate bands
shift upslope; subsequently, climate is held constant for 300 years. The presence
of a high-elevation competitor caused a significant lag in the range shift of the
low-elevation species relative to competition-free scenarios. Increases in
fecundity and dispersal distance both helped to speed up the replacement of the
high-elevation species by the low-elevation species at their range boundary.
While some disturbance scenarios facilitated this transition, frequent canopy
disturbance inhibited colonization by removing reproductive adults and led to
range contractions in both species. Differences between dispersal scenarios were
more pronounced when disturbance was frequent (15 vs. 25 year return interval)
and dispersal was limited. When the high-elevation species lacked genetic
variation, its range was more-easily invaded by the low-elevation species, while
a similar lack of variation in the low-elevation species inhibited colonization
but only when this lack of variation decreased the fitness of the affected
species near the range boundary. Our model results support the importance of
measuring and including dispersal/fecundity, disturbance type and frequency, and
genetic variation when assessing the potential for range shifts and species
vulnerability to climate change.
PMID- 26560871
TI - The pitfalls of bedside regional cerebral oxygen saturation in the early stage of
post cardiac arrest.
AB - It remains uncertain whether neuromonitoring reliably predicts outcome in adult
post-cardiac arrest patients in the early stage treated with therapeutic
hypothermia. Recent reports demonstrated a regional cerebral oxygen saturation of
cardiac arrest patients on hospital arrival could predict their neurological
outcome. There has been little discussion about the significance of regional
cerebral oxygen saturation in patients with post-cardiac arrest syndrome.
Amplitude-integrated electroencephalography monitoring may also provide early
prognostic information for post-cardiac arrest syndrome. However, even when the
initial electroencephalography is flat after the return of spontaneous
circulation, good neurological outcome may still be obtainable if the
electroencephalography shifts to a continuous pattern. The electroencephalography
varied from flat to various patterns, such as flat, epileptic, or continuous
during the first 24 h, while regional cerebral oxygen saturation levels varied
even when the electroencephalography was flat. It is therefore difficult to
estimate whether regional cerebral oxygen saturation accurately indicates the
coupling of cerebral blood flow and metabolism in the early stage after cardiac
arrest. Careful assessment of prognosis is necessary when relying solely on
regional cerebral oxygen saturation as a single monitoring modality.
PMID- 26560872
TI - Direct factor Xa inhibitor edoxaban: from bench to clinical practice.
AB - Edoxaban is a direct factor Xa inhibitor and has become the fourth direct oral
anticoagulant (DOAC) approved for stroke prevention in atrial fibrillation (AF)
and for treatment and secondary prevention of venous thromboembolism (VTE). This
review provides an overview of the key characteristics of edoxaban and clinical
evaluation program leading to regulatory approval. Approval for AF and VTE
treatment was based on large phase III randomized controlled trials that showed
that edoxaban reduces the risk of bleeding compared with warfarin and provides
similar protection against thromboembolism. Edoxaban is the second once-daily
DOAC, is tested in a reduced dose for patients with a moderate renal impairment,
body weight of <=60 kg or concomitant use of p-glycoprotein inhibitors and
thereby is a valuable addition to the therapeutic arsenal of modern
anticoagulation. For AF regulatory approval in the USA is limited to patients
with a creatinine clearance of 15-95 ml/min. Another limitation is the need for
initial parenteral anticoagulation with heparin in treatment of acute VTE.
PMID- 26560873
TI - Evaluating DNA Extraction Methods for Community Profiling of Pig Hindgut
Microbial Community.
AB - Recovery of high quality PCR-amplifiable DNA has been the general minimal
requirement for DNA extraction methods for bulk molecular analysis. However,
modern high through-put community profiling technologies are more sensitive to
representativeness and reproducibility of DNA extraction method. Here, we assess
the impact of three DNA extraction methods (with different levels of extraction
harshness) for assessing hindgut microbiomes from pigs fed with different diets
(with different physical properties). DNA extraction from each sample was
performed in three technical replicates for each extraction method and sequenced
by 16S rRNA amplicon sequencing. Host was the primary driver of molecular
sequencing outcomes, particularly on samples analysed by wheat based diets, but
higher variability, with one failed extraction occurred on samples from a barley
fed pig. Based on these results, an effective method will enable reproducible and
quality outcomes on a range of samples, whereas an ineffective method will fail
to generate extract, but host (rather than extraction method) remains the primary
factor.
PMID- 26560875
TI - miR-20a regulates expression of the iron exporter ferroportin in lung cancer.
AB - Ferroportin (FPN) exports iron from duodenal enterocytes, macrophages, and
hepatocytes to maintain systemic iron homeostasis. In addition, FPN is expressed
in various cancer cells. Here, we show that in lung cancer, FPN expression is
regulated by miR-20a. Within the FPN-3'-untranslated region (3'UTR), we identify
and experimentally validate three evolutionarily conserved target sites for the
microRNA (miRNA) members of the miR-17 seed family, including miR-20a. Our
analysis of RNA sequencing data from patients with lung adenocarcinoma (LUAD) and
lung squamous cell carcinoma (LUSC) revealed that FPN messenger RNA (mRNA) levels
are significantly decreased in tumor compared to matched healthy tissue, while
miR-20a levels are increased. A significant negative correlation of miR-20a and
FPN expression was observed. Functional studies further demonstrate that FPN is
post-transcriptionally regulated by miR-20a in non-small cell lung cancer (NSCLC)
cells and that overexpression or knockdown of miR-20a or FPN affects NSCLC
proliferation and colony formation. Taken together, our data suggest that
increased expression of miR-20 in lung cancer may decrease iron export, leading
to intracellular iron retention, which, in turn, favors cell proliferation. KEY
MESSAGES: miR-20a controls expression of the iron exporter ferroportin (FPN) by
binding to highly conserved target sites in its 3'UTR. Expression of miR-20a is
inversely correlated to FPN in lung cancer. Low FPN expression stimulates
proliferation and colony formation of non-small cell lung cancer (NSCLC) cells,
possibly by increasing iron availability for cancer cell proliferation.
PMID- 26560874
TI - Histone deacetylases as new therapy targets for platinum-resistant epithelial
ovarian cancer.
AB - INTRODUCTION: In developed countries, ovarian cancer is the fourth most common
cancer in women. Due to the non-specific symptomatology associated with the
disease many patients with ovarian cancer are diagnosed late, which leads to
significantly poorer prognosis. Apart from surgery and radiotherapy, a
substantial number of ovarian cancer patients will undergo chemotherapy and
platinum based agents are the mainstream first-line therapy for this disease.
Despite the initial efficacy of these therapies, many women relapse; therefore,
strategies for second-line therapies are required. Regulation of DNA
transcription is crucial for tumour progression, metastasis and chemoresistance
which offers potential for novel drug targets. METHODS: We have reviewed the
existing literature on the role of histone deacetylases, nuclear enzymes
regulating gene transcription. RESULTS AND CONCLUSION: Analysis of available data
suggests that a signifant proportion of drug resistance stems from abberant gene
expression, therefore HDAC inhibitors are amongst the most promising therapeutic
targets for cancer treatment. Together with genetic testing, they may have a
potential to serve as base for patient-adapted therapies.
PMID- 26560876
TI - Abdominal Obesity and Brain Atrophy in Type 2 Diabetes Mellitus.
AB - AIM: Type 2 diabetes mellitus (T2D) is associated with gray matter atrophy.
Adiposity and physical inactivity are risk factors for T2D and brain atrophy. We
studied whether the associations of T2D with total gray matter volume (GMV) and
hippocampal volume (HV) are dependent on obesity and physical activity. MATERIALS
AND METHODS: In this cross-sectional study, we measured waist-hip ratio (WHR),
body mass index (BMI), mean steps/day and brain volumes in a community dwelling
cohort of people with and without T2D. Using multivariable linear regression, we
examined whether WHR, BMI and physical activity mediated or modified the
association between T2D, GMV and HV. RESULTS: There were 258 participants with
(mean age 67 +/- 7 years) and 302 without (mean age 72 +/- 7 years) T2D.
Adjusting for age, sex and intracranial volume, T2D was independently associated
with lower total GMV (p = 0.001) and HV (p<0.001), greater WHR (p<0.001) and BMI
(p<0.001), and lower mean steps/day (p = 0.002). After adjusting for covariates,
the inclusion of BMI and mean steps/day did not significantly affect the T2D-GMV
association, but WHR attenuated it by 32% while remaining independently
associated with lower GMV (p<0.01). The T2D-HV association was minimally changed
by the addition of BMI, steps/day or WHR in the model. No statistical
interactions were observed between T2D and measures of obesity and physical
activity in explaining brain volumes. CONCLUSIONS: Abdominal obesity or its
downstream effects may partially mediate the adverse effect of T2D on brain
atrophy. This requires confirmation in longitudinal studies.
PMID- 26560877
TI - Investigational drugs for treating anal cancer and future perspectives.
AB - INTRODUCTION: Anal cancer is a relatively rare malignancy which comprises about
2.5% of all digestive system malignancies in the United States. The majority of
cases are squamous cell carcinoma which is closely related to human papilloma
virus (HPV) infection. Despite high cure rates with chemoradiation alone, 10 -
20% of patients do develop metastatic disease with little data to guide their
treatment. AREAS COVERED: In this review article, the authors describe the
current standard treatment of early and advanced squamous cell carcinoma of the
anal canal based on published data. The authors then describe the new approaches
to the disease, focusing on new radio sensitizing agents, systemic targeted drugs
and immunotherapy. EXPERT OPINION: The authors believe that current standard
treatment options for squamous cell carcinoma of the anal canal are well defined
with acceptable results. However the major challenge in the treatment of anal
cancer is the lack of randomized or even large single arm Phase II trials due to
rarity of the disease, especially in the metastatic disease. But we are slowly
making progress. Currently, the most promising areas of research are
immunotherapy, targeted therapy and even HPV prevention. We are eagerly
anticipating the results of these studies in order to expand the treatment
armamentarium.
PMID- 26560878
TI - Primary silicone oil tamponade and internal limiting membrane peeling for retinal
detachment due to macular hole in highly myopic eyes with chorioretinal atrophy.
AB - BACKGROUND: Retinal detachment (RD) secondary to macular hole (MH) is a common
complication in highly myopic eyes, usually leading to a poor visual prognosis.
The purpose of this study was to evaluate the surgical outcome of silicone oil
(SO) tamponade and internal limiting membrane (ILM) peeling in the treatment of
RD caused by MH (MHRD) in highly myopic eyes with chorioretinal atrophy, and to
identify clinical factors associated with the anatomical outcomes. METHODS: We
retrospectively reviewed 21 eyes of 21 highly myopic patients affected by RD
secondary to MH and chorioretinal atrophy. All eyes were treated with pars plana
vitrectomy (PPV) with ILM peeling and SO tamponade. Anatomical success was
defined as reattachment of the retina with the closure of the MH, as assessed by
optical coherence tomography (OCT), after SO removal. Logistic regression was
performed to determine the clinical factors influencing anatomical success.
RESULTS: The mean patient age was 59.95 years [standard deviation (SD), 10.39;
range, 34-77 years] and the mean axial length was 30.58 mm (SD, 1.52; range,
27.99-34.51 mm). After the first surgical procedure, the anatomical success rate
was 61.9% (13 eyes in 21 eyes), with initial retinal attachment of 16 eyes
(76.2%). A second surgical approach was performed for the five eyes with
persistent or recurrent RD, and the final retinal reattachment rate was 100%
(21/21). Logistic regression analysis showed that no specific factors were
significantly associated with anatomical success. CONCLUSIONS: Primary silicone
oil tamponade and ILM peeling can be a practical treatment for repairing MHRD in
highly myopic eyes with chorioretinal atrophy.
PMID- 26560879
TI - Germination and extrusion as combined processes for reducing phytates and
increasing phenolics content and antioxidant capacity of Oryza sativa L. whole
grain flours.
AB - Whole rice (WR) products with low phytic acid (PA) content and enhanced bio
functional components were obtained by the combination of germination and
extrusion processes. Germination conditions (24 h - 35 degrees C), with a
previous soaking process (24 h - 20 degrees C), were chosen according to the
remnant PA content and germination rate. Specific mechanical energy consumption,
expansion, sensorial and mechanical hardness, specific volume, solubility, water
absorption, free phenolic content (FPC) and antioxidant capacity were evaluated.
Results indicated that 175 degrees C and 14 g 100 g(-1) of moisture were the
most appropriate conditions to obtain expanded products and precooked flours
based on germinated WR. Selected extruded product presented less PA content
(821.6 9 +/- 10.3 versus 695.2 0 +/- 1.6 mg 100 g(-1)) and higher Fe bio
accessibility, FPC (45.2 9 +/- 1.61 versus 66.3 5 +/- 3.35 mg GAE g(-1)) and
antioxidant capacity compared with WR (34.9 5 +/- 0.8 versus 54.6 3 +/- 1.6 umol
trolox g(-1)). Combining germination-extrusion processes could be a strategy to
obtain expanded products or precooked flours based on WR with enhanced health
benefits.
PMID- 26560880
TI - Re-launch of PulMiCC trial to discover the true effect of pulmonary
metastasectomy on survival in advanced colorectal cancer.
PMID- 26560881
TI - A faithful JAGGED1 haploinsufficiency mouse model of arteriohepatic dysplasia
(Alagille syndrome) after all.
PMID- 26560882
TI - JC polyomavirus in the aetiology and pathophysiology of glial tumours.
AB - Glial brain tumours with their poor prognosis, limited treatment modalities and
unclear detailed pathophysiology represent a significant health concern. The
purpose of the current study was to investigate and describe the possible role of
the human polyomavirus JC as an underlying cancerogenic or co-cancerogenic factor
in the complex processes of glial tumour induction and development. Samples from
101 patients with glial tumours were obtained during neurosurgical tumour
resection. Small tissue pieces were taken from several areas of the
histologically verified solid tumour core. Biopsies were used for DNA extraction
and subsequent amplification reactions of sequences from the JC viral genome.
Real-time polymerase chain reaction was used for detection and quantification of
its non-coding control region (NCCR) and gene encoding the regulatory protein
Large T antigen (LT). An average of 37.6% of all patients was found to be LT
positive, whereas only 6.9% tested positive for NCCR. The analysis of the results
demonstrated significant variance between the determined LT prevalence and the
rate for NCCR, with a low starting copy number in all positive samples and
threshold cycles in the range of 36 to 42 representing viral load in the range
from 10 to 1000 copies/MUl. The results most probably indicate incomplete JC
viral replication. Under such conditions, mutations in the host cell genome may
be accumulated due to interference of the virus with the host cell machinery, and
eventually malignant transformation may occur.
PMID- 26560883
TI - Identifying and recruiting smokers for preoperative smoking cessation--a
systematic review of methods reported in published studies.
AB - BACKGROUND: Smoking cessation before surgery reduces postoperative complications,
and the benefit is positively associated with the duration of being abstinent
before a surgical procedure. A key issue in providing preoperative smoking
cessation support is to identify people who smoke as early as possible before
elective surgery. This review aims to summarise methods used to identify and
recruit smokers awaiting elective surgery. METHODS: We searched MEDLINE, EMBASE,
CINAHL, and PsycINFO, and references of relevant reviews (up to May 2014) to
identify prospective studies that evaluated preoperative smoking cessation
programmes. One reviewer extracted and a second reviewer checked data from the
included studies. Data extracted from included studies were presented in tables
and narratively described. RESULTS: We included 32 relevant studies, including 18
randomised controlled trials (RCTs) and 14 non-randomised studies (NRS). Smokers
were recruited at preoperative clinics (n = 18), from surgery waiting lists (n =
6), or by general practitioners (n = 1), and the recruitment methods were not
explicitly described in seven studies. Time points of preoperative recruitment of
smokers was unclear in four studies, less than 4 weeks before surgery in 17
studies, and at least 4 weeks before surgery in only 11 studies. The recruitment
rate tended to be lower in RCTs (median 58.2 %, range 9.1 to 90.9 %) than that in
NRS (median 99.1 %, range 12.3 to 100 %) and lower in preoperative clinic-based
RCTs (median 54.4 %, range 9.1 to 82.4 %) than that in waiting list-based RCTs
(median 70.1 %, range 36.8 to 85.0 %). Smokers were recruited at least 4 weeks
before surgery in four of the six waiting list-based studies and in only three of
the 18 preoperative clinic-based studies. CONCLUSIONS: Published studies often
inadequately described the methods for recruiting smokers into preoperative
smoking cessation programmes. Although smoking cessation at any time is
beneficial, many programmes recruited smokers at times very close to scheduled
surgery so that the benefit of preoperative smoking cessation may have not been
fully effected. Optimal delivery of preoperative smoking cessation remains
challenging, and further research is required to develop effective preoperative
cessation programmes for smokers awaiting elective operations.
PMID- 26560884
TI - Quantifying and Mapping Global Data Poverty.
AB - Digital information technologies, such as the Internet, mobile phones and social
media, provide vast amounts of data for decision-making and resource management.
However, access to these technologies, as well as their associated software and
training materials, is not evenly distributed: since the 1990s there has been
concern about a "Digital Divide" between the data-rich and the data-poor. We
present an innovative metric for evaluating international variations in access to
digital data: the Data Poverty Index (DPI). The DPI is based on Internet speeds,
numbers of computer owners and Internet users, mobile phone ownership and network
coverage, as well as provision of higher education. The datasets used to produce
the DPI are provided annually for almost all the countries of the world and can
be freely downloaded. The index that we present in this 'proof of concept' study
is the first to quantify and visualise the problem of global data poverty, using
the most recent datasets, for 2013. The effects of severe data poverty,
particularly limited access to geoinformatic data, free software and online
training materials, are discussed in the context of sustainable development and
disaster risk reduction. The DPI highlights countries where support is needed for
improving access to the Internet and for the provision of training in
geoinfomatics. We conclude that the DPI is of value as a potential metric for
monitoring the Sustainable Development Goals of the Sendai Framework for Disaster
Risk Reduction.
PMID- 26560885
TI - Comparison of the use of ventricular access devices and ventriculosubgaleal
shunts in posthaemorrhagic hydrocephalus: systematic review and meta-analysis.
AB - INTRODUCTION: Ventricular access devices (VAD) and ventriculosubgaleal shunts
(VSGS) are currently both used as temporising devices to affect CSF drainage in
neonatal posthaemorrhagic hydrocephalus (PHH), without clear evidence of
superiority of either procedure. In this systematic review and meta-analysis, we
compared the VSGS and VAD regarding complication rates, ventriculoperitoneal
shunt conversion and infection rates, and mortality and long-term disability.
METHODS: The review was registered with the PROSPERO international prospective
register of systematic reviews (registration number CRD42015019750) and was
conducted in accordance with PRISMA guidelines. RESULTS AND CONCLUSIONS: The
literature search of five databases identified 338 publications, of which 5 met
the inclusion criteria. All were retrospective cohort studies (evidence class 3b
and 4). A significantly lower proportion of patients with a VSGS required CSF
tapping compared to patients with a VAD (log OR -4.43, 95% CI -6.14 to -2.72). No
other significant differences between the VAD and VSGS were identified in their
rates of infection (log OR 0.03, 95% CI -0.77 to 0.84), obstruction (log OR 1.25,
95% CI -0.21 to 2.71), ventriculoperitoneal shunt dependence (log OR -0.06, 95%
CI -0.93 to 0.82), subsequent shunt infection (log OR 0.23, 95% CI -0.61 to
1.06), mortality (log OR 0.37, 95% CI -0.95 to 1.70) or long-term disability (p =
0.9). In all studies, there was a lack of standardised criteria, variations
between surgeons in heterogeneous cohorts of limited sample size and a lack of
neurodevelopmental follow-up. This affirms the importance of an ongoing
multicentre, prospective pilot study comparing these two temporising procedures
to enable a more robust comparison.
PMID- 26560886
TI - Dose-Response Association Between Psychological Distress and Risk of Completed
Suicide in the General Population.
PMID- 26560888
TI - Interventions to facilitate shared decision making to address antibiotic use for
acute respiratory infections in primary care.
AB - BACKGROUND: Shared decision making is an important component of patient-centred
care. It is a set of communication and evidence-based practice skills that
elicits patients' expectations, clarifies any misperceptions and discusses the
best available evidence for benefits and harms of treatment. Acute respiratory
infections (ARIs) are one of the most common reasons for consulting in primary
care and obtaining prescriptions for antibiotics. However, antibiotics offer few
benefits for ARIs, and their excessive use contributes to antibiotic resistance -
an evolving public health crisis. Greater explicit consideration of the benefit
harm trade-off within shared decision making may reduce antibiotic prescribing
for ARIs in primary care. OBJECTIVES: To assess whether interventions that aim to
facilitate shared decision making increase or reduce antibiotic prescribing for
ARIs in primary care. SEARCH METHODS: We searched CENTRAL (2014, Issue 11),
MEDLINE (1946 to November week 3, 2014), EMBASE (2010 to December 2014) and Web
of Science (1985 to December 2014). We searched for other published, unpublished
or ongoing trials by searching bibliographies of published articles, personal
communication with key trial authors and content experts, and by searching trial
registries at the National Institutes of Health and the World Health
Organization. SELECTION CRITERIA: Randomised controlled trials (RCTs) (individual
level or cluster-randomised), which evaluated the effectiveness of interventions
that promote shared decision making (as the focus or a component of the
intervention) about antibiotic prescribing for ARIs in primary care. DATA
COLLECTION AND ANALYSIS: Two review authors independently extracted and collected
data. Antibiotic prescribing was the primary outcome, and secondary outcomes
included clinically important adverse endpoints (e.g. re-consultations, hospital
admissions, mortality) and process measures (e.g. patient satisfaction). We
assessed the risk of bias of all included trials and the quality of evidence. We
contacted trial authors to obtain missing information where available. MAIN
RESULTS: We identified 10 published reports of nine original RCTs (one report was
a long-term follow-up of the original trial) in over 1100 primary care doctors
and around 492,000 patients.The main risk of bias came from participants in most
studies knowing whether they had received the intervention or not, and we
downgraded the rating of the quality of evidence because of this.We meta-analysed
data using a random-effects model on the primary and key secondary outcomes and
formally assessed heterogeneity. Remaining outcomes are presented
narratively.There is moderate quality evidence that interventions that aim to
facilitate shared decision making reduce antibiotic use for ARIs in primary care
(immediately after or within six weeks of the consultation), compared with usual
care, from 47% to 29%: risk ratio (RR) 0.61, 95% confidence interval (CI) 0.55 to
0.68. Reduction in antibiotic prescribing occurred without an increase in patient
initiated re-consultations (RR 0.87, 95% CI 0.74 to 1.03, moderate quality
evidence) or a decrease in patient satisfaction with the consultation (OR 0.86,
95% CI 0.57 to 1.30, low quality evidence). There were insufficient data to
assess the effects of the intervention on sustained reduction in antibiotic
prescribing, adverse clinical outcomes (such as hospital admission, incidence of
pneumonia and mortality), or measures of patient and caregiver involvement in
shared decision making (such as satisfaction with the consultation; regret or
conflict with the decision made; or treatment compliance following the decision).
No studies assessed antibiotic resistance in colonising or infective organisms.
AUTHORS' CONCLUSIONS: Interventions that aim to facilitate shared decision making
reduce antibiotic prescribing in primary care in the short term. Effects on
longer-term rates of prescribing are uncertain and more evidence is needed to
determine how any sustained reduction in antibiotic prescribing affects hospital
admission, pneumonia and death.
PMID- 26560887
TI - Ruthenium Complex "Light Switches" that are Selective for Different G-Quadruplex
Structures.
AB - Recognition and regulation of G-quadruplex nucleic acid structures is an
important goal for the development of chemical tools and medicinal agents. The
addition of a bromo-substituent to the dipyridylphenazine (dppz) ligands in the
photophysical "light switch", [Ru(bpy)2 dppz](2+) , and the photochemical "light
switch", [Ru(bpy)2 dmdppz](2+) , creates compounds with increased selectivity for
an intermolecular parallel G-quadruplex and the mixed-hybrid G-quadruplex,
respectively. When [Ru(bpy)2 dppz-Br](2+) and [Ru(bpy)2 dmdppz-Br](2+) are
incubated with the G-quadruplexes, they have a stabilizing effect on the DNA
structures. Activation of [Ru(bpy)2 dmdppz-Br](2+) with light results in covalent
adduct formation with the DNA. These complexes demonstrate that subtle chemical
modifications of Ru(II) complexes can alter G-quadruplex selectivity, and could
be useful for the rational design of in vivo G-quadruplex probes.
PMID- 26560889
TI - Essentiality drives the orientation bias of bacterial genes in a continuous
manner.
AB - Studies had found that bacterial genes are preferentially located on the leading
strands. Subsequently, the preferences of essential genes and highly expressed
genes were compared by classifying all genes into four groups, which showed that
the former has an exclusive influence on orientation. However, only some
functional classes of essential genes have this orientation bias. Nevertheless,
previous studies only performed comparative analyzes by differentiating the
orientation bias extent of two types of genes. Thus, it is unclear whether the
influence of essentiality on strand bias works continuously. Herein, we found a
significant correlation between essentiality and orientation bias extent in 19 of
21 analyzed bacterial genomes, based on quantitative measurement of gene
essentiality (or fitness). The correlation coefficient was much higher than that
derived from binary essentiality measures (essential or non-essential). This
suggested that genes with relatively lower essentiality, i.e., conditionally
essential genes, also have some orientation bias, although it is weaker than that
of absolutely essential genes. The results demonstrated the continuous influence
of essentiality on orientation bias and provided details on this visible
structural feature of bacterial genomes. It also proved that Geptop and IFIM
could serve as useful resources of bacterial gene essentiality, particularly for
quantitative analysis.
PMID- 26560890
TI - Social Organization in Parasitic Flatworms--Four Additional Echinostomoid
Trematodes Have a Soldier Caste and One Does Not.
AB - Complex societies where individuals exhibit division of labor with physical
polymorphism, behavioral specialization, and caste formation have evolved several
times throughout the animal kingdom. Recently, such complex sociality has been
recognized in digenean trematodes; evidence is limited to 6 marine species.
Hence, the extent to which a soldier caste is present throughout the Trematoda is
sparsely documented, and there are no studies detailing the structure of a
species lacking such a social structure. Here we examine colony structure for an
additional 5 echinostomoid species, 4 of which infect the marine snail Cerithidea
californica and 1 (Echinostoma liei) that infects the freshwater snail
Biomphalaria glabrata . For all species, we present redia morphology (pharynx and
body size) and the distribution of individuals of different castes throughout the
snail body. When morphological evidence indicated the presence of a soldier
caste, we assessed behavior by measuring attack rates of the different morphs
toward heterospecific trematodes. Our findings indicate that each of the 4
species from C. californica have a permanent soldier caste while E. liei does
not. The observed intra- and inter-specific variation of caste structure for
those species with soldiers, and the documentation of colony structure for a
species explicitly lacking permanent soldiers, emphasizes the diverse nature of
trematode sociality and the promise of the group to permit comparative
investigations of the evolution and ecology of sociality.
PMID- 26560891
TI - Calcareous Bio-Concretions in the Northern Adriatic Sea: Habitat Types,
Environmental Factors that Influence Habitat Distributions, and Predictive
Modeling.
AB - Habitat classifications provide guidelines for mapping and comparing marine
resources across geographic regions. Calcareous bio-concretions and their
associated biota have not been exhaustively categorized. Furthermore, for
management and conservation purposes, species and habitat mapping is critical.
Recently, several developments have occurred in the field of predictive habitat
modeling, and multiple methods are available. In this study, we defined the
habitats constituting northern Adriatic biogenic reefs and created a predictive
habitat distribution model. We used an updated dataset of the epibenthic
assemblages to define the habitats, which we verified using the fuzzy k-means
(FKM) clustering method. Redundancy analysis was employed to model the
relationships between the environmental descriptors and the FKM membership
grades. Predictive modelling was carried out to map habitats across the basin.
Habitat A (opportunistic macroalgae, encrusting Porifera, bioeroders)
characterizes reefs closest to the coastline, which are affected by coastal
currents and river inputs. Habitat B is distinguished by massive Porifera, erect
Tunicata, and non-calcareous encrusting algae (Peyssonnelia spp.). Habitat C (non
articulated coralline, Polycitor adriaticus) is predicted in deeper areas. The
onshore-offshore gradient explains the variability of the assemblages because of
the influence of coastal freshwater, which is the main driver of nutrient
dynamics. This model supports the interpretation of Habitat A and C as the
extremes of a gradient that characterizes the epibenthic assemblages, while
Habitat B demonstrates intermediate characteristics. Areas of transition are a
natural feature of the marine environment and may include a mixture of habitats
and species. The habitats proposed are easy to identify in the field, are related
to different environmental features, and may be suitable for application in
studies focused on other geographic areas. The habitat model outputs provide
insight into the environmental drivers that control the distribution of the
habitat and can be used to guide future research efforts and cost-effective
management and conservation plans.
PMID- 26560892
TI - Advantage of tacrolimus/mycophenolate mofetil regimen for cytotoxic T cell
mediated defence and its inhibition by additive steroid administration in high
risk liver transplant recipients.
AB - Our previous work revealed that the recipients with the highest pre-existing
numbers of CD8(+) effector T cells (TE ) [hyperparathyroidism (HPT)E recipients]
occupied approximately 30% of adult transplant recipients performed in our
hospital. HPTE recipients demonstrated very poor clinical outcome compared with
the remaining 70% of recipients with the lowest pre-existing TE (LPTE recipient).
This study aimed to clarify the best combined immunosuppressive regimen related
to function of cytotoxic T lymphocytes (CTLs) for HPTE recipients. Eighty-one
HPTE recipients were classified into three types, according to the
immunosuppressive regimens: type 1, tacrolimus (Tac)/glucocorticoid (GC); type 2,
Tac/mycophenolate mofetil (MMF)/GC; and type 3, Tac/MMF. Frequencies of severe
infection, rejection and hospital death were the highest in types 1 and 2,
whereas the lowest occurred in type 3. The survival rate in type 3 was the
highest (100%) during follow-up until post-operative day 2000. Regarding the
immunological mechanism, in type 1 TE perforin and interferon (IFN)-gamma were
generated through the self-renewal of CD8(+) central memory T cells (TCM ), but
decreased in the early post-transplant period due to marked down-regulation of
interleukin (IL)-12 receptor beta-1 of TCM. In type 2, the self-renewal TCM did
not develop, and the effector function could not be increased. In type 3, in
contrast, the effectors and cytotoxicity were correlated inversely with IL
12Rbeta1(+) TCM levels, and increased at the highest level around the pre
transplant levels of IL-12Rbeta1(+) TCM . However, the immunological advantage of
Tac/MMF therapy was inhibited strongly by additive steroid administration.
PMID- 26560894
TI - Von Willebrand disease in the emergency department.
AB - BACKGROUND: The emergency department (ED) is often the first medical contact
point for von Willebrand disease (VWD) patients experiencing acute conditions,
notably bleeding. However, knowledge of VWD disease types and management options
by ED providers is uncertain. AIM: To determine the scope of VWD bleeding and
treatment in the ED. METHODS: We evaluated medical record data in an IRB-approved
study from 922 notes accounting for 385 ER visits by 183 VWD patients from a
single large institution's emergency department. RESULTS: Over half the ED visits
were for an active or suspected bleed, the majority of which were associated with
trauma, surgery, epistaxis, gastrointestinal bleeding or gynaecologic bleeding.
By treatment, only 25% of all bleeds, including 77.8% of those with menorrhagia
and 50.0% of those with epistaxis, received DDAVP or von Willebrand factor
concentrate (VWF). The likelihood of receiving treatment was related to referral
source, with the highest rates of treatment in patients referred by a
haematologist. CONCLUSIONS: These data suggest more patient and physician
education are needed in managing patients with VWD, preferably at the time of
diagnosis, including confirmation of the diagnosis, response to DDAVP testing,
indication for DDAVP testing and formulation of a plan for suspected or actual
bleeding.
PMID- 26560895
TI - Molecular Approach to Targeted Therapy for Multiple Sclerosis.
AB - The development and evolution of targeted therapy to any disease require the
identification of targets amenable to treatment of patients. Here the
pathogenetic signalling systems involved in multiple sclerosis are scrutinised to
locate nodes of deregulation and dysfunction in order to devise strategies of
drug development for targeted intervention. Oliogoclonal bands (OCB) are
isoelectric focusing profiles of immunoglobulins synthesised in the central
nervous system. OCBs enable the diagnosis of multiple sclerosis with high
sensitivity and specificity and are related to the course of the disease and
progression. The OCB patterns can be linked with the expression of angiogenic
molecular species. Angiogenic signalling which has also been implicated in
demyelination provides the option of using angiogenesis inhibitors in disease
control. The PI3K (phosphoinositide 3-kinase)/Akt axis has emerged with a key
role in myelination with its demonstrable links with mTOR mediated transcription
of downstream target genes. Inflammatory signals and innate and acquired immunity
from the activation of NF-kappaB (nuclear factor kappaB) responsive genes are
considered. NF-kappaB signalling could be implicated in myelination. The
transcription factor STAT (signal transducers and activators of transcription)
and the EBV (Epstein- Barr virus) transcription factor BZLF1 contributing
significantly to the disease process are a major environmental factor linked to
MS. EBV can activate TGF (transforming growth factor) and VEGF (vascular
endothelial growth factor) signalling. EBV microRNAs are reviewed as signalling
mediators of pathogenesis. Stem cell transplantation therapy has lately gained
much credence, so the current status of mesenchymal and hematopoietic stem cell
therapy is reviewed with emphasis on the differential expression immune-related
genes and operation of signalling systems.
PMID- 26560893
TI - Prognostic value of p16 expression in Epstein-Barr virus-positive nasopharyngeal
carcinomas.
AB - BACKGROUND: Overexpression of p16 is associated with improved outcomes among
patients with oropharyngeal carcinoma. However, its role in the outcomes of
patients with nasopharyngeal cancer (NPC) remains unclear. METHODS: Eighty-six
patients with NPC treated at MD Anderson Cancer Center from 2000 to 2014 were
identified. Epstein-Barr virus (EBV) and human papillomavirus (HPV) status were
determined by in situ hybridization (ISH) and p16 by immunohistochemical
staining. RESULTS: EBV positivity was associated with extended overall survival
(OS; median, 95.0 vs 44.9 months; p < .004), progression-free survival (PFS;
median, 80.4 vs 28.1 months; p < .013), and locoregional control (median, 104.4
vs 65.5 months; p < .043). In patients with EBV-positive tumors, p16
overexpression correlated with improved PFS (median, 106.3 vs 27.1 months; p <
.02) and locoregional control (median, 93.6 vs 64.5 months; p < .02). CONCLUSION:
P16 overexpression is associated with improved PFS and locoregional control in
patients with EBV-positive NPC. P16 expression may complement EBV status in
predicting treatment outcomes for patients with NPC. (c) 2015 Wiley Periodicals,
Inc. Head Neck 38: E1459-E1466, 2016.
PMID- 26560896
TI - Indian Society of Gastroenterology.
PMID- 26560898
TI - Asthma severity, not asthma control, is worse in atopic compared with nonatopic
adolescents with asthma.
AB - BACKGROUND: The effects of atopic and nonatopic asthma phenotypes on asthma
morbidity are unclear. Moreover, asthma morbidity in patients without atopy might
be mediated by immunoglobulin E (IgE). OBJECTIVE: To determine differences in
morbidity in patients with asthma with and without atopy in a population of inner
city adolescents with asthma and to assess the impact of total IgE (tIgE) in this
population. METHODS: Data were obtained from 546 inner-city adolescents in the
Asthma Control Evaluation study. A positive skin prick test reaction to 14
aeroallergens and specific IgE to 5 aeroallergens determined atopic status. High
(>=75th percentile) and low (<=25th percentile) tIgE levels were categorized.
Asthma control (Asthma Control Test) and asthma severity (Composite Asthma
Severity Index [CASI]) were measured at multiple time points over 1 year.
Fractional exhaled nitric oxide (FeNO) and measurements of morbidity also were
collected. Multivariable and repeated measures analyses modeled the relation
between atopic status and morbidity. RESULTS: Baseline CASI scores increased 0.90
point (P < .05) and FeNO increased 0.85 natural logarithmic unit (P < .001) in
participants with vs without atopy. Repeated measures analyses showed consistent
results. Participants without atopy and increased tIgE had FeNO 0.73 natural log
unit higher (P < .01) than low tIgE and a nonsignificant increase in CASI. The
CASI score and FeNO levels were higher for high than for low tIgE in participants
with atopy. CONCLUSION: In this population, participants with atopic asthma had
worse asthma severity and higher FeNO compared with those with nonatopic asthma,
but no difference in control. In all participants, higher tIgE indicated worse
severity and higher FeNO. In this population, asthma severity and FeNO might be
mediated by IgE in the 2 asthma phenotypes.
PMID- 26560897
TI - Membrane Topology and Biochemical Characterization of the Escherichia coli BacA
Undecaprenyl-Pyrophosphate Phosphatase.
AB - Several integral membrane proteins exhibiting undecaprenyl-pyrophosphate (C55-PP)
phosphatase activity were previously identified in Escherichia coli that belonged
to two distinct protein families: the BacA protein, which accounts for 75% of the
C55-PP phosphatase activity detected in E. coli cell membranes, and three members
of the PAP2 phosphatidic acid phosphatase family, namely PgpB, YbjG and LpxT.
This dephosphorylation step is required to provide the C55-P carrier lipid which
plays a central role in the biosynthesis of various cell wall polymers. We here
report detailed investigations of the biochemical properties and membrane
topology of the BacA protein. Optimal activity conditions were determined and a
narrow-range substrate specificity with a clear preference for C55-PP was
observed for this enzyme. Alignments of BacA protein sequences revealed two
particularly well-conserved regions and several invariant residues whose role in
enzyme activity was questioned by using a site-directed mutagenesis approach and
complementary in vitro and in vivo activity assays. Three essential residues
Glu21, Ser27, and Arg174 were identified, allowing us to propose a catalytic
mechanism for this enzyme. The membrane topology of the BacA protein determined
here experimentally did not validate previous program-based predicted models. It
comprises seven transmembrane segments and contains in particular two large
periplasmic loops carrying the highly-conserved active site residues. Our data
thus provide evidence that all the different E. coli C55-PP phosphatases
identified to date (BacA and PAP2) catalyze the dephosphorylation of C55-PP
molecules on the same (outer) side of the plasma membrane.
PMID- 26560899
TI - Phenylephrine hydrochloride modified-release tablets for nasal congestion: a
randomized, placebo-controlled trial in allergic rhinitis patients.
AB - BACKGROUND: Over-the-counter phenylephrine hydrochloride (PEH) is used for relief
of nasal congestion caused by allergic rhinitis; however, data to support its
efficacy are lacking. The US Food and Drug Administration recommended clinical
trials to evaluate the efficacy and safety of PEH in patients with this
condition. OBJECTIVE: To evaluate the efficacy and safety of PEH 30-mg modified
release (MR) tablets in patients with nasal congestion caused by allergic
rhinitis in a multicenter, randomized, double-blinded, placebo-controlled, 2-arm,
parallel-group study. METHODS: Eligible adults at least 18 years old with
documented hypersensitivity to fall pollen allergens were randomized to PEH-MR or
placebo every 12 hours for 7 days from August 30 to October 12, 2011. The primary
end point was mean change from baseline during the entire treatment period in
daily reflective nasal congestion score. Secondary end points included changes in
other symptom score assessments, time to maximal effect, duration of effect, and
quality of life. Safety assessments included adverse events, serious adverse
events, vital signs, physical examination, and electrocardiograms. RESULTS: Of
575 patients, 288 received PEH-MR and 287 received placebo. No significant
beneficial difference was detected between PEH-MR and placebo for the primary end
point (PEH-MR, mean -0.394, SD 0.4880; placebo, mean -0.412, SD 0.5383; P =
.2655). Likewise, no significant differences were observed for most secondary end
points or quality of life. Overall, 89 of 575 patients (15.5%), equally
distributed between the PEH-MR and placebo groups, experienced at least 1
treatment-emergency adverse event. CONCLUSION: PEH-MR 30-mg tablets taken orally
every 12 hours for 7 days is not more efficacious than placebo in relieving nasal
congestion caused by allergic rhinitis. TRIAL REGISTRATION: clinicaltrials.gov,
identifier NCT01413958, protocol CL2011-06.
PMID- 26560900
TI - Essential echocardiographic evaluation in patients with suspected pulmonary
hypertension: an overview for the practicing physician.
AB - Prompt and accurate diagnosis of patients presenting with symptoms suggestive of
pulmonary arterial hypertension (PAH) is of outmost importance as delays in
identifying this clinical entity have detrimental effects on both morbidity and
mortality. Initial noninvasive assessment of these patients has traditionally
included a number of routine tests of which transthoracic echocardiography (TTE)
has been shown to either confirm the presence of structural anomalies of the
right ventricle (RV) indicative of PAH or exclude other potential causes of
pulmonary hypertension (PH). Consequently, TTE has become a well-validated and
readily available imaging tool not only used for this initial screening but also
for routine follow-up of PH patients. Since chronic PH is known to unbalance the
normal hemodynamic and mechanical homeostatic interaction between the RV and
pulmonary circulation; the resulting response is that of an abnormal RV
remodeling, clinically translated into progressive RV hypertrophy and dilatation.
An enlarged and hypertrophied RV not only would eventually lose effective
contractility but also this gradual decline in RV systolic function is the main
abnormality in determining adverse clinical outcomes. Therefore, it is of outmost
importance that TTE examination be comprehensive but most importantly accurate
and reproducible. This review aims to highlight the most important objective
measures that can be routinely employed, without added complexity, that will
certainly enhance the interpretation and advance our understanding of the
hemodynamic and mechanical abnormalities that PH exerts on the RV.
PMID- 26560901
TI - Bowel Management and Quality of Life in Children With Spina Bifida in South
Korea.
AB - Bowel management is a concern in patients with spina bifida. We evaluated the
status of bowel management in children with spina bifida (SB) and the effects on
quality of life (QoL) of children and their caregivers. Data were collected from
173 children with SB between January and June 2011, whose bowel management status
and QoL were assessed using a self-administered questionnaire. Of the 173
children, 38 (22.0%) reported normal defecation, 73 (42.2%) reported constipation
only, and 62 (35.8%) reported fecal incontinence with/without constipation. For
defecation, 59 children (34.1%) used digital stimulation or manual extraction, 28
(16.2%) used suppositories or enemas, 35 (20.3%) used laxatives, 4 (2.3%) used an
antegrade continence enema, and 3 (1.7%) used transanal irrigation. There were
significant differences in QoL, depending on defecation symptoms. Children with
fecal incontinence and their caregivers had difficulties in travel and
socialization (p < .0001), caregivers' emotions (p < .0001), family relationships
(p < .0001), and finances (p < .0001). Constipation and fecal incontinence affect
QoL of children with SB and their caregivers. Therefore, more attention should be
paid to bowel problems and help should be provided to children and their
caregivers to improve QoL.
PMID- 26560902
TI - Role of band 3 in the erythrocyte membrane structural changes under thermal
fluctuations -multi scale modeling considerations.
AB - An attempt was made to discuss and connect various modeling approaches on various
time and space scales which have been proposed in the literature in order to shed
further light on the erythrocyte membrane rearrangement caused by the cortex
lipid bilayer coupling under thermal fluctuations. Roles of the main membrane
constituents: (1) the actin-spectrin cortex, (2) the lipid bilayer, and (3) the
trans membrane protein band 3 and their course-consequence relations were
considered in the context of the cortex non linear stiffening and corresponding
anomalous nature of energy dissipation. The fluctuations induce alternating
expansion and compression of the membrane parts in order to ensure surface and
volume conservation. The membrane structural changes were considered within two
time regimes. The results indicate that the cortex non linear stiffening and
corresponding anomalous nature of energy dissipation are related to the spectrin
flexibility distribution and the rate of its changes. The spectrin flexibility
varies from purely flexible to semi flexible. It is influenced by: (1) the number
of band 3 molecules attached to single spectrin filaments, and (2)
phosphorylation of the actin-junctions. The rate of spectrin flexibility changes
depends on the band 3 molecules rearrangement.
PMID- 26560903
TI - Expression of Vascular Endothelial Growth Factor by Retinal Pigment Epithelial
Cells Induced by Amyloid-beta Is Depressed by an Endoplasmic Reticulum Stress
Inhibitor.
AB - PURPOSE: Amyloid-beta (Abeta) is a 36- to 43-amino-acid peptide that is a
constituent of drusen, and it has been demonstrated to upregulate vascular
endothelial growth factor (VEGF) expression by retinal pigment epithelial (RPE)
cells. This study aimed to determine whether 4-phenylbutyl phosphonylacetate
(PBA), a known endoplasmic reticulum (ER) stress inhibitor, can reduce Abeta
induced expression of VEGF in RPE cells. METHODS: Abeta was added to the medium
of regularly cultured or polarized ARPE-19 cells, a human RPE cell line, with or
without PBA. The levels of VEGF and ER stress markers, namely GRP78/Bip, cleaved
caspases 4 and 12 and GADD153/C-EBP homologous protein, were determined by enzyme
linked immunoassay, immunocytochemistry and Western blotting. RESULTS: Exposure
of ARPE-19 cells to Abeta induced GRP78/Bip expression and activated caspases 4
and 12; however, their expression was decreased by simultaneous exposure to PBA.
Abeta increased the expression of VEGF both in regularly cultured and polarized
ARPE-19 cells, but it was suppressed by PBA. PBA did not cause RPE cell
apoptosis. CONCLUSION: Abeta has been suggested to be involved in the development
of age-related macular degeneration; therefore, our findings suggest that drugs
that target ER stress should be considered for the treatment of age-related
macular degeneration.
PMID- 26560904
TI - Modal wavefront reconstruction from slope measurements for rectangular apertures.
AB - We present a modal wavefront reconstruction from slope measurements for
rectangular optical components of high-power laser systems. Wavefront
reconstruction with slope data is an important approach used for wavefront
control or correction in high-power systems. In this work, we derive a complete
set of orthonormal wavefront slope polynomials for rectangular apertures and
describe the modal method for obtaining wavefront representation with the
aberration balancing property. Error propagation properties for the modal method
are evaluated and compared with the Southwell method. The cross-coupling error is
also discussed. Numerical experiments are conducted to illustrate that the modal
method can achieve a higher accuracy than the Southwell method. In addition, we
also investigate the influence of noise on the modal method compared with that of
the Southwell method.
PMID- 26560905
TI - Sparsity-assisted solution to the twin image problem in phase retrieval.
AB - The problem of iterative phase retrieval from Fourier transform magnitude data
for complex-valued objects is known to suffer from the twin image problem. In
particular, when the object support is centrosymmetric, the iterative solution
often stagnates such that the resultant complex image contains the features of
both the desired solution and its inverted and complex-conjugated replica. In
this work we make an important observation that the ideal solution without the
twin image is typically more sparse in some suitable transform domain as compared
to the stagnated solution. We further show that introducing a sparsity-enhancing
step in the iterative algorithm can address the twin image problem without the
need to change the object support throughout the iterative process even when the
object support is centrosymmetric. In a simulation study, we use binary and gray
scale pure phase objects and illustrate the effectiveness of the sparsity
assisted phase recovery in the context of the twin image problem.
PMID- 26560906
TI - Reconstruction algorithm for fluorescence molecular tomography using sorted L-one
penalized estimation.
AB - Fluorescence molecular tomography (FMT) has been a promising imaging tool that
provides convenience for accurate localization and quantitative analysis of the
fluorescent probe. In this study, we present a reconstruction method combining
sorted L-one penalized estimation with an iterative-shrinking permissible region
strategy to reconstruct fluorescence targets. Both numerical simulation
experiments on a three-dimensional digital mouse model and physical experiments
on a cubic phantom were carried out to validate the accuracy, effectiveness, and
robustness of the proposed method. The results indicate that the proposed method
can produce better location and satisfactory fluorescent yield with computational
efficiency, which makes it a practical and promising reconstruction method for
FMT.
PMID- 26560907
TI - Nonuniqueness of optical theorem detectors.
AB - We demonstrate and discuss the multitude of ways in which the extinct power of a
scatterer can be measured. To tie some of the developed results to the classical
statement of the optical theorem involving the imaginary part of the forward
scattering amplitude, particular attention is given to plane wave excitation. On
the other hand, the general results apply to more general probing fields
including near fields carrying evanescent components. Novel optical theorem
detectors are derived that are based on the Kirchhoff-Helmholtz and Rayleigh
Sommerfeld-based formulations of diffraction, backpropagation, and boundary-value
problems as well as on the canonical multipole expansion. The derived detectors
also lead to novel expressions for the extinct power in terms of the incident and
scattered fields. Applications of the derived results to scattering power sensing
with near-field data are also discussed.
PMID- 26560908
TI - Optimal beam focusing through turbulence.
AB - Beam spread and beam wandering are the most perceptible effects of atmospheric
turbulence on propagating laser beams. The width of the mean irradiance profile
is typically used to characterize the beam spread. This so-called long-term (LT)
statistic allows for a relatively simple theoretical description. However, the LT
beam size is not a very practical measure of the beam spread because its
measurements are sensitive to the movements of the source and detector, and to
the large-scale variations of the refractive index that are not associated with
turbulence. The short-term (ST) beam spread is measured relative to the
instantaneous position of the beam center and is free of these drawbacks, but has
not been studied as thoroughly as the LT spread. We present a theoretical model
for the ST beam irradiance that is based on the parabolic equation for the beam
wave propagation in random media, and the Markov approximation for calculation of
the statistics of the optical field, and discuss an approximation that allows
introduction of the isoplanatic ST point spread function (PSF). Unlike the LT
PSF, the ST PSF depends on the overall beam geometry. This allows optimization of
the initial beam field in terms of minimizing the ST beam size at the observation
plane. Calculations supporting this conjecture are presented for the simple case
of the coherent Gaussian beam, and Kolmogorov turbulence.
PMID- 26560909
TI - Unified beam splitter of fused silica grating under the second Bragg incidence.
AB - A unified design for a 1*2 beam splitter of dielectric rectangular transmission
gratings under the second Bragg incidence is theoretically investigated for TE-
and TM-polarized light. The empirical equations of the relative grating
parameters (ratio of the absolute one to incidence wavelength) for this design
are also obtained with the simplified modal method (SMM). The influences of
polarization of incident light and relative grating parameters on the performance
of the beam splitter are thoroughly studied based on the SMM and rigorous coupled
wave analysis. Two specific gratings are demonstrated with an even split and high
diffraction efficiency (>94% for TE polarization and >97% for the TM
counterpart). The unified profiles of the 1*2 beam splitter are independent from
the incidence wavelength since the refractive index of fused silica is roughly a
constant over a wide range of wavelengths, which should be promising for future
applications.
PMID- 26560910
TI - Free-form lens for rectangular illumination with the target plane rotating at a
certain angle.
AB - We have proposed a method for rectangular illumination in a (u, v) coordinate
system with high collection efficiency and favorable uniformity. In our proposed
approach, with the target plane rotating at a certain angle around the z axis,
one of the diagonals on the rectangular target plane moves to the coordinate
axis; then, we partition the light source and target plane into grids. The
intersection points of the grids are in one-to-one correspondence from the source
to the target plane. This improved method will avoid the one-to-many
correspondence topological relationship in the traditional (u, v) mapping method;
uniformity of the illuminance pattern will be promoted. Based on this method,
lenses are designed for rectangular target plane illumination; uniformity over
0.83 and efficiency of about 0.92 are obtained with a 1 mm*1 mm LED Lambertian
source.
PMID- 26560911
TI - Stitching interferometry of high numerical aperture cylindrical optics without
using a fringe-nulling routine.
AB - Stitching interferometry is a common method for measuring the figure error of
high numerical aperture optics. However, subaperture measurement usually requires
a fringe-nulling routine, thus making the stitching procedure complex and time
consuming. The challenge when measuring a surface without a fringe-nulling
routine is that the rays no longer perpendicularly hit the surface. This
violation of the null-test condition can lead to high fringe density and
introduce high-order misalignment aberrations into the measurement result. This
paper demonstrates that the high-order misalignment aberrations can be
characterized by low-order misalignment aberrations; then, an efficient method is
proposed to separate the high-order misalignment aberrations from subaperture
data. With the proposed method, the fringe-nulling routine is not required.
Instead, the subaperture data is measured under a nonzero fringe pattern. Then,
all possible misalignment aberrations are removed with the proposed method.
Finally, the full aperture map is acquired by connecting all subaperture data
together. Experimental results showing the feasibility of the proposed procedure
are presented.
PMID- 26560912
TI - High-order modes in cavity-resonator-integrated guided-mode resonance filters
(CRIGFs).
AB - Cavity-resonator-integrated guided-mode resonance filters (CRIGFs) are optical
filters based on weak coupling by a grating between a free-space propagating
optical mode and a guided mode, like guided-mode resonance filters (GMRFs). As
compared to GMRFs they offer narrowband reflection with small aperture and high
angular acceptance. We report experimental characterization and theoretical
modeling of unexpected high-order reflected modes in such devices. Using coupled
mode modeling and moire analysis we provide physical insight on key mechanisms
ruling CRIGF properties. This model could serve as a simple and efficient
framework to design new reflectors with tailored spatial and spectral modal
reflectivities.
PMID- 26560913
TI - Analyzing the propagation behavior of scintillation index and bit error rate of a
partially coherent flat-topped laser beam in oceanic turbulence.
AB - In this paper, on the basis of the extended Huygens-Fresnel principle, a
semianalytical expression for describing on-axis scintillation index of a
partially coherent flat-topped (PCFT) laser beam of weak to moderate oceanic
turbulence is derived; consequently, by using the log-normal intensity
probability density function, the bit error rate (BER) is evaluated. The effects
of source factors (such as wavelength, order of flatness, and beam width) and
turbulent ocean parameters (such as Kolmogorov microscale, relative strengths of
temperature and salinity fluctuations, rate of dissipation of the mean squared
temperature, and rate of dissipation of the turbulent kinetic energy per unit
mass of fluid) on propagation behavior of scintillation index, and, hence, on
BER, are studied in detail. Results indicate that, in comparison with a Gaussian
beam, a PCFT laser beam with a higher order of flatness is found to have lower
scintillations. In addition, the scintillation index and BER are most affected
when salinity fluctuations in the ocean dominate temperature fluctuations.
PMID- 26560914
TI - Fast reconstruction of fluorophore concentration variation based on the
derivation of the diffusion equation.
AB - The information of fluorophore concentration variation (FCV) has the potential
for drug development and tumor studies, but the reconstruction of FCV is time
consuming in dynamic fluorescence molecular tomography (DFMT). In this paper, a
time-efficient reconstruction method for FCV is presented. The system equation of
this method is derived from the derivation of the diffusion equation, and its
size does not change with the number of frames. The computational time can be
significantly reduced by using this method because the images of different frames
are reconstructed separately. Simulations and phantom experiments are performed
to validate the performance of the proposed method. The results show that
compared with the previous method, the proposed method can obtain better results
and consumes less computational time with the same number of iterations. In
addition, the time consumption in a single iteration of the proposed method
increases much slower with the number of frames.
PMID- 26560915
TI - Spatial-frequency-based metric for image superresolution.
AB - The image processing technique known as superresolution (SR) has the potential to
allow engineers to specify lower resolution and, therefore, less expensive
cameras for a given task by enhancing the base camera's resolution. This is
especially true in the remote detection and classification of objects in the
environment, such as aircraft or human faces. Performing each of these tasks
requires a minimum image "sharpness" which is quantified by a maximum resolvable
spatial frequency, which is, in turn, a function of the camera optics, pixel
sampling density, and signal-to-noise ratio. Much of the existing SR literature
focuses on SR performance metrics for candidate algorithms, such as perceived
image quality or peak SNR. These metrics can be misleading because they also
credit deblurring and/or denoising in addition to true SR. In this paper, we
propose a new, task-based metric where the performance of an SR algorithm is,
instead, directly tied to the probability of successfully detecting critical
spatial frequencies within the scene.
PMID- 26560916
TI - Computational photography with plenoptic camera and light field capture:
tutorial.
AB - Photography is a cornerstone of imaging. Ever since cameras became consumer
products more than a century ago, we have witnessed great technological progress
in optics and recording mediums, with digital sensors replacing photographic
films in most instances. The latest revolution is computational photography,
which seeks to make image reconstruction computation an integral part of the
image formation process; in this way, there can be new capabilities or better
performance in the overall imaging system. A leading effort in this area is
called the plenoptic camera, which aims at capturing the light field of an
object; proper reconstruction algorithms can then adjust the focus after the
image capture. In this tutorial paper, we first illustrate the concept of
plenoptic function and light field from the perspective of geometric optics. This
is followed by a discussion on early attempts and recent advances in the
construction of the plenoptic camera. We will then describe the imaging model and
computational algorithms that can reconstruct images at different focus points,
using mathematical tools from ray optics and Fourier optics. Last, but not least,
we will consider the trade-off in spatial resolution and highlight some research
work to increase the spatial resolution of the resulting images.
PMID- 26560917
TI - Iterative color constancy with temporal filtering for an image sequence with no
relative motion between the camera and the scene.
AB - Color constancy is the ability to perceive the color of a surface as invariant
even under changing illumination. In outdoor applications, such as mobile robot
navigation or surveillance, the lack of this ability harms the segmentation,
tracking, and object recognition tasks. The main approaches for color constancy
are generally targeted to static images and intend to estimate the scene
illuminant color from the images. We present an iterative color constancy method
with temporal filtering applied to image sequences in which reference colors are
estimated from previous corrected images. Furthermore, two strategies to sample
colors from the images are tested. The proposed method has been tested using
image sequences with no relative movement between the scene and the camera. It
also has been compared with known color constancy algorithms such as gray-world,
max-RGB, and gray-edge. In most cases, the iterative color constancy method
achieved better results than the other approaches.
PMID- 26560918
TI - Influence of imaging resolution on color fidelity in digital archiving.
AB - Color fidelity is of paramount importance in digital archiving. In this paper,
the relationship between color fidelity and imaging resolution was explored by
calculating the color difference of an IT8.7/2 color chart with a CIELAB color
difference formula for scanning and simulation images. Microscopic spatial
sampling was used in selecting the image pixels for the calculations to highlight
the loss of color information. A ratio, called the relative imaging definition
(RID), was defined to express the correlation between image resolution and color
fidelity. The results show that in order for color differences to remain
unrecognizable, the imaging resolution should be at least 10 times higher than
the physical dimension of the smallest feature in the object being studied.
PMID- 26560919
TI - Measurement of transmission and reflection from a thick anisotropic crystal
modeled by a sum of incoherent partial waves.
AB - Formulas for modeling ellipsometric measurements of bianisotropic crystals assume
perfectly coherent plane wave illumination. As such, the finite coherence of
typical spectroscopic ellipsometers renders such formulas invalid for crystals
thicker than a few micrometers. Reflection measurements of thick crystalline
slabs show depolarization. Researchers have proposed strategies for the full
accounting for multiply reflected incoherent waves in anisotropic, arbitrarily
oriented crystals [Appl. Opt.41, 2521 (2002).APOPAI0003
693510.1364/AO.41.002521], but to the best of our knowledge these methods have
not been tested by explicit measurements. It is shown that by a summation of
multiply reflected incoherent waves, transmission and reflection measurements of
thick quartz slabs can be interpreted in terms of the constitutive material
parameters.
PMID- 26560920
TI - Generation and propagation of an electromagnetic Gaussian Schell-model vortex
beam.
AB - We outline the propagation of an electromagnetic Gaussian Schell-model (EGSM)
vortex beam through a paraxial ABCD optical system and analyze the vortex phase
induced changes of the statistical properties, such as average intensity, state
of polarization, and degree of polarization (DOP), of a focused EGSM beam. It is
found that one can shape the beam profile of an EGSM vortex beam in the focal
plane through varying its initial topological charge, DOP, and coherence widths.
Furthermore, we first report experimental generation of an EGSM vortex beam and
measure its focusing properties in experiments. Our experimental results are
consistent with the numerical results and may be useful in material thermal
processing and particle trapping.
PMID- 26560921
TI - Long-distance Bessel beam propagation through Kolmogorov turbulence.
AB - Free-space optical communication has the potential to transmit information with
both high speed and security. However, since it is unguided it suffers from
losses due to atmospheric turbulence and diffraction. To overcome the diffraction
limits the long-distance propagation of Bessel beams is considered and compared
against Gaussian beam properties. Bessel beams are shown to have a number of
benefits over Gaussian beams when propagating through atmospheric turbulence.
PMID- 26560922
TI - Rapid calculation of paraxial wave propagation for cylindrically symmetric
optics.
AB - When calculating the focusing properties of cylindrically symmetric focusing
optics, numerical wave propagation calculations can be carried out using the
quasi-discrete Hankel transform (QDHT). We describe here an implementation of the
QDHT where a partial transform matrix can be stored to speed up repeated wave
propagations over specified distances, with reduced computational memory
requirements. The accuracy of the approach is then verified by comparison with
analytical results, over propagation distances with both small and large Fresnel
numbers. We then demonstrate the utility of this approach for calculating the
focusing properties of Fresnel zone plate optics that are commonly used for x-ray
imaging applications and point to future applications of this approach.
PMID- 26560923
TI - Comparative analysis of imaging configurations and objectives for Fourier
microscopy.
AB - Fourier microscopy is becoming an increasingly important tool for the analysis of
optical nanostructures and quantum emitters. However, achieving quantitative
Fourier space measurements requires a thorough understanding of the impact of
aberrations introduced by optical microscopes that have been optimized for
conventional real-space imaging. Here we present a detailed framework for
analyzing the performance of microscope objectives for several common Fourier
imaging configurations. To this end, we model objectives from Nikon, Olympus, and
Zeiss using parameters that were inferred from patent literature and confirmed,
where possible, by physical disassembly. We then examine the aberrations most
relevant to Fourier microscopy, including the alignment tolerances of apodization
factors for different objective classes, the effect of magnification on the
modulation transfer function, and vignetting-induced reductions of the effective
numerical aperture for wide-field measurements. Based on this analysis, we
identify an optimal objective class and imaging configuration for Fourier
microscopy. In addition, the Zemax files for the objectives and setups used in
this analysis have been made publicly available as a resource for future studies.
PMID- 26560924
TI - Color-weak compensation using local affine isometry based on discrimination
threshold matching.
AB - We develop algorithms for color-weak compensation and color-weak simulation based
on Riemannian geometry models of color spaces. The objective function introduced
measures the match of color discrimination thresholds of average normal observers
and a color-weak observer. The developed matching process makes use of local
affine maps between color spaces of color-normal and color-weak observers. The
method can be used to generate displays of images that provide color-normal and
color-weak observers with a similar color difference experience. It can also be
used to simulate the perception of a color-weak observer for color-normal
observers. We also introduce a new database of measurements of color
discrimination threshold data for color-normal and color-weak observers obtained
at different lightness levels in CIELUV space. The compensation methods include
compensations of chromaticity using local affine maps between chromaticity planes
of color-normal and color-weak observers, and one-dimensional (1D) compensation
on lightness. We describe how to determine correspondences between the origins of
local coordinates in color spaces of color-normal and color-weak observers using
a neighborhood expansion method. After matching the origins of the two coordinate
systems, a local affine map is estimated by solving a nonlinear equation, or
singular-value-decomposition (SVD). We apply the methods to natural images and
evaluate their performance using the semantic differential (SD) method.
PMID- 26560925
TI - Propagation properties of right-hand circularly polarized Airy-Gaussian beams
through slabs of right-handed materials and left-handed materials.
AB - The propagation of right-hand circularly polarized Airy-Gaussian beams
(RHCPAiGBs) through slabs of right-handed materials (RHMs) and left-handed
materials (LHMs) is investigated analytically and numerically with the transfer
matrix method. An approximate analytical expression for the RHCPAiGBs passing
through a paraxial ABCD optical system is derived on the basis of the Huygens
diffraction integral formula. The intensity and the phase distributions of the
RHCPAiGBs through RHMs and LHMs are demonstrated. The influence of the parameter
chi0 on the propagation of RHCPAiGBs through RHM and LHM slabs is
investigated. The RHCPAiGBs possess transverse-momentum currents, which shows
that the physics underlying this intriguing accelerating effect is that of the
combined contributions of the transverse spin and transverse orbital currents.
Additionally, we go a step further to explore the radiation force including the
gradient force and scattering force of the RHCPAiGBs.
PMID- 26560926
TI - Uniform refraction in negative refractive index materials.
AB - We study the problem of constructing an optical surface separating two
homogeneous, isotropic media, one of which has a negative refractive index. In
doing so, we develop a vector form of Snell's law, which is used to study
surfaces possessing a certain uniform refraction property, in both the near- and
far-field cases. In the near-field problem, unlike the case when both materials
have positive refractive indices, we show that the resulting surfaces can be
neither convex nor concave.
PMID- 26560927
TI - High-resolution 3D phase imaging using a partitioned detection aperture: a wave
optic analysis.
AB - Quantitative phase imaging has become a topic of considerable interest in the
microscopy community. We have recently described one such technique based on the
use of a partitioned detection aperture, which can be operated in a single shot
with an extended source [Opt. Lett.37, 4062 (2012)OPLEDP0146
959210.1364/OL.37.004062]. We follow up on this work by providing a rigorous
theory of our technique using paraxial wave optics, where we derive fully 3D
spread functions for both phase and intensity. Using these functions, we discuss
methods of phase reconstruction for in- and out-of-focus samples, insensitive to
weak attenuations of light. Our approach provides a strategy for detection
limited lateral resolution with an extended depth of field and is applicable to
imaging smooth and rough samples.
PMID- 26560928
TI - Smart light random memory sprays Retinex: a fast Retinex implementation for high
quality brightness adjustment and color correction.
AB - Removing the influence of illumination on image colors and adjusting the
brightness across the scene are important image enhancement problems. This is
achieved by applying adequate color constancy and brightness adjustment methods.
One of the earliest models to deal with both of these problems was the Retinex
theory. Some of the Retinex implementations tend to give high-quality results by
performing local operations, but they are computationally relatively slow. One of
the recent Retinex implementations is light random sprays Retinex (LRSR). In this
paper, a new method is proposed for brightness adjustment and color correction
that overcomes the main disadvantages of LRSR. There are three main contributions
of this paper. First, a concept of memory sprays is proposed to reduce the number
of LRSR's per-pixel operations to a constant regardless of the parameter values,
thereby enabling a fast Retinex-based local image enhancement. Second, an
effective remapping of image intensities is proposed that results in
significantly higher quality. Third, the problem of LRSR's halo effect is
significantly reduced by using an alternative illumination processing method. The
proposed method enables a fast Retinex-based image enhancement by processing
Retinex paths in a constant number of steps regardless of the path size. Due to
the halo effect removal and remapping of the resulting intensities, the method
outperforms many of the well-known image enhancement methods in terms of
resulting image quality. The results are presented and discussed. It is shown
that the proposed method outperforms most of the tested methods in terms of image
brightness adjustment, color correction, and computational speed.
PMID- 26560929
TI - Non-dyadic fisheye lens correction model for image enhancement.
AB - This paper presents a non-dyadic framework to improve example-based enhancement
of radially distorted images acquired by a very wide-angle lens. In order to
remove both jagging and blurring artifacts in the correction process of the
fisheye lens' barrel distortion, the proposed method first performs non-dyadic or
multiple-step geometric correction based on the parabolic equation-based lens
distortion model. At each correction step, an example-based image enhancement
method removes undesired geometric correction artifacts such as jagging and
blurring. Experimental results demonstrate that the proposed method outperforms
existing fisheye lens image enhancement methods in the sense of both subjective
and objective measures. Based on both theoretical advancement and experimental
results, the proposed method can be used for various wide-view imaging
applications including vehicle front- and rear-view cameras and wide-angle video
surveillance systems.
PMID- 26560930
TI - Plane wave analysis of coherent holographic image reconstruction by phase
transfer (CHIRPT).
AB - Fluorescent imaging plays a critical role in a myriad of scientific endeavors,
particularly in the biological sciences. Three-dimensional imaging of fluorescent
intensity often requires serial data acquisition, that is, voxel-by-voxel
collection of fluorescent light emitted throughout the specimen with a nonimaging
single-element detector. While nonimaging fluorescence detection offers some
measure of scattering robustness, the rate at which dynamic specimens can be
imaged is severely limited. Other fluorescent imaging techniques utilize imaging
detection to enhance collection rates. A notable example is light-sheet
fluorescence microscopy, also known as selective-plane illumination microscopy,
which illuminates a large region within the specimen and collects emitted
fluorescent light at an angle either perpendicular or oblique to the illumination
light sheet. Unfortunately, scattering of the emitted fluorescent light can cause
blurring of the collected images in highly turbid biological media. We recently
introduced an imaging technique called coherent holographic image reconstruction
by phase transfer (CHIRPT) that combines light-sheet-like illumination with
nonimaging fluorescent light detection. By combining the speed of light-sheet
illumination with the scattering robustness of nonimaging detection, CHIRPT is
poised to have a dramatic impact on biological imaging, particularly for in vivo
preparations. Here we present the mathematical formalism for CHIRPT imaging under
spatially coherent illumination and present experimental data that verifies the
theoretical model.
PMID- 26560931
TI - Fourier optics analysis of phase-mask-based path-length-multiplexed optical
coherence tomography.
AB - Optical coherence tomography (OCT) is an imaging technique that constructs a
depth-resolved image by measuring the optical path-length difference between
broadband light backscattered from a sample and a reference surface. For many OCT
sample arm optical configurations, sample illumination and backscattered light
detection share a common path. When a phase mask is placed in the sample path,
features in the detected signal are observed, which suggests that an analysis of
a generic common path OCT imaging system is warranted. In this study, we present
a Fourier optics analysis using a Fresnel diffraction approximation of an OCT
system with a path-length-multiplexing element (PME) inserted in the sample arm
optics. The analysis may be generalized for most phase-mask-based OCT systems. A
radial-angle-diverse PME is analyzed in detail, and the point spread function,
coherent transfer function, sensitivity of backscattering angular diversity
detection, and signal formation in terms of sample spatial frequency are
simulated and discussed. The analysis reveals important imaging features and
application limitations of OCT imaging systems with a phase mask in the sample
path optics.
PMID- 26560932
TI - Compressive spectral polarization imaging by a pixelized polarizer and colored
patterned detector.
AB - A compressive spectral and polarization imager based on a pixelized polarizer and
colored patterned detector is presented. The proposed imager captures several
dispersed compressive projections with spectral and polarization coding. Stokes
parameter images at several wavelengths are reconstructed directly from 2D
projections. Employing a pixelized polarizer and colored patterned detector
enables compressive sensing over spatial, spectral, and polarization domains,
reducing the total number of measurements. Compressive sensing codes are
specially designed to enhance the peak signal-to-noise ratio in the reconstructed
images. Experiments validate the architecture and reconstruction algorithms.
PMID- 26560933
TI - Slot plasmonic waveguide based on doped-GaAs for terahertz deep-subwavelength
applications.
AB - A new plasmonic waveguide for deep-subwavelength field localization at the
terahertz (THz) range of frequency is proposed. GaAs with optimum doping level is
used as the plasmonic material. The waveguide structure is a narrow slot in a
thin GaAs film on top of the quartz substrate. The waveguide characteristics are
analyzed, and its dimensions are optimized to minimize the losses. It is shown
that the mode size of the proposed waveguide is less than lambda/16 by lambda/16.
The proposed plasmonic waveguide can be a platform for numerous THz plasmonic
based integrated devices, such as integrated sensors and imagers.
PMID- 26560934
TI - Temperature variance dissipation equation and its relevance for optical
turbulence modeling.
AB - The 3D spectrum Phi(kappa) of the turbulent air temperature fluctuations is a key
quantity for the physics of optical propagation through the turbulent atmosphere.
The standard model, which was derived in the 1950s by Tatarskii from the Obukhov
Corrsin theory of homogeneous and isotropic turbulence, is
Phi(kappa)=0.033CT2kappa(-11/3)h(kappal(0)), where kappa=|kappa| is the
wavenumber, CT2 is the temperature structure parameter, l(0) is the inner
temperature scale, and h(kappal(0) is a universal function that approaches 1 for
wavenumbers in the inertial range and drops to zero for kappal(0)?1. Certain
performance characteristics of optical systems, such as the scintillation index
for small receiving apertures, depend sensitively on the functional form of h(y)
at y~1. During the last 70 years, the optical-turbulence community has developed
and applied various heuristic h(y) models. There is a constraint that any valid
h(y) model has to fulfill: ?0infinityh(y)y(1/3)dy=(27/10)Gamma(1/3)=7.233. This
constraint is a dimensionless form of the spectral temperature variance
dissipation equation, which follows directly from first-principle fluid
mechanics. We show that Tatarskii's cutoff (1961) and Gaussian (1971) models
fulfill this constraint, while three more recent models, including the widely
used Andrews model [J. Mod. Opt.39, 1849 (1992)JMOPEW0950
034010.1080/09500349214551931], do not. The dissipation constraint can be used to
"recalibrate" the coefficients in these models.
PMID- 26560935
TI - Intrinsic parameter determination of a paracatadioptric camera by the
intersection of two sphere projections.
AB - In this paper, a linear calibration method is proposed for a paracatadioptric
camera using the images of two spheres. Two spheres are selected in space, and
the two groups of their projection circles on the unit viewing sphere are made to
intersect at four points. The quadrilateral consisting of four points is a
rectangle, so a group of orthogonal directions can be determined in space to
obtain a group of orthogonal vanishing points in the paracatadioptric image
plane. Because of the relationship between orthogonal vanishing points and
intrinsic camera parameters, the intrinsic parameters of a paracatadioptric
camera can be linearly solved by at least five views satisfying the above
conditions. First, one estimates the sphere images and their antipodal sphere
images. Second, by solving the intersection of the images of two spheres and the
intersection of the images of their antipodal spheres, a group of orthogonal
vanishing points can be obtained in the image plane. Finally, by taking the
relationship between the orthogonal vanishing points and the intrinsic camera
parameters as constraint conditions, the intrinsic parameters of the
paracatadioptric camera can be obtained. Simulation results and real image data
demonstrate the effectiveness of our new algorithms.
PMID- 26560936
TI - Determining the size and refractive index of microspheres using the mode
assignments from Mie resonances.
AB - A new method for determining the radius and refractive index of microspheres
using Mie resonances is presented. Previous methods have relied on searching
multidimensional space to find the radius and refractive index that minimize the
difference between observed and calculated Mie resonances. For anything but
simple refractive index functions, this process can be very time consuming. Here,
we demonstrate that once the mode assignment for the observed Mie resonances is
known, no search is necessary, and the radius and refractive index of best-fit
can be found immediately. This superior and faster way to characterize
microspheres using Mie resonances should supplant previous fitting algorithms.
The derivation and implementation of the equations that give the parameters of
best-fit are shown and discussed. Testing is performed on systems of physical
interest, and the effect of noise on measured peak positions is investigated.
PMID- 26560937
TI - Multi-resolution subspace-based optimization method for solving three-dimensional
inverse scattering problems.
AB - An innovative methodology is proposed to solve quantitative three-dimensional
microwave imaging problems formulated within the contrast source framework. The
introduced technique is based on the combination of an efficient iterative
multiscaling strategy aimed at mitigating local minimum issue arising in inverse
scattering problems, and a local search algorithm based on the subspace-based
optimization method (SOM) devoted to effectively retrieving both the
"deterministic" and the "ambiguous" parts of the unknown contrast currents. To
achieve this goal, a nested iteration process is adopted in which the outer loop
iteratively refines the region of interest (ROI) where the scatterers are
detected, while the inner loop retrieves the dielectric properties of the
scatterers within the ROIs. Selected numerical examples are also given to show
the validity and robustness of the proposed algorithm in comparison with state-of
the-art techniques.
PMID- 26560938
TI - Designing illumination lenses and mirrors by the numerical solution of Monge
Ampere equations.
AB - We consider the inverse refractor and the inverse reflector problem. The task is
to design a free-form lens or a free-form mirror that, when illuminated by a
point light source, produces a given illumination pattern on a target. Both
problems can be modeled by strongly nonlinear second-order partial differential
equations of Monge-Ampere type. In [Math. Models Methods Appl. Sci.25, 803
(2015)MMMSEU0218-202510.1142/S0218202515500190], the authors have proposed a B
spline collocation method, which has been applied to the inverse reflector
problem. Now this approach is extended to the inverse refractor problem. We
explain in depth the collocation method and how to handle boundary conditions and
constraints. The paper concludes with numerical results of refracting and
reflecting optical surfaces and their verification via ray tracing.
PMID- 26560939
TI - Region-based active contours with cosine fitting energy for image segmentation.
AB - In this paper, by employing the cosine function to express the so-called data
fitting term in traditional active contour models, we propose an active contour
model with the global cosine fitting energy for segmenting synthetic and real
world images. After that, in order to segment the image with intensity
inhomogeneity, we extend the proposed global model to the local cosine fitting
energy. In addition, we introduce level set regularization terms into the
proposed models to avoid the expensive computational cost which is usually caused
by the reinitialization of the evolving level set function. Experimental results
indicate that the proposed models are accurate and effective when applied to
segment different types of images. Moreover, our models are more efficient and
robust for segmenting the images with strong noise and clutter than the Chan-Vese
model and the local binary fitting model.
PMID- 26560940
TI - Lessons from black pepper: piperine and derivatives thereof.
AB - INTRODUCTION: Piperine is a simple and pungent alkaloid found in the seeds of
black pepper (Piper nigrum). Following its isolation and full characterization,
the biological properties of piperine have been extensively studied, and piperine
like derivatives have shown an interesting range of pharmacological activities.
In this context, significant advances have been made in the discovery of new
chemical entities based on the piperine scaffold endowed with therapeutic
potential. AREAS COVERED: The aim of this review is to provide a thorough inquiry
on the therapeutic potential of piperine and related derivatives. It provides an
overview of recent developments in patented processes and applications thereof
between 2000 and 2015. EXPERT OPINION: Cumulative evidence shows that piperine is
currently paving its way to become a privileged scaffold for the development of
bioactive compounds with therapeutic application in multiple human diseases. In
particular, piperine derivatives were shown to modulate the activity of several
targets related to neurological disorders, including epilepsy, Parkinson's
disease, depression and pain related disorders. Moreover, the efflux pump
inhibitory ability of piperine and its analogues tackles important drug
resistance mechanisms and may improve the clinical efficacy of antibiotic and
anticancer drugs. Although the use of piperine as a scaffold for bioactive
compounds is still in its early stages, the continuous exploration of this
structure may lead to remarkable advances in drug discovery programs.
PMID- 26560941
TI - Cancellation of the zeroth order by a low-contrast grating.
AB - The cancellation of the 0th order is described by a low-contrast fused-silica
grating. In reported works, the high-contrast grating and complicated structure
were applied with reasonable and excellent performance. However, the low-contrast
grating is proved that it can also cancel the 0th order with the period more than
2lambda in this paper. Grating parameters are optimized by using rigorous coupled
wave analysis, whose physical essence for cancellation of the 0th order can be
well explained by modal method. The fabrication tolerance is investigated for
production of the low-contrast grating for cancellation of the 0th order, which
can be potentially used for writing the fiber Bragg grating.
PMID- 26560943
TI - Internet video chat (Skype) family conversations as a treatment of agitation in
nursing home residents with dementia.
PMID- 26560942
TI - Menin localization in cell membrane compartment.
AB - Menin is encoded by the MEN1 gene, which is mutated in an inherited human
syndrome, multiple endocrine neoplasia type 1(MEN1). Menin is primarily nuclear
protein, acting as a tumor suppressor in endocrine organs, but as an oncogenic
factor in the mixed lineage leukemia, in a tissue-specific manner. Recently, the
crystal structures of menin with different binding partners reveal menin as a key
scaffold protein that functionally interacts with various partners to regulate
gene transcription in the nucleus. However, outside the nucleus, menin also
regulates multiple signaling pathways that traverse the cell surface membrane.
The precise nature regarding to how menin associates with the membrane fraction
is poorly understood. Here we show that a small fraction of menin associates with
the cell membrane fraction likely via serine palmitoylation. Moreover, the
majority of the membrane-associated menin may reside inside membrane vesicles, as
menin is protected from trypsin-mediated proteolysis, but disruption of the
membrane fraction using detergent abolishes the detection. Consistently, cellular
staining for menin also reveals the distribution of menin in the cell membrane
and the punctate-like cell organelles. Our findings suggest that part of
intracellular menin associates with the cell membrane peripherally as well as
resides within the membrane vesicles.
PMID- 26560944
TI - [History of Guillain-Barre Syndrome].
AB - Guillain-Barre syndrome (GBS) is an acute self-limited polyneuropathy named after
Guillain, Barre, and Strohl, who first reported it in 1916. GBS was considered a
demyelinating disease until the 1980s, when the acute axonal type of GBS was
first reported. Since then, acute inflammatory demyelinating polyneuropathy and
acute motor axonal neuropathy have been considered the two main subtypes of GBS.
Autoimmunity underlies the pathogenesis of GBS. The presence of antibodies
against various glycolipids in the acute-phase sera from patients with GBS has
frequently been reported since the late 1980s. The effectiveness of
plasmapheresis and intravenous immunoglobulin therapy has been established since
the mid-1980s. However, severe or refractory cases still occur and further
investigation is necessary for the development of novel treatments that are
effective for such cases.
PMID- 26560945
TI - [Epidemiology of Guillain-Barre Syndrome].
AB - The epidemiologic features of the Guillain-Barre syndrome (GBS) have been
reported from North America, England, Iceland, and Norway before 1979. The
population incidence rates of GBS fulfilling the NINCDS (National Institute of
Neurological and Communicative Disorders and Stroke) criteria in USA, Canada,
Italy, Spain, and Sweden ranged from 0.62 cases to 2.66 cases per 100,000 person
years across all age groups, with a relative risk of 1.78 for males. GBS
incidence increased by 20% for every 10-year increase in age. In Japan, the
incidence of GBS was 1.15 per 100,000 person-years. Males were affected 1.5 times
more frequently than females. The average age of patients with GBS was 39.1 +/-
20.0 years, which is lesser than that in North America and Europe. The relative
ratio of the occurrence of Fisher syndrome among patients with GBS was higher
than that in other Asian countries.
PMID- 26560946
TI - [Clinical Phenotypes in Guillain-Barre Syndrome].
AB - Guillain-Barre syndrome can be classified into several phenotypes according to
the type of predominantly disturbed nerve fibers, distribution of muscular
weakness, and electrophysiological and pathological findings. Although several
regional variants including Fisher syndrome and pharyngeal-cervical-brachial
weakness were initially reported in Western researchers, many labor-intensive
studies by Japanese researchers have significantly contributed to defining and
highlighting these variants. This review summarizes the several regional variants
of Guillain-Barre syndrome while highlighting the substantial contributions made
by Japanese investigators. Furthermore, a new regional variant named "distal limb
weakness" is proposed, in which regional weakness of hands and feet is observed
throughout the disease course. It is considered a mild phenotype of acute axonal
motor neuropathy after Campylobacter jejuni enteritis.
PMID- 26560947
TI - [Neurophysiology in Guillain-Barre syndrome].
AB - The electrodiagnostic features for the demyelinating and axonal subtypes of
Guillain-Barre syndrome (GBS) were described. In the early stage of demyelinating
GBS, the most prominent neurophysiologic feature is the patchy demyelination in
the peripheral nerves. Conduction slowing presents in the clinical recovery
stage, which indicates the conduction slowing is due to mainly remyelination.
Axonal GBS shows "reversible conduction failure", as well as primary axonal
degeneration. "Reversible conduction failure" is thought to be the most common
cause of the underestimation of axonal GBS. The electrodiagnostic criteria for
GBS subtypes should be revised based on the knowledge acquired in recent years.
PMID- 26560948
TI - [Pathology of the Peripheral Nervous System in Guillain-Barre Syndrome].
AB - Guillain-Barre syndrome is composed of two distinct clinicopathological entities:
acute inflammatory demyelinating polyradiculoneuropathy (AIDP), and acute motor
or motor and sensory axonal neuropathy (AMAN and AMSAN). AIDP is characterized by
the patchily distributed demyelinative foci throughout the peripheral nervous
system (PNS), whereas in AMAN/AMSAN primary axonal degeneration is observed in
the PNS, particularly accentuated at the spinal nerve roots. The aim of this
article is to provide an overview of previous findings regarding GBS pathology
and thus, to elucidate the pathomechanisms of this life-threatening disorder. The
most critical cause for AIDP may be the autoimmune attack on the Schwann cell
membrane wrapping the myelinated nerve fibers, and that in AMAN/AMSAN may be an
antibody-mediated attack on the axolemma at the nodes of Ranvier.
PMID- 26560949
TI - [Molecular Mimicry and Guillain-Barre Syndrome].
AB - One-thirds of patients develop Guillain-Barre syndrome subsequent to
Campylobacter jejuni enteritis. Molecular mimicry exists between C. jejuni lipo
oligosaccharides and human peripheral nerve gangliosides GM1 and GD1a. IgG
antibodies against GM1 or GD1a are produced in one out of 5,000 patients with C.
jejuni enteritis. The autoantibodies bind to gangliosides at the nodes of Ranvier
in the peripheral motor nerves and activate complement in situ. This is followed
by the disappearance of the voltage-gated sodium channel clusters at the nodes
and disruption of axo-glial junctions at the paranodes. This results in the
development of motor nerve conduction failure and muscle weakness in the four
limbs.
PMID- 26560950
TI - [Autoantibodies in Guillain-Barre Syndrome].
AB - Serum antibodies against glycolipids, mainly gangliosides, are detected in about
60% of patients with Guillain-Barre syndrome (GBS) and its variants. Anti
glycolipid antibodies play a crucial role in the pathogenic mechanisms of GBS.
The antibody titer is the highest in the acute phase and decreases gradually.
Molecular mimicries occur between the glycolipids and surface molecules on the
infectious agents. Clinical subtypes of GBS are related to the antigenic
specificities of the antibodies. The distribution of gangliosides in peripheral
nervous tissues could explain the different clinical manifestations. The anti
GQ1b antibody is detected in 80-90% of patients with Fisher syndrome
characterized by ophthalmoplegia. GQ1b is localized in the paranodes of the human
cranial nerves innervating the extraocular muscles. This is consistent with the
clinical association between the anti-GQ1b antibody and ophthalmoplegia. The anti
GM1 antibody is associated with acute motor axonal neuropathy, whereas the anti
GD1b antibody is detected in acute sensory ataxic neuropathy. GBS animal models
sensitized by gangliosides, such as GM1 or GD1b, develop monophasic peripheral
neuropathies. In the animal models, disruption of molecule clusters and
deposition of complement products were observed in the nodal and paranodal
regions. Clinical and experimental data suggest complement-mediated pathogenic
mechanisms triggered by anti-glycolipid antibodies in GBS.
PMID- 26560951
TI - [Target Molecule for a Demyelinating Type of Guillain-Barre Syndrome, Acute
Inflammatory Demyelinating Polyneuropathy].
AB - Guillain-Barre syndrome is classified into demyelinating type, acute inflammatory
demyelinating polyneuropathy (AIDP) and axonal form, acute axonal motor
neuropathy (AMAN). It has been clearly established that the target molecule for
the former is a ganglioside. In contrast, despite years of effort, the target
molecule for the latter has not been identified. Recently, molecules around the
nodes of Ranvier have entered the spotlight, and "moesin" was reported to be a
target molecule for cytomegalovirus associated-AIDP.
PMID- 26560952
TI - [Fisher Syndrome and Bickerstaff Brainstem Encephalitis].
AB - Fisher syndrome has been regarded as a peculiar inflammatory neuropathy with
ophthalmoplegia, ataxia, and areflexia, whereas Bickerstaff brainstem
encephalitis has been considered a pure central nervous system disease
characterized by ophthalmoplegia, ataxia, and consciousness disturbance. Both
disorders share common features including preceding infection, albumin
cytological dissociation, and association with Guillain-Barre syndrome. The
discovery of anti-GQ1b IgG antibodies further supports the view that the two
disorders represent a single disease spectrum. The lesions in Fisher syndrome and
Bickerstaff brainstem encephalitis are presumably determined by the expression of
ganglioside GQ1b in the human peripheral and central nervous systems. Bickerstaff
brainstem encephalitis is likely to represent a variant of Fisher syndrome with
central nervous system involvement.
PMID- 26560953
TI - [Acute Sensory Neuropathies and Acute Autonomic Neuropathies].
AB - From the perspective of neuropathies with an acute onset mimicking that of
Guillain-Barre syndrome (GBS), cases with profound sensory and/or autonomic
impairment without any significant weakness have been reported. Although the
possibility of infectious or toxic etiologies should be carefully excluded,
immune mechanisms similar to those in GBS are suggested to be involved in these
so-called acute sensory neuropathies and acute autonomic neuropathies. The types
of neuropathy include those with predominant sensory manifestations, predominant
autonomic manifestations such as autoimmune autonomic ganglionopathy, and both
sensory and autonomic manifestations such as acute autonomic and sensory
neuropathy. Neuronopathy in the sensory and/or autonomic ganglia (i.e.,
ganglionopathy) has been commonly suggested in patients with these types of
neuropathies. The presence of Anti-GD1b antibodies has been reported in some of
the patients with acute sensory neuropathy with deep sensory impairment, whereas
anti-ganglionic acetylcholine receptor antibodies are reported to be present in
half of the patients with autoimmune autonomic ganglionopathy. The discovery of
anti-ganglionic acetylcholine receptor antibodies significantly expanded the
spectrum of autoimmune autonomic ganglionopathy. This is because some of the
patients with chronic progression mimicking neurodegenerative diseases such as
pure autonomic failure were positive for these antibodies. In contrast,
pathologically significant autoantibodies have not been identified in acute
autonomic and sensory neuropathy. Further studies are needed to clarify the
pathogenesis and the spectrum of these types of neuropathies.
PMID- 26560954
TI - [Acute-Onset Chronic Inflammatory Demyelinating Polyradiculoneuropathy].
AB - Chronic inflammatory demyelinating polyneuropathy (CIDP) is characterized by an
insidious onset showing progression over two months. However, up to 16% of CIDP
patients may show acute presentation similar to Guillain-Barre syndrome (GBS).
Such cases are termed acute-onset CIDP (A-CIDP). Distinguishing A-CIDP from GBS,
especially the acute inflammatory demyelinating polyneuropathy (AIDP) subtype, is
critical because therapeutic strategies and outcomes may differ between the two
syndromes. Regarding clinical features, A-CIDP is less likely to have autonomic
nervous system involvement, facial weakness, a preceding infectious illness, or
the need for mechanical ventilation, in comparison with AIDP.
Electrophysiological features are usually quite similar between the two, although
follow-up studies may elucidate key differences. Around 8%-16% of GBS patients
may show clinical deterioration shortly after improvement or stabilization
following initial immunological therapy. Such a situation is termed treatment
related fluctuation (TRF; GBS-TRF). The distinction between GBS-TRF and A-CIDP is
an important clinical issue because maintenance treatment is often required in
CIDP. The diagnosis of A-CIDP should be considered when the condition of a
patient with GBS deteriorates after nine weeks from onset, or when deterioration
occurs three times or more.
PMID- 26560955
TI - [Treatment of Guillain-Barre Syndrome].
AB - The treatment of Guillain-Barre syndrome (GBS) was mainly symptomatic until the
1950s, followed by corticosteroid treatment in the 1950s through 1960s. Plasma
exchange (PE) was then performed during 1970s through the 1980s, after which
intravenous immunoglobulin (IVIg) was performed in 1990s through the 2000s. The
effectiveness of IVIg and PE has been established by randomized controlled
trial(RCT). Recently, new treatments using biological products have been
explored. In this paper, we summarize the development of the treatment of GBS.
PMID- 26560956
TI - [Prognostic Factors in Guillain-Barre Syndrome].
AB - Clinical outcome of Guillain-Barre syndrome (GBS) is poorer than may be expected,
despite recent applications of plasmapheresis and IVIg. Among prognostic factors
of GBS, clinical factors are more useful compared to electrophysiological or
biological factors. To improve the outcome of GBS patients with poor prognoses, a
worldwide prospective survey (IGOS, International GBS Outcome Study) and a
Japanese prospective GBS outcome study (JGOS) have been conducted. These surveys
make it possible to define biomarkers for disease activity and recovery, and to
develop prognostic models to precisely predict the clinical course and outcome in
individual patients in the early stage of the disease.
PMID- 26560957
TI - [Novel Therapeutic Interventions in Guillain-Barre Syndrome: Review and Future
Perspective].
AB - Intravenous immunoglobulin (IVIg) and plasma exchange (PE) are of proven efficacy
and are considered the standard therapy for Guillain Barre syndrome (GBS).
However, some patients require artificial ventilation during the acute phase and
experience long-lasting neurological deficits or symptoms. Currently, there is no
established therapeutic intervention for GBS other than IVIg and PE, even though
a number of compounds have been investigated. Clinical trials to investigate the
efficacy and safety of a second IVIg or eculizumab are ongoing. Increased
understanding of the pathophysiology of GBS is expected to contribute to the
development of a novel therapeutic approach.
PMID- 26560958
TI - [Vitamin D in Multiple Sclerosis].
AB - The geographic epidemiology of multiple sclerosis (MS) suggests that low vitamin
D levels are a modifiable risk factor. Previous studies have shown that patients
with MS have significantly lower vitamin D levels compared with healthy controls.
Vitamin D is a potent immunomodulator important for immune function and
development, and it offers potential benefits by reducing inflammation. Vitamin D
has beneficial effects in experimental autoimmune encephalomyelitis, an animal
model of MS. In summation, these studies suggest that vitamin D may have
therapeutic potential for MS. This has not been established although preliminary
clinical trials for vitamin D in MS look promising. Genetic studies suggest that
genes associated with vitamin D are critical susceptible genes for MS. In this
review, we discuss current research investigating the association between vitamin
D and MS and the issues that need to be resolved.
PMID- 26560959
TI - [Effects of Long-Term Treatment with Levetiracetam as an Adjunctive Therapy in
Japanese Children with Uncontrolled Partial-Onset Seizures: A Multicenter, Open
Label Study].
AB - Following the first period of the multicenter, open-label, single-armed N01223
trial, the second period of the N01223 trial was conducted to evaluate long-term
safety, along with the efficacy of adjunctive levetiracetam treatment
(individualized dose range, 20-60 mg/kg/day or 1,000-3,000 mg/day) in Japanese
pediatric patients with uncontrolled partial-onset seizures (POS). Of the 62
children who completed the first period, 55 children [age: 10.4 +/- 3.4 years
(mean +/- standard deviation)] were elected to enter the second period for a
maximum of 39 months. Twenty children were withdrawn during this second period.
Frequencies of treatment-emergent adverse events (TEAEs) and adverse drug
reactions (ADRs) were 98.2% (54/55 cases) and 27.3% (15/55 cases), respectively.
The most common TEAEs were nasopharyngitis (76.4%), influenza (36.4%) and pyrexia
(25.5%). The only frequent ADR (>2%) was somnolence (3.6%). Although serious
TEAEs and death were reported in 8 cases and 1 case (drowning), respectively, a
serious ADR was only reported in 1 case (vomiting). The median percentage
reduction and 50% response rate for POS were 43.32% and 41.8%, respectively. One
child showed a maximum seizure-free period of 163 days. In conclusion,
levetiracetam demonstrated long-term safety and good tolerance with beneficial
efficacy as an adjunctive therapy in Japanese children with uncontrolled POS.
(Received June 30, 2015; Accepted July 14, 2015: Published November 1, 2015).
PMID- 26560960
TI - [A Case of Musicophilia with Right Predominant Temporal Lobe Atrophy].
AB - A 68-year-old woman exhibiting musicophilia with right predominant temporal lobe
atrophy happened to visit our clinic. She had no musical background, but
beginning two years ago, she acquired a strong preference for especially popular
music and sometimes sang at home. She did not exhibit obvious semantic aphasia or
facial agnosia, and showed only mild behavioral changes including apathy. Her
musicophilia can be explained as an instance of stereotypical behavior. Her right
temporal lobe atrophy may have caused changes in her emotional and reward
systems, resulting in her music specific behaviors.
PMID- 26560961
TI - Effects of Lipid Composition on Bilayer Membranes Quantified by All-Atom
Molecular Dynamics.
AB - Biological bilayer membranes typically contain varying amounts of lamellar and
nonlamellar lipids. Lamellar lipids, such as dioleoylphosphatidylcholine (DOPC),
are defined by their tendency to form the lamellar phase, ubiquitous in biology.
Nonlamellar lipids, such as dioleoylphosphatidylethanolamine (DOPE), prefer
instead to form nonlamellar phases, which are mostly nonbiological. However,
nonlamellar lipids mix with lamellar lipids in biomembrane structures that remain
overall lamellar. Importantly, changes in the lamellar vs nonlamellar lipid
composition are believed to affect membrane function and modulate membrane
proteins. In this work, we employ atomistic molecular dynamics simulations to
quantify how a range of bilayer properties are altered by variations in the
lamellar vs nonlamellar lipid composition. Specifically, we simulate five
DOPC/DOPE bilayers at mixing ratios of 1/0, 3/1, 1/1, 1/3, and 0/1. We examine
properties including lipid area and bilayer thickness, as well as the
transmembrane profiles of electron density, lateral pressure, electric field, and
dipole potential. While the bilayer structure is only marginally altered by lipid
composition changes, dramatic effects are observed for the lateral pressure,
electric field, and dipole potential profiles. Possible implications for membrane
function are discussed.
PMID- 26560962
TI - Checkpoint inhibitors in Hodgkin's lymphoma.
AB - Hodgkin's lymphoma is unusual among cancers in that it consists of a small number
of malignant Hodgkin/Reed-Sternberg cells in a sea of immune system cells,
including T cells. Most of these T cells are reversibly inactivated in different
ways and their reactivation may induce a very strong immune response to cancer
cells. One way of reactivation of T cells is with antibodies blocking the CTLA-4
and especially with antibodies directed against PD-1 or the PD-L1 ligand thereby
reversing the tumor-induced downregulation of T-cell function and augmenting
antitumor immune activity at the priming (CTLA-4) or tissue effector (PD-1)
phase. Immune checkpoint inhibitors have been evidenced as an additional
treatment option with substantial effectiveness and acceptable toxicity in
heavily pretreated patients with Hodgkin's lymphoma. Particularly, PD-1 blockade
with nivolumab and pembrolizumab has demonstrated significant single-agent
activity in this select population.
PMID- 26560963
TI - Preliminary Evidence Suggests Periureteral Botulinum Toxin Type A Injection
Improves Ureteral Stone Passage in the Porcine Model.
AB - PURPOSE: We evaluated the use of periureteral injection of botulinum toxin type A
(Botox((r)), BTX-A) to facilitate passage of ureteral stones in a porcine model.
We believe that reducing detrusor muscle tone around the intramural ureter may
facilitate passage of ureteral stones through the ureterovesical junction.
MATERIALS AND METHODS: With complete Institutional Animal Care and Use Committee
approval, artificial stones (BegoStone plus) were placed by retrograde
ureteroscopy into the proximal ureter using fluoroscopic guidance using an in
vivo porcine model. Six animals underwent periureteral BTX-A injection 30 U/mL to
three locations around the ureteral orifice, and six animals were in the control
group undergoing periureteral injection of physiologic saline. RESULTS: There was
a significant decrease in time to stone passage in the BTX-A group compared with
the control group, 2.6 +/- 1.3 vs 6.8 +/- 2.9 days, respectively (p = 0.018).
None of the animals had evidence of vesicoureteral reflux postprocedure (N =
0/12). CONCLUSIONS: Preliminary results suggest that periureteral injection of
BTX-A facilitates ureteral stone passage in this model. BTX-A may provide a
simple, office-based endoscopic treatment option for ureteral stones. Further
studies would be necessary to evaluate its efficacy in humans compared with
traditional medical expulsive therapy.
PMID- 26560964
TI - Severe learning deficits of IRSp53 mutant mice are caused by altered NMDA
receptor-dependent signal transduction.
AB - Learning and memory is dependent on postsynaptic architecture and signaling
processes in forebrain regions. The insulin receptor substrate protein of 53 kDa
(IRSp53, also known as Baiap2) is a signaling and adapter protein in forebrain
excitatory synapses. Mice deficient in IRSp53 display enhanced levels of
postsynaptic N-methyl-D-aspartate receptors (NMDARs) and long-term potentiation
(LTP) associated with severe learning deficits. In humans, reduced IRSp53/Baiap2
expression is associated with a variety of neurological disorders including
autism, schizophrenia, and Alzheimer's disease. Here, we analyzed mice lacking
one copy of the gene coding for IRSp53 using behavioral tests including
contextual fear conditioning and the puzzle box. We show that a 50% reduction in
IRSp53 levels strongly affects the performance in fear-evoking learning
paradigms. This correlates with increased targeting of NMDARs to the postsynaptic
density (PSD) in hippocampi of both heterozygous and knock out (ko) mice at the
expense of extrasynaptic NMDARs. As hippocampal NMDAR-dependent LTP is enhanced
in IRSp53-deficient mice, we investigated signaling cascades important for the
formation of fear-evoked memories. Here, we observed a dramatic increase in cAMP
response element-binding protein-dependent signaling in heterozygous and IRSp53
deficient mice, necessary for the transcriptional dependent phase of LTP. In
contrast, activation of the MAPK and Akt kinase pathways required for translation
dependent phase of LTP are reduced. Our data suggest that loss or even the
reduction in IRSp53 increases NMDAR-dependent cAMP responsive element-binding
protein activation in the hippocampus, and interferes with the ability of mice to
learn upon anxiety-related stimuli. We show here that a moderate reduction in the
postsynaptic protein IRSp53 in mice leads to an increase in postsynaptic NMDA
receptors. Both in heterozygous and IRSp53 deficient mice, this is associated
with altered postsynaptic signal transduction, and poor performance of mice in
fear-associated learning paradigms, indicating that precise control of
postsynaptic NMDA receptor density is essential for memory formation.
PMID- 26560965
TI - Efficacy of Intraoperative Neuro-Monitoring to Localize the External Branch of
the Superior Laryngeal Nerve.
AB - BACKGROUND: This study investigated whether visual localization of the external
branch of the superior laryngeal nerve (EBSLN) coincides with its localization
via intraoperative neuro-monitoring (IONM) during thyroidectomy and whether its
use influences the frequency of injuries. METHODS: A prospective, comparative,
cross-sectional, observational study was performed in 240 superior thyroid poles.
The metrics were visual identification of the EBSLN and its corroboration with
IONM. The frequency of EBSLN injuries was also determined. Statistical analysis
was achieved via kappa and chi-square tests, as well as odds ratios (OR).
RESULTS: Of the 240 superior thyroid poles, IONM identified 234 (97.5%) EBSLN,
whereas 190 (79.1%) were identified visually: OR = 10.35 [CI 4.37-24.65] p <
0.0001. Of the 190 EBSLN identified visually, 150 were confirmed through IONM.
Indeed, their structure corresponded to an EBSLN to yield a kappa with a linear
weighting value of 0.362. The standard error was 0.0467 [CI 0.2686-0.4554],
indicating a fair agreement between the visual and IONM classification.
CONCLUSION: IONM identified 97.5% of EBSLN cases. It was higher than the visual
identification. There were no injuries to EBSLN identified through IONM.
PMID- 26560966
TI - Alcohol-attributable healthcare attendances up to 10 years prior to diagnosis of
alcoholic cirrhosis: a population based case-control study.
AB - BACKGROUND & AIMS: Cirrhosis because of alcohol could be avoided if drinking
behaviour could be altered earlier in the disease course. Our aim was to quantify
the burden of morbidities in patients prior to alcoholic cirrhosis diagnosis, as
this may inform the earlier identification of people at high risk for targeted
interventions. METHODS: We carried out a case-control study using 2479 incident
cases of alcoholic cirrhosis and 24 790 controls identified from 357 primary and
secondary care centres in England. We assessed the prevalence of morbidities that
are partly attributable to alcohol (namely malignant neoplasms, diabetes,
epilepsy, injuries, cardiovascular and digestive diseases) prior to alcoholic
cirrhosis diagnosis. We compared prevalence in cases to the control population
and used logistic regression to derive odds ratios (95% CI). RESULTS: Fifty-eight
per cent of cases compared to 29% of controls had had at least one alcohol
attributable condition before cirrhosis diagnosis. The most frequent conditions
(proportion in cases vs. controls) were intentional injuries (35.9% vs. 11.9%)
and cardiovascular diseases (23.2% vs. 15.6%), followed by diabetes (12.8% vs.
5.3%), digestive diseases (6.1% vs. 1.2%) and epilepsy (5.0% vs. 1.1%). The
strongest association with alcoholic cirrhosis was found for digestive diseases
[OR 5.4 (4.4-6.7)], epilepsy [OR: 4.4 (3.5-5.5)] and injuries [OR: 4.0 (3.7-4.4)]
particularly among those aged 18-44 years. CONCLUSION: These data highlight the
high burden of other alcohol-attributable conditions in patients prior to
alcoholic cirrhosis diagnosis. Reviewing those consistently presenting with any
of these conditions more closely could help practitioners reduce/avoid the long
term consequences of development of alcoholic liver disease.
PMID- 26560967
TI - Trends in Genital Warts in the Era of Human Papillomavirus Vaccination.
PMID- 26560968
TI - Likeability of Garden Birds: Importance of Species Knowledge & Richness in
Connecting People to Nature.
AB - Interacting with nature is widely recognised as providing many health and well
being benefits. As people live increasingly urbanised lifestyles, the provision
of food for garden birds may create a vital link for connecting people to nature
and enabling them to access these benefits. However, it is not clear which
factors determine the pleasure that people receive from watching birds at their
feeders. These may be dependent on the species that are present, the abundance of
individuals and the species richness of birds around the feeders. We
quantitatively surveyed urban households from towns in southern England to
determine the factors that influence the likeability of 14 common garden bird
species, and to assess whether people prefer to see a greater abundance of
individuals or increased species richness at their feeders. There was substantial
variation in likeability across species, with songbirds being preferred over non
songbirds. Species likeability increased for people who fed birds regularly and
who could name the species. We found a strong correlation between the number of
species that a person could correctly identify and how connected to nature they
felt when they watched garden birds. Species richness was preferred over a
greater number of individuals of the same species. Although we do not show
causation this study suggests that it is possible to increase the well-being
benefits that people gain from watching birds at their feeders. This could be
done first through a human to bird approach by encouraging regular interactions
between people and their garden birds, such as through learning the species names
and providing food. Second, it could be achieved through a bird to human approach
by increasing garden songbird diversity because the pleasure that a person
receives from watching an individual bird at a feeder is dependent not only on
its species but also on the diversity of birds at the feeder.
PMID- 26560969
TI - Polysilicon nanogap lab-on-chip facilitates multiplex analyses with single
analyte.
AB - Rationally designed biosensing system supports multiplex analyses is warranted
for medical diagnosis to determine the level of analyte interaction. The
chemically functionalized novel multi-electrode polysilicon nanogap (PSNG) lab-on
chip is designed in this study, facilitates multiplex analyses for a single
analyte. On the fabricated 69nm PSNG, biocompatibility and structural
characteristics were verified for the efficient binding of Human Chorionic
Gonadotropin (hCG). With the assistance of microfluidics, hCG sample was
delivered via single-injection to 3-Aminopropyl(triethoxy)silane (APTES) and
Glycidoxypropyl(trimethoxy)silane (GPMS) modified PSNG electrodes and the
transduced signal was used to investigate the dielectric mechanisms for multiplex
analyses. The results from amperometric response and impedance measurement
delivered the scale of interaction between anti-hCG antibody and hCG that
exhibited 6.5 times higher sensitivity for the chemical linker, APTES than GPMS.
Under optimized experimental conditions, APTES and GPMS modified immunosensor has
a limit of detection as 0.56mIU/ml and 2.93mIU/ml (at S/N=3), with dissociation
constants (Kd) of 5.65+/-2.5mIU/ml and 7.28+/-2.6mIU/ml, respectively. These
results suggest that multiplex analysis of single target could enhance the
accuracy of detection and reliable for real-time comparative analyses. The
designed PSNG is simple, feasible, requires low sample consumption and could be
applied for any given multiplex analyses.
PMID- 26560970
TI - Validation of Self-Management Screening (SeMaS), a tool to facilitate
personalised counselling and support of patients with chronic diseases.
AB - BACKGROUND: A rising number of people with chronic conditions is offered
interventions to enhance self-management. The responsiveness of individuals to
these interventions depends on patient characteristics. We aimed to develop and
validate a tool to facilitate personalised counselling and support for self
management in patients with chronic diseases in primary care. METHODS: We drafted
a prototype of the tool for Self-Management Screening (SeMaS), comprising 27
questions that were mainly derived from validated questionnaires. To reach high
content validity, we performed a literature review and held focus groups with
patients and healthcare professionals as input for the tool. The characteristics
self-efficacy, locus of control, depression, anxiety, coping, social support, and
perceived burden of disease were incorporated into the tool. Three items were
added to guide the type of support or intervention, being computer skills,
functioning in groups, and willingness to perform self-monitoring. Subsequently,
the construct and criterion validity of the tool were investigated in a sample of
204 chronic patients from two primary care practices. Patients filled in the
SeMaS and a set of validated questionnaires for evaluation of SeMaS. The Patient
Activation Measure (PAM-13), a generic instrument to measure patient health
activation, was used to test the convergent construct validity. RESULTS: Patients
had a mean age of 66.8 years and 46.6 % was female. 5.9 % did not experience any
barrier to self-management, 28.9 % experienced one minor or major barrier, and
30.4 % two minor or major barriers. Compared to the criterion measures, the
positive predictive value of the SeMaS characteristics ranged from 41.5 to 77.8 %
and the negative predictive value ranged from 53.3 to 99.4 %. Crohnbach's alpha
for internal consistency ranged from 0.56 to 0.87, except for locus of control
(alpha = 0.02). The regression model with PAM-13 as a dependent variable showed
that the SeMaS explained 31.7 % (r(2) = 0.317) of the variance in the PAM-13
score. CONCLUSIONS: SeMaS is a short validated tool that can signal potential
barriers for self-management that need to be addressed in the dialogue with the
patient. As such it can be used to facilitate personalised counselling and
support to enhance self-management in patients with chronic conditions in primary
care.
PMID- 26560971
TI - GRADE in Systematic Reviews of Acupuncture for Stroke Rehabilitation:
Recommendations based on High-Quality Evidence.
AB - Systematic reviews (SRs) of randomized controlled trials (RCTs) have demonstrated
acupuncture's effectiveness in stroke rehabilitation. The current study reviews
the quality of evidence in SRs of acupuncture in stroke rehabilitation, and rates
the strength of recommendation for its use based on this evidence using the GRADE
(grading of recommendations, assessment, development and evaluations) approach. A
comprehensive literature search was performed using multiple databases (e.g.,
Medline, Embase) with advanced search strategies. Two authors independently
selected articles, collected data, and assessed the methodological quality of
each identified SR according to AMSTAR (a measurement tool to assess systematic
reviews) and OQAQ (Oxman and Guyatt's overview quality assessment questionnaire).
Outcomes related to stroke rehabilitation were evaluated. SRs of high
methodological quality (AMSTAR score >=9 and OQAQ score >=7) were graded using
GRADE. Ultimately, acupuncture yields benefits in stroke rehabilitation
(neurological function improvement: RR = 1.34; swallowing improvement: RR = 1.61,
1.49, 1.07; disability: SMD = 0.49 or 0.07). Poor evidentiary quality and
insufficient information about harm led to weak recommendations. In conclusion,
acupuncture may improve stroke rehabilitation, as the GRADE approach indicated a
weak recommendation for acupuncture's usage in this context.
PMID- 26560972
TI - Nanomesh-Type Graphene Superlattice on Au(111) Substrate.
AB - The adherence of graphene to various crystalline substrates often leads to a
periodic out-of-plane modulation of its atomic structure due to the lattice
mismatch. While, in principle, convex (protrusion) and concave (depression)
superlattice geometries are nearly equivalent, convex superlattices have
predominantly been observed for graphene on various metal surfaces. Here we
report the STM observation of a graphene superlattice with concave (nanomesh)
morphology on Au(111). DFT and molecular dynamics simulations confirm the
nanomesh nature of the graphene superlattice on Au(111) and also reveal its
potential origin as a surface reconstruction, consisting of the imprinting of the
nanomesh morphology into the Au(111) surface. This unusual surface reconstruction
can be attributed to the particularly large mobility of the Au atoms on Au(111)
surfaces and most probably plays an important role in stabilizing the concave
graphene superlattice. We report the simultaneous observation of both convex and
concave graphene superlattices on herringbone reconstructed Au(111) excluding the
contrast inversion as the origin of the observed concave morphology. The observed
graphene nanomesh superlattice can provide an intriguing nanoscale template for
self-assembled structures and nanoparticles that cannot be stabilized on other
surfaces.
PMID- 26560973
TI - Oestradiol Exposure Early in Life Programs Daily and Circadian Activity Rhythms
in Adult Mice.
AB - Hormone signalling during critical periods organises the adult circadian
timekeeping system by altering adult hormone sensitivity and shaping fundamental
properties of circadian rhythmicity. However, the timing of when developmental
oestrogens modify the timekeeping system is poorly understood. To test the
hypothesis that alterations in postnatal oestrogenic signalling organise adult
daily activity rhythms, we utilised aromatase knockout mice (ArKO), which lack
the enzyme required for oestradiol synthesis. ArKO and wild-type (WT) males and
females were administered either oestradiol (E) or oil (OIL) daily for the first
5 postnatal days (p1-5E and p1-5OIL , respectively) because this time encompasses
the emergence of clock gene rhythmicity and light responsiveness in the
suprachiasmatic nucleus, a bilateral hypothalamic structure regarded as the
'master oscillator'. After sexual maturation, gonadectomy and exogenous
oestradiol supplementation, locomotor parameters were assessed. We determined
that altered oestrogenic signalling in early life exerts organisational control
over the expression of daily and circadian activity rhythms in adult mice.
Specifically, p1-5E reduced total wheel running activity in male and female ArKO
and female WT mice but had no effect on WT male activity levels. In females,
wheel running was consolidated by p1-5E to the early versus late evening, a
phenomenon characteristic of male mice. The time of peak activity was advanced by
p1-5E in WT and ArKO females but not males. P1-5E shortened the length of the
active phase (alpha) in WT males but had no effect on ArKO males or females of
either genotypes. Finally, p1-5E altered the magnitude of photic-induced shifts,
suggesting that developmental oestrogenic signalling impacts adult circadian
functions. In the present study, we further define both a critical period of
development of the adult timekeeping system and the role that oestrogenic
signalling plays in the expression of daily and circadian activity rhythms
throughout life.
PMID- 26560974
TI - The Transcriptomic Response of Arabidopsis thaliana to Zinc Oxide: A Comparison
of the Impact of Nanoparticle, Bulk, and Ionic Zinc.
AB - The impact of nanosize was evaluated by comparing of the transcriptomic response
of Arabidopsis thaliana roots to ZnO nanoparticles (nZnO), bulk ZnO, and ionic
Zn(2+). Microarray analyses revealed 416 up- and 961 down-regulated transcripts
(expression difference >2-fold, p [FDR] < 0.01) after a seven-day treatment with
nZnO (average particle size 20 nm, concentration 4 mg L(-1)). Exposure to bulk
ZnO resulted in 816 up- and 2179 down-regulated transcripts. The most dramatic
changes (1711 transcripts up- and 3242 down-regulated) were caused by the
presence of ionic Zn(2+) (applied as ZnSO4.7H20 at a concentration of 14.14 mg L(
1), corresponding to the amount of Zn contained in 4 mg L(-1) ZnO). Genes
involved in stress response (e.g., to salt, osmotic stress or water deprivation)
were the most relatively abundant group of gene transcripts up-regulated by all
three Zn treatments while genes involved in cell organization and biogenesis
(e.g., tubulins, arabinogalactan proteins) and DNA or RNA metabolism (e.g.,
histones) were the most relatively abundant groups of down-regulated transcripts.
The similarity of the transcription profiles and the increasing number of changed
transcripts correlating with the increased concentration of Zn(2+) in cultivation
medium indicated that released Zn(2+) may substantially contribute to the toxic
effect of nZnO because particle size has not demonstrated a decisive role.
PMID- 26560975
TI - Correction: Interleukin-10 rs1800896 and CXCR2 rs1126579 polymorphisms modulate
the predisposition to septic shock.
AB - Vol. 110 (4): 453-460, 2015. p. 453. "Interleukin-10 rs2227307 and CXCR2
rs1126579 polymorphisms modulate the predisposition to septic shock" should read:
"Interleukin-10 rs1800896 and CXCR2 rs1126579 polymorphisms modulate the
predisposition to septic shock". == Vol. 110 (6): 797-800, 2015 .p. 797.
"Financial support: IOC/FIOCRUZ, PAPESIV/VPPDT/FIOCRUZ, FAPERJ-APQ1 (E
26/110.497/2011), CNPq (458858/2014-5)" should read: "Financial support:
IOC/FIOCRUZ, PAPESIV/VPPDT/FIOCRUZ, FAPERJ-APQ1 (E-26/110.497/2011), CNPq
(458858/2014-5),FAPEAM/CNPq/PPP-FAPEAM (010/2011), MCT/CNPq (014/2011)"
PMID- 26560976
TI - Surveillance, health promotion and control of Chagas disease in the Amazon Region
-Medical attention in the Brazilian Amazon Region: a proposal.
AB - We refer to Oswaldo Cruz's reports dating from 1913 about the necessities of a
healthcare system for the Brazilian Amazon Region and about the journey of Carlos
Chagas to 27 locations in this region and the measures that would need to be
adopted. We discuss the risks of endemicity of Chagas disease in the Amazon
Region. We recommend that epidemiological surveillance of Chagas disease in the
Brazilian Amazon Region and Pan-Amazon region should be implemented through
continuous monitoring of the human population that lives in the area, their
housing, the environment and the presence of triatomines. The monitoring should
be performed with periodic seroepidemiological surveys, semi-annual visits to
homes by health agents and the training of malaria microscopists and healthcare
technicians to identify Trypanosoma cruzi from patients' samples and T. cruzi
infection rates among the triatomines caught. We recommend health promotion and
control of Chagas disease through public health policies, especially through
sanitary education regarding the risk factors for Chagas disease. Finally, we
propose a healthcare system through base hospitals, intermediate-level units in
the areas of the Brazilian Amazon Region and air transportation, considering the
distances to be covered for medical care.
PMID- 26560978
TI - Viral aetiology of common colds of outpatient children at primary care level and
the use of antibiotics.
AB - Although antibiotics are ineffective against viral respiratory infections,
studies have shown high rates of prescriptions worldwide. We conducted a study in
Brazil to determine the viral aetiologies of common colds in children and to
describe the use of antibiotics for these patients. Children up to 12 years with
common colds were enrolled from March 2008-February 2009 at a primary care level
facility and followed by regular telephone calls and medical consultations. A
nasopharyngeal wash was obtained at enrollment and studied by direct fluorescence
assay and polymerase chain reaction for nine different types of virus. A sample
of 134 patients was obtained, median age 2.9 years (0.1-11.2 y). Respiratory
viruses were detected in 73.9% (99/134) with a coinfection rate of 30.3% (30/99).
Rhinovirus was the most frequent virus (53/134; 39.6%), followed by influenza
(33/134; 24.6%) and respiratory syncytial virus (8/134; 13.4%). Antibiotic
prescription rate was 39.6% (53/134) and 69.8% (37/53) were considered
inappropriate. Patients with influenza infection received antibiotics
inappropriately in a greater proportion of cases when compared to respiratory
syncytial virus and rhinovirus infections (p = 0.016). The rate of inappropriate
use of antibiotics was very high and patients with influenza virus infection were
prescribed antibiotics inappropriately in a greater proportion of cases.
PMID- 26560979
TI - Identification of the nicotinamide mononucleotide adenylyltransferase of
Trypanosoma cruzi.
AB - The intracellular parasite Trypanosoma cruzi is the aetiological agent of Chagas
disease, a public health concern with an increasing incidence rate. This increase
is due, among other reasons, to the parasite's drug resistance mechanisms, which
require nicotinamide adenine dinucleotide (NAD+). Furthermore, this molecule is
involved in metabolic and intracellular signalling processes necessary for the
survival of T. cruzi throughout its life cycle. NAD+biosynthesis is performed by
de novo and salvage pathways, which converge on the step that is catalysed by the
enzyme nicotinamide mononucleotide adenylyltransferase (NMNAT) (enzyme commission
number: 2.7.7.1). The identification of the NMNAT of T. cruzi is important for
the development of future therapeutic strategies to treat Chagas disease. In this
study, a hypothetical open reading frame (ORF) for NMNAT was identified in the
genome of T. cruzi.The corresponding putative protein was analysed by simulating
structural models. The ORF was amplified from genomic DNA by polymerase chain
reaction and was further used for the construction of a corresponding recombinant
expression vector. The expressed recombinant protein was partially purified and
its activity was evaluated using enzymatic assays. These results comprise the
first identification of an NMNAT in T. cruzi using bioinformatics and
experimental tools and hence represent the first step to understanding NAD+
metabolism in these parasites.
PMID- 26560977
TI - Computational drug design strategies applied to the modelling of human
immunodeficiency virus-1 reverse transcriptase inhibitors.
AB - Reverse transcriptase (RT) is a multifunctional enzyme in the human
immunodeficiency virus (HIV)-1 life cycle and represents a primary target for
drug discovery efforts against HIV-1 infection. Two classes of RT inhibitors, the
nucleoside RT inhibitors (NRTIs) and the nonnucleoside transcriptase inhibitors
are prominently used in the highly active antiretroviral therapy in combination
with other anti-HIV drugs. However, the rapid emergence of drug-resistant viral
strains has limited the successful rate of the anti-HIV agents. Computational
methods are a significant part of the drug design process and indispensable to
study drug resistance. In this review, recent advances in computer-aided drug
design for the rational design of new compounds against HIV-1 RT using methods
such as molecular docking, molecular dynamics, free energy calculations,
quantitative structure-activity relationships, pharmacophore modelling and
absorption, distribution, metabolism, excretion and toxicity prediction are
discussed. Successful applications of these methodologies are also highlighted.
PMID- 26560980
TI - Widespread nasal carriage of Mycobacterium lepraeamong a healthy population in a
hyperendemic region of northeastern Brazil.
AB - A case-control study was conducted to determine the presence ofMycobacterium
lepraeDNA in nasal secretions of leprosy cases and nonleprosy individuals in
Fortaleza, Brazil. It included 185 cases identified by physicians at the Dona
Libania National Reference Centre for Sanitary Dermatology (CDERM). A control
group (Co) (n = 136) was identified among individuals from CDERM not diagnosed as
leprosy cases. To augment the spatial analysis of M. leprae specific repetitive
element (RLEP) positive prevalence, an external group (EG) (n = 121), a
convenience sample of healthy students, were included. Polymerase chain reaction
for the RLEP sequence was conducted for all participants. Prevalence of RLEP
positivity for cases and Co were 69.2% and 66.9%, respectively, significantly
higher than for EG (28.1%), and reported elsewhere. Male sex, belonging to a
lower socioeconomic status (D/E), history of a previous contact with a case and
being older, were associated with being a leprosy case. Our geographical analysis
demonstrated that the bacillus is widespread among the healthy population, with
clusters of RLEP positive multibacillary cases concentrated in distinct areas of
the city. Our results suggest that in endemic areas, as in Fortaleza,
surveillance for both nonhousehold leprosy contacts and members of the general
population living in cluster areas should be implemented.
PMID- 26560981
TI - Aspidosperma (Apocynaceae) plant cytotoxicity and activity towards malaria
parasites. Part II: experimental studies withAspidosperma ramiflorum in vivo and
in vitro.
AB - Several species of Aspidosperma plants are used to treat diseases in the tropics,
including Aspidosperma ramiflorum, which acts against leishmaniasis, an activity
that is experimentally confirmed. The species, known as guatambu-yellow, yellow
peroba, coffee-peroba and matiambu, grows in the Atlantic Forest of Brazil in the
South to the Southeast regions. Through a guided biofractionation of A.
ramiflorum extracts, the plant activity against Plasmodium falciparum was
evaluated in vitro for toxicity towards human hepatoma G2 cells, normal monkey
kidney cells and nonimmortalised human monocytes isolated from peripheral blood.
Six of the seven extracts tested were active at low doses (half-maximal drug
inhibitory concentration < 3.8 ug/mL); the aqueous extract was inactive. Overall,
the plant extracts and the purified compounds displayed low toxicity in vitro. A
nonsoluble extract fraction and one purified alkaloid isositsirikine (compound 5)
displayed high selectivity indexes (SI) (= 56 and 113, respectively), whereas
compounds 2 and 3 were toxic (SI < 10). The structure, activity and low toxicity
of isositsirikine in vitro are described here for the first time in A.
ramiflorum, but only the neutral and precipitate plant fractions were tested for
activity, which caused up to 53% parasitaemia inhibition of Plasmodium berghei in
mice with blood-induced malaria. This plant species is likely to be useful in the
further development of an antimalarial drug, but its pharmacological evaluation
is still required.
PMID- 26560982
TI - Multibacillary leprosy patients with high and persistent serum antibodies to
leprosy IDRI diagnostic-1/LID-1: higher susceptibility to develop type 2
reactions.
AB - Leprosy inflammatory episodes [type 1 (T1R) and type 2 (T2R) reactions] represent
the major cause of irreversible nerve damage. Leprosy serology is known to be
influenced by the patient's bacterial index (BI) with higher positivity in
multibacillary patients (MB) and specific multidrug therapy (MDT) reduces
antibody production. This study evaluated by ELISA antibody responses to leprosy
Infectious Disease Research Institute diagnostic-1 (LID-1) fusion protein and
phenolic glycolipid I (PGL-I) in 100 paired serum samples of 50 MB patients
collected in the presence/absence of reactions and in nonreactional patients
before/after MDT. Patients who presented T2R had a median BI of 3+, while MB
patients with T1R and nonreactional patients had median BI of 2.5+ (p > 0.05).
Anti-LID-1 and anti-PGL-I antibodies declined in patients diagnosed during T1R (p
< 0.05). Anti-LID-1 levels waned in MB with T2R at diagnosis and nonreactional MB
patients (p < 0.05). Higher anti-LID-1 levels were seen in patients with T2R at
diagnosis (vs. patients with T1R at diagnosis, p = 0.008; vs. nonreactional
patients, p = 0.020) and in patients with T2R during MDT (vs. nonreactional MB, p
= 0.020). In MB patients, high and persistent anti-LID-1 antibody levels might be
a useful tool for clinicians to predict which patients are more susceptible to
develop leprosy T2R.
PMID- 26560983
TI - Incidence of active mycobacterial infections in Brazilian patients with chronic
inflammatory arthritis and negative evaluation for latent tuberculosis infection
at baseline--a longitudinal analysis after using TNFa blockers.
AB - Several studies point to the increased risk of reactivation of latent
tuberculosis infection (LTBI) in patients with chronic inflammatory arthritis
(CIAs) after using tumour necrosis factor (TNF)a blockers. To study the incidence
of active mycobacterial infections (aMI) in patients starting TNFa blockers, 262
patients were included in this study: 109 with rheumatoid arthritis (RA), 93 with
ankylosing spondylitis (AS), 44 with juvenile idiopathic arthritis (JIA) and 16
with psoriatic arthritis (PsA). All patients had indication for anti-TNFa
therapy. Epidemiologic and clinical data were evaluated and a simple X-ray and
tuberculin skin test (TST) were performed. The control group included 215 healthy
individuals. The follow-up was 48 months to identify cases of aMI. TST positivity
was higher in patients with AS (37.6%) than in RA (12.8%), PsA (18.8%) and JIA
(6.8%) (p < 0.001). In the control group, TST positivity was 32.7%. Nine (3.43%)
patients were diagnosed with aMI. The overall incidence rate of aMI was
86.93/100,000 person-years [95% confidence interval (CI) 23.6-217.9] for patients
and 35.79/100,000 person-years (95% CI 12.4-69.6) for control group (p < 0.001).
All patients who developed aMI had no evidence of LTBI at the baseline
evaluation. Patients with CIA starting TNFa blockers and no evidence of LTBI at
baseline, particularly with nonreactive TST, may have higher risk of aMI.
PMID- 26560984
TI - Waterborne toxoplasmosis investigated and analysed under hydrogeological
assessment: new data and perspectives for further research.
AB - We present a set of data on human and chicken Toxoplasma gondii seroprevalence
that was investigated and analysed in light of groundwater vulnerability
information in an area endemic for waterborne toxoplasmosis in Brazil.
Hydrogeological assessment was undertaken to select sites for water collection
from wells for T. gondii oocyst testing and for collecting blood from free-range
chickens and humans for anti-T. gondii serologic testing. Serologic testing of
human specimens was done using conventional commercial tests and a sporozoite
specific embryogenesis-related protein (TgERP), which is able to differentiate
whether infection resulted from tissue cysts or oocysts. Water specimens were
negative for the presence of viable T. gondii oocysts. However, seroprevalence in
free-range chickens was significantly associated with vulnerability of
groundwater to surface contamination (p < 0.0001; odds ratio: 4.73, 95%
confidence interval: 2.18-10.2). Surprisingly, a high prevalence of antibodies
against TgERP was detected in human specimens, suggesting the possibility of a
continuous contamination of drinking water with T. gondii oocysts in this endemic
setting. These findings and the new proposed approach to investigate and analyse
endemic toxoplasmosis in light of groundwater vulnerability information
associated with prevalence in humans estimated by oocyst antigens recognition
have implications for the potential role of hydrogeological assessment in
researching waterborne toxoplasmosis at a global scale.
PMID- 26560985
TI - Risk factors associated with Trypanosoma cruzi exposure in domestic dogs from a
rural community in Panama.
AB - Chagas disease, caused by Trypanosoma cruzi infection, is a zoonosis of humans,
wild and domestic mammals, including dogs. In Panama, the main T. cruzi vector is
Rhodnius pallescens, a triatomine bug whose main natural habitat is the royal
palm, Attalea butyracea. In this paper, we present results from three T. cruzi
serological tests (immunochromatographic dipstick, indirect immunofluorescence
and ELISA) performed in 51 dogs from 24 houses in Trinidad de Las Minas, western
Panama. We found that nine dogs were seropositive (17.6% prevalence). Dogs were
1.6 times more likely to become T. cruzi seropositive with each year of age and
11.6 times if royal palms where present in the peridomiciliary area of the dog's
household or its two nearest neighbours. Mouse-baited-adhesive traps were
employed to evaluate 12 peridomestic royal palms. All palms were found infested
with R. pallescens with an average of 25.50 triatomines captured per palm. Of 35
adult bugs analysed, 88.6% showed protozoa flagellates in their intestinal
contents. In addition, dogs were five times more likely to be infected by the
presence of an additional domestic animal species in the dog's peridomiciliary
environment. Our results suggest that interventions focused on royal palms might
reduce the exposure to T. cruzi infection.
PMID- 26560986
TI - Synthesis of biocompatible polymeric nano-capsules based on calcium carbonate: A
potential cisplatin delivery system.
PMID- 26560988
TI - Bowel obstruction secondary to incarcerated obturator hernia.
AB - BACKGROUND/OBJECTIVE: Obturator hernia is rare type of abdominal hernia and its
diagnosis usually is made intraoperatively for bowel obstruction or computed
tomography (CT) scans of the abdomen. The aim of this study was to review
patient's records with respect to clinical manifestation, CT scan findings, and
operative outcomes. METHODS: From April 2009 to January 2015, six female patients
with incarcerated obturator hernia underwent urgent operation for acute
intestinal obstruction. The medical records were reviewed with respect to
clinical manifestation, findings of CT scan and the outcomes of operation.
RESULTS: The median age of patients was 83 years (range, 79-87 years) and the
body mass index was 21.61 +/- 0.52 kg/m2. CT scans of abdomen demonstrated that
intestinal obstruction secondary to obturator hernia, consistency with operative
findings. Partial bowel resection was performed in two of six patients because of
necrosis of incarcerated obturator hernia. The hernia was repaired with
interrupted sutures. Lung infection occurred in one patient, and wound infection
in another. One recurrence was observed and two patients died from the unrelated
diseases during the period of follow-up. CONCLUSION: The diagnosis of obturator
hernia can be made by CT scan preoperatively, and the obturator hernia should be
suspected when an unexplained bowel obstruction in elderly, thin women occurs.
PMID- 26560987
TI - Effects of low-dose morphine on perceived sleep quality in patients with
refractory breathlessness: A hypothesis generating study.
AB - BACKGROUND AND OBJECTIVE: The management of chronic refractory breathlessness is
one of the indications for regular low-dose (<=30 mg/24 h) oral sustained release
morphine. Morphine may disrupt sleep in some conditions and improve sleep quality
in others. This study aimed to determine any signal of regular, low-dose morphine
on perceived sleep disruption due to breathlessness and perceived sleep quality.
METHODS: This is a secondary analysis of data from 38 participants with
refractory breathlessness (30 male; 33 with COPD) aged 76 +/- 0.9 years who
completed a double-blind, randomized, placebo-controlled, cross-over study in
which they received 20 mg oral sustained release morphine daily and placebo for 4
days each. Participant ratings of sleep disruption due to breathlessness and
perceived sleep quality were obtained daily throughout the 8-day trial. RESULTS:
Perceived sleep disruption due to breathlessness over the 4-day period ranged
between 13% and 32% of participants for placebo and 13% and 26% for morphine,
decreasing by each day of the study during the morphine arm. Most participants
reported 'very good' or 'quite good' sleep throughout the trial and were less
likely to perceive poor sleep quality during the morphine arm (odds ratio = 0.55,
95% confidence interval: 0.34-0.88, P = 0.01). Participants who reported
decreased breathlessness during the 4 days on morphine were also likely to report
improved sleep quality with morphine (P = 0.039). CONCLUSION: Four days of low
dose morphine improved perceived sleep quality in elderly participants with
refractory breathlessness. Regular low-dose morphine targeted to reduce
refractory breathlessness may yield associated benefits by reducing sleep
disruption and improving sleep quality.
PMID- 26560989
TI - Radiation Therapy Is a Reasonable Option for Improving the Prognosis in
Hepatocellular Carcinoma.
AB - Radiation therapy (RT) may be suitable for treating patients with hepatocellular
carcinoma (HCC) who are difficult to treat with any other option. However, it
remains unclear whether RT extends survival in these patients. Among the 957 HCC
patients treated at Tohoku University Hospital from January 2007 to December
2013, only 49 patients received RT. We therefore retrospectively analyzed the
outcomes of these patients; they were divided into three groups based on the
reasons for choosing RT: 27 patients at Stage IV A (67.1 +/- 1.6 years, 50.5 +/-
2.1 Gy), 9 patients with alternative therapy (72.2 +/- 2.4 years, 58.9 +/- 1.1
Gy), and 13 patients who received RT after transarterial chemoembolization (TACE)
(75.6 +/- 2.1 years, 56.5 +/- 1.5 Gy). RT was employed to ensure the local
control of the lesion. The patients at Stage IV A were treated with radical RT (n
= 16) or with palliative RT (n = 11). In radical RT group, the response rate was
37.5% and the complete response rate was 25%. The survival rate was 12.5 +/- 2.6
months after radical RT. This is considered relatively good for Stage IV A. The
disease-free survival rate was 13.0 +/- 2.8 months after RT. This excellent
disease-free survival indicates that RT is an alternative to other treatments. In
the TACE group, patients who received the RT had the significantly long disease
free survival rate than only-TACE (18.0 +/- 3.8 months vs. 11.2 +/- 0.58 months).
We propose that RT is effective and safe for HCC.
PMID- 26560990
TI - Early studies reported extreme findings with large variability: a meta
epidemiologic study in the field of endocrinology.
AB - OBJECTIVES: To evaluate the presence of extreme findings and fluctuation in
effect size in endocrinology. STUDY DESIGN AND SETTINGS: We systematically
identified all meta-analyses published in 2014 in the field of endocrinology.
Within each meta-analysis, the effect size of the primary binary outcome was
compared across studies according to their order of publication. We pooled
studies using the DerSimonian and Laird random-effects method. Heterogeneity was
evaluated using the I(2) and tau(2). RESULTS: Twelve percent of the included 100
meta-analyses reported the largest effect size in the very first published study.
The largest effect size occurred in the first 2 earliest studies in 31% of meta
analyses. When the effect size was the largest in the first published study, it
was three times larger than the final pooled effect (ratio of rates, 3.26; 95%
confidence interval: 1.80, 5.90). The largest heterogeneity measured by I(2) was
observed in 18% of the included meta-analyses when combining the first 2 studies
or 17% when combing the first 3 studies. CONCLUSIONS: In endocrinology, early
studies reported extreme findings with large variability. This behavior of the
evidence needs to be taken into account when used to formulate clinical policies.
PMID- 26560991
TI - Data on the distribution of cancer incidence and death across age and sex groups
visualized using multilevel spie charts.
AB - Cancer incidence and death statistics are typically recorded for multiple age and
sex brackets, leading to large data tables which are difficult to digest.
Effective visualizations of this data would allow practitioners, policy makers,
and the general public to comprehend the data more readily and act on it
appropriately. We introduce multilevel spie charts to create a combined
visualization of cancer incidence and death statistics. Spie charts combine
multiple pie charts, where the base pie chart (representing the general
population) is used to set the angles of slices, and the superimposed ones use
variable radii to portray the cancer data. Spie charts of cancer incidence and
death statistics from Israel for 2009-2011 are used as an illustration. These
charts clearly show various patterns of how cancer incidence and death distribute
across age and sex groups, illustrating (1) absolute numbers and (2) rates per
100,000 population for different age and sex brackets. In addition, drawing
separate charts for different cancer types illustrates relative mortality, both
(3) across cancer types and (4) mortality relative to incidence. Naturally, this
graphical depiction can be used for other diseases as well.
PMID- 26560992
TI - Systematic reviews experience major limitations in reporting absolute effects.
AB - OBJECTIVES: Expressing treatment effects in relative terms yields larger numbers
than expressions in absolute terms, affecting the judgment of the clinicians and
patients regarding the treatment options. It is uncertain how authors of
systematic reviews (SRs) absolute effect estimates are reported in. We therefore
undertook a systematic survey to identify and describe the reporting and methods
for calculating absolute effect estimates in SRs. STUDY DESIGN AND SETTING: Two
reviewers independently screened title, abstract, and full text and extracted
data from a sample of Cochrane and non-Cochrane SRs. We used regression analyses
to examine the association between study characteristics and the reporting of
absolute estimates for the most patient-important outcome. RESULTS: We included
202 SRs (98 Cochrane and 104 non-Cochrane), most of which (92.1%) included
standard meta-analyses including relative estimates of effect. Of the 202 SRs, 73
(36.1%) reported absolute effect estimates for the most patient-important
outcome. SRs with statistically significant effects were more likely to report
absolute estimates (odds ratio, 2.26; 95% confidence interval: 1.08, 4.74). The
most commonly reported absolute estimates were: for each intervention, risk of
adverse outcomes expressed as a percentage (41.1%); number needed to treat
(26.0%); and risk for each intervention expressed as natural units or natural
frequencies (24.7%). In 12.3% of the SRs that reported absolute effect estimates
for both benefit and harm outcomes, harm outcomes were reported exclusively as
absolute estimates. Exclusively reporting of beneficial outcomes as absolute
estimates occurred in 6.8% of the SRs. CONCLUSIONS: Most SRs do not report
absolute effects. Those that do often report them inadequately, thus requiring
users of SRs to generate their own estimates of absolute effects. For any
apparently effective or harmful intervention, SR authors should report both
absolute and relative estimates to optimize the interpretation of their findings.
PMID- 26560993
TI - Automated image analysis with the potential for process quality control
applications in stem cell maintenance and differentiation.
AB - The translation of laboratory processes into scaled production systems suitable
for manufacture is a significant challenge for cell based therapies; in
particular there is a lack of analytical methods that are informative and
efficient for process control. Here the potential of image analysis as one part
of the solution to this issue is explored, using pluripotent stem cell colonies
as a valuable and challenging exemplar. The Cell-IQ live cell imaging platform
was used to build image libraries of morphological culture attributes such as
colony "edge," "core periphery" or "core" cells. Conventional biomarkers, such as
Oct3/4, Nanog, and Sox-2, were shown to correspond to specific morphologies using
immunostaining and flow cytometry techniques. Quantitative monitoring of these
morphological attributes in-process using the reference image libraries showed
rapid sensitivity to changes induced by different media exchange regimes or the
addition of mesoderm lineage inducing cytokine BMP4. The imaging sample size to
precision relationship was defined for each morphological attribute to show that
this sensitivity could be achieved with a relatively low imaging sample. Further,
the morphological state of single colonies could be correlated to individual
colony outcomes; smaller colonies were identified as optimum for homogenous early
mesoderm differentiation, while larger colonies maintained a morphologically
pluripotent core. Finally, we show the potential of the same image libraries to
assess cell number in culture with accuracy comparable to sacrificial digestion
and counting. The data supports a potentially powerful role for quantitative
image analysis in the setting of in-process specifications, and also for
screening the effects of process actions during development, which is highly
complementary to current analysis in optimization and manufacture.
PMID- 26560994
TI - Decreased Gap Width in a Cylindrical High-Field Asymmetric Waveform Ion Mobility
Spectrometry Device Improves Protein Discovery.
AB - High-field asymmetric waveform ion mobility spectrometry (FAIMS) is an
atmospheric pressure ion mobility technique that separates gas phase ions
according to their characteristic dependence of ion mobility on electric field
strength. FAIMS can be implemented as a means of automated gas-phase
fractionation in liquid chromatography-tandem mass spectrometry (LC-MS/MS)
experiments. We modified a commercially available cylindrical FAIMS device by
enlarging the inner electrode, thereby narrowing the gap and increasing the
effective field strength. This modification provided a nearly 4-fold increase in
FAIMS peak capacity over the optimally configured unmodified device. We employed
the modified FAIMS device for on-line fractionation in a proteomic analysis of a
complex sample and observed major increases in protein discovery. NanoLC-FAIMS
MS/MS of an unfractionated yeast tryptic digest using the modified FAIMS device
identified 53% more proteins than were identified using an unmodified FAIMS
device and 98% more proteins than were identified with unaided nanoLC-MS/MS. We
describe here the development of a nanoLC-FAIMS-MS/MS protocol that provides
automated gas-phase fractionation for proteomic analysis of complex protein
digests. We compare this protocol against prefractionation of peptides with
isoelectric focusing and demonstrate that FAIMS fractionation yields comparable
protein recovery while significantly reducing the amount of sample required and
eliminating the need for additional sample handling.
PMID- 26560997
TI - Asymmetrically Substituted and pi-Conjugated 2,2'-Bipyridine Derivatives:
Synthesis, Spectroscopy, Computation, and Crystallography.
AB - A new series of monosubstituted styryl- and bistyryl-2,2'-bipyridine luminophores
(compounds 16-23) have been synthesized via Horner-Wadsworth-Emmons reaction
involving a monophosphonate and donor aromatic aldehydes. In the title
chromophores, the amino donors are varied between acyclic and cyclic while the
alkoxy donors are varied in terms of their number and position. The absorption
maxima of these chromophores shift predominantly due to intramolecular charge
transfer (ICT) between different donor and acceptor moieties. The title donor
acceptor molecules exhibit intense fluorescence in solution at room temperature,
and their emissive behavior has been found to be highly sensitive to solvent
polarity. The fluorescence spectra and quantum yields of all the chromophores
were recorded in four different solvent media, and the chromophores 16, 17, 19,
and 21 exhibit fluorescence in the solid state too. The influence of the nature
and position of the donor functionalities in the conjugated backbone of the
bipyridine moiety on the electronic absorption properties of the title
chromophores (16-23) has been demonstrated, which has further been corroborated
by DFT and TD-DFT computation both in gas phase and in solution phase. The
crystal structure of compound 18 has been described as a representative member of
the family (16-23).
PMID- 26560995
TI - Fabrication of tissue-engineered vascular grafts with stem cells and stem cell
derived vascular cells.
AB - INTRODUCTION: Cardiovascular disease is the leading cause of mortality worldwide.
Current surgical treatments for cardiovascular disease include vascular bypass
grafting and replacement with autologous blood vessels or synthetic vascular
grafts. However, there is a call for better alternative biological grafts. AREAS
COVERED: Tissue-engineered vascular grafts (TEVGs) are promising novel
alternatives to replace diseased vessels. However, obtaining enough functional
and clinically usable vascular cells for fabrication of TEVGs remains a major
challenge. New findings in adult stem cells and recent advances in pluripotent
stem cells have opened a new avenue for stem cell-based vascular engineering. In
this review, recent advances on stem cell sourcing for TEVGs including the use of
adult stem cells and pluripotent stem cells and advantages, disadvantages, and
possible future implementations of different types of stem cells will be
discussed. In addition, current strategies used during the fabrication of TEVGs
will be highlighted. EXPERT OPINION: The application of patient-specific TEVGs
constructed with vascular cells derived from immune-compatible stem cells
possesses huge clinical potential. Advances in lineage-specific differentiation
approaches and innovative vascular engineering strategies will promote the
vascular regeneration field from bench to bedside.
PMID- 26560998
TI - A Helix-Stabilized Cell-Penetrating Peptide as an Intracellular Delivery Tool.
AB - Two types of cationic cyclic alpha,alpha-disubstituted alpha-amino acids:
ApiC2NH2 (which possesses a lysine mimic side chain) and Api(C2Gu) (which
possesses an arginine mimic side chain), were developed. These amino acids were
incorporated into an arginine-based peptide sequence [(L-Arg-L-Arg-dAA)3:
dAA=ApiC2NH2 or Api(C2Gu)], and the relationship between the secondary structures
of the resulting peptides and their ability to pass through cell membranes was
investigated. The peptide containing Api(C2Gu) formed a stable alpha-helical
structure and was more effective at penetrating cells than the nonhelical Arg
nonapeptide (R9). Furthermore, the peptide was able to deliver plasmid DNA into
various types of cells in a highly efficient manner.
PMID- 26560996
TI - Developmental vitamin D deficiency and schizophrenia: the role of animal models.
AB - Schizophrenia is a debilitating neuropsychiatric disorder that affects 1% of the
US population. Based on twin and genome-wide association studies, it is clear
that both genetics and environmental factors increase the risk for developing
schizophrenia. Moreover, there is evidence that conditions in utero, either alone
or in concert with genetic factors, may alter neurodevelopment and lead to an
increased risk for schizophrenia. There has been progress in identifying genetic
loci and environmental exposures that increase risk, but there are still
considerable gaps in our knowledge. Furthermore, very little is known about the
specific neurodevelopmental mechanisms upon which genetics and the environment
act to increase disposition to developing schizophrenia in adulthood. Vitamin D
deficiency during the perinatal period has been hypothesized to increase risk for
schizophrenia in humans. The developmental vitamin D (DVD) deficiency hypothesis
of schizophrenia arises from the observation that disease risk is increased in
individuals who are born in winter or spring, live further from the equator or
live in urban vs. rural settings. These environments result in less exposure to
sunlight, thereby reducing the initial steps in the production of vitamin D.
Rodent models have been developed to characterize the behavioral and
developmental effects of DVD deficiency. This review focuses on these animal
models and discusses the current knowledge of the role of DVD deficiency in
altering behavior and neurobiology relevant to schizophrenia.
PMID- 26560999
TI - Atroposelective Synthesis of Axially Chiral Biaryldiols via Organocatalytic
Arylation of 2-Naphthols.
AB - The first phosphoric acid-catalyzed asymmetric direct arylative reactions of 2
naphthols with quinone derivatives have been developed, providing efficient
access to a class of axially chiral biaryldiols in good yields with excellent
enantioselectivities under mild reaction conditions. This approach is a highly
convergent and functional group tolerant route to the rapid construction of
axially chiral compounds from simple, readily available starting materials. The
excellent stereocontrol of the process stems from efficient transfer of
stereochemical information from the chiral phosphoric acid into the axis
chirality of the biaryldiol products. Preliminary results demonstrate that the
biaryldiols can act as efficient chiral ligands in asymmetric transformations.
PMID- 26561000
TI - Transcription factor-mediated reprograming of fibroblasts to hepatocyte-like
cells.
AB - Direct conversion by overexpression of defined transcription factors (TFs) is a
promising new method that can generate desired cell types from abundant,
accessible cells. While previous studies have reported hepatic generation from
fibroblasts, tremendous interest exists in the understanding of hepatic
reprograming and its applicability in regenerative medicine. Here, we show that
overexpression of Yamanaka factors can induce reprograming of mouse fibroblasts
into cells that closely resemble hepatocytes in vitro in the presence of an
optimized hepatic growth medium. By screening the effects of 20 candidate
transcription factors, we identified a combination of three TFs (Hnf4a, Cebpa,
and Nr1i2) that can convert fibroblasts into a hepatic fate. These factors in
conjunction with Yamanaka factors increase the efficiency of hepatic
reprograming. The induced hepatocyte-like (iHep) cells have multiple hepatocyte
specific characteristics; express hepatocyte-specific markers, glycogen storage,
albumin secretion, urea production, as well as low-density lipoprotein and
indocyanin green uptake. Production of iHep cells by these novel approaches may
bring new insights into the molecular nature of hepatocyte differentiation and
future cell-based therapeutics for liver diseases.
PMID- 26561001
TI - Periodic Breathing in Heart Failure Explained by Dynamic and Static Properties of
Respiratory Control.
AB - OBJECTIVE: The respiratory operating point is determined by the interplay between
the controller and plant subsystem elements within the respiratory chemoreflex
feedback system. This study aimed to establish the methodological basis for
quantitative analysis of the open-loop dynamic properties of the human
respiratory control system and to apply the results to explore detailed
mechanisms of the regulation of respiration and the possible mechanism of
periodic breathing in chronic heart failure. METHODS AND RESULTS: In healthy
volunteers, we measured arterial CO2 partial pressure (PaCO2) and minute
ventilation [Formula: see text] to estimate the dynamic properties of the
controller ( [Formula: see text] relation) and plant ( [Formula: see text]
relation). The dynamic properties of the controller and plant approximated first-
and second-order exponential models, respectively, and were described using
parameters including gain, time constant, and lag time. We then used the open
loop transfer functions to simulate the closed-loop respiratory response to an
exogenous disturbance, while manipulating the parameter values to deviate from
normal values but within physiological ranges. By increasing both the product of
gains of the two subsystem elements (total loop gain) and the lag time, the
condition of system oscillation (onset of periodic breathing) was satisfied.
CONCLUSION: When abnormality occurs in a part of the respiratory chemoreflex
system, instability of the control system is amplified and may result in the
manifestation of respiratory abnormalities such as periodic breathing.
PMID- 26561002
TI - Quantification of hepatic functional capacity: a call for standardization.
AB - Reliable assessments of liver function are becoming increasingly important as
more patients with surgically amenable liver disease are considered for
treatment. Static markers of liver function are not sufficient to provide
accurate assessments of hepatic function in order to risk stratify patients
undergoing hepatic resection. Metabolic tests are dynamic indicators of liver
function, but can be unreliable under certain conditions and thus difficult to
make comparisons. Clearance tests avoid some of the pitfalls encountered during
metabolic testing, but depend on hepatic blood flow and say little about
hepatocyte function. Testing that combines imaging with measures of hepatocyte
uptake may offer the most utility when planning surgical resections.
PMID- 26561003
TI - Novel Hits in the Correction of DeltaF508-Cystic Fibrosis Transmembrane
Conductance Regulator (CFTR) Protein: Synthesis, Pharmacological, and ADME
Evaluation of Tetrahydropyrido[4,3-d]pyrimidines for the Potential Treatment of
Cystic Fibrosis.
AB - Cystic fibrosis (CF) is a lethal genetic disease caused by mutations of the gene
encoding the cystic fibrosis transmembrane conductance regulator (CFTR) with a
prevalence of the DeltaF508 mutation. Whereas the detailed mechanisms underlying
disease have yet to be fully elucidated, recent breakthroughs in clinical trials
have demonstrated that CFTR dysfunction can be corrected by drug-like molecules.
On the basis of this success, a screening campaign was carried out, seeking new
drug-like compounds able to rescue DeltaF508-CFTR that led to the discovery of a
novel series of correctors based on a tetrahydropyrido[4,3-d]pyrimidine core.
These molecules proved to be soluble, cell-permeable, and active in a disease
relevant functional-assay. The series was then further optimized with emphasis on
biological data from multiple cell systems while keeping physicochemical
properties under strict control. The pharmacological and ADME profile of this
corrector series hold promise for the development of more efficacious compounds
to be explored for therapeutic use in CF.
PMID- 26561004
TI - Identifying and quantifying two ligand-binding sites while imaging native human
membrane receptors by AFM.
AB - A current challenge in life sciences is to image cell membrane receptors while
characterizing their specific interactions with various ligands. Addressing this
issue has been hampered by the lack of suitable nanoscopic methods. Here we
address this challenge and introduce multifunctional high-resolution atomic force
microscopy (AFM) to image human protease-activated receptors (PAR1) in the
functionally important lipid membrane and to simultaneously localize and quantify
their binding to two different ligands. Therefore, we introduce the surface
chemistry to bifunctionalize AFM tips with the native receptor-activating peptide
and a tris-N-nitrilotriacetic acid (tris-NTA) group binding to a His10-tag
engineered to PAR1. We further introduce ways to discern between the binding of
both ligands to different receptor sites while imaging native PAR1s. Surface
chemistry and nanoscopic method are applicable to a range of biological systems
in vitro and in vivo and to concurrently detect and localize multiple ligand
binding sites at single receptor resolution.
PMID- 26561005
TI - Addition to "Phosphoproteomic Analysis of Aurora Kinase Inhibition in Monopolar
Cytokinesis".
PMID- 26561006
TI - iSRAP - a one-touch research tool for rapid profiling of small RNA-seq data.
AB - Small non-coding RNAs have been significantly recognized as the key modulators in
many biological processes, and are emerging as promising biomarkers for several
diseases. These RNA species are transcribed in cells and can be packaged in
extracellular vesicles, which are small vesicles released from many biotypes, and
are involved in intercellular communication. Currently, the advent of next
generation sequencing (NGS) technology for high-throughput profiling has further
advanced the biological insights of non-coding RNA on a genome-wide scale and has
become the preferred approach for the discovery and quantification of non-coding
RNA species. Despite the routine practice of NGS, the processing of large data
sets poses difficulty for analysis before conducting downstream experiments.
Often, the current analysis tools are designed for specific RNA species, such as
microRNA, and are limited in flexibility for modifying parameters for
optimization. An analysis tool that allows for maximum control of different
software is essential for drawing concrete conclusions for differentially
expressed transcripts. Here, we developed a one-touch integrated small RNA
analysis pipeline (iSRAP) research tool that is composed of widely used tools for
rapid profiling of small RNAs. The performance test of iSRAP using publicly and
in-house available data sets shows its ability of comprehensive profiling of
small RNAs of various classes, and analysis of differentially expressed small
RNAs. iSRAP offers comprehensive analysis of small RNA sequencing data that
leverage informed decisions on the downstream analyses of small RNA studies,
including extracellular vesicles such as exosomes.
PMID- 26561007
TI - Black tea: Phytochemicals, cancer chemoprevention, and clinical studies.
AB - Tea (Camellia sinensis L.) is the most popular, flavored, functional, and
therapeutic non-alcoholic drink consumed by two-thirds of the world's population.
Black tea leaves are reported to contain thousands of bioactive constituents such
as polyphenols, amino acids, volatile compounds, and alkaloids that exhibit a
range of promising pharmacological properties. Due to strong antioxidant
property, black tea inhibits the development of various cancers by regulating
oxidative damage of biomolecules, endogenous antioxidants, and pathways of
mutagen and transcription of antioxidant gene pool. Regular drinking of
phytochemicals-rich black tea is linked to regulate several molecular targets,
including COX-2, 5-LOX, AP-1, JNK, STAT, EGFR, AKT, Bcl2, NF-kappaB, Bcl-xL,
caspases, p53, FOXO1, TNFalpha, PARP, and MAPK, which may be the basis of how
dose of black tea prevents and cures cancer. In vitro and preclinical studies
support the anti-cancer activity of black tea; however, its effect in human
trails is uncertain, although more clinical experiments are needed at molecular
levels to understand its anti-cancer property. This review discusses the current
knowledge on phytochemistry, chemopreventive activity, and clinical applications
of black tea to reveal its anti-cancer effect.
PMID- 26561008
TI - Differential Large-Amplitude Breathing Motions in the Interface of FKBP12-Drug
Complexes.
AB - The tight complexes FKBP12 forms with immunosuppressive drugs, such as FK506 and
rapamycin, are frequently used as models for developing approaches to structure
based drug design. Although the interfaces between FKBP12 and these ligands are
well-defined structurally and are almost identical in the X-ray crystallographic
structures of various complexes, our nuclear magnetic resonance studies have
revealed the existence of substantial large-amplitude motions in the FKBP12
ligand interfaces that depend on the nature of the ligand. We have monitored
these motions by measuring the rates of Tyr and Phe aromatic ring flips, and
hydroxyl proton exchange for residues clustered within the FKBP12-ligand
interface. The results show that the rates of hydroxyl proton exchange and ring
flipping for Tyr26 are much slower in the FK506 complex than in the rapamycin
complex, whereas the rates of ring flipping for Phe48 and Phe99 are significantly
faster in the FK506 complex than in the rapamycin complex. The apparent rate
differences observed for the interfacial aromatic residues in the two complexes
confirm that these dynamic processes occur without ligand dissociation. We
tentatively attribute the differential interface dynamics for these complexes to
a single hydrogen bond between the zeta-hydrogen of Phe46 and the C32 carbonyl
oxygen of rapamycin, which is not present in the KF506 complex. This newly
identified Phe46 zeta-hydrogen bond in the rapamycin complex imposes motional
restriction on the surrounding hydrophobic cluster and subsequently regulates the
dynamics within the protein-ligand interface. Such information concerning large
amplitude dynamics at drug-target interfaces has the potential to provide novel
clues for drug design.
PMID- 26561009
TI - Patient dissatisfaction after total knee arthroplasty for hemophilic arthropathy
and osteoarthritis (non-hemophilia patients).
AB - In advanced painful hemophilic arthropathy of the knee (APHAK) and advanced
painful osteoarthritis of the knee (APOAK) the last resort is total knee
arthroplasty (TKA). However, some patients with APOAK are not satisfied despite a
good clinical result. A review the literature on APHAK and APOAK was performed to
know their rates of dissatisfaction and their main causes. In APOAK the rate of
dissatisfaction ranges between 3 and 28.3%. Causes of dissatisfaction in APOAK
are high preoperative body mass index, lack of fulfillment of patient
expectations, a low 1-year WOMAC, preoperative pain at rest, a postoperative
complication requiring hospital readmission, and a poor preoperative
psychological state. Very limited information exists on APAHAK in the literature,
but it also shows an increase in patient satisfaction after TKA. However, the
results do not reach the same level as in patients with APOAK, due to residual
symptoms and impairment of other joints.
PMID- 26561010
TI - Spaceflight Effects on Cytochrome P450 Content in Mouse Liver.
AB - Hard conditions of long-term manned spaceflight can affect functions of many
biological systems including a system of drug metabolism. The cytochrome P450
(CYP) superfamily plays a key role in the drug metabolism. In this study we
examined the hepatic content of some P450 isoforms in mice exposed to 30 days of
space flight and microgravity. The CYP content was established by the mass
spectrometric method of selected reaction monitoring (SRM). Significant changes
in the CYP2C29, CYP2E1 and CYP1A2 contents were detected in mice of the flight
group compared to the ground control group. Within seven days after landing and
corresponding recovery period changes in the content of CYP2C29 and CYP1A2
returned to the control level, while the CYP2E1 level remained elevated. The
induction of enzyme observed in the mice in the conditions of the spaceflight
could lead to an accelerated biotransformation and change in efficiency of
pharmacological agents, metabolizing by corresponding CYP isoforms. Such
possibility of an individual pharmacological response to medication during long
term spaceflights and early period of postflight adaptation should be taken into
account in space medicine.
PMID- 26561011
TI - Clinical relevance of single nucleotide polymorphisms within the 13 cytokine
genes in North Indian trauma hemorrhagic shock patients.
AB - INTRODUCTION: The susceptibility to adverse outcome from critical injury
(occurrence of sepsis, septic shock, organ dysfunction/failure, and mortality)
varies dramatically due to different degrees of inflammatory response. We
assessed the relationship of the genotype distribution of various cytokine gene
polymorphisms (CGP) with regard to the development of sepsis, organ dysfunction
or mortality in severely injured patients. METHOD: Observational, hospital-based
cohort study of 114 severely injured North Indian patients from New Delhi
admitted to the Emergency Department (ED) of Trauma Centre, AIIMS. Patients were
monitored from day first to discharge or death, measuring SOFA score, sepsis and
septic shock occurrences up to one month. We have analyzed 13 cytokine genes,
including the SNPs of structural and regulatory regions at 22 positions. RESULTS:
Sequence-specific primer based PCR indicated that eight polymorphic loci IL
1alpha /-889, IL-1beta/-511, IL-1R (pstI 1970), TGF-beta/ code 10, TNF-alpha/
308, TNF-alpha/-238, IL-6/+565 and IL-10/-1082, out of 22 SNPs are significantly
associated with sepsis morbidity and outcome. Theses SNPs might be used as risk
determinants of the outcome. Patients with IL-10 (-1082A/A) genotypes were found
significantly higher in post traumatic sepsis patients and had a significantly
higher risk to developed sepsis complication (p < 0.05, OR = 0.86, C.I = 0.08
8.8).In case of TNF-alpha (-308) position, GA and GG genotype patients have a
significantly lower risk of poor outcome (p < 0.05, OR = 0.25, C.I = 0.01-1.3)
and (p < 0.05, OR = 0.22, C.I = 0.01-0.5) in comparison to AA genotype. In this
study, two polymorphisms (IL-1beta (-511) and IL-1R) were significantly
associated with the development of MOF and mortality, where as IL-1alpha (-889)
polymorphism associated with susceptibility for sepsis. The distribution of
haplotypes of TGF-beta and IL-6 were also associated with sepsis susceptibility
and outcome. CONCLUSION: In conclusion, we have found that the alternations in
the genotype and allele frequency of IL-1beta (-511C/T), TNF-alpha (-308 G/A),
TNF-alpha (-238 G/A) and IL-10 (-1082 G/A) genes are associated with an higher
risk of sepsis development in trauma patients and outcomes.
PMID- 26561013
TI - Zoonotic ocular onchocercosis caused by Onchocerca lupi in dogs in Romania.
AB - Onchocerca lupi is a filarial nematode, which infects the scleral conjunctival
tissue of dogs, wolves and cats. Whilst adult nematodes localize in the
conjunctive tissue of sclera or in the retrobulbar, microfilariae are found in
the skin, and they are rarely diagnosed in asymptomatic animals. Since the first
report of human ocular infection 5 years ago, up to 10 zoonotic cases have been
identified in patients worldwide. We report, for the first time in Romania, three
cases of canine ocular onchocercosis in dogs. Fragments of the harvested worms
were characterized morphologically and molecularly. This article expands
knowledge on the distribution of this parasite in Eastern Europe and sounds an
alarm bell for ophthalmologists about the possible occurrence of human cases of
O. lupi infection.
PMID- 26561012
TI - Circulating Endocannabinoids and the Polymorphism 385C>A in Fatty Acid Amide
Hydrolase (FAAH) Gene May Identify the Obesity Phenotype Related to
Cardiometabolic Risk: A Study Conducted in a Brazilian Population of Complex
Interethnic Admixture.
AB - The dysregulation of the endocannabinoid system is associated with
cardiometabolic complications of obesity. Allelic variants in coding genes for
this system components may contribute to differences in the susceptibility to
obesity and related health hazards. These data have mostly been shown in
Caucasian populations and in severely obese individuals. We investigated a
multiethnic Brazilian population to study the relationships among the
polymorphism 385C>A in an endocannabinoid degrading enzyme gene (FAAH),
endocannabinoid levels and markers of cardiometabolic risk. Fasting plasma levels
of endocannabinoids and congeners (anandamide, 2-arachidonoylglycerol, N
oleoylethanolamide and N-palmitoylethanolamide) were measured by liquid
chromatography-mass spectrometry in 200 apparently healthy individuals of both
genders with body mass indices from 22.5 +/- 1.8 to 35.9 +/- 5.5 kg/m2 (mean +/-
1 SD) and ages between 18 and 60 years. All were evaluated for anthropometric
parameters, blood pressure, metabolic variables, homeostatic model assessment of
insulin resistance (HOMA-IR), adiponectin, leptin, C-reactive protein, and
genotyping. The endocannabinoid levels increased as a function of obesity and
insulin resistance. The homozygous genotype AA was associated with higher levels
of anandamide and lower levels of adiponectin versus wild homozygous CC and
heterozygotes combined. The levels of anandamide were independent and positively
associated with the genotype AA position 385 of FAAH, C-reactive protein levels
and body mass index. Our findings provide evidence for an endocannabinoid-related
phenotype that may be identified by the combination of circulating anandamide
levels with genotyping of the FAAH 385C>A; this phenotype is not exclusive to
mono-ethnoracial populations nor to individuals with severe obesity.
PMID- 26561014
TI - An overview of investigational new drugs for treating ankylosing spondylitis.
AB - INTRODUCTION: Ankylosing spondylitis (AS) is a chronic inflammatory rheumatic
condition. Pharmacological treatment relies on nonsteroidal anti-inflammatory
drugs, disease-modifying anti-rheumatic drugs (in case of peripheral involvement)
and anti-TNF agents in case of inadequate response. To date, there are no
alternate options, and about 30% of the patients do not adequately respond to
anti-TNF therapy. AREAS COVERED: This overview is based on recent publications
and programmed studies. The author provides the reader with an overview of AS,
its current management and provide details of novel insights into the disorder.
From there, the authors highlight novel treatments under investigation before
providing their expert opinion on the field. EXPERT OPINION: The first results
with biodrugs targeting the IL-23/Th17 pathway are encouraging, and secukinumab
will likely be available in the forthcoming years to treat AS. Other targets may
be evaluated in this axis. The author believes that additional head-to-head
studies are needed find the place of these new drugs in AS treatment strategies.
Further studies are also needed to better evaluate their long-term outcome and
safety.
PMID- 26561015
TI - Giant prolactinomas: are they really different from ordinary macroprolactinomas?
AB - Giant prolactinomas (gPRLomas) are rare tumors of the lactotroph defined by an
unusually large size (>4 cm) and serum PRL levels >1000 ng/mL. The purpose of
this study is to characterize the clinical spectrum of gPRLomas comparing them
with non-giant prolactinomas. This is a retrospective study at a large referral
center. Data from patients harboring gPRLomas and macroprolactinomas were
retrieved from medical records of the Prolactinoma Clinic. Analysis was focused
on clinical, biochemical, and tumor volume characteristics, as well as on the
response to treatment with dopamine agonists. Among 292 patients with
prolactinomas followed between 2008 and 2015, 47 (16 %) met the diagnostic
criteria for gPRLomas (42 males). The most common complaint was a visual field
defect; headache was reported by 79 % and sexual dysfunction was present in over
half of the patients. Median basal PRL level and tumor volume were 6667 ng/mL
(3750-10,000) and 32 cm(3) (20-50), respectively; hypogonadotropic hypogonadism
was documented in 87 %. Cabergoline treatment resulted in the normalization of
PRL levels in 68 % and in the reduction of >50 % in tumor volume in 87 % of the
gPRLoma patients. The composite goal of PRL normalization and >50 % tumor
reduction was achieved by 55 % (n = 26) of patients with gPRL and by 66 % (n =
100) of patients with no giant macroprolactinomas (p = 0.19). Recovery of
hypogonadism and improvement of visual fields defects occurred in 32 % and 68 %
of the patients, respectively. Cabergoline treatment was equally effective in
patients with gPRLoma and those with macroprolactinomas in regard of achieving
treatment goals, although the median CBG dose was slightly higher in the gPRLoma
group (2 vs. 1.5 mg/w). Six patients required surgery. Beyond their impressive
dimensions and the huge amount of PRL they secrete, the clinical behavior of
gPRLoma is not different from macroprolactinomas. These tumors are highly
responsive to cabergoline treatment, and pituitary surgery is seldom required.
PMID- 26561016
TI - Understanding the virulence of the entero-aggregative E. coli O104:H4.
AB - O104:H4 is a new strain of E. coli that has caused an outbreak in Germany. It was
isolated from patients with bloody diarrhoea and Haemolytic Uremic Syndrome
(HUS). BGI (www.genomics.cn) sequenced and assembled this new strain. It was
reported to show resistance to a number of drugs that are toxic to other E. coli
and causes serious complications during infections, which ultimately lead to
death. Multi-drug resistance and high virulence of this strain is thought to be
acquired from different sources, by horizontal gene transfer. A total of 38
prophage elements were detected from the new strain by using three computational
tools viz., DRAD, Prophage Finder and PHAST. Analysis on these prophage elements
shows a number of virulence proteins like Shiga toxin and multi-drug resistance
protein encoding genes. The high virulence of the strain could be attributed by
the prophage elements acquired from its micro environment.
PMID- 26561017
TI - Predicting DNA mutations during cancer evolution.
AB - Bio-systems are inherently complex information processing systems. Their
physiological complexities limit the formulation and testing of a hypothesis for
their behaviour. Our goal here was to test a computational framework utilising
published data from a longitudinal study of patients with acute myeloid leukaemia
(AML), whose DNA from both normal and malignant tissues were subjected to NGS
analysis at various points in time. By processing the sequencing data before
relapse time, we tested our framework by predicting the regions of the genome to
be mutated at relapse time and, later, by comparing our results with the actual
regions that showed mutations (discovered by genome sequencing at relapse time).
After a detailed statistical analysis, the resulting correlation coefficient
(degree of matching of proposed framework with real data) is 0.9816 +/- 0.009 at
95% confidence interval. This high performance from our proposed framework opens
new research opportunities for bioinformatics researchers and clinical doctors.
PMID- 26561018
TI - Mining amino acid association patterns in class B GPCRs.
AB - Class B GPCR family is a small group of receptors which are activated by peptides
of intermediate length that range from 30 to 40 amino acid residues including
hormones, neuropeptides and autocrine factors that mediate diverse physiological
functions. They are involved in physiological processes like glucose homeostasis
(glucagon and glucagon-like peptide-1), calcium homeostasis and bone turnover
(parathyroid hormone and calcitonin), and control of the stress axis
(corticotropin-releasing factor). Most of the GPCR structures and their functions
are still unknown. Thus, the study of amino acid association patterns can be
useful in prediction of their structure and functions. In view of above, in this
paper, an attempt has been made to explore amino acid association patterns in
class B GPCRs and their relationships with secondary structures and
physiochemical properties. The fuzzy association rule mining is employed to take
care of uncertainty due to variation in length of sequences. The association
rules have been generated with the help of patterns discovered in the sequences.
PMID- 26561019
TI - Mining nutrigenetics patterns related to obesity: use of parallel multifactor
dimensionality reduction.
AB - This paper aims to enlighten the complex etiology beneath obesity by analysing
data from a large nutrigenetics study, in which nutritional and genetic factors
associated with obesity were recorded for around two thousand individuals. In our
previous work, these data have been analysed using artificial neural network
methods, which identified optimised subsets of factors to predict one's obesity
status. These methods did not reveal though how the selected factors interact
with each other in the obtained predictive models. For that reason, parallel
Multifactor Dimensionality Reduction (pMDR) was used here to further analyse the
pre-selected subsets of nutrigenetic factors. Within pMDR, predictive models
using up to eight factors were constructed, further reducing the input
dimensionality, while rules describing the interactive effects of the selected
factors were derived. In this way, it was possible to identify specific genetic
variations and their interactive effects with particular nutritional factors,
which are now under further study.
PMID- 26561020
TI - BioInt: an integrative biological object-oriented application framework and
interpreter.
AB - BioInt, a biological programming application framework and interpreter, is an
attempt to equip the researchers with seamless integration, efficient extraction
and effortless analysis of the data from various biological databases and
algorithms. Based on the type of biological data, algorithms and related
functionalities, a biology-specific framework was developed which has nine
modules. The modules are a compilation of numerous reusable BioADTs. This
software ecosystem containing more than 450 biological objects underneath the
interpreter makes it flexible, integrative and comprehensive. Similar to Python,
BioInt eliminates the compilation and linking steps cutting the time
significantly. The researcher can write the scripts using available BioADTs
(following C++ syntax) and execute them interactively or use as a command line
application. It has features that enable automation, extension of the framework
with new/external BioADTs/libraries and deployment of complex work flows.
PMID- 26561021
TI - Impact of pixel intensity correlations on statistical inferences of expression
levels in cDNA microarray experiments.
AB - In a cDNA microarray experiment, the final measurement is intensity ratio at a
spot in the microarray chip. The objective of the present study is to estimate
the uncertainty associated with the final intensity ratio at each spot in cDNA
microarray chips and also to explore the role of pixel intensity correlations in
statistical inferences of gene expression levels. We estimate uncertainty at each
spot using the theory of error propagation under two different situations: (1)
when there is no correlation between pixel intensities and (2) when the pixel
intensities are positively correlated. The inverses of these estimated
uncertainties are used as weights in downstream analysis to test the significance
of each gene. The analysis was verified on a data downloaded from the GEO
database. Our study shows that the uncertainty and statistical inference of gene
expression levels depend on correlation between pixel intensities within a spot.
PMID- 26561022
TI - Analysing extremely small sized ratio datasets.
AB - The naive use of expression ratios in high-throughput biological studies can
greatly limit analytical outcome especially when sample size is small. In the
worst-case scenario, with only one reference and one test state each (often due
to the severe lack of study material); such limitations make it difficult to
perform statistically meaningful analysis. Workarounds include the single sample
Z-test or through network inference. Here, we describe a complementary plot-based
approach for analysing such extremely small sized ratio (ESSR) data - a
generalisation of the Bland-Altman plot, which we shall refer to as the Dodeca
Panels. Included in this paper is an R implementation of the Dodeca-Panels
method.
PMID- 26561023
TI - Care delivery and outcomes among US veterans with hepatitis B: A national cohort
study.
AB - Previous studies have identified gaps in hepatitis B care. The objectives of this
study were to evaluate the delivery of care among a national cohort of US
veterans with chronic hepatitis B infection and examine risk factors for adverse
clinical outcomes. We conducted a retrospective cohort study using the Veterans
Health Administration Corporate Data Warehouse from 1999 to 2013 to evaluate (1)
care delivery and (2) clinical outcomes such as hepatocellular carcinoma, hepatic
decompensation, and mortality among US veterans with hepatitis B. Incidence rates
with 95% confidence intervals were calculated and Cox regression models were used
to evaluate clinical outcomes. We identified 21,419 veterans with a positive
hepatitis B surface antigen, and 97% of patients had alanine aminotransferase and
44% had hepatitis B virus DNA testing; hepatitis B e antigen and hepatitis B e
antibody were tested <50% of the time. Patients receiving specialty care had a
higher prevalence of recommended laboratory testing. Patients with elevated
alanine aminotransferase in specialty care were more likely to receive antiviral
therapy (50% versus 24% for specialty care versus no specialty care, P < 0.001).
Among patients with cirrhosis, 69% received one-time liver imaging. The
proportion of follow-up time adherent to annual imaging was 0.39 (standard
deviation = 0.42), and the proportion was 0.28 (standard deviation = 0.33) for
biannual imaging; both proportions were higher in the specialty care group (all P
< 0.05). Antiviral therapy (hazard ratio = 0.85, 95% confidence interval 0.76
0.95, P = 0.005) and liver imaging (hazard ratio = 0.84, 95% confidence interval
0.76-0.91, P < 0.001) were independently associated with decreased mortality in
adjusted analyses. CONCLUSION: We observed a low prevalence of recommended
laboratory testing, antiviral therapy initiation, and liver imaging among a
national cohort of veterans with hepatitis B infection; antiviral therapy and
liver imaging were independently associated with decreased mortality. (Hepatology
2016;63:1774-1782).
PMID- 26561024
TI - [Research applications in digital radiology. Big data and co].
AB - Medical imaging produces increasingly complex images (e.g. thinner slices and
higher resolution) with more protocols, so that image reading has also become
much more complex. More information needs to be processed and usually the number
of radiologists available for these tasks has not increased to the same extent.
The objective of this article is to present current research results from
projects on the use of image data for clinical decision support. An
infrastructure that can allow large volumes of data to be accessed is presented.
In this way the best performing tools can be identified without the medical data
having to leave secure servers. The text presents the results of the VISCERAL and
Khresmoi EU-funded projects, which allow the analysis of previous cases from
institutional archives to support decision-making and for process automation. The
results also represent a secure evaluation environment for medical image
analysis. This allows the use of data extracted from past cases to solve
information needs occurring when diagnosing new cases. The presented research
prototypes allow direct extraction of knowledge from the visual data of the
images and to use this for decision support or process automation. Real clinical
use has not been tested but several subjective user tests showed the
effectiveness and efficiency of the process. The future in radiology will clearly
depend on better use of the important knowledge in clinical image archives to
automate processes and aid decision-making via big data analysis. This can help
concentrate the work of radiologists towards the most important parts of
diagnostics.
PMID- 26561025
TI - [Modern MRI of the small bowell].
AB - CLINICAL METHODOLOGICAL ISSUES: The radiological diagnostics of diseases of the
small intestine have undergone a great change in the last two decades. Through
rapid progress with new treatments and an increasing therapeutic focus on
transmural healing, a complete evaluation of the gastrointestinal tract is now
crucial. STANDARD RADIOLOGICAL METHODS: With the introduction of endoscopy,
gastrointestinal imaging with a relatively high radiation exposure had only
limited applications. The development of cross-sectional imaging allowed a much
broader radiological evaluation of abdominal diseases. Due to rapid investigation
techniques, excellent soft tissue contrast and the distinct advantage of
eliminating exposure to radiation, magnetic resonance imaging (MRI) of the
gastrointestinal tract has gained increasing importance. With sufficient filling
of the intestinal lumen, simultaneous imaging of all the intestinal wall layers,
the perienteric structures and associated abdominal pathologies is now possible.
METHODOLOGICAL INNOVATIONS: New MR sequences, such as diffusion-weighted
sequences, dynamic contrast-enhanced sequences and MR fluoroscopy, enable the
detection of morphological changes, with additional characterization of affected
bowel loops as well as the assessment of functional pathologies with dynamic
information about intestinal motility disturbances. ACHIEVEMENTS: Recent
guidelines of European radiological and gastroenterological organizations have
confirmed the importance of cross-sectional imaging and particularly of MRI for
diagnostics and follow-up in patients with Crohn's disease. Due to the
possibility of assessment of all the layers of the intestinal wall and the
presence of extramural complications, MRI has a significant impact on further
therapeutic treatment in patients with inflammatory bowel disease. PRACTICAL
RECOMMENDATIONS: Especially in patients with inflammatory bowel disease, MR
enterography and MR enteroclysis should be the methods of choice for the
evaluation of small bowel pathologies because of radiation issues and the great
diagnostic value they provide. A variety of MR sequences has enabled not only the
detection but also the characterization of pathological changes of the small
intestine, which are vital for further treatment of these patients.
PMID- 26561026
TI - [Spinal cord displacement with progressive paraplegia].
PMID- 26561027
TI - When Anthropogenic River Disturbance Decreases Hybridisation between Non-Native
and Endemic Cyprinids and Drives an Ecomorphological Displacement towards
Juvenile State in Both Species.
AB - Understanding the impact of non-native species on native species is a major
challenge in molecular ecology, particularly for genetically compatible fish
species. Invasions are generally difficult to study because their effects may be
confused with those of environmental or human disturbances. Colonized ecosystems
are differently impacted by human activities, resulting in diverse responses and
interactions between native and non-native species. We studied the dynamics
between two Cyprinids species (invasive Chondrostoma nasus and endemic
Parachondrostoma toxostoma) and their hybrids in 16 populations (from allopatric
to sympatric situations and from little to highly fragmented areas) corresponding
to 2,256 specimens. Each specimen was assigned to a particular species or to a
hybrid pool using molecular identification (cytochrome b and 41 microsatellites).
We carried out an ecomorphological analysis based on size, age, body shape, and
diet (gut vacuity and molecular fecal contents). Our results contradicted our
initial assumptions on the pattern of invasion and the rate of introgression.
There was no sign of underperformance for the endemic species in areas where
hybridisation occurred. In the unfragmented zone, the introduced species was
found mostly downstream, with body shapes similar to those in allopatric
populations while both species were found to be more insectivorous than the
reference populations. However, high level of hybridisation was detected,
suggesting interactions between the two species during spawning and/or the
existence of hybrid swarm. In the disturbed zone, introgression was less frequent
and slender body shape was associated with diatomivorous behaviour, smaller size
(juvenile characteristics) and greater gut vacuity. Results suggested that
habitat degradation induced similar ecomorphological trait changes in the two
species and their hybrids (i.e. a transition towards a pedomorphic state) where
the invasive species is more affected than the native species. Therefore, this
study reveals a diversity of relationships between two genetically compatible
species and emphasizes constraints on the invasion process in disturbed areas.
PMID- 26561028
TI - In Vivo Evaluation of a Bombesin Analogue Labeled with Ga-68 and Co-55/57.
AB - PURPOSE: The purpose of this study was to apply an analogue of bombesin, NOTA
AMBA, labeled with Co-55 or Ga-68, for preclinical imaging of prostate cancer.
PROCEDURES: The peptide NOTA-AMBA was labeled with Ga-68 or Co-55 by microwave
irradiation. Biodistribution in xenograft mice (PC3) was performed at 1, 4, and
24 h (only cobalt at 24 h) using a fixed amount of peptide. Four weeks post
inoculation, xenograft mice were positron emission tomography/X-ray computed
tomography scanned after tail vein injection of [(68)Ga]NOTA-AMBA or [(55)Co]NOTA
AMBA. RESULTS: Labeling with Ga-68 and Co-55/57 was achieved in yields greater
than 90 %. A radiochemical purity (RCP) of 95 and 90 % were obtained for Ga-68
and Co-55, respectively. Both radiopeptides showed high uptake in the intestines,
stomach, pancreas, and in the tumor ([(68)Ga]NOTA-AMBA, 10.3 %ID/g at 1 h to 6.4
%ID/g at 4 h; [(57)Co]NOTA-AMBA, 8.2 %ID/g at 1 h to 5.3%ID/g at 24 h). Normal
tissue cleared over time improving tumor-to-background ratios. CONCLUSIONS: NOTA
AMBA was labeled in high yields and RCP with Ga-68 and Co-55/57. High tumor
uptake in a subcutaneous mouse prostate cancer model was observed. At 24 h,
[(55/57)Co]NOTA-AMBA showed better tumor-to-organ ratios than [(68)Ga]NOTA-AMBA
at both 1 and 4 h post-injection. Hence, for imaging, [(55)Co]NOTA-AMBA was found
to be superior compared to [(68)Ga]NOTA-AMBA.
PMID- 26561029
TI - Parental knowledge, attitudes and practices regarding antibiotic use for acute
upper respiratory tract infections in children: a cross-sectional study in
Palestine.
AB - BACKGROUND: In primary health care centres, upper respiratory tract infections
(URTIs) in children are commonly encountered by physicians. Viruses cause most
URTIs, but parents' attitudes often represent an important reason for antibiotic
abuse, which leads to the development and spread of antimicrobial resistance. The
goal of this study was to examine parents' knowledge, attitudes, and practices
(KAP) about antibiotic use for children with URTIs in Palestine. METHODS: A cross
sectional study was performed in primary health care centres in Nablus city from
1 June to 31 October 2012. A questionnaire was developed and administered to
determine parents' KAP regarding antibiotic use for their children with URTIs.
RESULTS: Three hundred and eighty-five parents completed the questionnaire. A
total of 79.7% of the parents were attentive to the truth that antibiotic misuse
is responsible for bacterial resistance. Only 18.9% of parents thought that
antibiotics did not have any harmful side effects. Fifty nine per cent of parents
did not agree that URTIs are mostly viral in origin and are self-limited. Almost
73% of parents choose antibiotics as a treatment for URTIs, while earache (68%)
and fever (64%) were the most common reasons for which parents expected
antibiotics. However, more than 38% of the parents never asked the paediatrician
to prescribe antibiotics, and only 6% congratulated their paediatricians for not
prescribing antibiotics. CONCLUSIONS: Although there is a trusted relationship
between parents and paediatricians, Palestinian parents have insufficient
knowledge related to antibiotic use for URTIs in children, which results in
inappropriate attitudes and practices. Educational interventions for both parents
and physicians will reduce unnecessary antibiotic use and resistance.
PMID- 26561030
TI - Allergic airway inflammation: unravelling the relationship between IL-37, IL
18Ralpha and Tir8/SIGIRR.
AB - The hallmarks of allergic bronchial asthma arise from chronic airway
inflammation. Thus, elucidating the mechanisms regulating the maintenance of this
chronic inflammatory response is key to understanding asthma pathogenesis. To
date, it is not clear whether a predominance of proinflammatory factors or a
reduced capacity of counterbalancing anti-inflammatory mediators is the pivotal
factor predisposing individuals towards asthma development. The IL-1 cytokine
family and its receptor systems comprise a variety of proinflammatory cytokines
like IL-1beta and IL-18 and anti-inflammatory molecules such as the
Toll/interleukin-1 receptor 8/single Ig IL-1 receptor (IL-R)-related molecule
(Tir8/SIGIRR) and the recently established cytokine IL-37. This article reviews
the functions of these IL-1 cytokine family members in the regulation of allergic
airway inflammation and asthma as they have been assessed clinically, in vitro
and in mouse models.
PMID- 26561031
TI - Midterm functional results of taTME with neuromapping for low rectal cancer.
AB - BACKGROUND: Information on functional outcomes after laparoscopic-assisted
transanal total mesorectal excision (taTME) is limited. This study analyzed the
functional results in patients with low rectal cancer. METHODS: Ten consecutive
patients (nine males) undergoing electrophysiologically controlled nerve-sparing
taTME were investigated prospectively and asked to complete functional
questionnaires [the International Prostate Symptom Score (IPSS), International
Index of Erectile Function, Female Sexual Function Index, Wexner score, and low
anterior resection syndrome (LARS) score]. Bladder function was also assessed
according to residual urine volume. Preoperative function was compared to the
functional outcome 3 and 6 months, and 9 months if eligible, after stoma closure
or surgery in the absence of a diverting stoma. RESULTS: Prior to therapy,
urinary and sexual function was impaired in 40 and 60% of patients, respectively.
None of the patients developed pathological residual urine volumes after at least
unilateral functional pelvic nerve-sparing. Median IPSS was lower than
preoperative scores (p > 0.05). Two males with incomplete nerve preservation were
considered impotent during a median follow-up of 15 months (range 6-20 months).
The female was judged to be sexually inactive. The median Wexner score was 1
(range 0-7) prior to any therapy and increased to 7 (range 0-15) at 6 months (p =
0.029), with 40% of patients categorized as having no LARS and 50% minor LARS.
The median LARS score was 28 (range 9-38) at 3 months and 26 (range 9-32) at 6
months (p = 0.165). CONCLUSIONS: Despite a small sample size and confounding
factors, data indicate that taTME has the potential to preserve continence,
sufficient bowel function, and urogenital function.
PMID- 26561032
TI - Depression and Mood Disorder Among African American and White Women.
PMID- 26561033
TI - Computerised self help for depression in primary care.
PMID- 26561034
TI - Palladium(0)/NHC-Catalyzed Reductive Heck Reaction of Enones: A Detailed
Mechanistic Study.
AB - We have studied the mechanism of the palladium-catalyzed reductive Heck reaction
of para-substituted enones with 4-iodoanisole by using N,N-diisopropylethylamine
(DIPEA) as the reductant. Kinetic studies and in situ spectroscopic analysis have
provided a detailed insight into the reaction. Progress kinetic analysis
demonstrated that neither catalyst decomposition nor product inhibition occurred
during the catalysis. The reaction is first order in the palladium and aryl
iodide, and zero order in the activated alkene, N-heterocyclic carbene (NHC)
ligand, and DIPEA. The experiments with deuterated solvent ([D7]DMF) and
deuterated base ([D15]Et3N) supported the role of the amine as a reductant in the
reaction. The palladium complex [Pd(0)(NHC)(1)] has been identified as the
resting state. The kinetic experiments by stopped-flow UV/Vis also revealed that
the presence of the second substrate, benzylideneacetone 1, slows down the
oxidative addition of 4-iodoanisole through its competing coordination to the
palladium center. The kinetic and mechanistic studies indicated that the
oxidative addition of the aryl iodide is the rate-determining step. Various
scenarios for the oxidative addition step have been analyzed by using DFT
calculations (bp86/def2-TZVP) that supported the inhibiting effect of substrate 1
by formation of resting state [Pd(0)(NHC)(1)] species at the cost of further
increase in the energy barrier of the oxidative addition step.
PMID- 26561035
TI - Copy number variation in CEP57L1 predisposes to congenital absence of bilateral
ACL and PCL ligaments.
AB - BACKGROUND: Absence of the anterior (ACL) or posterior cruciate ligament (PCL)
are rare congenital malformations that result in knee joint instability, with a
prevalence of 1.7 per 100,000 live births and can be associated with other lower
limb abnormalities such as ACL agnesia and absence of the menisci of the knee.
While a few cases of absence of ACL/PCL are reported in the literature, a number
of large familial case series of related conditions such as ACL agnesia suggest a
potential underlying monogenic etiology. We performed whole exome sequencing of a
family with two individuals affected by ACL/PCL. RESULTS: We identified copy
number variation (CNV) deletion impacting the exon sequences of CEP57L1, present
in the affected mother and her affected daughter based on the exome sequencing
data. The deletion was validated using quantitative PCR (qPCR), and the gene was
confirmed to be expressed in ACL ligament tissue. Interestingly, we detected
reduced expression of CEP57L1 in Epstein-Barr virus (EBV) cells from the two
patients in comparison with healthy controls. Evaluation of 3D protein structure
showed that the helix-binding sites of the protein remain intact with the
deletion, but other functional binding sites related to microtubule attachment
are missing. The specificity of the CNV deletion was confirmed by showing that it
was absent in ~700 exome sequencing samples as well as in the database of genomic
variations (DGV), a database containing large numbers of annotated CNVs from
previous scientific reports. CONCLUSIONS: We identified a novel CNV deletion that
was inherited through an autosomal dominant transmission from an affected mother
to her affected daughter, both of whom suffered from the absence of the anterior
and posterior cruciate ligaments of the knees.
PMID- 26561036
TI - Protein receptor-independent plasma membrane remodeling by HAMLET: a tumoricidal
protein-lipid complex.
AB - A central tenet of signal transduction in eukaryotic cells is that extra-cellular
ligands activate specific cell surface receptors, which orchestrate downstream
responses. This ''protein-centric" view is increasingly challenged by evidence
for the involvement of specialized membrane domains in signal transduction. Here,
we propose that membrane perturbation may serve as an alternative mechanism to
activate a conserved cell-death program in cancer cells. This view emerges from
the extraordinary manner in which HAMLET (Human Alpha-lactalbumin Made LEthal to
Tumor cells) kills a wide range of tumor cells in vitro and demonstrates
therapeutic efficacy and selectivity in cancer models and clinical studies. We
identify a ''receptor independent" transformation of vesicular motifs in model
membranes, which is paralleled by gross remodeling of tumor cell membranes.
Furthermore, we find that HAMLET accumulates within these de novo membrane
conformations and define membrane blebs as cellular compartments for direct
interactions of HAMLET with essential target proteins such as the Ras family of
GTPases. Finally, we demonstrate lower sensitivity of healthy cell membranes to
HAMLET challenge. These features suggest that HAMLET-induced curvature-dependent
membrane conformations serve as surrogate receptors for initiating signal
transduction cascades, ultimately leading to cell death.
PMID- 26561037
TI - Interventions for metabolic bone disease in children with chronic kidney disease.
AB - BACKGROUND: Bone disease is common in children with chronic kidney disease (CKD)
and when untreated may result in bone deformities, bone pain, fractures and
reduced growth rates. This is an update of a review first published in 2010.
OBJECTIVES: This review aimed to examine the benefits (improved growth rates,
reduced risk of bone fractures and deformities, reduction in PTH levels) and
harms (hypercalcaemia, blood vessel calcification, deterioration in kidney
function) of interventions (including vitamin D preparations and phosphate
binders) for the prevention and treatment of metabolic bone disease in children
with CKD. SEARCH METHODS: We searched the Cochrane Kidney and Transplant
Specialised Register to 8 September 2015 through contact with the Trial's Search
Co-ordinator using search terms relevant for this review. SELECTION CRITERIA: We
included randomised controlled trials (RCTs) comparing different interventions
used to prevent or treat bone disease in children with CKD stages 2 to 5D. DATA
COLLECTION AND ANALYSIS: Data were assessed for study eligibility, risk of bias
and extracted independently by two authors. Results were reported as risk ratios
(RR) or risk differences (RD) with 95% confidence intervals (CI) for dichotomous
outcomes. For continuous outcomes the mean difference (MD) or standardised mean
difference (SMD) with 95% confidence intervals (CI) was used. Statistical
analyses were performed using the random-effects model. MAIN RESULTS: This review
included 18 studies (576 children); three new studies were added for this update.
Adequate sequence generation and allocation concealment were reported in 12 and
11 studies respectively. Only four studies reported blinding of children,
investigators or outcome assessors. Nine studies were at low risk of attrition
bias and 12 studies were at low risk of selective reporting bias.Eight different
interventions were compared. Two studies compared intraperitoneal (IP) with oral
calcitriol. PTH levels were significantly lower with IP compared with oral
calcitriol (1 study: MD -501.00 pg/mL, 95% CI -721.54 to -280.46) but the number
of children with abnormal bone histology did not differ between treatments. Three
studies compared intermittent with daily oral calcitriol. The change in mean
height SDS (1 study: MD 0.13, 95% CI -0.22 to 0.48) and the percentage fall in
parathyroid hormone (PTH) levels at eight weeks (1 study: MD -5.50%, 95% CI
32.37 to 21.37) and 12 months (1 study: MD -6.00% 95% CI -25.27 to 13.27) did not
differ between treatments.Four studies compared active vitamin D preparations
(calcitriol, paricalcitol, 1alpha-hydroxyvitamin D) with placebo or no specific
treatment. One study reported vitamin D preparations significantly reduced PTH
levels (-55.00 pmol/L, 95% CI -83.03 to -26.97). There was no significant
difference in hypercalcaemia risk with vitamin D preparations compared with
placebo or no specific treatment (4 studies, 103 children: RD 0.08 mg/dL, 95% CI
0.08 to 0.24). However, there was heterogeneity (I(2) = 55%) with one study
showing a significantly greater risk of hypercalcaemia with intravenous (IV)
calcitriol administration. Two studies (97 children) compared calcitriol with
other vitamin D preparations and both found no significant differences in growth
between preparations.Two studies compared ergocalciferol in patients with CKD and
vitamin D deficiency. Elevated PTH levels developed significantly later in
ergocalciferol treated children (1 study: hazard ratio 0.30, 95% CI 0.09 to 0.93)
though the number with elevated PTH levels did not differ between groups (1
study, 40 children: RR 0.33, 95% CI 0.11 to 1.05).Two studies compared calcium
carbonate with aluminium hydroxide as phosphate binders. One study (17 children:
MD -0.86 SDS, 95% CI -2.24 to 0.52) reported no significant difference in mean
final height SDS between treatments. Three studies compared sevelamer with
calcium-containing phosphate binders. There were no significant differences in
the final calcium, phosphorus or PTH levels between binders. More episodes of
hypercalcaemia occurred with calcium-containing binders. One study reported no
significant differences between calcitriol and doxercalciferol in bone histology
or biochemical parameters. AUTHORS' CONCLUSIONS: Bone disease, assessed by
changes in PTH levels, is improved by all vitamin D preparations. However, no
consistent differences between routes of administration, frequencies of dosing or
vitamin D preparations were demonstrated. Although fewer episodes of high calcium
levels occurred with the non-calcium-containing phosphate binder, sevelamer,
compared with calcium-containing binders, there were no differences in serum
phosphorus and calcium overall and phosphorus values were reduced to similar
extents. All studies were small with few data available on patient-centred
outcomes (growth, bone deformities) and limited data on biochemical parameters or
bone histology resulting in considerable imprecision of results thus limiting the
applicability to the care of children with CKD.
PMID- 26561038
TI - Widespread Environmental Contamination with Mycobacterium tuberculosis Complex
Revealed by a Molecular Detection Protocol.
AB - Environmental contamination with Mycobacterium tuberculosis complex (MTC) has
been considered crucial for bovine tuberculosis persistence in multi-host
pathogen systems. However, MTC contamination has been difficult to detect due to
methodological issues. In an attempt to overcome this limitation we developed an
improved protocol for the detection of MTC DNA. MTC DNA concentration was
estimated by the Most Probable Number (MPN) method. Making use of this protocol
we showed that MTC contamination is widespread in different types of
environmental samples from the Iberian Peninsula, which supports indirect
transmission as a contributing mechanism for the maintenance of bovine
tuberculosis in this multi-host-pathogen system. The proportion of MTC DNA
positive samples was higher in the bovine tuberculosis-infected than in presumed
negative area (0.32 and 0.18, respectively). Detection varied with the type of
environmental sample and was more frequent in sediment from dams and less
frequent in water also from dams (0.22 and 0.05, respectively). The proportion of
MTC-positive samples was significantly higher in spring (p<0.001), but MTC DNA
concentration per sample was higher in autumn and lower in summer. The average
MTC DNA concentration in positive samples was 0.82 MPN/g (CI95 0.70-0.98 MPN/g).
We were further able to amplify a DNA sequence specific of Mycobacterium
bovis/caprae in 4 environmental samples from the bTB-infected area.
PMID- 26561039
TI - Phylogenetic Analysis Using the 28S rRNA Gene Reveals That the Genus
Paracreptotrema (Digenea: Allocreadiidae) Is Not Monophyletic; Description of Two
New Genera and One New Species.
AB - This study investigates the systematics of Paracreptotrema Choudhury, Perez-Ponce
de Leon, Brooks and Daverdin, 2006 using morphological data (stained whole mounts
and scanning electron microscopy) and partial sequences of the 28S ribosomal rRNA
gene, obtained from freshly collected material. In total, 484 specimens
representing 4 species, i.e., Paracreptotrema blancoi (157), Paracreptotrema
profundulusi (12), Paracreptotrema rosenthali (8), and Paracreptotrema blancoi
sensu Salgado-Maldonado et al. (2011) (307) were collected. Existing museum
depositions were also studied. The 28S rRNA gene sequences of these
Paracreptotrema spp. were aligned, along with sequences from 22 other
allocreadiids and 4 other non-allocreadiid xiphidiatan species. Bayesian
inference and maximum likelihood analyses indicated a paraphyletic
Paracreptotrema split into 3 clades: 1 comprising P. blancoi and P. rosenthali
that was sister to a clade formed by 3 other species of allocreadiids (species of
Wallinia, Creptotrematina, and Auriculostoma) typically found in characid fishes,
a second clade formed solely by Paracreptotrema heterandriae as the sister taxon
of the aforementioned species, and a third by P. profundulusi and specimens
erroneously identified as P. blancoi. Two new taxa were erected to reflect these
results: Paracreptotrematoides for Paracreptotrema heterandriae, and
Pseudoparacreptotrema for Paracreptotrema profundulusi and P. macroacetabulata
(the species erroneously identified as P. blancoi from profundulids across Middle
America). Closer consideration of the morphology corroborates these findings. The
revised systematics also indicated that Paracreptotrema spp. are found in
poeciliids, whereas Pseudoparacreptotrema spp. parasitize profundulids. The study
demonstrates the value of an integrative taxonomy approach to address the
apparently complicated systematics of the allocreadiids.
PMID- 26561040
TI - Emerging innovations in clinical trial design.
AB - Designs of clinical trials have changed little since the advent of randomization
in the 1940s. Modern innovations in designs are being driven by the increasing
recognition in clinical research that diseases are heterogeneous and patients who
apparently have the same disease require different therapies. This article
describes some innovations in clinical trial design across therapeutic areas but
with a focus on oncology. No one knows what the future holds for clinical trial
design but the status quo of large trials that pretend the patient population is
homogeneous is not sustainable, either economically or scientifically/medically.
No one knows what the eventual business model and regulatory model will be, but
they will be very different from today's.
PMID- 26561041
TI - Definitive proton radiation therapy and concurrent cisplatin for unresectable
head and neck adenoid cystic carcinoma: A series of 9 cases and a critical review
of the literature.
AB - BACKGROUND: The primary treatment for head and neck adenoid cystic carcinoma
(ACC) is surgery. Infrequently, however, ACC's propensity for perineural and base
of skull invasion can preclude definitive surgical management. We present our
experience with proton radiation therapy (RT) and concurrent platinum-based
chemotherapy. METHODS: Nine patients with unresectable node-negative,
nonmetastatic head and neck ACC received definitive proton RT and concurrent
cisplatin. Outcomes and toxicities were recorded. A systematic review of the
literature was performed. RESULTS: Median follow-up was 27 months (range, 9.2
48.3 months). Four patients achieved complete response at the primary site, and
an additional 4 patients achieved stabilization of local disease. Only 1 patient
developed local disease progression. Four patients had 5 acute grade 3 (G3)
toxicities, and 1 patient developed a chronic G4 optic nerve disorder.
CONCLUSION: Our preliminary results suggest proton RT and concurrent chemotherapy
is a definitive treatment option for select patients with head and neck ACC. (c)
2015 Wiley Periodicals, Inc. Head Neck 38: E1472-E1480, 2016.
PMID- 26561042
TI - Mode of delivery and risk of intracranial haemorrhage in newborns with severe
haemophilia A: a multicentre study in Gulf region.
AB - INTRODUCTION: The optimum mode of delivery in a known carrier of a haemophilia A
is still an issue of debate. AIM: This study was conducted to report a
multicentre experience in Gulf Cooperation Council (GCC) on the incidence of
intracranial haemorrhage (ICH) in newborns with severe haemophilia A delivered by
different modalities. METHODS: We have conducted a retrospective/prospective
multicentre cohort study including a total of seven hospitals distributed in four
GCC countries between 1998 and Jan 2015. A total of 163 patient with severe
haemophilia A (factor VIII <1%) were enrolled in this study, age ranged between 2
weeks to 18 years. RESULTS: Most of the patients were born by spontaneous vaginal
delivery (SVD) (131, 80.4%), whereas 26 patients (16%) were born by CS and only
six patients were born by instrumental delivery (3.7%), five of them by vacuum
and one was delivered using forceps. Five out of 163 patients developed ICH
during the first 2 weeks of life (3.1%). Two of them were born by SVD (2/131;
1.5%) and two were born by instrumental delivery (2/6; 33.3%). Only one patient
among those who were born by caesarean section developed ICH (1/26; 3.8%).
Assisted vaginal delivery was associated with a significant risk of ICH, in
comparison to SVD and CS (P = 0.0093). CONCLUSION: Normal vaginal delivery is
still considered a safe journey through the birth canal for haemophilic newborns
particularly in this area of the world. Larger prospective studies might be
needed to define an evidence-based optimal mode of delivery for the haemophilia
carrier expecting an affected child.
PMID- 26561043
TI - Intense Imagery Movements (IIM): More to motor stereotypies than meets the eye.
AB - AIM: A subgroup of children who present with motor stereotypies in the context of
episodes of intense imagery have recently been described in the literature,(1)
termed Intense Imagery Movements (IIM). All children report conscious engagement
in acts of imagery or imagination, with stereotyped movements occurring
simultaneously with limited conscious awareness. This article reports preliminary
cognitive data to inform clinical management and guide future research. METHOD:
Intellectual functioning was assessed for ten children with IIM (7 boys, 3 girls;
mean age = 10;01, age range = 6;06 to 14;04). In-depth neuropsychological
assessments were conducted for four of these cases (3 boys, 1 girls; mean age =
9;05), with standardised questionnaires completed to assess mood, behaviour,
attention/concentration, sensory functioning, motor functioning and stereotyped
movements. RESULTS: All children exhibited discrepant intellectual profiles,
especially on perceptual reasoning tasks, with significant impairments in
processing speed. In-depth neuropsychological assessments indicated impaired
performance on tests of attention and inhibition, but strengths in memory or oral
expression. Three of the four children had sensory processing impairments, two
had features of developmental co-ordination disorder and one had poor general
well-being. None of the children had emotional or behavioural problems.
INTERPRETATION: Children with IIM exhibit uneven intellectual and cognitive
profiles, with particular discrepancies in perceptual reasoning skills. The case
studies suggest that weaker attention, inhibition and processing speed skills may
contribute to engagement in IIM, with good memory and/or language skills
potentially contributing to the complexity of imagery abilities. Implications for
the identification and management of these children in clinical practice, and
future research ideas, are discussed.
PMID- 26561044
TI - Outcome of Hodgkin Lymphoma Patients With a Posttreatment 18F-Fluoro-2-Deoxy-d
Glucose Positron Emission Tomography (FDG-PET)-Negative Residual Mass: Systematic
Review and Meta-analysis.
AB - To systematically review and meta-analyze the outcome of Hodgkin lymphoma
patients with a posttreatment (18)F-fluoro-2-deoxy-d-glucose positron emission
tomography (FDG-PET)-negative residual mass. A systematic PubMed/MEDLINE database
search was performed. The methodological quality of included studies was
assessed. The number of patients with a posttreatment non-FDG-avid residual mass
and the number of these patients who developed disease relapse during follow-up
were extracted from each included study. Heterogeneity in disease relapse
proportions across individual studies was assessed using the I2 test, with
heterogeneity defined as I(2) > 50%. Using a Freeman-Tukey transformation, the
disease relapse proportions from each individual study were then meta-analyzed
with either a fixed-effects model (if I2 <= 50 %) or a random-effects model (if
I2 > 50 %). A total of 5 studies comprising a total of 727 Hodgkin lymphoma
patients with an FDG-PET-negative residual mass after first-line therapy were
included. The overall quality of included studies was moderate. The proportion of
patients with a posttreatment non-FDG-avid residual mass who experienced disease
relapse during follow-up ranged between 0% and 13.8%. There was heterogeneity in
disease relapse proportions across individual studies (I2 = 61.4%). Pooled
disease relapse proportion (random effects) was 6.8% (95% confidence interval:
2.6%-12.5%). The disease relapse rate in Hodgkin lymphoma patients with a FDG-PET
negative residual mass after first-line therapy is approximately 6.8%.
Considering the existing literature, the presence of a non-FDG-avid residual mass
has not been proven yet to be associated with a worse outcome than a
posttreatment FDG-PET-based complete remission status without a residual mass.
PMID- 26561045
TI - Pollinators and Other Flying Insects inside and outside the Fukushima Evacuation
Zone.
AB - Following the accident at the Fukushima Daiichi nuclear power plants in 2011, a
large evacuation zone was imposed in an area where residents had historically
managed forests and farmlands. Thus, the human activities that had maintained
biodiversity and ecosystem services in the zone were discontinued. Such change
can affect insects, a biodiversity component that is relatively tolerant to
radiation exposure. In this study, we investigated flying insects, including
pollinators, important ecosystem providers inside and outside the zone, using
Malaise traps. The results showed that the number of individuals of Xylocopa
appendiculata, the largest Apidae species in the region, was significantly lower
inside the evacuation zone than outside it, whereas those of other insects were
not lower significantly. Although we suggest that flying insects and their
ecosystem services (i.e., benefits from them such as pollination) 3 years after
the disaster were not critically impacted, it is important to monitor the long
term effects of the evacuation in the future.
PMID- 26561046
TI - Time-of-Flight Magnetic Resonance Angiography With Sparse Undersampling and
Iterative Reconstruction: Comparison With Conventional Parallel Imaging for
Accelerated Imaging.
AB - OBJECTIVES: The aim of this study was to evaluate the clinical feasibility of
accelerated time-of-flight (TOF) magnetic resonance angiography with sparse
undersampling and iterative reconstruction (sparse TOF). MATERIALS AND METHODS:
The local institutional review board approved the study protocols. Twenty healthy
volunteers were recruited (mean age, 31.2 years; age range, 22-52 years; 14 men,
6 women). Both sparse TOF and parallel imaging (PI) TOF were obtained on a 3 T
scanner. Acceleration factors were 3, 4, 5, 6, and 8 for sparse TOF (Sp 3*, Sp
4*, Sp 5*, Sp 6*, and Sp 8*, respectively) and 2, 3, 4, and 6 for PI TOF (PI 2*,
PI 3*, PI 4*, and PI 6*, respectively). Images were reconstructed on the scanner,
and maximum intensity projection images were subjected to visual evaluation,
wherein each segment of the major brain arteries was independently evaluated by 2
radiologists on a 4-point scale (1, poor; 2, limited; 3, moderate/good quality
for diagnosis; and 4, excellent). As a quantitative evaluation, the apparent
contrast-to-background deviation (apparent CBD) was calculated at the level of
the basilar artery and the pons. RESULTS: A total number of 1800 segments were
subjectively evaluated. There was substantial agreement regarding vessel
visualization (kappa = 0.759). Sparse TOF received scores above 3 (good for
diagnosis) at any acceleration factor up to the third segments of major arteries.
The middle and distal segments of PI 4* and PI 6* were graded below 3 (limited or
poor diagnostic value). Sp 3*, 4*, 5*, and 6* retained diagnostic information
(graded above 3), even at distal segments. The apparent CBD of sparse TOF at any
acceleration factor was equivalent to that of PI 2*, whereas the apparent CBD of
PI 3*, PI 4*, and PI 6* attenuated with the acceleration factor. CONCLUSIONS:
Sparse TOF can achieve better image quality relative to PI TOF at higher
acceleration factors. The diagnostic quality of distal branches (A2/3, M4, P4)
was maintained with Sp 6*, which achieved a shorter acquisition time less than
half of PI 2*.
PMID- 26561047
TI - Longitudinal Assessment of Renal Perfusion and Oxygenation in Transplant Donor
Recipient Pairs Using Arterial Spin Labeling and Blood Oxygen Level-Dependent
Magnetic Resonance Imaging.
AB - OBJECTIVES: The aims of this study were to assess renal function in kidney
transplant recipients and their respective donors over 2 years using arterial
spin labeling (ASL) and blood oxygen level-dependent (BOLD) magnetic resonance
imaging (MRI) and to prospectively evaluate the effect of losartan on functional
MRI measures in recipients. MATERIALS AND METHODS: The study included 15 matched
pairs of renal transplant donors and recipients. Arterial spin labeling and BOLD
MRI of the kidneys were performed on donors before transplant surgery (baseline)
and on both donors and recipients at 3 months, 1 year, and 2 years after
transplant. After 3 months, 7 of the 15 recipients were prescribed 25 to 50 mg/d
losartan for the remainder of the study. A linear mixed-effects model was used to
evaluate perfusion, R2*, estimated glomerular filtration rate, and fractional
excretion of sodium for changes across time or associated with losartan
treatment. RESULTS: In donors, cortical perfusion in the remaining kidney
decreased by 50 +/- 19 mL/min per 100 g (11.8%) between baseline and 2 years (P <
0.05), while cortical R2* declined modestly by 0.7 +/- 0.3 s-1 (5.6%; P < 0.05).
In transplanted kidneys, cortical perfusion decreased markedly by 141 +/- 21
mL/min per 100 g (34.2%) between baseline and 2 years (P < 0.001), while
medullary R2* declined by 1.5 +/- 0.8 s-1 (8.3%; P = 0.06). Single-kidney
estimated glomerular filtration rate increased between baseline and 2 years by
17.7 +/- 2.7 mL/min per 1.73 m (40.3%; P < 0.0001) in donors and to 14.6 +/- 4.3
mL/min per 1.73 m (33.3%; P < 0.01) in recipients. Cortical perfusion at 1 and 2
years in recipients receiving 25 to 50 mg/d losartan was 62 +/- 24 mL/min per 100
g higher than recipients not receiving the drug (P < 0.05). No significant
effects of losartan were observed for any other markers of renal function.
CONCLUSIONS: The results suggest an important role for noninvasive functional
monitoring with ASL and BOLD MRI in kidney transplant recipients and donors, and
they indicate a potentially beneficial effect of losartan in recipients.
PMID- 26561048
TI - Dual-Energy Computed Tomography Angiography of the Lower Extremity Runoff: Impact
of Noise-Optimized Virtual Monochromatic Imaging on Image Quality and Diagnostic
Accuracy.
AB - OBJECTIVE: The aim of this study was to evaluate the impact of a noise-optimized
virtual monochromatic imaging algorithm (VMI+) on image quality and diagnostic
accuracy at dual-energy computed tomography angiography (CTA) of the lower
extremity runoff. MATERIALS AND METHODS: This retrospective Health Insurance
Portability and Accountability Act-compliant study was approved by the local
institutional review board. We evaluated dual-energy CTA studies of the lower
extremity runoff in 48 patients (16 women; mean age, 63.3 +/- 13.8 years)
performed on a third-generation dual-source CT system. Images were reconstructed
with standard linear blending (F_0.5), VMI+, and traditional monochromatic (VMI)
algorithms at 40 to 120 keV in 10-keV intervals. Vascular attenuation and image
noise in 18 artery segments were measured; signal-to-noise ratio (SNR) and
contrast-to-noise ratio (CNR) were calculated. Five-point scales were used to
subjectively evaluate vascular attenuation and image noise. In a subgroup of 21
patients who underwent additional invasive catheter angiography, diagnostic
accuracy for the detection of significant stenosis (>=50% lumen restriction) of
F_0.5, 50-keV VMI+, and 60-keV VMI data sets were assessed. RESULTS: Objective
image quality metrics were highest in the 40- and 50-keV VMI+ series (SNR: 20.2
+/- 10.7 and 19.0 +/- 9.5, respectively; CNR: 18.5 +/- 10.3 and 16.8 +/- 9.1,
respectively) and were significantly (all P < 0.001) higher than in the
corresponding VMI data sets (SNR: 8.7 +/- 4.1 and 10.8 +/- 5.0; CNR: 8.0 +/- 4.0
and 9.6 +/- 4.9) and F_0.5 series (SNR: 10.7 +/- 4.4; CNR: 8.3 +/- 4.1).
Subjective assessment of attenuation was highest in the 40- and 50-keV VMI and
VMI+ image series (range, 4.84-4.91), superior to F_0.5 (4.07; P < 0.001).
Corresponding subjective noise assessment was superior for 50-keV VMI+ (4.71; all
P < 0.001) compared with VMI (2.60) and F_0.5 (4.11). Sensitivity and specificity
for detection of 50% or greater stenoses were highest in VMI+ reconstructions
(92% and 95%, respectively), significantly higher compared with standard F_0.5
(87% and 90%; both P <= 0.02). CONCLUSIONS: Image reconstruction using low
kiloelectron volt VMI+ improves image quality and diagnostic accuracy compared
with traditional VMI technique and standard linear blending for evaluation of the
lower extremity runoff using dual-energy CTA.
PMID- 26561049
TI - Pretreatment Prognostic Value of Dynamic Contrast-Enhanced Magnetic Resonance
Imaging Vascular, Texture, Shape, and Size Parameters Compared With Traditional
Survival Indicators Obtained From Locally Advanced Breast Cancer Patients.
AB - OBJECTIVES: The aim of this study was to determine if associations exist between
pretreatment dynamic contrast-enhanced (DCE) magnetic resonance imaging (MRI)
based metrics (vascular kinetics, texture, shape, size) and survival intervals.
Furthermore, the aim of this study was to compare the prognostic value of DCE-MRI
parameters against traditional pretreatment survival indicators. MATERIALS AND
METHODS: A retrospective study was undertaken. Approval had previously been
granted for the retrospective use of such data, and the need for informed consent
was waived. Prognostic value of pretreatment DCE-MRI parameters and clinical data
was assessed via Cox proportional hazards models. The variables retained by the
final overall survival Cox proportional hazards model were utilized to stratify
risk of death within 5 years. RESULTS: One hundred twelve subjects were entered
into the analysis. Regarding disease-free survival-negative estrogen receptor
status, T3 or higher clinical tumor stage, large (>9.8 cm) MR tumor volume,
higher 95th percentile (>79%) percentage enhancement, and reduced (>0.22)
circularity represented the retained model variables. Similar results were noted
for the overall survival with negative estrogen receptor status, T3 or higher
clinical tumor stage, and large (>9.8 cm) MR tumor volume, again all been
retained by the model in addition to higher (>0.71) 25th percentile area under
the enhancement curve.Accuracy of risk stratification based on either traditional
(59%) or DCE-MRI (65%) survival indicators performed to a similar level. However,
combined traditional and MR risk stratification resulted in the highest accuracy
(86%). CONCLUSIONS: Multivariate survival analysis has revealed that model
retained DCE-MRI variables provide independent prognostic information
complementing traditional survival indicators and as such could help to
appropriately stratify treatment.
PMID- 26561050
TI - A Standardized Parameter-Free Algorithm for Combined Intravoxel Incoherent Motion
and Diffusion Kurtosis Analysis of Diffusion Imaging Data.
AB - OBJECTIVES: The aims of this study were to implement and systematically evaluate
the performance of a new parameter-free segmented algorithm for analysis of
diffusion imaging data using a combined intravoxel incoherent motion and
diffusion kurtosis imaging (IVIM-DKI) model of spin diffusion in comparison with
the simpler intravoxel incoherent motion (IVIM) model. MATERIALS AND METHODS: A
multistep algorithm was implemented intended to separate diffusion kurtosis from
IVIM effects in multi-b-value diffusion measurements using an adaptive b-value
threshold technique. For each possible b-value threshold (separating diffusion
and perfusion effects), diffusion kurtosis analysis of high b-values is followed
by IVIM analysis keeping kurtosis parameters fixed. The b-value threshold with
smallest Akaike information criterion is chosen as best model solution. The
algorithm was tested in diffusion data sets of the upper abdomen from 8 healthy
volunteers with 16 different b-values and compared with a standard multistep IVIM
analysis. RESULTS: The proposed algorithm could successfully be applied to all
data sets and provided a significantly better fit of the observed signal decay in
all assessed organs (all P < 0.03). Using the proposed IVIM-DKI model of
diffusion instead of an IVIM model had a systematic impact on the resulting IVIM
parameters: The pure diffusion coefficient and the pseudodiffusion coefficient
were significantly increased (P < 0.03 in all assessed organs), accompanied by a
decrease in the perfusion fraction in liver, pancreas, renal cortex, and skeletal
muscle (all P < 0.02). Optimal b-value thresholds separating diffusion from
perfusion effects had a tendency to lower values when the IVIM-DKI model was
used. CONCLUSIONS: The proposed algorithm provides a new approach for separation
of IVIM and kurtosis effects of diffusion data without organ-specific adaptation.
PMID- 26561053
TI - Interleukin 17-A inhibition in the treatment of psoriasis.
AB - Interleukin (IL) 17-A appears to be integral to the pathogenesis of chronic
plaque psoriasis. Recent clinical trials have shown that blockade of this
cytokine with the biologic therapies--secukinumab, ixekizumab and brodalumab-
have led to unprecedented treatment efficacy for psoriasis. In addition, their
dual efficacy towards psoriatic arthritis increases their potential clinical
utility and they promise to be an important treatment option for patients who
have tumour necrosis factor inhibitor resistant disease. Here, we present the
evidence for the high treatment efficacy of the IL-17A inhibitors but also
discuss some potential questions and areas of research needed, including the lack
of evidence behind the drug survival, immunogenicity and safety profile.
PMID- 26561051
TI - Pharmacological Preventions of Brain Injury Following Experimental Germinal
Matrix Hemorrhage: an Up-to-Date Review.
AB - Germinal matrix hemorrhage (GMH) is defined as the rupture of immature blood
vessels in the subependymal zone of premature infants with significant mortality
and morbidity. Considering the notable social and ecological stress brought by
GMH-induced brain injury and sequelae, safe and efficient pharmacological
preventions are badly needed. Currently, several appropriate animal models are
available to mimic the clinical outcomes of GMH in human patients. In the long
run, hemorrhagic strokes are the research target. Previously, we found that
minocycline was efficient to alleviate GMH-induced brain edema and
posthemorrhagic hydrocephalus (PHH) in rats, which may be closely related to the
activation of cannabinoid receptor 2 (CB2R). However, how the two molecules
correlate and the underlined molecular pathway remain unknown. To extensively
understand current experimental GMH treatment, this literature review critically
evaluates existing therapeutic strategies, potential treatments, and potentially
involved molecular mechanisms. Each strategy has its own advantages and
disadvantages. Some of the mechanisms are still controversial, requiring an
increasing number of animal experiments before the therapeutic strategy would be
widely accepted.
PMID- 26561054
TI - Dendritic Cells in Esophageal Adenocarcinoma: The Currently Available Information
and Possibilities to use Dendritic Cells for Immunotherapeutic Approaches.
AB - Esophageal adenocarcinoma (EAC) is the second frequent cancer of the esophagus.
Barrett's esophagus (BE) takes precedence over EAC. BE is a metaplastic change of
the stratified squamous epithelium to the intestinal columnar epithelium due to
the acidic gastrointestinal reflux. Further, the disease takes the hyperplastic
stage followed by EAC. An initial immune response is an essential reaction of a
body to an occurrence of alien/modified cells to be removed. It has been
appreciated that an inflammatory reaction occurs in the early stages of EAC or
even in BE. Dendritic cells (DCs) play a key role in a frontier of an immune
response due to their advanced ability to recognize foreign antigens and mobilize
naive T cells to effectors. However, in a cancer condition, tumor-delivered
immunosuppression occurs in a variety of mechanisms that alter/switch the
functionality of DCs from immune activating to immune suppressive cells. In this
brief review, we consider tumor-induced paths of a capacity of tumor cells to
down-regulate DCs, with a focus on EAC, and also discuss a possibility to use DCs
for immunotherapeutic approaches. Indeed, DCs represent a promising tool for
developing new immunotherapeutic approaches for cancer treatment including EAC.
It has been reported to achieve effective DC-mediated immune responses by raising
anti-tumor cytotoxic T cell responses against multiple cancer antigens through
loading DCs with total tumor RNA. However, more studies should be performed in
order to understand a precise role in tumor-induced mechanisms of DC suppression
in BE/EAC. Likely, these mechanisms should involve general carcinogenic and EAC
specific pathways.
PMID- 26561052
TI - Endothelial Nitric Oxide Synthase G894T Polymorphism Associates with Disease
Severity in Puumala Hantavirus Infection.
AB - INTRODUCTION: Hantavirus infections are characterized by both activation and
dysfunction of the endothelial cells. The underlying mechanisms of the disease
pathogenesis are not fully understood. Here we tested the hypothesis whether the
polymorphisms of endothelial nitric oxide synthase, eNOS G894T, and inducible
nitric oxide synthase, iNOS G2087A, are associated with the severity of acute
Puumala hantavirus (PUUV) infection. PATIENTS AND METHODS: Hospitalized patients
(n = 172) with serologically verified PUUV infection were examined. Clinical and
laboratory variables reflecting disease severity were determined. The
polymorphisms of eNOS G894T (Glu298Asp, rs1799983) and iNOS G2087A (Ser608Leu,
rs2297518) were genotyped. RESULTS: The rare eNOS G894T genotype was associated
with the severity of acute kidney injury (AKI). The non-carriers of G-allele (TT
homozygotes) had higher maximum level of serum creatinine than the carriers of G
allele (GT-heterozygotes and GG-homozygotes; median 326, range 102-1041 vs.
median 175, range 51-1499 MUmol/l; p = 0.018, respectively). The length of
hospital stay was longer in the non-carriers of G-allele than in G-allele
carriers (median 8, range 3-14 vs. median 6, range 2-15 days; p = 0.032). The
rare A-allele carriers (i.e. AA-homozygotes and GA-heterozygotes) of iNOS G2087A
had lower minimum systolic and diastolic blood pressure than the non-carriers of
A-allele (median 110, range 74-170 vs.116, range 86-162 mmHg, p = 0.019, and
median 68, range 40-90 vs. 72, range 48-100 mmHg; p = 0.003, respectively).
CONCLUSIONS: Patients with the TT-homozygous genotype of eNOS G894T had more
severe PUUV-induced AKI than the other genotypes. The eNOS G894T polymorphism may
play role in the endothelial dysfunction observed during acute PUUV infection.
PMID- 26561055
TI - Antiatherosclerotic and Cardioprotective Effects of Time-Released Garlic Powder
Pills.
AB - Garlic is believed to produce beneficial changes in different cardiovascular risk
factors, thus possessing antiatherosclerotic properties. The hypotensive and
cholesterol-lowering effects were investigated in two studies in men with mild
arterial hypertension and in men with mild hypercholesterolemia. Eight-week
treatment resulted in the reduction of both systolic and diastolic blood pressure
by 5.2% (P=0.008) and 4.0% (P=0.014), respectively. In hypolipidemic study, the
12-week treatment resulted in a decrease in LDL cholesterol by 11.8% (P=0.002),
while HDL cholesterol increased by 11.5% (P=0.013). In men with cerebral
atherosclerosis it has been demonstrated that 14-days treatment inhibited ADP
induced platelet aggregation by 25.4% (P<0.05) and increased plasma fibrinolytic
activity by 22.4% (P<0.05). One more study was performed in high-risk patients to
evaluate the changes of prognostic cardiovascular risk that was calculated using
algorithms derived from Framingham and Muenster Studies. Twelve-months treatment
lowered 10-years prognostic risk of CHD by 13.2% in men (P=0.005), and by 7.1% in
women (P=0.040). Ten-year prognostic risk of acute myocardial infarction and
sudden coronary death was lowered by 26.1% in men (P=0.025). The Atherosclerosis
Monitoring and Atherogenicity Reduction Study (AMAR) was designed to estimate the
effect of two-year treatment with garlic powder pills on the progression of
carotid atherosclerosis in asymptomatic men. A significant correlation has been
revealed between the changes in blood serum atherogenicity and the changes in
carotid intima-media thickness (r=0.144, P=0.045). Evidence obtained from these
studies as well as series of double-blinded placebo-controlled clinical trials
indicates that garlic powder pills are effective for prevention of cardiovascular
disorders.
PMID- 26561056
TI - Guggulsterone for Chemoprevention of Cancer.
AB - Guggulsterone [4, 17(20)-pregnadiene-3, 16-dione] is a plant sterol derived from
the gum resin of the tree Commiphora wightii. The gum resin of the guggul tree
has been used in traditional medicine for centuries to treat obesity, liver
disorders, internal tumors, malignant sores, ulcers, urinary complaints,
intestinal worms, leucoderma, sinus, edema and sudden paralytic seizures.
Guggulsterone has been shown to modulate the nuclear receptors, farnesoid X
receptor, pregnane X receptor, CYP 2b10 gene expression, and the bile salt export
pump for cholesterol elimination. Recent research indicates that the active
components of gum guggul, E- and Zguggulsterone have the potential to both
prevent and treat cancers. Guggulsterone inhibits the growth of a wide variety of
tumor cells and induces apoptosis through down regulation of antiapoptotic gene
products (IAP1, xIAP, Bfl-1/A1, Bcl-2, cFLIP, and survivin), modulation of cell
cycle proteins (cyclin D1 and c-Myc), activation of caspases, inhibition of Akt,
and activation of JNK. Guggulsterone modulates the expression of gene products
involved in metastasis (MMP-9, COX-2, and VEGF) of tumor cells. Guggulsterone
mediates gene expression through the modulation of several transcription factors,
including NF-kappaB, STAT3, C/EBPalpha, androgen receptor, and glucocorticoid
receptors. This review describes the anti-cancer properties, molecular targets,
and the apoptotic effects of guggulsterone.
PMID- 26561057
TI - Antiviral Natural Products Against Chronic Hepatitis B: Recent Developments.
AB - Hepatitis B virus (HBV) is inherently a hepatotropic virus that causes acute and
chronic hepatitis in about one-third of world population. Of the estimated 360
million chronically infected individuals, more than one million die of liver
cirrhosis, fulminant liver failure or hepatocellular carcinoma (HCC) every year.
Though there is an effective vaccine available, failure to protection because of
vaccine-escape viral mutants in some population is also reported. Moreover, all
the currently approved antiviral drugs have their limitations, too. Interferon
(IFN-alpha) has limited efficacy and a high incidence of adverse side-effects in
a proportion of chronic patients. Nucleos(t)ide analogs like, lamivudine,
adefovir, tenofovir and entecavir are very effective in treating chronic
hepatitis B (CHB), but long-term therapy eventually leads to drug-resistance. As
an alternative approach, natural or plant products have provided promising
therapeutics in modern pharma industry. Owing to their characteristics of high
chemical diversity and biochemical specificity, natural products offer great
promises as potentially effective antiviral drugs. A broad spectrum of
phytochemicals including flavonoids (e.g., Vogonin), terpenes (e.g.,
Artemisinin), alkaloids (e.g., Oxymatrine), polyphenolics (e.g., geraniin),
saponins (e.g., Astragaloside IV) and lignans (e.g., Helioxanthin) has been
isolated and investigated for anti-HBV activities in vitro as well as in vivo.
Nevertheless, these promising compounds have different and overlapping mechanisms
of action by either inhibiting viral antigens secretion or suppression of DNA
replication. The present article reviews the recent developments in anti-HBV
natural products.
PMID- 26561058
TI - Visit-to-visit blood pressure variability and classes of antihypertensive agents;
associations with artery remodeling and the risk of stroke.
AB - Recent studies have shown that visit-to-visit blood pressure (BP) variability was
emerging as an independent risk factor for stroke. Although the mechanism is not
fully understood, artery remodeling would be closely associated with the
relationship between visit-to-visit BP variability and stroke. In addition, the
class of antihypertensive agents is suggested to be an important determinant of
visit-to-visit BP variability. This review article summarizes the recent
literature on these topics. In the elderly hypertensives, strict BP control using
calcium channel blockade would play a crucial role to prevent stroke via reducing
the visit-to-visit BP variability.
PMID- 26561059
TI - Mitochondrial genome sequencing in atherosclerosis: what's next?
AB - Cardiovascular diseases are currently a basic cause of mortality in highly
developed countries. The major reason for genesis and development of
cardiovascular diseases is atherosclerosis. At the present time high technology
methods of molecular genetic diagnostics can significantly simplify early
presymptomatic recognition of patients with atherosclerosis, to detect risk
groups and to perform a family analysis of this pathology. A Next-Generation
Sequencing (NGS) technology can be characterized by high productivity and
cheapness of full genome analysis of each DNA sample. We suppose that in the
nearest future NGS methods will be widely used for scientific and diagnostic
purposes, including personalized medicine. In the present review article
literature data on using NGS technology were described in studying mitochondrial
genome mutations associated with atherosclerosis and its risk factors, such as
mitochondrial diabetes, mitochondrial cardiomyopathy, diabetic nephropathy and
left ventricular hypertrophy. With the use of the NGS technology it proved to be
possible to detect a range of homoplasmic and heteroplasmic mutations and
mitochondrial genome haplogroups which are associated with these pathologies.
Meanwhile some mutations and haplogroups were detected both in atherosclerosis
and in its risk factors. It conveys the suggestion that there are common
pathogenetic mechanisms causing these pathologies. What comes next? New paradigm
of crosstalk between non-pharmaceutical (including molecular genetic) and true
pharmaceutical approaches may be developed to fill the niche of effective and
pathogenically targeted pretreatment and treatment of preclinical and subclinical
atherosclerosis to avoid the development of chronic life-threatening disease.
PMID- 26561060
TI - Cardioprotective effects of Aronia melanocarpa anthocynanins. From laboratory
experiments to clinical practice.
AB - The role of polyphenols in the cardiovascular diseases prevention is still a
matter of scientific discussion. However, recent clinical studies indicate that
intake of anthocyanins and in a lesser extent procyanidins can participate in
prevention of hypertension and type 2 diabetes. Fruits of Aronia melanocarpa
(chokeberry) are known to be a reach source of these polyphenols. Moreover, its
extracts were shown to express strong antioxidant, antiinflammatory, vasorelaxant
and antithrombotic properties. The aim of the review is to summarize the results
of the hitherto research regarding the biological effects at the molecular and
clinical level.
PMID- 26561061
TI - The potential for circulating microRNAs in the diagnosis of myocardial
infarction: a novel approach to disease diagnosis and treatment.
AB - MicroRNAs (miRNAs) are a class of small regulatory RNAs that control several
cellular processes that may contribute to development of cardiovascular disease
(CVD) and the pathophysiological consequences of myocardial infarction (MI). Only
a very small-numbers of biomarkers in MI (e.g., Troponin) have been identified,
which are sufficiently sensitive, specific and robust. There is growing evidence
of an association between specific miRNAs in the pathogenesis of MI. miRNAs are
transported within the systemic circulation via exosomes and microparticles, and
are therefore detectable in blood, urine, saliva, and other fluid compartments.
Dysregulation of myocardial-derived miRNAs, such as miR-1, miR-133, miR-499, and
miR-208, have been identified as potential biomarkers in MI. Furthermore,
alteration of the levels of some miRNAs during stress-induced apoptosis is
reported as a novel therapeutic strategy for cardiac disease. Modulation of mir
24 appears to inhibit cardiomyocyte apoptosis, attenuate infarct size, and reduce
cardiac dysfunction. A greater knowledge on the molecular mechanism underlying
the functional role of emerging miRNAs, could provide novel insights into
identifying of new biomarkers. This review highlights several recent preclinical
and clinical studies on the role of miRNAs in myocardial infarction; novel miRNA
based therapeutic approaches for therapeutic intervention, and potential
circulating miRNA to be served as biomarkers in patients with suspected MI.
PMID- 26561062
TI - Oxidative stress and Parkinson's disease: New hopes in treatment with herbal
antioxidants.
AB - Parkinson's disease (PD) is a neurodegenerative disorder due to dopamine deficit
in substatia nigra. PD is mainly a sporadic disease with unestablished etiology.
However, exposure to environmental toxins, head trauma, inflammation, and free
radicals are potential reasons. Recently, the role of oxidative stress in
neurological abnormalities, including PD, has been particularly addressed.
Antioxidant remedies, particularly herbal antioxidants, have revealed new
perspectives of research and therapy as possible preventive and therapeutic
approaches for PD. In this paper, we reviewed the recently published papers on
the effects of herbal medicines on PD alongside the pathogenesis of PD with
regard to oxidative stress.
PMID- 26561063
TI - Autism: Pathophysiology and Promising Herbal Remedies.
AB - Autism is a comprehensive growth abnormality in which social skills, language,
communication, and behavioral skills are developed with delay and as
diversionary. The reasons for autism are unclear, but various theories of
genetics, immunity, biological, and psychosocial factors have been proffered. In
fact, autism is a complex disorder with distinct causes that usually co-occur.
Although no medicine has been recognized to treat this disorder, pharmacological
treatments can be effective in reducing its signs, such as self-mutilation,
aggression, repetitive and stereotyped behaviors, inattention, hyperactivity, and
sleeping disorders. Recently, complementary and alternative approaches have been
considered to treat autism. Ginkgo biloba is one of the most effective plants
with an old history of applications in neuropsychological disorders which
recently is used for autism. The present review discusses the recent findings,
pathophysiology, and etiology of autism and thereafter addresses the promising
results of herbal remedies.
PMID- 26561064
TI - Chronic Inflammatory Diseases: Progress and Prospect with Herbal Medicine.
AB - Diseases associated with chronic inflammatory pathology claim a major share of
worldwide deaths each year. A principal reason behind the huge number of
casualties is associated with mild or unnoticed symptoms for long period of time
since the outset, and that specific treatment options for these diseases have not
yet emerged. Current anti-inflammatory drugs essentially have become ineffective
for long term protection from these diseases as they also interfere with
essential cellular pathways and associated toxicities. Notably, recent studies
with a number of phytochemicals have shown promising results. These compounds
isolated from various medicinal plants express their anti-inflammatory activities
by down regulating expression of several crucial pro-inflammatory mediators.
These are mostly antioxidants; inhibit induction of key transcription factors
like nuclear factor kappa B (NF-kappaB) that are responsible for expression of
proinflammatory mediators, and other growth regulators. Definitely, some of these
compounds have the potential to be developed into new therapeutic agents to
better control inflammation associated diseases in near future. This review
summarizes recent findings on the molecular mechanisms through which various
inflammatory activities are linked to disease progression and a group of natural
products that have shown promise in controlling these processes.
PMID- 26561065
TI - Flaxseed and Diabetes.
AB - Flaxseed contains 32% to 45% of its mass as oil of which 51% to 55% is alpha
linolenic acid. Flax lignan complex and secoisolariciresinol diglucoside (SDG)
have been isolated from flaxseed. Flaxseed and its components have antioxidant,
hypolipidemic and hypoglycemic effects. These are mostly due to the SDG content.
Oxidative stress has been implicated in both type 1 and type 2 diabetes.
Flaxseed, flaxseed oil and flax lignan complex have not been investigated as to
whether they reduce the incidence of diabetes and/or delay the development of
diabetes. However, their effects on serum glucose have been studied. Flaxseed and
flax lignan complex improve glycemic control. Animal models of type I diabetes
involving streptozotocin administration or utilizing Bio-Breed diabetic (BBdp)
prone rats are associated with oxidative stress. SDG treatment reduced the
incidence of diabetes using serum glucose levels by 75% in the streptozotocin
model of diabetes and by 72% in the BBdp rat model of diabetes. These reductions
in development of diabetes were associated with decreases in oxidative stress
measured by serum and pancreatic malondialdehyde (MDA). SDG delays the
development of diabetes in Zucker diabetic fatty (ZDF) rat model of type 2
diabetes and this effect was associated with a reduction in serum MDA and
glycated haemoglobin A1C. The data suggest that SDG may have a great potential
for reducing the incidence of type 1 diabetes and delaying the development of
type 2 diabetes in humans.
PMID- 26561066
TI - Prevention and treatment of atherosclerosis with flaxseed-derived compound
secoisolariciresinol diglucoside.
AB - Atherosclerosis is the primary cause of coronary artery disease, heart attack,
strokes, and peripheral vascular disease. Alternative/complimentary medicines,
although are unacceptable by medical community, may be of great help in
suppression, slowing of progression and regression of atherosclerosis. Numerous
natural products are in use for therapy in spite of lack of evidence. This paper
discusses the basic mechanism of atherosclerosis, risk factors for
atherosclerosis, and prevention, slowing of progression and regression of
atherosclerosis with flaxseed-derived secoisolariciresinol diglucoside (SDG). SDG
content of flaxseed varies from 6mg/g to 18 mg/g. Flaxseed is the richest source
of SDG. SDG possesses antioxidant, antihypertensive, antidiabetic, hypolipidemic,
anti-inflammatory and antiatherogenic activities. SDG content of some commonly
used food has been described. SDG in very low dose (15 mg/ kg) suppressed the
development of hypercholesterolemic atherosclerosis by 73 % and this effect was
associated with reduction in serum total cholesterol, LDL-C, and oxidative
stress, and an increase in the levels HDL-C. A summary of the effects of flaxseed
and its components on hypercholesterolemic atherosclerosis has been provided.
Reduction in hypercholesterolemic atherosclerosis by flaxseed, CDC-flaxseed,
flaxseed oil, flax lignan complex and SDG are 46 %, 69 %, 0 %, 34 % and 73 %
respectively in dietary cholesterol -induced rabbit model of atherosclerosis. SDG
slows the progression of atherosclerosis in animal model. Long-term use of SDG
regresses hypercholesterolemic atherosclerosis. It is interesting that regular
diet following high cholesterol diet accelerates in this animal model of
atherosclerosis. In conclusion SDG suppresses, slow the progression and regresses
the atherosclerosis. It could serve as an alternative medicine for the
prevention, slowing of progression and regression of atherosclerosis and hence
for the treatment of coronary artery disease, stroke and peripheral arterial
vascular diseases.
PMID- 26561067
TI - Biomarkers of Subclinical Atherosclerosis and Natural Products as Complementary
Alternative Medicine.
AB - Cardiovascular diseases (CVD) are considered the leading cause of morbidity and
mortality from chronic diseases in the world. In addition, about 20% of first and
recurrent acute myocardial infarctions (MI) are silent. In this context,
subclinical atherosclerosis culminates in evident CVD, through the evolution of
early risk factors such as hypercholesterolemia, hypertriglyceridemia and others.
The main problem in CVD is related to the long-time between the start of the
subclinical atherosclerosis and the manifestation of the disease. The
identification of subjects at risk of such events is obviously substantial, since
identification leads to implementation and compliance with effective preventive
measures that reduce such risk. In this sense, this review demonstrates
biomarkers as an alternative to early detection of subclinical atherosclerosis.
One of the proposed biomarkers is the Ischemia-modified albumin (IMA), being
considered a promising biochemical biomarker for atherosclerotic conditions.
Another marker that is gaining strength and is associated with the IMA are the
advanced oxidation protein products (AOPP), its measurement provides information
on the level of exposure to potentially harmful changes to proteins and metabolic
control. And last but not least we have nitric oxide as an early marker mainly
related to endothelial dysfunction. In this review also is evidenced the use of
the Campomanesia xanthocarpa, a plant native to southern region from Brazil
extensively used as complementary and alternative medicine, and natural products
to reduce protein oxidation and improve the availability of nitric oxide and
consequently vascular function, reducing the risk for development of CVD.
PMID- 26561069
TI - Potential of Natural Products of Herbal Origin as Monoamine Oxidase Inhibitors.
AB - Monoamine oxidase (MAO, E.C. 1.4.3.4) is a flavin-adenine type of enzyme with two
isoforms referred to MAO-A and MAO-B that function for oxidation of monoamines.
While MAO-A inhibitors are effective as antidepressant and anxiolytic drugs (e.g.
chlorgyline, moclobemide, and lazabemide), inhibitors of MAO-B (e.g. Ldeprenyl,
pargyline, and rasagiline) are used against neurodegenerative diseases such as
Parkinson's and Alzheimer's diseases. Considering the need for novel MAO
inhibitors due to side effects of the current ones, natural products have become
attractive targets for researchers. Up till now, many studies revealed strong MAO
inhibitory activity of flavonoid, xanthone, alkaloid, and coumarin derivatives
from herbal sources, which also become good models for the synthetic MAO
inhibitors. For this purpose, the present review focuses on examples of in vitro
and in vivo MAO-inhibiting natural compounds of plant origin from a wide variety
of chemical classes isolated mainly between 2000 - 2015.
PMID- 26561068
TI - Cardiometabolic Risk Related to the Association of hypertriglyceridemia-Low HDLc.
AB - AIMS: High levels of plasma triglycerides (TG) are a risk factor for
cardiovascular diseases often associated with anomalies in other lipids or
lipoproteins. However, results from randomized trials, suggesting that low high
density lipoprotein cholesterol (HDLc) might not cause cardiovascular disease, as
originally thought, have generated renewed interest in increased concentrations
of TG. The objective has been to determine the prevalence and factors associated
with hypertrigliceridemia (HTG) and with low HDLc. METHODS: Patients, included in
the HTG Registry of the Spanish Association of Atherosclerosis, have been
analyzed and anthropometric as well as metabolic data have been collected from
them. RESULTS: 1349 patients have been evaluated. Low HDLc has been found in
60.86% (821). Factors significantly associated with low HDLc and HTG were the
female sex, being overweight with an increase in the body mass index, using
tobacco, diabetes mellitus, low-alcohol consumption and a low exercise rate.
Among them, two types of association may be identified with anthropometric
variables (especially in men) and metabolic variables (diabetes mellitus and
metabolic syndrome). No significant differences have been found insofar as the
prevalence of cardiovascular illness between both groups. CONCLUSIONS: HTG - low
HDLc association is very frequent and it is related to overweight-obesity and
other metabolic disorders such as diabetes mellitus with or without metabolic
syndrome. In addition, these findings underscore the intricate relationship
between HDLc, TG, and glucose metabolism that need to be studied simultaneously.
In this context, TG lowering treatment is suggested to be more strongly
recommended to address the residual risk of atherosclerotic cardiovascular
disease.
PMID- 26561070
TI - Phytoestrogen-Rich Dietary Supplements in Anti-Atherosclerotic Therapy in
Postmenopausal Women.
AB - Cardiovascular diseases remain the leading cause of morbidity and mortality among
postmenopausal women in western societies. There are still no specific and highly
efficient methods of preservation of women's vascular health in modern preventive
medicine. For many years physicians have assumed that hormone replacement therapy
prevents the development of atherosclerosis in menopausal women. However, the
results of the largest international trials involving thousands of women have
completely destroyed this hope. The modern perspective for the development of
effective and safe drugs to enhance the quality of life and to prevent
atherosclerosis progression in postmenopausal women may be the use of
phytoestrogens, the substances of plant origin possessing estrogen- like effects,
and possibly providing anti-atherosclerotic and anti-climacteric action.
Phytoestrogens are often considered as a possible alternative to hormone
replacement therapy, since they are believed to alleviate some symptoms of
menopause. However, until now there is no exact evidence to consider
phytoestrogens as the substances that protect women from atherosclerosis. It
should be noted that the data from clinical studies with inconsistent results are
mainly inconsistent per se, as most of the studies have serious limitations due
to the study design and the participants' compliance. Nevertheless, there is a
substantial evidence that phytoestrogens have the potential to address several
conditions and diseases associated with the menopausal transition.
Phytoestrogens, at least, can potentially reduce atherosclerosis and
atherosclerosis-related diseases through multiple mechanisms, by regulating serum
lipid metabolism, arterial vessels, cytokine levels, and coagulation/fibrinolysis
system. However, a skepticism exists concerning the true potential of
phytoestrogens to beneficially modify these processes. An analysis of findings
from supplementing the diet with phytoestrogens has failed, in general, to
confirm them as the agents responsible for beneficial cardiovascular effects.
Fortunalely, now there is a growing interest to the use of phytoestrogens for
primary prevention of cardiovascular disease in postmenopausal women. Clinical
and epidemiologic data indicate that phytoestrogens possess anti-atherosclerotic
effects and may be used to prevent and treat cardiovascular diseases, and that
adding phytoestrogens to the diet can contribute to the health of postmenopausal
women. This review discusses the effects of phytoestrogens possibly beneficial
for cardiovascular health, and how these effects could retard the progression of
atherosclerosis, as well as the areas that need further investigation.
PMID- 26561071
TI - Mechanism of Treatment of Kidney Deficiency and Osteoporosis is Similar by
Traditional Chinese Medicine.
AB - Traditional Chinese medicine (TCM) is a theoretical based system and is
completely different from western medicine and states that numerous diseases,
especially chronic diseases, are cured or relieved. "Zheng" (syndrome) is a
summarization of the pathological changes which take place during the different
stages of the development of a disease, including its location, cause and nature
as well as the state of both Xie-qi (pathogenic factors) and Zheng-qi (healthy
energy). Compared to a single symptom, syndrome can demonstrate the nature of a
disease more extensively, completely and correctly. However, it is difficult to
compare "Zheng" to the western medicine theory, which is based on scientific
evidence for the diagnosis and treatment of a specific disease. Estrogen
deficiency is a major pathogenetic factor in bone loss after menopause and
oophorectomy with the subsequent risk of developing osteoporosis. According to
TCM theory, the kidney stores essence and this can transform into bone marrow to
nourish the bones, strenghthen the skeleton by promoting growth and repair. The
kidney deficiency can decrease the estrogen level adjusted by the gonadal axis,
causing osteoporosis. Traditional Chinese medicines tonifying the kidney can
significantly enhance the level of estrogen to alleviate osteoporosis. In
combination with other evidence, we further deduce that the syndrome as defined
within TCM has a similar pathological mechanism to that defined by western
medicine. If TCM theory is to be understood and accepted, and further fused with
the western medicine theory, the micro pathological basis of TCM syndrome must be
investigated extensively, which will lead to bridging the two theories together.
The fusion of TCM with western medicine will pay more attention to analyzing the
common nature and difference of disease and syndrome. This paper reviews the way
forward for new translational advances.
PMID- 26561072
TI - Environmental Toxicant Exposure and Cancer: The Role of Epigenetic Changes and
Protection by Phytochemicals.
AB - Exposure to environmental toxicants is a well-documented predisposing factor for
cancer. Although genetic alterations have long been known to occur through
exposure to some environmental carcinogens, there is another layer of genome
regulatory system named epigenetic system. Epigenetics is defined as any
reversible and heritable change in cellular patterns of gene expression that does
not alter DNA sequence. This layer of gene control plays a key role in early
stages of carcinogenesis by reprogramming cells to what is known as cancer stem
cells, a process with great similarities to somatic cell reprogramming into
"induced pluripotent stem cell". Environmental toxicants could directly promote
carcinogenesis through disturbing promoter CpG island hypermethylation, and
silencing of tumor suppressor genes, hypomethylation of transposable elements and
genomic instability induced by environmental toxicants. Environmental toxicants
could also indirectly affect epigenetic programming of nucleus through inducing
inflammatory signaling pathways that converge on NF-kappaB or STAT3 activation.
Considering the reversibility of epigenetic alterations and their pivotal role in
early carcinogenesis, reversion of these alterations could be a promising
approach for chemoprevention. Selected phytochemicals have shown desirable
effects through regulation of the most important epigenetic mechanisms including
DNA methylation, histone modifications and microRNA expression, as well as
modulation of SIRT-1 and STAT-3 signaling pathways. The present review aims to
outline the epigenetic mechanisms underlying carcinogenic effects of
environmental toxicants, and the protective effects of phytochemicals in
reversing epigenetic aberrations in the regulatory pathways steering normal cell
homeostasis.
PMID- 26561073
TI - Role of Micronutrients on Subclinical Atherosclerosis Micronutrients in
Subclinical Atherosclerosis.
AB - Atherosclerotic cardiovascular disease (CVD) leading to coronary heart disease is
the leading cause of morbidity and mortality in the world. Nutrition is one of
the key factors in the etiology of atherosclerosis. Micronutrient supplements are
widely used to prevent many chronic diseases including atherosclerosis. However,
scientific evidence regarding this issue is still insufficient and current data
on the association of dietary micronutrients and CVD risk is contradictory. Most
of the randomized studies have failed to demonstrate beneficial effects of
micronutrient supplementation on markers of subclinical atherosclerosis. In this
review, role of each micronutrient on subclinical atherosclerosis will be
evaluated thoroughly.
PMID- 26561074
TI - Herba Epimedii: An Ancient Chinese Herbal Medicine in the Prevention and
Treatment of Osteoporosis.
AB - Herba Epimedii (HEP) known as YinYangHuo in Chinese is the dried leaf of the
Epimediium, and has been historically used in combination with other herbs to
treat skeletal diseases in traditional Chinese medicine (TCM). Here, we review
the historical TCM interpretation of the action of HEP, its use in clinical
trials, its main phytochemical constituents and its pharmacological findings. 85
clinical trials were identified which used HEP in TCM prescriptions with other
herbs to treat primary and secondary osteoporosis from 2005 to now. More than 60
individual compounds were isolated and characterized from HEP and studied in
various animal and cell models. HEP and its constituents exhibited a variety of
anti-resorptive and bone formation-stimulating effects, which target different
pathways in the bone remodeling cycle. These compounds may provide new
perspectives in alternative treatment regimes and reveal novel chemical scaffolds
for the development of anti-osteoporotic drugs. These approaches are also useful
for guiding our research to employ an integrative therapeutic approach to treat
complex diseases such as osteoporosis diseases which could be superior to the
conventional single target - single drug approach.
PMID- 26561075
TI - Brain Protection and Cognitive Function: Cocoa Flavonoids as Nutraceuticals.
AB - Cognitive decline and dementia are major public health social problems,
suggesting the specific need to provide research into risk factors for cognitive
decline as priority topic. Increasing evidence supports the hypothesis that
oxidative stress and neuroinflammation might play a crucial role in the
pathophysiology of cognitive decline. Further, cognitive dysfunction and dementia
in Alzheimer's disease as well as in vascular dementia seem to be also the
consequence of cerebral blood flow decrease and deregulation, also suggesting a
putative pathophysiological convergence of mechanisms between atherosclerosis and
Alzheimer's disease. In keeping with this, a growing interest has been addressed
to flavonoids as potential nutraceuticals with neuroprotective effects. Of
interest, cocoa beans have been described as a fundamental source of anti-oxidant
flavonoids with the flavan-3-ols and their derivatives being present in high
concentrations. Therefore, recent studies specifically focused on the favorable
effects of flavonoid-rich cocoa and chocolate on cerebrovascular risk factors and
cognitive function. Aim of this review is to summarize new findings concerning
the cocoa effects on cognitive function, particularly focusing on some putative
mechanisms of vascular and antioxidant action involved in preventing dementia.
PMID- 26561076
TI - Periodontitis and Periodontal Disease - Innovative Strategies for Reversing the
Chronic Infectious and Inflammatory Condition by Natural Products.
AB - Oral microbiota of the mouth is the most diverse microbial community in the human
body and plays a decisive role in the emergence and evolution of gingival
pathology, contributing as well to the host general health condition, based on
complex interactions established between the microbial community members and the
host. A specific shift in the quantity and diversity of the microbial community
developed on dental and mucosal surfaces, could lead to the occurrence of chronic
inflammation mediated by the overproduction of pro-inflammatory cytokines. The
mechanical treatment and current medication efficiency for the periodontal
disease is limited in time due to the rapid plaque forming. Also, the
antimicrobial treatment is limited by the sessile growth of the microorganisms,
resulting in a poor biofilm penetration by biocides or antibiotics. In line with
that, the attention of the scientific community shifted to ethnopharmacology as a
complementary, or alternative therapeutic option for fighting infections with
resistant bacteria. The vegetal and bee products are an important source of
bioactive compounds, acting as harmless antimicrobials and periodontal
inflammation suppressors. Vegetable bioproducts have been proven to exhibit
multiple antipathogenic effects, such as microbicidal activity, virulence
attenuation, and synergistic effects between the components found in the complex
vegetal matrixes, or with conventional biocides, as well as immunomodulatory
effects. The purpose of this review is to highlight the importance of vegetable
products as a possible complementary treatment for periodontitis and their
potential for the development of innovative therapeutic strategies.
PMID- 26561077
TI - Paresthesia: A Review of Its Definition, Etiology and Treatments in View of the
Traditional Medicine.
AB - OBJECTIVE: To search major Islamic Traditional Medicine (ITM) textbooks for
definition, etiology and medicinal plants used to manage 'khadar' or
'paresthesia', a common sensory symptom of multiple sclerosis (MS) and peripheral
neuropathies. In addition, the conformity of the efficacy of ITM-suggested plants
with the findings from modern pharmacological research on MS will be discussed.
METHODS: Data on the medicinal plants used to treat 'khadar' were obtained from
major ITM texts. A detailed search in PubMed, ScienceDirect, Scopus and Google
Scholar databases was performed to confirm the effects of ITM-mentioned medicinal
plants on MS in view of identified pharmacological actions. RESULTS: Moringa
oleifera Lam., Aloe vera (L.) Burm.f., Euphorbia species, Citrullus colocynthis
(L.) Schrad., and Costus speciosus (Koen ex. Retz) Sm. are among the most
effective ITM plants for the management of 'khadar'. Recent experimental evidence
confirms the effectiveness of the mentioned plants in ameliorating MS symptoms.
Moreover, according to ITM, prolonged exposure to cold and consuming foodstuff
with cold temperament might be involved in the etiopathogenesis of MS.
CONCLUSIONS: The use of traditional knowledge can help finding neglected risk
factors as well as effective and safe therapeutic approaches, phytomedicines and
dietary habits for the management of paresthesia and related disorders such as
MS.
PMID- 26561078
TI - Chlorella vulgaris: A Multifunctional Dietary Supplement with Diverse Medicinal
Properties.
AB - Chlorella vulgaris is a green unicellular microalgae with biological and
pharmacological properties important for human health. C. vulgaris has a long
history of use as a food source and contains a unique and diverse composition of
functional macro- and micro-nutrients including proteinsChlorella vulgaris is a
green unicellular microalgae with biological and pharmacological properties
important for human health. C. vulgaris has a long history of use as a food
source and contains a unique and diverse composition of functional macro- and
micro-nutrients including proteins, omega-3 polyunsaturated fatty acids,
polysaccharides, vitamins and minerals. Clinical trials have suggested that
supplementation with C. vulgaris can ameliorate amelioration hyperlipidemia and
hyperglycemia, and protect against oxidative stress, cancer and chronic
obstructive pulmonary disease. In this review, we summarize the findings on the
health benefits of Chlorella supplementation and the molecular mechanisms
underlying these effects., omega-3 polyunsaturated fatty acids, polysaccharides,
vitamins and minerals. Clinical trials have suggested that supplementation with
C. vulgaris can ameliorate amelioration hyperlipidemia and hyperglycemia, and
protect against oxidative stress, cancer and chronic obstructive pulmonary
disease. In this review, we summarize the findings on the health benefits of
Chlorella supplementation and the molecular mechanisms underlying these effects.
PMID- 26561079
TI - Dietary Supplement Therapies for Inflammatory Bowel Disease: Crohn's Disease and
Ulcerative Colitis.
AB - Inflammatory bowel disease (IBD) including ulcerative colitis and Crohn's disease
are chronic relapsing and remitting chronic diseases for which there is no cure.
The treatment of IBD frequently requires immunosuppressive and biologic therapies
which carry an increased risk of infections and possible malignancy. There is a
continued search for safer and more natural therapies in the treatment of IBD.
This review aims to summarize the most current literature on the use of dietary
supplements for the treatment of IBD. Specifically, the efficacy and adverse
effects of vitamin D, fish oil, probiotics, prebiotics, curcumin, Boswellia
serrata, aloe vera and cannabis sativa are reviewed.
PMID- 26561081
TI - Optics Express review criteria; introducing the novelty and impact statement.
AB - In an effort to maintain and improve the quality and importance of papers
published, Optics Express has refined its review criteria and instituted a
required novelty and impact statement.
PMID- 26561080
TI - Continuous glucose monitoring system in the operating room and intensive care
unit: any difference according to measurement sites?
AB - Given the benefit of glucose control in the perioperative period, we evaluated
the accuracy and performance of the continuous glucose monitoring system (CGMS)
depending on different measurement sites in the operating room (OR) and in the
intensive care unit (ICU). Patients over 18 years of age scheduled for elective
surgery and ICU admission were enrolled prospectively. Two CGMS sensors were
inserted into the subcutaneous tissue of the proximal lateral thigh and the
lateral abdomen. The rate of successful measurements from thigh and abdomen in
the OR and in the ICU were calculated separately. Each CGMS values were compared
with the time-matched arterial blood glucose measurements. CGMS values from both
measurement sites were also compared. A total of 22 patients undergoing cardiac
surgeries were studied. The rate of successful measurements was higher in the ICU
(73.2 %) than in the OR (66.0 %) (P = 0.01); however, that from thigh (72.9 %)
and from abdomen (58.7 %) showed statistically significant difference only in the
OR (P = 0.04). The Pearson correlation coefficient of thigh and abdomen versus
arterial values was 0.67 and 0.60, respectively (P < 0.001). In Clarke error grid
analysis, 94.6 % (89.3 % in the OR and 96.1 % in the ICU) of values from thigh
fell into clinically acceptable zones compared to 93.7 % (89.0 % in the OR and
95.4 % in the ICU) from abdomen. There were no statistically significant
differences in the accuracy according to measurement sites. The CGMS showed high
measurement failure rate, especially in the OR. In the OR, the rate of successful
measurement was higher from thigh than from abdomen. The CGMS showed low accuracy
compared to arterial reference values. Nevertheless, there was no difference in
the accuracy of the CGMS between two measurement sites. Perioperative performance
of the CGMS still needs to be improved considering relatively low successful
measurement rates.
PMID- 26561082
TI - Scattering of a plasmonic nanoantenna embedded in a silicon waveguide.
AB - Plasmonic antennas integrated on silicon devices have large and yet unexplored
potential for controlling and routing light signals. Here, we present theoretical
calculations of a hybrid silicon-metallic system in which a single gold
nanoantenna embedded in a single-mode silicon waveguide acts as a resonance
driven filter. As a consequence of scattering and interference, when the
resonance condition of the antenna is met, the transmission drops by 85% in the
resonant frequency band. Firstly, we study analytically the interaction between
the propagating mode and the antenna by including radiative corrections to the
scattering process and the polarization of the waveguide walls. Secondly, we find
the configuration of maximum interaction and numerically simulate a realistic
nanoantenna in a silicon waveguide. The numerical calculations show a large
suppression of transmission and three times more scattering than absorption,
consequent with the analytical model. The system we propose can be easily
fabricated by standard silicon and plasmonic lithographic methods, making it
promising as real component in future optoelectronic circuits.
PMID- 26561083
TI - Amplitude and polarization modulated hyperspectral Stimulated Raman Scattering
Microscopy.
AB - We present a simple hyperspectral Stimulated Raman Scattering (SRS) microscopy
method based on spectral focusing of chirped femtosecond pulses, combined with
amplitude (AM) and polarization (PM) modulation. This approach permits the
imaging of low concentration components with reduced background signals, combined
with good hyperspectral resolution and rapid spectral scanning. We demonstrate,
using PM-SRS in a Raman loss configuration, the spectrally resolved detection of
deuterated dimethyl sulfoxide (DMSO-d6) at concentrations as low as 0.039 % (5.5
mM). In general, background signals due to cross-phase modulation (XPM), two
photon absorption (TPA) and thermal lensing (TL) can reduce the contrast in SRS
microscopy. We show that the nonresonant background signal contributing to the
SRS signal is, in our case, largely due to XPM. Polarization modulation of the
Stokes beam eliminates the nonresonant XPM background, yielding high quality
hyperspectral scans at low analyte concentration. The flexibility of our combined
AM-PM approach, together with the use of variable modulation frequency and lock
in phase, should allow for optimization of SRS imaging in more complex samples.
PMID- 26561084
TI - Imaging with partially coherent light: elementary-field approach.
AB - Numerical modeling of bright-field and dark-field imaging with spatially
partially coherent light is considered. The illuminating field is expressed as a
superposition of transversely shifted fully coherent elementary fields of
identical form. Examples of imaging under variable coherence conditions
demonstrate the computational feasibility of the model even when the coherence
area of the illumination is in the wavelength scale.
PMID- 26561085
TI - Design of a freeform electronic viewfinder coupled to aberration fields of
freeform optics.
AB - The newly formulated theory of aberration fields of freeform surfaces describes
the aberrations that freeform Zernike polynomial surfaces can correct within
folded powered optical systems. This theory has guided the design of an OLED
based reflective freeform electronic viewfinder covering a 25 degrees full field
of-view with a 12 mm eyebox, which is reported together with a detailed
methodology that begins with developing an unobscured starting point and ends
with an optimized freeform design, analyzed both in display and visual spaces. In
addition, tolerancing of the system points to the potential low sensitivity of
these systems to manufacturing tilt (10 arcmin), decenter and despace (100 um),
and figure errors (lambda/2 @ 0.632 um).
PMID- 26561086
TI - Electrically adjustable location of a projected image in augmented reality via a
liquid-crystal lens.
AB - An augmented reality (AR) system involving the electrically tunable location of a
projected image is implemented using a liquid-crystal (LC) lens. The projected
image is either real or virtual. By effectively doubling the LC lens power
following light reflection, the position of a projected virtual image can be made
to vary from 42 to 360 cm, while the tunable range for a projected real image is
from 27 to 52 cm on the opposite side. The optical principle of the AR system is
introduced and could be further developed for other tunable focusing lenses, even
those with a lower lens power. The benefits of this study could be extended to
head-mounted display systems for vision correction or vision compensation. We
believe that tunable focusing LC optical elements are promising developments in
the thriving field of AR applications.
PMID- 26561087
TI - High responsivity SiGe heterojunction phototransistor on silicon photonics
platform.
AB - We report on a novel near infrared SiGe phototransistor fabricated by a standard
silicon photonics foundry. The device is first investigated by simulations. The
fabricated devices are characterized in terms of current-voltage characteristics
at different optical power. Typical phototransistors exhibit 1.55um record
responsivity at low optical power exceeding 232A/W and 42A/W at 5V and 1V bias,
respectively. A differential detection scheme is also proposed for the dark
current cancellation to significantly increase the device sensitivity.
PMID- 26561088
TI - Colloidal superlattices for unnaturally high-index metamaterials at broadband
optical frequencies.
AB - The recent advance in the assembly of metallic nanoparticles (NPs) has enabled
sophisticated engineering of unprecedented light-matter interaction at the
optical domain. In this work, I expand the design flexibility of NP optical
metamaterial to push the upper limit of accessible refractive index to the
unnaturally high regime. The precise control over the geometrical parameters of
NP superlattice monolayer conferred the dramatic increase in electric resonance
and related effective permittivity far beyond the naturally accessible regime.
Simultaneously, effective permeability change, another key factor to achieving
high refractive index, was effectively suppressed by reducing the thickness of
NPs. By establishing this design rule, I have achieved unnaturally high
refractive index (15.7 at the electric resonance and 7.3 at the quasi-static
limit) at broadband optical frequencies (100 THz ~300 THz). I also combined this
NP metamaterial with graphene to electrically control the high refractive index
over the broad optical frequencies.
PMID- 26561089
TI - Coherent diffraction imaging of non-isolated object with apodized illumination.
AB - Coherent diffraction imaging (CDI) is an established lensless imaging method
widely used at the x-ray regime applicable to the imaging of non-periodic
materials. Conventional CDI can practically image isolated objects only, which
hinders the broader application of the method. We present the imaging of non
isolated objects by employing recently proposed "non-scanning" apodized
illumination CDI at an optical wavelength. We realized isolated apodized
illumination with a specially designed optical configuration and succeeded in
imaging phase objects as well as amplitude objects. The non-scanning nature of
the method is important particularly in imaging live cells and tissues, where
fast imaging is required for non-isolated objects, and is an advantage over
ptychography. We believe that our result of phase contrast imaging at an optical
wavelength can be extended to the quantitative phase imaging of cells and
tissues. The method also provides the feasibility of the lensless single-shot
imaging of extended objects with x-ray free-electron lasers.
PMID- 26561090
TI - Fast spatial beam shaping by acousto-optic diffraction for 3D non-linear
microscopy.
AB - Acousto-optic deflection (AOD) devices offer unprecedented fast control of the
entire spatial structure of light beams, most notably their phase. AOD light
modulation of ultra-short laser pulses, however, is not straightforward to
implement because of intrinsic chromatic dispersion and non-stationarity of
acousto-optic diffraction. While schemes exist to compensate chromatic
dispersion, non-stationarity remains an obstacle. In this work we demonstrate an
efficient AOD light modulator for stable phase modulation using time-locked
generation of frequency-modulated acoustic waves at the full repetition rate of a
high power laser pulse amplifier of 80 kHz. We establish the non-local
relationship between the optical phase and the generating acoustic frequency
function and verify the system for temporal stability, phase accuracy and
generation of non-linear two-dimensional phase functions.
PMID- 26561091
TI - Controlling quantum dot emission by plasmonic nanoarrays.
AB - Metallic nanoparticle arrays support localized surface plasmon resonances (LSPRs)
and propagating surface lattice resonances (SLRs). We study the control of
quantum dot (QD) emission coupled to the optical modes of silver nanoparticle
arrays, both experimentally and numerically. With a hybrid lithography
functionalization method, the QDs are deposited in the vicinity of the
nanoparticles. Directionality and enhancement of the emission are observed in
photoluminescence spectra and fluorescence lifetime measurements, respectively.
Similar features are also demonstrated in the numerical simulations. The tunable
emission of this type of hybrid structures could lead to potential applications
in light sources.
PMID- 26561092
TI - Retrace error reconstruction based on point characteristic function.
AB - Figure measuring interferometers generally work in the null condition, i.e., the
reference rays share the same optical path with the test rays through the imaging
system. In this case, except field distortion error, effect of other aberrations
cancels out and doesn't result in measureable systematic error. However, for
spatial carrier interferometry and non-null aspheric test cases, null condition
cannot be achieved typically, and there is excessive measurement error that is
referenced as retrace error. Previous studies about retrace error can be
generally distinguished into two categories: one based on 4th-order aberration
formalism, the other based on ray tracing through interferometer model. In this
paper, point characteristic function (PCF) is used to analyze retrace error in a
Fizeau interferometer working in high spatial carrier condition. We present the
process of reconstructing retrace error with and without element error in detail.
Our results are in contrast with those obtained by ray tracing through
interferometer model. The small difference between them (less than 3%) shows that
our method is effective.
PMID- 26561093
TI - Silicon nanoridge array waveguides for nonlinear and sensing applications.
AB - We fabricate and characterize waveguides composed of closely spaced and
longitudinally oriented silicon ridges etched into silicon-on-insulator wafers.
Through both guided mode and bulk measurements, we demonstrate that the
patterning of silicon waveguides on such a deeply subwavelength scale is
desirable for nonlinear and sensing applications alike. The proposed waveguide
geometry simultaneously exhibits comparable propagation losses to similar schemes
proposed in literature, an enhanced effective third-order nonlinear
susceptibility, and high sensitivity to perturbations in its environment.
PMID- 26561094
TI - Large one-time photo-induced tuning of directional couplers in chalcogenide-on
silicon platform.
AB - The stable one-time tuning of silicon-photonic directional couplers, over a broad
range of coupling ratios, is achieved through the selective photo-removal of an
upper cladding layer of chalcogenide glass. Analysis shows that the coupling
coefficient per unit length between two parallel fully-etched silicon waveguides
may be changed by 45%. The power coupling ratio of a 50 um-long directional
coupler between two such waveguides may be tuned arbitrarily between 0 and 1,
with weak residual wavelength dependence. Smaller modifications in the coupling
coefficient per unit length are obtained between two partially-etched ridge
waveguides, on the order of 10%. The proposed procedure is demonstrated in the
post-fabrication tuning of transmission notches of a race-track resonator, from
over-coupling through critical coupling to weak coupling. The extinction ratio of
specific resonances is varied between 4 and 40 dB. The coupling ratio of a tuned
device remains stable following three months of storage.
PMID- 26561095
TI - Stable closed-loop fiber-optic delay of arbitrary radio-frequency waveforms.
AB - Thermal drifts in long fiber-optic delay lines are compensated based on chromatic
dispersion. An arbitrary input radio-frequency (RF) waveform and a control RF
sine wave modulate two different tunable laser sources and are coupled into the
fiber delay line. The RF phase of the control tone at the output of the delay
line is monitored and used to adjust the wavelengths of both sources, so that the
effects of thermal drifts and dispersion cancel out. The input and control
waveforms are separated in the optical domain, and no restrictions are imposed on
their RF spectra. A figure of merit is proposed, in terms of the fiber delay,
range of temperature changes that may be compensated for, and residual delay
variations. An upper bound on performance is established in terms of the
specifications of the tunable lasers. The principle is used in the stable
distribution of sine waves and of broadband linear frequency-modulated (LFM)
waveforms, which are commonly employed in radar systems. Lastly, the method is
incorporated in stable interrogation of a localized hot-spot within a high
resolution, distributed Brillouin fiber sensing setup. The results demonstrate
the applicability of the proposed protocol in the processing of arbitrary
waveforms, as part of larger, more complex systems.
PMID- 26561096
TI - Ni(2+) doped glass ceramic fiber fabricated by melt-in-tube method and successive
heat treatment.
AB - Glass ceramic fibers containing Ni(2+) doped LiGa(5)O(8) nanocrystals were
fabricated by a melt-in-tube method and successive heat treatment. Fiber
precursors were prepared by drawing at high temperature where fiber core glass
was melted while fiber clad glass was softened. After heat treatment, LiGa(5)O(8)
nanocrystals were precipitated in the fiber core. Excited by 980 nm laser,
efficient broadband near-infrared emission was observed in the glass ceramic
fiber compared to that of precursor fiber. The melt-in-tube method can realize
controllable crystallization and is suitable for fabrication of novel glass
ceramic fibers. The Ni(2+)-doped glass ceramic fiber is promising for broadband
optical amplification.
PMID- 26561097
TI - Flip-chip assembly of VCSELs to silicon grating couplers via laser fabricated SU8
prisms.
AB - This article presents the flip-chip bonding of vertical-cavity surface-emitting
lasers (VCSELs) to silicon grating couplers (GCs) via SU8 prisms. The SU8 prisms
are defined on top of the GCs using non-uniform laser ablation process. The
prisms enable perfectly vertical coupling from the bonded VCSELs to the GCs. The
VCSELs are flip-chip bonded on top of the silicon GCs employing the laser-induced
forward transfer (LIFT)-assisted thermocompression technique. An excess loss of <
1 dB at 1.55 um measured from the bonded assemblies is reported in this paper.
The results of high speed transmission experiments performed on the bonded
assemblies with clear eye openings up to 20 Gb/s are also presented.
PMID- 26561098
TI - Comparison of cost- and energy-efficient signal modulations for next generation
passive optical networks.
AB - Extensive numerical investigations are undertaken to analyze and compare, for the
first time, the performance, techno-economy, and power consumption of three-level
electrical Duobinary, optical Duobinary, and PAM-4 modulation formats as
candidates for high-speed next-generation PONs supporting downstream 40 Gb/s per
wavelength signal transmission over standard SMFs in C-band. Optimization of
transceiver bandwidths are undertaken to show the feasibility of utilizing low
cost and band-limited components to support next-generation PON transmissions.
The effect of electro-absorption modulator chirp is examined for electrical
Duobinary and PAM-4. Electrical Duobinary and optical Duobinary are power
efficient schemes for smaller transmission distances of 10 km SMFs and optical
Duobinary offers the best receiver sensitivity albeit with a relatively high
transceiver cost. PAM-4 shows the best power budget and cost-efficiency for
larger distances of around 20 km, although it consumes more power. Electrical
Duobinary shows the best trade-off between performance, cost and power
dissipation.
PMID- 26561099
TI - Highly efficient Yb-free Er-La-Al doped ultra-low NA large mode area single
trench fiber laser.
AB - We demonstrate a 60um core diameter Yb free Er-La-Al doped single-trench fiber
having a 0.038 ultra-low-NA, fabricated using conventional MCVD process in
conjunction with solution doping technique. Numerical simulations predict an
effective single mode operation with effective area varying from 1,820um(2) to
1,960um(2) (taking bend-induced modal distortion into account) for different
thicknesses of trenches and resonant rings at a constant bend radius of 25cm.
Moreover, all solid structure favors easy cleaving and splicing. Experimental
measurements demonstrate a robust effective single mode operation. Furthermore,
with a 4%-4% laser cavity, this fiber shows a record efficiency of 46% with
respect to the absorbed pump power.
PMID- 26561100
TI - Up-converted emission and mode beating in Er(3+)-doped fibers.
AB - We demonstrate the differences in the excited state transmission (EST) for
different modes in 8 MUm core diameter, Er(3+)- doped silica fiber. The S(2)
(Spatially and Spectrally resolved) imaging method was used to determine the
modal composition of the transmitted beam and to analyze the group delays of the
higher order modes. We register the up-converted emission under two beam
excitation (980 nm + 850 nm or 790 nm) and propose the numerical model for the
anti-Stokes emission analysis. Taking additionally into account the interference
of the beating fiber modes, one can expect the inhomogeneous spatial distribution
of the excited ions. This was predicted by numerical calculations. The obtained
results have been confirmed by taking photo of the up-converted emission as seen
from the side of the fiber.
PMID- 26561101
TI - Development of solar-blind photodetectors based on Si-implanted beta-Ga(2)O(3).
AB - beta-Ga(2)O(3) films grown on Al(2)O(3) by a metalorganic chemical vapor
deposition technique were used to fabricate a solar-blind photodetector with a
planar photoconductor structure. The crystal structure and quality of the beta
Ga(2)O(3) films were analyzed using X-ray diffraction and micro-Raman
spectroscopy. Si ions were introduced into the beta-Ga(2)O(3) thin films by ion
implantation method and activated by an annealing process to form an Ohmic
contact between the Ti/Au electrode and the beta-Ga(2)O(3) film. The electrical
conductivity of the beta-Ga(2)O(3) films was greatly improved by the implantation
and subsequent activation of the Si ions. The photoresponse properties of the
photodetectors were investigated by analyzing the current-voltage characteristics
and the time-dependent photoresponse curves. The fabricated solar-blind
photodetectors exhibited photoresponse to 254 nm wavelength, and blindness to 365
nm light, with a high spectral selectivity.
PMID- 26561102
TI - Compact, 15 Gb/s electro-optic modulator through carrier accumulation in a hybrid
Si/SiO(2)/Si microdisk.
AB - High-speed electro-optic modulators are among the key elements in any optical
interconnect system. In this work we design and demonstrate an electro-optic
modulator based on carrier accumulation on a multilayer integrated photonic
platform comprising a stack of high quality Si, SiO(2), and Si layers. The device
consists of a 3-MUm radius microdisk with an embedded capacitor. Characterization
results reveal an operation bandwidth of exceeding 10 GHz. The device is capable
of transmitting 15 Gb/s with the on/off keying format in a single polarization.
The proposed structure can be self-trimmed by up to 1 nm in wavelength by
applying a dc bias voltage without any power consumption. This feature eliminates
the need for power-hungry thermal-based compensation methods to address the
resonance wavelength mismatch due to fabrication imperfections.
PMID- 26561103
TI - Quantitative test of general theories of the intrinsic laser linewidth.
AB - We perform a first-principles calculation of the quantum-limited laser linewidth,
testing the predictions of recently developed theories of the laser linewidth
based on fluctuations about the known steady-state laser solutions against
traditional forms of the Schawlow-Townes linewidth. The numerical study is based
on finite-difference time-domain simulations of the semiclassical Maxwell-Bloch
lasing equations, augmented with Langevin force terms, and includes the effects
of dispersion, losses due to the open boundary of the laser cavity, and non
linear coupling between the amplitude and phase fluctuations (alpha factor). We
find quantitative agreement between the numerical results and the predictions of
the noisy steady-state ab initio laser theory (N-SALT), both in the variation of
the linewidth with output power, as well as the emergence of side-peaks due to
relaxation oscillations.
PMID- 26561104
TI - Amplification of 12 OAM Modes in an air-core erbium doped fiber.
AB - We theoretically propose an air-core erbium doped fiber amplifier capable of
providing relatively uniform gain for 12 orbital angular momentum (OAM) modes
(|L| = 5, 6 and 7, where |L| is the OAM mode order) over the C-band. Amplifier
performance under core pumping conditions for a uniformly doped core for each of
the supported pump modes (110 in total) was separately assessed. The differential
modal gain (DMG) was found to vary significantly depending on the pump mode used,
and the minimum DMG was found to be 0.25 dB at 1550 nm provided by the OAM (8,1)
pump mode. A tailored confined doping profile can help to reduce the pump mode
dependency for core pumped operation and help to increase the number of pump
modes that can support a DMG below 1 dB. For the more practical case of cladding
pumped operation, where the pump mode dependency is almost removed, a DMG of 0.25
dB and a small signal gain of >20 dB can be achieved for the 12 OAM modes across
the full C-band.
PMID- 26561105
TI - Anomalous diamagnetic shifts in InP-GaP lateral quantum-wires.
AB - Linearly polarized photoluminescence (PL) measurements were carried out on InP
GaP lateral nanowires grown using a lateral composition modulation method in
pulsed magnetic fields up to ~ 50 T. In these structures, the energy band
alignment becomes type-I and type-II in In-rich wire and Ga-rich barrier regions,
respectively. It is revealed that the polarization of the type-I PL is oriented
along the [110] crystal direction, whereas that of the type-II PL is along the
[110] direction in the absence of magnetic field. These two different PL peaks
exhibit anomalous energy shifts with respect to the direction of the magnetic
field due to the variation of the confined energy in the exciton center of mass
potential.
PMID- 26561106
TI - Large-stroke convex micromirror actuated by electromagnetic force for optical
power control.
AB - This paper contributes a novel design and the corresponding fabrication process
to research on the unique topic of micro-electro-mechanical systems (MEMS)
deformable convex micromirror used for focusing-power control. In this design,
the shape of a thin planar metal-coated polymer-membrane mirror is controlled
electromagnetically by using the repulsive force between two magnets, a permanent
magnet and a coil solenoid, installed in an actuator system. The 5 mm effective
aperture of a large-stroke micromirror showed a maximum center displacement of
30.08 um, which enabled control of optical power across a wide range that could
extend up to around 20 diopters. Specifically, utilizing the maximum optical
power of 20 diopter by applying a maximum controlling current of 0.8 A yielded
consumption of at most 2 W of electrical power. It was also demonstrated that
this micromirror could easily be integrated in miniature tunable optical imaging
systems.
PMID- 26561107
TI - Simultaneous type I and type II Cerenkov-phase matched second-harmonic generation
in disordered nonlinear photonic structures.
AB - We observe simultaneous type I and II Cerenkov-phase matched second-harmonic
generation in a disordered nonlinear photonic crystal. The mean width of the
disordered ferroelectric domains and the laser beam width are adjusted to be on
the same length scale. We analyze the polarization properties, emission angles
and intensities of each process.
PMID- 26561108
TI - Mode converter based on an inverse taper for multimode silicon nanophotonic
integrated circuits.
AB - An inverse taper on silicon is proposed and designed to realize an efficient mode
converter available for the connection between multimode silicon nanophotonic
integrated circuits and few-mode fibers. The present mode converter has a silicon
on-insulator inverse taper buried in a 3 * 3MUm(2) SiN strip waveguide to deal
with not only for the fundamental mode but also for the higher-order modes. The
designed inverse taper enables the conversion between the six modes (i.e.,
TE(11), TE(21), TE(31), TE(41), TM(11), TM(12)) in a 1.4 * 0.22MUm(2) multimode
SOI waveguide and the six modes (like the LP(01), LP(11a), LP(11b) modes in a few
mode fiber) in a 3 * 3MUm(2) SiN strip waveguide. The conversion efficiency for
any desired mode is higher than 95.6% while any undesired mode excitation ratio
is lower than 0.5%. This is helpful to make multimode silicon nanophotonic
integrated circuits (e.g., the on-chip mode (de)multiplexers developed well)
available to work together with few-mode fibers in the future.
PMID- 26561109
TI - Compensation of errors due to incident beam drift in a 3 DOF measurement system
for linear guide motion.
AB - A measurement system with three degrees of freedom (3 DOF) that compensates for
errors caused by incident beam drift is proposed. The system's measurement model
(i.e. its mathematical foundation) is analyzed, and a measurement module (i.e.
the designed orientation measurement unit) is developed and adopted to measure
simultaneously straightness errors and the incident beam direction; thus, the
errors due to incident beam drift can be compensated. The experimental results
show that the proposed system has a deviation of 1 MUm in the range of 200 mm for
distance measurements, and a deviation of 1.3 MUm in the range of 2 mm for
straightness error measurements.
PMID- 26561110
TI - Broadband unidirectional cloak designed by eikonal theory.
AB - A method for designing optical device is derived based on the eikonal theory,
which could obtain the eikonal distribution on a curved surface according to the
propagation characteristics of the subsequent light wave. Then combining with the
phase matching condition, we designed a broadband unidirectional cloak. Different
from the reported unidirectional cloaks, the proposed one could be used for
coherent wave and has continuous broadband performance. Moreover, it has three
cloaked regions. Full-wave simulation results verify the properties of the cloak.
PMID- 26561111
TI - Femtoliter-scale optical nanofiber sensors.
AB - We report a robust and sensitive optical nanofiber sensor with a femtoliter-scale
detection volume. The sensor is fabricated by embedding a 800-nm-diameter
nanofiber into a microfluidic chip with probing light propagated perpendicular to
a 5-MUm-wide detection channel. To verify the effectiveness of the sensor, we
present measurements of fluorescence intensity and refractive index (RI) with
detection limits of 1 * 10(-7) M for fluorescein and 2.8 * 10(-4) RIU,
respectively. The femtoliter-scale optical nanofiber sensor shown here may
provide a compact and versatile sensing platform for sensitive and fast detection
of ultra-low-volume samples, as well as studying the dynamics of single molecule.
PMID- 26561112
TI - Gerchberg-Saxton-like ghost imaging.
AB - Correlation is widely used to reconstruct the object image in ghost imaging (GI).
But it only offers a linear proportion of the signal-to-noise ratios (SNR) to the
number of measurements. We develop a Gerchberg-Saxton-like technique for GI image
reconstruction in this manuscript. The proposed technique takes the advantage of
the integral property of the Fourier transform, and treat the captured data as
constraints for image reconstruction. We numerically and experimentally
demonstrate the technique, and observe a nonlinear growth of the SNR value with
respect to the number of measurements in the simulation. The proposed technique
provides a different perspective of image reconstruction of GI, and will be
beneficial to further explore its potential.
PMID- 26561113
TI - 448 Gbit/s, 32 Gbaud 128 QAM coherent transmission over 150 km with a potential
spectral efficiency of 10.7 bit/s/Hz.
AB - We realized a single-carrier, polarization-multiplexed 32 Gbaud 128 QAM coherent
transmission. Digital frequency-domain equalization enabled us to achieve
waveform distortion compensation of a wideband data signal with high frequency
resolution. Thus, we successfully increased the QAM multiplicity to 128 at 32
Gbaud, and transmitted 448 Gbit/s data over 150 km with a potential spectral
efficiency of 10.7 bit/s/Hz. This is the highest multiplicity and spectral
efficiency yet achieved in a coherent QAM transmission at a baud rate of as high
as 32 Gbaud.
PMID- 26561114
TI - Induced dark solitary pulse in an anomalous dispersion cavity fiber laser.
AB - We report on the formation of induced dark solitary pulses in a net anomalous
dispersion cavity fiber laser. In a weak birefringence cavity fiber laser
simultaneous laser oscillation along the two orthogonal polarization directions
of the cavity could be achieved. Under suitable conditions bright cavity solitons
could be formed along one polarization direction while CW emission occurs along
the orthogonal polarization direction. In a previous paper we have shown that
under incoherent polarization coupling a bright soliton always induces a broad
dark pulse on the CW beam. In the paper we further show that under coherent
polarization coupling a bright soliton could further induce either a weak bright
or a dark solitary pulse on the bottom of the broad dark pulse. Numerical
simulations have also well reproduced the experimental observations, and further
show whether a weak dark or bright solitary pulse is induced is determined by the
presence or absence of a phase jump in the induced pulse.
PMID- 26561115
TI - Stimulated Raman scattering threshold for partially coherent light in silica
fibers.
AB - Stimulated Raman scattering (SRS) is an important limiting factor for achieving
high peak power intensity in fiber amplifier systems. It was proposed to use
partially coherent light to increase the SRS threshold significantly. In this
paper, the SRS threshold of partially coherent light in silica fibers is
investigated by both experiments and theoretical analysis, which show that the
SRS threshold is independent on light coherency when the bandwidth of the light
is much narrower than 30 nm.
PMID- 26561116
TI - Efficient modal analysis using compressive optical interferometry.
AB - Interferometry is routinely used for spectral or modal analysis of optical
signals. By posing interferometric modal analysis as a sparse recovery problem,
we show that compressive sampling helps exploit the sparsity of typical optical
signals in modal space and reduces the number of required measurements. Instead
of collecting evenly spaced interferometric samples at the Nyquist rate followed
by a Fourier transform as is common practice, we show that random sampling at sub
Nyquist rates followed by a sparse reconstruction algorithm suffices. We
demonstrate our approach, which we call compressive interferometry, numerically
in the context of modal analysis of spatial beams using a generalized
interferometric configuration. Compressive interferometry applies to widely used
optical modal sets and is robust with respect to noise, thus holding promise to
enhance real-time processing in optical imaging and communications.
PMID- 26561118
TI - Robustness and spatial multiplexing via diffractal architectures.
AB - When plane waves diffract through fractal-patterned apertures, the resulting far
field profiles or diffractals also exhibit iterated, self-similar features. Here
we show that this specific architecture enables robust signal transmission and
spatial multiplexing: arbitrary parts of a diffractal contain sufficient
information to recreate the entire original sparse signal.
PMID- 26561119
TI - Interferogram conditioning for improved Fourier analysis and application to X-ray
phase imaging by grating interferometry.
AB - An interferogram conditioning procedure, for subsequent phase retrieval by
Fourier demodulation, is presented here as a fast iterative approach aiming at
fulfilling the classical boundary conditions imposed by Fourier transform
techniques. Interference fringe patterns with typical edge discontinuities were
simulated in order to reveal the edge artifacts that classically appear in
traditional Fourier analysis, and were consecutively used to demonstrate the
correction efficiency of the proposed conditioning technique. Optimization of the
algorithm parameters is also presented and discussed. Finally, the procedure was
applied to grating-based interferometric measurements performed in the hard X-ray
regime. The proposed algorithm enables nearly edge-artifact-free retrieval of the
phase derivatives. A similar enhancement of the retrieved absorption and fringe
visibility images is also achieved.
PMID- 26561117
TI - Accurate determination of segmented X-ray detector geometry.
AB - Recent advances in X-ray detector technology have resulted in the introduction of
segmented detectors composed of many small detector modules tiled together to
cover a large detection area. Due to mechanical tolerances and the desire to be
able to change the module layout to suit the needs of different experiments, the
pixels on each module might not align perfectly on a regular grid. Several
detectors are designed to permit detector sub-regions (or modules) to be moved
relative to each other for different experiments. Accurate determination of the
location of detector elements relative to the beam-sample interaction point is
critical for many types of experiment, including X-ray crystallography, coherent
diffractive imaging (CDI), small angle X-ray scattering (SAXS) and spectroscopy.
For detectors with moveable modules, the relative positions of pixels are no
longer fixed, necessitating the development of a simple procedure to calibrate
detector geometry after reconfiguration. We describe a simple and robust method
for determining the geometry of segmented X-ray detectors using measurements
obtained by serial crystallography. By comparing the location of observed Bragg
peaks to the spot locations predicted from the crystal indexing procedure, the
position, rotation and distance of each module relative to the interaction region
can be refined. We show that the refined detector geometry greatly improves the
results of experiments.
PMID- 26561120
TI - High-intracavity-power thin-disk laser for the alignment of molecules.
AB - We propose a novel approach for strong alignment of gas-phase molecules for
experiments at arbitrary repetition rates. A high-intracavity-power continuous
wave laser will provide the necessary ac electric field of 10(10)-10(11) W/cm(2).
We demonstrate thin-disk lasers based on Yb:YAG and Yb:Lu(2)O(3) in a linear high
finesse resonator providing intracavity power levels in excess of 100 kW at pump
power levels on the order of 50 W. The multi-longitudinal-mode operation of this
laser avoids spatial-hole burning even in a linear standing-wave resonator. The
system will be scaled up as in-vacuum system to allow for the generation of
fields of 10(11) W/cm(2). This system will be directly applicable for experiments
at modern X-ray light sources, such as synchrotrons or free-electron lasers,
which operate at various very high repetition rates. This would allow to record
molecular movies through temporally resolved diffractive imaging of fixed-in
space molecules, as well as the spectroscopic investigation of combined X-ray-NIR
strong-field effects of atomic and molecular systems.
PMID- 26561121
TI - TRACK--A new method for the evaluation of low-level extinction coefficient in
optical films.
AB - We develop a rigorous methodology named TRACK based on the collection of multi
angle spectrophotometric transmission and reflection data in order to assess the
extinction coefficient of quasi-transparent optical films. The accuracy of
extinction coefficient values obtained by this method is not affected by sample
non-idealities (thickness non-uniformity, refractive index inhomogeneities,
anisotropy, interfaces, etc.) and therefore a simple two-layer (substrate/film)
optical model can be used. The method requires the acquisition of transmission
and reflection data at two angles of incidence: 10 degrees and 65 degrees in p
polarization. Data acquired at 10 degrees provide information about the film
thickness and the refractive index, while data collected at 65 degrees are used
for absorption evaluation and extinction coefficient computation. We test this
method on three types of samples: (i) a CR-39 plastic substrate coated with a
thick protective coating; (ii) the same substrate coated with a thin TiO(2) film;
(iii) and a thick Si(3)N(4) film deposited on Gorilla glass that presents
thickness non-uniformity and refractive index gradient non-idealities. We also
compare absorption and extinction coefficient values obtained at 410 and 550 nm
by both TRACK and Laser Induced Deflection techniques in the case of a 1 micron
thick TiO(2) coating. Both methods display consistent extinction coefficient
values in the 10(-4) and 10(-5) ranges at 410 and 550 nm, respectively, which
proves the validity of the methodology and provides an estimate of its accuracy
limit.
PMID- 26561122
TI - Spectral characteristics of terahertz radiation from plasmonic photomixers.
AB - We present a comprehensive analysis of spectral characteristics of terahertz
radiation from plasmonic photomixers. We fabricate plasmonic photomixer
prototypes with plasmonic contact electrode gratings on a low temperature grown
GaAs substrate and characterize the spectral properties of the generated
terahertz radiation by use of a heterodyne detection scheme. Our analysis shows
that linewidth, stability, and frequency tuning range of the generated terahertz
radiation are directly determined by linewidth, stability, and wavelength tuning
range of optical pump beam and not affected by device geometry, substrate
properties, optical pump power level and other operational settings. Our study
indicates the crucial role of optical sources in realizing high performance
terahertz spectroscopy and wireless communication systems based on plasmonic
photomixers.
PMID- 26561123
TI - Free-space beam shaping for precise control and conversion of modes in optical
fiber.
AB - We consider the general problem of free-space beam shaping for coupling in and
out of higher order modes (HOMs) in optical fibers with high purity and low loss.
We compare the performance of two simple phase structures - binary phase plates
(BPPs) and axicons - for converting Gaussian beams to HOMs and vice versa. Both
axicons and BPPs allow for excitation of modes with high purity (>15 dB parasitic
mode suppression), or conversion of HOMs to near-Gaussian beams (M2 < 1.25).
Axicon coupling in single-clad fibers allows for lower loss (0.85 +/- 0.1 dB)
conversion than BPPs (1.7 +/- 0.1 dB); but BPPs are compatible with any fiber
design, and allow for rapid switching between modes. The experiments detailed
here use all commercial components and fibers, allowing for a simple means to
investigate the unique properties of multi-mode fibers.
PMID- 26561124
TI - Nonblocking Clos networks of multiple ROADM rings for mega data centers.
AB - Optical networks have been introduced to meet the bandwidth requirement of mega
data centers (DC). Most existing approaches are neither scalable to face the
massive growth of DCs, nor contention-free enough to provide full bisection
bandwidth. To solve this problem, we propose two symmetric network structures:
ring-MEMS-ring (RMR) network and MEMS-ring-MEMS (MRM) network based on classical
Clos theory. New strategies are introduced to overcome the additional wavelength
constraints that did not exist in the traditional Clos network. Two structures
that followed the strategies can enable high scalability and nonblocking property
simultaneously. The one-to-one correspondence of the RMR and MRM structures to a
Clos is verified and the nonblocking conditions are given along with the routing
algorithms. Compared to a typical folded-Clos network, both structures are more
readily scalable to future mega data centers with 51200 racks while reducing
number of long cables significantly. We show that the MRM network is more cost
effective than the RMR network, since the MRM network does not need tunable
lasers to achieve nonblocking routing.
PMID- 26561125
TI - Bessel-like beam array formation by periodical arrangement of the polymeric round
tip microstructures.
AB - Here, we report the formation of Bessel-like beam array from periodic patterns
fabricated by the four-beam interference lithography. Characteristics of the
generated Bessel-like beams depend on geometrical parameters of the fabricated
microaxicon-like structures, which can be easily controlled via the laser
processing parameters. The output beam characteristics disclose the attributes of
Bessel beams. The demonstrated method enables an easy fabrication of angular
tolerant wavefront detectors, optical tweezers, optical imaging systems or
materials processing tools, having a broad range of applications.
PMID- 26561126
TI - Guided-mode-resonance-enhanced measurement of thin-film absorption.
AB - We present a numerical and experimental study of a guided-mode-resonance (GMR)
device for detecting surface-bound light-absorbing thin films. The GMR device
functions as an optical resonator at the wavelength strongly absorbed by the thin
film. The GMR mode produces an evanescent field that results in enhanced optical
absorption by the thin film. For a 100-nm-thick lossy thin film, the GMR device
enhances its absorption coefficients over 26 * compared to a conventional glass
substrate. Simulations show the clear quenching effect of the GMR when the
extinction coefficient is greater than 0.01. At the resonant wavelength, the
reflectance of the GMR surface correlates well with the degree of optical
absorption. GMR devices are fabricated on a glass substrate using a surface
relief grating and a titanium-dioxide coating. To analyze a visible absorbing
dye, the reflection coefficient of dye-coated GMR devices was measured. The GMR
based method was also applied to detecting acid gases, such as hydrochloric
vapor, by monitoring the change in absorption in a thin film composed of a pH
indicator, bromocresol green. This technique potentially allows absorption
analysis in the visible and infrared ranges using inexpensive equipment.
PMID- 26561127
TI - Parametric characterization of surface plasmon polaritons at a lossy interface.
AB - Using exact solutions of Maxwell's equations, we investigate the evolution of the
transversal profile of a surface plasmon polariton (SPP) packet propagating along
a planar interface between a dielectric and a lossy metal. We introduce a
parameter to measure the propagation length of the SPP packet and analyze its
behavior with respect to the shape of the packet and the dielectric
characteristics of the interface. Furthermore, we study the polarization
properties of the SPP packet and define two parameters to quantify the fraction
of the irradiance contained in the s- and p-polarization components of the
associated field. Our results help to advance in the understanding of the SPP
optics beyond the single-mode description.
PMID- 26561128
TI - Surface-enhanced terahertz spectroscopy using gold rod structures resonant with
terahertz waves.
AB - Terahertz (THz) spectroscopy is a promising method to measure the spectrum of low
frequency modes of molecules or ensembles, such as crystals and polymers,
including proteins. However, the main drawback of THz spectroscopy is its
extremely low sensitivity. In the present study, we report on signal enhancement
in THz spectroscopy achieved by depositing amino acid molecules or their
derivatives on a gold rod structured silicon substrate whose localized surface
plasmon resonance is exhibited in the THz frequency region. The distinct peaks
derived from the enhancement of the inherent spectrum based on a molecular
crystal were clearly observed when a longitudinal plasmon resonance mode of the
gold rod structure was excited and the plasmon resonance band overlapped the
molecular/intermolecular vibrational mode. We discuss the mechanism by which
surface-enhanced THz spectroscopy was induced from the viewpoint of the
enhancement of light-matter coupling due to plasmon excitation and the modulation
of the plasmon band by dipole coupling between the plasmon dipole and
molecular/intermolecular vibrational modes.
PMID- 26561129
TI - New ultrasensitive resonant photonic platform for label-free biosensing.
AB - A multi-analyte biosensing platform with ultra-high resolution ( = 0.2 ng/mL),
which is appropriate for the detection in the human serum of a wide range of
biomarkers, e.g. those allowing the lung cancer early diagnosis, has been
designed. The platform is based on a new configuration of planar ring resonator.
The very strong light-matter interaction enabled by the micro-cavity allows a
record limit-of-detection of 0.06 pg/mm(2), five times better than the state-of
the-art. The device with footprint = 2,200 MUm(2) for each ring, due to its
features, has the potential to be integrated in lab-on-chip microsystems for
large-scale screenings of people with high risk of developing cancer.
PMID- 26561130
TI - Stress manipulated coating for fabricating lightweight X-ray telescope mirrors.
AB - In this paper wepresent a method to correct the surface profile of an X-ray
mirror by using a stress manipulated coating on the back side of mirror shells.
The ability to fabricate a thin walled mirror by some replication process is
required if future affordable X-ray space missions are to have ~30 times the
effective area of the current best X-ray observatory, i.e., the Chandra X-ray
Observatory (CXO). Thus, some process is necessary for using replicated X-ray
optics to make the next generation X-ray observatory. However, although the
surface roughness of sub-100 MUm length scales can be replicated, no known
replication technique can make 1 arc-second or better CXO-like optics. Yet,
because the images produced by the CXO are so exquisite, many X-ray astronomers
are not willing to settle for less in the future. Therefore, a post replication
technique must be developed to make future major X-ray astronomy missions
possible. In this paper, we describe a technique based on DC magnetron
sputtering. For figure correction, we apply a controlled bias voltage on the
surface during the sputtering. We show that we can produce, in 1-D, shape changes
large enough (1 MUm over 10 mm) to correct the typical figure errors in
replicated optics. We demonstrate reproducibility on an order of 0.6%, and
stability over weeks on a scale of less than 1 MUm over 10 mm. For these tests,
we used 200 MUm thick pieces of D263 Schott glass, about 5 mm x 20 mm. In
addition to the basic concept of controlling the stress with the coating, we
describe a new optimization software design to calculate the stress distribution
for a desired surface profile. We show that the combination of the stress
optimization software coupled with the coating process, can reduce the slope
error of a 5 mm x 20 mm glass sample by a factor of ten.
PMID- 26561131
TI - Revisiting the comparison between the Shack-Hartmann and the pyramid wavefront
sensors via the Fisher information matrix.
AB - Exoplanet direct imaging with large ground based telescopes requires eXtreme
Adaptive Optics that couples high-order adaptive optics and coronagraphy. A key
element of such systems is the high-order wavefront sensor. We study here several
high-order wavefront sensing approaches, and more precisely compare their
sensitivity to noise. Three techniques are considered: the classical Shack
Hartmann sensor, the pyramid sensor and the recently proposed LIFTed Shack
Hartmann sensor. They are compared in a unified framework based on precise
diffractive models and on the Fisher information matrix, which conveys the
information present in the data whatever the estimation method. The diagonal
elements of the inverse of the Fisher information matrix, which we use as a
figure of merit, are similar to noise propagation coefficients. With these
diagonal elements, so called "Fisher coefficients", we show that the LIFTed Shack
Hartmann and pyramid sensors outperform the classical Shack-Hartmann sensor. In
photon noise regime, the LIFTed Shack-Hartmann and modulated pyramid sensors
obtain a similar overall noise propagation. The LIFTed Shack-Hartmann sensor
however provides attractive noise properties on high orders.
PMID- 26561132
TI - Characterisation of random DFB Raman laser amplifier for WDM transmission.
AB - We perform a full numerical characterisation of half-open cavity random DFB Raman
fibre laser amplifier schemes for WDM transmission in terms of signal power
variation, noise and nonlinear impairments, showcasing the excellent potential of
this scheme to provide amplification for DWDM transmission with very low gain
variation.
PMID- 26561133
TI - Remote electrical arc suppression by laser filamentation.
AB - We investigate the interaction of narrow plasma channels formed in the
filamentation of ultrashort laser pulses, with a DC high voltage. The laser
filaments prevent electrical arcs by triggering corona that neutralize the high
voltage electrodes. This phenomenon, that relies on the electric field modulation
and free electron release around the filament, opens new prospects to lightning
and over-voltage mitigation.
PMID- 26561134
TI - Modeling of strain-induced Pockels effect in Silicon.
AB - We propose a theoretical model to describe the strain-induced linear electro
optic (Pockels) effect in centro-symmetric crystals. The general formulation is
presented and the specific case of the strained silicon is investigated in detail
because of its attractive properties for integrated optics. The outcome of this
analysis is a linear relation between the second order susceptibility tensor and
the strain gradient tensor, depending generically on fifteen coefficients. The
proposed model greatly simplifies the description of the electro-optic effect in
strained silicon waveguides, providing a powerful and effective tool for design
and optimization of optical devices.
PMID- 26561135
TI - Self-referenced biosensor based on thin dielectric grating combined with thin
metal film.
AB - Surface plasmon resonance biosensors based on grating coupling exhibiting two
plasmons are less known because usually thick gratings and thick metal films are
used. In this paper we show that when thin dielectric grating is used on top of
thin metal film two surface plasmons are generated at the two boundaries of the
metal film represented as two dips in the reflectivity or peaks in the
absorption. One of the plasmons is sensitive to the analyte refractive index
(sensitivity 580nm/RIU) while the other is sensitive to the refractive index of
the substrate; hence it can be used as a reference. This self-reference makes the
measurement more accurate and less sensitive to temperature fluctuations and
optomechanical drifts. Field distribution calculations show that the plasmon
excited at the metal-substrate interface is a long range plasmon with large
penetration depth.
PMID- 26561136
TI - High-power near-infrared linearly-polarized supercontinuum generation in a
polarization-maintaining Yb-doped fiber amplifier.
AB - We report an all-fiber linearly-polarized (LP) supercontinuum (SC) source with
high average power generated in a polarization-maintaining (PM) master
oscillation power-amplifier (MOPA). The experimental configuration comprises an
LP picosecond pulsed laser and three PM Yd-doped fiber amplifiers (YDFA). The
output has the average power of 124.8 W with the spectrum covering from 850 to
1900 nm. The measured polarization extinction ratio (PER) of the whole SC source
is about 85% which verifies the SC an LP source. This work is, to our best
knowledge, the highest output average power of an LP SC source that ever
reported. The influence of PM fiber splicing method on the LP SC property is
investigated by splicing the PM fibers with slow axis parallel or perpendicularly
aligned, and also an LP SC with low output power is demonstrated.
PMID- 26561137
TI - Experimental demonstration of single-shot phase imaging with a coded aperture.
AB - We experimentally demonstrated single-shot phase imaging with a coded aperture
(SPICA), which connects digital holography and coherent diffractive imaging based
on compressive sensing to realize the advantages of both methods simultaneously.
SPICA allows the observation of a complex field with a simple, single-shot
optical setup that does not need reference light and does not suffer from losses
associated with the field-of-view and spatial resolution. Experiments showed the
promising capabilities of SPICA for single-shot holographic imaging.
PMID- 26561138
TI - WS(2)/fluorine mica (FM) saturable absorbers for all-normal-dispersion mode
locked fiber laser.
AB - The report firstly propose a new WS(2) absorber based on fluorine mica (FM)
substrate. The WS(2) material was fabricated by thermal decomposition method. The
FM was stripped into one single layer as thin as 20 MUm and deposited WS(2) on
it, which can be attached to the fiber flank without causing the laser deviation.
Similar to quartz, the transmission rate of FM is as high as 90% at near infrared
wavelength from one to two micrometers. Furthermore, FM is a highly elastic
material so that it is not easy to break off even its thickness was only 20 MUm.
On the contrary, quartz is hard to be processed and easy to break off when its
thickness is less than 100 MUm. Compared to organic matrix such as polyvinyl
alcohol (PVA), FM has higher softening temperature, heat dissipation and laser
damage threshold than those of organic composites. In our work, the modulation
depth (MD) and non-saturable losses (NLs) of this kind of saturable absorber were
measured to be 5.8% and 14.8%, respectively. The WS(2)/FM absorber has a high
damage threshold of 406 MW/cm(2), two times higher than that of WS(2)/PVA. By
incorporating the saturable absorber into Yb-doped fiber laser cavity, a mode
locked fiber laser was achieved with central wavelength of 1052.45 nm. The
repetition rate was 23.26 MHz and the maximum average output power was 30 mW. The
long term stability of working was proved to be good too. The results indicate
that WS(2)/FM film is a practical nonlinear optical material for photonic
applications.
PMID- 26561139
TI - Highly efficient narrow-band green and red phosphors enabling wider color-gamut
LED backlight for more brilliant displays.
AB - In this contribution, we propose to combine both narrow-band green (beta
sialon:Eu(2+)) and red (K(2)SiF(6):Mn(4+)) phosphors with a blue InGaN chip to
achieve white light-emitting diodes (wLEDs) with a large color gamut and a high
efficiency for use as the liquid crystal display (LCD) backlighting. beta
sialon:Eu(2+), prepared by a gas-pressure sinteing technique, has a peak emission
at 535 nm, a full width at half maximum (FWHM) of 54 nm, and an external quantum
efficiency of 54.0% under the 450 nm excitation. K(2)SiF(6):Mn(4+) was
synthesized by a twe-step co-precipitation methods, and exhibits a sharp line
emission spectrum with the most intensified peak at 631 nm, a FWHM of ~3 nm, and
an external quantum efficiency of 54.5%. The prepared three-band wLEDs have a
high color temperature of 11,184 - 13,769 K (i.e., 7,828 - 8,611 K for LCD
displays), and a luminous efficacy of 91 - 96 lm/W, measured under an applied
current of 120 mA. The color gamut defined in the CIE 1931 and CIE 1976 color
spaces are 85.5 - 85.9% and 94.3 - 96.2% of the NTSC stanadard, respectively.
These optical properties are better than those phosphor-cpnverted wLED backlights
using wide-band green or red phosphoprs, suggesting that the two narrow-band
phosphors investigated are the most suitable luminescent materials for achieving
more bright and vivid displays.
PMID- 26561140
TI - Specular and antispecular light beams.
AB - We consider a class of spatially partially coherent light beams, which are
generated by passing a Gaussian Schell-model beam though a wavefront-folding
interferometer. In certain cases these beams are shape-invariant on propagation
and can exhibit sharp internal structure with a central peak (specular beam) or a
central dip (antispecular beam) whose dimensions depend on the spatial coherence
area. Such beams are demonstrated experimentally and their cross-like
distributions of the complex degree of spatial coherence are measured with a
digital micromirror device.
PMID- 26561141
TI - Universal ultrafast detector for short optical pulses based on graphene.
AB - Graphene has unique optical and electronic properties that make it attractive as
an active material for broadband ultrafast detection. We present here a graphene
based detector that shows 40-picosecond electrical rise time over a spectral
range that spans nearly three orders of magnitude, from the visible to the far
infrared. The detector employs a large area graphene active region with
interdigitated electrodes that are connected to a log-periodic antenna to improve
the long-wavelength collection efficiency, and a silicon carbide substrate that
is transparent throughout the visible regime. The detector exhibits a noise
equivalent power of approximately 100 uW.Hz(-1/2) and is characterized at
wavelengths from 780 nm to 500 um.
PMID- 26561142
TI - Optical modulation of aqueous metamaterial properties at large scale.
AB - Dynamical control of metamaterials by adjusting their shape and structures has
been developed to achieve desired optical functionalities and to enable
modulation and selection of spectra responses. However it is still challenging to
realize such a manipulation at large scale. Recently, it has been shown that the
desired high (or low) symmetry metamaterials structure in solution can be self
assembled under external light stimuli. Using the this approach, we
systematically investiagted the optical controlling process and report here a
dynamical manipulation of magnetic properties of metamaterials. Under external
laser excitations, we demonstrated that selected magnetic properties of
metamaterials can be tuned with the freedom of chosen wavelength ranges. The
magnetic dipole selectivity and tunability were further quantified by in situ
spectral measurement.
PMID- 26561143
TI - Hyperspectral imaging with a liquid crystal polarization interferometer.
AB - A novel hyperspectral imaging system has been developed that takes advantage of
the tunable path delay between orthogonal polarization states of a liquid crystal
variable retarder. The liquid crystal is placed in the optical path of an imaging
system and the path delay between the polarization states is varied, causing an
interferogram to be generated simultaneously at each pixel. A data set consisting
of a series of images is recorded while varying the path delay; Fourier
transforming the data set with respect to the path delay yields the hyperspectral
data-cube. The concept is demonstrated with a prototype imager consisting of a
liquid crystal variable retarder integrated into a commercial 640x480 pixel CMOS
camera. The prototype can acquire a full hyperspectral data-cube in 0.4 s, and is
sensitive to light over a 400 nm to 1100 nm range with a dispersion-dependent
spectral resolution of 450 cm(-1) to 660 cm(-1). Similar to Fourier transform
spectroscopy, the imager is spatially and spectrally multiplexed and therefore
achieves high optical throughput. Additionally, the common-path nature of the
polarization interferometer yields a vibration-insensitive device. Our concept
allows for the spectral resolution, imaging speed, and spatial resolution to be
traded off in software to optimally address a given application. The simplicity,
compactness, potential low cost, and software adaptability of the device may
enable a disruptive class of hyperspectral imaging systems with a broad range of
applications.
PMID- 26561144
TI - Extraordinary light absorptance in graphene superlattices.
AB - Extraordinary absorption decrease in graphene superlattices in the visible range
is presented. Due to competition between loss and resonant reflection at
resonance, the absorption displays non-monotonic behavior. As the period number
increases above a certain critical value, absorption decreases with the increase
in the period number. This is in contrast to ordinary absorption for a non
resonant condition, which monotonically increases with the period number.
Moreover, this extraordinary property can also be controlled by applying a gate
voltage to graphene sheets. The results provide not only a new understanding of
graphene physics but also an application in nanophotonics and optoelectronics.
PMID- 26561145
TI - Mechanism of solitary wave breaking phenomenon in dissipative soliton fiber
lasers.
AB - We numerically and experimentally investigate the pulse evolution to the edge of
destabilization against pumping powers in a strongly dissipative-dispersive laser
configuration mode locked by nonlinear polarization evolution (NPE) technique.
Two distinct dynamic processes are indicated by numerical results and further
evidenced by experimental observations, where one depicts the monotonous increase
in peak power and slight narrowing of duration, the other is different in
exhibiting obvious broadening in temporal domain. Correspondingly, it is
demonstrated in the simulation of cavity dynamics that the artificial saturable
absorber plays two opposite roles in pulse shaping, which implies the switch of
cavity feedback. Mechanisms with respect to different cavity feedbacks are
analyzed based on a newly-proposed theoretical viewpoint, for positive feedback
single pulse operation is restricted by the limit of peak power mainly dependent
of the gain bandwidth; for negative feedback the breakup is attributed to the
limited strength of clamping effect determined by multiple ingredients.
PMID- 26561146
TI - Fabrication of conducting-filament-embedded indium tin oxide electrodes:
application to lateral-type gallium nitride light-emitting diodes.
AB - A novel conducting filament (CF)-embedded indium tin oxide (ITO) film is
fabricated using an electrical breakdown method. To assess the performance of
this layer as an ohmic contact, it is applied to GaN (gallium nitride) light
emitting diodes (LEDs) as a p-type electrode for comparison with typical GaN LEDs
using metallic ITO. The operating voltage and output power of the LED with the CF
embedded ITO are 3.93 V and 8.49 mW, respectively, at an injection current of 100
mA. This is comparable to the operating voltage and output power of the
conventionally fabricated LEDs using metallic ITO (3.93 V and 8.43 mW). Moreover,
the CF-ITO LED displays uniform and bright light emission indicating excellent
current injection and spreading. These results suggest that the proposed method
of forming ohmic contacts is at least as effective as the conventional method.
PMID- 26561147
TI - Anomalous index modulations in electrooptic KTa(1-x)Nb(x)O(3) single crystals in
relation to electrostrictive effect.
AB - KTa(1-x)Nb(x)O(3) is known for its huge Kerr effect, which is a second order
electrooptic (EO) effect. By utilizing the large refractive index change Deltan
of this EO effect, a fast optical beam deflector has been realized. However,
anomalous spatial distributions of Deltan were observed with this beam deflector.
This anomaly is ascribed to distortions caused by the electrostrictive effect
that occurs when voltage is applied. We assumed a spheric distortion and used a
variational method to deduce an analytic solution for the strains that accompany
this distortion. The analytic solution coincides with numerical results obtained
with the finite element method. In addition, the solution agrees well with the
experimentally obtained Deltan distribution.
PMID- 26561148
TI - CW-pumped telecom band polarization entangled photon pair generation in a Sagnac
interferometer.
AB - Polarization entangled photon pair source is widely used in many quantum
information processing applications such as teleportation, quantum
communications, quantum computation and high precision quantum metrology. We
report on the generation of a continuous-wave pumped 1550 nm polarization
entangled photon pair source at telecom wavelength using a type-II periodically
poled KTiOPO(4) (PPKTP) crystal in a Sagnac interferometer. Hong-Ou-Mandel (HOM)
interference measurement yields signal and idler photon bandwidth of 2.4 nm. High
quality of entanglement is verified by various kinds of measurements, for example
two-photon interference fringes, Bell inequality and quantum states tomography.
The source can be tuned over a broad range against temperature or pump power
without loss of visibilities. This source will be used in our future experiments
such as generation of orbital angular momentum entangled source at telecom
wavelength for quantum frequency up-conversion, entanglement based quantum key
distributions and many other quantum optics experiments at telecom wavelengths.
PMID- 26561149
TI - Nonadiabatic tunnel ionization in strong circularly polarized laser fields:
counterintuitive angular shifts in the photoelectron momentum distribution.
AB - We perform time-dependent calculation of strong-field ionization of neon,
initially prepared in 2p(-1) and 2p(+1) states, with intense near-circularly
polarized laser pulses. By solving the three-dimensional time-dependent
Schrodinger equation, we find clear different offset angles of the maximum in the
photoelectron momentum distribution in the polarization plane of the laser pulses
for the two states. We provide clear interpretation that this different angular
offset is linked to the sign of the magnetic quantum number, thus it can be used
to map out the orbital angular momentum of the initial state. Our results provide
a potential tool for studying orbital symmetry in atomic and molecular systems.
PMID- 26561150
TI - Unidirectional scattering by nanoparticles near substrates: generalized Kerker
conditions.
AB - Starting from a general description of light scattering by a nanoparticle in
homogeneous surroundings and situated near a substrate, we outline the connection
to multipole expansion of scattered light and derive conditions and limits on
achievable half-space scattering asymmetry, including the possibility of
unidirectional scattering along the propagation direction of the incident light
(i.e., generalized Kerker conditions). As a way of realizing strongly asymmetric
scattering, we perform a parametric study of the optical properties of disk
shaped gap-surface plasmon (GSP) resonators, consisting of a glass spacer
sandwiched between two gold disks, with numerical calculations that corroborate
the conditions derived from the multipole expansion. Finally, we present proof-of
principle experiments of asymmetric scattering by GSP-resonators on a glass
substrate.
PMID- 26561151
TI - Polarization-dependent aluminum metasurface operating at 450 nm.
AB - We report on a polarization-dependent plasmonic aluminum-based high-density
metasurface operating at blue wavelengths. The fabricated sub-wavelength
structures, tailored in size and geometry, possess strong, localized, plasmonic
resonances able to control linear polarization. Best performance is achieved by
rotating an elongated rectangular structure of length 180 nm and width 110 nm
inside a square lattice of period 250 nm. In the case of 45 degrees rotation of
the structure with respect to the lattice, the normal-incidence reflectance drops
around the resonance wavelength of 457 nm from about 60 percent to below 2
percent.
PMID- 26561152
TI - Spectrally resolved Hong-Ou-Mandel interference between independent photon
sources.
AB - Hong-Ou-Mandel (HOM) interference between independent photon sources (HOMI-IPS)
is the fundamental block for quantum information processing. All the previous
HOMI-IPS experiments were carried out in time-domain, however, the spectral
information during the interference was omitted. Here, we investigate the HOMI
IPS in spectral domain using the recently developed fast fiber spectrometer, and
demonstrate the spectral distribution during the HOM interference between two
heralded single-photon sources, and two thermal sources. This experiment not only
can deepen our understanding of HOMI-IPS from the viewpoint of spectral domain,
but also presents a tool to test the theoretical predictions of HOMI-IPS using
spectrally engineered sources.
PMID- 26561153
TI - Ultra-sparse dielectric nanowire grids as wideband reflectors and polarizers.
AB - Engaging both theory and experiment, we investigate resonant photonic lattices in
which the duty cycle tends to zero. Corresponding dielectric nanowire grids are
mostly empty space if operated as membranes in vacuum or air. These grids are
shown to be effective wideband reflectors with impressive polarizing properties.
We provide computed results predicting nearly complete reflection and attendant
polarization extinction in multiple spectral regions. Experimental results with
Si nanowire arrays with 10% duty cycle show ~200-nm-wide band of high reflection
for one polarization state and free transmission for the orthogonal state. These
results agree quantitatively with theoretical predictions. It is fundamentally
extremely significant that the wideband spectral expressions presented can be
generated in these minimal systems.
PMID- 26561154
TI - Improved axial trapping with holographic optical tweezers.
AB - Conventional optical tweezers suffer from several complications when applying
axial forces to surface-tethered molecules. Aberrations from the refractive-index
mismatch between an oil-immersion objective's medium and the aqueous trapping
environment both shift the trap centre and degrade the trapping strength with
focal depth. Furthermore, interference effects from back-scattered light make it
difficult to use back-focal-plane interferometry for high-bandwidth position
detection. Holographic optical tweezers were employed to correct for aberrations
to achieve a constant axial stiffness and modulate artifacts from backscattered
light. Once the aberrations are corrected for, the trap height can be precisely
determined from either the back-scattered light or Brenner's formula.
PMID- 26561155
TI - Effect of finite metallic grating size on Rayleigh anomaly-surface plasmon
polariton resonances.
AB - Rayleigh anomalies (RAs) and surface plasmon polaritons (SPPs) on subwavelength
metallic gratings play pivotal roles in many interesting phenomena such as
extraordinary optical transmission. In this work, we present a theoretical
analysis of the effect of finite metallic grating size on RA-SPP resonances based
on the combination of rigorous coupled wave analysis and finite aperture
diffraction. One-dimensional arrays of gold subwavelength gratings with different
device sizes were fabricated and the optical transmission spectra were measured.
As the grating size shrinks, the broadening of the RA-SPP resonances is predicted
by the theoretical model. For the first order RA-SPP resonances, the results from
this model are in good agreement with the spectra measured from the fabricated
plasmonic gratings.
PMID- 26561156
TI - Phase retrieval and diffractive imaging based on Babinet's principle and
complementary random sampling.
AB - We proposed an iterative method for phase retrieval and diffractive imaging based
on Babinet's principle and complementary random sampling (CRS). We demonstrated
that the whole complex amplitude (not sieved) of an object wave can be accurately
retrieved from the diffraction intensities of the object wave sampled by a group
of binary CRS masks and the diffractive imaging for the object can be realized
through a single digital inverse diffraction. Some experimental results are given
for the demonstration. Our experimental results reveal that, using CRS, the
influence of a binary random sampling mask on the retrieved field can be well
eliminated, and the accuracy and efficiency of the phase retrieval can be greatly
improved.
PMID- 26561157
TI - Racetrack resonator as a loss measurement platform for photonic components.
AB - This work represents the first complete analysis of the use of a racetrack
resonator to measure the insertion loss of efficient, compact photonic
components. Beginning with an in-depth analysis of potential error sources and a
discussion of the calibration procedure, the technique is used to estimate the
insertion loss of waveguide width tapers of varying geometry with a resulting 95%
confidence interval of 0.007 dB. The work concludes with a performance comparison
of the analyzed tapers with results presented for four taper profiles and three
taper lengths.
PMID- 26561158
TI - Q-factor limits for far-field detection of whispering gallery modes in active
microspheres.
AB - This paper investigates the Q-factor limits imposed on the far-field detection of
the whispering gallery modes of active microspherical resonators. It is shown
that the Q-factor measured for a given active microsphere in the far-field using
a microscope is significantly lower than that measured using evanescent field
collection through a taper. The discrepancy is attributed to the inevitable small
asphericity of microspheres that results in mode-splitting which becomes
unresolvable in the far-field. Analytic expressions quantifying the Q-factor
limits due to small levels of asphericity are subsequently derived.
PMID- 26561159
TI - Temperature dependence of lasing characteristics of irregular-shaped
microparticle ZnO laser.
AB - We investigate the temperature dependence of the lasing characteristics (lasing
peak energy spontaneous emission factor beta, and lasing threshold) of an
irregular-shaped-ZnO-microparticle laser. The shift of the lasing peak energy
with temperature is very small in the range of 120-300 K, thus, indicating that
the peak is determined mainly by the resonance energy position of a given cavity
mode, and not by the gain spectral peak. On the other hand, beta and lasing
threshold are strongly dependent on temperature; beta reaches a maximum at a
particular temperature, whereas the lasing threshold exhibits a minimum. In
comparison with the theoretical calculations, it is found that beta and lasing
threshold are optimum at the temperature at which the spontaneous emission
spectral peak is in resonance with the peak of the cavity mode.
PMID- 26561160
TI - Tweezers controlled resonator.
AB - We experimentally demonstrate trapping a microdroplet by using an optical tweezer
and then activating it as a microresonator by bringing it close to a tapered
fiber coupler. Our tweezers facilitated the tuning of the coupling from the under
coupled to the critically-coupled regime while the quality-factor [Q] is 12
million and the resonator's size is at the 80 MUm scale.
PMID- 26561161
TI - Dynamic wave field synthesis: enabling the generation of field distributions with
a large space-bandwidth product.
AB - We present a novel approach for the design and fabrication of multiplexed
computer generated volume holograms (CGVH) which allow for a dynamic synthesis of
arbitrary wave field distributions. To achieve this goal, we developed a hybrid
system that consists of a CGVH as a static element and an electronically
addressed spatial light modulator as the dynamic element. We thereby derived a
new model for describing the scattering process within the inhomogeneous
dielectric material of the hologram. This model is based on the linearization of
the scattering process within the Rytov approximation and incorporates physical
constraints that account for voxel based laser-lithography using micro
fabrication of the holograms in a nonlinear optical material. In this article we
demonstrate that this system basically facilitates a high angular Bragg
selectivity on the order of 1 degrees . Additionally, it allows for a
qualitatively low cross-talk dynamic synthesis of predefined wave fields with a
much larger space-bandwidth product (SBWP >= 8.7 * 10(6)) as compared to the
current state of the art in computer generated holography.
PMID- 26561162
TI - Thermally tunable polarization by nanoparticle plasmonic resonance in photonic
crystal fibers.
AB - A photonic crystal fiber selectively filled with silver nanoparticles dispersed
in polydimethylsiloxane has been numerically studied via finite elements
analysis. These nanoparticles possess a localized surface plasmon resonance in
the visible region which depends on the refractive index of the surrounding
medium. The refractive index of polydimethylsiloxane can be thermally tuned
leading to the design of polarization tunable filters. Filters found with this
setup show anisotropic attenuation of the x-polarization fundamental mode around
alpha(x) = 1200dB/cm remarkably higher than the y-polarization mode. Moreover,
high fiber birefringence and birefringence reversal is observed in the spectral
region of the plasmon.
PMID- 26561163
TI - Compact multi-projection 3D display system with light-guide projection.
AB - We propose a compact multi-projection based multi-view 3D display system using an
optical light-guide, and perform an analysis of the characteristics of the image
for distortion compensation via an optically equivalent model of the light-guide.
The projected image traveling through the light-guide experiences multiple total
internal reflections at the interface. As a result, the projection distance in
the horizontal direction is effectively reduced to the thickness of the light
guide, and the projection part of the multi-projection based multi-view 3D
display system is minimized. In addition, we deduce an equivalent model of such a
light-guide to simplify the analysis of the image distortion in the light-guide.
From the equivalent model, the focus of the image is adjusted, and pre-distorted
images for each projection unit are calculated by two-step image rectification in
air and the material. The distortion-compensated view images are represented on
the exit surface of the light-guide when the light-guide is located in the
intended position. Viewing zones are generated by combining the light-guide
projection system, a vertical diffuser, and a Fresnel lens. The feasibility of
the proposed method is experimentally verified and a ten-view 3D display system
with a minimized structure is implemented.
PMID- 26561164
TI - Octave-spanning hyperspectral coherent diffractive imaging in the extreme
ultraviolet range.
AB - Soft x-ray microscopy is a powerful imaging technique that provides sub-micron
spatial resolution, as well as chemical specificity using core-level near-edge x
ray absorption fine structure (NEXAFS). Near the carbon K-edge (280-300 eV)
biological samples exhibit high contrast, and the detailed spectrum contains
information about the local chemical environment of the atoms. Most soft x-ray
imaging takes place on dedicated beamlines at synchrotron facilities or at x-ray
free electron laser facilities. Tabletop femtosecond laser systems are now able
to produce coherent radiation at the carbon K-edge and beyond through the process
of high harmonic generation (HHG). The broad bandwidth of HHG is seemingly a
limitation to imaging, since x-ray optical elements such as Fresnel zone plates
require monochromatic sources. Counter-intuitively, the broad bandwidth of HHG
sources can be beneficial as it permits chemically-specific hyperspectral
imaging. We apply two separate techniques - Fourier transform spectroscopy, and
lensless holographic imaging - to obtain images of an object simultaneously at
multiple wavelengths using an octave-spanning high harmonic source with photon
energies up to 30 eV. We use an interferometric delay reference to correct for
nanometer-scale fluctuations between the two HHG sources.
PMID- 26561165
TI - Resolution-enhanced integral imaging using two micro-lens arrays with different
focal lengths for capturing and display.
AB - We proposed a resolution enhanced integral imaging display method using two micro
lens arrays (MLA) with different focal lengths for capturing and display
respectively. An elemental image array (EIA) is captured with MLA of focal length
of f(1) and a processed EIA is displayed with MLA of focal length of f(2) which
is larger than f(1). We enlarge the "effective area" in processed EIA to increase
the information obtained by viewer, in other words, enhance the viewing
resolution. The two micro-lens arrays for capturing and display are g and mg
distant from display device respectively, and we can get m(2) times resolution
enhancement.
PMID- 26561166
TI - High-power, high signal-to-noise ratio single-frequency 1 MUm Brillouin all-fiber
laser.
AB - We demonstrate a high-power, high signal-to-noise ratio single-frequency
Brillouin all-fiber laser with high slope efficiency at 1 MUm wavelength. The
laser is pumped by an amplified single-longitudinal-mode distributed Bragg
reflector fiber laser with a linewidth of 33 kHz. By optimizing the length of the
Brillouin ring cavity to 10 m, stable single-frequency Brillouin fiber laser is
obtained with 3 kHz linewidth owing to the linewidth narrowing effect. At the
launched pump power of 2.15 W, the Brillouin fiber laser generates maximum output
power of 1.4 W with a slope efficiency of 79% and the optical signal-to-noise
ratio of 77 dB.
PMID- 26561167
TI - Terahertz-field-induced optical birefringence in common window and substrate
materials.
AB - We apply intense terahertz (THz) electromagnetic pulses with field strengths
exceeding 2 MV cm(-1) at ~1 THz to window and substrate materials commonly used
in THz spectroscopy and determine the induced optical birefringence. Materials
studied are diamond, sapphire, magnesium oxide (MgO), polymethylpentene (TPX),
low-density polyethylene (LDPE), silicon nitride membrane (SiN) and crystalline
quartz. We observe a Kerr-effect-type transient birefringence in all samples,
except in quartz and Si, where, respectively, a linear electrooptic signal and a
response beyond the perturbative regime are found. We extract the nonlinear
refractive indices and the electrooptic coefficient (in the case of quartz) of
these materials and discuss implications for their use as windows or substrates
in THz pump-optical probe spectroscopy.
PMID- 26561168
TI - Low-voltage high-speed coupling modulation in silicon racetrack ring resonators.
AB - We demonstrate a low-voltage high-speed modulator based on a silicon racetrack
resonator with a tunable Mach-Zehnder interferometer coupler. Both static
measurement and dynamic modulation experiment are carried out. The 3-dB electro
optic bandwidth is measured to be >30 GHz beyond the limit by the cavity photon
lifetime. A 32 Gb/s on-off keying (OOK) modulation is realized under a peak-to
peak drive voltage as low as 0.4 V, and a 28 Gb/s binary phase-shift-keying
(BPSK) modulation is realized with a drive voltage of 3 V. The low drive voltages
results in low energy consumptions of ~13.3 fJ/bit and ~1.2 pJ/bit for OOK and
BPSK modulations, respectively.
PMID- 26561169
TI - Radiation-induced photoluminescence enhancement of Bi/Al-codoped silica optical
fibers via atomic layer deposition.
AB - The radiation-induced photoluminescence (PL) properties of Bi/Al-codoped silica
optical fibers were investigated. The Bi/Al-related materials were doped into
fiber core via atomic layer deposition. The pristine fiber samples were
irradiated with different doses, and its absorption and PL properties were
studied. A new absorption peak appeared at approximately 580 nm, and the
intensity of absorption peaks is increased with the increasing of radiation
doses. When the fiber samples were excited with a 532 nm pump, the intensity of
the near infrared fluorescence decreased lightly. However, when the fiber samples
were excited with a 980 nm pump the intensity of the fluorescence increased
significantly with the increase of radiation doses (0-2.0 kGy). The intensity of
fluorescence decreased when the radiation doses were increased up to 3.0 kGy.
furthermore, the fluorescence intensity of the 1410 nm band increased much more
than that the 1150 nm band. In addition, the microstructural characteristics of
the Bi/Al-codoped silica optical fibers were analyzed using electron spin
resonance (ESR). Many radiation-induced defect centers were present, and the
intensity of the ESR signals also increased with the increase of radiation doses.
The photoluminescence properties and microstructural characteristics were related
in the radiated Bi-related silica optical fibers. A possible underlying mechanism
for the radiation-induced photoluminescence enhancement process in the Bi/Al
doped silica fiber is discussed.
PMID- 26561170
TI - Investigation on pulse shaping in fiber laser hybrid mode-locked by Sb(2)Te(3)
saturable absorber.
AB - We report a study on a hybrid mode-locked fiber laser with two saturable
absorbers: slow and fast, integrated in a single device. Amorphous antimony
telluride (Sb(2)Te(3)) layer was deposited on side-polished fiber to form the
slow saturable absorber due to the third order nonlinear susceptibility of
Sb(2)Te(3). Additionally, an unsymmetrical design of the device causes
polarization-dependent losses and together with polarization controller allows to
use a nonlinear polarization evolution to form the artificial fast saturable
absorber. Sub-200 fs soliton pulses with 0.27 nJ of pulse energy were generated
in the hybrid mode-locked Er-doped fiber laser. Differences in the dynamics of
mode-locked laser are further investigated with the use of slow and fast
saturable absorbers solely, and compared with the hybrid device. Joint operation
of two saturable absorbers enhances the laser performance and stability. The
conducted experiments allowed to define roles of each mechanism on the pulse
shaping in the laser cavity.
PMID- 26561171
TI - High-modulation efficiency operation of GaInAsP/InP membrane distributed feedback
laser on Si substrate.
AB - The direct modulation characteristics of a membrane distributed feedback (DFB)
laser on a silicon substrate were investigated. Enhancement of the optical
confinement factor in the membrane structure facilitates the fabrication of a
strongly index-coupled (kappa(I) = 1500 cm(-1)) DFB laser with the cavity length
of 80 um and a threshold current of 270 uA. Small-signal modulation measurements
yielded a -3dB bandwidth of 9.5 GHz at 1.03-mA bias current, with modulation
efficiency of 9.9 GHz/mA(1/2), which is, to the best of our knowledge, the
highest value among those reported for DFB lasers.
PMID- 26561172
TI - Damage threshold of platinum/carbon multilayers under hard X-ray free-electron
laser irradiation.
AB - We evaluated the irradiation damage induced by hard X-ray free-electron lasers to
platinum/carbon multilayers intended for use in a focusing reflective mirror. In
order to determine the damage threshold, we compared X-ray reflectivities before
and after irradiation at the first-order Bragg angle using a focused X-ray free
electron laser with a beam size of approximately 1 MUm and a pulse energy ranging
from 0.01 to 10 MUJ at a photon energy of 10 keV. We confirmed that the damage
threshold of the platinum/carbon multilayer with a bilayer period of 3 nm was
0.051 MUJ/MUm(2), which is sufficiently higher than that in practical
applications.
PMID- 26561173
TI - Distributed OTDR-interferometric sensing network with identical ultra-weak fiber
Bragg gratings.
AB - We demonstrate a distributed sensing network with 500 identical ultra-weak fiber
Bragg gratings (uwFBGs) in an equal separation of 2m using balanced Michelson
interferometer of the phase sensitive optical time domain reflectometry (phi
OTDR) for acoustic measurement. Phase, amplitude, frequency response and location
information can be directly obtained at the same time by using the passive 3 * 3
coupler demodulation. Lab experiments on detecting sound waves in water tank are
carried out. The results show that this system can well demodulate distributed
acoustic signal with the pressure detection limit of 0.122Pa and achieve an
acoustic phase sensitivity of around -158dB (re rad/MUPa) with a relatively flat
frequency response between 450Hz to 600Hz.
PMID- 26561174
TI - Subaperture stitching test of large steep convex spheres.
AB - Limited by the aperture and f/number of the transmission sphere (TS), large
convex spheres with very small R/number (ratio of the radius of curvature to the
aperture) cannot be tested in a single measurement with a standard
interferometer. We present the algorithm and troubleshooting for subaperture
stitching test of a half meter-class convex sphere with R/0.61. Totally 90 off
axis subapertures are arranged on 5 rings around the central one. Since the
subaperture is so small, its surface error is comparable with that of the TS
reference error. Hence a self-calibrated stitching algorithm is proposed to
separate the reference error from the measurements. Another serious problem is
the nonlinear mapping between the subaperture's local coordinates and the full
aperture's global coordinates. The nonlinearity increases remarkably with the off
axis angle. As a result, we cannot directly remove power from the full aperture
error map as we usually do. Otherwise incorrect spherical aberration will be
generated. We therefore propose the sphericity assessment algorithm to match the
stitched surface error with a best-fit sphere. The residual is true surface error
which can be used for corrective figuring or for tolerance assessment. The self
calibrated stitching and troubleshooting are demonstrated experimentally.
PMID- 26561175
TI - Wavelength-tunable Yb-doped passively Q-switching fiber laser based on WS(2)
saturable absorber.
AB - We have fabricated an Yb-doped passively Q-switching fiber laser based on WS(2)
saturable absorber. Both the operating wavelength and the repetition rate can be
tuned in a wide range. The operating wavelength can be continuously tuned from
1027 nm to 1065 nm under the Q-switching state at a fixed pump power, while the
repetition rate increases from 60.2 kHz to 97.0 kHz by varying pump power at a
fixed wavelength of 1048.1 nm. The shortest pulse duration of 1.58 us was
observed. To the best of our knowledge, it's the first demonstration of WS(2)
based passively Q-switching fiber laser with a wide tunable range at 1.0 MUm
band.
PMID- 26561176
TI - Power fading mitigation of 40-Gbit/s 256-QAM OFDM carried by colorless laser
diode under injection-locking.
AB - The pre-compensation on power fading effect of a colorless laser diode (CLD)
carried 40-Gbit/s 256-QAM OFDM transmission during 25-km is demonstrated. By
offsetting the DC bias to thrice the threshold (I(th)) and increasing the
injection to 0 dBm, the CLD not only enhances its coherence but also suppresses
modulation throughput declination and reduces the relative intensity related
noise floor to -50 dBm. Modeling the receiving power of the delivered 256-QAM
OFDM subcarriers is established, indicating that raising the bias to 3I(th) down
shifts the power fading induced notch to 8.8 GHz. This further degrades the OFDM
subcarrier peak power by -2.9 dB after 25-km transmission, and the corresponded
signal-to-noise ratio (SNR), error vector magnitude (EVM) and bit-error-rate
(BER) are 26.1 dB, 4.9% and 6.5 * 10(-3), respectively. Pre-leveling the OFDM
subcarrier as well as the modulation throughput effectively compromises the over
bias enlarged power fading to promote transmission. With a pre-leveled power
slope of 1.5 dB/GHz for 256-QAM OFDM data, the modulation throughput declination
of the high biased CLD significantly mitigates under BtB transmission, enabling
the receiving sensitivity at -7.2 dBm with SNR, EVM and BER of 29.9 dB, 3.1% and
1.5 * 10(-4), respectively. Increasing the pre-leveling slope to 3.2 dB/GHz
minimizes the fiber dispersion induced power fading, which improves the receiving
SNR, EVM and BER to 27.4 dB, 4.2% and 2.6 * 10(-3), respectively, with receiving
sensitivity of -3 dBm and power penalty of 4.2 dB after 25-km SMF transmission.
PMID- 26561177
TI - Optical properties of strain-compensated CdSe/ZnSe/(Zn,Mg)Se quantum well
microdisks.
AB - Strain-compensated CdSe/ZnSe/(Zn,Mg)Se quantum well structures that were grown on
(In,Ga)As allow for efficient room-temperature photoluminescence and spectral
tuning over the whole visible range. We fabricated microdisk cavities from these
samples by making use of a challenging chemical structuring technique for
selective and homogeneous removal of the (In,Ga)As sacrificial layer below the
quantum structure. The observed whispering gallery modes in our microdisks are
mainly visible up to photon energies of ~ 2.3 eV due to strong self-absorption.
As extinction coefficients and effective refractive indices are dominated by the
quantum well material CdSe, thick quantum wells (> 3 monolayer) are necessary to
observe resonances in the corresponding quantum well emission.
PMID- 26561178
TI - Decoupling and tuning the light absorption and scattering resonances in metallic
composite nanostructures.
AB - Utilizing the localized surface plasmon resonance (LSPR) effect of metallic
nanoparticles enables their usage as contrast agents in a variety of applications
for medical diagnostics and treatment. Those applications can use both the very
strong absorption and scattering properties of the metallic nanoparticle due to
their LSPR effects. There are certain applications where domination of the
scattering over absorption or vice versa would be an advantage. However, the
scattering and absorption resonance peaks have practically the same spectral
location for solid noble metal nanoparticles at a certain domination of one over
the other. In this paper we present gold nanoparticles coated with silicon that
switches the order between the scattering and the absorption magnitude at the
resonance peak by up to 34% in scattering-absorption ratio and tune the plasmon
resonance over the spectrum by up to 56nm. This is obtained by modifying the
refractive index of the silicon coating of the nanoparticle by illuminating it
with a pumping light due to the plasma dispersion effect in silicon.
PMID- 26561179
TI - Comparison of microfacet BRDF model to modified Beckmann-Kirchhoff BRDF model for
rough and smooth surfaces.
AB - A popular class of BRDF models is the microfacet models, where geometric optics
is assumed. In contrast, more complex physical optics models may more accurately
predict the BRDF, but the calculation is more resource intensive. These seemingly
disparate approaches are compared in detail for the rough and smooth surface
approximations of the modified Beckmann-Kirchhoff BRDF model, assuming Gaussian
surface statistics. An approximation relating standard Fresnel reflection with
the semi-rough surface polarization term, Q, is presented for unpolarized light.
For rough surfaces, the angular dependence of direction cosine space is shown to
be identical to the angular dependence in the microfacet distribution function.
For polished surfaces, the same comparison shows a breakdown in the microfacet
models. Similarities and differences between microfacet BRDF models and the
modified Beckmann-Kirchhoff model are identified. The rationale for the original
Beckmann-Kirchhoff F(bk)(2) geometric term relative to both microfacet models and
generalized Harvey-Shack model is presented. A modification to the geometric
F(bk)(2) term in original Beckmann-Kirchhoff BRDF theory is proposed.
PMID- 26561180
TI - Enhancement of spectrum strength in holographic sensing in nanozeolites dispersed
acrylamide photopolymer.
AB - Holographic sensing of organic vapor is characterized at transmission and
reflection geometries in ZSM-5 nanozeolites dispersed acrylamide photopolymer.
Nano-zeolites as absorption medium are dispersed into the polymer to enhance the
absorptivity to organic vapor. Obvious enhancements of spectrum strength are
observed during the sensing process. Two primary factors causing the enhancement,
absorption of nanozeolites and photopolymerization induced by broadband white
light, are analyzed experimentally. Significant increment provides a quick and
intuitive identification strategy for holographic sensing. Accompanying with the
wavelength blue-shift, the shrinkage of sample is measured quantitatively under
homogeneous white light. It is further demonstrated that the significance of
nanozeolites absorption. Finally a theoretical model with mutual diffusion is
used to simulate the swelling process. This study provides significant foundation
for the application of holographic sensor.
PMID- 26561181
TI - Effects of Raman pump power distribution on output spectrum in a multi-wavelength
BRFL: publisher's note.
AB - This publisher's note amends the author list and Acknowledgments of a recent
publication [Opt. Express23, 25570 (2015)].
PMID- 26561182
TI - Broadband, wide-angle, low-scattering terahertz wave by a flexible 2-bit coding
metasurface.
AB - Expanding bandwidths and arbitrary control of technology remain key issues in the
field of electromagnetic waves, especially in terahertz (THz) wave. In this
paper, we propose a novel method to achieve broadband low-scattering THz
characteristics with wide-angle and polarization independence by a 2-bit flexible
and nonabsorptive coding metasurface. The coding metasurface is composed of four
digital elements based on double cross metallic line for "00", "01", "10", and
"11." The reflection phase difference of neighboring elements is about 90 degrees
over a broad THz frequency band and wide incident angles. The low scattering
coefficients below -10 dB were achieved over a wide frequency band from 0.8 THz
to 1.5 THz when the incident angle is less than 50 degrees by coding the four
elements sequences. This superior property is maintained when the flexible coding
metasurface is wrapped around a metallic cylinder with different dimensions.
These results present a novel method to control THz waves freely and demonstrate
significant scientific value in practical applications.
PMID- 26561183
TI - Toroidal dipolar response by a dielectric microtube metamaterial in the terahertz
regime.
AB - Due to metal losses in plasmonic metamaterials, high-refractive-index dielectrics
are promising to improve optical performances of their metallic counterparts. In
this paper, a LiTaO(3) microtube metamaterial is numerically investigated to
explore the toroidal dipolar resonance based on the multipole expansion theory.
The local field strength probed on the central axis of the microtube is greatly
enhanced for the toroidal dipolar mode, forming a strong hot spot concentrated in
the deep-subwavelength scale. Furthermore, we also show the influences of
geometrical parameter on the quality (Q) factor of the toroidal mode. The high Q
factor and strongly concentrated field strength in the toroidal microtube
metamaterial offer application potentials such as sensing, energy havesting,
particle trapping, and nonlinear optical effects.
PMID- 26561184
TI - Adjusting diffraction spectrum of an echelon-like grating influenced by surface
plasmon of nanomaterials.
AB - This article aims to study the varying diffraction spectrum phenomenon influenced
by surface plasmon of nanomaterials. Experiments used silver nanoparticles to
build the echelon-like grating as test samples, where the grating spacing of line
pattern is 10 MUm and within the width of silver nanoparticles line is 5 MUm
alternately. In this work, the silver stripes with gradient thickness were first
formed line pattern alternately with glancing angle deposition and
photolithography, and then annealed at temperature of 250 degrees C for 3 mins
to fabricate the silver nanoparticles as bowl-like forms. Thicknesses of the
silver nanoparticles in the echelon-like grating increase from 0 nm to 40 nm and
their diameters grow from 0 nm to 35 nm with quasilinear increment
simultaneously. Analyzing the varying diffraction spectrum was focused on
observing the first order diffraction by changing the beam size and the probe
position of the incident light. The significant results show that the bigger the
incident light beam size, the larger the peak wavelength difference (Deltalambda)
of the two first order diffractions. Moreover, the peak spectrum is shifted to
long wavelength and Deltalambda is diminished by probing area with big size of
nanoparticles.
PMID- 26561185
TI - Light-field moment microscopy with noise reduction.
AB - We experimentally demonstrate a light-field moment microscopy (LFMM). The
proposed technique employs a better estimation of the intensity derivative in
solving the Poisson equation and therefore can significantly reduce the noise and
error in the reconstructed light-field moment. The light field can be
reconstructed then by using the moment, enabling the perspective view and depth
estimation of the object. The proposed LFMM can be simply implemented using a
standard commercial light microscope. This will open up new possibility for
standard microscopes in high-resolution light-field observations.
PMID- 26561186
TI - Stochastic interference in a dispersive fiber excited by a partially coherent
source.
AB - We have investigated the stochastic interference of two temporally separated
pulses in optical fiber due to chromatic dispersion of the fiber and partial
coherence of the source. An analytical expression for the correlation function
that describes the stochastic interference is derived. The results show that the
correlation function becomes negligibly small as the temporal coherence of the
source becomes very small and chromatic dispersion becomes very large and in this
case, the total mean power is simply the sum of the power of individual pulses.
Finally, the theory is extended to include the case of arbitrary number of
temporally separated pulses.
PMID- 26561187
TI - 80 Gbit/s, 256 QAM coherent transmission over 150 km with an injection-locked
homodyne receiver.
AB - We demonstrate an 80 Gbit/s, 5 Gsymbol/s 256 QAM coherent optical transmission by
employing an injection-locked homodyne detection circuit based on both fiber
lasers and LDs. With either circuit, low phase noise carrier-phase
synchronization between the transmitted data signal and an LO were achieved with
a phase noise variance of only 0.2 degrees. As a result, we have successfully
transmitted an 80 Gbit/s data signal over 150 km with a simple receiver
configuration. This is the highest QAM multiplicity yet realized with injection
locked homodyne detection.
PMID- 26561188
TI - Diffractive optical element with asymmetric microrelief for creating visual
security features.
AB - We demonstrate a new security feature for visual control of the authenticity of
optical security features - the change of the images when the optical element is
turned by 180 degrees ("switch-180 degrees "). The diffractive optical element
has an asymmetric microrelief structure resulting from the asymmetry of the
scattering pattern. The phase function of the diffractive optical element is
computed in terms of Fresnel's scalar wave model. We developed efficient
algorithms for computing the structure of flat optical elements to produce the
switch effect. A sample of flat optical element for the "switch-180" effect has
been developed using electron-beam lithography. The effectiveness of the
development is illustrated by the photos and the video captured from a real
sample. The visual "switch-180 degrees " effect is easy to control allowing
secure anti-counterfeit protection of the optical security feature developed. The
new security feature is already used to protect IDs and excise stamps.
PMID- 26561189
TI - Analysis of the laser oxidation kinetics process of In-In(2)O(3) MTMO photomasks
by laser direct writing.
AB - One kind of novel grayscale photomask based on Metal-transparent-metallic-oxides
(MTMOs) system fabricated by laser direct writing was demonstrated recently.
Here, a multilayer oxidation model of In-In(2)O(3) film with a glass substrate
was proposed to study the pulsed laser-induced oxidation mechanism. The
distribution of the electromagnetic field in the film is calculated by the
transfer matrix method. Temperature fields of the model are simulated based on
the heat transfer equations with the Finite-Difference Time-Domain method. The
oxidation kinetics process is studied based on the laser-induced Cabrera-Mott
theory. The simulated oxidation processes are consistent with the experimental
results, which mean that our laser-induced oxidation model can successfully
interpret the fabrication mechanism of MTMO grayscale photomasks.
PMID- 26561190
TI - Giantically blue-shifted visible light in femtosecond mid-IR filament in
fluorides.
AB - A giant blue shift (more than 3000 nm) of an isolated visible band of
supercontinuum was discovered and studied in the single filament regime of Mid-IR
femtosecond laser pulse at powers slightly exceeding critical power for self
focusing in fluorides. At the pulse central wavelength increasing from 3000 nm to
3800 nm the spectral maximum of the visible band is shifted from 570 nm and 520
nm up to 400 nm and 330 nm for BaF(2) and CaF(2), respectively, its spectral
width (FWHM) being reduced from 50 - 70 nm to 14 nm. It is shown that the
formation of this narrow visible wing is a result of the interference of the
supercontinuum components in the anomalous group velocity dispersion regime.
PMID- 26561191
TI - Channel waveguides and y-junctions in x-cut single-crystal lithium niobate thin
film.
AB - Proton exchanged channel waveguides in x-cut single-crystal lithium niobate thin
film could avoid optical leakage loss which existed in the z-cut case. Indicated
by simulations, the mechanism and condition of the optical leakage loss were
studied. The light energy in the exchanged layer and the mode sizes were
calculated to optimize the parameters for fabrication. By a very short time (3
minutes) proton exchange process without anneal, the channel waveguide with 2 MUm
width and 0.16 MUm exchanged depth in the x-cut lithium niobate thin film had a
propagation loss as low as 0.2 dB/cm at 1.55 MUm. Furthermore, the Y-junctions
based on the low-loss waveguide were designed and fabricated. For a Y-junction
based on the 3 MUm wide channel waveguide with 8000 MUm bending radius, the total
transmission could reach 85% ~90% and the splitting ratio maintained at a stable
level around 1:1. The total length was smaller than 1 mm, much shorter than the
conventional Ti-diffused and proton exchanged Y-junctions in bulk lithium
niobate.
PMID- 26561192
TI - Terahertz metasurfaces with a high refractive index enhanced by the strong
nearest neighbor coupling.
AB - The realization of high refractive index is of significant interest in optical
imaging with enhanced resolution. Strongly coupled subwavelength resonators were
proposed and demonstrated at both optical and terahertz frequencies to enhance
the refractive index due to large induced dipole moment in meta-atoms. Here, we
report an alternative design for flexible free-standing terahertz metasurface in
the strong coupling regime where we experimentally achieve a peak refractive
index value of 14.36. We also investigate the impact of the nearest neighbor
coupling in the form of frequency tuning and enhancement of the peak refractive
index. We provide an analytical circuit model to explain the impact of
geometrical parameters and coupling on the effective refractive index of the
metasurface. The proposed meta-atom structure enables tailoring of the peak
refractive index based on nearest neighbor coupling and this property offers
tremendous design flexibility for transformation optics and other index-gradient
devices at terahertz frequencies.
PMID- 26561193
TI - Three-photon-induced blue emission with narrow bandwidth from hot flower-like ZnO
nanorods.
AB - ZnO nanorods (NRs) self-organized into flowers were synthesized at different
temperatures ranging from 100 degrees C to 180 degrees C by using the
hydrothermal method. The existence of Zn interstitials (Zn(i)) was confirmed by X
ray photoelectron spectroscopy and a larger amount of Zn(i) was found in the ZnO
NRs prepared at higher temperatures. A redshift of the emission peak of more than
15 nm was observed for the ZnO NRs under single photon excitation. The nonlinear
optical properties of the flower-like ZnO NRs were characterized by using focused
femtosecond laser light and strong three-photon-induced luminescence was observed
at an excitation wavelength of ~750 nm. More interestingly, a large redshift of
the emission peak was observed with increasing excitation intensity, resulting in
efficient blue emission with a narrow bandwidth of ~30 nm. It was confirmed that
the large redshift originates from the heating of the ZnO NRs to a temperature of
more than 800 degrees C and the closely packed ZnO NRs in the flowers play a
crucial role in heat accumulation. The stable and efficient three-photon-induced
blue emission from such ZnO NRs may find potential applications in the fields of
optical display, high-temperature sensors and light therapy of tumors.
PMID- 26561194
TI - Dynamic frequency-noise spectrum measurement for a frequency-swept DFB laser with
short-delayed self-heterodyne method.
AB - We proposed and experimentally demonstrated a short-delayed self-heterodyne
method with 15.5m delay to get a large-frequency-range laser frequency-noise
spectrum over 10Hz to 50 MHz, and an averaging approach to extract the intrinsic
frequency noise of a frequency-swept laser. With these two techniques, dynamic
frequency-noise spectrum of a frequency-swept DFB laser when free running and
servo-controlled are both measured. This measurement method permits accurate and
insightful investigation of laser stability.
PMID- 26561195
TI - Non-contact sub-nanometer optical repositioning using femtosecond lasers.
AB - Optical components like resonator or waveguides often have stringent requirements
in term of positioning accuracy during packaging. While this can be done
routinely in a laboratory environment, permanently positioning and aligning
optical elements with nanometer accuracy in a fully packaged device is a
challenging endeavor. Here, we demonstrate the use of femtosecond laser-induced
modifications in glass for the remote permanent fine-positioning of an optical
element with sub-nanometer resolution.
PMID- 26561196
TI - Demodulation of diaphragm based acoustic sensor using Sagnac interferometer with
stable phase bias.
AB - A stable phase demodulation system for diaphragm-based acoustic sensors is
reported. The system is based on a modified fiber-optic Sagnac interferometer
with a stable quadrature phase bias, which is independent of the parameters of
the sensor head. The phase bias is achieved passively by introducing a
nonreciprocal frequency shift between the counter-propagating waves, avoiding the
use of complicated active servo-control. A 100 nm-thick graphite diaphragm-based
acoustic sensor interrogated by the proposed demodulation system demonstrated a
minimum detectable pressure level of ~450 uPa/Hz(1/2) and an output signal
stability of less than 0.35 dB over an 8-hour period. The system may be useful as
a universal phase demodulation unit for diaphragm-based acoustic sensors as well
as other sensors operating in a reflection mode.
PMID- 26561197
TI - Determination of 3D molecular orientation by concurrent polarization analysis of
multiple Raman modes in broadband CARS spectroscopy.
AB - A theoretical description is presented about a new analysis method to determine
three-dimensional (3D) molecular orientation by concurrently analyzing multiple
Raman polarization profiles. Conventional approaches to polarization Raman
spectroscopy are based on single peaks, and their 2D-projected polarization
profiles are limited in providing 3D orientational information. Our new method
analyzes multiple Raman profiles acquired by a single polarization scanning
measurement of broadband coherent anti-Stokes Raman scattering (BCARS). Because
the analysis uses only dimensionless quantities, such as intensity ratios and
phase difference between multiple profiles, the results are not affected by
sample concentration and the system response function. We describe how to
determine the 3D molecular orientation with the dimensionless observables by
using two simplified model cases. In addition, we discuss the effect of
orientational broadening on the polarization profiles in the two model cases. We
find that in the presence of broadening we can still determine the mean 3D
orientation angles and, furthermore, the degree of orientational broadening.
PMID- 26561198
TI - 2-Indolinone a versatile scaffold for treatment of cancer: a patent review (2008
2014).
AB - INTRODUCTION: 2-Indolinone is a well-known aromatic heterocyclic organic
compound. A lot of work has been done on this bicyclic structure by academic and
company researchers to synthesize compounds directed to a plethora of molecular
targets in order to discover new drug leads. This review presents up-to-date
information in the field of cancer therapy research based on this small building
block. AREAS COVERED: The present review gives an account of the recent patent
literature (2008-2014) describing the discovery of 2-indolinone derivatives with
selected therapeutic activities. In this period, a large amount of patents were
published on this topic. We have limited the analysis to 37 patents on 2
indolinone derivatives having potential clinical application as chemotherapeutic
agents. In this review, the therapeutic applications of 2-indolinone derivatives
for the treatment of cancer reported in international patents have been
discussed. EXPERT OPINION: 2-Indolinone is the scaffold of the compounds
considered from a medicinal chemistry perspective. Many of them have been
developed and marketed for therapeutic use. In cancer chemotherapy, progress has
been made in designing selective 2-indolinone derivatives. Some of them show
preclinical efficacy. However, 2-indolinone has not exhausted all of its
potential in the development of new compounds for clinical applications and
remains a great tool for future research.
PMID- 26561199
TI - Recombination patterns reveal information about centromere location on linkage
maps.
AB - Linkage mapping is often used to identify genes associated with phenotypic traits
and for aiding genome assemblies. Still, many emerging maps do not locate
centromeres - an essential component of the genomic landscape. Here, we
demonstrate that for genomes with strong chiasma interference, approximate
centromere placement is possible by phasing the same data used to generate
linkage maps. Assuming one obligate crossover per chromosome arm, information
about centromere location can be revealed by tracking the accumulated
recombination frequency along linkage groups, similar to half-tetrad analyses. We
validate the method on a linkage map for sockeye salmon (Oncorhynchus nerka) with
known centromeric regions. Further tests suggest that the method will work well
in other salmonids and other eukaryotes. However, the method performed weakly
when applied to a male linkage map (rainbow trout; O. mykiss) characterized by
low and unevenly distributed recombination - a general feature of male meiosis in
many species. Further, a high frequency of double crossovers along chromosome
arms in barley reduced resolution for locating centromeric regions on most
linkage groups. Despite these limitations, our method should work well for high
density maps in species with strong recombination interference and will enrich
many existing and future mapping resources.
PMID- 26561200
TI - HydroCrowd: a citizen science snapshot to assess the spatial control of nitrogen
solutes in surface waters.
AB - We organized a crowdsourcing experiment in the form of a snapshot sampling
campaign to assess the spatial distribution of nitrogen solutes, namely, nitrate,
ammonium and dissolved organic nitrogen (DON), in German surface waters. In
particular, we investigated (i) whether crowdsourcing is a reasonable sampling
method in hydrology and (ii) what the effects of population density, soil humus
content and arable land were on actual nitrogen solute concentrations and surface
water quality. The statistical analyses revealed a significant correlation
between nitrate and arable land (0.46), as well as soil humus content (0.37) but
a weak correlation with population density (0.12). DON correlations were weak but
significant with humus content (0.14) and arable land (0.13). The mean
contribution of DON to total dissolved nitrogen was 22%. Samples were classified
as water quality class II or above, following the European Water Framework
Directive for nitrate and ammonium (53% and 82%, respectively). Crowdsourcing
turned out to be a useful method to assess the spatial distribution of stream
solutes, as considerable amounts of samples were collected with comparatively
little effort.
PMID- 26561201
TI - Tumor growth suppression by inhibiting both autophagy and STAT3 signaling in
HNSCC.
AB - Autophagy is considered as a double-edged sword. It can prolong the survival of
cancer cells and enhance its resistance to apoptosis, and paradoxically,
defective autophagy has been linked to increased tumorigenesis, but the mechanism
behind this phenomenon is unclear. In this study, we demonstrated that decreased
phosphorylation of signal transducer and activator of transcription 3 (p-STAT3)
was correlated with increased autophagy through the Akt/mTOR and Erk signaling
pathways in human head and neck squamous cell carcinoma (HNSCC). We also showed
that blockage of STAT3 by NSC74859 could markedly induce apoptotic cell death and
autophagy. Meanwhile, increased autophagy inhibited apoptosis. The
pharmacological or genetic inhibition of autophagy and STAT3 further sensitized
HNSCC cells to apoptosis. Furthermore, evidence from xenograft model proved that
suppressed STAT3 activity combined with inhibition of autophagy promoted tumor
regression better than either treatment alone. Taken together, this present study
demonstrated that autophagy alleviates apoptotic cell death in HNSCC, and
combination of inhibition of STAT3 by NSC74859 and autophagy might be a promising
new therapeutic strategy for HNSCC.
PMID- 26561202
TI - Anti-cancer effect of bee venom on colon cancer cell growth by activation of
death receptors and inhibition of nuclear factor kappa B.
AB - Bee venom (BV) has been used as a traditional medicine to treat arthritis,
rheumatism, back pain, cancerous tumors, and skin diseases. However, the effects
of BV on the colon cancer and their action mechanisms have not been reported yet.
We used cell viability assay and soft agar colony formation assay for testing
cell viability, electro mobility shift assay for detecting DNA binding activity
of nuclear factor kappa B (NF-kappaB) and Western blotting assay for detection of
apoptosis regulatory proteins. We found that BV inhibited growth of colon cancer
cells through induction of apoptosis. We also found that the expression of death
receptor (DR) 4, DR5, p53, p21, Bax, cleaved caspase-3, cleaved caspase-8, and
cleaved caspase-9 was increased by BV treatment in a dose dependent manner (0-5
MUg/ml). Consistent with cancer cell growth inhibition, the DNA binding activity
of nuclear factor kappa B (NF-kappaB) was also inhibited by BV treatment.
Besides, we found that BV blocked NF-kappaB activation by directly binding to NF
kappaB p50 subunit. Moreover, combination treatment with BV and p50 siRNA or NF
kappaB inhibitor augmented BV-induced cell growth inhibition. However, p50 mutant
plasmid (C62S) transfection partially abolished BV-induced cell growth inhibiton.
In addition, BV significantly suppressed tumor growth in vivo. Therefore, these
results suggested that BV could inhibit colon cancer cell growth, and these anti
proliferative effects may be related to the induction of apoptosis by activation
of DR4 and DR5 and inhibition of NF-kappaB.
PMID- 26561203
TI - Single-Molecule Localization Microscopy allows for the analysis of cancer
metastasis-specific miRNA distribution on the nanoscale.
AB - We describe a novel approach for the detection of small non-coding RNAs in single
cells by Single-Molecule Localization Microscopy (SMLM). We used a modified SMLM
setup and applied this instrument in a first proof-of-principle concept to human
cancer cell lines. Our method is able to visualize single microRNA (miR)
molecules in fixed cells with a localization accuracy of 10-15 nm, and is able to
quantify and analyse clustering and localization in particular subcellular sites,
including exosomes. We compared the metastasis-site derived (SW620) and primary
site derived (SW480) human colorectal cancer (CRC) cell lines, and (as a proof of
principle) evaluated the metastasis relevant miR-31 as a first example. We
observed that the subcellular distribution of miR-31 molecules in both cell lines
was very heterogeneous with the largest subpopulation of optically acquired
weakly metastatic cells characterized by a low number of miR-31 molecules, as
opposed to a significantly higher number in the majority of the highly metastatic
cells. Furthermore, the highly metastatic cells had significantly more miR-31
molecules in the extracellular space, which were visualized to co-localize with
exosomes in significantly higher numbers. From this study, we conclude that miRs
are not only aberrantly expressed and regulated, but also differentially
compartmentalized in cells with different metastatic potential. Taken together,
this novel approach, by providing single molecule images of miRNAs in cellulo can
be used as a powerful supplementary tool in the analysis of miRNA function and
behaviour and has far reaching potential in defining metastasis-critical
subpopulations within a given heterogeneous cancer cell population.
PMID- 26561204
TI - Upregulation of miR-181c contributes to chemoresistance in pancreatic cancer by
inactivating the Hippo signaling pathway.
AB - The Hippo signaling pathway plays a crucial role in regulating tissue
homeostasis, organ size, tumorigenesis and cancer chemoresistance when
deregulated. Physiologically, the Hippo core kinase cassette that consists of
mamma-lian STE20-like protein kinase 1/2 (MST1/2), and large tumour suppressor
1/2 (LATS1/2), together with the adaptor proteins Salvador homologue 1 (SAV1) and
MOB kinase activator 1 (MOB1), tightly restricts the activities of homologous
oncoproteins Yes-associated protein (YAP) and transcriptional co-activator with
PDZ-binding motif (TAZ) to low levels. However, how the Hippo kinase cassette
core components are simultaneously inhibited, to exhibit constitutively
inactivated Hippo signaling and activated YAP/TAZ in cancer remains puzzling.
Herein, we reported that miR-181c directly repressed MST1, LATS2, MOB1 and SAV1
expression in human pancreatic cancer cells. Overexpression of miR-181c induced
hyperactivation of the YAP/TAZ and enhanced expression of the Hippo signaling
downstream genes CTGF, BIRC5 and BLC2L1, leading to pancreatic cancer cell
survival and chemoresistance in vitro and in vivo. Importantly, high miR-181c
levels were significantly correlated with Hippo signaling inactivation in
pancreatic cancer samples, and predicted a poor patient overall survival. These
findings provide a novel mechanism for Hippo signaling inactivation in cancer,
indicating not only a potentially pivotal role for miR-181c in the progression of
pancreatic cancer, but also may represent a new therapeutic target and prognostic
marker.
PMID- 26561206
TI - In situ determination of the depuration of three- and four-ringed polycyclic
aromatic hydrocarbons co-adsorbed onto mangrove leaf surfaces.
AB - A dual-wavelength fiber-optic fluorimetry for the in situ simultaneous
determinations of fluorene (Flu), phenanthrene (Phe) and pyrene (Pyr) adsorbed
onto the leaf surfaces of living Avicennia marina (Am) seedling were developed
and used to study the depuration kinetics of the three PAHs, adsorbed
individually or mixed together, onto living Am leaf surfaces. Limits of detection
for the in situ measurements of adsorbed Flu, Phe and Pyr were 4.62, 2.75 and
1.38 ng spot(-1), respectively. The depuration kinetics of the three selected
polycyclic aromatic hydrocarbons (PAHs) are divided into rapid and slow phases;
both phases followed the same first-order kinetics with relative clearance rates
of Flu > Phe > Pyr during the rapid phase, and a clearance rate order of Pyr >
Flu > Phe during the slow phase. For the three PAHs co-adsorbed on living Am leaf
surfaces, a significant synergistic effect was detected during the rapid phase
clearance; conversely, an antagonistic effect was observed during the slow phase.
However, the synergistic effect dominated during both phases of the depuration
process, and the co-adsorption of PAHs promoted the clearance of all three
compounds from the mangrove leaf surfaces. These findings demonstrate a novel
analytical method for in situ characterization of multiple PAHs adsorbed onto the
plant surfaces.
PMID- 26561205
TI - MDA-9/Syntenin-Slug transcriptional complex promote epithelial-mesenchymal
transition and invasion/metastasis in lung adenocarcinoma.
AB - Melanoma differentiation-associated gene-9 (MDA-9)/Syntenin is a novel
therapeutic target because it plays critical roles in cancer progression and
exosome biogenesis. Here we show that Slug, a key epithelial-mesenchymal
transition (EMT) regulator, is a MDA-9/Syntenin downstream target. Mitogen EGF
stimulation increases Slug expression and MDA-9/Syntenin nuclear translocation.
MDA-9/Syntenin uses its PDZ1 domain to bind with Slug, and this interaction
further leads to HDAC1 recruitment, up-regulation of Slug transcriptional
repressor activity, enhanced Slug-mediated EMT, and promotion of cancer invasion
and metastasis. The PDZ domains and nuclear localization of MDA-9/Syntenin are
both required for promoting Slug-mediated cancer invasion. Clinically, patients
with high MDA-9/Syntenin and high Slug expressions were associated with poor
overall survival compared to those with low expression in lung adenocarcinomas.
Our findings provide evidence that MDA-9/Syntenin acts as a pivotal adaptor of
Slug and it transcriptionally enhances Slug-mediated EMT to promote cancer
invasion and metastasis.
PMID- 26561207
TI - Quality of life in adolescents and young adults with CHD is not reduced: a
systematic review and meta-analysis.
AB - PURPOSE: We performed a systematic review and meta-analysis of observational
studies assessing quality of life in adolescents and young adults born with CHD
compared with age-matched controls. METHODS: We carried out a systematic search
of the literature published in Medline, Embase, PsychINFO, and the Cochrane
Library's Database (1990-2013); two authors independently extracted data from the
included studies. We used the Newcastle-Ottawa scale for quality assessment of
studies. A random effects meta-analysis model was used. Heterogeneity was
assessed using the I2-test. RESULTS: We included 18 studies with 1786 patients.
The studies were of acceptable-to-good quality. The meta-analysis of six studies
on quality of life showed no significant difference - mean difference: -1.31; 95%
confidence intervals: -6.51 to +3.89, I2=90.9% - between adolescents and young
adults with CHD and controls. Similar results were found in 10 studies not
eligible for the meta-analysis. In subdomains, it seems that patients had reduced
physical quality of life; however, social functioning was comparable or better
compared with controls. CONCLUSION: For the first time in a meta-analysis, we
have shown that quality of life in adolescents and young adults with CHD is not
reduced when compared with age-matched controls.
PMID- 26561208
TI - Dehydrochlorination of Hexachlorocyclohexanes Catalyzed by the LinA
Dehydrohalogenase. A QM/MM Study.
AB - The elucidation of the catalytic role of LinA dehydrohalogenase in the
degradation processes of hexachlorocyclohexane (HCH) isomers is extremely
important to further studies on the bioremediation of HCH polluted areas. Herein,
QM/MM free energy simulations are employed to provide the details of the
dehydrochlorination reaction of two HCH isomers (gamma and beta). In particular,
the role of the protonation state of one of the catalytic residues-His73-is
explored. Based on our calculations, two distinct minimum free energy pathways
(concerted and stepwise) were found for gamma-HCH and beta-HCH. The choice of the
reaction channel for the dehydrochlorination reactions of gamma- and beta-HCH was
shown to depend on the initial mutual orientations of the reacting species in the
active site and the protonation form of His73. The sequential pathway comprises
the transfer of the proton (Hdelta1) between His73 and Asp25 and subsequently the
H1/Cl2 pair elimination from the substrate molecule. Within a concerted
mechanism, the dehydrochlorination reaction of gamma-/beta-HCH is initiated with
neutral His73 and the Hdelta1 proton is transferred upon final product formation.
We found that the concerted pathway for beta-HCH results in significantly higher
free energy of activation than the stepwise route and therefore can be
disregarded as not a feasible mechanism. On the other hand, the reaction that
occurs with much lower energetic barrier requires a stronger base (i.e., anionic
His73) to abstract the proton (H1) from the substrate molecule. The presence of
such transient form of His results in higher energy than the respective Michaelis
complex and was observed only in the stepwise pathway for both isomers.
Furthermore, we have concluded that both pathways (concerted and stepwise) are
feasible for the dehydrochlorination reaction of gamma-HCH. The activation free
energies obtained from the M05-2X/6-31+G(d,p) corrected path coordinate PMF
profiles for the dehydrochlorination reactions of the gamma-/beta-HCH are in good
agreement with the experimental values.
PMID- 26561209
TI - Regorafenib with a fluoropyrimidine for metastatic colorectal cancer after
progression on multiple 5-FU-containing combination therapies and regorafenib
monotherapy.
AB - We present 2 patients with metastatic colorectal cancer who had progressed
despite treatment with first-line FOLFOX and second-line FOLFIRI combination
chemotherapy regimens. After failing these fluoropyrimidine-based regimens, both
patients received additional cytotoxic and targeted therapies with eventual
disease progression. These therapies included capecitabine plus dabrafenib and
trametinib, regorafenib monotherapy, and regorafenib with panitumumab. After
exhausting available options, both patients were offered regorafenib with either
5-fluorouracil (5-FU) or capecitabine. These therapies are individually approved
for the treatment of colorectal cancer but have not yet been studied in
combination. This regimen produced stable disease in both patients with
acceptable toxicity. One patient continued therapy for 17 months. Although these
patients previously progressed during treatment with regorafenib, capecitabine or
5-FU, the combination had some activity in both cases of refractory metastatic
colorectal cancer and may be considered in the palliative setting. In bedside-to
bench cell culture experiments performed after the clinical observations, we
observed sensitivity of human colorectal cancer cell lines (N = 4) to single
agent regorafenib or 5-FU and evidence of synergy with the combination therapy.
Synergistic effects were noted in colorectal cancer cells with KRAS mutation,
BRAF mutation, and p53 mutation, as well as mismatch repair deficient cells.
Regorafenib suppressed Mcl-1 and Bcl-XL in treated cancer cells that may have
contributed to the anticancer efficacy including in combination with 5-FU. The
safety and efficacy of regorafenib with 5-FU or capecitabine in combination
should be further investigated as a therapy for patients with refractory
metastatic colorectal cancer, including individuals who had progressed on
regorafenib monotherapy.
PMID- 26561210
TI - WNT receptors profile expression in mature blood cells and immature leukemic
cells: RYK emerges as a hallmark receptor of acute leukemia.
AB - BACKGROUND: Wnt signaling induces a plethora of intracellular responses that
dictate normal or abnormal cellular behavior. Abnormal WNT signaling has been
related to the development of leukemogenic processes. In this regard, it is
important to know the expression profile of WNT receptors in normal and malignant
cells, in order to understand the WNT mechanisms that control the cell behavior.
This work aimed to determine the WNT receptors expression profile in normal and
leukemia cells. METHODS: Expression of WNT receptors was determined by flow
cytometry using leukemia-derived cell lines, peripheral blood cells, and blood
marrow samples from healthy volunteers and acute leukemia patients. RESULTS:
Despite the heterogenic WNT receptors expression in mature normal blood cells and
in immature tumorigenic cells, the RYK receptor was found highly express in
leukemia cells, but not in normal cells. RYK expression was found mainly in cells
positive to immature markers like CD33, CD13, CD7, and CD117. CONCLUSIONS: Our
data show differences in FZD receptors expression between T and B leukemic cells,
but both cell types and also myeloblast-derived cells express high levels of RYK.
The association of RYK expression with immature markers indicates its possible
use as a diagnostic marker or therapeutic target.
PMID- 26561211
TI - Evaluation of Results of Diagnostic Ureteroscopy in Chronic Hemodialysis
Patients.
AB - OBJECTIVES: To investigate the safety of diagnostic ureteroscopy (dURS) in
chronic hemodialysis patients. METHODS: The data of chronic hemodialysis patients
(group I) and the patients with normal estimated glomerular filtration rate
(eGFR) (group II) who had dURS between 2004 and 2014 were analyzed
retrospectively. eGFR, complications, and postoperative stent placement were
noted in all patients. Continuous and categorical variables were compared between
the groups. p < 0.05 was considered as statistically significant. RESULTS:
Overall complication rate of group I was 10.5%, while this rate was 4.8% in group
II (p = 0.16). No statistically significant differences were noted in terms of
gender, age, or laterality between two groups. The mean duration of surgery and
the rates of balloon dilatation and postoperative stent placement were higher in
group I when compared with group II, and differences were statistically
significant (p < 0.05). CONCLUSIONS: In this study, we determined that dURS was a
safe method in chronic hemodialysis patients. However, duration of surgery and
the rates of balloon dilatation and postoperative stent placement were high in
our study.
PMID- 26561212
TI - An isoform-specific role of FynT tyrosine kinase in Alzheimer's disease.
AB - Alzheimer's disease (AD) is the leading cause of dementia in old age and is
characterized by the accumulation of beta-amyloid plaques and neurofibrillary
tangles (NFT). Recent studies suggest that Fyn tyrosine kinase forms part of a
toxic triad with beta-amyloid and tau in the disease process. However, it is not
known whether Fyn is associated with the pathological features of AD in an
isoform-specific manner. In this study, we identified selective up-regulation of
the alternative-spliced FynT isoform with no change in FynB in the AD neocortex.
Furthermore, gene ontology term enrichment analyses and cell type-specific
localization of FynT immunoreactivity suggest that FynT up-regulation was
associated with neurofibrillary degeneration and reactive astrogliosis.
Interestingly, significantly increased FynT in NFT-bearing neurons was
concomitant to decreased FynB immunoreactivity, suggesting an involvement of
alternative splicing in NFT formation. Furthermore, cultured cells of astrocytic
origin have higher FynT to FynB ratio compared to those of neuronal origin.
Lastly, primary rat mixed neuron-astrocyte cultures treated with Abeta25-35
showed selective up-regulation of FynT expression in activated astrocytes. Our
findings point to an isoform-specific role of FynT in modulating neurofibrillary
degeneration and reactive astrogliosis in AD. Fyn kinase is known to interact
with beta-amyloid and tau, and contributes to Alzheimer's disease pathogenesis.
In this study, it is shown that the alternatively spliced FynT isoform is
specifically up-regulated in the AD neocortex, with no change in FynB isoform.
The increased FynT correlated with markers of neurofibrillary degeneration and
reactive astrogliosis. In primary mixed cultures, treatment with amyloid peptides
specifically up-regulated FynT in activated astrocytes. This study points to
altered alternative splicing as a potential pathogenic mechanism in AD.
PMID- 26561213
TI - Full-Thickness Skin Burn Caused by Radiofrequency Ablation of a Benign Thyroid
Nodule.
PMID- 26561214
TI - Probiotics are helpful in hepatic encephalopathy: a meta-analysis of randomized
trials.
AB - BACKGROUND: Hepatic encephalopathy (HE) is a major complication of cirrhosis and
is associated with decreased survival and increased health care utilization. AIM:
The aim of this study was to evaluate the efficacy of probiotics in the
management minimal hepatic encephalopathy HE (MHE) and overt HE (OHE) in
comparison to no treatment/placebo and lactulose. METHODS: The main outcomes
measured were mortality, improvement in MHE, progression to OHE in patients with
MHE and hospitalization. We calculated odds ratios (OR) with 95% confidence
intervals (CI). Study heterogeneity was assessed using the I(2) statistic.
RESULTS: Fourteen studies totalling 1152 patients were included in the analysis.
The use of probiotics had no impact on the overall mortality when compared to
either lactulose (OR: 1.07, 95% CI: 0.47-2.44, P = 0.88) or no treatment/placebo
(OR: 0.69, 95% CI: 0.42-1.14, P = 0.15). When probiotics was compared to no
treatment/placebo, it was associated with a significant improvement in MHE (OR:
3.91, 95% CI: 2.25-6.80, P < 0.00001), decreased hospitalization rates (OR: 0.53,
95% CI: 0.33-0.86, P = 0.01) and decreased progression to overt hepatic
encephalopathy (OR: 0.40, 95% CI: 0.26-0.60, P < 0.0001). However when compared
to lactulose, probiotics did not show a significant difference in improvement of
MHE (OR: 0.81, 95% CI: 0.52-1.27, P = 0.35), hospitalization rates (OR: 1.02, 95%
CI: 0.52-1.99, P = 0.96) or progression to overt hepatic encephalopathy (OR:
1.24, 95% CI 0.73-2.10, P = 0.42). CONCLUSIONS: Overall the use of probiotics was
more effective in decreasing hospitalization rates, improving MHE and preventing
progression to OHE in patients with underlying MHE than placebo, but similar to
that seen with lactulose. The use of probiotics did not affect mortality rates.
PMID- 26561215
TI - Evidence of an association between sign language phonological awareness and word
reading in deaf and hard-of-hearing children.
AB - BACKGROUND AND AIMS: Children with good phonological awareness (PA) are often
good word readers. Here, we asked whether Swedish deaf and hard-of-hearing (DHH)
children who are more aware of the phonology of Swedish Sign Language, a language
with no orthography, are better at reading words in Swedish. METHODS AND
PROCEDURES: We developed the Cross-modal Phonological Awareness Test (C-PhAT)
that can be used to assess PA in both Swedish Sign Language (C-PhAT-SSL) and
Swedish (C-PhAT-Swed), and investigated how C-PhAT performance was related to
word reading as well as linguistic and cognitive skills. We validated C-PhAT-Swed
and administered C-PhAT-Swed and C-PhAT-SSL to DHH children who attended Swedish
deaf schools with a bilingual curriculum and were at an early stage of reading.
OUTCOMES AND RESULTS: C-PhAT-SSL correlated significantly with word reading for
DHH children. They performed poorly on C-PhAT-Swed and their scores did not
correlate significantly either with C-PhAT-SSL or word reading, although they did
correlate significantly with cognitive measures. CONCLUSIONS AND IMPLICATIONS:
These results provide preliminary evidence that DHH children with good sign
language PA are better at reading words and show that measures of spoken language
PA in DHH children may be confounded by individual differences in cognitive
skills.
PMID- 26561216
TI - Iatrogenic Atrial Septal Defect after Radiofrequency or Cryoballoon Ablation of
Atrial Fibrillation.
PMID- 26561217
TI - Analysis of the Usefulness of Optical Coherence Tomography and Intravascular
Ultrasonography for the Examination of Rabbit Atherosclerotic Plaques.
AB - In the present study, we aimed to establish a rabbit atherosclerosis model and
examine atherosclerotic plaques by using optical coherence tomography (OCT) and
intravascular ultrasonography (IVUS) to evaluate the clinical usefulness of these
diagnostic tools in the detection of atherosclerotic plaques. Twenty healthy New
Zealand rabbits were fed on a high-fat diet for 4 weeks and then subjected to
abdominal aortic intimal injury. The OCT and IVUS were performed after 8 weeks.
The distal and proximal plaque images were marked to observe the plaque thickness
and features of the lesions at the tunica intima and tunica media. Moreover, a
pathological examination was performed to evaluate the efficacies of OCT and
IVUS. The mean (SD) plaque thickness on OCT and IVUS images was 386.5 (125.3) MUm
and 412.7 (165.8) MUm, respectively. Pathological examinations indicated a mean
(SD) plaque thickness of 360.2 (98.l) MUm (P > 0.05). No significant differences
were noted between the 2 methods. The intimal and medial thickness measured with
OCT showed greater correlation with pathologically measured thickness (r = 0.95,
P < 0.001 for OCT; r = 0.88, P < 0.001 for IVUS); in particular, the intimal
thickness measurements on OCT showed a higher correlation (r = 0.98, P < 0.001).
However, the measurements obtained using IVUS were not accurate. Thus, compared
with IVUS, OCT was more accurate in determining the nature and thickness of
vascular plaques.
PMID- 26561218
TI - The Myriad Advantages of Ultrasonography in Image-Guided Interventions.
AB - We will review and illustrate the multiple advantages of ultrasound as an image
guidance tool, including real-time vessel visualization, multiplanar capability,
portability/availability, and decreased procedure time and cost.We will
demonstrate the unique advantages of the use of this imaging modality in the
biopsy of small parenchymal lesions particularly those that are not visible with
unenhanced computed tomography (CT) or not persistently visible with contrast
enhanced CT or those lesions not readily accessible by CT guidance, the use of
direct probe compression to displace bowel away from biopsy targets, the use of
direct probe compression to staunch intraprocedural bleeding observed with real
time visualization to minimize postprocedural bleeding complications, and the
ability to biopsy masses in pediatric patients as a function of the inherent lack
of ionizing radiation.Finally, we will review and illustrate how the use of
preprocedural lesion characterization with ultrasound at times can serve as a
problem-solving tool providing an alternative and reasonably confident diagnosis
and thus avoiding unnecessary procedures and associated potential risks.
PMID- 26561219
TI - SRU Cases of the Day: Plexiform Neurofibroma.
PMID- 26561220
TI - Ultrasound of Biliary Cast Syndrome and Its Mimics.
AB - Biliary cast syndrome (BCS) consists of mass-like hardened collections of
inspissated bile and sloughed biliary mucosa filling the intrahepatic and/or
extrahepatic bile ducts, which show an alternating pattern of dilatation and
stricture. It is a rare but serious complication of liver transplantation
frequently necessitating repeated percutaneous, endoscopic or surgical stent
placements, and cast retrieval. Although not typically considered as the modality
of choice for BCS compared with magnetic resonance cholangiopancreatography and
endoscopic retrograde cholangiopancreatography, ultrasound can be quite useful
for this diagnosis. This article reviews the sonographic appearance of BCS in
postliver transplant patients and correlates with other imaging modalities
including computed tomography, MRCP, and endoscopic retrograde
cholangiopancreatography. Also reviewed are other intrahepatic pathologies that
mimic the appearance of BCS on ultrasound.
PMID- 26561221
TI - Squamous Cell Carcinoma of the Larynx.
PMID- 26561222
TI - Abnormal postural reflexes in a patient with pontine ischaemia.
AB - The control of body posture is a complex activity that needs a very close
relationship between different structures, such as the vestibular system, and the
muscle and joint receptors of the neck. Damage of even one of these structures
can lead to abnormal postural reflexes. We describe a case of a woman with a left
pontine ischaemia who developed a 'dystonic' extensor posture of the left limbs
while turned on the right side. This clinical picture differs from previous
reports on the subject, and may relate to ischaemic damage of a pontine structure
involved in posture control, or of adjacent neural connections to be yet
identified. To the best of our knowledge, this is the first case reported in the
literature. Clinical examples of an altered interplay between vestibular and neck
receptors are rare.
PMID- 26561223
TI - Encapsulating peritoneal sclerosis: surgery, sustained drug therapy and treatment
of recurrence at 1 year.
AB - A 74-year-old woman with end-stage renal disease presented with clinical symptoms
of encapsulating peritoneal sclerosis 2 years after switching from peritoneal
dialysis to haemodialysis. Imaging revealed a large cystic structure in her
abdomen and her final diagnosis was made by exploratory laparotomy. A 10 cm*15 cm
fibrous rind was excised from the peritoneum and the patient was subsequently
started on tamoxifen 20 mg daily and prednisone 20 mg daily. Given her reduction
in clinical symptoms and concerns about the long-term effects of corticosteroids,
prednisone was tapered and she remained on tamoxifen monotherapy. 1 year
postsurgery, she presented with symptoms of nausea, vomiting and anorexia. A
repeat CT of the abdomen confirmed a recurrence of encapsulating peritoneal
sclerosis. Prednisone and tamoxifen were restarted at increased dosages of 25 and
40 mg daily, respectively. The patient's symptoms were ameliorated within 2 weeks
of drug therapy modification.
PMID- 26561224
TI - Phenotypic extremes in liveborn monozygotic twins with mosaic Edwards syndrome.
AB - Mosaic trisomy 18 (Edwards syndrome) in monozygotic diamniotic liveborn twins is
rare. We describe such a case involving preterm male infants. Although both
infants had a low percentage of trisomy 18 cells in peripheral blood leucocytes,
their varied phenotypic presentation of mosaic trisomy 18 resulted in one twin
surviving, with the other twin's demise at 1 month of age. Despite the presence
of trisomy 18 in peripheral leucocytes, further analysis of a buccal smear and
skin biopsy of the surviving twin did not show evidence of trisomy 18.
Establishing such diagnoses in a timely manner is imperative for the child,
parents and clinicians. The clinical course of these twins reflects the
unpredictable prognosis associated with the diagnosis of mosaic trisomy 18, and
emphasises the challenges that can be encountered when counselling parents.
PMID- 26561225
TI - An old 'new' friend: postmeasles blindness in the 21st century.
PMID- 26561226
TI - Chiari malformation, syringomyelia and bulbar palsy in X linked
hypophosphataemia.
AB - X linked hypophosphataemia (XLH) is a rare condition with numerous
musculoskeletal complications. It may mimic other more familiar conditions, such
as vitamin D deficiency, ankylosing spondylitis or diffuse idiopathic skeletal
hyperostosis. We describe two cases with Chiari type 1 malformations and
syringomyelia, neither of which is well recognised in XLH. The first presented
late with the additional complications of spinal cord compression,
pseudofracture, renal stones and gross femoroacetabular impingement requiring hip
replacement. The second also had bulbar palsy; the first case to be described in
this condition, to the best of our knowledge. We wish to raise awareness of the
important neurological complications of syringomyelia, Chiari malformation,
spinal cord compression and bulbar palsy when treating these patients. We also
wish to draw attention to the utility of family history and genetic testing when
making the diagnosis of this rare but potentially treatable condition.
PMID- 26561227
TI - A rare combination of amniotic constriction band with osteogenesis imperfecta.
AB - Amniotic constriction bands and osteogenesis imperfecta are disorders arising
from a collagen defect. We report a rare association of amniotic bands with
osteogenesis imperfecta in a child. The child was born with multiple amniotic
bands involving the right leg, both hands and both feet. Multiple fractures of
long bones of lower limbs occurred in childhood due to trivial trauma.
Deformities of the femur and tibia due to malunion with osteopenia and blue
sclerae were present. The patient was treated with z plasty of constriction band
of the right tibia and bisphosphonate for osteogenesis imperfecta. This rare
association of both collagen diseases may provide further insight for the
pathogenesis of these diseases.
PMID- 26561228
TI - An insidious case of hepatic artery pseudoaneurysm secondary to acalculus
cholecystitis.
AB - A 68-year-old man with expressive dysphasia presented with upper gastrointestinal
haemorrhage, jaundice and abdominal pain. He was unable to tolerate ultrasound
tranducer pressure. His oesophagogastroduodenoscopy (OGD) showed large blood
clots in the stomach with blood trickling from the ampulla. An urgent CT
angiogram demonstrated a 32 mm pseudoaneurysm within the gallbladder fossa. The
patient subsequently underwent an endovascular embolisation of the pseudoaneurysm
performed by the interventional radiology team.
PMID- 26561229
TI - Rotator cuff tears in luxatio erecta: an arthroscopic perspective of two cases.
AB - Luxatio erecta accounts for only 0.5% of all shoulder dislocations. More than 150
cases have been described in the literature, focusing mainly on the method of
reduction and/or associated complications. Some of the well-described
complications include injuries to the humeral head, glenoid, clavicle, rotator
cuff, capsules and ligaments, brachial plexus and axillary artery/vein. Among
these, rotator cuff injuries are reported to occur in about 80% of cases.
However, in the majority of instances, cuff injuries have been managed
conservatively and have been reported to apparently provide optimal functional
outcomes. We report our experience with two cases of luxatio erecta associated
with massive rotator cuff injuries, which were evaluated and further managed by
arthroscopic repair. The emphasis in these cases is to define cuff injuries and
proceed based on patients' age, demands and characteristics of the cuff tears.
Arthroscopic evaluation and cuff repairs should be contemplated in these
patients, to improve shoulder functions.
PMID- 26561230
TI - False-positive uptake on radioiodine whole-body scan due to bronchiectasis.
PMID- 26561231
TI - Electrochemical and Dry Sand Impact Erosion Studies on Carbon Steel.
AB - This study investigated the dry and aqueous erosion of mild steel using
electrochemical and dry sand impact techniques. In dry sand impact experiments,
mild steel was eroded with 45 MUm and 150 MUm sand particles. Scanning electron
microscopy (SEM), energy-dispersive X-ray spectroscopy (EDX) and micro-hardness
techniques were used to elaborate the surface morphology of the eroded samples.
The results revealed significant change in morphology of the eroded samples. In
depth analysis showed that although the metal erosion due to larger particles was
significantly higher, the fines also notably damaged the metal surface. The
surface damages were appreciably reduced with decrease in impact angle of the
accelerated particles. The maximum damages were observed at an impact angle of 90
degrees . The hardness of the samples treated with 45 MUm and 150 MUm sand
remained in the range of 88.34 to 102.31 VHN and 87.7 to 97.55 VHN, respectively.
In electrochemical experiments, a triple electrode probe was added into the metal
treatment process. The linear polarization resistance (LPR) measurements were
performed in slurries having 5% (by weight) of sand particles. LPR of the samples
treated with 45 MUm and 150 MUm sand slurries was calculated about 949
Omega.cm(2) and 809 Omega.cm(2), respectively.
PMID- 26561232
TI - Genome-wide association and epistasis studies unravel the genetic architecture of
sudden death syndrome resistance in soybean.
AB - Soybean [Glycine max (L.) Merr.] is an economically important crop that is grown
worldwide. Sudden death syndrome (SDS), caused by Fusarium virguliforme, is one
of the top yield-limiting diseases in soybean. However, the genetic basis of SDS
resistance, especially with respect to epistatic interactions, is still unclear.
To better understand the genetic architecture of soybean SDS resistance, genome
wide association and epistasis studies were performed using a population of 214
germplasm accessions and 31,914 SNPs from the SoySNP50K Illumina Infinium
BeadChip. Twelve loci and 12 SNP-SNP interactions associated with SDS resistance
were identified at various time points after inoculation. These additive and
epistatic loci together explained 24-52% of the phenotypic variance. Disease
resistant, pathogenesis-related and chitin- and wound-responsive genes were
identified in the proximity of peak SNPs, including stress-induced receptor-like
kinase gene 1 (SIK1), which is pinpointed by a trait-associated SNP and encodes a
leucine-rich repeat-containing protein. We report that the proportion of
phenotypic variance explained by identified loci may be considerably improved by
taking epistatic effects into account. This study shows the necessity of
considering epistatic effects in soybean SDS resistance breeding using marker
assisted and genomic selection approaches. Based on our findings, we propose a
model for soybean root defense against the SDS pathogen. Our results facilitate
identification of the molecular mechanism underlying SDS resistance in soybean,
and provide a genetic basis for improvement of soybean SDS resistance through
breeding strategies based on additive and epistatic effects.
PMID- 26561233
TI - Design, Preparation, and Characterization of a Novel Red Long-Persistent
Perovskite Phosphor: Ca3Ti2O7:Pr3+.
AB - Currently, the development of efficient red-emitting persistent phosphor is still
an ongoing challenge. Herein, a novel red-emitting LPL phosphor Ca3Ti2O7:Pr(3+)
is successfully prepared by a high-temperature solid-state method. XRD Rietveld
refinement analyses demonstrate the high phase purity of the sample which
crystallizes in an orthorhombic Ccm21 space group with lattice parameters of a =
5.7702(5) A, b = 19.4829(7) A, and c = 5.1214(2) A. Electronic structure of the
host matrix is analyzed by the first-principle calculation using CASTEP code. The
calculation results show that Ca3Ti2O7 has a direct band gap with CB and VB
mainly composed of the Ti-3d and O-2p states, respectively. On the basis of the
DR spectrum, the band gap is determined to be 3.6 eV. It is demonstrated that the
612 nm red-emitting persistent luminescence of Ca3Ti2O7:Pr(3+) can be either
activated by Ti(4+)-O(2-) -> Ti(3+)-O(-) host absorption and Pr(3+)-O-Ti(4+) ->
Pr(4+)-O-Ti(3+) IVCT in the UV region, or Pr(3+):(3)H4 -> (3)PJ transition in the
blue region. The red afterglow can last for ~ 5 min observed by the naked eyes in
the dark after ceasing the irradiation source. On the basis of the TL analyses,
the trap is found exponentially distributed in the host with the depth of 0.69
0.92 eV. Finally, a possible LPL mechanism for Ca3Ti2O7:Pr(3+) is proposed.
PMID- 26561234
TI - Intramolecular, Pd/Cu-Co-catalyzed P-C Bond Cleavage and Addition onto an Alkyne:
A Route to Benzophospholes.
AB - Under Pd(II)/CuI cocatalysis, o-diarylphosphinophenylalkynes cyclize in boiling
toluene via C-P bond cleavage and arylphosphination of the C=C bond. This
protocol provides an unprecedented atom- and step-efficient access to
optoelectronically and biologically interesting benzophospholes.
PMID- 26561235
TI - Memory for Lectures: How Lecture Format Impacts the Learning Experience.
AB - The present study investigated what impact the presentation style of a classroom
lecture has on memory, mind wandering, and the subjective factors of interest and
motivation. We examined if having a professor lecturing live versus on video
alters the learning experience of the students in the classroom. During the
lectures, students were asked to report mind wandering and later complete a
memory test. The lecture format was manipulated such that all the students
received two lectures, one live and one a pre-recorded video. Results indicate
that lecture format affected memory performance but not mind wandering, with
enhanced memory in the live lectures. Additionally, students reported greater
interest and motivation in the live lectures. Given that a single change to the
classroom environment, professor presence, impacted memory performance, as well
as motivation and interest, the present results have several key implications for
technology-based integrations into higher education classrooms.
PMID- 26561236
TI - The effectiveness of interventions in supporting self-management of informal
caregivers of people with dementia; a systematic meta review.
AB - BACKGROUND: Informal caregivers of people with dementia are challenged in
managing the consequences of dementia in daily life. The objective of this meta
review was to synthesize evidence from previous systematic reviews about
professional self-management support interventions for this group. METHODS: In
March 2014, searches were conducted in PubMed, CINAHL, Cochrane Library, Embase
and PsycINFO. The PRISMA Statement was followed. Interventions were grouped using
Martin's targets of self-management, covering 5 targets: relationship with
family, maintaining an active lifestyle, psychological wellbeing, techniques to
cope with memory changes and information about dementia. Using an evidence
synthesis, the outcomes from the included interventions were synthesized and
conclusions were drawn about the level of evidence for the effectiveness of
interventions within each target. RESULTS: Ten high-quality systematic reviews
were selected. Evidence exists for the effectiveness of professional self
management support interventions targeting psychological wellbeing on stress and
social outcomes of informal caregivers. In addition, evidence exists for the
effectiveness of interventions targeting information on ability/knowledge.
Limited evidence was found for the effectiveness of interventions targeting
techniques to cope with memory change on coping skills and mood, and for
interventions targeting information on the outcomes sense of competence and
decision-making confidence of informal caregivers. CONCLUSIONS: Scientific
evidence exists for the effectiveness of a number of professional self-management
support interventions targeting psychological wellbeing and information. Health
care professionals could take account of the fact that psycho-education was
integrated in most of the self-management support interventions that were found
to be effective in this meta-review. Furthermore, longer and more intensive
interventions were associated with greater effects.
PMID- 26561237
TI - Fetal myelomeningocele repair: where are we and where can we go?
PMID- 26561238
TI - [Determinants of maternal near miss in an obstetric intensive care unit].
AB - PURPOSE: To evaluate the risk factors for morbidity and mortality in an obstetric
intensive care unit at a university hospital. METHODS: Observational cross
sectional study with 492 pregnant/puerperal women. Patients were admitted to the
obstetric intensive care unit over a period of one year, being informed about the
proposals of the study and a questionnaire was applied. The analysis was
performed using Microsoft Excel 2013 and GraphPad Prism 6. To evaluate risk
factors, chi2 tests were used. RESULTS: The main risk factors to near miss were:
non-white race (OR=2.5; PR=2.3); marital status (married women) (OR=7.9; PR=7.1),
schooling (primary) (OR=3.1; PR=2.8), being from the countryside (OR=4.6;
PR=4.0), low income (OR=70; PR=5.5), gestational hypertensive disorders (OR=16.3;
PR=13.2), receiving prenatal care (OR=5.0; PR=4.254) and C-section before labor
(OR=39.2; PR=31.2). CONCLUSIONS: The prevalence of near miss was associated with
socioeconomic/clinical factors and care issues, revealing the importance of
interventions to improve these indicators. Additionally, we suggest a better
curriculum insertion of this subject in the discipline of the medical course due
to the importance of avoiding the near miss using adequate medical education. The
importance of correct prenatal care is emphasized in order to identify potential
risks, to provide nutritional support to pregnant women, to treat potential
diseases and to establish a maternal immunization program, as well as providing
better care regarding the clinical features of the patients, in order to reduce
obstetrical and neonatal risk.
PMID- 26561239
TI - [Risk factors associated among anemia in pregnancy women of network public health
of a capital of Brazil Northeastern].
AB - PURPOSE: To evaluate the factors associated with anemia among pregnant women
receiving public health care in a capital city in Northeastern Brazil. METHODS:
This was a cross-sectional study conducted on a sample of 428 patients obtained
on the basis of the estimated prevalence of anemia during pregnancy (50%), a 95%
confidence interval (95%CI), an error of 5% and a sample loss of 20%. Pregnant
women who lived in the city and were served by the municipal public health
network were considered to be eligible for the study. Socioeconomic, lifestyle,
clinical and anthropometric data and dietary iron intake were obtained, and
capillary hemoglobin was determined. Anemia was identified as a hemoglobin level
<11 g/dL, and its association with risk factors was tested using multivariate
Poisson regression analysis, with the results expressed as the Prevalence Ratio
(PR) and 95%CI. RESULTS: The prevalence of anemia was 28.3% and was higher among
women with more members in the household (PR=1.49; 95%CI 1.01-2.22; p=0.046) and
those living with food insecurity (PR=1.43; 95%CI 1.00-2.04; p=0.047).
CONCLUSION: The prevalence of anemia among pregnant women receiving care from the
public health system of the city is a moderate public health problem, requiring
the planning of effective measures for its control.
PMID- 26561240
TI - Functional activity of neutrophilic polymorphonuclear leukocytes in the first
five days postpartum.
AB - PURPOSE: To assess the chemotactic activity and phagocytic response of
neutrophilic polymorphonuclear leukocytes among women in the first five days
postpartum. METHODS: A prospective, cross-sectional clinical-laboratory study was
conducted. Data of 31 postpartum women during the first five days after vaginal
delivery were compared with those of 24 healthy non-pregnant non-postpartum women
matched for age. The inclusion criteria were postpartum, clinically and
obstetrically healthy women; vaginal delivery, singleton pregnancy carried to
term; non-hypertensive, hyperglycemic, allergic, malnourished or with autoimmune
or neoplastic diseases; not having received vaccines or blood products in the
last three months. The Control Group was chosen according to the same inclusion
criteria but involving non-pregnant non-postpartum women. The chemotactic
activity of neutrophilic polymorphonuclear leukocytes was assessed by determining
the distance from directed migration to bacterial lipopolysaccharide, in three
Boyden chamber assays. The phagocytic response was identified by assessing the
Zymosan particles' ingestion in three assays carried out in Leighton tubes. The
Student's t-test was used in the statistical analysis, adopting a 5% level of
significance. RESULTS: The chemotactic activity of neutrophilic polymorphonuclear
leukocytes from postpartum women in the presence of homologous (73.2 +/- 6.9) and
autologous (78.6 +/- 13.9) sera showed a significant increase compared to the
values observed in the Control Group (64.1 +/- 4.1 and 66.6 +/- 5.4). Both
chemotactic response and phagocytosis ingestion phase of neutrophilic
polymorphonuclear leukocytes were significantly increased (p < 0.05) in
postpartum women compared to healthy non-pregnant and non-postpartum women.
CONCLUSION: There was an increase in the chemotactic activity and phagocytic
response of neutrophilic polymorphonuclear leukocytes during the first five days
after vaginal delivery in women.
PMID- 26561241
TI - Polymorphism in the lymphotoxin-alpha gene, position +252 (rs909253), is not
associated with preeclampsia development in Brazilian women.
AB - PURPOSE: To investigate the frequencies of polymorphic allele and genotypes for
the LT-alpha gene, position +252 (rs909253), in Brazilian women with
preeclampsia. METHODS: This is a case-control study, in which 30 women with
preeclampsia, classified according to the criteria of the National High Blood
Pressure Education Program, and 115 women in the control group, with at least two
healthy pregnancies, were selected. Peripheral blood was collected, and DNA was
extracted, followed by genotyping, using specific primers and restriction
analysis. The genotypes obtained were AA, AG and GG. Statistical analysis was
performed using the chi2 association test. The Hardy-Weinberg Equilibrium was
tested using the Haploview Program. RESULTS: The results showed no association
between genotypes and preeclampsia development (chi2=2.0; p=0.4). When the AG and
GG genotypes were grouped according to allele G presence or absence (genotype
AA), the data showed that the presence of allele G was not significantly
different between cases (women with preeclampsia) and controls (chi2=0.0; p=1.0).
The LT-alpha gene polymorphism, position +252 (rs909253), seems not to be an
important candidate for the development of preeclampsia. Other inflammatory genes
should be researched, and studies involving gene-environment interactions should
be performed, in order to reach a better understanding of the etiology of the
preeclampsia.
PMID- 26561242
TI - [Translation, adaptation and validation of the Brazilian version of the Utian
Quality of Life for evaluation of quality of life in the climacteric].
AB - PURPOSE: To translate, to adapt and to validate the Utian Quality of Life (UQOL)
for the Brazilian population. METHODS: Women in the climacteric phase, residents
in the city of Natal, Rio Grande do Norte, located in the Brazilian Northeast,
were randomly selected. UQOL and SF-36 questionnaires were used, and the
translation from English to Portuguese was made by three teachers, while the
adaptation stage of the translated version was made by applying the questionnaire
to 35 women, which could mark the answer choice "I did not understand the
question"; reproducibility measurements (test-retest) and construct validity were
used to validate, following international methodological standards. RESULTS: The
Brazilian version was fully recognized by the target population, which was
comprised of 151 women, as no question showed a percentage of "non-understanding"
equal to or greater than 20%. The results for intra and interobserver
reproducibility demonstrated significant agreement on all the questionnaire
items. This version showed consistency above the required criteria (>70),
demonstrating its accuracy, while the construct validity was obtained by
statistically significant correlations between the domains occupation, health and
emotional of UQOL and the SF-36 domains. The Cronbach's alpha coefficient for the
whole instrument was 0.82, representing good accuracy. Item-total correlation
analysis showed the scale homogeneity. CONCLUSION: From the steps taken, the UQOL
questionnaire was translated and adapted for its use in Brazil, with high
reproducibility and validity. Thus, it can be included and used in Brazilian
studies that aim at evaluating the quality of life of women during the peri- and
postmenopausal.
PMID- 26561243
TI - [Postpartum Takotsubo cardiomyopathy: case report].
AB - Takotsubo cardiomyopathy is characterized by acute and transient dysfunction of
the apical segment of the left ventricle usually after an intense physical or
emotional stress, mimicking an acute coronary syndrome. Because this is a rare
syndrome, the differential diagnosis is particularly important and a high level
of suspicion is essential. Obstetricians should be aware to diagnose and deal
with this unexpected event. Treatment is essentially supportive, with spontaneous
and complete reversal of the changes within days or weeks. The occurrence of
complications may dictate a less benign prognosis. We report a case of Takotsubo
cardiomyopathy in a 39-year-old woman who underwent Cesarean delivery. She
presented with bradycardia, chest pain and pulmonary edema immediately after the
delivery. Her echocardiography showed and apical ballooning. Cardiac biomarkers
and electrocardiogram were altered and echocardiogram showed severe left
ventricular dysfunction with hypokinesia of the anterior wall. Coronary
angiography excluded obstructive coronary artery disease.
PMID- 26561244
TI - [Limitations and controversies in determining the predictive value of oocyte and
embryo morphology criteria].
AB - In order to increase the success rate of in vitro fertilization cycles, several
studies have focused on the identification of the embryo with higher implantation
potential. Despite recent advances in the reproductive medicine, based on the
OMICs technology, routinely applicable methodologies are still needed. Thus, in
most fertilization centers embryo selection for transfer is still based on
morphological parameters evaluated under light microscopy. Several morphological
parameters may be evaluated, ranging from the pronuclear to blastocyst stage. In
general, despite the day of transfer, some criteria are suggested to present a
predictive value for embryo viability when analyzed independently or combined.
However, the subjectivity of morphological evaluation, as well as the wide
diversity of embryo classification systems used by different fertilization
centers shows contrasting results, making the implementation of a consensus
regarding different morphological criteria and their predictive value a difficult
task. The optimization of embryo selection represents a large potential to
increase treatment success rates, allowing the transfer of a reduced number of
embryos and minimizing the risks of multiple pregnancy.
PMID- 26561245
TI - Infant product-related injuries: comparing specialised injury surveillance and
routine emergency department data.
AB - OBJECTIVE: To explore the potential for using a basic text search of routine
emergency department data to identify product-related injury in infants and to
compare the patterns from routine ED data and specialised injury surveillance
data. METHODS: Data was sourced from the Emergency Department Information System
(EDIS) and the Queensland Injury Surveillance Unit (QISU) for all injured infants
between 2009 and 2011. A basic text search was developed to identify the top five
infant products in QISU. Sensitivity, specificity, and positive predictive value
were calculated and a refined search was used with EDIS. Results were manually
reviewed to assess validity. Descriptive analysis was conducted to examine
patterns between datasets. RESULTS: The basic text search for all products showed
high sensitivity and specificity, and most searches showed high positive
predictive value. EDIS patterns were similar to QISU patterns with strikingly
similar month-of-age injury peaks, admission proportions and types of injuries.
CONCLUSIONS: This study demonstrated a capacity to identify a sample of valid
cases of product-related injuries for specified products using simple text
searching of routine ED data. IMPLICATIONS: As the capacity for large datasets
grows and the capability to reliably mine text improves, opportunities for
expanded sources of injury surveillance data increase. This will ultimately
assist stakeholders such as consumer product safety regulators and child safety
advocates to appropriately target prevention initiatives.
PMID- 26561246
TI - Altered fecal short chain fatty acid composition in children with a history of
Hirschsprung-associated enterocolitis.
AB - PURPOSE: Children with Hirschsprung disease (HD) who have a history of
enterocolitis (HAEC) have a shift in colonic microbiota, many of which are
necessary for short chain fatty acid (SCFA) production. As SCFAs play a critical
role in colonic mucosal preservation, we hypothesized that fecal SCFA composition
is altered in children with HAEC. METHODS: A multicenter study enrolled 18 HD
children, abstracting for history of feeding, antibiotic/probiotic use, and
enterocolitis symptoms. HAEC status was determined per Pastor et al. criteria
(12). Fresh feces were collected for microbial community analysis via 16S
sequencing as well as SCFA analysis by gas chromatography-mass spectrometry.
RESULTS: Nine patients had a history of HAEC, and nine had never had HAEC. Fecal
samples from HAEC children showed a 4-fold decline in total SCFA concentration
vs. non-HAEC HD patients. We then compared the relative composition of individual
SCFAs and found reduced acetate and increased butyrate in HAEC children. Finally,
we measured relative abundance of SCFA-producing fecal microbiota. Interestingly,
10 of 12 butyrate-producing genera as well as 3 of 4 acetate-producing genera
demonstrated multi-fold expansion. CONCLUSION: Children with HAEC history have
reduced fecal SCFAs and altered SCFA profile. These findings suggest a complex
interplay between the colonic metabolome and changes in microbiota, which may
influence the pathogenesis of HAEC.
PMID- 26561247
TI - Long-term outcomes and sex differences after restorative proctocolectomy in
pediatric patients with ulcerative colitis.
AB - BACKGROUND: Restorative proctocolectomy (RPC) for ulcerative colitis (UC) could
result in a higher patient quality of life, avoiding frequent disease flares;
however, pouch failures and pouch-related complications (PRCs) can develop.
PURPOSE: No cohort studies have examined pouch failure and the differences
between adult and pediatric patients or the sex differences in pediatric UC.
Therefore, the pouch failure rates were compared between adults and pediatric
patients, and pouch failure and PRCs in pediatric UC were evaluated. METHODS: UC
patients who underwent RPC between January 1987 and June 2014 at Hyogo College of
Medicine were included. Patient background characteristics, PRCs, and pouch
failure were reviewed. RESULTS: A total of 1347 adult UC patients and 90 (51
boys, 39 girls) pediatric UC patients were included in the study. The cumulative
rate of pouch failure at 10years after RPC was significantly higher in pediatric
UC (9.5%) than in adult UC (2.1%; p<0.01). In pediatric UC, the independent risk
factors for pouch failure were pouchitis (hazard ratio (HR) 19.3) and anal
fistula (HR 5.5). Although a sex difference was not seen in pouch failure, an
independent risk factor for PRCs was being a girl (HR 2.5). CONCLUSIONS: Pouch
failure was more common in pediatric than in adult UC. PRCs after RPC were more
common in girls in pediatric UC.
PMID- 26561248
TI - Enteral autonomy, cirrhosis, and long term transplant-free survival in pediatric
intestinal failure patients.
AB - PURPOSE: Patient selection for transplant evaluation in pediatric intestinal
failure is predicated on the ability to assess long-term transplant-free
survival. In light of trends toward improved survival of intestinal failure
patients in recent decades, we sought to determine if the presence of biopsy
proven hepatic cirrhosis or the eventual achievement of enteral autonomy were
associated with transplant-free survival. METHODS: After IRB approval, records of
all pediatric intestinal failure patients (parenteral nutrition (PN) >90 days)
treated at a single intestinal failure center from February 2002 to September
2014 were reviewed. Chi-squared, Mann-Whitney, and log-rank testing were
performed as appropriate. RESULTS: Of 313 patients, 174 eventually weaned off PN.
Liver biopsies were available in 126 patients (most common indication was
intestinal failure associated liver disease, IFALD), and 23 met histologic
criteria for cirrhosis. Transplant-free survival for the whole cohort of 313
patients was 94.7% at 1 year and 89.2% at 5 years. Among patients with liver
biopsies, transplant-free survival in cirrhotics vs. noncirrhotics was 95.5% vs.
94.1% at one year and 95.5% vs. 86.7% at 5 years (P=0.29). Transplant-free
survival in patients who achieved enteral autonomy compared with patients who
remained PN dependent was 98.2% vs. 90.3% at one year and 98.2% vs. 76.9% at 5
years (P<0.001). There was no association between cirrhosis and eventual enteral
autonomy (P=0.88). CONCLUSIONS: Achieving enteral autonomy was associated with
improved transplant-free survival in pediatric intestinal failure patients. There
was no association between histopathological diagnosis of cirrhosis and
transplant-free survival in the cohort. These data suggest that automatic
transplant referral may not be required for histopathological diagnosis of
cirrhosis alone, and that ongoing efforts aimed at achievement of enteral
autonomy remain paramount in pediatric intestinal failure.
PMID- 26561250
TI - Molecular and epigenetic basis of macrophage polarized activation.
AB - Macrophages are unique cells for origin, heterogeneity and plasticity. At steady
state most of macrophages are derived from fetal sources and maintained in
adulthood through self-renewing. Despite sharing common progenitors, a remarkable
heterogeneity characterized tissue-resident macrophages indicating that local
signals educate them to express organ-specific functions. Macrophages are
extremely plastic: chromatin landscape and transcriptional programs can be
dynamically re-shaped in response to microenvironmental changes. Owing to their
ductility, macrophages are crucial orchestrators of both initiation and
resolution of immune responses and key supporters of tissue development and
functions in homeostatic and pathological conditions. Herein, we describe current
understanding of heterogeneity and plasticity of macrophages using the M1-M2
dichotomy as operationally useful simplification of polarized activation. We
focused on the complex network of signaling cascades, metabolic pathways,
transcription factors, and epigenetic changes that control macrophage activation.
In particular, this network was addressed in sepsis, as a paradigm of a
pathological condition determining dynamic macrophage reprogramming.
PMID- 26561249
TI - Can congenital pulmonary airway malformation be distinguished from Type I
pleuropulmonary blastoma based on clinical and radiological features?
AB - BACKGROUND: The management of congenital cystic lung lesions is controversial.
Arguments for routine resection during infancy include the possibility of the
lesion being Type I pleuropulmonary blastoma (PPB) rather than a cystic
congenital pulmonary airway malformation (CPAM). We aimed to identify clinical
and radiological features that might distinguish between CPAM and PPB and to
develop a diagnostic algorithm based on these features. METHODS: All recorded
cases of Type I PPB were retrieved from the International PPB Registry and
compared with an institutional cohort of children undergoing resection of CPAM
(2002-2013) that was noted at some stage to be at least partially cystic.
Regression models were created to identify variables that might differentiate
CPAM from PPB. Odds ratio (OR) and positive predictive value (PPV) were
calculated for each variable and a decision algorithm developed. RESULTS: In 112
cases of Type I PPB and 103 of CPAM, factors favoring a diagnosis of CPAM
included prenatal detection (OR 89.4), systemic feeding vessel (OR 61.7),
asymptomatic (OR 8.0), and hyperinflated lung (OR 6.6). Factors favoring a
diagnosis of PPB included bilateral or multisegment involvement (OR 2.4). A
decision algorithm that helps to identify lesions requiring resection and those
which can be safely observed is presented. CONCLUSION: Clinical and radiological
features can help to differentiate between CPAM and PPB. Our algorithm allows
identification of children at higher risk of PPB in whom we would recommend
resection and those at low risk in whom continued close observation is safe.
PMID- 26561252
TI - Fabrication of Amperometric Glucose Sensor Using Glucose Oxidase-Cellulose
Nanofiber Aqueous Solution.
AB - Cellulose nanofiber aqueous solution, which remained virtually transparent for
more than one week, was prepared by using the clear upper layer of diluted
cellulose nanofiber solution produced by wet jet milling. Glucose oxidase (GOx)
was easily dissolved in this solution and GOx-immobilized electrode was easily
fabricated by simple repetitious drops of GOx-cellulose solution on the surface
of a platinum-iridium electrode. Glucose sensor properties of the obtained
electrodes were examined in phosphate buffer solution of pH 7.4 at 40 degrees C.
The obtained electrode provided a glucose sensor response with significantly high
response speed and good linear relationship between glucose concentration and
response current. After an initial decrease of response sensitivity for a few
days, relatively constant sensitivity was obtained for about 20 days.
Nevertheless, the influence of electroactive compounds such as ascorbic acid,
uric acid and acetoaminophen were not negletable.
PMID- 26561251
TI - Aryl hydrocarbon receptor: Linking environment to immunity.
AB - Mucosal and barrier tissues are unique in that they mediate crosstalk between the
host and the surrounding environment, which contains many potentially harmful
factors. Therefore, it is critical that cell types present at barrier and mucosal
surfaces are equipped with mechanisms to sense changes in the environment and to
calibrate their responses accordingly. Aryl Hydrocarbon Receptor (AHR) is a
ligand dependent transcription factor well known to generate biological responses
to environmental pollutants, such as benzo{a}pyrene and halogenated dioxins.
Surprisingly, in the last few years a large body of evidence has shown that AHR
is also involved in maintaining homeostasis or in triggering pathology by
modulating the biological responses of critical cell types at the barrier and
mucosal interfaces. Here, we will review progresses in this field and discuss how
targeting AHR activation may impact disease.
PMID- 26561254
TI - "Recent Progress in High Performance Separation".
PMID- 26561253
TI - Pyridinium-based Task-specific Ionic Liquid with a Monothioether Group for
Selective Extraction of Class b Metal Ions.
AB - A pyridinium-based task-specific ionic liquid (TSIL) with a monothioether group,
[3-TPPy][NTf2], extracted typical class b metal ions, such as Ag(I), Cu(I),
Pd(II), and Pt(II), in high selectivity. It was found that the composition ratio
of the extracted Ag(I) and Cu(I) species depended on the TSIL concentration, and
that TSIL extracted these metal ions through mono-S-coordinated complex formation
at low TSIL concentrations. [3-TPPy][NTf2] can be recycled in the extraction
recovery process, which is of a great advantage for practical use in
environmentally benign separation methods.
PMID- 26561255
TI - Capillary Electrophoresis with Laser-induced Fluorescence Detection for
Application in Intracellular Investigation of Anthracyclines and Multidrug
Resistance Proteins.
AB - Capillary electrophoresis (CE) coupled with laser-induced fluorescence (LIF) is a
powerful method for the trace analysis of cellular components. This review
presents a summary of topics for the direct analysis of anthracyclines and
multidrug resistance proteins in cancerous cells. A micellar electrokinetic
chromatography (MEKC) method that does not use organic solvents, and hence
prevents the precipitation of proteins in cellular samples, was shown to be a
reliable method for the determination of several anthracyclines including the
epimeric doxorubicin and epirubicin. A fast CE-based immunoassay for
investigating transporter multidrug resistance associated protein (MRP1) was also
developed for routine or explorative analysis of the levels of transporter
proteins in cancerous cells. A combination of the developed MEKC-LIF method and
the CE immunoassay (CEIA) method has permitted the analysis of anthracyclines and
MRP1 in a cell line to show the relationship between the levels of MRP1 and
amount of anthracyclines in cancerous cells.
PMID- 26561256
TI - Development of a Fully-automated On-line Oxidation Column-switching HPLC System
for the Determination of Endogenous Melatonin in Human Clinical Samples.
AB - A fully-automated on-line oxidation column-switching HPLC system has been
developed for the determination of endogenous melatonin in human plasma and
saliva. This HPLC system consists of four processes. In the first step, melatonin
is fractionated using a reversed-phase C4 column (Proteonavi, 75 mm * 1.0 mm
i.d.). In the second step, the obtained melatonin fraction is on-line collected,
and oxidized to a highly-fluorescent compound, N-[(6-methoxy-4-oxo-1,4
dihydroquinolin-3-yl)methyl]acetamide (6-MOQMA), by mixing with hydrogen peroxide
under alkaline conditions. In the third step, the produced 6-MOQMA is
concentrated, and the oxidation reagents are removed using an alkaline resistive
reversed-phase column, Asahipak ODP (35 mm * 1.0 mm i.d.). In the final fourth
step, the 6-MOQMA is determined by a microbore-ODS column packed with ultrafine
particles (CAPCELL PAK C18 IF, 250 mm * 1.0 mm i.d.). The limit of detection of
melatonin using this system is about 200 amol/injection, and the determination of
endogenous melatonin in a small volume of human physiological fluids, such as 100
MUL of plasma and 300 MUL of saliva, was successfully accomplished.
PMID- 26561257
TI - Preconcentration of Aromatic Compounds in Aqueous Samples with a Polymer-coated
Fiber-packed Capillary and Subsequent Temperature-programmed Elution with Water
for Pseudo-2D LC Separations.
AB - A bundle of polymer-coated filaments was successfully introduced as an extraction
medium for the preconcentration of an aqueous solution of aromatic compounds. The
extraction was simply carried out with pumping the aqueous sample solution to the
extraction capillary at ambient temperature. The extracted analytes were
sequentially eluted with a flow of pure water using temperature-programmed
heating of the extraction capillary in an oven. The results clearly suggest that
the polymer-coated fiber-packed capillary could be employed in the sample
preparation process for the analysis of various aqueous samples. Introducing the
fractions eluted from the fiber-packed capillary to a conventional microcolumn
liquid chromatography (micro-LC) system via a home-made valve-based modulator, an
on-line coupled extraction/separation system was developed and a possibility to a
pseudo-two-dimensional (pseudo-2D) LC separation of aromatic compounds in aqueous
matrices has also been demonstrated.
PMID- 26561258
TI - Affinity Capillary Electrophoresis for Selective Control of Electrophoretic
Mobility of Sialic Acid Using Lanthanide-Hexadentate Macrocyclic
Polyazacarboxylate Complexes.
AB - It is difficult to control the electrophoretic mobility in order to obtain high
resolution among saccharides in complex samples. We report herein on a new
affinity capillary electrophoresis (ACE) method for an anionic monosaccharide, N
acetylneuraminic acid (Neu5Ac), which is important in terms of pathological
diagnosis, using lanthanide-hexadentate macrocyclic polyazacarboxylate complexes
(Ln-NOTA) as affinity reagents. It was shown that Ln-NOTA complexes increased the
anionic mobility of Neu5Ac by approximately 40% through selective complexation
with Neu5Ac. The extent of change in the mobility strongly depended on the type
of central metal ion of Ln-NOTA. The stability constant (K) of Lu-NOTA with
Neu5Ac was determined by ACE to be log Kb = 3.62 +/- 0.04, which is the highest
value among artificial receptors for Neu5Ac reported so far. Using this ACE, the
Neu5Ac content in a glycoprotein sample, alpha1-acid glycoprotein (AGP), was
determined after acid hydrolysis. Complete separation between Neu5Ac and
hydrolysis products was successful by controlling the mobility to determine the
concentration of Neu5Ac.
PMID- 26561259
TI - Zone Sharpening of Peptides in Pressurized Capillary Electrochromatography Using
Dynamic pH Junction.
AB - In HPLC, analytes injected into a separation column broaden naturally during the
separation procedure. In this paper, analyte zone sharpening of peptides was
achieved in pressurized capillary electrochromatography, which is a separation
method that combines capillary HPLC and capillary electrophoresis (CE), by
employing dynamic pH junction for CE. When the pH of the mobile phase was altered
from basic to acidic in a step gradient, the analyte peptides were focused at the
basic/acidic interface with the application of voltage. The effect of both pH and
pressurized flow velocity on the zone sharpening was investigated. With the
proposed method, the peak height of angiotensin II, [Asn(1), Val(5)]-angiotensin
II, and angiotensin III were enhanced 12, 10, and 12 times, respectively.
Selective peak zone sharpening for angiotensin II was also demonstrated.
PMID- 26561260
TI - Highly Sensitive and Multiple Enzyme Activity Assay Using Reagent-release
Capillary-Isoelectric Focusing with Rhodamine 110-based Substrates.
AB - In this study, a simple and highly sensitive enzyme activity assay based on
reagent-release capillary-isoelectric focusing is described. Reagent-release
capillaries containing a fluorescent substrate, which produces fluorescent
products possessing an isoelectric point after reaction with enzymes, provides a
simple procedure. This is because it allows to spontaneously inject a sample
solution into the capillary by capillary action, mixing reagents, and
subsequently concentrating the fluorescent products based on isoelectric
focusing. Fluorescent rhodamine 110 and its monoamide derivative, which were
generated as a final product and an intermediate, respectively, were then focused
and separated by reagent-release capillary-isoelectric focusing. After 30 min of
enzyme reactions, two focused fluorescent bands were clearly isolated along the
prepared capillaries. Employing the focused band of rhodamine 110 monoamide
allowed for highly sensitive detection of enzyme activity in the 10 pg mL(-1)
order, while that of the conventional assay using a microplate was in the ng mL(
1) order. Furthermore, arraying reagent-release capillaries of different
substrates on a chip allowed for simultaneous multi-assay of enzyme activity with
good sensitivity in the pg mL(-1) order for each protein.
PMID- 26561261
TI - A Portable Liquid Chromatograph with a Battery-operated Compact Electroosmotic
Pump and a Microfluidic Chip Device with a Reversed Phase Packed Column.
AB - A compact and lightweight liquid chromatography system is presented with overall
dimensions of 26 cm width * 18 cm length * 21 cm height and weight of 2 kg. This
system comprises a battery-operated compact electroosmotic pump, a manual
injector, a microfluidic chip device containing a packed column and an
electrochemical detector, and a USB bus-powered potentiostat. The pumping system
was designed for microfluidic-based reversed-phase liquid chromatography in which
an electroosmotically generated water stream pushes the mobile phase via a
diaphragm for the output. The flow rate ranged from 0 to 10 MUL/min and had a
high degree of precision. The pumping system operated continuously for over 24 h
with dry batteries. The column formed in the microfluidic device was packed with
3-MUm ODS particles with a length of 30 mm and a diameter of 0.8 mm. The results
presented herein demonstrate the performance of the pumping system and the column
using alkylphenols, catecholamine, catechin, and amino acids.
PMID- 26561262
TI - Simple and Rapid Immobilization of Coating Polymers on Poly(dimethyl siloxane)
glass Hybrid Microchips by a Vacuum-drying Method.
AB - A simple and rapid vacuum-drying modification method was applied to several
neutral and charged polymers to obtain coating layers for controlling
electroosmotic flow (EOF) and suppressing sample adsorption on poly(dimethyl
siloxane) (PDMS)-glass hybrid microchips. In the vacuum-dried
poly(vinylpyrrolidone) coating, the electroosmotic mobility (MUeo) was suppressed
from +2.1 to +0.88 * 10(-4) cm(2)/V.s, and the relative standard deviation (RSD)
of MUeo was improved from 10.2 to 2.5% relative to the bare microchannel. Among
several neutral polymers, poly(vinylalcohol) (PVA) and poly(dimethylacrylamide)
coatings gave more suppressed and repeatable EOF with RSDs of less than 2.3%. The
vacuum-drying method was also applicable to polyanions and polycations to provide
accelerated and inversed EOF, respectively, with acceptable RSDs of less than
4.9%. In the microchip electrophoresis (MCE) analysis of bovine serum albumin
(BSA) in the vacuum-dried and thermally-treated PVA coating channel, an almost
symmetric peak of BSA was obtained, while in the native microchannel a
significantly skewed peak was observed. The results demonstrated that the vacuum
dried polymer coatings were effective to control the EOF, and reduced the surface
adsorption of proteins in MCE.
PMID- 26561263
TI - Separation of Metal Complexes with Counter Ions by Tube Radial Distribution
Chromatography Using a Ternary Solvent Containing 8-quinolinol.
AB - An open-tubular capillary chromatography system (tube radial distribution
chromatography, TRDC) was developed using a ternary solvent (water-acetonitrile
ethyl acetate; volume ratio, 3:8:4) containing 10 mmol L(-1) 8-quinolinol for the
separation of nitrate, chloride, and sulfate compounds of Ni(II), Al(III), and
Fe(III). When a mixed solution of the Ni(II) compounds was injected into an
untreated fused-silica capillary tube (90 cm * 75 MUm i.d.) with a ternary
solvent flow rate of 0.8 MUL min(-1), the compounds were eluted in the following
order: [Ni(II)-(8-quinolinol)3] complex, [Ni(II)-(8-quinolinol)]-nitrate ion
interaction complex, [Ni(II)-(8-quinolinol)]-chloride ion interaction complex,
and [Ni(II)-(8-quinolinol)]-sulfate ion interaction complex. The elution of
mixtures of the Al(III) and Fe(III) compounds showed similar trends.
PMID- 26561264
TI - Development of a Mesoscale Pulsed Discharge Helium Ionization Detector for
Portable Gas Chromatography.
AB - Miniaturization of gas chromatography (GC) instrumentation enables field
detection of volatile organic compounds (VOCs) for chembio-applications such as
clandestine human transport and disease diagnostics. We fabricated a mesoscale
pulsed discharge helium ionization detector (micro-PDHID) for integrating with
our previously described mini-GC hardware. Stainless steel electrodes fabricated
by photochemical etching and electroforming facilitated rapid prototyping and
enabled nesting of inter-electrode insulators for self-alignment of the detector
core during assembly. The prototype was ~10 cm(3) relative to >400 cm(3) of a
commercial PDHID, but with a comparable time to sweep a VOC peak from the
detector cell (170 ms and 127 ms, respectively). Electron trajectory modeling,
gas flow rate, voltage bias, and GC outlet location were optimized for improving
sensitivity. Despite 40-fold miniaturization, the micro-PDHID detected 18 ng of
the human emanation, 3-methyl-2-hexenoic acid with <3-fold decrease in
sensitivity relative to the commercial detector. The micro-PDHID was rugged and
operated for 9 months without failure.
PMID- 26561265
TI - Simple Pretreatment and HILIC Separation for LC-ESI-MS/MS Determination of
Adenosine in Human Plasma.
AB - A simple pretreatment method and separation mode for the LC-ESI-MS/MS
determination of adenosine in human plasma have been developed. Deproteinization
by acetonitrile and ultrafiltration followed by chromatographic separation with a
hydrophilic interaction chromatographic (HILIC) column give a highly sensitive
MS/MS response without ionic suppression caused by the matrix compounds in human
plasma. In addition, the presence of ammonium acetate in the mobile phase
contributes to high sensitivity in MS/MS detection, facilitating the ionization
of adenosine. This method seems to be amenable to the treatment of many samples
in clinical practice.
PMID- 26561266
TI - Determination of Acid Dissociation Constant of Pravastatin under Degraded
Conditions by Capillary Zone Electrophoresis.
AB - The acid dissociation constant of pravastatin was determined under degraded
conditions. Pravastatin was degraded in an acidic solution (pH = 2.0) for 5 h,
and the degradation solution was subjected to the measurement of the effective
electrophoretic mobility by capillary zone electrophoresis. Although the amount
of pravastatin decreased by the acid degradation, its acid dissociation constant
was successfully determined with the residual pravastatin through its effective
electrophoretic mobility. The determined acid dissociation constant value agreed
well with the one obtained with freshly prepared solution and with some reported
values.
PMID- 26561267
TI - Micropillars Fabricated on Poly(methyl methacrylate) Substrates for Separation of
Microscale Objects.
AB - Development of polymeric microfluidic devices has played an important role in the
recent, rapid progress of biomedical research. Here we report a fabrication
method for micropillars on poly(methyl methacrylate) (PMMA) substrates for
separation of microscale objects. The fabricated micropillars enable continuous
separation of microparticles only by introducing fluids. The present method
offers a new strategy to fabricate polymeric prototype devices for R&D work.
PMID- 26561268
TI - Reversed-phase Chromatography in an Extended Nanospace: Separating Amino Acids in
Short and Long Nanochannels.
AB - Micro- and nanofluidics has attracted much attention, particularly concerning
single-cell analysis when small amounts of liquids are examined. In present work
we successfully fabricated extended-nano channels that were more narrow and
shorter (2 mm) as well as wider and longer (10 mm), and accomplished a reversed
phase HPLC separation of labeled amino acids on these channels after
octadecylsilylation (ODS). The separation performance characteristics were
compared for both types of nano spaces. At an equal amount of pressure, the
longer extended-nano channels showed permeability that was one-order higher (K =
47 * 10(-14) m(2)) and separation impedance (E = 13) that was one-order lower
than that of the shorter version. Also, the separation plate number for the
longer channel was 4000 with a plate height of 2.5 MUm. Both channels have
advantages for use in single-cell analysis. The longer channel can be applied for
the separation of macromolecules (proteomics), while the short version is more
applicable to small molecules (amino acids).
PMID- 26561270
TI - Identification of the silkworm quail gene reveals a crucial role of a receptor
guanylyl cyclase in larval pigmentation.
AB - Diverse color patterns on the integument of lepidopteran larvae play important
roles in their survival through camouflage, mimicry, sexual signaling, and
aposematism. In the silkworm Bombyx mori, many color pattern variations have been
preserved in inbred strains making them a good model for elucidating the
molecular mechanisms that underlie color pattern formation. In this study, we
focused on the silkworm quail (q) mutant, which exhibits abnormalities in
multiple pigment biosynthesis pathways. Positional cloning of the q gene revealed
that disruption of a guanylyl cyclase gene, BmGC-I, is responsible for its
abnormal pigmentation. In q mutants, we identified a 16-bp deletion in the BmGC-I
transcript, resulting in the production of a premature stop codon. Knockout of
the BmGC-I gene resulted in the q-like abnormal pigmentation, thereby
demonstrating that the BmGC-I gene is involved in the pigment biosynthesis
pathway in the integument. Moreover, quantitative reverse transcription
polymerase chain reaction showed that BmGC-I was strongly expressed in the fourth
instar on day 2. Our results suggest that BmGC-I deficiency affects the pigment
biosynthesis pathway, which supports the involvement of guanylyl cyclase in
larval coloration.
PMID- 26561271
TI - Economic analysis of uricase production under uncertainty: Contrast of
chromatographic purification and aqueous two-phase extraction (with and without
PEG recycle).
AB - Uricase is the enzyme responsible for the breakdown of uric acid, the key
molecule leading to gout in humans, into allantoin, but it is absent in humans.
It has been produced as a PEGylated pharmaceutical where the purification is
performed through three sequential chromatographic columns. More recently an
aqueous two-phase system (ATPS) was reported that could recover Uricase with high
yield and purity. Although the use of ATPS can decrease cost and time, it also
generates a large amount of waste. The ability, therefore, to recycle key
components of ATPS is of interest. Economic modelling is a powerful tool that
allows the bioprocess engineer to compare possible outcomes and find areas where
further research or optimization might be required without recourse to extensive
experiments and time. This research provides an economic analysis using the
commercial software BioSolve of the strategies for Uricase production:
chromatographic and ATPS, and includes a third bioprocess that uses material
recycling. The key parameters that affect the process the most were located via a
sensitivity analysis and evaluated with a Monte Carlo analysis. Results show that
ATPS is far less expensive than chromatography, but that there is an area where
the cost of production of both bioprocesses overlap. Furthermore, recycling does
not impact the cost of production. This study serves to provide a framework for
the economic analysis of Uricase production using alternative techniques.
PMID- 26561273
TI - Montreal cognitive assessment and analysis of related factors for cognitive
impairment in patients with chronic cerebral circulation insufficiency.
AB - BACKGROUND: Chronic cerebral circulation insufficiency (CCCI) refers to cerebral
dysfunctions that lead to cerebral vascular pathological changes. Our aim is to
identify factors related to cognitive impairment in CCCI. METHODS: CCCI patients
(n=102) were assessed with the Montreal cognitive assessment (MoCA) to analyze
cognitive impairment. Patients were divided into two groups according to MoCA
scores: (1) cognitive dysfunction and (2) normal cognitive function. We compared
the clinical information with univariate and multivariate logistic regression
analyses and identified major risk factors related to cognitive impairment in
CCCI. RESULTS: Age (p=0.007, OR=3.768, chi2=7.173), leukoaraiosis (p=0.002,
OR=6.231, chi2=9.478), a history of hypertension (p=0.021, OR=3.078, chi2=5.307),
a history of hyperlipidemia (p=0.016, OR=3.429, chi2=5.795), and the number of
vascular risk factors (p=0.019, chi2=9.921) were related to cognitive impairment
by univariate analysis. Age (p=0.070, OR=2.689, 95% CI=0.923+/-7.837) and
leukoaraiosis (p=0.012, OR=4.531, 95% CI=1.401+/-14.667) were significant by
multivariate logistic regression analysis. Age (r=-0.585, p<0.01) had a marked
negative correlation with MoCA scores. There were significant differences in the
MoCA subscale scores, including visuospatial and executive capacity (p<0.01),
attention and calculation (p<0.01), and delayed recall (p<0.01), in patients with
different degrees of leukoaraiosis. Patients with CCCI had a higher incidence of
cognitive impairment (78.4%). CONCLUSIONS: Changes in visuospatial and executive
capacity, delayed recall, and language function represent cognitive
manifestations in CCCI. Age and leukoaraiosis have the strongest effects on
cognitive impairment morbidity and can aggravate cognitive impairment.
PMID- 26561272
TI - Lifespan and Healthspan: Past, Present, and Promise.
AB - The past century was a period of increasing life expectancy throughout the age
range. This resulted in more people living to old age and to spending more years
at the older ages. It is likely that increases in life expectancy at older ages
will continue, but life expectancy at birth is unlikely to reach levels above 95
unless there is a fundamental change in our ability to delay the aging process.
We have yet to experience much compression of morbidity as the age of onset of
most health problems has not increased markedly. In recent decades, there have
been some reductions in the prevalence of physical disability and dementia. At
the same time, the prevalence of disease has increased markedly, in large part
due to treatment which extends life for those with disease. Compressing morbidity
or increasing the relative healthspan will require "delaying aging" or delaying
the physiological change that results in disease and disability. While moving to
life expectancies above age 95 and compressing morbidity substantially may
require significant scientific breakthroughs; significant improvement in health
and increases in life expectancy in the United States could be achieved with
behavioral, life style, and policy changes that reduce socioeconomic disparities
and allow us to reach the levels of health and life expectancy achieved in peer
societies.
PMID- 26561274
TI - Unmet need for treatment of depression among immigrants from the former USSR in
the US: A primary care study.
AB - The stress of immigration can increase risk for major depressive disorder (MDD),
while cultural factors can contribute to difficulty in diagnosis and treatment of
MDD among immigrant populations. Consequently, immigrants are less likely to have
their treatment needs met. Our goal was to assess the unmet need for the
diagnosis and treatment of depression among immigrants from the former USSR-a
large immigrant group in the US-as well as demographic characteristics and
immigration history associated with depression. We conducted a survey in an urban
primary care clinic using measures of MDD symptoms (Patient Health Questionnaire
9), functioning, and treatment history among 102 Russian-speaking immigrants.
Current moderate-to-severe symptoms of MDD were reported by 26.5% of participants
with 33.3% of the symptomatic patients reporting suicidal ideation. Among
participants with probable MDD, 63.0% reported not receiving mental health
treatment and 59.3% never being diagnosed with MDD. The rates of untreated
depression did not vary by gender nor did they diminish with prolonged stay in
the US. Results suggest that undiagnosed and untreated depression is highly
prevalent in this immigrant group.
PMID- 26561275
TI - Traumatic experiences, posttraumatic stress symptoms, depression, and health-risk
behavior in relation to injury among University of Nairobi students in Kenya.
AB - OBJECTIVE: To describe the prevalence and types of injuries in relation to
traumatic experiences, posttraumatic stress symptoms, depression, and health-risk
behaviors among university students in Kenya. METHOD: A cross-sectional study
collected data on a random sample of university students using a questionnaire to
record sociodemographic variables while injuries experiences recorded using the
Centers for Disease control criteria and Breslau's seven-item screener was used
to identify post-traumatic stress disorder (PTSD) symptoms. Depressive symptoms
were measured using Center for Epidemiological Studies Short Depression Scale.
RESULTS: Nine hundred and twenty-three students (525 male and 365 female) were
included in the study, mean age 23 years (SD 4.0). Serious injury in the previous
12 months was reported by 29.00% of the students. PTSD was present in 15.67% (men
15.39% and women 16.1%). Out of the total, 41.33% of the students had depressive
symptoms (35.71% mild-moderate symptoms and 5.62% severe). In the multivariable
logistic regression being poor, binge drinking, tobacco use, ever been diagnosed
with HIV, physically abused as a child, high PTSD score, and depression (adjusted
odds ratio 5.49, 95% confidence interval 4.32-13.21) were significantly (p
value<5%) associated with injury in the last 12 months. CONCLUSION: Unintentional
injuries and PTSD symptoms are common in this student population and are
positively linked to depression and other risky behaviors. Measures aimed at
improving the mental health, such as early identification and treatment of
depression, may be useful in reducing the prevalence of such injuries among the
youth.
PMID- 26561276
TI - Comparison of dose and catheter optimization algorithms in prostate high-dose
rate brachytherapy.
AB - PURPOSE: The purpose of this work was to compare the hybrid inverse treatment
planning optimization (HIPO), inverse dose-volume histogram-based optimization
(DVHO), and fast simulated annealing stochastic algorithm (IPSA). The catheter
optimization algorithm HIPO was also compared with the Centroidal Voronoi
Tessellation (CVT) algorithm. METHODS AND MATERIALS: In this study, eight high
dose-rate prostate cases were randomly selected from an anonymized bank of
patients. Oncentra Prostate v4.1 was used to run DVHO and the HIPO catheter
optimization (HIPO_cat), whereas Oncentra Brachy v4.3 was used for the remaining.
For fixed catheter configurations, DVHO plans were compared with IPSA and HIPO.
For catheter positions optimization, CVT and HIPO_cat algorithms were compared
with standard clinical template plans. CVT catheters were further restrained to
the template grid (CVT_grid) and compared with HIPO_cat. RESULTS: For dose
optimization, IPSA and HIPO were not different from each other. The urethra D10
and the computation time were found significantly better with IPSA and HIPO
compared with DVHO (p < 0.0001). All other dosimetric indices were not
statistically different from each others (p > 0.05). For catheter placement, CVT
plans were better, whereas HIPO_cat plans were significantly worse (p < 0.05)
than standard clinical plans. CVT_grid plans were similar to clinical plans and
fulfilling American Brachytherapy Society guidelines down to 12 catheters,
whereas HIPO_cat plans do not for all catheter numbers. The CVT algorithm run
time was significantly faster than HIPO_cat (p < 0.0001). CONCLUSIONS: Dose
optimization engines IPSA, DVHO, and HIPO give similar dosimetric results. The
CVT approach was found to be better than HIPO_cat and was able to reduce the
number of catheters significantly.
PMID- 26561278
TI - Retraction of "Fabrication of Tantalum and Nitrogen Codoped ZnO (Ta, N-ZnO) Thin
Films Using the Electrospay: Twin Applications as an Excellent Transparent
Electrode and a Field Emitter".
PMID- 26561277
TI - American Brachytherapy Society consensus guidelines for thoracic brachytherapy
for lung cancer.
AB - PURPOSE: To update brachytherapy recommendations for pretreatment evaluation,
treatment, and dosimetric issues for thoracic brachytherapy for lung cancer.
METHODS AND MATERIALS: Members of the American Brachytherapy Society with
expertise in thoracic brachytherapy updated recommendations for thoracic
brachytherapy based on literature review and clinical experience. RESULTS: The
American Brachytherapy Society consensus guidelines recommend the use of
endobronchial brachytherapy for disease palliation in patients with central
obstructing lesions, particularly in patients who have previously received
external beam radiotherapy. The use of interstitial implants after incomplete
resection may improve outcomes and provide enhanced palliation. Early reports
support the use of CT-guided intratumoral volume implants within clinical
studies. The use of brachytherapy routinely after sublobar resection is not
generally recommended, unless within the confines of a clinical trial or a
registry. CONCLUSIONS: American Brachytherapy Society recommendations for
thoracic brachytherapy are provided. Practitioners are encouraged to follow these
guidelines and to develop further clinical trials to examine this treatment
modality to increase the evidence base for its use.
PMID- 26561279
TI - Ambient Mass Spectrometry Imaging with Picosecond Infrared Laser Ablation
Electrospray Ionization (PIR-LAESI).
AB - A picosecond infrared laser (PIRL) is capable of cutting through biological
tissues in the absence of significant thermal damage. As such, PIRL is a
standalone surgical scalpel with the added bonus of minimal postoperative scar
tissue formation. In this work, a tandem of PIRL ablation with electrospray
ionization (PIR-LAESI) mass spectrometry is demonstrated and characterized for
tissue molecular imaging, with a limit of detection in the range of 100 nM for
reserpine or better than 5 nM for verapamil in aqueous solution. We characterized
PIRL crater size using agar films containing Rhodamine. PIR-LAESI offers a 20-30
MUm vertical resolution (~3 MUm removal per pulse) and a lateral resolution of
~100 MUm. We were able to detect 25 fmol of Rhodamine in agar ablation
experiments. PIR-LAESI was used to map the distribution of endogenous
methoxykaempferol glucoronide in zebra plant (Aphelandra squarrosa) leaves
producing a localization map that is corroborated by the literature. PIR-LAESI
was further used to image the distribution inside mouse kidneys of gadoteridol,
an exogenous magnetic resonance contrast agent intravenously injected. Parallel
mass spectrometry imaging (MSI) using desorption electrospray ionization (DESI)
and matrix assisted laser desorption ionization (MALDI) were performed to
corroborate PIR-LAESI images of the exogenous agent. We further show that PIR
LAESI is capable of desorption ionization of proteins as well as phospholipids.
This comparative study illustrates that PIR-LAESI is an ion source for ambient
mass spectrometry applications. As such, a future PIRL scalpel combined with
secondary ionization such as ESI and mass spectrometry has the potential to
provide molecular feedback to guide PIRL surgery.
PMID- 26561280
TI - Factors Associated with Hepatitis C Knowledge Before and After an Educational
Intervention among Vietnamese Americans.
AB - BACKGROUND: Hepatitis C virus (HCV) is a major cause of chronic liver disease and
cancer. Vietnamese Americans are at high risk of HCV infection, with men having
the highest US incidence of liver cancer. This study examines an intervention to
improve HCV knowledge among Vietnamese Americans. STUDY: Seven Vietnamese
community-based organizations in Pennsylvania and New Jersey recruited a total of
306 Vietnamese participants from 2010 to 2011. RESULTS: Average knowledge scores
for pretest and posttest were 3.32 and 5.88, respectively (maximum 10). After
adjusting for confounding variables, age and higher education were positively
associated with higher pretest scores and having a physician who spoke English or
Vietnamese was negatively associated with higher pretest scores. Additionally,
after adjusting for confounding variables, household income, education, and
having an HCV-infected family member significantly increased knowledge scores.
CONCLUSIONS: Promotion and development of HCV educational programs can increase
HCV knowledge among race and ethnic groups, such as Vietnamese Americans. Giving
timely information to at-risk groups provides the opportunity to correct
misconceptions, decrease HCV risk behaviors, and encourage testing that might
improve timely HCV diagnosis and treatment.
PMID- 26561281
TI - A wearable wound moisture sensor as an indicator for wound dressing change: an
observational study of wound moisture and status.
AB - Wound moisture is known to be a key parameter to ensure optimum healing
conditions in wound care. This study tests the moisture content of wounds in
normal practice in order to observe the moisture condition of the wound at the
point of dressing change. This study is also the first large-scale observational
study that investigates wound moisture status at dressing change. The WoundSense
sensor is a commercially available moisture sensor which sits directly on the
wound in order to find the moisture status of the wound without disturbing or
removing the dressing. The results show that of the 588 dressing changes
recorded, 44.9% were made when the moisture reading was in the optimum moisture
zone. Of the 30 patients recruited for this study, 11 patients had an optimum
moisture reading for at least 50% of the measurements before dressing change.
These results suggest that a large number of unnecessary dressing changes are
being made. This is a significant finding of the study as it suggests that the
protocols currently followed can be modified to allow fewer dressing changes and
less disturbance of the healing wound bed.
PMID- 26561282
TI - Platelet-rich plasma for bone healing and regeneration.
AB - INTRODUCTION: Successful healing of large bone defects (LBDs) is a complicated
phenomenon because the body's natural ability often fails to effectively repair
the LBDs. New modalities should be utilized to increase the quality and
accelerate bone healing. Platelet concentrates in different forms can be
considered an attractive option for such purpose. AREAS COVERED: Platelets as a
natural source of growth factors, cytokines, and other micro and macromolecules
are hypothesized to improve bone healing. This review has covered important
concepts regarding platelet-rich plasma (PRP) including mechanisms of action,
preparation protocols and their differences, and factors affecting the PRP
efficacy during bone healing. In addition, the most recent studies in different
levels which evaluated the role of PRP on bone repair has been reviewed and
discussed to clarify the controversies and conflicts, and to illustrate a future
prospective and directions for orthopedic surgeons to overcome current
limitations and difficulties. EXPERT OPINION: As the efficacy of PRP is dependent
on various factors, the outcome of PRP therapy is variable and unpredictable in
orthopedic patients. Therefore, it is still too soon to suggest PRP as the first
line treatment option in complicated bone injuries such as LBDs and nonunions.
However, combination of PRP with natural and synthetic biomaterials can enhance
the effectiveness of PRP.
PMID- 26561283
TI - First Robotic SPECT for Minimally Invasive Sentinel Lymph Node Mapping.
AB - In this paper we present the usage of a drop-in gamma probe for intra-operative
Single-Photon Emission Computed Tomography (SPECT) imaging in the scope of
minimally invasive robot-assisted interventions. The probe is designed to be
inserted and reside inside the abdominal cavity during the intervention. It is
grasped during the procedure using a robotic laparoscopic gripper enabling full
six degrees of freedom handling by the surgeon. We demonstrate the first
deployment of the tracked probe for intra-operative in-patient robotic SPECT
enabling augmented-reality image guidance. The hybrid mechanical- and image-based
in-patient probe tracking is shown to have an accuracy of 0.2 mm. The overall
system performance is evaluated and tested with a phantom for gynecological
sentinel lymph node interventions and compared to ground-truth data yielding a
mean reconstruction accuracy of 0.67 mm.
PMID- 26561284
TI - Extracting Information From Previous Full-Dose CT Scan for Knowledge-Based
Bayesian Reconstruction of Current Low-Dose CT Images.
AB - Markov random field (MRF) model has been widely employed in edge-preserving
regional noise smoothing penalty to reconstruct piece-wise smooth images in the
presence of noise, such as in low-dose computed tomography (LdCT). While it
preserves edge sharpness, its regional smoothing may sacrifice tissue image
textures, which have been recognized as useful imaging biomarkers, and thus it
may compromise clinical tasks such as differentiating malignant vs. benign
lesions, e.g., lung nodules or colon polyps. This study aims to shift the edge
preserving regional noise smoothing paradigm to texture-preserving framework for
LdCT image reconstruction while retaining the advantage of MRF's neighborhood
system on edge preservation. Specifically, we adapted the MRF model to
incorporate the image textures of muscle, fat, bone, lung, etc. from previous
full-dose CT (FdCT) scan as a priori knowledge for texture-preserving Bayesian
reconstruction of current LdCT images. To show the feasibility of the proposed
reconstruction framework, experiments using clinical patient scans were
conducted. The experimental outcomes showed a dramatic gain by the a priori
knowledge for LdCT image reconstruction using the commonly-used Haralick texture
measures. Thus, it is conjectured that the texture-preserving LdCT reconstruction
has advantages over the edge-preserving regional smoothing paradigm for texture
specific clinical applications.
PMID- 26561285
TI - Furospinosulin-1, Marine Spongean Furanosesterterpene, Suppresses the Growth of
Hypoxia-Adapted Cancer Cells by Binding to Transcriptional Regulators p54(nrb)
and LEDGF/p75.
AB - Hypoxia-adapted cancer cells in tumors contribute to the pathological progression
of cancer. Cancer research has therefore focused on the identification of
molecules responsible for hypoxia adaptation in cancer cells, as well as the
development of new compounds with action against hypoxia-adapted cancer cells.
The marine natural product furospinosulin-1 (1) has displayed hypoxia-selective
growth inhibition against cultured cancer cells, and has shown in vivo anti-tumor
activity, although its precise mode of action and molecular targets remain
unclear. In this study, we found that 1 is selectively effective against hypoxic
regions of tumors, and that it directly binds to the transcriptional regulators
p54(nrb) and LEDGF/p75, which have not been previously identified as mediators of
hypoxia adaptation in cancer cells.
PMID- 26561286
TI - Early radiosurgery provides superior pain relief for trigeminal neuralgia
patients.
AB - OBJECTIVE: We evaluated factors associated with better outcomes after
stereotactic radiosurgery (SRS) when it was performed as the first surgical
procedure for medically refractory trigeminal neuralgia. METHODS: A total of 121
patients (median age 72 years) with medically refractory pain and no prior
surgery underwent Gamma Knife SRS as their initial surgical procedure for
trigeminal neuralgia. Using a single 4-mm isocenter, patients received an average
maximum dose of 80 Gy, delivered to the trigeminal nerve target defined by
intraoperative MRI. The median follow-up was 36 months. RESULTS: Pain relief
(Barrow Neurological Institute [BNI] score I-IIIa) was achieved in 107 (88%)
patients at a median time of 1 month. Patients who underwent earlier SRS (within
3 years of pain onset) had a shorter interval until pain relief (1 week, p <
0.001), had a longer interval of pain relief off medication (BNI-I, p < 0.001),
and had a longer duration of adequate pain control (BNI-I-IIIa, p < 0.001).
Median pain-free intervals for patients who underwent SRS at 1, 2, 3, and more
than 3 years after trigeminal neuralgia diagnosis were 68, 37, 36, and 10 months,
respectively. Patients who responded to SRS within the first 3 weeks after SRS
had a longer duration of complete pain relief compared to those with longer
response times (p = 0.001). Fifteen patients (12%) reported new sensory
dysfunction after SRS. CONCLUSION: Early SRS as the initial surgical procedure
for management of refractory trigeminal neuralgia was associated with faster,
better, and longer pain relief when compared to late SRS. CLASSIFICATION OF
EVIDENCE: This study provides Class IV evidence that in patients with medically
refractory trigeminal neuralgia, early stereotactic radiosurgery as the initial
procedure provides faster, better, and longer pain relief.
PMID- 26561287
TI - Cerebral injury in perinatally HIV-infected children compared to matched healthy
controls.
AB - OBJECTIVE: The current study aims to evaluate the neurologic state of perinatally
HIV-infected children on combination antiretroviral therapy and to attain a
better insight into the pathogenesis of their persistent neurologic and cognitive
deficits. METHODS: We included perinatally HIV-infected children between 8 and 18
years and healthy controls matched for age, sex, ethnicity, and socioeconomic
status. All participants underwent a 3.0 T MRI with 3D-T1-weighted, 3D-fluid
attenuated inversion recovery, and diffusion-weighted series for the evaluation
of cerebral volumes, white matter hyperintensities (WMH), and white matter (WM)
diffusion characteristics. Associations with disease-related parameters and
cognitive performance were explored using linear regression models. RESULTS: We
included 35 cases (median age 13.8 years) and 37 controls (median age 12.1
years). A lower gray matter and WM volume, more WMH, and a higher WM diffusivity
were observed in the cases. Within the HIV-infected children, a poorer clinical,
immunologic, and virologic state were negatively associated with volumetric, WMH,
and diffusivity markers. CONCLUSIONS: In children with HIV, even when long-term
clinically and virologically controlled, we found lower brain volumes, a higher
WMH load, and poorer WM integrity compared to matched controls. These differences
occur in the context of a poor cognitive performance in the HIV-infected group,
and larger, longitudinal studies are needed to increase our understanding of the
pathogenesis of cerebral injury in perinatally HIV-infected children.
PMID- 26561288
TI - Tilt-induced vasovagal syncope and psychogenic pseudosyncope: Overlapping
clinical entities.
AB - OBJECTIVE: To describe the combination of tilt-induced vasovagal syncope (VVS)
and psychogenic pseudosyncope (PPS) and aid its clinical recognition. METHODS: We
identified people with tilt-induced VVS/PPS from 2 tertiary syncope referral
centers. For each case, 3 controls with tilt-induced VVS were selected at random
from the same center. Clinical characteristics were compared between both groups
adjusting for multiple comparisons. RESULTS: Of 1,164 tilt-table tests, 23 (2%)
resulted in VVS/PPS; these 23 cases were compared with 69 VVS controls. VVS and
PPS coincided more often than chance would predict: 2% vs 0.6%, p < 0.001.
Typical VVS prodromes and triggers were reported in all people with VVS/PPS and
in controls with VVS. Attack frequency was significantly higher in the VVS/PPS (2
per month, range 0.1-60) than in the VVS group (0.25 per month, range 0.02-4; p <
0.001). Delayed recovery of consciousness was more frequently reported in the
VVS/PPS group (likelihood ratio [+LR] 8.14, 95% confidence interval [CI] 3.94
16.84), as well as episodes without prodromes (+LR 5.57, 95% CI 2.53-12.26),
atypical triggers (+LR 5.00, 95% CI 2.04-12.24), eye closure (+LR 3.75, 95% CI
1.68-8.35), and apparent loss of consciousness >1 minute (+LR 2.86, 95% CI 1.98
4.13). CONCLUSIONS: VVS/PPS presents with a complex phenotype. High attack
frequency, delayed recovery of consciousness, apparent loss of consciousness >1
minute, ictal eye closure, atypical triggers, and the absence of prodromes may
serve as indicators that PPS coincides with VVS.
PMID- 26561289
TI - Milder phenotype in facioscapulohumeral dystrophy with 7-10 residual D4Z4
repeats.
AB - OBJECTIVE: To examine the relationship of clinical and genetic features of
patients with facioscapulohumeral muscular dystrophy (FSHD) with 7-10 residual
D4Z4 repeats in a large genetically defined FSHD1 cohort. METHODS: We performed a
prospective cross-sectional observational study of 74 clinically affected
patients with FSHD1. Measures of clinical severity were compared between patients
with 1-6 D4Z4 repeats and 7-10 repeats, and included D4Z4 CpG methylation, age at
diagnosis, age-adjusted clinical severity score, a muscle pathology grade of
quadriceps biopsies (0 = normal, 12 = severe dystrophic changes), quantitative
myometry of biopsied muscles, global manual muscle testing scores, and frequency
of wheelchair use. RESULTS: Twenty-eight (37.8%) participants had 7-10 D4Z4
repeats, and compared to participants with 1-6 repeats, were diagnosed 6.6 years
older (p = 0.17); had lower CpG methylation than would be predicted by D4Z4
repeat size (p = 0.04); had age-adjusted clinical severity 39.8 points lower (p =
0.004); had muscle pathology grades that were 2.4 points less severe (p <
0.0001); had quantitative myometry 28.3% predicted of normal higher (p = 0.002);
had global manual muscle testing scores 0.6 higher (p = 0.005); and did not
require wheelchairs. CONCLUSION: Patients with FSHD with 7-10 D4Z4 repeats have
milder disease than other genetically defined patients with FSHD1. The lower than
predicted methylation in the 7-10 residual repeat group may suggest that
additional epigenetic factors play a role in the severity of disease expression.
PMID- 26561291
TI - Psychogenic symptoms are not only for the epileptologist: All physicians be
aware!
PMID- 26561292
TI - Perinatal HIV in the brain: Mission incomplete despite combination antiretroviral
therapy.
PMID- 26561290
TI - Mitochondrial targeting sequence variants of the CHCHD2 gene are a risk for Lewy
body disorders.
AB - OBJECTIVE: To assess the role of CHCHD2 variants in patients with Parkinson
disease (PD) and Lewy body disease (LBD) in Caucasian populations. METHODS: All
exons of the CHCHD2 gene were sequenced in a US Caucasian patient-control series
(878 PD, 610 LBD, and 717 controls). Subsequently, exons 1 and 2 were sequenced
in an Irish series (355 PD and 365 controls) and a Polish series (394 PD and 350
controls). Immunohistochemistry and immunofluorescence studies were performed on
pathologic LBD cases with rare CHCHD2 variants. RESULTS: We identified 9 rare
exonic variants of unknown significance. These variants were more frequent in the
combined group of PD and LBD patients compared to controls (0.6% vs 0.1%, p =
0.013). In addition, the presence of any rare variant was more common in patients
with LBD (2.5% vs 1.0%, p = 0.050) compared to controls. Eight of these 9
variants were located within the gene's mitochondrial targeting sequence.
CONCLUSIONS: Although the role of variants of the CHCHD2 gene in PD and LBD
remains to be further elucidated, the rare variants in the mitochondrial
targeting sequence may be a risk factor for Lewy body disorders, which may link
CHCHD2 to other genetic forms of parkinsonism with mitochondrial dysfunction.
PMID- 26561293
TI - Is more really better? The effect of enrollment volume on outcomes for medical
therapies.
PMID- 26561295
TI - Rare genetic variants support mitochondrial dysfunction in Lewy body disorders.
PMID- 26561294
TI - Enrollment volume effect on risk factor control and outcomes in the SAMMPRIS
trial.
AB - OBJECTIVE: The role of physician experience and patient volumes on the outcome of
surgical or endovascular procedures has been well-studied but there are limited
data on how these factors affect the outcome of medical therapy. METHODS: In the
stenting and medical cohorts of the Stenting and Aggressive Medical Management
for the Prevention of Recurrent Ischemic Stroke (SAMMPRIS) trial, we compared
Kaplan-Meier (K-M) curves for the primary endpoint (any stroke or death within 30
days of enrollment or ischemic stroke in the territory beyond 30 days) using the
log-rank test and the percentages of patients achieving target levels for primary
and secondary risk factors during the study using Fisher exact test between
patients at high-enrolling (>=12 patients) vs low-enrolling (<12 patients) sites.
RESULTS: In the stenting group, the K-M curves for the primary endpoint were
similar at high-enrolling sites and low-enrolling sites (p = 0.93) with rates of
13.5% vs 14.7% at 30 days and 19.0% vs 20.6% at 2 years. In the medical group,
the K-M curves differed between high-enrolling sites and low-enrolling sites (p =
0.0005) with rates of 1.8% vs 9.8% at 30 days and 7.3% vs 20.9% at 2 years. The
percentages of patients who achieved targets for low-density lipoprotein
cholesterol and systolic blood pressure at high- vs low-enrolling sites in both
treatment groups combined were 64% vs 49% (p = 0.003) and 70% vs 59% (p = 0.026),
respectively. CONCLUSIONS: High-enrolling sites in SAMMPRIS achieved better
control of primary risk factors and much lower rates of the primary endpoint than
low-enrolling sites in the medical group, suggesting that experience with medical
management is an important determinant of patient outcome.
PMID- 26561296
TI - Disruption of posteromedial large-scale neural communication predicts recovery
from coma.
AB - OBJECTIVE: We hypothesize that the major consciousness deficit observed in coma
is due to the breakdown of long-range neuronal communication supported by
precuneus and posterior cingulate cortex (PCC), and that prognosis depends on a
specific connectivity pattern in these networks. METHODS: We compared 27
prospectively recruited comatose patients who had severe brain injury (Glasgow
Coma Scale score <8; 14 traumatic and 13 anoxic cases) with 14 age-matched
healthy participants. Standardized clinical assessment and fMRI were performed on
average 4 +/- 2 days after withdrawal of sedation. Analysis of resting-state fMRI
connectivity involved a hypothesis-driven, region of interest-based strategy. We
assessed patient outcome after 3 months using the Coma Recovery Scale-Revised
(CRS-R). RESULTS: Patients who were comatose showed a significant disruption of
functional connectivity of brain areas spontaneously synchronized with PCC,
globally notwithstanding etiology. The functional connectivity strength between
PCC and medial prefrontal cortex (mPFC) was significantly different between
comatose patients who went on to recover and those who eventually scored an
unfavorable outcome 3 months after brain injury (Kruskal-Wallis test, p < 0.001;
linear regression between CRS-R and PCC-mPFC activity coupling at rest, Spearman
rho = 0.93, p < 0.003). CONCLUSION: In both etiology groups (traumatic and
anoxic), changes in the connectivity of PCC-centered, spontaneously synchronized,
large-scale networks account for the loss of external and internal self-centered
awareness observed during coma. Sparing of functional connectivity between PCC
and mPFC may predict patient outcome, and further studies are needed to
substantiate this potential prognosis biomarker.
PMID- 26561297
TI - MYC-induced reprogramming of glutamine catabolism supports optimal virus
replication.
AB - Viruses rewire host cell glucose and glutamine metabolism to meet the
bioenergetic and biosynthetic demands of viral propagation. However, the
mechanism by which viruses reprogram glutamine metabolism and the metabolic fate
of glutamine during adenovirus infection have remained elusive. Here, we show MYC
activation is necessary for adenovirus-induced upregulation of host cell
glutamine utilization and increased expression of glutamine transporters and
glutamine catabolism enzymes. Adenovirus-induced MYC activation promotes
increased glutamine uptake, increased use of glutamine in reductive carboxylation
and increased use of glutamine in generating hexosamine pathway intermediates and
specific amino acids. We identify glutaminase (GLS) as a critical enzyme for
optimal adenovirus replication and demonstrate that GLS inhibition decreases
replication of adenovirus, herpes simplex virus 1 and influenza A in cultured
primary cells. Our findings show that adenovirus-induced reprogramming of
glutamine metabolism through MYC activation promotes optimal progeny virion
generation, and suggest that GLS inhibitors may be useful therapeutically to
reduce replication of diverse viruses.
PMID- 26561298
TI - Retinoic acid promotes the endogenous repair of lung stem/progenitor cells in
combined with simvastatin after acute lung injury: a stereological analysis.
AB - BACKGROUND: The treatment of acute respiratory distress syndrome (ARDS), most
commonly seen during the organ dysfunction remains unsatisfied. Presently, the
stem/progenitor cell-based endogenous repair has been aroused attention
enormously. This report investigated the effects of retinoic acid (RA) plus
simvastatin (SS) with respect to dynamics of lung repair cells as well as to
elucidate the underlying mechanism. MATERIALS AND METHODS: The experimental
Sprague-Dawley rats were divided randomly into normal control (control), sham
operated (sham), ARDS, ARDS + vehicle and ARDS + RA + SS groups. ARDS was
reproduced through hemorrhagic shock/resuscitation (shock) and subsequent
intratracheal LPS (4.5 mg/kg, Escherichia coli serotype O55: B5) injection. The
rats were treated by intragastric administration of RA (2 mg/kg/day) and SS (2
mg/kg/day) for 5 days in the ARDS + RA + SS group. Seven days after the first RA
SS injection, a right lower lobe of lung was sampled for histological analysis
concerning systemic uniform random sampling method. Immunohistochemistry of
inflation-fixed lungs for alveolar type 1 (AT1), alveolar type 2 (AT2) and Clara
cells was measured by AQP5, Pro-SPC and CCSP staining respectively. The alveolar
cell proliferation and apoptosis were analyzed with Ki67 staining and terminal
deoxylnucleotidyl transferase mediated-dUTP nick end labeling (TUNEL) method.
Meanwhile, the alveolar cell numerical and surface density (alveolar cells, AT1,
AT2, Clara, proliferating and apoptotic cells) were evaluated by stereology.
RESULTS: RA-SS compound exerted anti-inflammatory and pro-repairing effects on
respiratory tracts in ARDS induced by hemorrhagic-endotoxin shock. The numerical
density and surface density of alveolar cells, AT1 cell fraction, and numerical
density of AT2 and Clara cells were significantly increased after treatment with
RA-SS compound in ARDS. Concurrently, the Ki67+ alveolar cells were obviously
increased while the TUNEL+ alveolar cells were reduced, which was correlated with
the attenuation of inflammatory injury and functional repair in injured lung
tissues. CONCLUSIONS: Our data convincingly indicated that the prophylactic and
therapeutic treatment of RA plus SS had obvious beneficial effect on the
remodeling/regeneration of injured pulmonary tissues, suggesting that the
underlying mechanisms are related to the re-balance between regeneration and
apoptosis in lung stem/progenitor cells.
PMID- 26561299
TI - Quality Control of Biomedicinal Allergen Products - Highly Complex Isoallergen
Composition Challenges Standard MS Database Search and Requires Manual Data
Analyses.
AB - Allergy against birch pollen is among the most common causes of spring pollinosis
in Europe and is diagnosed and treated using extracts from natural sources.
Quality control is crucial for safe and effective diagnosis and treatment.
However, current methods are very difficult to standardize and do not address
individual allergen or isoallergen composition. MS provides information regarding
selected proteins or the entire proteome and could overcome the aforementioned
limitations. We studied the proteome of birch pollen, focusing on allergens and
isoallergens, to clarify which of the 93 published sequence variants of the major
allergen, Bet v 1, are expressed as proteins within one source material in
parallel. The unexpectedly complex Bet v 1 isoallergen composition required
manual data interpretation and a specific design of databases, as current
database search engines fail to unambiguously assign spectra to highly
homologous, partially identical proteins. We identified 47 non-allergenic
proteins and all 5 known birch pollen allergens, and unambiguously proved the
existence of 18 Bet v 1 isoallergens and variants by manual data analysis. This
highly complex isoallergen composition raises questions whether isoallergens can
be ignored or must be included for the quality control of allergen products, and
which data analysis strategies are to be applied.
PMID- 26561300
TI - Predictors of the development of post-snakebite compartment syndrome.
AB - BACKGROUND: To identify the factors associated with the development of post
snakebite compartment syndrome (PSCS) in snakebite patients and to analyze the
clinical prognosis of these patients. METHODS: We retrospectively reviewed the
medical records of patients who presented to our institution with snakebites from
March 2009 to December 2012. The clinical data, hospital course and outcome were
all recorded. RESULTS: A total of 136 patients were included in the present
study. Nine patients developed PSCS and underwent fasciotomy. Relative to the non
PSCS group, the PSCS group demonstrated a significant increase in the white blood
cell count (WBC, p = 0.006), segment form (Seg, p <= 0.001), aspartate
aminotransferase level (AST, p = 0.002) and alanine aminotransferase level (ALT,
p = 0.008). Elevated WBC count and AST level were identified as independent risk
factors for PSCS (p = 0.028 and 0.037, respectively) in a multivariate analysis.
CONCLUSIONS: Snakebite patients have a high likelihood of developing locoregional
complications such as PSCS. Symptomatic snakebite patients should be observed for
at least 48 h, and increased WBC counts and AST levels are risk factors for PSCS.
PMID- 26561301
TI - The effects of different lipid emulsions on the lipid profile, fatty acid
composition, and antioxidant capacity of preterm infants: A double-blind,
randomized clinical trial.
AB - BACKGROUND & AIMS: Olive oil (OO), medium-chain triglycerides (MCT)/long-chain
triglycerides (LCT) mixture and soybean oil (SO) lipid emulsions are currently
used for preterm infants in China. The aim of our study was to compare the lipid
profile, fatty acid composition, and antioxidant capacity of preterm infants
administered OO, MCT/LCT, or SO lipid emulsions. METHODS: In this study, 156
preterm infants (birth weight < 2000 g and gestational age < 37 weeks) received
parenteral nutrition (PN) containing OO, MCT/LCT, or SO lipid emulsions for a
minimum of 14 d. On days 0, 7, and 14, the lipid profile, fatty acid composition
and antioxidant capacity were analyzed. RESULTS: On day 7, HDL levels in the
MCT/LCT group were significantly lower than in the OO (1.06 +/- 0.40 mmol/L) or
SO groups. LDL levels were higher in the OO group than in the MCT/LCT or SO
groups on day 7. A-I/B was higher in MCT/LCT than in OO or SO groups. Myristic
acid (C14:0) levels on days 7 and 14 increased in MCT/LCT compared to the OO and
SO groups. The OO group had higher oleic acid (C18:1n9) levels than the two other
groups. Linoleic acid (C18:2n6), linolenic acid (C18:3n3), and eicosapentaenoic
acid (20:5n3) were significantly lower in the OO group than in MCT/LCT or SO
groups. Monounsaturated fatty acid levels decreased, and omega-6 polyunsaturated
fatty acid and essential fatty acids levels increased in MCT/LCT and SO groups.
No significant differences were obtained in SOD, MDA, GSH-Px, and T-AOC among the
groups. CONCLUSION: The three lipid emulsions were safe and well tolerated in
preterm infants. Oleic acid (C18:1n9) levels increased and LA (C18:2n6), ALA
(C18:3n3), and EPA (C20:5n23) levels decreased in OO compared to MCT/LCT or SO.
CLINICAL TRIAL REGISTRATION NUMBER: NCT01683162,
https://register.clinicaltrials.gov/.
PMID- 26561302
TI - A Non-Invasive Droplet Digital PCR (ddPCR) Assay to Detect Paternal CFTR
Mutations in the Cell-Free Fetal DNA (cffDNA) of Three Pregnancies at Risk of
Cystic Fibrosis via Compound Heterozygosity.
AB - INTRODUCTION: Non-invasive prenatal diagnosis (NIPD) makes use of cell-free fetal
DNA (cffDNA) in the mother's bloodstream as an alternative to invasive sampling
methods such as amniocentesis or CVS, which carry a 0.5-1% risk of fetal loss. We
describe a droplet digital PCR (ddPCR) assay designed to inform the testing
options for couples whose offspring are at risk of suffering from cystic fibrosis
via compound heterozygosity. By detecting the presence or absence of the paternal
mutation in the cffDNA, it is possible to predict whether the fetus will be an
unaffected carrier (absence) or whether further invasive testing is indicated
(presence). METHODS: We selected a family in which the parents were known to
carry different mutated CFTR alleles as our test system. NIPD was performed for
three of their pregnancies during the first trimester (at around 11-12 weeks of
gestation). Taqman probes were designed against an amplicon in exon 11 of the
CFTR gene, to quantify the proportion of mutant (DeltaF508-MUT; FAM) and normal
(DeltaF508-NOR; VIC) alleles at position c.1521_1523 of the CFTR gene.
DISCUSSION: The assay correctly and unambiguously recognized the DeltaF508-MUT
CFTR allele in the cffDNA of all three proband fetuses and none of the six
unaffected control fetuses. In conclusion, the Bio-Rad QX100 was found to be a
cost-effective and technically undemanding platform for designing bespoke NIPD
assays.
PMID- 26561304
TI - Optimizing the diagnosis and the treatment of iron overload diseases.
AB - A number of human disorders are related to chronic iron overload, either of
genetic or acquired origin. The multi-organ damage produced by iron excess leads,
in adults and in children, to severe clinical consequences, affecting both
quality of life and life expectancy. The diagnosis is increasingly based on a non
invasive strategy, resorting to clinical, biological and imaging data. The
treatment rests on either venesection or chelation therapy, depending on the
etiology. Major advances in the fields of molecular biology, pharmacology, and
biotechnology pave the road for key improvements in the diagnostic and
therapeutic management of the patients.
PMID- 26561303
TI - Correlated expression of retrocopies and parental genes in zebrafish.
AB - Previous studies of the function and evolution of retrocopies in plants,
Drosophila and non-mammalian chordates provided new insights into the origin of
novel genes. However, little is known about retrocopies and their parental genes
in teleosts, and it remains obscure whether there is any correlation between
them. The present study aimed to characterize the spatial and temporal expression
profiles of retrogenes and their parental genes based on RNA-Seq data from Danio
rerio embryos and tissues from adult. Using a modified pipeline, 306 retrocopies
were identified in the zebrafish genome, most of which exhibited ancient
retroposition, and 76 of these showed a Ks < 2.0. Expression of a retrocopy is
generally expected to present no correlation with its parental gene, as
regulatory regions are not part of the retroposition event. Here, this assumption
was tested based on RNA-Seq data from eight stages and thirteen tissue types of
zebrafish. However, the result suggested that retrocopies displayed correlated
expression with their parental genes. The level of correlation was found to
decrease during embryogenesis, but to increase slightly within a tissue using Ks
as the proxy for the divergence time. Tissue specificity was also observed:
retrocopies were found to be expressed at a more specific level compared with
their parental genes. Unlike Drosophila, which has sex chromosomes, zebrafish do
not show testis-biased expression. Our study elaborated temporal and spatial
patterns of expression of retrocopies in zebrafish, examined the correlation
between retrocopies and parental genes and analyzed potential source of regulated
elements of retrocopies, which lay a foundation for further functional study of
retrocopies.
PMID- 26561305
TI - Effects of Propofol on Excitatory and Inhibitory Amino Acid Neurotransmitter
Balance in Rats with Neurogenic Pulmonary Edema Induced by Subarachnoid
Hemorrhage.
AB - INTRODUCTION: Propofol exhibits neuroprotective effects mediated by the
inhibition of excitatory amino acid (EAA) neurotransmitter release and
potentiation of inhibitory amino acid (IAA) neurotransmitters. To our knowledge,
this is the first study to investigate the effects of propofol on the EAA and IAA
balance in neurogenic pulmonary edema (NPE). METHODS: Sixty male Wistar rats were
randomized to Sham, NPE, Low-dose propofol, and High-dose propofol groups. NPE
was induced via rapid injection of autologous blood (0.5 ml) into the cisterna
magna. The Low- and High-dose propofol groups were pretreated with boluses of 2
and 5 mg kg(-1), respectively, prior to blood injection, followed by continuous
propofol infusion at 6 and 15 mg kg(-1) h(-1), respectively. The mean arterial
pressure (MAP), heart rate, intracranial pressure (ICP), peak inspiratory
pressure (PIP), and arterial blood gases were continuously recorded. After 2 h,
the lung wet-to-dry weight ratio, total protein concentration in the
bronchoalveolar lavage fluid (BALF), brain water content, cortical EAA and IAA
levels, chest X-ray, and histological staining of lung sections were evaluated.
RESULTS: Blood injections into the cisterna magna induced NPE and hemodynamic
changes. Propofol alleviated the increases in the MAP, ICP, and PIP, improved
oxygenation and histopathological changes, ameliorated pulmonary and cerebral
edema, increased the IAA brain levels, and decreased the ratio of Glu to gamma
aminobutyric acid. CONCLUSIONS: The current findings suggest that propofol
improves NPE likely via IAA accumulation and the regulation of EAA and IAA
balance, which may represent an effective treatment for NPE.
PMID- 26561306
TI - Multiple-trait- and selection indices-genomic predictions for grain yield and
protein content in rye for feeding purposes.
AB - KEY MESSAGE: Exploiting the benefits from multiple-trait genomic selection for
protein content prediction relying on additional grain yield information within
training sets is a realistic genomic selection approach in rye breeding.
ABSTRACT: Multiple-trait genomic selection (MTGS) was specially designed to
benefit from the information of genetically correlated indicator traits in order
to improve genomic prediction accuracies. Two segregating F3:4 rye testcross
populations genotyped using diversity array technology markers and evaluated for
grain yield (GY) and protein content (PC) were considered. The aims of our study
were to explore the benefits of MTGS over single-trait genomic selection (STGS)
for GY and PC prediction and to apply GS to predict different selection indices
(SIs) for GY and PC improvement. Our results using a two-trait model (2TGS)
empirically confirm that the ideal scenario to exploit the benefits of MTGS would
be when the predictions of a relatively low heritable target trait with scarce
phenotypic records are supported by an intensively phenotyped genetically
correlated indicator trait which has higher heritability. This ideal scenario is
expected for PC in practice. According to our GS implementation, MTGS can be
performed in order to achieve more cycles of selection by unit of time. If the
aim is to exclusively improve the prediction accuracy of a scarcely phenotyped
trait, 2TGS will be a more accurate approach than a three-trait model which
incorporates an additional correlated indicator trait. In general for balanced
phenotypic information, we recommend to perform GS considering SIs as single
traits, this method being a simple, direct and efficient way of prediction.
PMID- 26561307
TI - Pre-Historic and Recent Vicariance Events Shape Genetic Structure and Diversity
in Endangered Lion-Tailed Macaque in the Western Ghats: Implications for
Conservation.
AB - Genetic isolation of populations is a potent force that helps shape the course of
evolution. However, small populations in isolation, especially in fragmented
landscapes, are known to lose genetic variability, suffer from inbreeding
depression and become genetically differentiated among themselves. In this study,
we assessed the genetic diversity of lion-tailed macaques (Macaca silenus)
inhabiting the fragmented landscape of Anamalai hills and examined the genetic
structure of the species across its distributional range in the Western Ghats. We
sequenced around 900 bases of DNA covering two mitochondrial regions
hypervariable region-I and partial mitochondrial cytochrome b-from individuals
sampled both from wild and captivity, constructed and dated phylogenetic trees.
We found that the lion-tailed macaque troops in the isolated forest patches in
Anamalai hills have depleted mitochondrial DNA diversity compared to troops in
larger and continuous forests. Our results also revealed an ancient divergence in
the lion-tailed macaque into two distinct populations across the Palghat gap,
dating to 2.11 million years ago. In light of our findings, we make a few
suggestions on the management of wild and captive populations.
PMID- 26561308
TI - Mindfulness in people with a respiratory diagnosis: A systematic review.
AB - OBJECTIVES: To describe how mindfulness is delivered and to examine the effect of
mindfulness on health-related quality of life (HRQOL), mindful awareness and
stress in adults with a respiratory diagnosis. METHOD: Five electronic databases
were searched. Data were extracted and assessed for quality by two reviewers.
RESULTS: Data were extracted from four studies. Interventions were based on
Mindfulness-Based Stress Reduction and delivered by trained instructors.
Recordings of mindfulness were provided for home-based practice. One study
targeted the intervention exclusively to anxious individuals with a respiratory
diagnosis. Adherence to mindfulness was poor. No effects were seen on disease
specific HRQOL (standardized mean difference (SMD)=-0.21 95% CI: -0.36 to 0.48,
p=0.78), mindful awareness (SMD=0.09 95% CI: -0.34 to 0.52, p=0.68) or stress
levels (SMD =-0.11 95% CI: -0.46 to 0.23, p=0.51). CONCLUSION: Mindfulness
interventions, delivered to individuals with a respiratory diagnosis, varied
widely in terms of delivery and the outcomes assessed making it difficult to draw
any conclusions regarding its effectiveness.
PMID- 26561309
TI - A microanalysis of the clarity of information in physicians' and patients'
discussions of treatment plans with and without language barriers.
AB - OBJECTIVE: Physicians and patients discuss treatment plans. If tasks within plans
are not described adequately, patients cannot adhere. We evaluated task
descriptions, testing whether patient engagement and language barriers affected
task clarity. METHOD: We sampled 12 videotaped hospital interactions from a
corpus of 497: two encounters each from six hospital physicians, interacting with
one native-speaking and one non-native-speaking patient. We used microanalysis of
face-to-face dialogue to assess whether the physicians and patients achieved a
complete, clear description of each task's three core information elements (who
should do what and when). RESULTS: We conducted detailed analysis on 78 of the 90
tasks. Core information elements were complete in 62 (0.79) and clear in 37
(0.47). Language barriers had no effect on task clarity. When native-speaking
patients were engaged, tasks were clearer (p<0.05). Although non-native-speaking
patients were significantly more engaged (p<0.01), their engagement had no
effect. CONCLUSION: Physicians may be pursuing patients' agreement, motivation,
and commitment at the expense of working with the patient to be clear about what
needs to be done. PRACTICE IMPLICATIONS: Physicians need to improve how clearly
they present basic task information. Previous research demonstrated that even a
short course can significantly improve the clarity of instructions.
PMID- 26561310
TI - Death talk: Basic linguistic rules and communication in perinatal and paediatric
end-of-life discussions.
AB - OBJECTIVE: This paper considers clinician/parent communication difficulties noted
by parents involved in end-of-life decision-making in the light of linguistic
theory. METHODS: Grice's Cooperative Principle and associated maxims, which
enable effective communication, are examined in relation to communication
deficiencies that parents have identified when making end-of-life decisions for
the child. Examples from the literature are provided to clarify the impact of
failing to observe the maxims on parents and on clinician/parent communication.
RESULTS: Linguistic theory applied to the literature on parental concerns about
clinician/parent communication shows that the violation of the maxims of
quantity, quality, relation, and manner as well as the stance that some
clinicians adopt during discussions with parents impact on clinician/parent
communication and lead to distrust, anger, sadness, and long-term difficulties
coping with the experience of losing one's child. CONCLUSION: Parents have
identified communication deficiencies in end-of-life discussions. Relating these
communication deficiencies to linguistic theory provides insight into
communication difficulties but also solutions. PRACTICE IMPLICATIONS: Gaining an
understanding of basic linguistic theory that underlies human interactions,
gaining insight into the communication deficiencies that parents have identified,
and modifying some communication behaviours in light of these with the
suggestions made in this article may lead to improved clinician/parent
communication.
PMID- 26561311
TI - Determinants of intention to change health-related behavior and actual change in
patients with TIA or minor ischemic stroke.
AB - OBJECTIVE: To assess determinants of intention to change health-related behavior
and actual change in patients with TIA or ischemic stroke. METHODS: In this
prospective cohort study, 100 patients with TIA or minor ischemic stroke
completed questionnaires on behavioral intention and sociocognitive factors
including perception of severity, susceptibility, fear, response-efficacy and
self-efficacy at baseline. Questionnaires on physical activity, diet and smoking
were completed at baseline and at 3 months. Associations between sociocognitive
factors and behavioral intention and actual change were studied with
multivariable linear and logistic regression. RESULTS: Self-efficacy, response
efficacy, and fear were independently associated with behavioral intention, with
self-efficacy as the strongest determinant of intention to increase physical
activity (aBeta 0.40; 95% CI 0.12-0.71), adapt a healthy diet (aBeta 0.49; 95% CI
0.23-0.75), and quit smoking (aBeta 0.51; 95% CI 0.13-0.88). Intention to change
tended to be associated with actual health-related behavior change. CONCLUSION:
Self-efficacy, fear, and response-efficacy were determinants of intention to
change health-related behavior after TIA or ischemic stroke. PRACTICE
IMPLICATIONS: These determinants of intention to change health-related behavior
after TIA or ischemic stroke should be taken into account in the development of
future interventions promoting health-related behavior change in these group of
patients.
PMID- 26561312
TI - Dietary Isoflavones as Modulators of Drug Metabolizing Enzymes and Transporters:
Effect on Prescription Medicines.
AB - Isoflavones are the most widely consumed phytoestrogens. Besides being a dietary
constituent, their consumption has been increasing in the form of herbal
supplements and as promising alternatives to hormonal replacement therapy, in
conjunction with prescription medicines. Isoflavones are extensively metabolized
by phase I and II enzymes and are substrates of drug transporters. At high
concentrations isoflavones may interact with drug metabolizing enzymes and drug
transporters and modulate their activity, thus, altering the absorption,
metabolism, distribution, excretion and toxicity profile of the co-administered
drugs. This review summarizes the up-to-date literature of isoflavone-drug
interactions giving insight into the possible mechanisms of interactions, in
vitro-in vivo correlation and their implications on clinical outcomes.
PMID- 26561313
TI - Neutrophil CD64 for the diagnosis of organizing pneumonia in patients with
rheumatoid arthritis.
PMID- 26561314
TI - Metabolomics-Based Analysis of Banana and Pear Ingestion on Exercise Performance
and Recovery.
AB - Bananas and pears vary in sugar and phenolic profiles, and metabolomics was
utilized to measure their influence on exercise performance and recovery. Male
athletes (N = 20) cycled for 75 km while consuming water (WATER), bananas (BAN),
or pears (PEAR) (0.6 g carbohydrate/kg each hour) in randomized order. UPLC-MS/MS
and the library of purified standards maintained by Metabolon (Durham, NC) were
used to analyze metabolite shifts in pre- and postexercise (0-h, 1.5-h, 21-h)
blood samples. Performance times were 5.0% and 3.3% faster during BAN and PEAR
versus WATER (P = 0.018 and P = 0.091, respectively), with reductions in
cortisol, IL-10, and total leukocytes, and increases in blood glucose, insulin,
and FRAP. Partial Least Square Discriminant Analysis (PLS-DA) showed a distinct
separation between trials immediately (R(2)Y = 0.877, Q(2)Y = 0.457) and 1.5-h
postexercise (R(2)Y = 0.773, Q(2)Y = 0.441). A total of 107 metabolites
(primarily lipid-related) increased more than 2-fold during WATER, with a 48% and
52% reduction in magnitude during BAN and PEAR recovery (P < 0.001). Increases in
metabolites unique to BAN and PEAR included fructose and fruit constituents, and
sulfated phenolics that were related to elevated FRAP. These data indicate that
BAN and PEAR ingestion improves 75-km cycling performance, attenuates fatty acid
utilization and oxidation, and contributes unique phenolics that augment
antioxidant capacity.
PMID- 26561315
TI - A cohesive analysis of DNA/RNA sequences via entropy, energetics and spectral
domain methods to assess genomic features across single viral diversity.
AB - In virology context, a particular virus may prevail in different forms of
serotypes (as in the case of dengue 1-4 viral strains) with common and distinct
genomic features. Finding such genomic details of a serogroup is useful in
knowing related information for unique vaccine designs compatible for immunity
across the viral diversity. For robust comparison of genomes of serovars of a
virus in order to decide on their common and differential genomic details,
proposed here is a set of sequence analyses exercised side-by-side via entropy,
energetic and spectral-domain methods. Results obtained thereof with dengue viral
serotypes, namely DEN1, DEN2, DEN3 and DEN4, are presented. Hence, inferences on
distinct as well as common features extracted are annotated and indicated for
possible vaccine design applications.
PMID- 26561316
TI - Classification of PCR-SSCP bands in T2DM by probabilistic neural network: a
reliable tool.
AB - A Probabilistic Neural Network (PNN) is a statistical algorithm and consists of a
grouping of multi-class data. The conventional method of detection of DNA
mutations by the human eye may not detect the minute variations in PCR-SSCP
bands, which may lead to false positive or false negative results. The detection
by photographic images may contain a blare (noise) caused during the time of
photography; therefore, image processing techniques were used to reduce image
noise. PCR-SSCP gels of T2DM patients (n = 100) and controls (n = 100) were
initially photographed with equal ratio of pixels and later subjected to a two
stage analysis: feature extraction and PNN. The evaluation of the results was
done by quality training and the accuracy was up to 95%, and the human eye
analysis showed 80% mutation detection rate. This study proves to be very
reliable and gives accurate and fast detection for mutation analysis in diabetes.
This method could be extended for analysis in other human diseases.
PMID- 26561317
TI - An interactomic approach for identification of putative drug targets in Listeria
monocytogenes.
AB - A wide variety of human population is infected with Listeria monocytogenes, which
causes listeriosis, a deadly disease with mortality rate of about 30%. The major
hindrance to cure listeriosis is the unavailability of specific or selectable
drug targets. At present, antibiotics used to cure the disease are not specific
and insufficient to manage the disease efficiently. Therefore, in order to search
specific drugs, here, we used interactome analysis to search specific drug
targets which may provide novel templates for drug designing having better
efficacy without any potential adverse effects. The complete genome of L.
monocytogenes having 2846 proteins has been analysed. We found 11 proteins as
putative drug targets. The sequence and interactome analyses revealed that 11
proteins are non-homologous to human, but essential for pathogen and hence may be
considered as potential therapeutic targets.
PMID- 26561318
TI - Receptor-based 3D-QSAR approach to find selectivity features of flexible similar
binding sites: case study on MMP-12/MMP-13.
AB - Design of selective matrix metalloproteinases (MMPs) inhibitors is still a
challenging task because of binding pocket similarities and flexibility among
MMPs family. To overcome this issue we try to generate a (three-dimensional
quantitative structure activity relationship) 3D-QSAR model that might reflect,
at least in part, the differential properties of MMP-12 and MMP-13 active sites
compared to each other. The different alignment rules were applied for
CoMFA/CoMSIA model development. In an approach the best docked poses were
followed by alignment based on their zinc binding group. As it was suggested by
comparison of CoMSIA contour maps of MMP-12 with MMP-13, the ligand based
approach can find more detailed features of specificity for MMPs that have
similar highly flexible active sites, than solely analysis of available crystal
structures. The residues Val(194), Leu(214) and Thr(220) of MMP-13 were suggested
to be investigated for flexibility upon binding of different ligands.
PMID- 26561320
TI - Is HNF4A a candidate to study zinc finger protein slug?
AB - Protein-Protein Interactions (PPI) play a crucial role in deciphering function
besides identifying candidates. While the experimental analysis is often time
consuming involving number of experiments like pulldown assays, they are not
necessarily limiting the ability to detect novel protein interactors. In this
work, we discuss the role and putative interactors of SNAI2, a slug protein which
is involved in the development of cancer progression. The protein interactions
have been deciphered by domain pair exclusion method which gives confidence to
already precluded interaction pairs. Additionally, conservation patterns of the
slug protein have also been analysed by estimating site-specific evolutionary
rates at structural level. Based upon the computational analysis, we consider
HNF4A could be a putative candidate to study zinc finger protein slug. We
believe, this candidate study augmented with structural conservation will
definitely provide novel insights into the design and discovery of new
interactions for zinc finger class of proteins besides providing possible clues
for discovery of various cancer types associated with this class of proteins.
PMID- 26561319
TI - Opposite nucleotide usage biases in different parts of the Corynebacterium
diphtheriae spaC gene.
AB - In this work we described a bacterial open reading frame with two different
directions of nucleotide usage biases in its two parts. The level of GC-content
in third codon positions (3GC) is equal to 40.17 +/- 0.22% during the most of the
length of Corynebacterium diphtheriae spaC gene. However, in the 3'-end of the
same gene (from codon #1600 to codon #1873) 3GC level is equal to 64.61 +/-
0.91%. Using original methodology ('VVTAK Sliding window' and 'VVTAK VarInvar')
we approved that there is an ongoing mutational AT-pressure during the most of
the length of spaC gene (up to codon #1599), and there is an ongoing mutational G
pressure in the 3′-end of spaC. Intragenic promoters predicted by three
different methods may be the cause of the differences in preferable types of
nucleotide mutations in spaC parts because of their autonomous transcription.
PMID- 26561321
TI - Influence of soil conditions on dissolved organic matter leached from forest and
wetland soils: a controlled growth chamber study.
AB - This study investigated the effects of various soil conditions, including drying
rewetting, nitrogen deposition, and temperature rise, on the quantities and the
composition of dissolved organic matter leached from forest and wetland soils. A
set of forest and wetland soils with and without the nitrogen deposition were
incubated in the growth chambers under three different temperatures. The moisture
contents were kept constant, except for two-week drying intervals. Comparisons
between the original and the treated samples revealed that drying-rewetting was a
crucial environmental factor driving changes in the amount of dissolved organic
carbon (DOC). The DOC was also notably increased by the nitrogen deposition to
the dry forest soil and was affected by the temperature of the dry wetland soil.
A parallel factor (PARAFAC) analysis identified three sub-fractions of the
fluorescent dissolved organic matter (FDOM) from the fluorescence excitation
emission matrices (EEMs), and their compositions depended on drying-rewetting.
The data as a whole, including the DOC and PARAFAC components and other optical
indices, were possibly explained by the two main variables, which were closely
related with the PARAFAC components and DOC based on principal component analysis
(PCA). Our results suggested that the DOC and PARAFAC component information could
provide a comprehensive interpretation of the changes in the soil-leached DOM in
response to the different environmental conditions.
PMID- 26561323
TI - Alteration and element mobility at microbe-mineral interfaces.
PMID- 26561322
TI - Does residual H2O2 result in inhibitory effect on enhanced anaerobic digestion of
sludge pretreated by microwave-H2O2 pretreatment process?
AB - This study investigated the effects of residual H2O2 on hydrolysis-acidification
and methanogenesis stages of anaerobic digestion after microwave-H2O2 (MW-H2O2)
pretreatment of waste activated sludge (WAS). Results showed that high sludge
solubilization at 35-45 % was achieved after pretreatment, while large amounts of
residual H2O2 remained and refractory compounds were thus generated with high
dosage of H2O2 (0.6 g H2O2/g total solids (TS), 1.0 g H2O2/g TS) pretreatment.
The residual H2O2 not only inhibited hydrolysis-acidification stage mildly, such
as hydrolase activity, but also had acute toxic effect on methanogens, resulting
in long lag phase, low methane yield rate, and no increase of cumulative methane
production during the 30-day BMP tests. When the low dosage of H2O2 at 0.2 g
H2O2/g TS was used in MW-H2O2 pretreatment, sludge anaerobic digestion was
significantly enhanced. The cumulative methane production increased by 29.02 %,
but still with a lag phase of 1.0 day. With removing the residual H2O2 by
catalase, the initial lag phase of hydrolysis-acidification stage decreased from
1.0 to 0.5 day.
PMID- 26561324
TI - Clarification of colloidal and suspended material in water using triethanolamine
modified maize tassels.
AB - Suspended particles in water are a major concern in global pollution management.
They affect the appreciation of water due to clarity, photosynthesis, and poor
oxygen environment rendering water unsuitable for aquatic animals. Some suspended
materials contain functional groups capable of forming complex compounds with
metals making them available for poisoning. Such material promotes the growth of
bacteria and fouling that give rise to unpleasant taste and odor of the water and
thus requires removal. Removal of suspended solids is normally achieved through
sedimentation or filtration. However, some suspended colloidal particles are very
stable in water and cannot settle while others are able to pass through the
filter due to small size, hence difficult to remove. This study investigated the
use of triethanolamine-modified maize tassels to form a flocculent for their
removal. The modified maize tassels were characterized using Fourier transform
infrared (FTIR), and it was found that the triethanolamine was anchored within
the cellulose structure of the maize tassels. Clarification parameters such as
settling time, reagent dosage, and pH were investigated. The best clarification
was at a pH of 6.0 with clearance being less than in 30 min. The optimal
flocculent dosage was found to be 3.5 ml of the material, showing that the
material has a potential of enhancing clarity in polluted water.
PMID- 26561325
TI - Impacts of urbanization on the distribution of heavy metals in soils along the
Huangpu River, the drinking water source for Shanghai.
AB - We investigated the horizontal and vertical distribution of heavy metals (Hg, Pb,
Zn, Cu, Cd, As, Ni, and Cr) in soils in the water source protection zone for
Shanghai to study the origins of these metals, their connections with
urbanization, and their potential risk posed on the ecosystem. Determination of
metal concentrations in 50 topsoil samples and nine soil profiles indicated that
Hg, Pb, Zn, and Cu were present in significantly higher concentrations in topsoil
than in deep soil layers. The spatial distributions of Hg, Pb, Zn, and Cu and
contamination hotspots for these metals in the study area were similar to those
near heavy industries and urban built-up areas. Emissions from automobiles
resulted in increased soil concentrations of Cu, Pb, and Zn along roadsides,
while high concentrations of Hg in the soil resulted from recent atmospheric
deposition. Calculation of the potential ecological risk indicated that the
integrative risk of these heavy metals in most areas was low, but a few sites
surrounding high density of factories showed moderate risks.
PMID- 26561327
TI - Characterization of biodegradable poly-3-hydroxybutyrate films and pellets loaded
with the fungicide tebuconazole.
AB - Biodegradable polymer poly(3-hydroxybutyrate) (P3HB) has been used as a matrix to
construct slow-release formulations of the fungicide tebuconazole (TEB). P3HB/TEB
systems constructed as films and pellets have been studied using differential
scanning calorimetry, X-ray structure analysis, and Fourier transform infrared
spectroscopy. TEB release from the experimental formulations has been studied in
aqueous and soil laboratory systems. In the soil with known composition of
microbial community, polymer was degraded, and TEB release after 35 days reached
60 and 36 % from films and pellets, respectively. That was 1.23 and 1.8 times
more than the amount released to the water after 60 days in a sterile aqueous
system. Incubation of P3HB/TEB films and pellets in the soil stimulated
development of P3HB-degrading microorganisms of the genera Pseudomonas,
Stenotrophomonas, Variovorax, and Streptomyces. Experiments with phytopathogenic
fungi F. moniliforme and F. solani showed that the experimental P3HB/TEB
formulations had antifungal activity comparable with that of free TEB.
PMID- 26561326
TI - The toxic effect of cypermethrin, amitraz and combinations of cypermethrin
amitraz in rats.
AB - In this study, the effects of cypermethrin (CYP), amitraz (AMT) and combined
cypermethrin-amitraz (CYP-AMT) on some serum biochemical, oxidative stress and
drug-metabolising parameters were investigated in male Wistar albino rats. CYP,
AMT and combined CYP-AMT were administered at doses of 80 mg kg(-1) bw(-1) of CYP
and 170 mg kg(-1) bw(-1) of AMT for 1 day (single dose), and at doses of 12 mg
kg(-1) bw(-1) of CYP and 25 mg kg(-1) bw(-1) of AMT for 40 days by oral gavage.
Oxidative stress (malondialdehyde (MDA), nitric oxide (NO), superoxide dismutase
(SOD), catalase (CAT), glutathione peroxidase (GSH-Px) and glucose-6-phosphate
dehydrogenase (G6PD)), serum biochemical (glucose, triglyceride, cholesterol,
high-density lipoprotein (HDL), low-density lipoprotein (LDL), blood urea
nitrogen (BUN), creatinine, asparatate amino transferase (AST), alanine amino
transferase (ALT), alkaline phosphatase (ALP), total protein, albumin) in
blood/tissues (liver, kidney, brain, spleen and testis) and hepatic drug
metabolising (cytochrome P450 2E1 (CYP2E1), NADH-cytochrome b5 reductase (CYPb5),
NADPH-cytochrome c reductase/NADPH cytocrome P450 reductase (CYTC), glutathione S
transferase (GST), glutathione (GSH)) parameters were measured in liver samples
taken on days 1 and 40. In result, it was determined that CYP, AMT and their
combinations led to significant changes in the parameters investigated, and it
was ascertained that long-term exposure to insecticides and the administration of
insecticide combinations produced greater toxic effects in comparison with the
administration of insecticides alone.
PMID- 26561328
TI - Microbial degradation of decabromodiphenyl ether (DBDE) in soil slurry
microcosms.
AB - Decabromodiphenyl ether (DBDE), which has been identified as an endocrine
disrupting compound, is used as brominated flame retardant, and this can result
in serious bioaccumulation within ecological systems. The objective of this study
was to explore DBDE bioremediation (25 mg/kg) using laboratory scale soil slurry
microcosms. It was found that effective biodegradation of DBDE occurred in all
microcosms. Various biometabolites were identified, namely polybrominated
diphenyl ethers congeners and hydroxylated brominated diphenyl ether. Reductive
debrominated products such as tri-BDE to hepta-BDE congeners were also detected,
and their total concentrations ranged from 77.83 to 91.07 ng/g. The mechanism of
DBDE biodegradation in soil slurry microcosms is proposed to consist of a series
of biological reactions involving hydroxylation and debromination. Catechol 2,3
oxygenase genes, which are able to bring about meta-cleavage at specific
unbrominated locations in carbon backbones, were identified as present during the
DBDE biodegradation. No obvious effect on the ecological functional potential
based on community-level physiological profiling was observed during DBDE
biodegradation, and one major facultative Pseudomonas sp. (99 % similarity) was
identified in the various soil slurry microcosms. These findings provide an
important basis that should help environmental engineers to design future DBDE
bioremediation systems that use a practical microcosm system. A bacterial-mixed
culture can be selected as part of the bioaugmentation process for in situ DBDE
bioremediation. A soil/water microcosm system can be successfully applied to
carry out ex situ DBDE bioremediation.
PMID- 26561329
TI - Response of N2O emissions to elevated water depth regulation: comparison of
rhizosphere versus non-rhizosphere of Phragmites australis in a field-scale
study.
AB - Emissions of nitrous oxide (N2O) from wetland ecosystems are globally significant
and have recently received increased attention. However, relatively few direct
studies of these emissions in response to water depth-related changes in sediment
ecosystems have been conducted, despite the likely role they play as hotspots of
N2O production. We investigated depth-related differential responses of the
dissolved inorganic nitrogen distribution in Phragmites australis (Cav.) Trin. ex
Steud. rhizosphere versus non-rhizosphere sediments to determine if they
accelerated N2O emissions and the release of inorganic nitrogen. Changes in
static water depth and P. australis growth both had the potential to disrupt the
distribution of porewater dissolved NH4 (+), NO3 (-), and NO2 (-) in profiles,
and NO3 (-) had strong surface aggregation tendency and decreased significantly
with depth. Conversely, the highest NO2 (-) contents were observed in deep water
and the lowest in shallow water in the P. australis rhizosphere. When compared
with NO3 (-), NH4 (+), and NO2 (-), fluxes from the rhizosphere were more
sensitive to the effects of water depth, and both fluxes increased significantly
at a depth of more than 1 m. Similarly, N2O emissions were obviously accelerated
with increasing depth, although those from the rhizosphere were more readily
controlled by P. australis. Pearson's correlation analysis showed that water
depth was significantly related to N2O emission and NO2 (-) fluxes, and N2O
emissions were also strongly dependent on NO2 (-) fluxes (r = 0.491, p < 0.05).
The results presented herein provide new insights into inorganic nitrogen
biogeochemical cycles in freshwater sediment ecosystems.
PMID- 26561330
TI - Composite sequential Monte Carlo test for post-market vaccine safety
surveillance.
AB - Group sequential hypothesis testing is now widely used to analyze prospective
data. If Monte Carlo simulation is used to construct the signaling threshold, the
challenge is how to manage the type I error probability for each one of the
multiple tests without losing control on the overall significance level. This
paper introduces a valid method for a true management of the alpha spending at
each one of a sequence of Monte Carlo tests. The method also enables the use of a
sequential simulation strategy for each Monte Carlo test, which is useful for
saving computational execution time. Thus, the proposed procedure allows for
sequential Monte Carlo test in sequential analysis, and this is the reason that
it is called 'composite sequential' test. An upper bound for the potential power
losses from the proposed method is deduced. The composite sequential design is
illustrated through an application for post-market vaccine safety surveillance
data.
PMID- 26561331
TI - Depression and Mood Disorder Among African American and White Women--Reply.
PMID- 26561333
TI - The contractile lability of smooth muscle in asthmatic airway
hyperresponsiveness.
AB - The contractile capacity of airway smooth muscle is not fixed but modulated by an
impressive number of extracellular inflammatory mediators. Targeting the
transient component of airway hyperresponsiveness ascribed to this contractile
lability of ASM is a quest of great promises in order to alleviate asthma
symptoms during inflammatory flares. However, owing to the plethora of mediators
putatively involved and the molecular heterogeneity of asthma, it is more likely
that many mediators conspire to increase the contractile capacity of ASM, each of
which contributing to a various extent and in a time-varying fashion in
individuals suffering from asthma. The task of identifying a common mend for a
tissue rendered hypercontractile by imponderable assortments of inflammatory
mediators is puzzling.
PMID- 26561332
TI - Yersinia pseudotuberculosis infection in Kawasaki disease and its clinical
characteristics.
AB - BACKGROUND: The etiology of Kawasaki disease (KD) is unknown. Reportedly, there
is an association between KD and Yersinia pseudotuberculosis (YPT). Steroid
therapy for KD patients with high risk of cardiac sequelae (CS) has been
reported; however, the number of reports is limited. METHODS: We conducted a
prospective study of 108 patients with newly diagnosed KD in one year to
determine how many KD patients have positive anti-YPT antibody titers and/or
positive anti-YPT-derived mitogen (YPM) antibody titers. In addition, we tried to
identify clinical differences between KD patients in whom YPT infection was or
not a contributing factor. We also compared clinical characteristics of patients
treated with the protocol of the Randomized controlled trial to Assess
Immunoglobulin plus Steroid Efficacy for Kawasaki disease (RAISE) study (RAISE
group) and with the conventional Intravenous immunoglobulin (IVIG) protocol
(conventional group). RESULTS: Eleven patients (10%) were positive for anti-YPT
and/or anti-YPM antibodies (positive group) and 97 (90%) were negative (negative
group). Cardiac sequelae (CS) occurred significantly more frequently in the
positive than the negative group (two patients, 18% vs one patient, 1%, p =
0.027). Forty patients were in the RAISE group. Two of 40 (5%) in the RAISE group
and one of 68 (1.47%) in the conventional group had CS (p = 0.55). CONCLUSIONS:
KD patients with YPT infection had CS significantly more frequently and treatment
with RAISE protocol did not decrease the frequency of CS in our cohort, nor did
YPT infection affect risk scores of no response to IVIG. However, our sample size
was overly small to draw such conclusions. Further investigation in a larger
cohort is necessary to confirm our findings. Additionally, further research is
needed to determine whether early diagnosis of YPT can prevent KD from developing
and reduce the incidence of CS.
PMID- 26561334
TI - Detection of urinary estrogen conjugates and creatinine using near infrared
spectroscopy in Bornean orangutans (Pongo Pygmaeus).
AB - For promoting in situ conservation, it is important to estimate the density
distribution of fertile individuals, and there is a need for developing an easy
monitoring method to discriminate between physiological states. To date,
physiological state has generally been determined by measuring hormone
concentration using radioimmunoassay or enzyme immunoassay (EIA) methods.
However, these methods have rarely been applied in situ because of the
requirements for a large amount of reagent, instruments, and a radioactive
isotope. In addition, the proper storage of the sample (including urine and
feces) on site until analysis is difficult. On the other hand, near infrared
(NIR) spectroscopy requires no reagent and enables rapid measurement. In the
present study, we attempted urinary NIR spectroscopy to determine the estrogen
levels of orangutans in Japanese zoos and in the Danum Valley Conservation Area,
Sabah, Malaysia. Reflectance NIR spectra were obtained from urine stored using a
filter paper. Filter paper is easy to use to store dried urine, even in the wild.
Urinary estrogen and creatinine concentrations measured by EIA were used as the
reference data of partial least square (PLS) regression of urinary NIR spectra.
High accuracies (R(2) > 0.68) were obtained in both estrogen and creatinine
regression models. In addition, the PLS regressions in both standards showed
higher accuracies (R(2) > 0.70). Therefore, the present study demonstrates that
urinary NIR spectra have the potential to estimate the estrogen and creatinine
concentrations.
PMID- 26561335
TI - DNA Triplexes That Bind Several Cofactor Molecules.
AB - Cofactors are critical for energy-consuming processes in the cell. Harnessing
such processes for practical applications requires control over the concentration
of cofactors. We have recently shown that DNA triplex motifs with a designed
binding site can be used to capture and release nucleotides with low micromolar
dissociation constants. In order to increase the storage capacity of such triplex
motifs, we have explored the limits of ligand binding through designed cavities
in the oligopurine tract. Oligonucleotides with up to six non-nucleotide bridges
between purines were synthesized and their ability to bind ATP, cAMP or FAD was
measured. Triplex motifs with several single-nucleotide binding sites were found
to bind purines more tightly than triplexes with one large binding site. The
optimized triplex consists of 59 residues and four C3-bridges. It can bind up to
four equivalents of ligand with apparent Kd values of 52 uM for ATP, 9 uM for
FAD, and 2 uM for cAMP. An immobilized version fuels bioluminescence via release
of ATP at body temperature. These results show that motifs for high-density
capture, storage and release of energy-rich biomolecules can be constructed from
synthetic DNA.
PMID- 26561336
TI - Inhibition of A20 expression in tumor microenvironment exerts anti-tumor effect
through inducing myeloid-derived suppressor cells apoptosis.
AB - Myeloid-derived suppressor cells (MDSCs) are known to play important roles in the
development of immunosuppressive tumor microenvironment. A20 is a zinc-finger
protein which could negatively regulate apoptosis in several cell types. However,
the role of A20 in tumor microenvironment remains largely unknown. In this study,
we found that A20 was over-expressed in MDSCs. The treatment of tumor-bearing
mice with small interfering RNA targeting A20 (si-A20) inhibited the growth of
tumors. The infiltration of MDSCs was dramatically reduced after si-A20
treatment, as compared to control groups, whereas the numbers of dendritic cells
and macrophages were not affected. Also, injection of si-A20 improved T cell
mediated tumor-specific immune response. Depletion of MDSCs with anti-Gr1
antibody showed similar antitumor effect and improved T cell response. TNF-alpha
was highly expressed after si-A20 injection. Furthermore, si-A20 induced
apoptosis of MDSCs in the presence of TNF-alpha both in vivo and in vitro.
Cleaved Caspase-3 and Caspase-8 were elevated with the activation of JNK pathway
after the induction of MDSC apoptosis by si-A20. Thus, our findings suggested
that knockdown of A20 in tumor site inhibited tumor growth at least through
inducing the apoptosis of MDSCs. A20 might be a potential target in anticancer
therapy.
PMID- 26561338
TI - Cannabinoids for nausea and vomiting in adults with cancer receiving
chemotherapy.
AB - BACKGROUND: Cannabis has a long history of medicinal use. Cannabis-based
medications (cannabinoids) are based on its active element, delta-9
tetrahydrocannabinol (THC), and have been approved for medical purposes.
Cannabinoids may be a useful therapeutic option for people with chemotherapy
induced nausea and vomiting that respond poorly to commonly used anti-emetic
agents (anti-sickness drugs). However, unpleasant adverse effects may limit their
widespread use. OBJECTIVES: To evaluate the effectiveness and tolerability of
cannabis-based medications for chemotherapy-induced nausea and vomiting in adults
with cancer. SEARCH METHODS: We identified studies by searching the following
electronic databases: Cochrane Central Register of Controlled Trials (CENTRAL),
MEDLINE, EMBASE, PsycINFO and LILACS from inception to January 2015. We also
searched reference lists of reviews and included studies. We did not restrict the
search by language of publication. SELECTION CRITERIA: We included randomised
controlled trials (RCTs) that compared a cannabis-based medication with either
placebo or with a conventional anti-emetic in adults receiving chemotherapy. DATA
COLLECTION AND ANALYSIS: At least two review authors independently conducted
eligibility and risk of bias assessment, and extracted data. We grouped studies
based on control groups for meta-analyses conducted using random effects. We
expressed efficacy and tolerability outcomes as risk ratio (RR) with 95%
confidence intervals (CI). MAIN RESULTS: We included 23 RCTs. Most were of cross
over design, on adults undergoing a variety of chemotherapeutic regimens ranging
from moderate to high emetic potential for a variety of cancers. The majority of
the studies were at risk of bias due to either lack of allocation concealment or
attrition. Trials were conducted between 1975 and 1991. No trials involved
comparison with newer anti-emetic drugs such as ondansetron. Comparison with
placebo People had more chance of reporting complete absence of vomiting (3
trials; 168 participants; RR 5.7; 95% CI 2.6 to 12.6; low quality evidence) and
complete absence of nausea and vomiting (3 trials; 288 participants; RR 2.9; 95%
CI 1.8 to 4.7; moderate quality evidence) when they received cannabinoids
compared with placebo. The percentage of variability in effect estimates that was
due to heterogeneity rather than chance was not important (I(2) = 0% in both
analyses).People had more chance of withdrawing due to an adverse event (2
trials; 276 participants; RR 6.9; 95% CI 1.96 to 24; I(2) = 0%; very low quality
evidence) and less chance of withdrawing due to lack of efficacy when they
received cannabinoids, compared with placebo (1 trial; 228 participants; RR 0.05;
95% CI 0.0 to 0.89; low quality evidence). In addition, people had more chance of
'feeling high' when they received cannabinoids compared with placebo (3 trials;
137 participants; RR 31; 95% CI 6.4 to 152; I(2) = 0%).People reported a
preference for cannabinoids rather than placebo (2 trials; 256 participants; RR
4.8; 95% CI 1.7 to 13; low quality evidence). Comparison with other anti-emetics
There was no evidence of a difference between cannabinoids and prochlorperazine
in the proportion of participants reporting no nausea (5 trials; 258
participants; RR 1.5; 95% CI 0.67 to 3.2; I(2) = 63%; low quality evidence), no
vomiting (4 trials; 209 participants; RR 1.11; 95% CI 0.86 to 1.44; I(2) = 0%;
moderate quality evidence), or complete absence of nausea and vomiting (4 trials;
414 participants; RR 2.0; 95% CI 0.74 to 5.4; I(2) = 60%; low quality evidence).
Sensitivity analysis where the two parallel group trials were pooled after
removal of the five cross-over trials showed no difference (RR 1.1; 95% CI 0.70
to 1.7) with no heterogeneity (I(2) = 0%).People had more chance of withdrawing
due to an adverse event (5 trials; 664 participants; RR 3.9; 95% CI 1.3 to 12;
I(2) = 17%; low quality evidence), due to lack of efficacy (1 trial; 42
participants; RR 3.5; 95% CI 1.4 to 8.9; very low quality evidence) and for any
reason (1 trial; 42 participants; RR 3.5; 95% CI 1.4 to 8.9; low quality
evidence) when they received cannabinoids compared with prochlorperazine.People
had more chance of reporting dizziness (7 trials; 675 participants; RR 2.4; 95%
CI 1.8 to 3.1; I(2) = 12%), dysphoria (3 trials; 192 participants; RR 7.2; 95% CI
1.3 to 39; I(2) = 0%), euphoria (2 trials; 280 participants; RR 18; 95% CI 2.4 to
133; I(2) = 0%), 'feeling high' (4 trials; 389 participants; RR 6.2; 95% CI 3.5
to 11; I(2) = 0%) and sedation (8 trials; 947 participants; RR 1.4; 95% CI 1.2 to
1.8; I(2) = 31%), with significantly more participants reporting the incidence of
these adverse events with cannabinoids compared with prochlorperazine.People
reported a preference for cannabinoids rather than prochlorperazine (7 trials;
695 participants; RR 3.3; 95% CI 2.2 to 4.8; I(2) = 51%; low quality evidence).In
comparisons with metoclopramide, domperidone and chlorpromazine, there was weaker
evidence, based on fewer trials and participants, for higher incidence of
dizziness with cannabinoids.Two trials with 141 participants compared an anti
emetic drug alone with a cannabinoid added to the anti-emetic drug. There was no
evidence of differences between groups; however, the majority of the analyses
were based on one small trial with few events. Quality of the evidence The trials
were generally at low to moderate risk of bias in terms of how they were designed
and do not reflect current chemotherapy and anti-emetic treatment regimens.
Furthermore, the quality of evidence arising from meta-analyses was graded as low
for the majority of the outcomes analysed, indicating that we are not very
confident in our ability to say how well the medications worked. Further research
is likely to have an important impact on the results. AUTHORS' CONCLUSIONS:
Cannabis-based medications may be useful for treating refractory chemotherapy
induced nausea and vomiting. However, methodological limitations of the trials
limit our conclusions and further research reflecting current chemotherapy
regimens and newer anti-emetic drugs is likely to modify these conclusions.
PMID- 26561339
TI - Identifying people with diabetes at high risk of blindness and amputation.
PMID- 26561337
TI - Evaluating the efficacy of therapeutic HIV vaccines through analytical treatment
interruptions.
AB - INTRODUCTION: The development of an effective therapeutic HIV vaccine that
induces immunologic control of viral replication, thereby eliminating or reducing
the need for antiretroviral therapy (ART), would be of great value. Besides the
obvious challenges of developing a therapeutic vaccine that would generate
effective, sustained anti-HIV immunity in infected individuals is the issue of
how to best assess the efficacy of vaccine candidates. DISCUSSION: This review
discusses the various outcome measures assessed in therapeutic HIV vaccine
clinical trials involving individuals receiving suppressive ART, with a
particular focus on the role of analytical treatment interruption (ATI) as a way
to assess the virologic control induced by an immunotherapy. This strategy is
critical given that there are otherwise no readily available measures to
determine the ability of a vaccine-induced immune response to effectively control
HIV replication. The various outcome measures that have been used to assess
vaccine efficacy in published therapeutic HIV vaccine clinical trials will also
be discussed. Outcome measures have included the kinetics of viral rebound, the
new viral set point and changes in the size of the viral reservoir. Clinically
relevant outcomes such as the CD4 decline, the time to resume therapy or the time
to meet the criterion to resume therapy, the proportion of participants who
resume therapy and/or the development of clinical symptoms such as acute
retroviral syndrome are also measures of vaccine efficacy. CONCLUSIONS: Given the
lack of consistency between therapeutic HIV vaccine trials in how efficacy is
assessed, comparing vaccines has been difficult. It would, therefore, be
beneficial to determine the most clinically relevant measure for use in future
studies. Other recommendations for future clinical trials also include studying
compartments in addition to blood and replacing ATIs with single-copy assays in
situations in which the use of an ATI is not ideal.
PMID- 26561340
TI - Parasitization by Sauroplasma sp. (Apicomplexa: Haemohormidiidae) in Chelonian
Podocnemis expansa (Testudines: Podocnemididae) in the Brazilian Amazon.
AB - The prevalence and parasitemia of the piroplasm Sauroplasma sp. were evaluated in
the Amazon chelonian Podocnemis expansa in Brazil. Samples were collected from 75
chelonians from 3 locations, including a commercial breeding facility, an
indigenous subsistence breeding facility, and a wild population. Sauroplasma were
found in 72% (54/75) of the chelonians, and the prevalence varied among the
sampling sites. No significant correlations were found between the prevalence and
the sex and body condition index of the chelonians. The mean parasitemia rate was
44.14/2,000 erythrocytes (2.2%), and no significant correlation was found between
the parasitemia and sex and body condition index of the chelonians. These results
suggest that the parasite is not pathogenic to P. expansa. No ectoparasites were
found in the animals evaluated in the present study; however, due to the aquatic
habit of the chelonian, it is likely that the piroplasm is transmitted by leeches
and not by ticks, as would be expected for piroplasms.
PMID- 26561341
TI - CD40 ligand-expressing recombinant vaccinia virus promotes the generation of
CD8(+) central memory T cells.
AB - Central memory CD8(+) T cells (TCM ) play key roles in the protective immunity
against infectious agents, cancer immunotherapy, and adoptive treatments of
malignant and viral diseases. CD8(+) TCM cells are characterized by specific
phenotypes, homing, and proliferative capacities. However, CD8(+) TCM -cell
generation is challenging, and usually requires CD4(+) CD40L(+) T-cell "help"
during the priming of naive CD8(+) T cells. We have generated a replication
incompetent CD40 ligand-expressing recombinant vaccinia virus (rVV40L) to promote
the differentiation of human naive CD8(+) T cells into TCM specific for viral and
tumor-associated antigens. Soluble CD40 ligand recombinant protein (sCD40L), and
vaccinia virus wild-type (VV WT), alone or in combination, were used as controls.
Here, we show that, in the absence of CD4(+) T cells, a single "in vitro"
stimulation of naive CD8(+) T cells by rVV40L-infected nonprofessional CD14(+)
antigen presenting cells promotes the rapid generation of viral or tumor
associated antigen-specific CD8(+) T cells displaying TCM phenotypic and
functional properties. These observations demonstrate the high ability of rVV40L
to fine tune CD8(+) mediated immune responses, and strongly support the use of
similar reagents for clinical immunization and adoptive immunotherapy purposes.
PMID- 26561342
TI - Prospective randomized controlled trial to compare 3-dimensional conformal
radiotherapy to intensity-modulated radiotherapy in head and neck squamous cell
carcinoma: Long-term results.
AB - BACKGROUND: Grade >=2 acute xerostomia between 3D conformal radiotherapy (RT) and
intensity-modulated radiotherapy (IMRT) was evaluated in patients with head and
neck squamous cell carcinomas (HNSCCs) treated radically. METHODS: Between 2005
and 2007, 59 patients with HNSCC (T1-3, N0-2b) were randomized to IMRT or 3D-RT.
On RT, weekly xerostomia, dysphagia, dermatitis, and mucositis were graded by
Radiation Therapy Oncology Group (RTOG) acute toxicity criteria. Patients
underwent examination under anesthesia, positron emission tomography (PET)-CT,
and toxicity assessments per protocol (NCT00652613) thereafter. RESULTS:
Incidence of grade >=2 xerostomia at 8 weeks posttreatment was significantly
lower with IMRT compared with 3D conformal RT (24% vs 53%; p = .024). At
subsequent follow-up, significantly fewer patients receiving IMRT had grade >=2
xerostomia. Long-term weight loss was higher in patients in the 3D conformal RT
arm compared to IMRT (50% vs 21%; p = .038). Disease-related outcomes between
arms (median follow-up, 70 months) were similar. CONCLUSION: IMRT significantly
reduces incidence of acute and late grade >=2 xerostomia in patients with HNSCC.
(c) 2015 Wiley Periodicals, Inc. Head Neck 38: E1481-E1487, 2016.
PMID- 26561343
TI - Coated platelets and severe haemophilia A bleeding phenotype: Is there a
connection?
AB - INTRODUCTION: Coated platelets are a subpopulation of platelets that possess
highly prothrombotic properties. Previous observational data suggest that
bleeding phenotype in severe haemophilia A is associated with coated platelet
levels. Haemophilia A patients with higher coated platelet levels may have a mild
bleeding phenotype; those with lower levels may have a more severe bleeding
phenotype. AIM: The aim of the study was to test the hypothesis that coated
platelet levels are correlated with clinical bleeding phenotype. METHODS: This
cross-sectional, observational study enrolled 20 severe haemophilia A patients,
including 15 with severe and five with a mild bleeding phenotype, and a control
group of 12 healthy volunteers. The haemophilia bleeding phenotype was determined
by the patient's medical history and haemophilia treatment centre records. Blood
was obtained from each patient by venipuncture and platelets were analysed by
flow cytometry. RESULTS: Patients categorized as having a severe bleeding
phenotype experienced a median eight bleeds per year compared to one bleed
annually in the mild bleeding phenotype group. Both groups had similar total
platelet counts and fibrinogen levels. There was no difference in coated platelet
percentage between severe and mild bleeding phenotype (17 and 16% respectively),
however, both groups had significantly lower % coated platelets compared to
controls (44%, P < 0.0001). CONCLUSION: Coated platelet levels were not
associated with bleeding phenotype in this study; however, these data may suggest
coated platelet levels are lower in haemophilia patients relative to healthy
volunteers.
PMID- 26561344
TI - COGNIZER: A Framework for Functional Annotation of Metagenomic Datasets.
AB - BACKGROUND: Recent advances in sequencing technologies have resulted in an
unprecedented increase in the number of metagenomes that are being sequenced
world-wide. Given their volume, functional annotation of metagenomic sequence
datasets requires specialized computational tools/techniques. In spite of having
high accuracy, existing stand-alone functional annotation tools necessitate end
users to perform compute-intensive homology searches of metagenomic datasets
against "multiple" databases prior to functional analysis. Although, web-based
functional annotation servers address to some extent the problem of availability
of compute resources, uploading and analyzing huge volumes of sequence data on a
shared public web-service has its own set of limitations. In this study, we
present COGNIZER, a comprehensive stand-alone annotation framework which enables
end-users to functionally annotate sequences constituting metagenomic datasets.
The COGNIZER framework provides multiple workflow options. A subset of these
options employs a novel directed-search strategy which helps in reducing the
overall compute requirements for end-users. The COGNIZER framework includes a
cross-mapping database that enables end-users to simultaneously derive/infer
KEGG, Pfam, GO, and SEED subsystem information from the COG annotations. RESULTS:
Validation experiments performed with real-world metagenomes and
metatranscriptomes, generated using diverse sequencing technologies, indicate
that the novel directed-search strategy employed in COGNIZER helps in reducing
the compute requirements without significant loss in annotation accuracy. A
comparison of COGNIZER's results with pre-computed benchmark values indicate the
reliability of the cross-mapping database employed in COGNIZER. CONCLUSION: The
COGNIZER framework is capable of comprehensively annotating any metagenomic or
metatranscriptomic dataset from varied sequencing platforms in functional terms.
Multiple search options in COGNIZER provide end-users the flexibility of choosing
a homology search protocol based on available compute resources. The cross
mapping database in COGNIZER is of high utility since it enables end-users to
directly infer/derive KEGG, Pfam, GO, and SEED subsystem annotations from COG
categorizations. Furthermore, availability of COGNIZER as a stand-alone scalable
implementation is expected to make it a valuable annotation tool in the field of
metagenomic research. AVAILABILITY AND IMPLEMENTATION: A Linux implementation of
COGNIZER is freely available for download from the following links:
http://metagenomics.atc.tcs.com/cognizer,
https://metagenomics.atc.tcs.com/function/cognizer.
PMID- 26561345
TI - Minocycline inhibits peritoneal macrophages but activates alveolar macrophages in
acute pancreatitis.
AB - Minocycline is a tetracycline antibiotic that, in addition to its antimicrobial
function, has been reported to possess a relevant anti-inflammatory activity. Its
effects have been extensively evaluated in inflammatory-related neurological
diseases. Here, we evaluate its effect on the systemic inflammatory response in a
model of experimental acute pancreatitis. Minocycline treatment significantly
reduced the inflammation in pancreas and mesenterium, had no effect on the
adipose tissue inflammation, and increased the inflammatory response in the lung.
These differences seem to be related with different effects exerted on peritoneal
and alveolar macrophages. In vitro, minocycline reduced the expression of IL
1beta and inhibit the activation of nuclear factor kappa B (NF-kappaB) on
peritoneal macrophages, while it had no effect on alveolar macrophages. Our data
indicates that although minocycline may be useful as a tool to control some
inflammatory processes, differences on its effects depending on the population of
macrophages involved in the process can be expected. In the particular case of
acute pancreatitis, it could promote or potentiate inflammation in the lung so
that its use does not appear to be recommended.
PMID- 26561347
TI - Dose-Effect Relationship of Alkylating Agents on Testicular Function in Male
Survivors of Childhood Lymphoma.
AB - The purpose of our study was to assess the gonadal function in male survivors of
childhood lymphoma. We studied 171 male survivors of childhood lymphoma (83 with
B-cell non-Hodgkin lymphoma [B-NHL], 32 with T-cell non-Hodgkin lymphoma [T-NHL],
50 with Hodgkin lymphoma [HL], and 6 with anaplastic large-cell lymphoma [ALCL]),
measuring follicle-stimulating hormone [FSH] and luteinizing hormone [LH] levels
at a median age of 21.1 (17-30.4) years after a median delay of 9.3 (2-22.4)
years from treatment. FSH levels were above normal range (>=10 IU/L) in 42.1% and
LH levels >=8 IU/L in only 8.9% of survivors. In multivariate analysis, only the
following chemotherapeutic agents were associated with higher FSH or LH levels:
cyclophosphamide (P < .0001, .04), lomustine (CCNU; P = .002, 0.04), and
procarbazine (P < .0001, .07). No significant correlation was found between FSH
or LH levels and age or pubertal status at diagnosis. Mean FSH level was
significantly lower in NHL survivors treated more recently: 6 +/- 5.1 IU/L in B
NHL survivors treated since 1986 versus 12.3 +/- 5.4 IU/L for those treated
before 1981 (P = .0001), and 6.8 +/- 9.6 IU/L in T-NHL survivors treated since
1989 versus 9.4 +/- 5.7 IU/L for those treated before 1989 (P = .035). In HL,
mean FSH level was 12.4 +/- 9.9 IU/L following procarbazine containing
chemotherapy versus 3.4 +/- 1.9 IU/L in the absence of procarbazine and increased
significantly with the number of MOPP/OPPA (mechlorethamine, Oncovin
[vincristine], procarbazine, and prednisone/Oncovin, procarbazine, and
prednisone, and Adriamycin [doxorubicin]) courses received, from 6.8 +/- 5.7 IU/L
for 1-2 MOPP/OPPA to 12.6 +/- 7.5 for 3-4 MOPP/OPPA and 19.6 +/- 13.3 for more
than 4 MOPP/OPPA (P for trend = .006). Testicular toxicity of alkylating agents
on childhood lymphoma survivors is dose dependent and not correlated to
diagnosis, age, or pubertal status at diagnosis.
PMID- 26561346
TI - Simvastatin Impairs Insulin Secretion by Multiple Mechanisms in MIN6 Cells.
AB - Statins are widely used in the treatment of hypercholesterolemia and are
efficient in the prevention of cardiovascular disease. Molecular mechanisms
explaining statin-induced impairment in insulin secretion remain largely unknown.
In the current study, we show that simvastatin decreased glucose-stimulated
insulin secretion in mouse pancreatic MIN6 beta-cells by 59% and 79% (p<0.01) at
glucose concentration of 5.5 mmol/l and 16.7 mmol/l, respectively, compared to
control, whereas pravastatin did not impair insulin secretion. Simvastatin
induced decrease in insulin secretion occurred through multiple targets. In
addition to its established effects on ATP-sensitive potassium channels (p =
0.004) and voltage-gated calcium channels (p = 0.004), simvastatin suppressed
insulin secretion stimulated by muscarinic M3 or GPR40 receptor agonists (Tak875
by 33%, p = 0.002; GW9508 by 77%, p = 0.01) at glucose level of 5.5 mmol/l, and
inhibited calcium release from the endoplasmic reticulum. Impaired insulin
secretion caused by simvastatin treatment were efficiently restored by GPR119 or
GLP-1 receptor stimulation and by direct activation of cAMP-dependent signaling
pathways with forskolin. The effects of simvastatin treatment on insulin
secretion were not affected by the presence of hyperglycemia. Our observation of
the opposite effects of simvastatin and pravastatin on glucose-stimulated insulin
secretion is in agreement with previous reports showing that simvastatin, but not
pravastatin, was associated with increased risk of incident diabetes.
PMID- 26561348
TI - Adaptive Evolution of Eel Fluorescent Proteins from Fatty Acid Binding Proteins
Produces Bright Fluorescence in the Marine Environment.
AB - We report the identification and characterization of two new members of a family
of bilirubin-inducible fluorescent proteins (FPs) from marine chlopsid eels and
demonstrate a key region of the sequence that serves as an evolutionary switch
from non-fluorescent to fluorescent fatty acid-binding proteins (FABPs). Using
transcriptomic analysis of two species of brightly fluorescent Kaupichthys eels
(Kaupichthys hyoproroides and Kaupichthys n. sp.), two new FPs were identified,
cloned and characterized (Chlopsid FP I and Chlopsid FP II). We then performed
phylogenetic analysis on 210 FABPs, spanning 16 vertebrate orders, and including
163 vertebrate taxa. We show that the fluorescent FPs diverged as a protein
family and are the sister group to brain FABPs. Our results indicate that the
evolution of this family involved at least three gene duplication events. We show
that fluorescent FABPs possess a unique, conserved tripeptide Gly-Pro-Pro
sequence motif, which is not found in non-fluorescent fatty acid binding
proteins. This motif arose from a duplication event of the FABP brain isoforms
and was under strong purifying selection, leading to the classification of this
new FP family. Residues adjacent to the motif are under strong positive
selection, suggesting a further refinement of the eel protein's fluorescent
properties. We present a phylogenetic reconstruction of this emerging FP family
and describe additional fluorescent FABP members from groups of distantly related
eels. The elucidation of this class of fish FPs with diverse properties provides
new templates for the development of protein-based fluorescent tools. The
evolutionary adaptation from fatty acid-binding proteins to fluorescent fatty
acid-binding proteins raises intrigue as to the functional role of bright green
fluorescence in this cryptic genus of reclusive eels that inhabit a blue, nearly
monochromatic, marine environment.
PMID- 26561349
TI - Innovation Through Tradition: Rediscovering the "Humanist" in the Medical
Humanities.
AB - Throughout its fifty-year history, the role of the medical humanist and even the
name "medical humanities" has remained raw, dynamic and contested. What do we
mean when we call ourselves "humanists" and our practice "medical humanities?" To
address these questions, we turn to the concept of origin narratives. After
explaining the value of these stories, we focus on one particularly rich origin
narrative of the medical humanities by telling the story of how a group of
educators, ethicists, and scholars struggling to define their relatively new
field rediscovered the studia humanitatis, a Renaissance curriculum for learning
and teaching. Our origin narrative is composed of two intertwined stories-the
history of the studia humanitatis itself and the story of the scholars who
rediscovered it. We argue that as an origin narrative the studia humanitatis
grounds the medical humanities as both an engaged moral practice and pedagogical
project. In the latter part of the paper, we use this origin narrative to show
how medical humanists working in translational science can use their
understanding of their historical roots to do meaningful work in the world.
PMID- 26561350
TI - Emergency management of high-energy pelvic trauma.
AB - A fractured pelvis can be a significant cause of patient morbidity and mortality.
Injuries to the pelvis that result from high-energy trauma can be devastating,
and patients often have other associated injuries. This article reviews the
pathophysiology of pelvic fractures and how to classify and manage them in the
emergency setting in order to improve patient outcomes.
PMID- 26561351
TI - Intermittent steroid inhalation for the treatment of childhood asthma.
AB - Inhaled corticosteroids have long been considered a mainstay of therapy for
asthma in children. However, concerns over long-term side effects of chronic
steroid administration have led providers to turn to intermittent dosing of these
medications in an attempt to treat exacerbations while limiting total
corticosteroid received. The data have been somewhat mixed in this area, likely
at least partially due to the difficulty providers have in classifying asthma
phenotypes in young children. This review will analyze the evidence for chronic
daily inhaled corticosteroid use, intermittent inhaled corticosteroid use, and
dynamic dosing approaches utilizing inhaled corticosteroid/long-acting beta
agonist combination therapy.
PMID- 26561352
TI - Evaluation of WO2015042088 A1 - a novel urea-based scaffold for TrkA inhibition.
AB - Tropomyosin receptor kinases (TrkA/B/C) are involved in the development and
maintenance of the nervous system. TrkA is a target for chronic pain treatment
due to the central position of the nerve growth factor (NGF)/TrkA pathway in
nociception. Clinical evidence points toward mutated oncogenic Trk fusion
proteins retaining intact kinase domains as relevant targets for cancer
treatment. Merck pursues Trk inhibitors for inflammatory and neuropathic pain
treatment and has previously reported type I and II selective pan-Trk inhibitors.
This is the fifth filing by Merck disclosing urea-based Trk inhibitor series.
This application claims nonsymmetric 1-(9H-fluoren-9-yl)urea and 1-(9H-xanthen-9
yl)urea derivatives containing a wide range of 5- and 6-membered bi- or tri
heterocyclic fragments as TrkA inhibitors for the treatment of Trk-related
conditions. The exemplified compounds display IC50 values ranging from 27 to 4800
nM against TrkA. The TrkA inhibitors claimed confirm the emergence of
nonsymmetric ureas lacking the hinge-binding motif as a favored Trk inhibitor
structure. The compounds exemplified will likely be structurally optimized in the
future. Despite the lack of selectivity profiling, the progression of the Trk
inhibitor scaffold exploration by Merck also suggests that the compounds
disclosed in this patent likely constitute non-adenosine triphosphate (ATP)
competitive type III pan-Trk inhibitors.
PMID- 26561353
TI - The role of common genetic variation in educational attainment and income:
evidence from the National Child Development Study.
AB - We investigated the role of common genetic variation in educational attainment
and household income. We used data from 5,458 participants of the National Child
Development Study to estimate: 1) the associations of rs9320913, rs11584700 and
rs4851266 and socioeconomic position and educational phenotypes; and 2) the
univariate chip-heritability of each phenotype, and the genetic correlation
between each phenotype and educational attainment at age 16. The three SNPs were
associated with most measures of educational attainment. Common genetic variation
contributed to 6 of 14 socioeconomic background phenotypes, and 17 of 29
educational phenotypes. We found evidence of genetic correlations between
educational attainment at age 16 and 4 of 14 social background and 8 of 28
educational phenotypes. This suggests common genetic variation contributes both
to differences in educational attainment and its relationship with other
phenotypes. However, we remain cautious that cryptic population structure,
assortative mating, and dynastic effects may influence these associations.
PMID- 26561354
TI - Evaluation of the impact of RNA preservation methods of spiders for de novo
transcriptome assembly.
AB - With advances in high-throughput sequencing technologies, de novo transcriptome
sequencing and assembly has become a cost-effective method to obtain
comprehensive genetic information of a species of interest, especially in
nonmodel species with large genomes such as spiders. However, high-quality RNA is
essential for successful sequencing, and sample preservation conditions require
careful consideration for the effective storage of field-collected samples. To
this end, we report a streamlined feasibility study of various storage conditions
and their effects on de novo transcriptome assembly results. The storage
parameters considered include temperatures ranging from room temperature to -80
degrees C; preservatives, including ethanol, RNAlater, TRIzol and RNAlater-ICE;
and sample submersion states. As a result, intact RNA was extracted and assembly
was successful when samples were preserved at low temperatures regardless of the
type of preservative used. The assemblies as well as the gene expression profiles
were shown to be robust to RNA degradation, when 30 million 150-bp paired-end
reads are obtained. The parameters for sample storage, RNA extraction, library
preparation, sequencing and in silico assembly considered in this work provide a
guideline for the study of field-collected samples of spiders.
PMID- 26561355
TI - Intensive Hemodialysis and Mortality Risk in Australian and New Zealand
Populations.
AB - BACKGROUND: Intensive hemodialysis (HD) is characterized by increased frequency
and/or session length compared to conventional HD. Previous analyses from
Australia and New Zealand did not suggest benefit with intensive HD, although
recent research suggests that relationships have changed. We present updated
analyses. STUDY DESIGN: Observational cohort study using marginal structural
modeling to adjust for changes in renal replacement modality and time-varying
medical comorbid conditions. SETTING & PARTICIPANTS: Adults initiating renal
replacement therapy since March 31, 1996, followed up through December 31, 2012;
this analysis included 40,842 patients over 2,187,689 patient-months. PREDICTOR:
Time-varying renal replacement modality: conventional facility HD (<=3 times per
week, <=6 hours per session), quasi-intensive facility HD (between conventional
and intensive), intensive facility HD (>=5 times per week, any hours per
session), conventional home HD, quasi-intensive home HD, intensive home HD,
peritoneal dialysis, deceased donor kidney transplantation, and living donor
kidney transplantation. OUTCOMES: Patient mortality, with a 3-month lag in
primary analyses and 6- and 12-month lags in sensitivity analyses. RESULTS:
Conventional facility HD was the reference group. Conventional home HD had a
similar mortality risk. For quasi-intensive home HD, mortality risk was lower
(HR, 0.56; 95% CI, 0.44-0.73). For intensive home HD, mortality risk was
nonsignificantly lower in primary analyses and significantly lower using a 6
month lag (HR, 0.41; 95% CI, 0.20-0.85), but not using a 12-month lag. For quasi
intensive facility HD, mortality risk was nonsignificantly lower in primary
analyses, although significantly lower using 6- (HR, 0.41; 95% CI, 0.20-0.85) and
12-month lags (HR, 0.59; 95% CI, 0.44-0.80). Mortality risk was similar between
intensive and conventional facility HD. For peritoneal dialysis, mortality risk
was greater than for conventional facility HD (HR, 1.07; 95% CI, 1.03-1.12).
Kidney transplantation had the lowest mortality risk. LIMITATIONS: Potential
residual confounding from limited collection of comorbid condition,
socioeconomic, and medication data. CONCLUSIONS: There is an emerging HD dose
effect in Australia and New Zealand, with lower mortality risks associated with
some of the more intensive HD regimens in these countries.
PMID- 26561357
TI - Cell aging and kidney repair.
PMID- 26561358
TI - Activity of the enantiomers of erythro-3-hydroxyaspartate at glutamate
transporters and NMDA receptors.
AB - The enantiomers of erythro-3-hydroxyaspartate were tested for activity at
glutamate transporters and NMDA receptors. Both enantiomers inhibited glutamate
transporters in rat hippocampal crude synaptosomes and elicited substrate-like
activity at excitatory amino acid transporter 1, 2, and 3 as measured by voltage
clamp in the Xenopus oocyte expression system. The enantiomers had similar
affinities, but the D-enantiomer showed a lower maximal effect at excitatory
amino acid transporter 1, 2, and 3 than the L-enantiomer. Surprisingly, D-erythro
3-hydroxyaspartate was a potent NMDA receptor agonist with an EC50 value in rat
hippocampal neurons of 320 nM, whereas the L-enantiomer was 100-fold less potent.
L-erythro-3-hydroxyaspartate showed activity at both glutamate transporters and
NMDA receptors at concentrations that are reported to inhibit serine racemase,
indicating a lack of selectivity. This enantiomeric pair may assist in shedding
further light on the structural requirements for substrate activity at glutamate
transporters and for agonist activity at NMDA receptors. The erythro enantiomers
of 3-hydroxyaspartate had interesting and surprising effects on glutamate
neurotransmitter systems. L-erythro-3-hydroxyaspartate had activity at both
glutamate transporters (EAAT1/2/3) and NMDA receptors. D-erythro-3
hydroxyaspartate acted on EAATs, but was also identified as a highly potent NMDA
receptor agonist. These enantiomers shed further light on the structural
requirements for activity at EAATs and NMDA receptors.
PMID- 26561356
TI - Racial Disparities in Access to and Outcomes of Kidney Transplantation in
Children, Adolescents, and Young Adults: Results From the ESPN/ERA-EDTA (European
Society of Pediatric Nephrology/European Renal Association-European Dialysis and
Transplant Association) Registry.
AB - BACKGROUND: Racial disparities in kidney transplantation in children have been
found in the United States, but have not been studied before in Europe. STUDY
DESIGN: Cohort study. SETTING & PARTICIPANTS: Data were derived from the ESPN/ERA
EDTA Registry, an international pediatric renal registry collecting data from 36
European countries. This analysis included 1,134 young patients (aged <=19 years)
from 8 medium- to high-income countries who initiated renal replacement therapy
(RRT) in 2006 to 2012. FACTOR: Racial background. OUTCOMES & MEASUREMENTS:
Differences between racial groups in access to kidney transplantation, transplant
survival, and overall survival on RRT were examined using Cox regression analysis
while adjusting for age at RRT initiation, sex, and country of residence.
RESULTS: 868 (76.5%) patients were white; 59 (5.2%), black; 116 (10.2%), Asian;
and 91 (8.0%), from other racial groups. After a median follow-up of 2.8 (range,
0.1-3.0) years, we found that black (HR, 0.49; 95% CI, 0.34-0.72) and Asian (HR,
0.54; 95% CI, 0.41-0.71) patients were less likely to receive a kidney transplant
than white patients. These disparities persisted after adjustment for primary
renal disease. Transplant survival rates were similar across racial groups. Asian
patients had higher overall mortality risk on RRT compared with white patients
(HR, 2.50; 95% CI, 1.14-5.49). Adjustment for primary kidney disease reduced the
effect of Asian background, suggesting that part of the association may be
explained by differences in the underlying kidney disease between racial groups.
LIMITATIONS: No data for socioeconomic status, blood group, and HLA profile.
CONCLUSIONS: We believe this is the first study examining racial differences in
access to and outcomes of kidney transplantation in a large European population.
We found important differences with less favorable outcomes for black and Asian
patients. Further research is required to address the barriers to optimal
treatment among racial minority groups.
PMID- 26561359
TI - Parental perceptions of congenital cardiovascular malformations in their
children.
AB - We assessed parental attitudes towards congenital cardiovascular malformations in
their children in a cross-sectional study in Egypt. Parents face many problems
related to concerns about their child's prognosis, but these associations with
parental stress have never been evaluated in Egypt or examined in relation to
religiosity in a predominantly Muslim society. Accordingly, we conducted
interviews in Cairo with mothers of 99 sequential infants born with conotruncal
heart malformations (cases) and 65 mothers of age-matched controls. The survey
assessed healthcare access and usage, knowledge of congenital cardiovascular
malformations, religiosity, the Locus of Control Scale, and the Parenting Stress
Index. Results showed that 45% of the mothers of cases had correct knowledge
about their child's diagnosis; 85% were satisfied with the clinical care; and 79%
reported that the cost of care was burdensome. Compared with parents of cases,
parents of controls were more likely to report stress overall and all its
subscales. Regarding belief about locus of control over health, God as a
determining factor was given the highest endorsement. Mothers in the congenital
cardiovascular malformations group reported a higher level of parental locus of
control than did those in the control group. The correlations between stress and
locus of control were stronger in the control than in the case group. Religiosity
was related neither to stress nor to locus of control. Future studies can explore
the roles that personal, familial, and societal factors play in exacerbating or
reducing stress levels among parents of sick children, particularly in developing
countries where economic pressures are acute.
PMID- 26561360
TI - A new approach for designing disease intervention strategies in metapopulation
models.
AB - We describe a new approach for investigating the control strategies of
compartmental disease transmission models. The method rests on the construction
of various alternative next-generation matrices, and makes use of the type
reproduction number and the target reproduction number. A general metapopulation
SIRS (susceptible-infected-recovered-susceptible) model is given to illustrate
the application of the method. Such model is useful to study a wide variety of
diseases where the population is distributed over geographically separated
regions. Considering various control measures such as vaccination, social
distancing, and travel restrictions, the procedure allows us to precisely
describe in terms of the model parameters, how control methods should be
implemented in the SIRS model to ensure disease elimination. In particular, we
characterize cases where changing only the travel rates between the regions is
sufficient to prevent an outbreak.
PMID- 26561361
TI - Does the Heat Generation by the Thulium:Yttrium Aluminum Garnet Laser in the
Irrigation Fluid Allow Its Use on the Upper Urinary Tract? An Experimental Study.
AB - INTRODUCTION: The current experimental study aimed into evaluating the
temperature raise of the irrigation fluid caused by the use of the
Thulium:Yttrium aluminum garnet (Tm:YAG) laser. The study setting was designed to
replicate conditions of upper urinary tract (UT) surgery. MATERIALS AND METHODS:
An experimental setting was designed for the investigation of differences in the
temperature of the irrigation fluid in different flow rates, laser power
settings, and laser activation times and modes. The experimental configuration
included a burette equipped with a micrometric stopcock, a thermocouple, and a
modified 40-mL vessel. A Tm:YAG and Holmium:Yttrium aluminum garnet (Ho:YAG)
laser devices were used. RESULTS: The Tm:YAG in the continuous mode and in power
settings of 5, 10, and 20 W showed similar temperature changes during the 10
minute observation period. The temperatures of the Tm:YAG in the pulsed mode
tended to range within similar levels (46.8 degrees C-61 degrees C) with the
continuous mode (47.8 degrees C-68 degrees C) when power settings up to 20 W were
considered. When the higher power settings (50 and 100 W) were investigated, the
temperatures reached were significantly higher in both pulsed and continuous
modes. The Ho:YAG showed similar temperatures in comparison to the Tm:YAG in all
the flow rates and power settings. The temperatures ranged between 45.6 degrees C
and 68.7 degrees C. CONCLUSION: The Tm:YAG in the pulsed and continuous mode with
power settings up to 20 W seemed to have potential for UT use. By combining a
power setting at the above limit and a low flow rate (as low as 2 mL/minute), it
is possible to use the Tm:YAG with safety in terms of temperature.
PMID- 26561363
TI - Low-wind and other microclimatic factors in near-road black carbon variability: A
case study and assessment implications.
AB - Airborne black carbon from urban traffic is a climate forcing agent and has been
associated with health risks to near-road populations. In this paper, we describe
a case study of black carbon concentration and compositional variability at and
near a traffic-laden multi-lane highway in Cincinnati, Ohio, using an onsite
aethalometer and filter-based NIOSH Method 5040 measurements; the former measured
1-min average black carbon concentrations and the latter determined the levels of
organic and elemental carbon (OC and EC) averaged over an approximately 2-h time
interval. The results show significant wind and temperature effects on black
carbon concentration and composition in a way more complex than predicted by
Gaussian dispersion models. Under oblique low winds, namely ux [= u * sin(g=q)]~
(0,-0.5 m s-1), which mostly occurred during morning hours, black carbon
concentrations per unit traffic flow were highest and had large variation. The
variability did not always follow Gaussian dispersion but was characteristic of a
uniform distribution at a near-road distance. Under all other wind conditions,
the near-road black carbon variation met Gaussian dispersion characteristics.
Significant differences in roadside dispersion are observed between OC and EC
fractions, between PM2.5 and PM10-2.5, and between the morning period and rest of
the day. In a general case, the overall black carbon variability at the multi
lane highway can be stated as bimodal consisting of Gaussian dispersion and non
Gaussian uniform distribution. Transition between the two types depends on wind
velocity and wind angle to the traffic flow. In the order of decreasing
importance, the microclimatic controlling factors over the black carbon
variability are: 1) wind velocity and the angle with traffic; 2) diurnal
temperature variations due to thermal buoyancy; and 3) downwind Gaussian
dispersion. Combinations of these factors may have created various traffic
microclimate interactions that have significant impact on near-road black carbon
transport.
PMID- 26561362
TI - Molcas 8: New capabilities for multiconfigurational quantum chemical calculations
across the periodic table.
AB - In this report, we summarize and describe the recent unique updates and additions
to the Molcas quantum chemistry program suite as contained in release version 8.
These updates include natural and spin orbitals for studies of magnetic
properties, local and linear scaling methods for the Douglas-Kroll-Hess
transformation, the generalized active space concept in MCSCF methods, a
combination of multiconfigurational wave functions with density functional theory
in the MC-PDFT method, additional methods for computation of magnetic properties,
methods for diabatization, analytical gradients of state average complete active
space SCF in association with density fitting, methods for constrained fragment
optimization, large-scale parallel multireference configuration interaction
including analytic gradients via the interface to the Columbus package, and
approximations of the CASPT2 method to be used for computations of large systems.
In addition, the report includes the description of a computational machinery for
nonlinear optical spectroscopy through an interface to the QM/MM package Cobramm.
Further, a module to run molecular dynamics simulations is added, two surface
hopping algorithms are included to enable nonadiabatic calculations, and the DQ
method for diabatization is added. Finally, we report on the subject of
improvements with respects to alternative file options and parallelization.
PMID- 26561364
TI - Synthesis and in vitro cytotoxicity of novel C-12 substituted-14-deoxy
andrographolide derivatives as potent anti-cancer agents.
AB - Andrographolide, the major labdane diterpenoid from Andrographis paniculata has
been reported to be cytotoxic against various cancer cells in vitro. Our research
efforts led to the discovery of novel 12-phenyl thio and 12-aryl amino-14-deoxy
andrographolide derivatives (III q and III r) with potent cytotoxic activity, 12
benzyl amino-14-deoxy-andrographolide analogues showing broad range of cytotoxic
activity against most of the cell lines and 12-alkyl amino-14-deoxy
andrographolide derivatives being selective to few cell lines (PC-3 and HOP-92),
when the selected analogues were evaluated against 60 human cancer cell line
panel at National Cancer Institute (N.C.I.), USA. The SAR (structure activity
relationship) studies demonstrated potent activity for the compounds containing
the following functionalities at C-12: substituted aryl amino/phenyl
thio>benzylamine>alkyl amine. The significant cytotoxic activity observed for
compounds III q and III r suggest that these could serve as templates for further
optimization.
PMID- 26561365
TI - Synthesis, in vitro, and in vivo evaluation of novel functionalized quaternary
ammonium curcuminoids as potential anti-cancer agents.
AB - Novel functionalized quaternary ammonium curcuminoids have been synthesized from
piperazinyl curcuminoids and Baylis-Hillman reaction derived allyl bromides.
These molecules are found to be highly water soluble with increased cytotoxicity
compared to native curcumin against three cancer cell lines MIAPaCa-2, MDA-MB
231, and 4T1. Preliminary in vivo toxicity evaluation of a representative
curcuminoid 5a in healthy mice indicates that this molecule is well tolerated
based on normal body weight gains compared to control group. Furthermore, the
efficacy of 5a has been tested in a pancreatic cancer xenograft model of MIAPaCa
2 and has been found to exhibit good tumor growth inhibition as a single agent
and also in combination with clinical pancreatic cancer drug gemcitabine.
PMID- 26561366
TI - Processing and MHC class II presentation of exogenous soluble antigen involving a
proteasome-dependent cytosolic pathway in CD40-activated B cells.
AB - Activated B cells have the capacity to present antigen and induce immune
responses as potent antigen-presenting cells (APCs). As in other APCs, antigen
presentation by B cells involves antigen internalization, antigen processing, and
peptide loading onto MHC molecules. However, while the mechanism of antigen
processing has been studied extensively in other APCs, this pathway remains
elusive in B cells. The aim of this study was to investigate the MHC class II
processing pathway in CD40-activated B cells (CD40Bs), as a model for activated,
antigen-presenting B cells. Using CMV pp65 as a model antigen, we evaluated
processing and presentation of the CD4 + T-cell epitope 509-523 (K509) by human
CD40Bs in ELISPOT assays. As expected, stimulation of specific CD4 + T-cell
clones was attenuated after pretreatment of CD40Bs with inhibitors of classic
class II pathway components. However, proteasome inhibitors such as epoxomicin
limited antigen presentation as well. This suggests that the antigen is processed
in a non-classical, cytosolic MHC class II pathway. Further experiments with
truncated protein variants revealed involvement of the proteasome in processing
of the N and C extensions of the epitope. Access to the cytosol was shown to be
size dependent. Epoxomicin sensitivity exclusively in CD40B cells, but not in
dendritic cells, suggests a novel processing mechanism unique to this APC. Our
data suggest that B cells process antigen using a distinct, non-classical class
II pathway.
PMID- 26561367
TI - In alcoholic cirrhosis, low-serum hepcidin levels associate with poor long-term
survival.
AB - BACKGROUND & AIMS: Iron constitutes a potentially toxic element and consequently,
hepatic iron overload may accelerate liver disease progression and development of
hepatocellular carcinoma (HCC). Hepcidin is the central negative regulator of
iron metabolism that is produced primarily by the liver. METHODS: To study the
prognostic significance of serum hepcidin, we assessed the influence of baseline
serum hepcidin levels on the outcome of a French cohort encompassing 237 patients
with alcoholic cirrhosis prospectively followed up in the setting of HCC
screening. RESULTS: Hepcidin values correlated weakly with serum ferritin levels
(r = 0.33) and hepatic iron scores (r = 0.3). After a median follow-up of 68
months, patients with baseline lower hepcidin level had a higher risk of HCC
occurrence [hazard ratio, HR = 1.76 (1.01-3.06), P = 0.031] and overall death [HR
= 1.63 (1.07-2.44), P = 0.019]. According to Cox multivariate analyses, lower
hepcidin levels were independently associated with death [HR = 2.84 (1.29-6.25),
P = 0.009] along with higher Child-Pugh score while HCC occurrence was mainly
associated with clinical confounders interfering with iron metabolism (older age
and higher BMI, adjusted P-value for hepcidin = 0.119). CONCLUSIONS: In
conclusion, low-serum hepcidin levels in patients with alcoholic cirrhosis bear a
long-term prognostic significance warranting further explorations.
PMID- 26561368
TI - Multichannel Ultrasonic Data Communications in Air Using Range-Dependent
Modulation Schemes.
AB - There are several well-developed technologies of wireless communication such as
radio frequency (RF) and infrared (IR), but ultrasonic methods can be a good
alternative in some situations. A multichannel airborne ultrasonic data
communication system is described in this paper. ON-OFF keying (OOK) and binary
phase-shift keying (BPSK) modulation schemes were implemented successfully in the
system by using a pair of commercially available capacitive ultrasonic
transducers in a relatively low multipath indoor laboratory environment. Six
channels were used from 50 to 110 kHz with a channel spacing of 12 kHz, allowing
multiple 8-bit data packets to be transmitted simultaneously. The system data
transfer rate achieved was up to 60 kb/s and ultrasonic wireless synchronization
was implemented instead of using a hard-wired link. A model developed in the work
could accurately predict ultrasonic signals through the air channels. Signal root
mean square (rms) values and system bit error rates (BERs) were analyzed over
different distances. Error-free decoding was achieved over ranges up to 5 m using
a multichannel OOK modulation scheme. To obtain the highest data transfer rate
and the longest error-free transmission distance, a range-dependent multichannel
scheme with variable data rates, channel frequencies, and different modulation
schemes, was also studied in the work. Within 2 m, error-free transmission was
achieved using a five-channel OOK with a data rate of 63 kb/s. Between 2 and 5 m,
six-channel OOK with 60 kb/s data transfer rate was error free. Beyond 5 m, the
error-free transmission range could be extended up to 10 m using three-channel
BPSK with a reduced data rate of 30 kb/s. The situation when two transducers were
misaligned using three-channel OOK and BPSK schemes was also investigated in the
work. It was concluded that error-free transmission could still be achieved with
a lateral displacement of less than 7% and oblique angles of less than 7 degrees
, and three-channel BPSK proved to be more robust than three-channel OOK with
transducer misalignment.
PMID- 26561371
TI - Comorbid obsessive-compulsive disorder with bipolar disorder: A distinct form?
AB - We examined whether the patients with Bipolar Disorder (BD) and Obsessive
Compulsive Disorder (OCD) comorbidity may represent a distinct form of BD. The
subjects diagnosed with BD (n=48), OCD (n=61), and BD with OCD (n=32) were
compared in terms of several socio-demographic and clinical characteristics.
Previous history of suicidal attempts was more likely to be higher in BD-OCD
group compared to the other two groups. A more episodic course of OCD, higher
rates of rapid cycling, and the seasonality were found in BD-OCD patients. The
frequency of bipolar II and NOS subtypes was more prevalent in patients with BD
OCD than in OCD patients. The first diagnosed illness was BD in the majority of
BD-OCD cases. It was found that first affective episode was major depression in
half of BD-OCD patients. Age at onset of BD was found to be earlier in BD-OCD
group compared to pure BD patients. Bipolarity may not have a specific effect on
the phenomenology of OC symptoms. The episodic course of OCD, seasonality, rapid
cycling, earlier onset of BD, and impulsivity in BD-OCD patients may be
indicative for a distinct form of BD.
PMID- 26561370
TI - Alginate Microspheres Containing Temperature Sensitive Liposomes (TSL) for MR
Guided Embolization and Triggered Release of Doxorubicin.
AB - OBJECTIVE: The objective of this study was to develop and characterize alginate
microspheres suitable for embolization with on-demand triggered doxorubicin (DOX)
release and whereby the microspheres as well as the drug releasing process can be
visualized in vivo using MRI. METHODS AND FINDINGS: For this purpose, barium
crosslinked alginate microspheres were loaded with temperature sensitive
liposomes (TSL/TSL-Ba-ms), which release their payload upon mild hyperthermia.
These TSL contained DOX and [Gd(HPDO3A)(H2O)], a T1 MRI contrast agent, for real
time visualization of the release. Empty alginate microspheres crosslinked with
holmium ions (T2* MRI contrast agent, Ho-ms) were mixed with TSL-Ba-ms to allow
microsphere visualization. TSL-Ba-ms and Ho-ms were prepared with a homemade
spray device and sized by sieving. Encapsulation of TSL in barium crosslinked
microspheres changed the triggered release properties only slightly: 95% of the
loaded DOX was released from free TSL vs. 86% release for TSL-Ba-ms within 30
seconds in 50% FBS at 42 degrees C. TSL-Ba-ms (76 +/- 41 MUm) and Ho-ms (64 +/-
29 MUm) had a comparable size, which most likely will result in a similar in vivo
tissue distribution after an i.v. co-injection and therefore Ho-ms can be used as
tracer for the TSL-Ba-ms. MR imaging of a TSL-Ba-ms and Ho-ms mixture (ratio
95:5) before and after hyperthermia allowed in vitro and in vivo visualization of
microsphere deposition (T2*-weighted images) as well as temperature-triggered
release (T1-weighted images). The [Gd(HPDO3A)(H2O)] release and clusters of
microspheres containing holmium ions were visualized in a VX2 tumor model in a
rabbit using MRI. CONCLUSIONS: In conclusion, these TSL-Ba-ms and Ho-ms are
promising systems for real-time, MR-guided embolization and triggered release of
drugs in vivo.
PMID- 26561372
TI - Spectral separation of optical spin based on antisymmetric Fano resonances.
AB - We propose a route to the spectral separation of optical spin angular momentum
based on spin-dependent Fano resonances with antisymmetric spectral profiles. By
developing a spin-form coupled mode theory for chiral materials, the origin of
antisymmetric Fano spectra is clarified in terms of the opposite temporal phase
shift for each spin, which is the result of counter-rotating spin eigenvectors.
An analytical expression of a spin-density Fano parameter is derived to enable
quantitative analysis of the Fano-induced spin separation in the spectral domain.
As an application, we demonstrate optical spin switching utilizing the extreme
spectral sensitivity of the spin-density reversal. Our result paves a path toward
the conservative spectral separation of spins without any need of the magneto
optical effect or circular dichroism, achieving excellent purity in spin density
superior to conventional approaches based on circular dichroism.
PMID- 26561373
TI - Induction and Maintenance of Anti-HBs in Immunosuppressed Rats After Liver
Transplantation with HBsAg-pulsed Dendritic Cell Complex.
AB - BACKGROUND: This study aimed to investigate the efficacy of hepatitis B surface
antigen (HBsAg)-pulsed dendritic cell (DC) complex in the induction and
maintenance of anti-HBs in immunosuppressed rats after liver transplantation.
MATERIAL AND METHODS: Lewis-Brown Norway (BN) rat liver transplantation models
were successfully established. Recipients were injected with tacrolimus (2 mg/Kg)
daily post-operation for three months to maintain immunosuppression state; the
recipients were then randomly divided into two groups: HBsAg-DC group (n=15)
comprised rats intraperitoneally injected with HBsAg-DC complex at 14 and 28 d
post-surgery and HBsAg group (n=15) comprised rats injected with HBsAg (200 MUL)
once a week for 12 weeks. Untreated rats post-transplantation were included in
the control group (n=5). Histopathological changes were detected by light
microscopy and transmission electron microscopy; mRNA expressions of IL-2 and IFN
gamma in graft liver were analyzed through real-time polymerase chain reaction.
Serum IL-2 and IFN-gamma levels and anti-HB titer were detected through enzyme
linked immunosorbent assay. Changes in CD4+ and CD8+ T cells in the blood were
detected through flow cytometry. RESULTS: IL-2 and IFN-gamma expressions were
lower in HBsAg-DC and HBsAg groups than in the control group (P<0.05). A high
FK506 dose also induced a milder allograft rejection than the control dose. These
findings showed that a high FK506 dose caused immunosuppression in rats after
liver transplantation. A high anti-HB titer was detected in the HBsAg-DC group in
one, two, and three months post-operation; by contrast, anti-HB titer was barely
detected in the HBsAg group. CONCLUSIONS: High anti-HB titers could be induced
and maintained in immunosuppressed rats; therefore, HBsAg-DC complex may prevent
HBV reinfection after recipients undergo liver transplantation.
PMID- 26561374
TI - The Feasibility and Safety of Adopting Single-Incision Laparoscopic Surgery into
Gynecologic Oncology Practice.
AB - STUDY OBJECTIVE: To determine the complications associated with single-incision
laparoscopy in gynecologic oncology surgery. DESIGN: A retrospective cohort
(Canadian Task Force classification II-3). SETTING: A single academic
institution. PATIENTS: One hundred fifteen consecutive patients undergoing single
incision laparoscopy with suspected gynecologic oncology conditions.
INTERVENTIONS: Single-incision laparoscopy. MEASUREMENTS AND MAIN RESULTS: One
hundred fifteen patients underwent single-incision laparoscopy. The mean age was
55.3 +/- 13.1 years. For procedures completed via single-incision laparoscopy
(102/115 [88.7%]), the mean operative time was 130.7 +/- 55.5 minutes. The
average blood loss was 63 +/- 111 mL. The conversion to open rate was 13 of 115
(12.17%). The conversion rate of the 55 patients with benign conditions was lower
(2/55 [3.64%]) compared with the 60 patients with malignant conditions (11/60
[18.33%]). The hernia rate was 2 of 115 (1.80%), 1 of which was a recurrent
hernia. The median time for follow-up was 30 days (range, 5-653 days).
CONCLUSION: Single-incision laparoscopy provides a feasible, safe, and promising
minimally invasive modality for treating gynecologic oncology patients.
PMID- 26561375
TI - Multi-steps green process for synthesis of six-membered functional cyclic
carbonate from trimethylolpropane by lipase catalyzed methacrylation and
carbonation, and thermal cyclization.
AB - A highly functionalized six-membered cyclic carbonate, methacrylated
trimethylolpropane (TMP) cyclic carbonate, which can be used as a potential
monomer for bisphenol-free polycarbonates and isocyanate-free polyurethanes, was
synthesized by two steps transesterifications catalyzed by immobilized Candida
antarctica lipase B, Novozym((r)) 435 (N435) followed by thermal cyclization. TMP
was functionalized as 70 to 80% selectivity of mono-methacrylate with 70%
conversion was achieved, and the reaction rate was evaluated using various acyl
donors such as methacrylic acid, methacrylate-methyl ester, -ethyl ester, and
vinyl ester. As a new observation, the fastest rate obtained was for the
transesterfication reaction using methacrylate methyl ester. Byproducts resulted
from leaving groups were adsorbed on the molecular sieves (4A) to minimize the
effect of leaving group on the equilibrium. The difference of reaction rate was
explained by molecular dynamic simulations on interactions between carbonyl
oxygen and amino acid residues (Thr 40 and Glu 157) in the active site of lipase.
Our docking studies revealed that as acyl donor, methyl ester was preferred for
the initial conformation of the first tetrahederal intermediate with hydrogen
bonding interactions. TMP-monomethacrylate (TMP-mMA) cyclic carbonate was
obtained in 63% yield (74.1% calculated in 85% conversion) from the lipase
catalyzed carbonation reaction of TMP-mMA with dimethylcarbonate, and followed by
thermal cyclization of the monocarbonate at 90 degrees C. From the multiple
reactions demonstrated in gram scale, TMP-mMA cyclic carbonate was obtained as a
green process without using chlorinated solvent and reagent.
PMID- 26561376
TI - Virtual reality exposure using three-dimensional images for the treatment of
social phobia.
AB - OBJECTIVE: To test a potential treatment for social phobia, which provides
exposure to phobia-inducing situations via computer-generated, three-dimensional
images, using an open clinical trial design. METHODS: Twenty-one patients with a
DSM-IV diagnosis of social phobia took part in the trial. Treatment consisted of
up to 12 sessions of exposure to relevant images, each session lasting 50
minutes. RESULTS: Improvements in social anxiety were seen in all scales and
instruments used, including at follow-up 6 months after the end of treatment. The
average number of sessions was seven, as the participants habituated rapidly to
the process. Only one participant dropped out. CONCLUSION: This study provides
evidence that exposure to computer-generated three-dimensional images is
relatively inexpensive, leads to greater treatment adherence, and can reduce
social anxiety. Further studies are needed to corroborate these findings.
PMID- 26561377
TI - Co-occurrence of communication disorder and psychiatric disorders in maltreated
children and adolescents: relationship with global functioning.
AB - OBJECTIVE: To study the co-occurrence of psychiatric disorders (PD) and
communication disorders (CD) and their relationship with global functioning in
maltreated children and adolescents. METHODS: The sample comprised 143 maltreated
children and adolescents (55.8% male). All underwent clinical communication and
psychiatric evaluations, as well as global functioning assessment using the
Children's Global Assessment Scale (C-GAS). RESULTS: Four groups emerged from
evaluation: Group 1 (n=7, 4.9%) did not exhibit any disorders; Group 2 (n=26,
18.2%) exhibited PD; Group 3 (n=34, 23.8%) exhibited CD; and Group 4 (n=76,
53.1%) exhibited both PD and CD on evaluation. Significant differences in global
functioning scores were found between G1 and G2, G1 and G4, G2 and G4, and G3 and
G4, with the highest C-GAS scores found in G1 and the lowest in G4. CONCLUSION:
Rates of PD and CD are high in this maltreated population. The presence of PD has
a major impact on C-GAS score, and the simultaneous presence of CD increases the
already impaired function of PD. Demonstration of the additive effects of PD and
CD on youth functioning suggests that professionals should be alert to the
presence of both disorders to better act preventively and therapeutically in a
high-risk population.
PMID- 26561378
TI - Survival, Hospitalization, and Acute-Care Costs of Very and Moderate Preterm
Infants in the First 6 Years of Life: A Population-Based Study.
AB - OBJECTIVES: To investigate survival, hospitalization, and acute-care costs of
very (28-31 weeks' gestation) and moderate preterm (32-33 weeks' gestation)
infants in the first 6 years of life and compare outcomes with the more widely
studied extremely preterm infants (24-27 weeks' gestation) and to full term (low
risk) infants (39-40 weeks' gestation). STUDY DESIGN: Birth data from all women
residing in New South Wales, Australia, with gestational ages between 24-33 and
39-40 weeks in 2001-2011 were linked probabilistically to hospitalization and
mortality data. Study outcomes were evaluated with the use of descriptive and
multivariable analyses at birth (N = 559,532), discharge (N = 540,240), and at 1
(N = 487,447) and 6 years of age (N = 230,498). RESULTS: Mortality was greatest
among extremely preterm infants (eg, 31.2% within 6 years) and decreased with
increasing gestational age. Likewise, hospitalization within the first year of
life increased with decreasing gestational age (aOR 5.5 [95% CI 4.7-6.4], 3.7
[3.4-4.0], and 2.6 [2.5-2.8] for birth at 24-27, 28-31, and 32-33 weeks'
gestation, relative to 39-40 weeks' gestation). Hospitalization remained
significantly increased with preterm birth at each year of age up to 6 years
(aORs 1.3-1.6 at 6 years). Cumulative costs were significantly greater with
preterm birth within the first year of life, and also between 1 and 6 years of
age. CONCLUSIONS: The risks of adverse health outcomes were significantly greater
in very and moderately preterm infants relative to full term infants but lower
than extremely preterm infants. Crucially, preterm birth was associated with
prolonged increased odds of hospitalization (up to age 6 years), contributing to
greater resource use.
PMID- 26561379
TI - Prescription Use among Children with Autism Spectrum Disorders in Northern New
England: Intensity and Small Area Variation.
AB - OBJECTIVE: To measure prescription use intensity and regional variation of
psychotropic and 2 important nonpsychotropic drug groups among children with
autism spectrum disorders (ASDs) compared with children in the general
population. STUDY DESIGN: Cross-sectional study of ambulatory prescription fills
from Maine, Vermont, and New Hampshire all-payer administrative data, 2007-2010.
RESULTS: Overall there were 13,100 children diagnosed with ASD (34,584 person
years [PYs]) and 936,721 (1.7 million PYs) without ASD diagnosis. The overall
prescription fill rate was 16.6 per PY in children with ASD and 4.1 per PY in the
general population. Psychotropic use among children with ASDs was 9-fold the
general population rate (7.80 vs 0.85 fills per PY); these children comprised
2.0% of the pediatric population but received 15.6% of psychotropics.
Nonpsychotropic drug use was also higher in the population with ASD, particularly
the youngest: among those under age 3 years, antibiotic use was 2-fold and
antacid use nearly 5-fold the general population rate (3.2 vs 1.4 and 1.0 vs 0.2
per PY, respectively). Among children with ASDs, prescription use varied
substantially across hospital service areas, as much as 3-fold for antacids and
alpha agonists, more than 4-fold for benzodiazepines (5th to 95th percentile).
CONCLUSIONS: The overall psychotropic and nonpsychotropic prescription intensity
among children with ASDs is characterized by broad regional variation, suggesting
diverse provider responses to pharmacotherapeutic uncertainty. This variation
highlights a need for more research, practice-based learning, and shared decision
making with caregivers surrounding therapy for children with ASDs.
PMID- 26561380
TI - Global and Regional Derangements of Cerebral Blood Flow and Diffusion Magnetic
Resonance Imaging after Pediatric Cardiac Arrest.
AB - OBJECTIVE: To quantify and examine the relationship between global and regional
cerebral blood flow (CBF) and water diffusion on brain magnetic resonance imaging
(MRI) in children after cardiac arrest. STUDY DESIGN: Children admitted to a
tertiary care children's hospital from July 2011 to April 2013 who received a
brain MRI within 2 weeks after cardiac arrest that included arterial spin
labeling and apparent diffusion coefficient (ADC) sequences were studied. CBF and
ADC values were calculated globally and in 19 regions of interest. Outcome
variables included survival and favorable neurologic outcome, which was defined
as Pediatric Cerebral Performance Category <=3 at 6 months. We examined global
and regional relationships between CBF and ADC and their association with
outcome. RESULTS: This sample included 14 pediatric patients (mean time to MRI 6
+/- 4 days), 9 of whom survived and 6 who survived with favorable outcome. Global
ADC was significantly decreased in patients with unfavorable outcome (P = .02).
Increased CBF and decreased ADC often were colocalized in the same region,
especially in children who had unfavorable outcomes. CONCLUSIONS: In this
exploratory study, global restricted water diffusion on ADC after pediatric
cardiac arrest was associated with unfavorable outcome. MRI assessments of
perfusion and diffusion may have prognostic value after pediatric cardiac arrest.
PMID- 26561381
TI - Reduced Bone Mineral Density Is Associated with Celiac Disease Autoimmunity in
Children with Type 1 Diabetes.
AB - OBJECTIVE: To evaluate the association between bone mineral density (BMD),
glycemic control (hemoglobin A1c [HbA1c]), and celiac autoimmunity in children
with type 1 diabetes mellitus (T1D) and in an appropriate control population.
STUDY DESIGN: BMD was assessed cross-sectionally in 252 children with T1D (123
positive for anti-tissue transglutaminase antibody [tTGA] and 129 matched
children who were negative for tTGA). In addition, BMD was assessed in 141
children without diabetes who carried T1D-associated HLD-DR, DQ genotypes (71
positive for tTGA and 70 negative). RESULTS: Children with T1D who were positive
for tTGA had significantly worse BMD L1-L4 z-score compared with children with
T1D who were negative for tTGA (-0.45 +/- 1.22 vs 0.09 +/- 1.10, P = .0003). No
differences in growth measures, urine N-telopeptides, 25-hydroxyvitamin D,
ferritin, thyroid stimulating hormone, or HbA1c were found. However, both higher
HbA1c (beta = -1.25 +/- 0.85, P = .0016) and tTGA (beta = -0.13 +/- 0.05, P =
.0056) were significant and independent predictors of lower BMD in multivariate
analyses. No differences in BMD or other variables measured were found between
children without diabetes who were positive vs negative for tTGA. CONCLUSIONS:
The results suggest a synergistic effect of hyperglycemia and celiac autoimmunity
on low BMD.
PMID- 26561382
TI - Estimates of the energy deficit required to reverse the trend in childhood
obesity in Australian schoolchildren.
AB - OBJECTIVES: To estimate: 1) daily energy deficit required to reduce the weight of
overweight children to within normal range; 2) time required to reach normal
weight for a proposed achievable (small) target energy deficit of 0.42 MJ/day; 3)
impact that such an effect may have on prevalence of childhood overweight.
METHODS: Body mass index and fitness were measured in 31,424 Australian school
children aged between 4.5 and 15 years. The daily energy deficit required to
reduce weight to within normal range for the 7,747 (24.7%) overweight children
was estimated. Further, for a proposed achievable target energy deficit of 0.42
MJ/day, the time required to reach normal weight was estimated. RESULTS: About
18% of children were overweight and 6.6% obese; 69% were either sedentary or
light active. If an energy deficit of 0.42 MJ/day could be achieved, 60% of
overweight children would reach normal weight and the current prevalence of
overweight of 24.7% (24.2%-25.1%) would be reduced to 9.2% (8.9%-9.6%) within
about 15 months. CONCLUSIONS: The prevalence of overweight in Australian school
children could be reduced significantly within one year if even a small daily
energy deficit could be achieved by children currently classified as overweight
or obese.
PMID- 26561383
TI - Yb3+/Er3+-Codoped Bi2O3 Nanospheres: Probe for Upconversion Luminescence Imaging
and Binary Contrast Agent for Computed Tomography Imaging.
AB - In this work, water-soluble Yb(3+)/Er(3+) codoped Bi2O3 upconversion (UC)
nanospheres with uniform morphology have been successfully synthesized via a
solid-state-chemistry thermal decomposition process. With 980 nm near-infrared
irradiation, the Bi2O3:Yb(3+)/Er(3+) nanospheres have bright UC luminescence
(UCL). Moreover, multicolor UC emissions (from green to red) can be tuned by
simply changing the Yb(3+) ions doping concentration. After citric acid molecules
were grafted on the surface of Bi2O3:20% Yb(3+)/2% Er(3+) nanospheres, the MTT
assay on HeLa cells and CCK-8 assay on osteoblasts show that the UC nanospheres
exhibit excellent stability and biocompatibility. The possibility of using these
nanoprobes with red UCL for optical imaging in vivo has been demonstrated.
Furthermore, Bi(3+) and Yb(3+) containing nanospheres as binary contrast agent
also exhibited significant enhancement of contrast efficacy than iodine-based
contrast agent via X-ray computed tomography (CT) imaging at different voltage
setting (80-140 kVp), indicating they have potential as CT imaging contrast
agent. Thus, Yb(3+)/Er(3+) codoped Bi2O3 nanospheres could be used as dual
modality probe for optical and CT imagings.
PMID- 26561384
TI - ActicoatTM stimulates inflammation, but does not delay healing, in acute full
thickness excisional wounds.
AB - ActicoatTM has antimicrobial and anti-inflammatory effects which aid wound
healing. However, in vitro studies indicate that ActicoatTM is cytotoxic and
clinical and in vivo studies suggest that it may delay healing in acute wounds.
Therefore, this study investigated the effects of ActicoatTM on healing in acute
full-thickness excisional wounds. Using a porcine model, healing was assessed on
days 3, 6, 9 and 15 post-wounding. Five wounds dressed with ActicoatTM and five
wounds dressed with polyurethane film (control) were assessed per day (n = 40
wounds). The rate of healing, inflammatory response, restoration of the
epithelium and blood vessel and collagen formation were evaluated. No difference
was found in the rate of healing between wounds treated with ActicoatTM and the
control wounds. Inflammation was increased in ActicoatTM-treated wounds on day 3
post-wounding compared to the control wounds. However, by day 15 post-wounding,
the epithelium of the ActicoatTM-treated wounds closely resembled normal
epithelium. ActicoatTM-treated wounds also contained a higher proportion of
mature blood vessels, and differences in collagen deposition were apparent.
Despite inducing an inflammatory response, ActicoatTM did not delay healing in
acute wounds. Conversely, the improved quality of the epithelium and blood
vessels within ActicoatTM-treated wounds indicates that ActicoatTM has a
beneficial effect on healing.
PMID- 26561385
TI - Enhancing Hematite Photoanode Activity for Water Oxidation by Incorporation of
Reduced Graphene Oxide.
AB - Two effective methods to prepare reduced graphene oxide (rGO)/hematite
nanostructured photoanodes and their photoelectrochemical characterization
towards water splitting reactions are presented. First, graphene oxide (GO) is
reduced to rGO using hydrazine in a basic solution containing tetrabutylammonium
hydroxide (TBAOH), and then deposited over the nanostructured hematite
photoanodes previously treated at 750 degrees C for 30 min. The second method
follows the deposition of a paste containing a mixture of hematite nanoparticles
and rGO sheets by the doctor-blade method, varying the rGO concentration. Since
hematite suffers from low electron mobility, a low absorption coefficient, high
recombination rates and slow reaction kinetics, the incorporation of rGO in the
hematite can overcome such limitations due to graphene's exceptional properties.
Using the first method, the rGO incorporation results in a photocurrent density
increase from 0.56 to 0.82 mA cm(-2) at 1.23 VRHE. Our results indicate that the
rGO incorporation in the hematite photoanodes shows a positive effect in the
reduction of the electron-hole recombination rate.
PMID- 26561386
TI - Oral antibiotics increase blood neutrophil maturation and reduce bacteremia and
necrotizing enterocolitis in the immediate postnatal period of preterm pigs.
AB - Immature immunity may predispose preterm neonates to infections and necrotizing
enterocolitis (NEC). Intravenous antibiotics are frequently given to prevent and
treat sepsis, while oral antibiotics are seldom used. We hypothesized that oral
antibiotics promote maturation of systemic immunity and delay gut bacterial
colonization and thereby protect preterm neonates against both NEC and bacteremia
in the immediate postnatal period. Preterm pigs were given formula and
administered saline (CON) or broad-spectrum antibiotics orally (ORA) or
systemically (SYS) for 5 d after birth. Temporal changes in blood parameters and
bacterial composition in the intestine, blood and immune organs were analyzed.
Newborn preterm pigs had few blood neutrophils and a high frequency of progenitor
cells. Neutrophils gradually matured after preterm birth with increasing CD14 and
decreasing CD172a expressions. Preterm neutrophil and monocyte TLR2 expression
and TLR2-mediated blood cytokine responses were low relative to adults. ORA pigs
showed enhanced blood neutrophil maturation with reduced cell size and CD172a
expression. Only ORA pigs, but not SYS pigs, were protected from a high density
of gut Gram-positive bacteria, high gut permeability, Gram-positive bacteremia
and NEC. Neonatal oral antibiotics may benefit mucosal and systemic immunity via
delayed gut colonization and enhanced blood neutrophil maturation just after
preterm birth.
PMID- 26561387
TI - Electronic Health Record Adoption In US Hospitals: Progress Continues, But
Challenges Persist.
AB - Achieving nationwide adoption of electronic health records (EHRs) remains an
important policy priority. While EHR adoption has increased steadily since 2010,
it is unclear how providers that have not yet adopted will fare now that federal
incentives have converted to penalties. We used 2008-14 national data, which
includes the most recently available, to examine hospital EHR trends. We found
large gains in adoption, with 75 percent of US hospitals now having adopted at
least a basic EHR system--up from 59 percent in 2013. However, small and rural
hospitals continue to lag behind. Among hospitals without a basic EHR system, the
function most often not yet adopted (in 61 percent of hospitals) was physician
notes. We also saw large increases in the ability to meet core stage 2 meaningful
use criteria (40.5 percent of hospitals, up from 5.8 percent in 2013); much of
this progress resulted from increased ability to meet criteria related to
exchange of health information with patients and with other physicians during
care transitions. Finally, hospitals most often reported up-front and ongoing
costs, physician cooperation, and complexity of meeting meaningful-use criteria
as challenges. Our findings suggest that nationwide hospital EHR adoption is in
reach but will require attention to small and rural hospitals and strategies to
address financial challenges, particularly now that penalties for lack of
adoption have begun.
PMID- 26561388
TI - X-ray photoemission analysis of clean and carbon monoxide-chemisorbed
platinum(111) stepped surfaces using a curved crystal.
AB - Surface chemistry and catalysis studies could significantly gain from the
systematic variation of surface active sites, tested under the very same
conditions. Curved crystals are excellent platforms to perform such systematics,
which may in turn allow to better resolve fundamental properties and reveal new
phenomena. This is demonstrated here for the carbon monoxide/platinum system. We
curve a platinum crystal around the high-symmetry (111) direction and carry out
photoemission scans on top. This renders the spatial core-level imaging of carbon
monoxide adsorbed on a 'tunable' vicinal surface, allowing a straightforward
visualization of the rich chemisorption phenomenology at steps and terraces.
Through such photoemission images we probe a characteristic elastic strain
variation at stepped surfaces, and unveil subtle stress-release effects on clean
and covered vicinal surfaces. These results offer the prospect of applying the
curved surface approach to rationally investigate the chemical activity of
surfaces under real pressure conditions.
PMID- 26561389
TI - Comparison of Three Whole-Cell Pertussis Vaccines in the Baboon Model of
Pertussis.
AB - Pertussis is a highly contagious respiratory illness caused by the bacterial
pathogen Bordetella pertussis. Pertussis rates in the United States have
escalated since the 1990s and reached a 50-year high of 48,000 cases in 2012.
While this pertussis resurgence is not completely understood, we previously
showed that the current acellular pertussis vaccines do not prevent colonization
or transmission following challenge. In contrast, a whole-cell pertussis vaccine
accelerated the rate of clearance compared to rates in unvaccinated animals and
animals treated with the acellular vaccine. In order to understand if these
results are generalizable, we used our baboon model to compare immunity from
whole-cell vaccines from three different manufacturers that are approved outside
the United States. We found that, compared to clearance rates with no vaccine and
with an acellular pertussis vaccine, immunization with any of the three whole
cell vaccines significantly accelerated the clearance of B. pertussis following
challenge. Whole-cell vaccination also significantly reduced the total
nasopharyngeal B. pertussis burden, suggesting that these vaccines reduce the
opportunity for pertussis transmission. Meanwhile, there was no difference in
either the duration or in B. pertussis burden between unvaccinated and acellular
pertussis-vaccinated animals, while previously infected animals were not
colonized following reinfection. We also determined that transcription of the
gene encoding interleukin-17 (IL-17) was increased in whole-cell-vaccinated and
previously infected animals but not in acellular-pertussis-vaccinated animals
following challenge. Together with our previous findings, these data are
consistent with a role for Th17 responses in the clearance of B. pertussis
infection.
PMID- 26561390
TI - Persistence of Antibody to Influenza A/H5N1 Vaccine Virus: Impact of AS03
Adjuvant.
AB - The adjuvant AS03 is stockpiled for future formulations with new and existing
vaccines for the control of pandemic influenza virus. We previously reported the
immunogenicity of an A/H5N1 vaccine extemporaneously mixed with the AS03 adjuvant
for 42 days following vaccination. This report extends those findings to 1 year
after vaccination.
PMID- 26561391
TI - Cerebral salt wasting after traumatic brain injury: a review of the literature.
AB - Electrolyte imbalances are common among patients with traumatic brain injury
(TBI). Cerebral salt wasting (CSW) is an electrolyte imbalance characterized by
hyponatremia and hypovolemia. Differentiating the syndrome of inappropriate
antidiuretic hormone and CSW remains difficult and the pathophysiological
mechanisms underlying CSW are unclear. Our intent was to review the literature on
CSW within the TBI population, in order to report the incidence and timing of CSW
after TBI, examine outcomes, and summarize the biochemical changes in patients
who developed CSW. We searched MEDLINE through 2014, hand-reviewed citations, and
searched abstracts from the American Association for the Surgery of Trauma (2003
2014). Publications were included if they were conducted within a TBI population,
presented original data, and diagnosed CSW. Publications were excluded if they
were review articles, discussed hyponatremia but did not differentiate the
etiology causing hyponatremia, or presented cases with chronic disease. Fifteen
of the 47 publications reviewed met the selection criteria; nine (60%) were case
reports, five (33%) were prospective and 1 (7%) was a retrospective study.
Incidence of CSW varied between 0.8 - 34.6%. The populations studied were
heterogeneous and the criteria used to define hyponatremia and CSW varied. Though
believed to play a role in the development of CSW, increased levels of
natriuretic peptides in patients diagnosed with CSW were not consistently
reported. These findings reinforce the elusiveness of the CSW diagnosis and the
need for strict and consistent diagnostic criteria.
PMID- 26561392
TI - Dynamic Change of CD34 Level during the Survival Process of Narrow Pedicle Flap.
AB - OBJECTIVE: To evaluate the dynamic change of CD34 level during the survival
process of narrow pedicle flaps. METHODS: Twenty-five white pigs were randomly
and equally divided into 5 experimental groups. Five different type of narrow
pedicle with different length-to-width ratio were employed, and each type of
narrow pedicle was covered with 5 different size random flaps and which was
classified into A, B, C, D and E for 5 groups. Group A was control group. Each
type narrow pedicle with 5 different skin flaps were implanted onto the back of
the pigs along the midline of back with a reverse direction. A 0.3 cm*0.3 cm full
thickness skin flap in the middle of distal segment was collected and on 3rd,
5th, 7th and 14th days of post-operation. The expression of CD34 was measured by
immunohistochemistry and enzyme-linked immunosorbent (ELISA). RESULTS:
Histological examination showed that with the increasing of length-to-width ratio
of the narrow pedicle skin flaps, the expression of CD34 increased in the skin
flaps. Increased level of CD34 was found on 3rd day post-operation, and the peak
expression was found on 7th day. Persistent high level of CD34 was found until
14th day. CONCLUSION: Increased CD34 level in the distal skin flap, there is the
association between CD34 level and ischemia injury. Moreover, CD34 expression
plays an important role during the repair processes of pedicle flaps.
PMID- 26561395
TI - What clinicians are asking pathologists when dealing with lung neuroendocrine
neoplasms?
AB - Lung neuroendocrine tumors (NET) are currently classified in resection specimens
according to four histological categories, namely typical carcinoid (TC),
atypical carcinoid (AC), large-cell neuroendocrine carcinoma (LCNEC) and small
cell carcinoma (SCC). Diagnostic criteria have remained unchanged in the 2015 WHO
classification, which has ratified the wide acceptance and popularity of such
terminology in the pathologists' and clinicians' community. A unifying umbrella
of NE morphology and differentiation has been recognized in lung NET, which has
pushed to enter an unique box of invasive tumors along with diffuse idiopathic
pulmonary NE cell hyperplasia (DIPNECH) as a pre-invasive lesion with a potential
toward the development of carcinoids. However, uncertainties remain in the
terminology of lung NET upon small samples, where Ki-67 antigen could play some
role to avoid misdiagnosing carcinoids as high-grade NE tumors. Epidemiologic,
clinical and genetic traits support a biological three-tier over a pathology four
tier model, according to which TC are low malignancy tumors, AC intermediate
malignancy tumors and LCNEC/SCC high malignancy tumors with no significant
differences in survival among them. Inconsistencies in diagnostic
reproducibility, troubles in the therapy of AC and LCNEC, and limitations to
histology within the same tumor category argue in favor of a global re-thinking
of lung NET where a grading system could play a role. This review outlines three
main key questions in the field of lung NET: (A) unbiased diagnoses, (B) the role
of Ki-67 and tumor grading, and (C) management of predictive markers. Answers are
still inconclusive, thus additional research is required to improve our
understanding on lung NET.
PMID- 26561394
TI - RNA Sequencing Revealed Numerous Polyketide Synthase Genes in the Harmful
Dinoflagellate Karenia mikimotoi.
AB - The dinoflagellate Karenia mikimotoi forms blooms in the coastal waters of
temperate regions and occasionally causes massive fish and invertebrate
mortality. This study aimed to elucidate the toxic effect of K. mikimotoi on
marine organisms by using the genomics approach; RNA-sequence libraries were
constructed, and data were analyzed to identify toxin-related genes. Next
generation sequencing produced 153,406 transcript contigs from the axenic culture
of K. mikimotoi. BLASTX analysis against all assembled contigs revealed that 208
contigs were polyketide synthase (PKS) sequences. Thus, K. mikimotoi was thought
to have several genes encoding PKS metabolites and to likely produce toxin-like
polyketide molecules. Of all the sequences, approximately 30 encoded eight PKS
genes, which were remarkably similar to those of Karenia brevis. Our phylogenetic
analyses showed that these genes belonged to a new group of PKS type-I genes.
Phylogenetic and active domain analyses showed that the amino acid sequence of
four among eight Karenia PKS genes was not similar to any of the reported PKS
genes. These PKS genes might possibly be associated with the synthesis of
polyketide toxins produced by Karenia species. Further, a homology search
revealed 10 contigs that were similar to a toxin gene responsible for the
synthesis of saxitoxin (sxtA) in the toxic dinoflagellate Alexandrium fundyense.
These contigs encoded A1-A3 domains of sxtA genes. Thus, this study identified
some transcripts in K. mikimotoi that might be associated with several putative
toxin-related genes. The findings of this study might help understand the
mechanism of toxicity of K. mikimotoi and other dinoflagellates.
PMID- 26561393
TI - Systematic analysis of copy number variants of a large cohort of orofacial cleft
patients identifies candidate genes for orofacial clefts.
AB - Orofacial clefts (OFCs) represent a large fraction of human birth defects and are
one of the most common phenotypes affected by large copy number variants (CNVs).
Due to the limited number of CNV patients in individual centers, CNV analyses of
a large number of OFC patients are challenging. The present study analyzed 249
genomic deletions and 226 duplications from a cohort of 312 OFC patients reported
in two publicly accessible databases of chromosome imbalance and phenotype in
humans, DECIPHER and ECARUCA. Genomic regions deleted or duplicated in multiple
patients were identified, and genes in these overlapping CNVs were prioritized
based on the number of genes encompassed by the region and gene expression in
embryonic mouse palate. Our analyses of these overlapping CNVs identified two
genes known to be causative for human OFCs, SATB2 and MEIS2, and 12 genes (DGCR6,
FGF2, FRZB, LETM1, MAPK3, SPRY1, THBS1, TSHZ1, TTC28, TULP4, WHSC1, WHSC2) that
are associated with OFC or orofacial development. Additionally, we report 34
deleted and 24 duplicated genes that have not previously been associated with
OFCs but are associated with the BMP, MAPK and RAC1 pathways. Statistical
analyses show that the high number of overlapping CNVs is not due to random
occurrence. The identified genes are not located in highly variable genomic
regions in healthy populations and are significantly enriched for genes that are
involved in orofacial development. In summary, we report a CNV analysis pipeline
of a large cohort of OFC patients and identify novel candidate OFC genes.
PMID- 26561396
TI - Using Next Generation RAD Sequencing to Isolate Multispecies Microsatellites for
Pilosocereus (Cactaceae).
AB - Microsatellite markers (also known as SSRs, Simple Sequence Repeats) are widely
used in plant science and are among the most informative molecular markers for
population genetic investigations, but the development of such markers presents
substantial challenges. In this report, we discuss how next generation sequencing
can replace the cloning, Sanger sequencing, identification of polymorphic loci,
and testing cross-amplification that were previously required to develop
microsatellites. We report the development of a large set of microsatellite
markers for five species of the Neotropical cactus genus Pilosocereus using a
restriction-site-associated DNA sequencing (RAD-seq) on a Roche 454 platform. We
identified an average of 165 microsatellites per individual, with the absolute
numbers across individuals proportional to the sequence reads obtained per
individual. Frequency distribution of the repeat units was similar in the five
species, with shorter motifs such as di- and trinucleotide being the most
abundant repeats. In addition, we provide 72 microsatellites that could be
potentially amplified in the sampled species and 22 polymorphic microsatellites
validated in two populations of the species Pilosocereus machrisii. Although low
coverage sequencing among individuals was observed for most of the loci, which we
suggest to be more related to the nature of the microsatellite markers and the
possible bias inserted by the restriction enzymes than to the genome size, our
work demonstrates that an NGS approach is an efficient method to isolate
multispecies microsatellites even in non-model organisms.
PMID- 26561397
TI - Incidence and predictors of interstitial lung disease (ILD) in Thai patients with
early systemic sclerosis: Inception cohort study.
AB - OBJECTIVES: To determine and compare the prevalence of interstitial lung disease
(ILD), the severity of high-resolution computed tomography (HRCT) score and
incidence rate (IR) of ILD between the two subsets of early-SSc (systemic
sclerosis) patients. We also determined the factors associated with ILD. METHODS:
We used an inception cohort of early-SSc patients seen between January 2010 and
June 2014. All patients underwent HRCT at study entry and annually thereafter.
RESULTS: One hundred and thirteen patients (66 females and 89 diffuse cutaneous
SSc [dcSSc]) with a mean +/- SD age of 53.4 +/- 8.4 years and mean disease
duration of 12.9 +/- 10.3 months at cohort entry were enrolled. At enrollment,
patients with dcSSc had a higher prevalence of ILD (78.7% vs. 45.8%, p = 0.002),
and a higher total HRCT score (10.3 +/- 9.5 vs. 4.4 +/- 5.6, p = 0.001) compared
with limited cutaneous SSc (lcSSc). DcSSc patients had a higher IR of ILD than
lcSSc patients (58.8 vs.17.3 per 100 person-years, p < 0.001). Univariable
analysis revealed that male gender, presence of anti-Scl 70 and absent anti
centromere antibody was significant predictors of ILD. In Cox-regression
analysis, a positive anti-centromere [hazard ratio (HR) 0.09 95% confidence
interval (95% CI 0.01-0.73)] was a protective factor. CONCLUSIONS: DcSSc patients
had more severe HRCT scores and higher IR of ILD compared with lcSSc patients.
Male gender, presence of anti-Scl 70, and absent anti-centromere antibody
predicted the future development of ILD in early-SSc patients.
PMID- 26561398
TI - Child mental health and maternal depression history in Pakistan.
AB - PURPOSE: We address the significant gaps in knowledge of prevalence and
correlates of child mental health (CMH) problems outside of high income
countries. We describe the prevalence of CMH problems and their correlates with a
focus on the association with maternal depression in a sample of seven-year-old
children in rural Pakistan. METHODS: This study was nested in a long-term follow
up of a perinatal depression intervention together with a reference group of non
depressed women, yielding a population representative sample. The Total
Difficulties (TD) and component scores of the Strength and Difficulties
Questionnaire (SDQ) were used to measure emotional and behavioral difficulties.
RESULTS: The mean SDQ TD score was 10.6 (standard deviation = 8.3), with 12.5 %
of children categorized as "abnormal" using standard cutoffs. Boys had a roughly
1 point higher (worse) SDQ TD score than girls (p value = 0.04). Children of
mothers who were depressed prenatally as well as currently had SDQ TD scores 2.87
points higher than children whose mothers were not depressed at either time point
(p value < 0.01). This association was stronger for boys. There was no evidence
of elevated SDQ TD score among children whose mothers were depressed only
prenatally or only currently. Some deviations from this pattern were observed
with specific components of the SDQ. CONCLUSIONS: In this low resource, South
Asian setting, we found evidence of elevated levels of emotional and behavioral
problems, highlighting the need for effective interventions. Given the strong
association of CMH with maternal depression, any intervention efforts should give
strong consideration to maternal mental health.
PMID- 26561399
TI - P2Y12 receptor blockade synergizes strongly with nitric oxide and prostacyclin to
inhibit platelet activation.
AB - AIMS: In vivo platelet function is a product of intrinsic platelet reactivity,
modifiable by dual antiplatelet therapy (DAPT), and the extrinsic inhibitory
endothelial mediators, nitric oxide (NO) and prostacyclin (PGI2 ), that are
powerfully potentiated by P2Y12 receptor blockade. This implies that for
individual patients endothelial mediator production is an important determinant
of DAPT effectiveness. Here, we have investigated this idea using platelets taken
from healthy volunteers treated with anti-platelet drugs. METHODS: Three groups
of male volunteers (n = 8) received either prasugrel (10 mg), aspirin (75 mg) or
DAPT (prasugrel + aspirin) once daily for 7 days. Platelet reactivity in the
presence of diethylammonium (Z)-1-(N,N-diethylamino)diazen-1-ium-1,2-diolate
(DEA/NONOate) and PGI2 was studied before and following treatment. RESULTS: Ex
vivo, PGI2 and/or DEA/NONOate had little inhibitory effect on TRAP-6-induced
platelet reactivity in control conditions. However, in the presence of DAPT,
combination of DEA/NONOate + PGI2 reduced platelet aggregation (74 +/- 3% to 19
+/- 6%, P < 0.05). In vitro studies showed even partial (25%) P2Y12 receptor
blockade produced a significant (67 +/- 2% to 39 +/- 10%, P < 0.05) inhibition
when DEA/NONOate + PGI2 was present. CONCLUSIONS: We have demonstrated that PGI2
and NO synergize with P2Y12 receptor antagonists to produce powerful platelet
inhibition. Furthermore, even with submaximal P2Y12 blockade the presence of PGI2
and NO greatly enhances platelet inhibition. Our findings highlight the
importance of endothelial mediator in vivo modulation of P2Y12 inhibition and
introduces the concept of refining ex vivo platelet function testing by
incorporating an assessment of endothelial function to predict thrombotic
outcomes better and adjust therapy to prevent adverse outcomes in individual
patients.
PMID- 26561400
TI - Association of Symptom Network Structure With the Course of [corrected]
Depression.
AB - IMPORTANCE: Major depressive disorder (MDD) is a heterogeneous condition in terms
of symptoms, course, and underlying disease mechanisms. Current classifications
do not adequately address this complexity. In novel network approaches to
psychopathology, psychiatric disorders are conceptualized as complex dynamic
systems of mutually interacting symptoms. This perspective implies that a more
densely connected network of symptoms is indicative of a poorer prognosis, but,
to date, no previous study has examined whether network structure is indeed
associated with the longitudinal course of MDD. OBJECTIVE: To examine whether the
baseline network structure of MDD symptoms is associated with the longitudinal
course of MDD. DESIGN, SETTING, AND PARTICIPANTS: In this prospective study, in
which remittent and persistent MDD was defined on the basis of a follow-up
assessment after 2 years, 515 patients from the Netherlands Study of Depression
and Anxiety with past-year MDD (established with the Composite International
Diagnostic Interview) and at least moderate depressive symptoms (assessed with
the Inventory of Depressive Symptomatology [IDS]) at baseline were studied.
Baseline starting and ending dates were September 1, 2004, through February 28,
2007. Follow-up starting and ending dates were September 1, 2006, through
February 28, 2009. Analysis was conducted August 2015. The MDD was considered
persistent if patients had at least moderate depressive symptoms (IDS) at 2-year
follow-up; otherwise, the MDD was considered remitted. MAIN OUTCOMES AND
MEASURES: Sparse network structures of baseline MDD symptoms assessed via IDS
were computed. Global and local connectivity of network structures were compared
across persisters and remitters using a permutation test. RESULTS: Among the 515
patients, 335 (65.1%) were female, mead (SD) age was 40.9 (12.1) years, and 253
(49.1%) had persistent MDD at 2-year follow-up. Persisters (n = 253) had a higher
baseline IDS sum score than remitters (n = 262) (mean [SD] score, 40.2 [8.9] vs
35.1 [7.1]; the test statistic for the difference in IDS sum score was 22 027; P
< .001). The test statistic for the difference in network connectivity was 1.79
(P = .01) for the original data, 1.55 for data matched on IDS sum score (P =
.04), and 1.65 for partialed out data (P = .02). At the symptom level, fatigue or
loss of energy and feeling guilty had the largest difference in importance in
persisters' network compared with that of remitters (Cohen d = 1.13 and 1.18,
respectively). CONCLUSIONS AND RELEVANCE: This study reports that symptom
networks of patients with MDD are related to longitudinal course: persisters
exhibited a more densely connected network at baseline than remitters. More
pronounced associations between symptoms may be an important determinant of
persistence in MDD.
PMID- 26561402
TI - Excision Margins of Melanoma Make a Difference: New Data Support an Old Paradigm.
PMID- 26561401
TI - Intra-individual lap time variation of the 400-m walk, an early mobility
indicator of executive function decline in high-functioning older adults?
AB - Higher intra-individual lap time variation (LTV) of the 400-m walk is cross
sectionally associated with poorer attention in older adults. Whether higher LTV
predicts decline in executive function and whether the relationship is accounted
for by slower walking remain unanswered. The main objective of this study was to
examine the relationship between baseline LTV and longitudinal change in
executive function. We used data from 347 participants aged 60 years and older
(50.7% female) from the Baltimore Longitudinal Study of Aging. Longitudinal
assessments of executive function were conducted between 2007 and 2013, including
attention (Trails A, Digit Span Forward Test), cognitive flexibility and set
shifting (Trails B, Delta TMT: Trials B minus Trials A), visuoperceptual speed
(Digit Symbol Substitution Test), and working memory (Digit Span Backward Test).
LTV and mean lap time (MLT) were obtained from the 400-m walk test concurrent
with the baseline executive function assessment. LTV was computed as variability
of lap time across ten 40-m laps based on individual trajectories. A linear mixed
effects model was used to examine LTV in relation to changes in executive
function, adjusted for age, sex, education, and MLT. Higher LTV was associated
with greater decline in performance on Trails B (beta = 4.322, p < 0.001) and
delta TMT (beta = 4.230, p < 0.001), independent of covariates. Findings remained
largely unchanged after further adjustment for MLT. LTV was not associated with
changes in other executive function measures (all p > 0.05). In high-functioning
older adults, higher LTV in the 400-m walk predicts executive function decline
involving cognitive flexibility and set shifting over a long period of time. High
LTV may be an early indicator of executive function decline independent of MLT.
PMID- 26561403
TI - Global Cancer Surgery, or Lack Thereof: A Wake-Up Call.
PMID- 26561404
TI - Surgical Resection Preferences and Perceptions among Medical Oncologists Treating
Liver Metastases from Colorectal Cancer.
AB - BACKGROUND: Liver resection is a key therapeutic strategy to improve survival in
patients with colorectal cancer liver metastases. Underutilization may negatively
affect outcomes. Using a Web-based survey and standardized imaging scenarios,
this study assessed medical oncologists' (MOs) perceptions of resectability,
preferences for chemotherapy sequencing, and referral for surgical consultation
in a static patient profile of good performance status and no extrahepatic spread
but varying bulk and distribution of disease. METHODS: A total of 190 US-based
MOs were surveyed. A single patient profile was created and combined with 10
different sets of liver computed tomographic images displaying a broad spectrum
of metastases. Assessments of resectability and ranking were compared with the
results obtained from an expert panel of 3 hepatic surgeons. RESULTS: The expert
hepatic surgeons designated 8 scans resectable, 1 borderline
resectable/convertible, and 1 unresectable. In the 8 resectable cases, 34.4 % of
MOS perceived the case to be initially resectable, 41.7 % potentially resectable
after chemotherapy response, and 23.9 % unresectable. Increasing number of
lesions, larger tumor diameter, and bilateral disease were associated with lower
resectability perception (P < 0.01). Among those cases considered resectable by
MOs, they preferred initial resection (54.2 %) over neoadjuvant chemotherapy
(38.4 %). Initial referral for surgical consultation was generally favored only
for cases considered initially resectable by MOs. CONCLUSIONS: This study
confirms both potential discrepancies between MOs' and hepatic surgeons'
perception of resectability and underutilization of early surgical consultation
for patients with potentially resectable colorectal cancer liver metastases and
underscores the importance of an evaluation that includes an experienced hepatic
surgeon.
PMID- 26561405
TI - The Association Between Excision Margins and Local Recurrence in 11,290 Thin (T1)
Primary Cutaneous Melanomas: A Case-Control Study.
AB - BACKGROUND: At presentation, most primary cutaneous melanomas are "thin" (Breslow
thickness <=1 mm, designated T1 in the American Joint Committee on Cancer staging
system) and local recurrence (LR) is rare. Most current management guidelines
recommend 1 cm surgical excision margins for T1 melanomas, but evidence to
support this recommendation is sparse. We sought to identify clinical and
pathologic factors associated with LR in patients with T1 melanomas that might
guide primary tumor management. METHODS: From a large, prospectively collected,
single-institution database, patients with primary cutaneous melanomas <=1 mm
thick diagnosed between 1970 and 2011 who developed LR were identified and
matched with controls. Clinical and pathologic parameters were analyzed for their
association with LR. RESULTS: From 11,290 primary melanomas <=1 mm thick, 176
(1.56 %) cases with LR were identified and 176 controls (without LR) were
selected. LR occurred after a median time of 37 months (range 3-306 months) and
was associated with narrower excision margins (hazard ratio = 0.95, 95 %
confidence interval 0.92-0.98, p = 0.001), desmoplastic, acral, and lentigo
maligna melanoma subtypes (p = 0.008), and melanomas composed predominantly of
spindle cells (p = 0.005). However, Breslow thickness, Clark level, ulceration,
mitotic rate, regression, and lymphovascular invasion were not. CONCLUSIONS: LR
was associated with <8 mm histologic excision margins (corresponding to <1 cm
margins in vivo) and desmoplastic, acral, and lentigo maligna melanoma subtypes.
This study provides evidence that a >=1 cm clinical excision margin for thin (T1)
primary melanomas reduces the risk of LR.
PMID- 26561406
TI - Critical analysis of the published literature about the effects of narghile use
on oral health.
PMID- 26561407
TI - Microvascular head and neck reconstruction in the elderly: The University of
Brescia experience.
AB - BACKGROUND: Microvascular reconstruction in head and neck surgery is increasing
in the elderly because of prolonged life expectancy. The purpose of this study
was to evaluate the impact of age on outcomes after microvascular reconstruction.
METHODS: We retrospectively reviewed 453 microvascular reconstructions and
stratified patients according to age (40.8% >65 years old). Medical and surgical
complications and flap success rates were evaluated according to the American
Society of Anesthesiologists (ASA) score for physical status and age. RESULTS:
Overall flap success and perioperative mortality were 96.1% and 0.7%,
respectively. Minor medical complications were higher in the elderly (28.1% vs
15.3%; p = .001). High ASA scores affected rates of major surgical (20% vs 9.2%;
p = .001) and minor medical complications (27.2% vs 13.3%; p < .001). CONCLUSION:
Microvascular reconstruction is reliable in the elderly. Age should not be
considered a contraindication by itself; comorbidities play a stronger role in
predicting adverse events. (c) 2015 Wiley Periodicals, Inc. Head Neck 38: E1488
E1492, 2016.
PMID- 26561408
TI - A Food Transfer Program without a Formal Education Component Modifies
Complementary Feeding Practices in Poor Rural Mexican Communities.
AB - BACKGROUND: Inadequate complementary feeding partially explains micronutrient
deficiencies in the first 2 y of life. To prevent malnutrition, the Mexican
government implemented the Programa de Apoyo Alimentario (PAL), which transferred
either food baskets containing micronutrient-fortified milk and animal food
products or cash to beneficiary families along with educational sessions.
OBJECTIVE: This study evaluated the impact of PAL on 2 indicators of
complementary feeding: minimum dietary diversity and consumption of iron-rich or
iron-fortified foods in children aged 6-23 mo. METHODS: A secondary analysis of
the original PAL evaluation design was conducted through a randomized community
trial implemented with 3 intervention groups (food basket with education, food
basket without education, and cash transfer with education) and a control. The
impact of PAL after 14 mo of exposure was estimated in 2 cross-sectional groups
of children aged 6-23 mo at baseline and at follow-up in a panel of 145
communities by using difference-in-difference models. Only children who lived in
households and communities that were similar between treatment groups at baseline
were included in the analysis. These children were identified by using a
propensity score. RESULTS: Of the 3 intervention groups, when compared with the
control, only the food basket without education group component increased the
consumption of iron-rich or iron-fortified foods by 31.2 percentage points (PP)
(P < 0.01) and the prevalence of minimum dietary diversity by 21.6 PP (P < 0.01).
CONCLUSION: These findings suggest that in order to improve dietary quality in
children, food baskets that include fortified complementary foods may be more
effective than cash transfers. The fact that the 2 food basket groups differed in
the observed impact does not allow for more convincing conclusions to be made
about the education component of the program. This trial was registered at
clinicaltrials.gov as NCT01304888.
PMID- 26561409
TI - Higher Eating Frequency Does Not Decrease Appetite in Healthy Adults.
AB - BACKGROUND: Consumption of small, frequent meals is suggested as an effective
approach to control appetite and food intake and might be a strategy for weight
loss or healthy weight maintenance. Despite much speculation on the topic,
scientific evidence is limited to support such a relation in the absence of
changes to diet composition. OBJECTIVE: We examined the effects of high compared
with low eating frequency (EF) on self-reported appetite as a secondary outcome
in a controlled trial. METHODS: We conducted a randomized, crossover intervention
trial in 12 participants (4 men, 8 women) who completed 2 isocaloric 3-wk
intervention phases of low EF (3 eating occasions/d) compared with high EF (8
eating occasions/d). On the last morning of each study phase, participants
completed a 4-h appetite testing session. During the appetite testing session,
participants completing the low EF phase consumed a meal at 0800. Participants
completing the high EF intervention consumed the same meal spread evenly over 2
eating occasions at 0800 and 1030. Standardized ratings of hunger, desire to eat,
fullness, thirst, and nausea were completed every 30 min with the use of paper
and-pencil semianchored 100-mm visual analog scales. A composite appetite score
was calculated as the mean of hunger, desire to eat, and the inverse of fullness
(calculated as 100-fullness rating). Linear regression analysis compared ratings
between low EF and high EF conditions. RESULTS: The mean composite appetite score
was higher in the high EF condition for the total testing period (baseline
through 1200) (P < 0.05) and for the time period from baseline through 1030 (P <
0.001). CONCLUSION: The results from this study in 12 healthy adults do not
support the popularized notion that small, frequent meals help to decrease
overall appetite. This trial was registered at clinicaltrials.gov as NCT02548026.
PMID- 26561410
TI - Common Polymorphisms That Affect Folate Transport or Metabolism Modify the Effect
of the MTHFR 677C > T Polymorphism on Folate Status.
AB - BACKGROUND: Although combinations of biologically relevant polymorphic variants
affect folate status, most studies have focused on the effects of individual
polymorphisms; however, these effects may be altered by interactions between
polymorphisms. OBJECTIVE: We investigated the individual and combined effects of
polymorphisms that affect folate transport or metabolism on folate status.
METHODS: The associations between the methylenetetrahydrofolate reductase (MTHFR)
677C > T, methionine transferase reductase (MTRR) 66A > G, MTRR 524C > T, 5,10
methylenetetrahydrofolate dehydrogenase-5,10-methylenetetrahydrofolate
cyclohydrolase-10-formyltetrahydrofolate synthetase (MTHFD1) 1958G > A, MTHFD1
105C > T, dihydrofolate reductase (DHFR) 19-bp insertion/deletion, and solute
carrier family 19A, member 1 (SLC19A1) 80G > A polymorphisms and fasting plasma
folate (PF), red cell folate (RCF), and plasma total homocysteine (tHcy) were
tested by ANCOVA and Cox regression analysis in 781 Spanish adults. RESULTS:
Folate deficiency (PF <7 nmol/L) was observed in 18.8% of the participants.
Geometric mean PF (nmol/L) was lower in MTHFR 677TT (10.0; 95% CI: 9.2, 11.9)
compared with 677CC (12.4; 95% CI: 11.6, 13.2; P < 0.001). RCF (nmol/L) was lower
in MTHFR 677TT (652; 95% CI: 611, 695) compared with 677CC (889; 95% CI: 851,
929; P < 0.001) and in SLC19A1 80AA (776; 95% CI: 733, 822) compared with 80GG
(861; 95% CI: 815, 910; P < 0.01). RCF and tHcy (MUmol/L) did not differ in MTHFR
+ MTRR 677TT/524TT compared with 677CC/524CC: 780 (95% CI: 647, 941) compared
with 853 (95% CI: 795, 915; P = 0.99) and 10.2 (95% CI: 8.4, 12.3) compared with
8.9 (95% CI: 8.5, 9.4; P = 0.99), respectively. The RR of lowest-tertile RCF
(<=680 nmol/L) was 2.1 (95% CI: 1.0, 4.5) for MTHFR + MTRR 677TT/66GG compared
with 677CC/66AA, 2.2 (95% CI: 1.2, 4.1) for MTHFR + MTHFD1 677TT/1958AA compared
with 677CC/1958GG, 2.9 (95% CI: 1.4, 6.0) for MTHFR + MTHFD1 677TT/-105TT
compared with 677CC/-105CC, and 3.5 (95% CI: 1.5, 8.1) for MTHFR + SLC19A1
677TT/80AA compared with 677CC/80GG. Confining the analysis to the MTHFR 677TT
genotype, the risk of lowest-tertile RCF was reduced for MTHFR + MTRR 677TT/66GG
compared with 677TT/66AA (RR: 0.5; 95% CI: 0.3, 0.9). CONCLUSIONS: Folate status
was lower in the MTHFR 677TT and SLC19A1 80AA genotypes compared with
corresponding reference genotypes. Low folate status risk associated with the
MTHFR 677TT genotype varied depending on its combination with other
polymorphisms.
PMID- 26561411
TI - Luisa Pettigrew: curious, persistent, and lucky.
PMID- 26561412
TI - [Utility of mass spectrometry in the microbiological diagnosis of candiduria].
PMID- 26561413
TI - Comprehensive Analysis of Deafness Genes in Families with Autosomal Recessive
Nonsyndromic Hearing Loss.
AB - Comprehensive genetic testing has the potential to become the standard of care
for individuals with hearing loss. In this study, we investigated the genetic
etiology of autosomal recessive nonsyndromic hearing loss (ARNSHL) in a Turkish
cohort including individuals with cochlear implant, who had a pedigree suggestive
of an autosomal recessive inheritance. A workflow including prescreening of GJB2
and a targeted next generation sequencing panel (Illumina TruSightTM Exome)
covering 2761 genes that we briefly called as mendelian exome sequencing was
used. This panel includes 102 deafness genes and a number of genes causing
Mendelian disorders. Using this approach, we identified causative variants in 21
of 29 families. Three different GJB2 variants were present in seven families.
Remaining 14 families had 15 different variants in other known NSHL genes (MYO7A,
MYO15A, MARVELD2, TMIE, DFNB31, LOXHD1, GPSM2, TMC1, USH1G, CDH23). Of these
variants, eight are novel. Mutation detection rate of our workflow is 72.4%,
confirming the usefulness of targeted sequencing approach in NSHL.
PMID- 26561414
TI - Isolation of Multidrug-Resistant Escherichia coli O157 from Goats in the Somali
Region of Ethiopia: A Cross-Sectional, Abattoir-Based Study.
AB - Toxigenic Escherichia coli (E. coli) are an important cause of gastroenteritis in
developing countries. In Ethiopia, gastroenteritis due to food-borne disease is a
leading cause of death. Yet, there is no surveillance for E. coli O157 and little
is known about the carriage of this pathogen in Ethiopia's livestock. This study
aimed to assess the prevalence and levels of antimicrobial resistance of E. coli
O157 in goat meat, feces, and environmental samples collected at a large abattoir
in the Somali region of Ethiopia. The samples were enriched in modified tryptone
broth containing novobiocin, and plated onto sorbitol MacConkey agar. Isolates
were confirmed using indole test and latex agglutination. Antimicrobial
susceptibility testing was conducted using the disk diffusion method. A total of
235 samples, including 93 goat carcass swabs, 93 cecal contents, 14 water, 20
hand, and 15 knife swabs were collected. Overall, six (2.5%) samples were
contaminated with E. coli O157 of which two (2.1%) were isolated from cecal
contents, three (3.2%) from carcass swabs, and one (7.1%) from water. All
isolates were resistant to at least two of the 18 antimicrobials tested. Two
isolates (33.3%) were resistant to more than five antimicrobials. Abattoir
facilities and slaughter techniques were conducive to carcass contamination. This
study highlights how poor hygiene and slaughter practice can result in
contaminated meat, which is especially risky in Ethiopia because of the common
practice of eating raw meat. We detect multi-resistance to drugs not used in
goats, suggesting that drugs used to treat human infections may be the
originators of antimicrobial resistance in livestock in this ecosystem. The
isolation of multidrug-resistant E. coli O157 from goats from a remote
pastoralist system highlights the need for global action on regulating and
monitoring antimicrobial use in both human and animal populations.
PMID- 26561415
TI - The dynamic thiol/disulphide homeostasis in inflammatory bowel disease and its
relation with disease activity and pathogenesis.
PMID- 26561416
TI - Severe acute kidney injury as a complication of collagenous colitis.
PMID- 26561417
TI - HRAS G13D, a new mutation implicated in the resistance to anti-EGFR therapies in
colorectal cancer, a case report.
PMID- 26561418
TI - Pneumococcal Meningitis and Endocarditis: A Case Report.
PMID- 26561419
TI - Glaucoma Severity and Participation in Diverse Social Roles: Does Visual Field
Loss Matter?
AB - PURPOSE: To assess the association between glaucoma severity and participation in
diverse social roles. DESIGN: Cross-sectional survey. PATIENTS AND METHODS:
Individuals with glaucoma, 50+, with visual acuity in the better eye >20/50 were
enrolled. They were classified into 3 groups based on visual field loss in the
better eye: mild [mean deviation (MD)>-6 dB], moderate (MD, -6 to -12 dB), and
severe (MD<-12 dB). The validated Social Role Participation Questionnaire
assessed respondents' perceptions of the importance, difficulty, and satisfaction
with participation in 11 social role domains (eg, community events, travel).
Differences between groups were examined using multivariate linear regression
analyses. RESULTS: A total of 118 participants (52% female) were included: 60
mild, 29 moderate, and 29 severe. All social role domains were rated as important
by all participants except for education and employment. Women (P<0.01), those
with a partner (P<0.01), and those who were less depressed (P=0.03) reported
higher scores of perceived importance of participating in social activities.
Compared with those with mild glaucoma, individuals with severe glaucoma reported
significantly more difficulty participating in community/religious/cultural
events (P<0.01), travelling (P<0.01), and relationships with family members
(P=0.01). They also reported less satisfaction with travelling (P=0.01) and
social events (P=0.04). CONCLUSIONS: Participation in diverse social roles is
valued by individuals with glaucoma. Severe visual field loss impedes involvement
in and satisfaction with activities in community/religious/cultural events,
travelling, and relationships with family members. Appropriate community and
targeted interventions are needed to allow people with severe glaucoma to
maintain active social participation-a key component to successful aging.
PMID- 26561420
TI - Early Clinical Results of a Novel Ab Interno Gel Stent for the Surgical Treatment
of Open-angle Glaucoma.
AB - PURPOSE: To evaluate the intraocular pressure (IOP) lowering effect of the XEN140
microfistula gel stent implant for the surgical treatment of open-angle glaucoma.
PATIENTS AND METHODS: Forty-nine eyes of 49 patients with an IOP>18 mm Hg and
<=35 mm Hg were studied in a prospective nonrandomized multicenter cohort trial
of the surgical implantation of the XEN140 implant in patients with open-angle
glaucoma. Complete success was defined as a postoperative IOP<=18 mm Hg with
>=20% reduction in IOP at 12 months without any glaucoma medications. Failure was
defined as vision loss of light perceptions vision or worse, need for additional
glaucoma surgery, or <20% reduction of IOP from baseline. RESULTS: The average
age was 64.3 (28.1 to 86.9) years old. Twenty-one eyes had prior failed
trabeculectomy with mitomycin C surgery. IOP at 12 months decreased from a mean
of 23.1 (+/-4.1) mm Hg to 14.7 (+/-3.7) mm Hg for a 36.4% reduction in IOP from
baseline. The number of patients at 12 months who achieved an IOP<=18 mm Hg and
>=20% reduction in IOP was 40 (89%). The number of patients who achieved an
IOP<=18 mm Hg and >=20% reduction in IOP without antiglaucoma medications was 18
(40%). CONCLUSIONS: The XEN140 gel stent lowers IOP with few complications when
implanted for the surgical treatment of open-angle glaucoma.
PMID- 26561421
TI - The Influence of Scleral Flap Thickness, Shape, and Sutures on Intraocular
Pressure (IOP) and Aqueous Humor Flow Direction in a Trabeculectomy Model.
AB - PURPOSE: Intraocular pressure and aqueous humor flow direction determined by the
scleral flap immediately after trabeculectomy are critical determinants of the
surgical outcome. We used a large-scale model to objectively measure the
influence of flap thickness and shape, and suture number and position on pressure
difference across the flap and flow of fluid underneath it. METHODS: The model
exploits the principle of dynamic and geometric similarity, so while dimensions
were up to 30* greater than actual, the flow had similar properties. Scleral
flaps were represented by transparent 0.8- and 1.6-mm-thick silicone sheets on an
acrylic plate. Dyed 98% glycerin, representing the aqueous humor was pumped
between the sheet and plate, and the equilibrium pressure measured with a
pressure transducer. Image analysis based on the principle of dye dilution was
performed using MATLAB software. RESULTS: The pressure drop across the flap was
larger with thinner flaps, due to reduced rigidity and resistance. Doubling the
surface area of flaps and reducing the number of sutures from 5 to 3 or 2 also
resulted in larger pressure drops. Flow direction was affected mainly by suture
number and position, it was less toward the sutures and more toward the nearest
free edge of the flap. Posterior flow of aqueous humor was promoted by placing
sutures along the sides while leaving the posterior edge free. CONCLUSION: We
demonstrate a new physical model which shows how changes in scleral flap
thickness and shape, and suture number and position affect pressure and flow in a
trabeculectomy.
PMID- 26561422
TI - Meta-Analysis of Association of Obstructive Sleep Apnea With Glaucoma.
AB - OBJECTIVES: Previous studies suggested that obstructive sleep apnea (OSA) was
associated with glaucoma. However, data on this issue are controversial. This
study aims to use meta-analysis to determine whether OSA is related to glaucoma.
MATERIALS AND METHODS: We searched PubMed, Embase, the Cochrane library, the Web
of Science, and the Chinese BioMedical Literature Database disk databases up to
November 20, 2014 for related literature. The association of OSA with glaucoma
was assessed by odds ratio (OR) with 95% confidence interval (CI) as the effect
size. Then subgroup analysis was performed according to area and glaucoma type.
RESULTS: Six primary studies (3 cohort study and 3 case-control studies) were
included in this meta-analysis involving 2,288,701 participants. There was a
significant association between OSA and glaucoma (adjusted-effect summary for
case-control studies OR=2.46; 95% CI, 1.32-4.59, P=0.005) (adjusted-effect
summary for cohort studies OR=1.43; 95% CI, 1.21-1.69, P=0.000). There was no
significant publication bias. CONCLUSION: OSA was a risk factor for glaucoma. A
large number of studies is needed to explore the mechanisms that link OSA with
glaucoma.
PMID- 26561423
TI - Modified 360-degree Suture Trabeculotomy for Pseudoexfoliation Glaucoma: 12-Month
Results.
AB - PURPOSE: We aimed to evaluate the 12-month results of a modified 360-degree
suture trabeculotomy (ST) technique in patients with pseudoexfoliation glaucoma
(XFG). PATIENTS AND METHODS: The modified 360-degree ST was performed on 20 eyes
of 20 consecutive patients with XFG resistant to maximal topical treatment. In 8
patients, ST was combined with phacoemulsification. The main outcome measures
were the surgical success rate, the mean postoperative intraocular pressure
(IOP), the number of antiglaucoma medications, and the operative complications.
RESULTS: The baseline IOP (26.55 +/- 8.91) and medications (3.15 +/- 0.81)
decreased significantly to 10.90 +/- 2.73 mm Hg and 0.30 +/- 0.80, respectively,
at 12 months postoperatively (P<0.001). The magnitude of IOP reduction was 58.9%.
Complete and qualified success rates were 68.4% and 94.7%, respectively. The
entire circumference of Schlemm's canal was opened successfully in all cases.
Hyphema, perforation of the trabeculodesmetic window, posterior synechia,
peripheral anterior synechia, and a transient elevation of the IOP were noted.
The results are comparable to our 6-month results and other previously published
results for circumferential trabeculotomy. CONCLUSIONS: The modified 360-degree
ST provides a feasible choice in patients with XFG with a reasonable rate of
complications. The 12-month success rates are promising. It can also be combined
effectively with the current cataract surgery.
PMID- 26561424
TI - Do Intraocular Pressure Measurements Under Anesthesia Reflect the Awake
Condition?
AB - BACKGROUND: Anesthesia or sedation is needed when intraocular pressure (IOP)
measurement is required in certain circumstances. The effect of different
anesthetic regimens on the IOP is still debatable. We aimed to evaluate
alterations in the IOP under anesthesia with either propofol or different end
tidal concentrations of sevoflurane, when compared with the awake state. METHODS:
The IOP was measured in both eyes of 20 adult patients undergoing extraocular
ophthalmic surgeries at 5 timepoints: before the induction of general anesthesia
(under topical anesthesia), after the induction using propofol target-controlled
infusion, and under 3 end-tidal concentrations of sevoflurane (0.5%, 2%, and 5%),
either in a decreasing (group A) or an increasing (group B) concentration order.
RESULTS: With either propofol or sevoflurane anesthesia, the IOP did not differ
significantly from the measurement performed during the awake state (no
anesthesia), regardless of the concentration of sevoflurane used (in the range of
0.5% to 5%) or the order of sevoflurane administration (from low to high
concentration or vice versa). CONCLUSIONS: These data suggest that propofol and
sevoflurane are valid anesthetic agents for the evaluation of IOP in adults when
anesthesia is needed.
PMID- 26561425
TI - Pain, Sleep Disturbance, and Quality of Life Among Palestinian Patients Diagnosed
with Cancer.
AB - The objective of this descriptive study is to explore the relationships between
pain, sleep disturbance, and quality of life among Palestinian patients diagnosed
with cancer in the West Bank. A cross-sectional, descriptive-correlational design
was used to collect data from 184 patients with cancer. The quality of life
questionnaire, visual analogue pain scale, and physical health status were used
in data collection. The results showed that the mean score for pain was 5, the
best functioning was for cognitive scale (M = 75, SD = 29), the worst symptoms
experienced by patients was appetite loss (M = 47, SD = 35), a moderate global
health status (M = 53, SD = 27), and the mean for sleep disturbance was 43 (SD =
35). Pain and sleep disturbance showed high negative correlations with functional
scales of quality of life and positive with symptom scales. The findings showed
that the co-occurrence of pain and sleep disturbance was negatively correlated
with quality of life (QoL) and positively with symptom scales. The regression
analysis revealed that pain and sleep disturbance accounted for a significant
proportion of variance in the QoL (p < 0.001), and the highest proportion was in
predicting global health status (41.9 %). The findings of this study give
evidence about the importance of assessing pain and sleep quality among
Palestinian patients with cancer.
PMID- 26561426
TI - HPV Vaccine Awareness and Knowledge Among Women Living with HIV.
AB - Cervical cancer risk is increased among women living with HIV (WLH). Human
papillomavirus (HPV) vaccination has been shown to be safe and immunogenic among
WLH. We examined HPV vaccine awareness and HPV knowledge among WLH. This cross
sectional study collected data from 145 WLH between March 2011 and April 2012. An
interviewer-administered survey assessed HPV vaccine awareness and knowledge.
Stata/IC 13 was used to perform chi-square tests and multivariate logistic
regression analyses. Our sample was 90 % non-Hispanic black and 64 % earned
<$10,000/year. Few (38 %) had heard of the HPV vaccine. Half (50 %) knew that HPV
caused cervical cancer. HPV vaccine awareness was ten times higher among WLH who
knew HPV caused cervical cancer (OR = 10.17; 95 % CI 3.82-27.06). HPV vaccine
awareness is low among WLH. Cancer prevention efforts aimed at raising awareness
about the HPV vaccine and increasing knowledge about HPV are necessary first
steps in reducing cervical cancer disparities among WLH.
PMID- 26561427
TI - Reveromycin A Administration Prevents Alveolar Bone Loss in Osteoprotegerin
Knockout Mice with Periodontal Disease.
AB - Chronic periodontal disease is characterized by alveolar bone loss and
inflammatory changes. Reveromycin A (RMA) was recently developed and is a unique
agent for inhibiting osteoclast activity. This study analysed the effects of RMA
in an experimental mouse model of periodontitis involving osteoprotegerin (OPG)
knockout mice, specifically, whether it could control osteoclasts and reduce
inflammation in periodontal tissue. We examined wild-type (WT) and OPG knockout
mice (OPG KO) ligated with wire around contact points on the left first and
second molars. RMA was administered twice a day to half of the mice. Using micro
computed tomography, we measured the volume of alveolar bone loss between the
first and second molars, and also performed histological analysis. The OPG KO
RMA+ group had significantly decreased osteoclast counts, alveolar bone loss,
attachment loss, and inflammatory cytokine expression 8 weeks after ligation.
Thus, RMA may reduce alveolar bone loss and inflamed periodontal tissues in
patients with periodontitis.
PMID- 26561429
TI - Diversity Arrays Technology-based PCR markers for marker assisted selection of
aluminum tolerance in triticale (x Triticosecale Wittmack).
AB - The tolerance of triticale (x Triticosecale Wittmack) cultivars to aluminum (Al)
stress observed in acid soils is an important agronomic trait affecting seed
yield. Traditionally, breeding of Al-tolerant cultivars was selection based; for
example, using a physiological test. However, such selection methods are
relatively slow and require numerous plants for phenotype evaluation.
Alternatively, DNA-based molecular marker systems could be applied to identify
markers useful for selection purposes. Among many marker platforms available,
Diversity Arrays Technology (DArT) is one of the most promising. DArT markers
preselected for conversion to specific PCR assays were chosen based on
association mapping studies using diverse materials. Forty-nine DArT markers were
selected and tested for redundancy based on their segregation patterns and
sequences, and 40 were successfully converted into specific PCR assays. However,
only 24 of these proved to be polymorphic. Where possible, the chromosomal
locations of the converted markers were verified. The markers assigned to
chromosome 7R that were the most highly correlated with Al-tolerant and non
tolerant plants were chosen for marker assisted selection using genetically
diverse triticale materials.
PMID- 26561430
TI - Prehospital Airway Management in Emergency and Trauma Patients: A Cross-sectional
Study of Ambulance Service Providers and Staff in a Low- and Middle-income
Country.
AB - BACKGROUND: Prehospital airway management (AM) is the first priority in the care
of emergency and trauma victims as it has shown to improve survival in these
patients. OBJECTIVE: The aim of this study was to assess training and knowledge
of ambulance staff and availability of AM equipment in ambulances of Karachi,
Pakistan. METHODS: This cross-sectional study was conducted from June through
September 2014. Interviews were conducted with management of six ambulance
service providers and 165 ambulance staff. Data from the management included
availability of AM equipment in the ambulances, number and designation of staff
sent for emergency calls, and AM training of staff. Ambulance staff were assessed
for their awareness, knowledge, and training pertaining to AM. RESULTS: All the
ambulance services (A through F) had basic equipment for AM but lacked qualified
and trained staff. All services had solo drivers (98.3%) for emergency calls;
however, Ambulance Service A also had doctors and paramedics. Only 35.7% (59/165)
of ambulance staff had awareness regarding AM, out of which 77.9% (46/59)
belonged to Ambulance Service A. Of these 59 staff, 81.4% received some form of
AM training. Staff with AM awareness, when assessed for knowledge pertaining to
AM steps and AM equipment, had a mean score of 4.7/5 and 8.4/12, respectively.
CONCLUSION: Even though ambulances are equipped with basic equipment, due to lack
of trained staff, these ambulances only serve the mere purpose of transportation.
There is a need to train ambulance staff and increase ambulance to staff ratio to
improve prehospital AM and patient survival.
PMID- 26561431
TI - Intensive care unit nurse managers' views regarding nurse staffing in their units
in South Africa.
AB - AIM: To explore the views of the intensive care unit (ICU) nurse managers
regarding nurse staffing in the large ICUs. DESIGN AND METHODS: A qualitative
design was used to explore the views of the ICU managers. Four individual
interviews were conducted with ICU managers. The interviews were audio recorded;
transcribed verbatim and content data analysis was undertaken. The settings were
ICUs of four private hospitals in the Tshwane metropolitan area in Gauteng
Province, South Africa. RESULTS: Two themes that emerged from the data were
shortage of competent and trained nurses and problems with agency nurses.
Shortage of competent and trained nurses was associated with the global shortage
of nurses; and led to increased patient-to-nurse ratios and the use of other
categories of nurses, other than professional nurses. The problems with agency
nurses were lack of ICU experience and lack of commitment to their professional
work. These brought about risks in the provision of quality nursing care.
CONCLUSIONS: Adequate numbers of competent and committed nurses is essential for
efficient patient care and favourable outcomes in the ICUs. CLINICAL
IMPLICATIONS: The findings demonstrate the importance of provision of ICU trained
nurses for patient care, rather than nurse staffing simply to balance the
numbers.
PMID- 26561432
TI - Encoder-Driven Inpainting Strategy in Multiview Video Compression.
AB - In free viewpoint video systems, a user has the freedom to select a virtual view
from which an image of the 3D scene is rendered, and the scene is commonly
represented by color and depth images of multiple nearby viewpoints. In such
representation, there exists data redundancy across multiple dimensions: 1) a 3D
voxel may be represented by pixels in multiple viewpoint images (inter-view
redundancy); 2) a pixel patch may recur in a distant spatial region of the same
image due to self-similarity (inter-patch redundancy); and 3) pixels in a local
spatial region tend to be similar (inter-pixel redundancy). It is important to
exploit these redundancies during inter-view prediction toward effective
multiview video compression. In this paper, we propose an encoder-driven
inpainting strategy for inter-view predictive coding, where explicit instructions
are transmitted minimally, and the decoder is left to independently recover
remaining missing data via inpainting, resulting in lower coding overhead. In
particular, after pixels in a reference view are projected to a target view via
depth-image-based rendering at the decoder, the remaining holes in the target
view are filled via an inpainting process in a block-by-block manner. First,
blocks are ordered in terms of difficulty-to-inpaint by the decoder. Then,
explicit instructions are only sent for the reconstruction of the most difficult
blocks. In particular, the missing pixels are explicitly coded via a graph
Fourier transform or a sparsification procedure using discrete cosine transform,
leading to low coding cost. For blocks that are easy to inpaint, the decoder
independently completes missing pixels via template-based inpainting. We apply
our proposed scheme to frames in a prediction structure defined by JCT-3V where
inter-view prediction is dominant, and experimentally we show that our scheme
achieves up to 3-dB gain in peak-signal-to-noise-ratio in reconstructed image
quality over a comparable 3D-High Efficiency Video Coding implementation using
fixed 16 $?times $ 16 block size.
PMID- 26561433
TI - Scalable Coding of Plenoptic Images by Using a Sparse Set and Disparities.
AB - One of the light field capturing techniques is the focused plenoptic capturing.
By placing a microlens array in front of the photosensor, the focused plenoptic
cameras capture both spatial and angular information of a scene in each microlens
image and across microlens images. The capturing results in a significant amount
of redundant information, and the captured image is usually of a large
resolution. A coding scheme that removes the redundancy before coding can be of
advantage for efficient compression, transmission, and rendering. In this paper,
we propose a lossy coding scheme to efficiently represent plenoptic images. The
format contains a sparse image set and its associated disparities. The
reconstruction is performed by disparity-based interpolation and inpainting, and
the reconstructed image is later employed as a prediction reference for the
coding of the full plenoptic image. As an outcome of the representation, the
proposed scheme inherits a scalable structure with three layers. The results show
that plenoptic images are compressed efficiently with over 60 percent bit rate
reduction compared with High Efficiency Video Coding intra coding, and with over
20 percent compared with an High Efficiency Video Coding block copying mode.
PMID- 26561434
TI - A Reconfigurable Tangram Model for Scene Representation and Categorization.
AB - This paper presents a hierarchical and compositional scene layout (i.e., spatial
configuration) representation and a method of learning reconfigurable model for
scene categorization. Three types of shape primitives (i.e., triangle,
parallelogram, and trapezoid), called tans, are used to tile scene image lattice
in a hierarchical and compositional way, and a directed acyclic AND-OR graph
(AOG) is proposed to organize the overcomplete dictionary of tan instances placed
in image lattice, exploring a very large number of scene layouts. With certain
off-the-shelf appearance features used for grounding terminal-nodes (i.e., tan
instances) in the AOG, a scene layout is represented by the globally optimal
parse tree learned via a dynamic programming algorithm from the AOG, which we
call tangram model. Then, a scene category is represented by a mixture of tangram
models discovered with an exemplar-based clustering method. On basis of the
tangram model, we address scene categorization in two aspects: 1) building a
tangram bank representation for linear classifiers, which utilizes a collection
of tangram models learned from all categories and 2) building a tangram matching
kernel for kernel-based classification, which accounts for all hidden spatial
configurations in the AOG. In experiments, our methods are evaluated on three
scene data sets for both the configuration-level and semantic-level scene
categorization, and outperform the spatial pyramid model consistently.
PMID- 26561435
TI - Close Human Interaction Recognition Using Patch-Aware Models.
AB - This paper addresses the problem of recognizing human interactions with close
physical contact from videos. Due to ambiguities in feature-to-person assignments
and frequent occlusions in close interactions, it is difficult to accurately
extract the interacting people. This degrades the recognition performance. We,
therefore, propose a hierarchical model, which recognizes close interactions and
infers supporting regions for each interacting individual simultaneously. Our
model associates a set of hidden variables with spatiotemporal patches and
discriminatively infers their states, which indicate the person that the patches
belong to. This patch-aware representation explicitly models and accounts for
discriminative supporting regions for individuals, and thus overcomes the problem
of ambiguities in feature assignments. Moreover, we incorporate the prior for the
patches to deal with frequent occlusions during interactions. Using the
discriminative supporting regions, our model builds cleaner features for
individual action recognition and interaction recognition. Extensive experiments
are performed on the BIT-Interaction data set and the UT-Interaction data set set
#1 and set #2, and validate the effectiveness of our approach.
PMID- 26561436
TI - A Decomposition Framework for Image Denoising Algorithms.
AB - In this paper, we consider an image decomposition model that provides a novel
framework for image denoising. The model computes the components of the image to
be processed in a moving frame that encodes its local geometry (directions of
gradients and level lines). Then, the strategy we develop is to denoise the
components of the image in the moving frame in order to preserve its local
geometry, which would have been more affected if processing the image directly.
Experiments on a whole image database tested with several denoising methods show
that this framework can provide better results than denoising the image directly,
both in terms of Peak signal-to-noise ratio and Structural similarity index
metrics.
PMID- 26561437
TI - Getting ready for host invasion: elevated expression and action of xyloglucan
endotransglucosylases/hydrolases in developing haustoria of the holoparasitic
angiosperm Cuscuta.
AB - Changes in cell walls have been previously observed in the mature infection
organ, or haustorium, of the parasitic angiosperm Cuscuta, but are not equally
well charted in young haustoria. In this study, we focused on the molecular
processes in the early stages of developing haustoria; that is, before the
parasite engages in a physiological contact with its host. We describe first the
identification of differentially expressed genes in young haustoria whose
development was induced by far-red light and tactile stimuli in the absence of a
host plant by suppression subtractive hybridization. To improve sequence
information and to aid in the identification of the obtained candidates,
reference transcriptomes derived from two species of Cuscuta, C. gronovii and C.
reflexa, were generated. Subsequent quantitative gene expression analysis with
different tissues of C. reflexa revealed that among the genes that were up
regulated in young haustoria, two xyloglucan endotransglucosylase/hydrolase (XTH)
genes were highly expressed almost exclusively at the onset of haustorium
development. The same expression pattern was also found for the closest XTH
homologues from C. gronovii. In situ assays for XTH-specific action suggested
that xyloglucan endotransglucosylation was most pronounced in the cell walls of
the swelling area of the haustorium facing the host plant, but was also
detectable in later stages of haustoriogenesis. We propose that xyloglucan
remodelling by Cuscuta XTHs prepares the parasite for host infection and possibly
aids the invasive growth of the haustorium.
PMID- 26561438
TI - Behavioural responses of freshwater planarians after short-term exposure to the
insecticide chlorantraniliprole.
AB - Recent advances in video tracking technologies provide the tools for a sensitive
and reproducible analysis of invertebrate activity under stressful conditions
nurturing the field of behavioural ecotoxicology. This study aimed to evaluate
behavioural responses of the freshwater planarian Dugesia subtentaculata exposed
to a model compound, chlorantraniliprole (CAP). This compound is an anthranilic
diamide insecticide and due to its neurotoxic action can, at low concentrations,
impair behaviour of exposed organisms. Behavioural endpoints measured included
feeding and locomotor activities. Feeding responses were based on planarian
predatory behaviour using Chironomus riparius larvae as prey. Locomotion was
measured by the traditional planarian locomotor velocity (pLMV) assay and
additionally using an automated video tracking system using a Zebrabox((r))
(Viewpoint, France) device. While feeding and pLMV were significantly impaired at
131.7MUg/L CAP, the video tracking system showed that total distance covered by
planarians was significantly reduced at concentrations as low as 26.2MUg/L CAP.
Our results show that more advanced automated video recording systems can be used
in the development of sensitive bioassays allowing a reliable, time- and cost
effective quantification of behaviour in aquatic invertebrates. Due to their
ecological relevance, behavioural responses should not be disregarded in risk
assessment strategies and we advocate the suitability of planarians as suitable
organisms for behavioural ecotoxicological studies.
PMID- 26561439
TI - Surgical Treatment of Cavernous Malformations Involving the Posterior Limb of the
Internal Capsule: Utility and Predictive Value of Preoperative Diffusion Tensor
Imaging.
AB - OBJECTIVE: The surgical treatment of cavernous malformations involving the
posterior limb of the internal capsule (PLIC-CMs) is challenging. The aim of this
study was to determine the utility and predictive value of preoperative diffusion
tensor imaging (DTI) in the surgical treatment of PLIC-CMs. METHODS: Patients
with PLIC-CMs who were surgically treated between September 2012 and June 2015
were reviewed. All patients underwent preoperative DTI. Three major fiber tracts
were selected for evaluation: 1) corticospinal tract (CST); 2) arcuate fasciculus
(AF); and 3) optic radiation (OR). The utility of preoperative DTI for surgical
approach selection and intraoperative navigation was documented. An involvement
grading system of the major fibers was applied to determine the predictive value
of preoperative DTI. A last modified Rankin Scale (mRS) score of 0-2 was
considered a good outcome, and a last mRS >2 was considered a poor outcome.
RESULTS: Thirteen patients with 13 PLIC-CMs were reviewed in this study. All the
lesions were radically resected via the corridor formed by CST, AF, and OR. None
of the patents suffered from mRS >3, and 7 patients (53.8%) got good outcomes at
the last clinic visit. The difference between the preoperative mRS scores and
last mRS scores was not significant (P = 0.673). The involvement grade of the
fiber tracts was significantly associated with the surgical outcome (P = 0.011).
CONCLUSIONS: Preoperative DTI may be a promising tool to determine the surgical
approach and predict the surgical outcomes in patients with PLIC-CMs.
PMID- 26561440
TI - Health and economic benefits of scaling up a home-based neonatal care package in
rural India: a modelling analysis.
AB - Approximately 900 000 newborn children die every year in India, accounting for
28% of neonatal deaths globally. In 2011, India introduced a home-based newborn
care (HBNC) package to be delivered by community health workers across rural
areas. We estimate the disease and economic burden that could be averted by
scaling up the HBNC in rural India using IndiaSim, an agent-based simulation
model, to examine two interventions. In the first intervention, the existing
community health worker network begins providing HBNC for rural households
without access to home- or facility-based newborn care, as introduced by India's
recent programme. In the second intervention, we consider increased coverage of
HBNC across India so that total coverage of neonatal care (HBNC or otherwise) in
the rural areas of each state reaches at least 90%. We find that compared with a
baseline of no coverage, providing the care package through the existing network
of community health workers could avert 48 [95% uncertainty range (UR) 34-63]
incident cases of severe neonatal morbidity and 5 (95% UR 4-7) related deaths,
save $4411 (95% UR $3088-$5735) in out-of-pocket treatment costs, and provide
$285 (95% UR $200-$371) in value of insurance per 1000 live births in rural
India. Increasing the coverage of HBNC to 90% will avert an additional 9 (95% UR
7-12) incident cases, 1 death (95% UR 0.72-1.33), and $613 (95% UR $430-$797) in
out-of-pocket expenditures, and provide $55 (95% UR $39-$72) in incremental value
of insurance per 1000 live births. Intervention benefits are greater for lower
socioeconomic groups and in the poorer states of Chhattisgarh, Uttarakhand,
Bihar, Assam and Uttar Pradesh.
PMID- 26561441
TI - Predictors of Third Molar Impaction: A Systematic Review and Meta-analysis.
AB - The objective of this meta-analysis was to evaluate the prevalence of third molar
(M3) impaction worldwide in individuals >=17 y, from either sex, who had
undergone oral radiography and presented with no orofacial syndromes or defects.
We performed a literature search using PubMed, ISI Web of Science, and Google
Scholar and retrieved English and non-English articles from any period for
review. We included studies reporting M3 impaction prevalence based on
radiographic examination. Risk of bias was assessed regarding individuals with
craniofacial syndromes, prior extraction of permanent teeth, multiple effect size
estimates, and studies conflating lack of eruption with impaction. Our search
yielded 49 studies involving 83,484 individuals. Worldwide M3 impaction
prevalence was found to be 24.40% (95% confidence interval [95% CI]: 18.97% to
30.80%). The odds of M3 impaction in the mandible were 57.58% (95% CI: 43.3% to
68.3%, P < 0.0001) higher than in the maxilla, but we did not detect any
difference in the odds of impaction between men and women (18.62%, 95% CI: -4.9%
to 48.0%, P = 0.12). Mesioangular impaction was most common (41.17%, 95% CI:
33.8% to 49.0%), followed by vertical (25.55%, 95% CI: 20.0% to 32.0%),
distoangular (12.17%, 95% CI: 9.1% to 16.0%), and horizontal (11.06%, 95% CI:
8.3% to 14.6%). Impaction of 1 (42.71%, 95% CI: 30.0% to 56.5%) or 2 (29.64%, 95%
CI: 19.5% to 42.3%) M3s was much more common than 3 (12.04%, 95% CI: 7.2% to
19.3%) or 4 (8.74%, 95% CI: 5.2% to 14.5%). There were small differences among
impaction prevalence depending on geographic region (F test, P = 0.049).
Selection bias was evident because individuals had to undergo radiographic
examination to be included in the analysis. The subgroup analysis by sex was
underpowered. Worldwide M3 impaction prevalence is lower than previously
reported. The percentage of individuals with impacted M3s is much smaller than
the percentage that undergoes clinical treatment for M3 problems.
PMID- 26561442
TI - Silver Nanoclusters for High-Efficiency Quenching of CdS Nanocrystal
Electrochemiluminescence and Sensitive Detection of microRNA.
AB - In this work, oligonucleotide-encapusulated silver nanoclusters were applied in
the electrochemiluminescence (ECL) system of CdS nanocrystals (NCs)/ K2S2O8 based
on dual ECL quenching effects. We found that the ECL emission of CdS NCs matched
well with the absorption band of oligonucleotide encapsulated Ag nanoclusters,
which could act as the energy acceptor of CdS NCs ECL so as to lead to an
effective ECL resonance energy transfer (RET). On the other hand, the Ag
nanoclusters could also catalyze electrochemical reduction of K2S2O8, resulting
in increased consumption of ECL coreactant near the working electrode and
decreased ECL intensity from CdS NCs. On the basis of the dual ECL quenching
effects, a sensitive ECL biosensor for detection of microRNA was successfully
achieved with a wide linear range from 10 fM to 100 pM.
PMID- 26561443
TI - The Mediterranean non-indigenous ascidian Polyandrocarpa zorritensis:
Microbiological accumulation capability and environmental implications.
AB - We investigated the bacterial accumulation and digestion capability of
Polyandrocarpa zorritensis, a non-indigenous colonial ascidian originally
described in Peru and later found in the Mediterranean. Microbiological analyses
were carried out on homogenates from "unstarved" and "starved" ascidians and
seawater from the same sampling site (Adriatic Sea, Italy). Culturable
heterotrophic bacteria (22 degrees C), total culturable bacteria (37 degrees C)
and vibrios abundances were determined on Marine Agar 2216, Plate Count Agar and
TCBS Agar, respectively. Microbial pollution indicators were measured by the most
probable number method. All the examined microbiological groups were accumulated
by ascidians but differently digested. An interesting outcome is the capability
of P. zorritensis to digest allochthonous microorganisms such as coliforms as
well as culturable bacteria at 37 degrees C, counteracting the effects of
microbial pollution. Thus, the potential exploitation of these filter feeders to
restore polluted seawater should be taken into consideration in the management of
this alien species.
PMID- 26561444
TI - Source determination of benzotriazoles in sediment cores from two urban estuaries
on the Atlantic Coast of the United States.
AB - Benzotriazoles (BZTs) are used in a broad range of commercial and industrial
products, particularly as metal corrosion inhibitors and as ultraviolet (UV)
light stabilizer additives in plastics and polymers. In this study, dated
sediment cores from two east coast estuaries were analyzed for commonly used
BZTs. In Narragansett Bay, UV stabilizing BZTs (UV-BZTs) were present at high
levels from 1961 on, reflecting their patent date, local production and long-term
preservation in sediment. In Salem Sound, UV-BZTs were present at concentrations
consistent with other coastal marine locations not influenced by BZT production.
Anticorrosive BZTs (AC-BZTs) were found in both cores, with the highest levels
reported to date present in Narragansett Bay, indicating sorption to, and
preservation in, sediments. This study revealed that both classes of BZTs have
remained structurally intact over time in coastal sediment cores, demonstrating
their resistance to degradation and persistence in environmental compartments.
PMID- 26561445
TI - Backwash process of marine macroplastics from a beach by nearshore currents
around a submerged breakwater.
AB - A key factor for determining the residence time of macroplastics on a beach is
the process by which the plastics are backwashed offshore (backwash process).
Here, we deduced the backwash process of plastic fishing floats on Wadahama Beach
based on the analysis of two-year mark-recapture experiments as well as nearshore
current structures revealed by sequential images taken by za webcam installed at
the edge of a cliff behind the beach. The analysis results revealed the
occurrence of a combination of offshore currents and convergence of alongshore
currents in the surf zone in storm events around a submerged breakwater off the
northern part of the beach, where 48% of the backwashed floats were last found.
We conclude that the majority of the floats on the beach were transported
alongshore and tended to concentrate in the convergence zone, from where they
were backwashed offshore by the nearshore currents generated in the events.
PMID- 26561446
TI - Ecotoxicity of bisphenol A to Caenorhabditis elegans by multigenerational
exposure and variations of stress response in vivo across generations.
AB - In order to understand how bisphenol A (BPA) exposure acts on the evolutionary
dynamics of populations and changes of stress response across generations, the
model animal Caenorhabditis elegans was used to conduct the multigenerational
testing. Multiple endpoints at the physiological (growth, reproduction, and
locomotion behaviors) and molecular (stress-related gene expressions) levels were
examined by multigenerational exposure to low-concentration BPA (0.001-10 MUM)
across four generations. The results showed that changes of physiological-level
effects across four generations varied in magnitude and direction, depending on
the exposure concentrations. C. elegans individuals in the first generation grew
smaller, moved slower, and produced less offsprings than the controls by BPA
exposure. As for each trait tested, the first generation response could be
commonly mirrored in the subsequent generations at the highest concentration of
10 MUM. However, at lower concentrations, response of parental generation was a
relatively poor predictor of the effects on progeny, as acclimation or cumulative
damage could occur in the subsequent generations. The integrated gene expression
profiles visually illustrated that the tested gene expressions at low
concentrations (0.001-0.01 MUM) were more obviously changed in both G1 and G4
generations, and the G1 generation showed a much greater degree of increase in
stress-related gene expressions than the G4 generation. The multigenerational
toxicity data emphasize the need of considering biological effects over multiple
generations to conduct accurate assessment of environmental risks of toxicants on
population dynamics.
PMID- 26561447
TI - Effect of different carbon nanotubes on cadmium toxicity to Daphnia magna: The
role of catalyst impurities and adsorption capacity.
AB - Experiments were conducted to investigate the effect of four different carbon
nanotubes single- and multi-walled carbon nanotubes (SWCNTs and MWCNTs) and
hydroxylated and carboxylated multi-walled carbon nanotubes (OH-MWCNTs and COOH
MWCNTs) on Cd toxicity to the aquatic organism Daphnia magna. The acute toxicity
results indicated that all CNTs could enhance the toxicity of Cd to D. magna.
Furthermore, the filtrate toxicity and adsorption tests showed that the toxicity
increasing effect of SWCNTs and MWCNTs in the overall system was mainly caused by
catalysts impurities from the pristine CNTs, whereas the greater adsorption of Cd
onto OH-MWCNTs (30.52 mg/g) and COOH-MWCNTs (24.93 mg/g) was the key factor
contributing to the enhanced toxicity. This result raised a concern that the
metal catalyst impurities, adsorption capacities, and accumulation of waterborne
CNTs were responsible for the toxicity of Cd to aquatic organism.
PMID- 26561448
TI - pH-dependent phosphatization of ZnO nanoparticles and its influence on subsequent
lead sorption.
AB - Phosphatization of ZnO nanoparticles (ZNPs) at various pHs and its influence on
subsequent lead sorption were investigated. Results showed that, in presence of
phosphate, both the chemical speciation and crystalline phase of ZNPs were pH
dependent that most of them were converted to crystalline Zn3(PO4)2 at acidic
pHs, but only little amorphous hopeites can be formed under alkaline condition.
Phosphatization process significantly enhanced subsequent lead sorption with the
order of acidic process > alkaline > pristine ZNPs. Spectroscopic analysis
including ATR-FTIR and XPS revealed main mechanisms of lead phosphate
precipitation and inner-sphere complexes for lead sorption on acidic and alkaline
treatment products, respectively. The potential toxicity of ZNPs and heavy metals
in eutrophic aquatic ecosystems would thus be reduced due to the ubiquitous
phosphatization process. This study highlights the importance of environmental
variables in exploring the environmental behavior and fate of heavy metals as
well as nanoparticles in natural waters.
PMID- 26561449
TI - Particle size and chemical constituents of ambient particulate pollution
associated with cardiovascular mortality in Guangzhou, China.
AB - Though significant associations between particulate matter (PM) air pollution and
cardiovascular diseases have been widely reported, it remains unclear what
characteristics, such as particle size and chemical constituents, may be
responsible for the effects. A time-series model was applied to examine the
cardiovascular effects of particle size (for the period of 2009-2011) and
chemical constituents (2007-2010) in Guangzhou, we controlled for potential
confounders in the model, such as time trends, day of the week, public holidays,
meteorological factors and influenza epidemic. We found significant associations
of cardiovascular mortality with PM10, PM2.5 and PM1; the excess risk (ER) was
6.10% (95% CI: 1.76%, 10.64%), 6.11% (95% CI: 1.76%, 10.64%) and 6.48% (95% CI:
2.10%, 11.06%) for per IQR increase in PM10, PM2.5 and PM1 at moving averages for
the current day and the previous 3 days (lag03), respectively. We did not find
significant effects of PM2.5-10 and PM1-2.5. For PM2.5 constituents, we found
that organic carbon, elemental carbon, sulfate, nitrate and ammonium were
significantly associated with cardiovascular mortality, the corresponding ER for
an IQR concentration increase at lag03 was 1.13% (95% CI: 0.10%, 2.17%), 2.77%
(95% CI: 0.72%, 4.86%), 2.21% (95% CI: 1.05%, 3.38%), 1.98% (95% CI: 0.54%,
3.44%), and 3.38% (95% CI: 1.56%, 5.23%), respectively. These results were robust
to adjustment of other air pollutants and they remained consistent in various
sensitivity analyses by changing model parameters. Our study suggests that PM1
and constituents from combustion and secondary aerosols might be important
characteristics of PM pollution associated with cardiovascular mortality in
Guangzhou.
PMID- 26561450
TI - Acoustic stress responses in juvenile sea bass Dicentrarchus labrax induced by
offshore pile driving.
AB - Underwater sound generated by pile driving during construction of offshore wind
farms is a major concern in many countries. This paper reports on the acoustic
stress responses in young European sea bass Dicentrarchus labrax (68 and 115 days
old), based on four in situ experiments as close as 45 m from a pile driving
activity. As a primary stress response, whole-body cortisol seemed to be too
sensitive to 'handling' bias. On the other hand, measured secondary stress
responses to pile driving showed significant reductions in oxygen consumption
rate and low whole-body lactate concentrations. Furthermore, repeated exposure to
impulsive sound significantly affected both primary and secondary stress
responses. Under laboratory conditions, no tertiary stress responses (no changes
in specific growth rate or Fulton's condition factor) were noted in young sea
bass 30 days after the treatment. Still, the demonstrated acute stress responses
and potentially repeated exposure to impulsive sound in the field will inevitably
lead to less fit fish in the wild.
PMID- 26561451
TI - Effect of different-sized colloids on the transport and deposition of titanium
dioxide nanoparticles in quartz sand.
AB - Colloids (non-biological and biological) with different sizes are ubiquitous in
natural environment. The investigations regarding the influence of different
sized colloids on the transport and deposition behaviors of engineered
nanoparticles in porous media yet are still largely lacking. This study
investigated the effects of different-sized non-biological and biological
colloids on the transport of titanium dioxide nanoparticles (nTiO2) in quartz
sand under both electrostatically favorable and unfavorable conditions.
Fluorescent carboxylate-modified polystyrene latex microspheres (CML) with sizes
of 0.2-2 MUm were utilized as model non-biological colloids, while Gram-negative
Escherichia coli (~ 1 MUm) and Gram-positive Bacillus subtilis (~ 2 MUm) were
employed as model biological colloids. Under the examined solution conditions,
both breakthrough curves and retained profiles of nTiO2 with different-sized CML
particles/bacteria were similar as those without colloids under favorable
conditions, indicating that the copresence of model colloids in suspensions had
negligible effects on the transport and deposition of nTiO2 under favorable
conditions. In contrast, higher breakthrough curves and lower retained profiles
of nTiO2 with CML particles/bacteria relative to those without copresent colloids
were observed under unfavorable conditions. Clearly, the copresence of model
colloids increased the transport and decreased the deposition of nTiO2 in quartz
sand under unfavorable conditions (solution conditions examined in present
study). Both competition of deposition sites on quartz sand surfaces and the
enhanced stability/dispersion of nTiO2 induced by copresent colloids were found
to be responsible for the increased nTiO2 transport with colloids under
unfavorable conditions. Moreover, the smallest colloids had the highest coverage
on sand surface and most significant dispersion effect on nTiO2, resulting in the
greatest nTiO2 transport.
PMID- 26561452
TI - Perfluoroalkyl substances (PFAS) in river and ground/drinking water of the Ganges
River basin: Emissions and implications for human exposure.
AB - Many perfluoroalkyl substances (PFAS) are ubiquitous environmental contaminants.
They have been widely used in production processes and daily-use products or may
result from degradation of precursor compounds in products or the environment.
India, with its developing industrialization and population moving from
traditional to contemporary lifestyles, represents an interesting case study to
investigate PFAS emission and exposure along steep environmental and
socioeconomic gradients. This study assesses PFAS concentrations in river and
groundwater (used in this region as drinking water) from several locations along
the Ganges River and estimates direct emissions, specifically for PFOS and PFOA.
15 PFAS were frequently detected in the river with the highest concentrations
observed for PFHxA (0.4-4.7 ng L(-1)) and PFBS ( 6
MeO-BDE-47 > 6-OH-BDE-47, while 6-OH-BDE-47 was the most prone to acropetal
translocation. Debromination rates of BDE-47 were 1.31 and 1.46 times greater
than the hydroxylation and methoxylation rates, respectively. Transformation from
BDE-47 to lower brominated OH/MeO-PBDEs occurred mainly through debromination
first followed by hydroxylation or methoxylation. There was no transformation
from 6-OH-BDE-47 or 6-MeO-BDE-47 to PBDEs. Methylation rate of 6-OH-BDE-47 was
twice as high as that of 6-MeO-BDE-47 hydroxylation, indicating methylation of 6
OH-BDE-47 was easier and more rapid than hydroxylation of 6-MeO-BDE-47.
Debromination and isomerization were potential metabolic pathways for 6-OH-BDE-47
and 6-MeO-BDE-47 in maize. This study provides important information for better
understanding the mechanism on plant uptake and transformation of PBDEs.
PMID- 26561455
TI - Elemental sulfur coarsening kinetics.
AB - BACKGROUND: Elemental sulfur exists is a variety of forms in natural systems,
from dissolved forms (noted as S8(diss) or in water as S8(aq)) to bulk elemental
sulfur (most stable as alpha-S8). Elemental sulfur can form via several biotic
and abiotic processes, many beginning with small sulfur oxide or polysulfidic
sulfur molecules that coarsen into S8 rings that then coalesce into larger forms:
[Formula: see text] Formation of elemental sulfur can be possible via two primary
techniques to create an emulsion of liquid sulfur in water called sulfur sols
that approximate some mechanisms of possible elemental sulfur formation in
natural systems. These techniques produce hydrophobic (S8(Weimarn)) and
hydrophilic (S8(polysulfide)) sols that exist as nanoparticle and colloidal
suspensions. These sols begin as small sulfur oxide or polysulfidic sulfur
molecules, or dissolved S8(aq) forms, but quickly become nanoparticulate and
coarsen into micron sized particles via a combination of classical nucleation,
aggregation processes, and/or Ostwald ripening. RESULTS: We conducted a series of
experiments to study the rate of elemental sulfur particle coarsening using
dynamic light scattering (DLS) analysis under different physical and chemical
conditions. Rates of nucleation and initial coarsening occur over seconds to
minutes at rates too fast to measure by DLS, with subsequent coarsening of
S8(nano) and S8(sol) being strongly temperature dependent, with rates up to 20
times faster at 75 degrees C compared to 20 degrees C. The addition of
surfactants (utilizing ionic and nonionic surfactants as model compounds) results
in a significant reduction of coarsening rates, in addition to known effects of
these molecules on elemental sulfur solubility. DLS and cryo-SEM results suggest
coarsening is largely a product of ripening processes rather than particle
aggregation, especially at higher temperatures. Fitting of the coarsening rate
data to established models for Ostwald ripening additionally support this as a
primary mechanism of coarsening. CONCLUSIONS: Elemental sulfur sols coarsen
rapidly at elevated temperatures and experience significant effects on both
solubility and particle coarsening kinetics due to interaction with surfactants.
Growth of elemental sulfur nanoparticles and sols is largely governed by Ostwald
ripening processes.
PMID- 26561456
TI - Activity of zero-valent sulfur in sulfidic natural waters.
AB - BACKGROUND: Ionic and molecular carriers of dissolved (filter-passing) zero
valent sulfur (S0) in anaerobic natural waters include polysulfides, Sn2-,
molecular S8(aq), organic macromolecules and certain higher valent thioanions.
Because S0 is rapidly transferred among these various carriers, its
biogeochemical roles in such processes as dehalogenation of organic compounds,
chelation of trace metals, and anaerobic microbial metabolism are not determined
solely by one ionic or molecular species. Here, S0 is treated collectively as a
virtual thermodynamic component, and computational as well as graphical methods
for quantifying its activity (aS0) in natural waters are presented. From aS0,
concentrations of the ionic and molecular carriers of S0 can be calculated
easily. RESULTS: Concentration ratios of any two polysulfide ions define aS0
(Method I). Unfortunately these concentrations are often too low in nature for
accurate quantification with current methods. Measurements of total divalent
sulfur (SigmaS-II), zero-valent sulfur (SigmaS0) and pH provide a more widely
applicable approach (Method II). Systematic errors in SigmaS0 measurements are
the main limit to accuracy of this method at the present time. Alternative
methods based on greigite solubility and potentiometry are discussed. A critical
comparison of Methods I and II reveals inconsistencies at low SigmaS0/SigmaS-II
that imply errors in the thermodynamic data for HS2- and S2-. For samples having
low SigmaS0/SigmaS-II, an interim remedy is recommended: letting pKa2 = 6.3 for
all HSn- ions. CONCLUSIONS: Newly assembled data for aS0 in a selection of
anaerobic natural waters indicate that S0 is always metastable in the surveyed
samples with respect to disproportionation to sulfide and sulfate. In all the
surveyed environments, sulfur-rich minerals, such as greigite, covellite and
orpiment, are stable in preference to their sulfur-poor cohorts, mackinawite,
chalcocite and realgar. The aS0 values in the dataset span conditions favoring Hg
polysulfide complexes vs. Hg-sulfide complexes, implying that aS0 could affect Hg
methylation rates in nature. No support is found for the common assumption that
aS0 = 1 in reducing natural waters. This paper calls attention to an urgent need
for improved measurement methods, especially for total zero-valent sulfur, as
well as new determinations of ionization constants for all HSn- species.
PMID- 26561457
TI - Occupational medicine is in demise.
PMID- 26561458
TI - Visual Analysis of Multi-Run Spatio-Temporal Simulations Using Isocontour
Similarity for Projected Views.
AB - Multi-run simulations are widely used to investigate how simulated processes
evolve depending on varying initial conditions. Frequently, such simulations
model the change of spatial phenomena over time. Isocontours have proven to be
effective for the visual representation and analysis of 2D and 3D spatial scalar
fields. We propose a novel visualization approach for multi-run simulation data
based on isocontours. By introducing a distance function for isocontours, we
generate a distance matrix used for a multidimensional scaling projection.
Multiple simulation runs are represented by polylines in the projected view
displaying change over time. We propose a fast calculation of isocontour
differences based on a quasi-Monte Carlo approach. For interactive visual
analysis, we support filtering and selection mechanisms on the multi-run plot and
on linked views to physical space visualizations. Our approach can be effectively
used for the visual representation of ensembles, for pattern and outlier
detection, for the investigation of the influence of simulation parameters, and
for a detailed analysis of the features detected. The proposed method is
applicable to data of any spatial dimensionality and any spatial representation
(gridded or unstructured). We validate our approach by performing a user study on
synthetic data and applying it to different types of multi-run spatio-temporal
simulation data.
PMID- 26561459
TI - Generalized Local-to-Global Shape Feature Detection Based on Graph Wavelets.
AB - Informative and discriminative feature descriptors are vital in qualitative and
quantitative shape analysis for a large variety of graphics applications.
Conventional feature descriptors primarily concentrate on discontinuity of
certain differential attributes at different orders that naturally give rise to
their discriminative power in depicting point, line, small patch features, etc.
This paper seeks novel strategies to define generalized, user-specified features
anywhere on shapes. Our new region-based feature descriptors are constructed
primarily with the powerful spectral graph wavelets (SGWs) that are both multi
scale and multi-level in nature, incorporating both local (differential) and
global (integral) information. To our best knowledge, this is the first attempt
to organize SGWs in a hierarchical way and unite them with the bi-harmonic
diffusion field towards quantitative region-based shape analysis. Furthermore, we
develop a local-to-global shape feature detection framework to facilitate a host
of graphics applications, including partial matching without point-wise
correspondence, coarse-to-fine recognition, model recognition, etc. Through the
extensive experiments and comprehensive comparisons with the state-of-the-art,
our framework has exhibited many attractive advantages such as being geometry
aware, robust, discriminative, isometry-invariant, etc.
PMID- 26561460
TI - Finding the Axis of Revolution of an Algebraic Surface of Revolution.
AB - We present an algorithm for extracting the axis of revolution from the implicit
equation of an algebraic surface of revolution based on three distinct
computational methods: factoring the highest order form into quadrics,
contracting the tensor of the highest order form, and using univariate resultants
and gcds. We compare and contrast the advantages and disadvantages of each of
these three techniques and we derive conditions under which each technique is
most appropriate. In addition, we provide several necessary conditions for an
implicit algebraic equation to represent a surface of revolution.
PMID- 26561461
TI - Efficient Verification of Holograms Using Mobile Augmented Reality.
AB - Paper documents such as passports, visas and banknotes are frequently checked by
inspection of security elements. In particular, optically variable devices such
as holograms are important, but difficult to inspect. Augmented Reality can
provide all relevant information on standard mobile devices. However, hologram
verification on mobiles still takes long and provides lower accuracy than
inspection by human individuals using appropriate reference information. We aim
to address these drawbacks by automatic matching combined with a special
parametrization of an efficient goal-oriented user interface which supports
constrained navigation. We first evaluate a series of similarity measures for
matching hologram patches to provide a sound basis for automatic decisions. Then
a re-parametrized user interface is proposed based on observations of typical
user behavior during document capture. These measures help to reduce capture time
to approximately 15 s with better decisions regarding the evaluated samples than
what can be achieved by untrained users.
PMID- 26561462
TI - Simultaneous Localization and Appearance Estimation with a Consumer RGB-D Camera.
AB - Acquiring general material appearance with hand-held consumer RGB-D cameras is
difficult for casual users, due to the inaccuracy in reconstructed camera poses
and geometry, as well as the unknown lighting that is coupled with materials in
measured color images. To tackle these challenges, we present a novel technique
for estimating the spatially varying isotropic surface reflectance, solely from
color and depth images captured with an RGB-D camera under unknown environment
illumination. The core of our approach is a joint optimization, which alternates
among solving for plausible camera poses, materials, the environment lighting and
normals. To refine camera poses, we exploit the rich spatial and view-dependent
variations of materials, treating the object as a localization-self-calibrating
model. To recover the unknown lighting, measured color images along with the
current estimate of materials are used in a global optimization, efficiently
solved by exploiting the sparsity in the wavelet domain. We demonstrate the
substantially improved quality of estimated appearance on a variety of daily
objects.
PMID- 26561463
TI - Surface Mosaic Synthesis with Irregular Tiles.
AB - Mosaics are widely used for surface decoration to produce appealing visual
effects. We present a method for synthesizing digital surface mosaics with
irregularly shaped tiles, which are a type of tiles often used for mosaics
design. Our method employs both continuous optimization and combinatorial
optimization to improve tile arrangement. In the continuous optimization step, we
iteratively partition the base surface into approximate Voronoi regions of the
tiles and optimize the positions and orientations of the tiles to achieve a tight
fit. Combination optimization performs tile permutation and replacement to
further increase surface coverage and diversify tile selection. The alternative
applications of these two optimization steps lead to rich combination of tiles
and high surface coverage. We demonstrate the effectiveness of our solution with
extensive experiments and comparisons.
PMID- 26561464
TI - Comparison of five models for end-stage liver disease in predicting the survival
rate of patients with advanced hepatocellular carcinoma.
AB - Prognosis of patients with advanced hepatocellular carcinoma (HCC) is under
expectation. Life expectancy more than 3 months is one inclusion criteria for
molecular targeted drugs in clinical trials. The main purpose of this research is
to compare Model for End-Stage Liver Disease (MELD) and four MELD-based
prognostic models in predicting the survival rate of advanced HCC patients. One
hundred eighty-three patients with advanced HCC who were not amendable to
standard anti-tumor therapy were retrospectively analyzed. Data were collected to
classify patients according to MELD, Model for End-Stage Liver Disease with the
incorporation of serum sodium (MELD-NA), Model for End-Stage Liver Disease to
ascites and sodium (MELD-AS), integrated Model for End-Stage Liver Disease
(iMELD), and Model for End-Stage Liver Disease to sodium (MESO) scores at
diagnosis. 1-, 3-, and 6-month survivals were the end points used in the
analysis. When predicting 1-month survival, MELD-AS, MELD, and MESO were the top
3 ranking staging systems. When predicting 3-month survival, area under the
receiver operating characteristic curve (AUC) of MELD-AS is significantly higher
than that of the other models (P < 0.05). When predicting 6-month survival, AUCs
of MELD-AS and MELD-NA are significantly higher than those of the other models (P
< 0.05). Cutoff point of MELD-AS is 23.11 with 40.5 % sensitivity and 93.8 %
specificity at 1 month, 9.5 with 76.9 % sensitivity and 59.5 % specificity at 3
months, and 18.5 with 27.0 % sensitivity and 89.1 % specificity at 6 months. MELD
based scores of death group are significantly higher than those of survivors
within 1 and 3 months (P < 0.001). Independent prognostic factors identified by
multivariate analysis included persistent ascites, serum sodium, and thrombosis.
MELD-AS is the best model in the prediction of short and intermediate survival
among the five models for end-stage liver disease analyzed for Chinese advanced
HCC patients.
PMID- 26561465
TI - MicroRNA-150 functions as a tumor suppressor in osteosarcoma by targeting
IGF2BP1.
AB - Osteosarcoma (OS) is the most common primary malignant bone tumor with high
morbidity in young adults and adolescents. Increasing evidence has demonstrated
that aberrant microRNA (miRNA) expression is involved in OS occurrence and
development. miR-150 has been recently widely studied in many cancers, but not
including OS. This study is aimed to investigate the expression and biological
role of miR-150 in OS. Here, we found that miR-150 expression was consistently
downregulated in OS tissues and cell lines compared with the matched adjacent
normal tissues and human normal osteoblast cells (NHOst), and its expression was
significantly correlated with lymph node metastasis and tumor-node-metastasis
(TNM) stage. Functional study showed that restoration of miR-150 expression in OS
cells could inhibit cell proliferation, migration, and invasion and induced
apoptosis in vitro as well as suppressed tumor growth of OS in vivo.
Mechanistically, IGF2 mRNA-binding protein 1(IGF2BP1) was confirmed to act as a
direct target of miR-150, and the IGF2BP1 mRNA expression was inversely
correlated with the level of miR-150 in OS tissues. In addition, downregulation
of endogenous IGF2BP1 exhibited similar effects of overexpression of miR-150.
Taken together, these findings suggest that miR-150 functions as a tumor
suppressor in OS partially by targeting IGF2BP1.
PMID- 26561466
TI - Lymphocyte to monocyte ratio is associated with response to first-line platinum
based chemotherapy and prognosis of early-stage non-small cell lung cancer
patients.
AB - Lymphocyte to monocyte ratio (LMR) has shown prognostic value in different types
of cancer. This study assessed the prognostic performance of LMR in early-stage
non-small cell lung cancer (NSCLC) patients and investigated the influence of LMR
on the treatment response in patients receiving first-line platinum-based
chemotherapy. Four hundred eighty-eight NSCLC patients and 500 healthy donors
were enrolled in this study. The cutoff value for LMR was chosen by receiver
operating characteristic curve analysis. The prognostic significance of markers
was assessed by univariate and multivariate Cox regression models. The median
overall survival was 43 months, and the median progression-free survival was 38
months. LMR was associated with disease status and the treatment response of
first-line platinum-based chemotherapy. Multivariate analysis showed that LMR was
an independent prognostic factor for both overall survival (hazard ratio = 1.53,
95 % confidence interval = 1.09-2.14, P = 0.015) and progression-free survival
(hazard ratio = 1.20, 95 % confidence interval = 1.02-1.67, P = 0.028).
Furthermore, integration of LMR into a prognostic model including TNM stage,
tumor status, chemotherapy, and histological type generated a nomogram, which
predicted accurately overall survival for NSCLC patients. Decreased LMR may be a
potential biomarker of disease status, worse response to first-line platinum
based chemotherapy, and worse survival for NSCLC patients. A prospective study is
warranted for further validation of our findings.
PMID- 26561467
TI - The protective role of the -1306C>T functional polymorphism in matrix
metalloproteinase-2 gene is associated with cervical cancer: implication of human
papillomavirus infection.
AB - Cervical cancer is the major reproductive health problem among women caused by
persistent infection of high-risk human papillomavirus (HR-HPV).
Metalloproteinase-2 (MMP-2) is an endopeptidase highly expressed in cervical
cancer; however, the genetic link between aberrant expression of MMP-2 and
cervical carcinogenesis is not known. The genotypic distribution, expression
pattern of MMP-2 and HPV infection, was analyzed in a total of 300 fresh
surgically resected cervical tissue biopsies. The MMP-2 C1306T (rs243865)
promoter polymorphism dominant model (CC v/s CT + CT + TT) revealed that the CC
genotype had a 4.33-fold significant increased risk for development of cervical
cancer (OR = 4.33; 95 % CI = 2.36-4.02, p = 0.0001) compared to those with
variant genotypes (-1306 CT + TT). The C allele was associated with 3-fold
significant increased risk (OR = 2.95; 95 % CI = 1.90-4.60, p = 0.0002) compared
to T allele. Interestingly, a significant correlation was found between high
expression of MMP-2 protein and CC genotype in cancer patients (p = 0.001)
compared to normal controls (p = 0.012). Further analysis showed that the risk of
cancer was extremely pronounced in HPV positive patients (OR = 9.33; 95 % CI =
2.88-30.20, p = 0.0001) compared to HPV negative ones, implicating the possible
interaction between -1306CC genotype and HPV infection in increasing the cancer
risk (p = 0.0001). The leads from the present study suggest the protective role
of gene variant -1306C>T at the promoter region of the MMP-2 against HPV-mediated
cervical cancer. These findings substantiate the functional role of MMP-2 C1306T
polymorphism in a significant downregulation of MMP-2 protein in women with
variant genotype (CT/TT) compared to the normal wild CC genotype.
PMID- 26561468
TI - Tagging staphylococcal enterotoxin B (SEB) with TGFaL3 for breast cancer therapy.
AB - Recent research has attempted to direct superantigens towards tumors by means of
tumor-targeted superantigen (TTS) strategy. In this study, we explored the
antitumor property of TTS by fusing the third loop of transforming growth factor
alpha (TGFalphaL3) to staphylococcal enterotoxin type B (SEB) and investigated
the possibility of the therapeutic application of TGFalphaL3-SEB as a novel
antitumor candidate in mice bearing breast cancer. Treatment was performed
through intratumoral and intravenous injection of TGFalphaL3-SEB. Tumor
size/volume, long-term survival, and cytokine secretion were assessed. In
addition, the toxicity of each treatment on liver and kidneys was examined. Our
results indicated that the relative tumor volume significantly increased in the
mice receiving intratumoral TGFaL3-SEB (p < 0.05). Surprisingly, 5 out of the 14
mice were cleared from the tumor thoroughly in 10-25 days after intratumoral
administration of TGFaL3-SEB. Quantification of cytokines clearly showed that the
mice receiving intratumoral SEB significantly secreted higher interferon gamma
(IFN-gamma) and tumor necrosis factor alpha (TNF-alpha) compared with the other
groups (p < 0.05). The antitumor effect was followed by inhibition of cell
proliferation (Ki-67) and micro vascularization (CD31). The highest and lowest
levels of tumor necrosis were observed in the intratumoral administration of
TGFalphaL3-SEB (85 %) and PBS (14 %), respectively. Intratumoral injection of
TGFalphaL3-SEB increased the lifespan of the mice so 37.5 % of them could survive
for more than 6 months (p < 0.05). Overall, our findings indicated that
intratumoral administration of TGFalphaL3-SEB effectively inhibited the growth of
breast tumors through induction of necrosis and suppressing proliferation and
angiogenesis without systemic toxicity.
PMID- 26561469
TI - The potential role of PHF6 as an oncogene: a genotranscriptomic/proteomic meta
analysis.
AB - Epigenetic complexes control various pathways within the cells. Their
abnormalities can be involved in the initiation and the progression of different
types of cancer. Nucleosome remodeling and deacetylase (NuRD) is an epigenetic
complex that comprises several subunits such as PHF6. Although PHF6 is reported
as a tumor suppressor in some of the hematopoietic malignancies, its function is
still challenging in other cancers. Our study aimed at investigating the role of
PHF6 in different types of cancer. We conducted a meta-analysis of PHF6 in human
cancers at genomic, transcriptomic, and proteomic levels. For this purpose, we
acquired the data from several databases, and tried to statistically integrate
and analyze the data in order to find the potential role of PHF6 in different
tumors. The results demonstrated that although PHF6 has been previously known as
a tumor suppressor gene, it was remarkably overexpressed in many cancer types
such as breast and colorectal cancers. Notably, PHF6 was under-expressed in a few
types of cancer, including esophageal tumors. Moreover, the results indicated
that although the mutation rate of PHF6 is relatively low, it is mutated in some
tumor types. In addition, our data for 40 epigenetic genes showed that missense
and nonsense mutations were associated with overexpression and under-expression,
respectively. Our results suggest that PHF6 may function as an oncogenic factor
in several types of cancer. We also hypothesize that PHF6 may also play its role
in a tissue-specific manner. Our findings suggest further investigations
regarding the exact role of PHF6 in tumor types.
PMID- 26561470
TI - Subclassification of patients with solitary hepatocellular carcinoma based on
post-hepatectomy survival: a large retrospective study.
AB - Official guidelines group together all cases of solitary hepatocellular carcinoma
(HCC) without macroscopic vascular invasion, regardless of tumor size. Here, we
examined whether this is justified based on overall survival (OS) after hepatic
resection (HR). Patients with newly diagnosed solitary HCC treated by initial HR
from January 2004 to October 2013 were classified into six groups based on tumor
size (in 2-cm increments). Combining adjacent categories with similar OS led to
three groups: <=5 cm (n = 426), >5 and <=8 cm (n = 229), and >8 cm (n = 202).
Among all patients, median survival time was 62 months, and OS was 95 % at 1
year, 73 % at 3 years, and 54 % at 5 years. Patients in the <=5 cm group showed
significantly higher OS (P < 0.001) and lower tumor recurrence (P = 0.004) than
those in the >5 and <=8 cm group, who in turn showed significantly higher OS (P =
0.003) and lower tumor recurrence (P = 0.021) than those in the >8 cm group. Our
results suggest that patients with solitary HCC should be subclassified based on
tumor size for more accurate prognosis. We propose defining solitary HCC tumors
>5 and <=8 cm as "large" and tumors >8 cm as "huge".
PMID- 26561471
TI - Mechanism of metformin action in MCF-7 and MDA-MB-231 human breast cancer cells
involves oxidative stress generation, DNA damage, and transforming growth factor
beta1 induction.
AB - The participation of oxidative stress in the mechanism of metformin action in
breast cancer remains unclear. We investigated the effects of clinical (6 and 30
MUM) and experimental concentrations of metformin (1000 and 5000 MUM) in MCF-7
and in MDA-MB-231 cells, verifying cytotoxicity, oxidative stress, DNA damage,
and intracellular pathways related to cell growth and survival after 24 h of drug
exposure. Clinical concentrations of metformin decreased metabolic activity of
MCF-7 cells in the MTT assay, which showed increased oxidative stress and DNA
damage, although cell death and impairment in the proliferative capacity were
observed only at higher concentrations. The reduction in metabolic activity and
proliferation in MDA-MB-231 cells was present only at experimental concentrations
after 24 h of drug exposition. Oxidative stress and DNA damage were induced in
this cell line at experimental concentrations. The drug decreased cytoplasmic
extracellular signal-regulated kinases 1 and 2 (ERK1/2) and AKT and increased
nuclear p53 and cytoplasmic transforming growth factor beta1 (TGF-beta1) in both
cell lines. These findings suggest that metformin reduces cell survival by
increasing reactive oxygen species, which induce DNA damage and apoptosis. A
relationship between the increase in TGF-beta1 and p53 levels and the decrease in
ERK1/2 and AKT was also observed. These findings suggest the mechanism of action
of metformin in both breast cancer cell lineages, whereas cell line specific
undergoes redox changes in the cells in which proliferation and survival
signaling are modified. Taken together, these results highlight the potential
clinical utility of metformin as an adjuvant during the treatment of luminal and
triple-negative breast cancer.
PMID- 26561472
TI - The prognosis and clinical characteristics of advanced (malignant) solid
pseudopapillary neoplasm of the pancreas.
AB - Until today, there is no consistency about the prognosis and the diagnostic
criteria of the "malignant" pancreatic solid pseudopapillary neoplasms (m-SPNs).
We here made a retrospective study of 26 such cases and try to give a
comprehensive description of their pathological characteristics and clinical
course. We found out that among those malignant cases, the most common involved
extrapancreatic organ was the duodenum, followed by the spleen and the portal
vein. The lymph node and the liver metastasis were also seen in 19 % cases,
respectively. Most of the patients were female (22/26). Calcification, foamy
cytoplasm, and bizarre nuclei of tumor cells were more common in male patients.
Every patient underwent surgical resection and had excellent prognosis. There
were two patients who had metastasis to the liver 6 years after excision. The
recurrence status correlated with the family history of malignant tumor. No
patient died of the disease directly during the mean follow-up time of 73.9
months (21-135 months). Our results supported the idea that the prognosis of the
advanced stage SPNs was excellent. The surgical resection seemed effectively
enough for these patients. However, all the patients need close follow-ups,
especially those who had family history of malignant tumors.
PMID- 26561473
TI - Inhibition of cyclin D1 enhances sensitivity to radiotherapy and reverses
epithelial to mesenchymal transition for esophageal cancer cells.
AB - Acquired radioresistance during radiotherapy has significantly affected the
treatment efficacy in esophageal cancer. Many of radioresistant cancer cells
demonstrated epithelial-mesenchymal transition (EMT).We found in previous study
that a radioresistant cell line (KYSE-150R) possessed EMT characteristic with
cyclin D1 overexpression. Cyclin D1 has been demonstrated to affect the radiation
sensitivity in cancer cells. To elucidate the molecular functions of cyclin D1 on
EMT phenotypes and esophageal cancer radiosensitivity, we treated the
radioresistant esophageal cancer cells (KYSE-150R) and parental cells (KYSE-150)
with cyclin D1 small interfering RNA (siRNA). The cell proliferation rate of KYSE
150R and the radiation survival fraction were significantly decreased in cyclin
D1 siRNA treatment group. Knocking down cyclin D1 resulted in G0/G1 arrest in
KYSE-150R cells. The average number of irradiation-induced gamma-H2AX foci
increased in the cells treated with cyclin D1 siRNA, indicating impaired DNA
double-strand break (DSB) repair in KYSE-150R cells. Cyclin D1 also reversed EMT
phenotypes with significantly increased expression of E-cadherin in KYSE-150R
cells. However, cyclin D1 siRNA have no radiosensitizing effects on KYSE-150
cells, with no obvious change in EMT marker expression .Our work showed that EMT
phenotypes can be reduced and the radiosensitivity of esophageal cancer cells can
be enhanced by inhibiting cyclin D1.
PMID- 26561475
TI - Perception of Multisensory Gender Coherence in 6- and 9-month-old Infants.
AB - One of the most salient social categories conveyed by human faces and voices is
gender. We investigated the developmental emergence of the ability to perceive
the coherence of auditory and visual attributes of gender in 6- and 9-month-old
infants. Infants viewed two side-by-side video clips of a man and a woman singing
a nursery rhyme and heard a synchronous male or female soundtrack. Results showed
that 6-month-old infants did not match the audible and visible attributes of
gender, and 9-month-old infants matched only female faces and voices. These
findings indicate that the ability to perceive the multisensory coherence of
gender emerges relatively late in infancy and that it reflects the greater
experience that most infants have with female faces and voices.
PMID- 26561476
TI - Validation of a Footwear-Based Gait Analysis System With Action-Related Feedback.
AB - Quantitative gait analysis enables clinicians to evaluate patient mobility and to
diagnose neuromuscular disorders. The clinical application of gait analysis is
currently limited by the high operating costs of gait laboratories. The use of
instrumented footwear that performs out of the lab measurements on subjects'
walking patterns is a promising way to overcome this limitation. Besides serving
as assessment tools, such devices can also act as retraining tools that help
regulate a patient's gait with acoustic or vibrotactile stimuli.
PMID- 26561474
TI - Diagnostic and prognostic value of tissue and circulating levels of Ephrin-A2 in
prostate cancer.
AB - Ephrin-A2, a member of the Eph/ephrin family, is associated with tumorigenesis
and tumor progression. This study aimed to assess the diagnostic and prognostic
value of both serum and tissue levels of Ephrin-A2 in prostate cancer (PCa)
management. One hundred and forty-five frozen prostate tissues, 55 paraffin
embedded prostate tissues, 88 serum samples, and seven prostate cell lines (RWPE
1, LNCaP, LNCaP-LN3, PC-3, PC-3M, PC-3M-LN4, and DU145) were examined via
quantitative reverse transcription-PCR (qRT-PCR), immunohistochemistry, enzyme
linked immunosorbent assay, and western blotting. Induced Ephrin-A2 messenger RNA
(mRNA) or protein expression was detected in 8.6 % (5/58) benign prostatic
hyperplasia (BPH), 59.8 % (52/87) PCa, and five prostate cancer cell lines.
Ephrin-A2 immunostaining was present in 6.7 % (1/15) patients with BPHs and 62.5
% (25/40) clinically localized PCa. Accordingly, serum Ephrin-A2 was
significantly higher in PCa patients compared to those in the BPH patients and
controls (P < 0.001). The expression of Ephrin-A2 was higher in tumor patients
with an elevated Gleason score or T3-T4 staging. Ephrin-A2 expression was
correlated with Ki-67 expression in PCa patients, both at the gene scale and
protein level. Our data indicate that Ephrin-A2 is a potential diagnostic and
prognostic biomarker and a promising molecular therapeutic target to attenuate
prostate cancer progression.
PMID- 26561477
TI - Markov Random Field-based Fitting of a Subdivision-based Geometric Atlas.
AB - An accurate labeling of a multi-part, complex anatomical structure (e.g., brain)
is required in order to compare data across images for spatial analysis. It can
be achieved by fitting an object-specific geometric atlas that is constructed
using a partitioned, high-resolution deformable mesh and tagging each of its
polygons with a region label. Subdivision meshes have been used to construct such
an atlas because they can provide a compact representation of a partitioned,
multi-resolution, object-specific mesh structure using only a few control points.
However, automated fitting of a subdivision mesh-based geometric atlas to an
anatomical structure in an image is a difficult problem and has not been
sufficiently addressed. In this paper, we propose a novel Markov Random Field
based method for fitting a planar, multi-part subdivision mesh to anatomical
data. The optimal fitting of the atlas is obtained by determining the optimal
locations of the control points. We also tackle the problem of landmark matching
in tandem with atlas fitting by constructing a single graphical model to impose
pose-invariant, landmark-based geometric constraints on atlas deformation. The
atlas deformation is also governed by additional constraints imposed by the
mesh's geometric properties and the object boundary. We demonstrate the potential
of the proposed method on the difficult problem of segmenting a mouse brain and
its interior regions in gene expression images which exhibit large intensity and
shape variability. We obtain promising results when compared with manual
annotations and prior methods.
PMID- 26561478
TI - Calcium Ion Fluctuations Alter Channel Gating in a Stochastic Luminal Calcium
Release Site Model.
AB - Stochasticity and small system size effects in complex biochemical reaction
networks can greatly alter transient and steady-state system properties. A common
approach to modeling reaction networks, which accounts for system size, is the
chemical master equation that governs the dynamics of the joint probability
distribution for molecular copy number. However, calculation of the stationary
distribution is often prohibitive, due to the large state-space associated with
most biochemical reaction networks. Here, we analyze a network representing a
luminal calcium release site model and investigate to what extent small system
size effects and calcium fluctuations, driven by ion channel gating, influx and
diffusion, alter steady-state ion channel properties including open probability.
For a physiological ion channel gating model and number of channels, the state
space may be between approximately 106-108 elements, and a novel modified block
power method is used to solve the associated dominant eigenvector problem
required to calculate the stationary distribution. We demonstrate that both small
local cytosolic domain volume and a small number of ion channels drive calcium
fluctuations that result in deviation from the corresponding model that neglects
small system size effects.
PMID- 26561479
TI - Similarity Search of Flexible 3D Molecules Combining Local and Global Shape
Descriptors.
AB - In this paper, a framework for shape-based similarity search of 3D molecular
structures is presented. The proposed framework exploits simultaneously the
discriminative capabilities of a global, a local, and a hybrid local-global shape
feature to produce a geometric descriptor that achieves higher retrieval accuracy
than each feature does separately. Global and hybrid features are extracted using
pairwise computations of diffusion distances between the points of the molecular
surface, while the local feature is based on accumulating pairwise relations
among oriented surface points into local histograms. The local features are
integrated into a global descriptor vector using the bag-of-features approach.
Due to the intrinsic property of its constituting shape features to be invariant
to articulations of the 3D objects, the framework is appropriate for similarity
search of flexible 3D molecules, while at the same time it is also accurate in
retrieving rigid 3D molecules. The proposed framework is evaluated in flexible
and rigid shape matching of 3D protein structures as well as in shape-based
virtual screening of large ligand databases with quite promising results.
PMID- 26561481
TI - Development of Microelectrode Arrays Using Electroless Plating for CMOS-Based
Direct Counting of Bacterial and HeLa Cells.
AB - The development of two new types of high-density, electroless plated
microelectrode arrays for CMOS-based high-sensitivity direct bacteria and HeLa
cell counting are presented. For emerging high-sensitivity direct pathogen
counting, two technical challenges must be addressed. One is the formation of a
bacteria-sized microelectrode, and the other is the development of a high
sensitivity and high-speed amperometry circuit. The requirement for
microelectrode formation is that the gold microelectrodes are required to be as
small as the target cell. By improving a self-aligned electroless plating
technique, the dimensions of the microelectrodes on a CMOS sensor chip in this
work were successfully reduced to 1.2 MUm * 2.05 MUm. This is 1/20th of the
smallest size reported in the literature. Since a bacteria-sized microelectrode
has a severe limitation on the current flow, the amperometry circuit has to have
a high sensitivity and high speed with low noise. In this work, a current buffer
was inserted to mitigate the potential fluctuation. Three test chips were
fabricated using a 0.6- MUm CMOS process: two with 1.2 MUm * 2.05 MUm (1024 *
1024 and 4 * 4) sensor arrays and one with 6- MUm square (16 * 16) sensor arrays;
and the microelectrodes were formed on them using electroless plating. The
uniformity among the 1024 * 1024 electrodes arranged with a pitch of 3.6 MUm *
4.45 MUm was optically verified. For improving sensitivity, the trenches on each
microelectrode were developed and verified optically and electrochemically for
the first time. Higher sensitivity can be achieved by introducing a trench
structure than by using a conventional microelectrode formed by contact
photolithography. Cyclic voltammetry (CV) measurements obtained using the 1.2 MUm
* 2.05 MUm 4 * 4 and 6- MUm square 16 * 16 sensor array with electroless-plated
microelectrodes successfully demonstrated direct counting of the bacteria-sized
microbeads and HeLa cells.
PMID- 26561480
TI - INFLUENZA SURVEILLANCE IN RUSSIA BASED ON EPIDEMIOLOGICAL AND LABORATORY DATA FOR
THE PERIOD FROM 2005 TO 2012.
AB - Exchange of information on and sharing of influenza viruses through the GISRS
network has great significance for understanding influenza virus evolution,
recognition of a new pandemic virus emergence and for preparing annual WHO
recommendations on influenza vaccine strain composition. Influenza surveillance
in Russia is based on collaboration of two NICs with 59 Regional Bases. Most
epidemiological and laboratory data are entered through the internet into the
electronic database at the Research Institute of Influenza (RII), where they are
analyzed and then reported to the Ministry of Public Health of Russia.
Simultaneously, data are introduced into WHO's Flu Net and Euro Flu, both
electronic databases. Annual influenza epidemics of moderate intensity were
registered during four pre-pandemic seasons. Children aged 0-2 and 3-6 years were
the most affected groups of the population. Influenza registered clinically among
hospitalized patients with respiratory infections for the whole epidemic period
varied between 1.3 and 5.4% and up but to 18.5-23.0% during the peak of the two
pandemic waves caused by influenza A(H1N1) pdm 09 virus and to lesser extent (2.9
to 8.5%) during usual seasonal epidemics. Most epidemics were associated with
influenza A(H1N1), A(H3N2) and B co-circulation. During the two pandemic waves
(in 2009-2010 and 2010-2011) influenza A(H1N1) pdm 09 predominated. It was
accompanied by a rapid growth of influenza morbidity with a significant increase
of both hospitalization and mortality. The new pandemic virus displaced the
previous seasonal A(H1N1) virus completely. As a rule, most of the influenza
viruses circulating in Russia were antigenic ally related to the strains
recommended by WHO for vaccine composition for the Northern hemisphere with the
exception of two seasons when an unexpected replacement of the influenza B
Victoria lineage by Yamagata lineage (2007-2008) and the following return of
Victoria lineage viruses (2008-2009) was registered. Influenza surveillance in
Russia was improved as a result of enhancing capacity to international standards
and the introduction of new methods in NICs such as rRT-PCR diagnosis, regular
testing of influenza viruses for susceptibility to antivirals, phylogenetic
analysis as well as organization of sentinel surveillance in a number of Regional
Base Laboratories. Improvements promoted rapid recognition of the appearance a
new pandemic virus in the country and enhancement of confirmation tests in
investigation of influenza related death cases.
PMID- 26561482
TI - A 5-year experience with an elective scholarly concentrations program.
AB - PROBLEM: Programs that encourage scholarly activities beyond the core curriculum
and traditional biomedical research are now commonplace among US medical schools.
Few studies have generated outcome data for these programs. The goal of the
present study was to address this gap. INTERVENTION: The Scholarly Concentration
(SC) Program, established in 2006 at the Warren Alpert Medical School of Brown
University, is a 4-year elective program that not only encourages students to
pursue scholarly work that may include traditional biomedical research but also
seeks to broaden students' focus to include less traditional areas. We compared
characteristics and academic performance of SC students and non-SC students for
the graduating classes of 2010-2014. CONTEXT: Approximately one-third of our
students opt to complete an SC during their 4-year undergraduate medical
education. Because this program is additional to the regular MD curriculum, we
sought to investigate whether SC students sustained the academic achievement of
non-SC students while at the same time producing scholarly work as part of the
program. OUTCOME: Over 5 years, 35% of students elected to enter the program and
approximately 81% of these students completed the program. The parameters that
were similar for both SC and non-SC students were age at matriculation, admission
route, proportion of undergraduate science majors, and number of undergraduate
science courses. Most academic indicators, including United States Medical
Licensing Examinations scores, were similar for the two groups; however, SC
students achieved more honors in the six core clerkships and were more likely to
be inducted into the medical school's two honor societies. Residency specialties
selected by graduates in the two groups were similar. SC students published an
average of 1.3 peer-reviewed manuscripts per student, higher than the 0.8
manuscripts per non-SC student (p=0.013). CONCLUSIONS: An elective,
interdisciplinary scholarly program with a focus beyond traditional biomedical
research offers students the opportunity to expand the scope of their medical
education without an untoward effect on academic performance or residency
placement.
PMID- 26561483
TI - A Novel Method to Generate Amplitude-Frequency Modulated Vibrotactile
Stimulation.
AB - The natural interaction of humans with their environment involves the harmonious
coordination of the body, for which multi-modal feedback including vision,
proprioception, and tactile perception is essential. Most human-machine
interfaces, however, rely on the visual feedback only, and this can lead to
considerable cognitive burden. Additional haptic feedback can increase the
intuitiveness of the man-machine interaction. Therefore, we propose here a new
device able to generate complex vibrotactile stimulation by simultaneously
modulating the amplitude and frequency of vibration. Physical measurements were
first performed in eight healthy subjects to assess the capability of the device
to generate vibrations. The results indicated that the vibration frequency and
amplitude can be independently modulated and that the device response to the full
range step-change in the amplitude/frequency commands is almost instantaneous and
symmetric. In addition, psychophysical assessments were conducted in four healthy
subjects using a standard psychophysical procedure (SIAM). The outcomes indicated
that the proposed device can produce approximately 400 vixels (discriminable
stimuli), which allow for the generation of a high diversity of vibrotactile
patterns. The proposed method allows producing different kinds of stimulation
patterns using motor types that are suited for specific applications, with
adjustable trade-off between vibration intensity, size, and power consumption.
PMID- 26561484
TI - Using Concept Mapping in Community-Based Participatory Research: A Mixed Methods
Approach.
AB - Community-based participatory research (CBPR) has been identified as a useful
approach to increasing community involvement in research. Developing rigorous
methods in conducting CBPR is an important step in gaining more support for this
approach. The current article argues that concept mapping, a structured mixed
methods approach, is useful in the initial development of a rigorous CBPR program
of research aiming to develop culturally tailored and community-based health
interventions for vulnerable populations. A research project examining social
dynamics and consequences of alcohol and substance use in Newark, New Jersey, is
described to illustrate the use of concept mapping methodology in CBPR. A total
of 75 individuals participated in the study.
PMID- 26561485
TI - Data-Driven Modeling for UGI Gasification Processes via an Enhanced Genetic BP
Neural Network With Link Switches.
AB - In this brief, an enhanced genetic back-propagation neural network with link
switches (EGA-BPNN-LS) is proposed to address a data-driven modeling problem for
gasification processes inside United Gas Improvement (UGI) gasifiers. The online
measured temperature of crude gas produced during the gasification processes
plays a dominant role in the syngas industry; however, it is difficult to model
temperature dynamics via first principles due to the practical complexity of the
gasification process, especially as reflected by severe changes in the gas
temperature resulting from infrequent manipulations of the gasifier in practice.
The proposed data-driven modeling approach, EGA-BPNN-LS, incorporates an NN-LS,
an EGA, and the Levenberg-Marquardt (LM) algorithm. The approach cannot only
learn the relationships between the control input and the system output from
historical data using an optimized network structure through a combination of EGA
and NN-LS but also makes use of the networks gradient information via the LM
algorithm. EGA-BPNN-LS is applied to a set of data collected from the field to
model the UGI gasification processes, and the effectiveness of EGA-BPNN-LS is
verified.
PMID- 26561486
TI - Is a Complex-Valued Stepsize Advantageous in Complex-Valued Gradient Learning
Algorithms?
AB - Complex gradient methods have been widely used in learning theory, and typically
aim to optimize real-valued functions of complex variables. The stepsize of
complex gradient learning methods (CGLMs) is a positive number, and little is
known about how a complex stepsize would affect the learning process. To this
end, we undertake a comprehensive analysis of CGLMs with a complex stepsize,
including the search space, convergence properties, and the dynamics near
critical points. Furthermore, several adaptive stepsizes are derived by extending
the Barzilai-Borwein method to the complex domain, in order to show that the
complex stepsize is superior to the corresponding real one in approximating the
information in the Hessian. A numerical example is presented to support the
analysis.
PMID- 26561487
TI - Multiple Bacteriophage Selection Strategies for Improved Affinity of a Peptide
Targeting ERBB2.
AB - Due to the heterogeneity of ERBB2-expression between tumors and over the course
of treatment, a non-invasive molecular imaging agent is needed to accurately
detect overall ERBB2 status. Peptides are a highly advantageous platform for
molecular imaging, since they have excellent tumor penetration and rapid
pharmacokinetics. One limitation of peptides however, is their traditionally low
target affinity, and consequently, tumor uptake. The peptide KCCYSL was
previously selected from a bacteriophage (phage) display library to bind ERBB2
and did so with moderate affinity of 295 nM. In order to enhance tumor uptake and
clinical utility of the peptide, a novel phage microlibrary was created by
flanking the parent sequence with random amino acids, followed by reselection
using parallel strategies for high affinity and specific ERBB2 binding in an
attempt to affinity maturate the peptide. One limitation of traditional phage
display selections is difficulty in releasing the highest affinity phages from
the target by incubation of acidic buffer. In an attempt to recover high affinity
second-generation peptides from the ERBB2 microlibrary, two elution strategies,
sonication and target elution, were undertaken. Sonication resulted in an
approximately 50-fold enhancement in recovered phage per round of selection in
comparison to target elution. Despite the differences in elution efficiency, the
affinities of phage-displayed peptides selected from either strategy were
relatively similar. Although both selections yielded peptides with significantly
improved affinity in comparison to KCCYSL, the improvements were modest, most
likely because the parental peptide binding cannot be improved by additional
amino acids.
PMID- 26561488
TI - Beyond Lesion-Based Diabetic Retinopathy: A Direct Approach for Referral.
AB - Diabetic retinopathy (DR) is the leading cause of blindness in adults, but can be
managed if detected early. Automated DR screening helps by indicating which
patients should be referred to the doctor. However, current techniques of
automated screening still depend too much on the detection of individual lesions.
In this study, we bypass lesion detection, and directly train a classifier for DR
referral. Additional novelties are the use of state-of-the-art mid-level features
for the retinal images: BossaNova and Fisher Vector. Those features extend the
classical Bags of Visual Words and greatly improve the accuracy of complex
classification tasks. The proposed technique for direct referral is promising,
achieving an area under the curve of 96.4%, thus, reducing the classification
error by almost 40% over the current state of the art, held by lesion-based
techniques.
PMID- 26561489
TI - Corrosion Properties of Polydopamine Coatings Formed in One-Step Immersion
Process on Magnesium.
AB - Polydopamine layers were polymerized directly from
Tris(hydroxymethyl)aminomethane-buffered solution in a one-step immersion process
onto magnesium surface. Scanning electron microscopy showed successful formation
of a ~1 MUm thick layer. ASTM D3359-09 "Tape test" revealed excellent adhesion of
the layer. X-ray induced photoelectron spectroscopy and Fourier transform
infrared spectroscopy verified the presence of polydopamine on the surface.
Corrosion measurements were performed in 0.1 M NaCl solution investigating the
influence of coating parameters: dopamine concentration, immersion time, solution
pH, and immersion angle. Tafel analysis revealed strong improvement of corrosion
behavior compared to bare magnesium. Polydopamine layers prepared with optimized
coating procedure showed promising corrosion properties in Dulbecco's modified
Eagle medium. In summary, polydopamine coatings offer a simple treatment for
magnesium to improve the corrosion behavior and could further act as intermediate
layer for further surface functionalization.
PMID- 26561490
TI - Allergen immunotherapy in pregnancy.
AB - BACKGROUND: Allergic diseases such as asthma and allergic rhinitis constitute a
significant burden of disease among women of childbearing age and those who are
pregnant. Adequately managing these conditions is paramount in reducing negative
fetal outcomes as well as maternal complications during pregnancy. However, the
potential for harm to both the mother and fetus demands carefully balancing
efficacy and safety of treatment. Allergen immunotherapy (AIT) has emerged as a
relatively safe and efficacious mode of therapy in both children and adults. AIT
has also been considered for use during pregnancy. METHODS: A review of the
literature was conducted for data regarding the safety of initiation and
continuation of AIT during pregnancy as well as the effect of AIT on the
development of atopy in offspring. MEDLINE and the Cochrane Library were searched
for clinical trials, randomized control trials, observational studies and journal
articles in English using the terms "Pregnancy" and "Immunotherapy" from 1900 to
present. This yielded 4 studies (totaling 422 pregnancies receiving AIT)
investigating the continuation of AIT in pregnancy, 2 (totaling 31 pregnancies
receiving AIT) evaluating AIT initiation during pregnancy and 5 observing the
effect of AIT on atopy in offspring. RESULTS: No significant difference was found
in the incidence of prematurity, hypertension (HTN)/proteinuria, congenital
malformations or perinatal deaths between the women continued on AIT (both
subcutaneous (SC) IT and sublingual (SL) IT to inhalant allergens as well as
venom IT) during pregnancy and controls. Similarly, there was no significant
difference in maternal or fetal complications between pregnant women initiated on
AIT and controls. Among the few pregnant women (10/453 pregnancies) who
experienced generalized reactions while receiving AIT, none were found to have
fetal complications. Neither SCIT nor SLIT during pregnancy altered the risk of
developing atopic disease in offspring. CONCLUSIONS: Based on these data, the
continuation of AIT during pregnancy appears safe. Furthermore, the few data
available suggest that the initiation of AIT during pregnancy might also be safe,
however, more data is required for a definitive conclusion. Lastly, available
studies do not show a convincing reduction in the development of atopy in
offspring from the administration of AIT during pregnancy.
PMID- 26561491
TI - Feature Set Evaluation for Offline Handwriting Recognition Systems: Application
to the Recurrent Neural Network Model.
AB - The performance of handwriting recognition systems is dependent on the features
extracted from the word image. A large body of features exists in the literature,
but no method has yet been proposed to identify the most promising of these,
other than a straightforward comparison based on the recognition rate. In this
paper, we propose a framework for feature set evaluation based on a collaborative
setting. We use a weighted vote combination of recurrent neural network (RNN)
classifiers, each trained with a particular feature set. This combination is
modeled in a probabilistic framework as a mixture model and two methods for
weight estimation are described. The main contribution of this paper is to
quantify the importance of feature sets through the combination weights, which
reflect their strength and complementarity. We chose the RNN classifier because
of its state-of-the-art performance. Also, we provide the first feature set
benchmark for this classifier. We evaluated several feature sets on the IFN/ENIT
and RIMES databases of Arabic and Latin script, respectively. The resulting
combination model is competitive with state-of-the-art systems.
PMID- 26561492
TI - Bringing Baby-Friendly to the Indian Health Service: A Systemwide Approach to
Implementation.
AB - The Baby-Friendly Hospital Initiative (BFHI) increases exclusive breastfeeding.
Breastfeeding protects against obesity and diabetes, conditions to which American
Indians and Alaska Natives are particularly prone. As part of the First
Lady'sLet's Move! in Indian Countryinitiative, the US Department of Health and
Human Services' Indian Health Service (IHS) began implementing the BFHI in 2011.
The IHS administers 13 US birthing hospitals. There are 5 tribally administered
hospitals in the lower 48 states that receive IHS funding, and the IHS encouraged
them to seek Baby-Friendly designation also. In the 13 federally administered
hospitals, the IHS implemented a Baby-Friendly infant feeding policy, extensive
clinician training, and Baby-Friendly compatible medical records. All hospitals
also became compliant with the World Health Organization's International Code of
Marketing of Breast-Milk Substitutes. Strategies and solutions were shared
systemwide via webinars and conference calls. Quality improvement methods,
technical assistance, and site visits assisted with the implementation process.
Between 2011 and December 2014, 100% (13 of 13) of IHS federally administered
hospitals gained Baby-Friendly designation. The first Baby-Friendly hospitals in
Arizona, New Mexico, North Dakota, Oklahoma, and South Dakota were all IHS sites;
6% of all US Baby-Friendly hospitals are currently IHS hospitals. One tribal site
has also been Baby-Friendly designated and 3 of the 5 remaining tribally
administered hospitals in the lower 48 states are pursuing Baby-Friendly status.
Baby-Friendly Hospital Initiative implementation systemwide is possible in a US
government agency serving a high-risk, underprivileged population. Other systems
looking to implement the BFHI can learn from the IHS model.
PMID- 26561494
TI - The Glass is Half Full and Half Empty: A population-representative twin study
testing if Optimism and Pessimism are distinct systems.
AB - Optimism and pessimism are associated with important outcomes including health
and depression. Yet it is unclear if these apparent polar opposites form a single
dimension or reflect two distinct systems. The extent to which personality
accounts for differences in optimism/pessimism is also controversial. Here, we
addressed these questions in a genetically informative sample of 852 pairs of
twins. Distinct genetic influences on optimism and pessimism were found.
Significant family-level environment effects also emerged, accounting for much of
the negative relationship between optimism and pessimism, as well as a link to
neuroticism. A general positive genetics factor exerted significant links among
both personality and life-orientation traits. Both optimism bias and pessimism
also showed genetic variance distinct from all effects of personality, and from
each other.
PMID- 26561493
TI - Conversion during thoracoscopic lobectomy: related factors and learning curve
impact.
AB - Video-assisted thoracoscopic surgery (VATS) lobectomy has become a standard
procedure for lung cancer treatment. Conversion-related factors and learning
curve impacts, were poorly described. The aim of this study was to review the
reasons and related factor for conversion in VATS lobectomy and the impact on
this of the surgeon's learning curve. From June 2009 to May 2014, 154 patients
who underwent a VATS lobectomy were included in our study. Patients'
characteristics, pathology background, operative times, overall length of stay,
overall morbidity and type of major complications were recorded for all patients
and compared between non converted (n = 133) and converted (n = 21) patients. To
evaluate surgeon's learning curve, we analyzed rates and causes of conversion in
the first period (first 77 patients) and in the last period (78-154 patients).
Patients characteristics were similar between converted and non-converted groups.
Patients who were converted to open thoracotomy presented more frecuently tumors
>3 cms (P = 0.02). The average of operative times and the length of stay were not
significantly different between groups. Overall morbidity and major complications
were also similar in both groups. There were no impact of surgeon's learning
curve in overall rate conversion in both groups. Emergency conversion was always
secondary to vascular accidents, all in the first group (p = 0.059). Surgeons
should be expecting to perform a conversion to a thoracotomy in patients who
present in preoperative studies, tumors greater than 3 cms. Learning curve only
affected the emergency conversion, occurred all in the first half of our series.
PMID- 26561495
TI - New 3D virtual human model aims to transform surgical training.
PMID- 26561496
TI - Safety of darunavir and atazanavir in HIV-infected children in Europe and
Thailand.
AB - BACKGROUND: Surveillance for mid- and long-term antiretroviral therapy (ART)
toxicity in children is important for informing treatment guidelines. We assessed
the safety of darunavir (DRV) and atazanavir (ATV), commonly used as second-line
protease inhibitors following lopinavir/ritonavir, in Europe and Thailand.
METHODS: Cohorts contributed individual patient data on adverse events (AE) in
those aged <18 years taking DRV and ATV, respectively, to 02/2014. Rates of
Division of AIDS (DAIDS) grade >=3 laboratory AEs were calculated. RESULTS: Of
431 patients on DRV and 372 on ATV, 317 (74%) and 301 (81%), respectively, had
weight and dose data available, of whom 56 (18%) and 33 (9%) took the drugs at a
non-approved age or dose. Median age at DRV and ATV start was 14.8 years (IQR
12.8-16.1) and 13.5 years (11.4-15.2); 43% and 26% had received >=8 ART drugs
previously. Overall rates of grade >=3 AEs for absolute neutrophils, total
cholesterol, triglycerides, pancreatic amylase, lipase and alanine
aminotransferase (ALT) were <=3/100 person-years (PY) on approved doses of both
drugs, but 66/100 PY (95% CI 52, 84) for bilirubin after <12 months on ATV
declining to 32/100 PY (95% CI 23, 44) after >24 months. Five serious drug
related clinical AEs were reported in four patients on ATV (one discontinued) and
three in three patients on DRV (all discontinued), and did not substantially
differ in those on approved compared to non-approved doses. Proportions on the
drugs at last follow-up were 89% (383/431) for DRV and 81% (301/372) for ATV
(including 73/92 with grade >=3 hyperbilirubinaemia). CONCLUSIONS: AEs were few
in number and comparable for the two drugs, with the exception of high rates of
hyperbilirubinaemia for ATV; few patients discontinued due to toxicity.
PMID- 26561497
TI - Gunshot wound without entrance hole: where is the trick? - a case report and
review of the literaturer.
AB - The presence at CT scan of more retained bullets than expected could be a very
difficult interpretation challenge in the early management of gunshot wounds. The
modern non operative management of haemodinamically stable patients without
peritonitis requires that the trajectory of the bullet is clearly recognized.
This clinical case reporting of a gunshot wound without evident entry hole,
allows to discuss the diagnostic and therapeutic implications in the management
of gunshot wounds cases with atypical entry and/or exit holes.
PMID- 26561498
TI - Highly Sensitive LC-MS-MS Method for the Determination of Tacrine in Rat Plasma:
Application to Pharmacokinetic Studies in Rats.
AB - A rapid and highly sensitive assay method has been developed and validated for
the estimation of tacrine in rat plasma using liquid chromatography coupled to
tandem mass spectrometry with electrospray ionization in the positive-ion mode.
The assay procedure involves a simple liquid-liquid extraction of tacrine and
phenacetin (internal standard, IS) from rat plasma using ethyl acetate.
Chromatographic separation was achieved with 0.2% formic acid : acetonitrile (30
: 70, v/v) at a flow rate of 0.50 mL/min on an Atlantis dC18 column with a total
run time of 3.0 min. The MS-MS ion transitions monitored were 199.10 -> 171.20
for tacrine and 180.10 -> 110.10 for IS. Method validation was performed as per
United States Food and Drug Administration (US FDA) guidelines and the results
met the acceptance criteria. The lower limit of quantification achieved was 0.008
ng/mL and linearity was observed from 0.008 to 53.4 ng/mL. The intra- and inter
day precision was in the range of 2.76-12.5 and 5.15-12.8%, respectively. This
novel method has been applied to a pharmacokinetic study in rats.
PMID- 26561499
TI - Are surgeons and anesthesiologists lying to each other or gaming the system? A
national random sample survey about "truth-telling practices" in the
perioperative setting in the United States.
AB - BACKGROUND: The perioperative setting demands strong teamwork to ensure safe
patient care, but anecdotally surgeons and anesthesiologists are not always fully
truthful with each other. The present study sought to determine the frequency of
misrepresentation of the truth in the perioperative setting. METHODS: Direct
mailed survey in the United States about misrepresenting information to
colleagues in a national random sample of 1130 anesthesiologists and 1130
surgeons. RESULTS: Reflecting the sensitive nature of these questions, only 252
(11 %) surveys were returned-128/1130 by anesthesiologists and 124/1130 by
surgeons. While modest numbers of both anesthesiologists (34/128, 27 %) and
surgeons (8/124, 7 %) acknowledged misreporting information at least once per
month, misreporting was considerably more common among responding
anesthesiologists. Among anesthesiologists the majority (68 %) were concerned
that surgeons misreported information to them once a month or more often, though
only 8 % of surgeons shared reciprocal concerns. More than a third of responding
anesthesiologists (36 %) reported having seen their teachers misreport
information to surgeons during their training. CONCLUSIONS: These findings,
though preliminary due to the small sample, raise concerns about a possible
culture of misrepresentation, passed on between generations, in some
perioperative environments. Misreporting of information should be examined in
more detail and addressed at local levels whenever it is found. Further research
is required to determine if the reported behaviors represent routine gaming of
perioperative care systems or deliberate and intentional deception. Strategies
aimed at fostering conditions in which open honest communication can thrive
should be investigated.
PMID- 26561500
TI - Single-stage debridement and spinal fusion using PEEK cages through a posterior
approach for eradication of lumbar pyogenic spondylodiscitis: a safe treatment
strategy for a detrimental condition.
AB - BACKGROUND: Pyogenic infections of the lumbar spine are a rare but critical
pathology, yet with considerably high mortality rates. In cases indicating
surgical therapy, the implantation of titanium cages or autologous bone grafts
represent today's gold standard. Although non-metallic implants such as poly
ether-ether-ketone (PEEK) have proven to be advantageous in diverse degenerative
conditions, their saftey and practicability in lumbar spine infection remains
questionable. Moreover, the efficacy of a single-step radical debridement of the
infected disc space with subsequent fusion from a strictly posterior approach
continues to be an issue of debate. We therefore sought to evaluate the
feasibility, clinical and radiological outcome of a single-step TLIF procedure
using oblique PEEK cages in the surgical management of patients with lumbar
pyogenic spondylodiscitis. METHODS: From January 2009 through December 2013, all
patients meeting the indication for surgical treatment of lumbar pyogenic
spondylodiscitis were included. Patients demonstrating intact cortical bone on
preoperative CT received a single-step radical debridement of the infected
intervertebral disc space, posterior screw-and-rod instrumentation and
implantation of an oblique PEEK cage using the TLIF technique. Oral antibiotics
were continued for 12 weeks postoperatively and clinical and radiological results
recorded throughout a minimum 1-year clinical follow-up. RESULTS: A total of 104
patients were admitted to receive surgical therapy for lumbar pyogenic
spondylodiscitis. Within this patient population, 18 patients met the diagnostic
criteria to receive the implantation of an oblique PEEK cage. Pathogens were
detected in 13 cases with Staph. aureus being the predominant causative organism.
All patients were discharged to recover in their domestic environment. Throughout
the first year of clinical and radiological follow-up and beyond, none of the 18
patients demonstrated any signs of residual neurologic deficits or recurrent
infection. Furthermore, two-plane conventional X-rays showed no significant
implant subsidence or failure at any of the given time-points in up to 5 years
postoperatively. CONCLUSIONS: In patients meeting the criteria for surgical
treatment of lumbar pyogenic spondylodiscitis, the implantation of PEEK cages
using a single-step TLIF approach is a safe and feasible procedure. Based on our
experience, the concern of a recurrent infection when implanting non-metallic
cages may be refuted in carefully selected patients.
PMID- 26561501
TI - Proctitis after stapled hemorrhoidopexy is an underestimated complication of a
widely used surgical procedure: a retrospective observational cohort study in 129
patients.
AB - BACKGROUND: Hemorrhoidal disease is highly prevalent in the western world.
Stapled hemorrhoidopexy also known as the procedure for prolapsed hemorrhoids
(pph) has been shown to be superior to conventional hemorrhoidectomy with regard
to postoperative pain, length of hospital stay and early return to work.
Proctitis following stapled hemorrhoidopexy has not been reported previously.
Herein, we report our experience with proctitis in patients following stapled
hemorrhoidopexy and question if proctitis could be a complication of stapled
hemorrhoidopexy. MATERIALS AND METHODS: A retrospective analysis of the data of
patients undergoing stapled hemorrhoidopexy with the PPH03 in the coloproctology
unit of the department of surgery of a primary care hospital in Germany within a
5-year period was performed. All cases were managed and followed up by a single
attending surgeon with expertise in coloproctology. RESULTS: 129 patients were
included for analysis including 21 cases with grade 2, 103 cases of grade 3 and 5
cases of grade 4 hemorrhoids. The median duration of surgery was 20 min. 17
complications including two recurrences were recorded. Post-pph proctitis was
recorded in 14 cases (10.9 %). Post-pph proctitis was not associated with gender,
extent of hemorrhoidal disease, BMI and ASA (p >0.05). All cases recovered within
4 weeks following management with nonsteroidal anti-inflammatory drugs and
suppositories. CONCLUSION: Proctitis could be a complication of stapled
hemorrhoidopexy with a good response to conservative treatment with
suppositories.
PMID- 26561502
TI - Utilization of surgical safety checklists by urological surgeons in Germany: a
nationwide prospective survey.
AB - OBJECTIVES: We aimed to investigate the contemporary usage rate and habits of the
WHO Surgical Safety Checklist (SSC) in German urological departments. METHODS: We
designed a 26-item questionnaire that was sent to all urological departments in
Germany. The primary aim of this study was to evaluate the usage rate of the SSC.
Secondary aims were to compare perioperative characteristics of users vs. non
users of the SSC and to assess circumstances of the SSC application. RESULTS: A
total of 213 of 234 (91 %) urological departments were users of the SSC, and 21
(9 %) were non-users. SSC users had more often a standard protocol, took less
time and had fewer people involved for checking perioperative patient data
compared to non-users. Financial budgeting for the SSC existed in 55 (24 %)
departments and for patient safety in 73 (32 %) departments. CONCLUSIONS: The
usage rate of the SSC in urological departments in Germany is high despite
restricted financial budgeting. Users of the SSC profit by saving time and
manpower for checking perioperative patient data.
PMID- 26561504
TI - Heavy lifting at work and risk of retinal detachment: a population-based register
study in Denmark.
AB - OBJECTIVES: To investigate the relationship between rhegmatogenous retinal
detachment (RRD) and frequent heavy lifting in a Danish working population
through national register data. METHODS: A dynamic cohort of all men aged 20-59
years in Denmark was followed through the Danish Occupational Hospitalisation
Register from 1995 to 2010 for diagnosed RRD. Occupational categories were
classified according to their potential for heavy lifting in 4 main groups: heavy
lifters, manual workers unlikely to be heavy lifters, other manual workers and
non-manual workers unlikely to be heavy lifters. The age-standardised rate of
diagnosed RRD for heavy lifting occupations was compared with that experienced by
the other 3 occupational categories. Rate ratios (RRs) and 95% CIs were estimated
through a Poisson regression model adjusted for calendar period and age group.
RESULTS: The highest age-standardised rate of diagnosed RRD was recorded among
non-manual workers performing occupational activities unlikely to be associated
with heavy lifting (18.0 cases per 100,000 person-years). The RR for workers in
jobs expected to entail a high frequency of heavy lifting compared with manual
workers whose occupation was unlikely to be associated with heavy lifting was
0.91 (95% CI 0.73 to 1.14), while in comparison with other manual workers, it was
0.93 (95% CI 0.78 to 1.11). The RR compared with non-manual workers in
occupations unlikely to entail heavy lifting was 0.51 (95% CI 0.43 to 0.60).
CONCLUSIONS: These findings do not support an association of occupational heavy
lifting with diagnosed RRD. The epidemiological evidence for this association is
still inconclusive. Future studies should use a more specific measure of exposure
to resolve the outstanding uncertainties.
PMID- 26561505
TI - Extended follow-up of lung cancer and non-malignant respiratory disease mortality
among California diatomaceous earth workers.
PMID- 26561506
TI - Author response: Extended follow-up of lung cancer and non-malignant respiratory
disease mortality among California diatomaceous earth workers.
PMID- 26561507
TI - Exposure to MRI-related magnetic fields and vertigo in MRI workers.
AB - OBJECTIVES: Vertigo has been reported by people working around magnetic resonance
imaging (MRI) scanners and was found to increase with increasing strength of
scanner magnets. This suggests an association with exposure to static magnetic
fields (SMF) and/or motion-induced time-varying magnetic fields (TVMF). This
study assessed the association between various metrics of shift-long exposure to
SMF and TVMF and self-reported vertigo among MRI workers. METHODS: We analysed
358 shifts from 234 employees at 14 MRI facilities in the Netherlands.
Participants used logbooks to report vertigo experienced during the work day at
the MRI facility. In addition, personal exposure to SMF and TVMF was measured
during the same shifts, using portable magnetic field dosimeters. RESULTS:
Vertigo was reported during 22 shifts by 20 participants and was significantly
associated with peak and time-weighted average (TWA) metrics of SMF as well as
TVMF exposure. Associations were most evident with full-shift TWA TVMF exposure.
The probability of vertigo occurrence during a work shift exceeded 5% at peak
exposure levels of 409 mT and 477 mT/s and at full-shift TWA levels of 3 mT and
0.6 mT/s. CONCLUSIONS: These results confirm the hypothesis that vertigo is
associated with exposure to MRI-related SMF and TVMF. Strong correlations between
various metrics of shift-long exposure make it difficult to disentangle the
effects of SMF and TVMF exposure, or identify the most relevant exposure metric.
On the other hand, this also implies that several metrics of shift-long exposure
to SMF and TVMF should perform similarly in epidemiological studies on MRI
related vertigo.
PMID- 26561503
TI - Animal models to study acute and chronic intestinal inflammation in mammals.
AB - Acute and chronic inflammatory diseases of the intestine impart a significant and
negative impact on the health and well-being of human and non-human mammalian
animals. Understanding the underlying mechanisms of inflammatory disease is
mandatory to develop effective treatment and prevention strategies. As
inflammatory disease etiologies are multifactorial, the use of appropriate animal
models and associated metrics of disease are essential. In this regard, animal
models used alone or in combination to study acute and chronic inflammatory
disease of the mammalian intestine paired with commonly used inflammation
inducing agents are reviewed. This includes both chemical and biological
incitants of inflammation, and both non-mammalian (i.e. nematodes, insects, and
fish) and mammalian (i.e. rodents, rabbits, pigs, ruminants, dogs, and non-human
primates) models of intestinal inflammation including germ-free, gnotobiotic, as
well as surgical, and genetically modified animals. Importantly, chemical and
biological incitants induce inflammation via a multitude of mechanisms, and
intestinal inflammation and injury can vary greatly according to the incitant and
animal model used, allowing studies to ascertain both long-term and short-term
effects of inflammation. Thus, researchers and clinicians should be aware of the
relative strengths and limitations of the various animal models used to study
acute and chronic inflammatory diseases of the mammalian intestine, and the scope
and relevance of outcomes achievable based on this knowledge. The ability to
induce inflammation to mimic common human diseases is an important factor of a
successful animal model, however other mechanisms of disease such as the amount
of infective agent to induce disease, invasion mechanisms, and the effect various
physiologic changes can have on inducing damage are also important features. In
many cases, the use of multiple animal models in combination with both chemical
and biological incitants is necessary to answer the specific question being
addressed regarding intestinal disease. Some incitants can induce acute responses
in certain animal models while others can be used to induce chronic responses;
this review aims to illustrate the strengths and weaknesses in each animal model
and to guide the choice of an appropriate acute or chronic incitant to facilitate
intestinal disease.
PMID- 26561508
TI - A fast topological analysis algorithm for large-scale similarity evaluations of
ligands and binding pockets.
AB - MOTIVATION: With the rapid increase of the structural data of biomolecular
complexes, novel structural analysis methods have to be devised with high
throughput capacity to handle immense data input and to construct massive
networks at the minimal computational cost. Moreover, novel methods should be
capable of handling a broad range of molecular structural sizes and chemical
natures, cognisant of the conformational and electrostatic bases of molecular
recognition, and sufficiently accurate to enable contextually relevant biological
inferences. RESULTS: A novel molecular topology comparison method was developed
and tested. The method was tested for both ligand and binding pocket similarity
analyses and a PDB-wide ligand topological similarity map was computed.
CONCLUSION: The unprecedentedly wide scope of ligand definition and large-scale
topological similarity mapping can provide very robust tools, of performance
unmatched by the present alignment-based methods. The method remarkably shows
potential for application for scaffold hopping purposes. It also opens new
frontiers in the areas of ligand-mediated protein connectivity, ligand-based
molecular phylogeny, target fishing, and off-target predictions. Graphical
abstract:A novel molecular topology comparison method based on a combined shape
distribution and charge binning scheme is presented.
PMID- 26561509
TI - Effective cellular internalization of silica-coated CdSe quantum dots for high
contrast cancer imaging and labelling applications.
AB - The possibility of developing novel contrast imaging agents for cancer cellular
labelling and fluorescence imaging applications were explored using silica-coated
cadmium selenide (CdSe) quantum dots (QDs). The time dependent cellular
internalization efficiency study was carried out using Inductively Coupled Plasma
Optical Emission Spectroscopy (ICP-OES) and Confocal Laser Scanning Microscopy
(cLSM) after exposing QDs to stem cells and cancer cells. The strong fluorescence
from the cytoplasm confirmed that the QDs were efficiently internalized by the
cells. The internalization maxima were observed at the fourth hour of incubation
in both stem and cancer cells. Further, the in vitro fluorescence imaging as well
as localization study of QDs were performed in various cells. Moreover, high
contrast in vivo tumor imaging efficiency of silica-coated CdSe QDs was performed
in ultrathin sections of tumor mice, and the results confirmed its effective role
in cellular imaging and labelling in cancer and other diseases.
PMID- 26561510
TI - Modulation of cytotoxic and genotoxic effects of nanoparticles in cancer cells by
external magnetic field.
AB - Magnetic nanoparticles are well known for anticancer activity by deregulating
cellular functions. In the present study, cellular effects of low strength static
magnetic field (SMF) were explored. How nanoparticles affect the cellular
response in presence and absence of static magnetic field was also studied.
Peripheral blood mononuclear cells (PBMC) and human lymphoma monocytic cell line
U937 were chosen as representative normal and cancer cells models. The two
effects we would like to report in this paper are, DNA damage induced by SMF of
the order of 70 mT, and alteration in membrane potential. The other notable
aspect was the changes were diametrically opposite in normal and cancer cell
types. DNA damage was observed only in cancer cells whereas membrane
depolarization was observed in normal cells. Iron oxide nanoparticles (IONP) and
gold nanoparticles (AuNP) were also used for cellular response studies in
presence and absence of SMF. The effects of the magnetic nanoparticle IONP and
also of AuNP were sensitive to presence of SMF. Unlike cancer cells, normal cells
showed a transient membrane depolarization sensitive to static magnetic field.
This depolarization effect exclusive for normal cells was suggested to have
correlations with their higher repair capacity and lesser propensity for DNA
damage. The work shows cancer cells and normal cells respond to nanoparticle and
static magnetic field in different ways. The static magnetic induced DNA damage
observed exclusively in cancer cells may have therapeutic implications. From the
conclusions of the present investigation we may infer that static magnetic field
enhances the therapeutic potentials of nanoparticles. Such low strength magnetic
field seems to be a promising external manoeuvring agent in designing
theranostics.
PMID- 26561511
TI - Potential carriers of chemotherapeutic drugs: matrix based nanoparticulate
polymeric systems.
AB - In this work matrix based nanoparticulate polymer systems have been designed
using the diacrylate derivative of the well-known biocompatible polymer,
poly(ethylene glycol) (PEG). This has been crosslinked using bifunctional
(ethyleneglycol dimethacrylate) and tetrafunctional (pentaerythritol
tetraacrylate) crosslinkers in varied concentrations (10-90%) to result in a
polymeric network. The crosslinked polymers thus obtained were characterized by
spectroscopic techniques (NMR and FTIR) and then prepared nanoparticles by the
nanoprecipitation technique. Particle size analysis showed sizes of ~150 nm (PDI
< 1) (with tetrafunctional crosslinker) and ~300 nm (with bifunctional
crosslinker). Both the systems however showed unimodal narrow particle size
distributions with negative zeta potential values of -15.6 and -7.3 respectively.
Cytotoxicity of these formulations was evaluated by MTT assay showing non
cytotoxic nature of these carrier systems. In vitro drug loading and release
studies were carried out using a model chemotherapeutic drug, methotrexate(MTX).
These MTX loaded nanoformulations have also been evaluated biologically with the
help of in vivo studies using radiolabeling techniques (with 99mTc radionuclide).
The blood kinetics profile of the formulations was studied on New Zealand Albino
rabbits while the biodistribution studies were performed on balb/c mice (with EAT
tumours), which revealed a hepatobiliary mode of elimination. These preliminary
studies clearly demonstrated the ability of these multifunctional crosslinkers to
result in tight nanosized networks with biocompatible polymers such as PEG and
their potential to carry chemotherapeutic drugs.
PMID- 26561512
TI - Advantages of gadolinium based ultrasmall nanoparticles vs molecular gadolinium
chelates for radiotherapy guided by MRI for glioma treatment.
AB - AGuIX nanoparticles are formed of a polysiloxane network surrounded by gadolinium
chelates. They present several characteristics. They are easy to produce, they
present very small hydrodynamic diameters (<5 nm) and they are biodegradable
through hydrolysis of siloxane bonds. Such degradation was evaluated in diluted
conditions at physiological pH by dynamic light scattering and relaxometry. AGuIX
nanoparticles are also known as positive contrast agents and efficient
radiosensitizers. The aim of this paper is to compare their efficiency for
magnetic resonance imaging and radiosensitization to those of the commercial
gadolinium based molecular agent: DOTAREM(r). An experiment with healthy animals
was conducted and the MRI pictures we obtained show a better contrast with the
AguIX compared to the DOTAREM(r) for the same amount of injected gadolinium in
the animal. The better contrast obtained after injection of Aguix than DOTAREM(r)
is due to a higher longitudinal relaxivity and a residential time in the blood
circulation that is two times higher. A fast and large increase in the contrast
is also observed by MRI after an intravenous injection of the AGuIX in 9 L
gliosarcoma bearing rats, and a plateau is reached seven minutes after the
injection. We established a radiotherapy protocol consisting of an irradiation by
microbeam radiation therapy 20 minutes after the injection of a specific quantity
of gadolinium. After microbeam radiation therapy, no notable difference in median
survival time was observed in the presence or absence of gadolinium chelates (38
and 44 days respectively). In comparison, the median survival time is increased
to 102.5 days with AGuIX particles showing their interest in this nanomedicine
protocol. This remarkable radiosensitizing effect could be explained by the
persistent tumor uptake of the particles, inducing a significant nanoscale dose
deposition under irradiation.
PMID- 26561513
TI - MODELING SOCIAL NETWORKS FROM SAMPLED DATA.
AB - Network models are widely used to represent relational information among
interacting units and the structural implications of these relations. Recently,
social network studies have focused a great deal of attention on random graph
models of networks whose nodes represent individual social actors and whose edges
represent a specified relationship between the actors. Most inference for social
network models assumes that the presence or absence of all possible links is
observed, that the information is completely reliable, and that there are no
measurement (e.g., recording) errors. This is clearly not true in practice, as
much network data is collected though sample surveys. In addition even if a
census of a population is attempted, individuals and links between individuals
are missed (i.e., do not appear in the recorded data). In this paper we develop
the conceptual and computational theory for inference based on sampled network
information. We first review forms of network sampling designs used in practice.
We consider inference from the likelihood framework, and develop a typology of
network data that reflects their treatment within this frame. We then develop
inference for social network models based on information from adaptive network
designs. We motivate and illustrate these ideas by analyzing the effect of link
tracing sampling designs on a collaboration network.
PMID- 26561514
TI - Intrinsic Hydrophobic Cairnlike Multilayer Films for Antibacterial Effect with
Enhanced Durability.
AB - One important aspect of nanotechnology includes thin films capable of being
applied to a wide variety of surfaces. Indispensable functions of films include
controlled surface energy, stability, and biocompatibility in physiological
systems. In this study, we explored the ancient Asian coating material "lacquer"
to enhance the physiological and mechanical stability of nanofilms. Lacquer is
extracted from the lacquer tree and its main component called urushiol, which is
a small molecule that can produce an extremely strong coating. Taking full
advantage of layer-by-layer assembly techniques, we successfully fabricated
urushiol-based thin films composed of small molecule/polymer multilayers by
controlling their molecular interaction. Unique cairnlike nanostructures in this
film, produced by urushiol particles, have advantages of intrinsic hydrophobicity
and durability against mechanical stimuli at physiological environment. We
demonstrated the stability tests as well as the antimicrobial effects of this
film.
PMID- 26561515
TI - High quality draft genomic sequence of Flavobacterium enshiense DK69(T) and
comparison among Flavobacterium genomes.
AB - Flavobacterium enshiense DK69(T) is a Gram-negative, aerobic, rod-shaped, non
motile and non-flagellated bacterium that belongs to the family Flavobacteriaceae
in the phylum Bacteroidetes. The high quality draft genome of strain DK69(T) was
obtained and has a 3,375,260 bp genome size with a G + C content of 37.7 mol %
and 2848 protein coding genes. In addition, we sequenced five more genomes of
Flavobacterium type strains and performed a comparative genomic analysis among 12
Flavobacterium genomes. The results show some specific genes within the fish
pathogenic Flavobacterium strains which provide information for further analysis
the pathogenicity.
PMID- 26561516
TI - High quality draft genome sequence of the moderately halophilic bacterium
Pontibacillus yanchengensis Y32(T) and comparison among Pontibacillus genomes.
AB - Pontibacillus yanchengensis Y32(T) is an aerobic, motile, Gram-positive,
endospore-forming, and moderately halophilic bacterium isolated from a salt
field. In this study, we describe the features of P. yanchengensis strain Y32(T)
together with a comparison with other four Pontibacillus genomes. The 4,281,464
bp high-quality-draft genome of strain Y32(T) is arranged into 153 contigs
containing 3,965 protein-coding genes and 77 RNA encoding genes. The genome of
strain Y32(T) possesses many genes related to its halophilic character, flagellar
assembly and chemotaxis to support its survival in a salt-rich environment.
PMID- 26561517
TI - Complete genome sequence of Paracoccus marcusii phage vB_PmaS-R3 isolated from
the South China Sea.
AB - Paracoccus spp. are isolated from both terrestrial and aquatic habitats,
indicating their ubiquitous existence in the environment. Here we present the
first phage isolated from this genus, vB_PmaS-R3, and its complete genome
sequence. Paracoccus phage vB_PmaS-R3 is a siphophage isolated from the South
China Sea. The genome sequence is 42,093 bp, with a G + C content of 56.36 %.
Fifty-two open reading frames were predicted from the genome. The genome can
mainly be divided into three regions: genes for DNA metabolism, regulatory genes
and structure forming genes. Genes encoding DNA metabolism and structural
proteins showed high sequence homology to corresponding genes of Burkholderia
phage KL1 and Pseudomonas phage PA73. In addition, four gene transfer agent-like
genes were found in the vB_PmaS-R3 genome. A putative L-alanoyl-D-glutamate
peptidase was predicted as the endolysin. A MazG gene was found in the vB_PmaS-R3
genome, which indicates genomic adaption to the nutrient-limited marine
environment.
PMID- 26561518
TI - Balloon post-dilation and valve-in-valve implantation for the reduction of
paravalvular leakage with use of the self-expanding CoreValve prosthesis.
AB - AIMS: The aims of the study were to evaluate balloon post-dilation (BPD) and
valve-in-valve (ViV) implantation for the reduction of paravalvular leakage (PVL)
in patients undergoing transcatheter aortic valve implantation (TAVI) with use of
the self-expanding CoreValve prosthesis and to assess whether the aortic
regurgitation (AR) index can be used to quantify the reduction of PVL by these
corrective measures. METHODS AND RESULTS: Angiography and the AR index were used
to evaluate the severity of PVL before and after corrective measures in patients
suffering from more than mild PVL. Corrective measures were performed in 44.7%
(101/226 patients): BPD was performed in 85 patients and ViV implantation in 16
patients, respectively. In 86% (87/101 patients), PVL reduction was successful
(no or mild PVL). BPD increased the AR index from 19.1+/-11.0 to 25.9+/-5.8
(p<0.001) and ViV implantation from 17.6+/-6.4 to 29.5+/-9.1 (p=0.008). One-year
mortality (21.6% vs. 17.6% vs. 25.0%; p=0.69) and procedural stroke rate (2.4%
vs. 2.4% vs. 0%; p=0.82) were not different between patients without corrective
measures compared to patients who had undergone corrective measures (BPD or ViV).
CONCLUSIONS: BPD and ViV implantation are safe and effective to reduce PVL in
TAVI patients. The AR index is useful to quantify the success of these corrective
measures for PVL reduction objectively.
PMID- 26561519
TI - Sexually dimorphic gene expression in the lateral eyes of Euphilomedes
carcharodonta (Ostracoda, Pancrustacea).
AB - BACKGROUND: The evolution and development of sexual dimorphism illuminates a
central question in biology: How do similar genomes produce different phenotypes?
In an XX/XO system especially the state of a sexually dimorphic trait is
determined by differences in gene expression, as there are no additional genetic
loci in either sex. Here, we examine the XX/XO ostracod crustacean species
Euphilomedes carcharodonta. This species exhibits radical sexual dimorphism of
their lateral eyes, females have only a tiny simple lateral eye while males have
elaborate ommatidial eyes. RESULTS: We find that males express three of nine eye
development gene homologs at significantly higher levels during juvenile eye
development, compared to females. We also find that most eye-development genes
examined are pleiotropic, with high expression levels during embryonic
development as well as during juvenile eye development. Later, in adults, we find
that phototransduction genes are expressed at higher levels in males than in
females, as we might expect when comparing ommatidial to simple eyes.
CONCLUSIONS: We show here that expression changes of a handful of developmental
genes may underlie the radical difference in a dimorphic character. This work
gives an important point of comparison for studying eye evolution and development
in the Pancrustacea.
PMID- 26561520
TI - Differential myofiber-type transduction preference of adeno-associated virus
serotypes 6 and 9.
AB - BACKGROUND: Gene therapy strategies are promising therapeutic options for
monogenic muscular dystrophies, with several currently underways. The adeno
associated viral (AAV) vector is among the most effective gene delivery systems.
However, transduction efficiency in skeletal muscles varies between AAV
serotypes, with the underlying factors poorly understood. We hypothesized that
myofiber-specific tropism differs between AAV serotypes. METHODS: We developed a
quantitative histology procedure and generated myofiber pattern maps for four
myosin heavy chain (MyHC) isotypes. We compared myofiber pattern maps between
AAV6 or AAV9 injected tibialis anterior muscle in mice. We correlated MyHC
expression with AAV-derived green fluorescence protein (GFP) expression using
statistical models. RESULTS: We found that MyHC-2x expressing myofibers display a
significantly higher preference for AAV transduction, whereas MyHC-2b expressing
myofibers negatively correlated with AAV transduction. In addition, we show that
AAV9-mediated transduction is enriched in myofibers expressing MyHC-1 and MyHC
1/2a. Moreover, AAV9-mediated transduction can predominantly be predicted by the
expression of MyHC isotypes. In contrast, AAV6 transduction can be predicted by
myofiber size but not by myofiber types. CONCLUSIONS: Our findings identify
differences between AAV6 and AAV9 for myofiber-type preferences, which could be
an underlying factor for mosaic transduction of skeletal muscle. Adjusting AAV
serotype for specific muscle conditions can therefore improve transduction
efficacy in clinical applications.
PMID- 26561521
TI - Interchangeability and comparative effectiveness between generic and brand
montelukast immediate release tablets after a single oral administration in
healthy volunteers.
AB - BACKGROUND: Montelukast is a leukotriene receptor antagonist. The release of
leukotrienes causes narrowing and constricting in the respiratory airways.
Blocking the action of these leukotrienes, montelukast can be used for the
prophylaxis and treatment of chronic asthma. OBJECTIVE: The aim of this study was
to evaluate the interchangeability and comparative effectiveness between a
generic and a brand montelukast 10 mg immediate release tablets (Broncast((r))
and Singulair((r)), respectively) after a single oral dose among Arab
Mediterranean volunteers. METHODS: An open-label, randomized two-period crossover
bioequivalence design was conducted in 31 healthy male volunteers with a 1 week
washout between each study period and under fasting conditions. The plasma drug
concentration was assessed by using a previously validated LC MS/MS method. The
ratio between the generic and brand of geometric least squares means was reported
for both generic and brand products. Moreover, an in vitro dissolution study was
conducted on generic and brand tablets using three different pH media, and
similarity and non-similarity factors (f2 and f1) were calculated. RESULTS: The
used bioanalytical method was found to be linear within the range 6.098-365.855
ng/mL. The correlation coefficient was close to 0.999 during the course of the
study validation. Statistical comparison of the main pharmacokinetic parameters
showed the inexistence of any significant difference between generic and the
brand. The point estimates (ratios of geometric means) were 111.939, 111.711, and
112.169 % for AUC0-24, AUC0-infinity, and Cmax, respectively. The 90 % confidence
intervals (CIs) were within the pre-defined limits of 80.00-125.00 % as specified
by the FDA and EMA for bioequivalence studies. F2 and f1 were higher than 50 and
lower than 15, respectively in all selected pH media. CONCLUSION: Broncast((r))
immediate release film coated tablets (10 mg/tablet) are bioequivalent to
Singulair((r)) immediate release film coated tablets (10 mg/tablet), with a
comparable safety and efficacy profile. This suggests that these two formulations
can be clinically considered interchangeable. The dissolution study suggests that
it could be used as premarketing quality control parameter in order to maintain
the high quality of the produced product.
PMID- 26561522
TI - Risk of postoperative acute kidney injury in patients undergoing orthopaedic
surgery--development and validation of a risk score and effect of acute kidney
injury on survival: observational cohort study.
AB - STUDY QUESTION: What is the predicted risk of acute kidney injury after
orthopaedic surgery and does it affect short term and long term survival?
METHODS: The cohort comprised adults resident in the National Health Service
Tayside region of Scotland who underwent orthopaedic surgery from 1 January 2005
to 31 December 2011. The model was developed in 6220 patients (two hospitals) and
externally validated in 4395 patients from a third hospital. Several preoperative
variables were selected for candidate predictors, based on literature, clinical
expertise, and availability in the orthopaedic surgery setting. The main outcomes
were the development of any severity of acute kidney injury (stages 1-3) within
the first postoperative week, and 90 day, one year, and longer term survival.
STUDY ANSWER AND LIMITATIONS: Using logistic regression analysis, independent
predictors of acute kidney injury were older age, male sex, diabetes, number of
prescribed drugs, lower estimated glomerular filtration rate, use of angiotensin
converting enzyme inhibitors or angiotensin receptor blockers, and American
Society of Anesthesiologists grade. The model's predictive performance for
discrimination was good (C statistic 0.74 in development cohort, 0.70 in
validation cohort). Calibration was good in the development cohort and after
recalibration in the validation cohort. Only the highest risks were over
predicted. Survival was worse in patients with acute kidney injury compared with
those without (adjusted hazard ratio 1.53, 95% confidence interval 1.38 to 1.70).
This was most noticeable in the short term (adjusted hazard ratio: 90 day 2.36,
1.94 to 2.87) and diminished over time (90 day-one year 1.40, 1.10 to 1.79; >1
year 1.28, 1.10 to 1.48). The model used routinely collected data in the
orthopaedic surgery setting therefore some variables that could potentially
improve predictive performance were not available. However, the readily available
predictors make the model easily applicable. WHAT THIS STUDY ADDS: A preoperative
risk prediction model consisting of seven predictors for acute kidney injury was
developed, with good predictive performance in patients undergoing orthopaedic
surgery. Survival was significantly poorer in patients even with mild (stage 1)
postoperative acute kidney injury. FUNDING, COMPETING INTERESTS, DATA SHARING: SB
received grants from Tenovus Tayside, Chief Scientist Office, and the Royal
College of Physicians and Surgeons of Glasgow; PT receives grants from Novo
Nordisk, GlaxoSmithKline, and the New Drugs Committee of the Scottish Medicines
Consortium. No additional data are available.
PMID- 26561524
TI - Demographic and clinical risk factors associated with hospital mortality after
isolated severe traumatic brain injury: a cohort study.
AB - BACKGROUND: Traumatic brain injury (TBI) is a major public health problem and a
leading cause of death worldwide. A paucity of literature exists on risk factors
for mortality in isolated severe TBI, a condition that is distinct from severe
TBI in the setting of multisystem trauma. We determined risk factors for in
hospital mortality in this patient population. METHODS: We conducted a
retrospective cohort study using data from the National Trauma Databank from 2008
2012 to study all patients admitted with a diagnosis of severe TBI, excluding
children, patients with non-isolated TBI, transfers, and hospitalization <48 h.
We used multivariable Poisson regression to analyze the association between
demographic, clinical, and facility-level characteristics and in-hospital
mortality. RESULTS: A total of 41,590 patients were included in our analysis. The
cumulative incidence of in-hospital mortality was 10.2 %. In multivariable
analysis, older age (RR 3.92, 95 % CI 3.54-4.34), male gender (RR 1.17, 95 % CI
1.09-1.25), admission hypotension (RR 1.83, 95 % CI 1.61-2.09), the need for
mechanical ventilation (RR 4.18, 95 % CI 3.64-4.80), higher injury severity score
(RR 1.86, 95 % CI 1.41-2.45), and poor initial neurologic grade (RR 3.06, 95 % CI
2.74-3.43) were associated with a higher risk for mortality. CONCLUSIONS:
Admission hypotension and the need for mechanical ventilation were possible
modifiable risk factors associated with increased in-hospital mortality following
isolated severe TBI. Although risk factors for mortality are similar in isolated
and non-isolated TBI, the underlying etiologies for hypotension and respiratory
failure are likely different in both conditions and require further exploration.
PMID- 26561525
TI - Vancomycin-induced acute generalized exanthematous pustulosis (AGEP) masquerading
septic shock-an unusual presentation of a rare disease.
AB - Acute generalized exanthematous pustulosis (AGEP) is a rare cutaneous adverse
reaction characterized by acute sterile pustular eruptions, mostly induced by
medications. Antibiotics are the most commonly implicated drugs; however, there
have only been two previous reports of vancomycin-induced AGEP in the literature.
In this case, we present the clinical course of a 56-year-old man who was
admitted to the intensive care unit with an unusually severe form of AGEP
mimicking septic shock, which developed after the recent use of vancomycin.
Despite cessation of the offending agent, our patient continued to clinically
decline with development of worsening skin eruptions and hemodynamic instability
necessitating vasopressor support. The patient promptly responded to systemic
steroid therapy with complete resolution of AGEP. In addition to highlighting the
implication of vancomycin in AGEP, we herein discuss the clinical presentation,
diagnosis, and management of AGEP, particularly in severe cases admitted to the
intensive care unit.
PMID- 26561526
TI - Does the frequency and intensity of physical activity in adolescence have an
impact on bone? The Tromso Study, Fit Futures.
AB - BACKGROUND: Optimization of the genetic potential for bone accrual in early life
may prevent future fractures. Possible modification factors include lifestyle
factors such as nutrition and physical activity. Measured levels of bone mineral
density (BMD) and bone mass content (BMC) are indicators of bone strength, and
are correlated with fracture risk. This study explored the impact of self
reported physical activity frequencies and intensity on BMD and BMC in Norwegian
adolescents. METHODS: In 2010-2011 school students in two North-Norwegian
municipalities were invited to a health survey, the Fit Future study. 508 girls
and 530 boys aged 15-18 years attended. BMD and BMC were measured by dual X-ray
absorptiometry. Physical activity and other lifestyle-factors were reported by
questionnaires and clinical interviews. Statistical analyses were performed sex
stratified, using ANOVA for comparison of means and linear regression models
adjusting for factors known to affect bone. RESULTS: Approximately 2/3 of girls
and boys reported themselves as physically active outside school hours. Active
participants had a significantly higher BMD and BMC at all sites (p < 0.001),
except for BMC total body in girls, compared to inactive participants. In
multiple linear regression analyses, increased physical activity measured as days
a week, categorized into seldom, moderate and highly, was positively associated
with BMD (g/cm(2)) at all sites in girls. Girls reporting themselves as highly
active had BMD levels 0.093 g/cm(2), 0.090 g/cm(2) and 0.046 g/cm(2) higher (p <
0.001) than their more seldom active peers at femoral neck, total hip and total
body respectively. Corresponding values for boys were 0.125 g/cm(2), 0.133
g/cm(2) and 0.66 g/cm(2). BMC measures showed similar trends at femoral neck and
total hip. CONCLUSIONS: Increased level of physical activity is associated with
higher BMD and BMC levels in adolescents. For both sexes high activity frequency
seems to be essential, whilst boys reporting quite hard intensity has an
additional impact. The differential effects of physical activity on bone strength
in adolescence have clinical implications, especially in preventive strategies.
PMID- 26561528
TI - The effect of castration time on growth and carcass production of elk bulls.
AB - The effects of castration time on growth and carcass traits of elk bulls were
investigated. Twelve bulls at 5 years old were raised and fed on concentrate with
ad libitum hay. All animals were allocated randomly to each of four treatment
groups (3 heads/group). Groups of each treatment were castrated surgically in
March, April or June and managed together with non-castration (entire) treatment.
All elk bulls in the trial were slaughtered at same time. Growth parameters,
carcass yield and composition were recorded. The total gain and average daily
gain was higher when castrated in April (p < 0.05). The entire elk produced
heaviest and highest in saddle and brisket portions (p < 0.05). It is apparent
that the castrate animals carried more total fat weight and percentages than the
entire males (p < 0.05). It was found that loin muscles from non-castrated elk,
in comparison with those from castrated one, had higher content of moisture and
lower content of fat (p < 0.05). In this study, growth parameters, carcass yields
and chemical composition were greatly affected by castration time.
PMID- 26561527
TI - Guidelines for treatment of immune-mediated cerebellar ataxias.
AB - Immune-mediated cerebellar ataxias include gluten ataxia, paraneoplastic
cerebellar degeneration, GAD antibody associated cerebellar ataxia, and
Hashimoto's encephalopathy. Despite the identification of an increasing number of
immune-mediated cerebellar ataxias, there is no proposed standardized therapy. We
evaluated the efficacies of immunotherapies in reported cases using a common
scale of daily activity. The analysis highlighted the importance of removal of
autoimmune triggering factors (e.g., gluten or cancer) and the need for
immunotherapy evaluation (e.g., corticosteroids, intravenous immunoglobulin,
immunosuppressants) and adaptation according to each subtype.
PMID- 26561529
TI - Smoking Cessation Intervention on Facebook: Which Content Generates the Best
Engagement?
AB - BACKGROUND: Social media offer a great opportunity to deliver smoking cessation
treatment to young adults, but previous online and social media interventions
targeting health behavior change have struggled with low participant engagement.
We examined engagement generated by content based on the Transtheoretical Model
of Behavior Change (TTM) in a motivationally tailored smoking cessation
intervention on Facebook. OBJECTIVE: This study aimed to identify which
intervention content based on the TTM (Decisional Balance and 10 processes of
change) generated the highest engagement among participants in pre-action stages
of change (Precontemplation, Contemplation, and Preparation). METHODS:
Participants (N=79, 20% female, mean age 20.8) were assessed for readiness to
quit smoking and assigned to one of 7 secret Facebook groups tailored to their
stage of change. Daily postings to the groups based on TTM Decisional Balance and
the 10 processes of change were made by research staff over 3 months. Engagement
was operationalized as the number of participant comments to each post. TTM
content-based predictors of number of comments were analyzed and stratified by
baseline stage of change, using negative binomial regression analyses with and
without zero inflation. RESULTS: A total of 512 TTM-based posts generated 630
individual comments. In Precontemplation and Contemplation groups, Decisional
Balance posts generated above average engagement (P=.01 and P<.001). In
Contemplation groups, posts based on the TTM processes Dramatic Relief and Self
Liberation resulted in below average engagement (P=.01 and P=.005). In
Preparation groups, posts based on Consciousness Raising generated above average
engagement (P=.009). Participant engagement decreased over time and differed
between groups within Precontemplation and Contemplation stages, but was
independent of day of the week and time of day the content was posted to the
groups. No participant baseline characteristics significantly predicted
engagement. CONCLUSIONS: Participants not ready to quit in the next 30 days (in
Precontemplation or Contemplation) engaged most when prompted to think about the
pros and cons of behavior change, while those in the Preparation stage engaged
most when posts increased awareness about smoking and smoking cessation. Findings
support tailoring intervention content to readiness to quit and suggest
intervention components that may be most effective in generating high participant
engagement on social media.
PMID- 26561530
TI - Anxiety sensitivity and trait anxiety are associated with response to 7.5% carbon
dioxide challenge.
AB - The 7.5% carbon dioxide (CO2) inhalation model is used to provoke acute anxiety,
for example to investigate the effects of anxiety on cognitive processes, or the
efficacy of novel anxiolytic agents. However, little is known about the
relationship of baseline anxiety sensitivity or trait anxiety (i.e., anxiety
proneness), with an individual's response to the 7.5% CO2 challenge. We examined
data from a number of 7.5% CO2 challenge studies to determine whether anxiety
proneness was related to subjective or physiological response. Our findings
indicate anxiety proneness is associated with greater subjective and
physiological responses. However, anxiety-prone individuals also have a greater
subjective response to the placebo (medical air) condition. This suggests that
anxiety-prone individuals not only respond more strongly to the 7.5% CO2
challenge, but also to medical air. Implications for the design and conduct of
7.5% CO2 challenge studies are discussed.
PMID- 26561531
TI - Investigation of the changes of biophysical/mechanical characteristics of
differentiating preosteoblasts in vitro.
AB - BACKGROUND: Topography, stiffness, and composition of biomaterials play a crucial
role in cell behaviors. In this study, we have investigated biochemical (gene
markers), biophysical (roughness), and biomechanical (stiffness) changes during
the osteogenic differentiation of preosteoblasts on gelatin matrices. RESULTS:
Our results demonstrate that gelatin matrices offer a favorable microenvironment
for preosteoblasts as determined by focal adhesion and filopodia formation. The
osteogenic differentiation potential of preosteoblasts on gelatin matrices is
confirmed by qualitative (Alizarin red, von kossa staining, immunofluorescence,
and gene expression) and quantitative analyses (alkaline phosphatase activity and
calcium content). The biomechanical and biophysical properties of differentiating
preosteoblasts are analyzed using atomic force microscopy (AFM) and micro
indentation. The results show sequential and significant increases in
preosteoblasts roughness and stiffness during osteogenic differentiation, both of
which are directly proportional to the progress of osteogenesis. Cell
proliferation, height, and spreading area seem to have no direct correlation with
differentiation; however, they may be indirectly related to osteogenesis.
CONCLUSIONS: The increased stiffness and roughness is attributed to the
mineralized bone matrix and enhanced osteogenic extracellular matrix protein.
This report indicates that biophysical and biomechanical aspects during in vitro
cellular/extracellular changes can be used as biomarkers for the analysis of cell
differentiation.
PMID- 26561523
TI - A meta-analysis of 120 246 individuals identifies 18 new loci for fibrinogen
concentration.
AB - Genome-wide association studies have previously identified 23 genetic loci
associated with circulating fibrinogen concentration. These studies used HapMap
imputation and did not examine the X-chromosome. 1000 Genomes imputation provides
better coverage of uncommon variants, and includes indels. We conducted a genome
wide association analysis of 34 studies imputed to the 1000 Genomes Project
reference panel and including ~120 000 participants of European ancestry (95 806
participants with data on the X-chromosome). Approximately 10.7 million single
nucleotide polymorphisms and 1.2 million indels were examined. We identified 41
genome-wide significant fibrinogen loci; of which, 18 were newly identified.
There were no genome-wide significant signals on the X-chromosome. The lead
variants of five significant loci were indels. We further identified six
additional independent signals, including three rare variants, at two previously
characterized loci: FGB and IRF1. Together the 41 loci explain 3% of the variance
in plasma fibrinogen concentration.
PMID- 26561533
TI - Editorial Commentary: Scaling Up Antiretroviral Therapy in Africa: Are We There
Yet?
PMID- 26561532
TI - Superior Effectiveness of Zidovudine Compared With Tenofovir When Combined With
Nevirapine-based Antiretroviral Therapy in a Large Nigerian Cohort.
AB - BACKGROUND: Despite sparse efficacy data, tenofovir-emtricitabine or tenofovir
lamivudine plus nevirapine is used in many resource-constrained settings.
METHODS: This retrospective cohort study included patients initiating nevirapine
based antiretroviral therapy (ART) with either tenofovir-emtricitabine or
lamivudine (tenofovir group) or zidovudine-lamivudine (zidovudine group).
Clinical, virologic, and immunologic evaluations were performed at baseline and
every 6 months. Virologic failure was defined as 2 consecutive human
immunodeficiency virus (HIV)-RNA values >1000 copies/mL. Patients were included
from ART initiation until time of failure, regimen switch, discontinuation, or
last HIV-RNA measurement. Cox proportional hazards regression was used to model
factors influencing time to failure. Bias due to dependent censoring was
investigated via inverse probability weighted pooled logistic regression.
RESULTS: A total of 5547 patients were evaluated; 1484 (26.8%) were in the
tenofovir group and 4063 (73.2%) were in the zidovudine group. In the adjusted
model, tenofovir regimen (hazard ratio [HR], 1.47; 95% confidence interval [CI],
1.21-1.79) and higher baseline log10 HIV-RNA (HR, 1.15; 95% CI, 1.03-1.28) were
associated with virologic failure. Higher baseline log10 CD4+ cell count (HR,
0.50; 95% CI, .40-.63) and increasing age (HR, 0.98; 95% CI, .97-.99) decreased
the risk of virologic failure. Inverse probability weighting results were
consistent with the primary analysis. CONCLUSIONS: Compared with zidovudine
lamivudine, the use of tenofovir-lamivudine or emtricitabine in combination with
nevirapine was a strong predictor of virologic failure in our cohort, which was
not explained by other risk factors or criteria for regimen selection.
PMID- 26561534
TI - Cryopreservation of Gemmae from the Liverwort Marchantia polymorpha L.
AB - The liverwort Marchantia polymorpha L. is one of the key model plants in evo-devo
studies, and an increasing number of transgenic and mutant lines have been
established. For reliable long-term preservation of M. polymorpha plants, spores
have been used, but crossing is indispensable to obtain them. Gemmae, however,
are vegetative clones and readily available in large numbers without crossing,
thereby enabling the clonal preservation and rapid propagation of transgenic or
mutant lines. Here, we report a simple cryopreservation protocol for in vitro
grown M. polymorpha gemmae using aluminum cryoplates. Gemmae were pre-cultured on
sucrose-containing medium, embedded in calcium alginate gel on the surface of a
cryoplate, moderately dehydrated and stored in liquid nitrogen. After rapid
thawing, the stored gemmae showed a 100% survival rate. Our protocol does not
require plant growth regulators such as ABA, and takes only 1 h to complete
except for 1 d of pre-culture. Furthermore, gemmae treated as described above but
then air-dried for 2 h can be stored at -80 degrees C for at least 1 year without
a significant decrease in survival rate, which is convenient for most
laboratories that have a -80 degrees C freezer but not a liquid nitrogen
container for long-term storage. These preservation techniques for M. polymorpha
should increase their availability in the research community.
PMID- 26561535
TI - Genome-Wide Transcriptome Analysis During Anthesis Reveals New Insights into the
Molecular Basis of Heat Stress Responses in Tolerant and Sensitive Rice
Varieties.
AB - Rice is one of the main food crops in the world. In the near future, yield is
expected to be under pressure due to unfavorable climatic conditions, such as
increasing temperatures. Therefore, improving rice germplasm in order to
guarantee rice production under harsh environmental conditions is of top
priority. Although many physiological studies have contributed to understanding
heat responses during anthesis, the most heat-sensitive stage, molecular data are
still largely lacking. In this study, an RNA-sequencing approach of heat- and
control-treated reproductive tissues during anthesis was carried out using N22,
one of the most heat-tolerant rice cultivars known to date. This analysis
revealed that expression of genes encoding a number of transcription factor
families, together with signal transduction and metabolic pathway genes, is
repressed. On the other hand, expression of genes encoding heat shock factors and
heat shock proteins was highly activated. Many of these genes are predominantly
expressed at late stages of anther development. Further physiological experiments
using heat-tolerant N22 and two sensitive cultivars suggest that reduced yield in
heat-sensitive plants may be associated with poor pollen development or
production in anthers prior to anthesis. In parallel, induction levels of a set
of heat-responsive genes in these tissues correlated well with heat tolerance.
Altogether, these findings suggest that proper expression of protective
chaperones in anthers is needed before anthesis to overcome stress damage and to
ensure fertilization. Genes putatively controlling this process were identified
and are valuable candidates to consider for molecular breeding of highly
productive heat-tolerant cultivars.
PMID- 26561536
TI - MicroRNAs and SIRT1: A Strategy for Stem Cell Renewal and Clinical Development?
AB - Small non-coding ribonucleic acids (RNAs), known as microRNAs (miRNAs), are now
becoming recognized as significant agents that can affect the onset and
progression of numerous disorders throughout the body. In particular, miRNAs also
may determine stem cell renewal and differentiation. Intimately tied to the
ability of miRNAs to govern stem cell proliferation are the proliferative
pathways of silent mating type information regulation 2 homolog 1 (Saccharomyces
cerevisiae) (SIRT1) and the cell survival mechanisms of autophagy that can be
coupled to the activity of the mechanistic target of rapamycin (mTOR). Targeting
miRNAs that oversee SIRT1 activity offers interesting prospects for the
translation of these pathways into efficacious clinical treatment programs for a
host of disorders. Yet, as work in this area progresses, a number of challenges
unfold that impact whether manipulation of non-coding RNAs and SIRT1 can finely
guide stem cell renewal and differentiation to reach successful clinical
outcomes.
PMID- 26561537
TI - Integrating a web-based, patient-administered assessment into primary care for
HIV-infected adults.
AB - Providers routinely under diagnose at risk behaviors and outcomes, including
depression, suicidal ideation, substance abuse, and poor medication adherence. To
address this, we developed a web-based, self-administered patient-reported
assessment tool and integrated it into routine primary care for HIV-infected
adults. Printed results were delivered to providers and social workers
immediately prior to patient appointments. The assessment included brief,
validated instruments measuring clinically relevant domains including depression,
substance use, medication adherence, and HIV transmission risk behaviors.
Utilizing the Institute for Healthcare Improvement's Plan-Do-Study-Act (PDSA)
approach to quality improvement, we addressed issues with clinic flow,
technology, scheduling, and delivery of assessment results with the support of
all levels of clinic staff. We found web-based patient-reported assessments to be
a feasible tool that can be integrated into a busy multi-provider HIV primary
care clinic. These assessments may improve provider recognition of key patient
behaviors and outcomes. Critical factors for successful integration of such
assessments into clinical care include: strong top-level /ort from clinic
management, provider understanding of patient-reported assessments as a valuable
clinical tool, tailoring the assessment to meet provider needs, communication
among clinic staff to address flow issues, timeliness of delivery, and sound
technological resources.
PMID- 26561539
TI - Balancing Score Adjusted Targeted Minimum Loss-based Estimation.
AB - Adjusting for a balancing score is sufficient for bias reduction when estimating
causal effects including the average treatment effect and effect among the
treated. Estimators that adjust for the propensity score in a nonparametric way,
such as matching on an estimate of the propensity score, can be consistent when
the estimated propensity score is not consistent for the true propensity score
but converges to some other balancing score. We call this property the balancing
score property, and discuss a class of estimators that have this property. We
introduce a targeted minimum loss-based estimator (TMLE) for a treatment-specific
mean with the balancing score property that is additionally locally efficient and
doubly robust. We investigate the new estimator's performance relative to other
estimators, including another TMLE, a propensity score matching estimator, an
inverse probability of treatment weighted estimator, and a regression-based
estimator in simulation studies.
PMID- 26561538
TI - The combination of dendritic cells-cytotoxic T lymphocytes/cytokine-induced
killer (DC-CTL/CIK) therapy exerts immune and clinical responses in patients with
malignant tumors.
AB - BACKGROUND: The clinical trials using immunotherapy have been performed for the
treatment of variety of malignant tumors. However, large-scale meta-analysis of
combined DC-CTL/CIK therapy on immune and clinical response in patients has not
been well studied yet. The purpose of this study is to investigate the role of DC
CTL/CIK therapy and evaluate the changes of immune indicators and tumor
serological markers both at an individual level and at a system level, which is
an important basis for immunotherapy as well as prognosis estimation. METHODS:
Three cohorts were designed to estimate therapeutic effects on patients with
malignant tumors. Tumor serological markers were detected pre- and post-treatment
by immunoradiometric methods using commercially available diagnostic kits.
Lymphocyte subsets were identified by flow cytometry. The quality of life was
assessed by EORTC QLQ-C30 questionnaire. RESULTS: In this study, we found out
that Tregs was significantly reduced after transfusion of DC-CTL/CIK cells
companied by decreasing serological tumor markers including AFP, CA199 and CA242
in primary liver cancer and CA724 in gastric cancer. A system-level analysis
showed that lower percentages of Tregs were detected in patients with long
lasting courses of immunotherapy. Strikingly, a tumor progression indicator,
myeloid-derived suppressor cells (MDSC), was dramatically decreased in patients
after DC-CTL/CIK treatment. These results suggested that DC-CTL/CIK therapy
improves immune functions and the quality of life post-treatment versus pre
therapy, indicating that DC-CTL/CIK therapy might block the deterioration of
invasive cancers in these patients. CONCLUSIONS: This study demonstrated that DC
CTL/CIK therapy could reduce Tregs, MDSCs, and several crucial serological tumor
markers in particular tumors, and improve the function of T cells immune systems
and the quality of life in patients with malignant tumor.
PMID- 26561540
TI - Identifying Sources of Health Care Underutilization Among California's
Immigrants.
AB - Many studies show that immigrants face significant barriers in accessing health
care. These barriers may be particularly pronounced for newer immigrants, who may
face additional obstacles in navigating the health care system. Understanding the
sources of health care disparities between recent and non-recent immigrants may
allow for better design of policies and interventions to address the
vulnerabilities unique to different subgroups of immigrants defined by their
length of residency. This study employs descriptive analyses and multivariate
logistic regression to estimate the likelihood of accessing and utilizing health
care services based on immigration-related factors after controlling for
predisposing, enabling, and health care need factors. We also employ a regression
based decomposition method to determine whether health care differences between
recent and non-recent immigrants are statistically significant and to identify
the primary drivers of healthcare differences between recent and non-recent
immigrants. The findings support the hypothesis that significant disparities in
health care access and utilization exist between recent and non-recent
immigrants. We found that health care access and utilization differences between
recent and non-recent immigrants were driven primarily by enabling resources,
including limited English proficiency (LEP), insurance status, public assistance
usage, and poverty level. These results indicate that not only are newer
immigrants more likely to underutilize health care, but also that their
underutilization is driven primarily by their lack of insurance, lack of adequate
financial resources, and inability to navigate the health care system due to LEP.
The results further indicate that immigrants with prolonged LEP may be less
likely to have a usual source of care and more likely to report delays in
obtaining medical treatments, than even recent immigrants with LEP.
PMID- 26561541
TI - A Comparison of Birth Outcomes Among Black, Hispanic, and Black Hispanic Women.
AB - BACKGROUND: While non-Hispanic Black populations tend to be disproportionately
affected by adverse reproductive outcomes, Hispanic populations tend to
demonstrate healthier birth outcomes, regardless of socioeconomic background.
Little is known about birth outcomes for women who are both Black and Hispanic.
We examined whether birth outcomes and risk factors for women who are both Black
and Hispanic most closely resemble those of women who are only Black or Hispanic
and also compared these outcomes to those for Whites. METHODS: Using the 2013 US
natality files, we examined 2,970,315 singleton births to Black Hispanic,
Hispanic, Black, and White mothers. We used logistic regression to calculate
predicted probabilities of low birth weight (LBW), preterm birth (PTB), or small
for gestational age (SGA). Race-stratified regression analysis was used to
identify the factors that significantly predicted risk for each outcome for each
racial/ethnic group. RESULTS: Black mothers had the highest prevalence and
predicted probabilities of experiencing all three outcomes. Black Hispanic
mothers were less likely than Black mothers and more likely than Hispanic mothers
to experience each of the adverse outcomes. We also found support for racial
variation in risk and protective factors for mothers in the different groups.
Factors like age and education inconsistently predicted risk of experiencing the
birth outcomes for all groups. Overall, Black Hispanic mothers had birth outcomes
and risk factor profiles like Hispanic mothers, although they had
sociodemographic characteristics and health behaviors like Black mothers.
CONCLUSIONS: Patterning of birth outcomes among Black Hispanic women suggest an
intersection of risk and protective factors associated with their respective
racial and ethnic identities. Additional information about sociodemographic
context is needed to develop a more complete picture of how factors related to
race and ethnic group membership influence Black Hispanic women's birth outcomes.
PMID- 26561542
TI - Effect of metabolic control on oxidative stress, subclinical atherosclerosis and
peripheral artery disease in diabetic patients.
AB - INTRODUCTION: By rising diabetes mellitus prevalence, the prevalence of its most
complication; cardiovascular disease (CVD) is also increasing. Moreover,
oxidative stress has important role in pathogenesis of diabetes and its
complications. We investigated relationship between total antioxidant status
(TAS) and surrogate measures of subclinical atherosclerosis (SA) with glycemic
status in diabetics. METHODS & MATERIALS: In a cross-sectional study, we recorded
height, weight, waist circumference (WC) and blood pressure of 267 subjects.
Blood samples were collected to measure fasting blood sugar (FBS), glycated
hemoglobin (HbA1c), lipid profiles and TAS. The surrogate measures of SA were
Carotid Intima Media Thickness (CIMT), and Ankle Brachial Index (ABI). RESULTS:
We found significantly lower TAS leves and ABI values and higher CIMT in diabetic
patients especially in poor glycemic group. There was a nonsignificant, weak
correlation between TAS, ABI and CIMT with glycemic status (r = -0.10, -0.16, and
+0.09, respectively). Multivariate regression analysis showed a significant
influence of increasing age and diabetes duration on worsening CIMT in poor
glycemic group. CONCLUSIONS: Our study showed poor glycemic control leads to
worse CIMT by increasing age and duration of diabetes. However we did not find a
significan correlation between glycemic status and TAS levels. We suggest CIMT
measurement along with other SA markers in poor glycemic diabetics, especially in
older patients with longer duration of diabetes, to identify high risk CVD
patients.
PMID- 26561543
TI - Pregnancy complications in women with polycystic ovary syndrome: importance of
diagnostic criteria or of phenotypic features?
PMID- 26561544
TI - Reply: Maternal and neonatal outcomes in women diagnosed with PCOS by different
definitions.
PMID- 26561545
TI - IRAK1 Drives Intestinal Inflammation by Promoting the Generation of Effector Th
Cells with Optimal Gut-Homing Capacity.
AB - IL-1R-associated kinase (IRAK) 1 is an important component of the IL-1R and TLR
signaling pathways, which influence Th cell differentiation. In this study, we
show that IRAK1 promotes Th17 development by mediating IL-1beta-induced
upregulation of IL-23R and subsequent STAT3 phosphorylation, thus enabling
sustained IL-17 production. Moreover, we show that IRAK1 signaling fosters Th1
differentiation by mediating T-bet induction and counteracts regulatory T cell
generation. Cotransfer experiments revealed that Irak1-deficient CD4(+) T cells
have a cell-intrinsic defect in generating Th1 and Th17 cells under inflammatory
conditions in spleen, mesenteric lymph nodes, and colon tissue. Furthermore,
IRAK1 expression in T cells was shown to be essential for T cell accumulation in
the inflamed intestine and mesenteric lymph nodes. Transcriptome analysis ex vivo
revealed that IRAK1 promotes T cell activation and induction of gut-homing
molecules in a cell-intrinsic manner. Accordingly, Irak1-deficient T cells failed
to upregulate surface expression of alpha4beta7 integrin after transfer into
Rag1(-/-) mice, and their ability to induce colitis was greatly impaired. Lack of
IRAK1 in recipient mice provided additional protection from colitis. Therefore,
IRAK1 plays an important role in intestinal inflammation by mediating T cell
activation, differentiation, and accumulation in the gut. Thus, IRAK1 is a
promising novel target for therapy of inflammatory bowel diseases.
PMID- 26561546
TI - Synovial Regulatory T Cells Occupy a Discrete TCR Niche in Human Arthritis and
Require Local Signals To Stabilize FOXP3 Protein Expression.
AB - Although there is great interest in harnessing the immunosuppressive potential of
FOXP3(+) regulatory T cells (Tregs) for treating autoimmunity, a sizeable
knowledge gap exists regarding Treg fate in human disease. In juvenile idiopathic
arthritis (JIA) patients, we have previously reported that atypical CD25(+)FOXP3(
) Treg-like cells uniquely populate the inflamed site. Intriguingly, their
proportions relative to CD25(+)FOXP3(+) Tregs associate with arthritis course,
suggesting a role in disease. The ontogeny of these FOXP3(-) Treg-like cells is,
however, unknown. In this study, we interrogated clonal relationships between
CD4(+) T cell subsets in JIA, using high-throughput TCR repertoire analysis. We
reveal that FOXP3(+) Tregs possess highly exclusive TCRbeta usage from
conventional T cells, in blood, and also at the inflamed site, where they are
clonally expanded. Intriguingly, the repertoires of FOXP3(+) Tregs in synovial
fluid are highly overlapping with CD25(+)FOXP3(-) Treg-like cells, indicating
fluctuations in FOXP3 expression in the inflamed joint. Furthermore, cultured
synovial Tregs rapidly downregulated FOXP3 protein (but not mRNA), and this
process was prevented by addition of synovial fluid from JIA patients, through an
IL-6-independent mechanism. Our findings suggest that most Tregs arise from a
separate lineage from conventional T cells, and that this repertoire divergence
is largely maintained under chronic inflammatory conditions. We propose that
subsequent Treg expansions at the inflamed site creates an environment that leads
to competition for limited resources within the synovium, resulting in the
destabilization of FOXP3 expression in some Tregs.
PMID- 26561547
TI - FOXO3-NF-kappaB RelA Protein Complexes Reduce Proinflammatory Cell Signaling and
Function.
AB - Tumor-associated myeloid cells, including dendritic cells (DCs) and macrophages,
are immune suppressive. This study demonstrates a novel mechanism involving FOXO3
and NF-kappaB RelA that controls myeloid cell signaling and impacts their immune
suppressive nature. We find that FOXO3 binds NF-kappaB RelA in the cytosol,
impacting both proteins by preventing FOXO3 degradation and preventing NF-kappaB
RelA nuclear translocation. The location of protein-protein interaction was
determined to be near the FOXO3 transactivation domain. In turn, NF-kappaB RelA
activation was restored upon deletion of the same sequence in FOXO3 containing
the DNA binding domain. We have identified for the first time, to our knowledge,
a direct protein-protein interaction between FOXO3 and NF-kappaB RelA in tumor
associated DCs. These detailed biochemical interactions provide the foundation
for future studies to use the FOXO3-NF-kappaB RelA interaction as a target to
enhance tumor-associated DC function to support or enhance antitumor immunity.
PMID- 26561549
TI - Critical Role and Therapeutic Control of the Lectin Pathway of Complement
Activation in an Abortion-Prone Mouse Mating.
AB - The abortion-prone mating combination CBA/J * DBA/2 has been recognized as a
model of preeclampsia, and complement activation has been implicated in the high
rate of pregnancy loss observed in CBA/J mice. We have analyzed the implantation
sites collected from DBA/2-mated CBA/J mice for the deposition of the complement
recognition molecules using CBA/J mated with BALB/c mice as a control group. MBL
A was observed in the implantation sites of CBA/J * DBA/2 combination in the
absence of MBL-C and was undetectable in BALB/c-mated CBA/J mice. Conversely, C1q
was present in both mating combinations. Searching for other complement
components localized at the implantation sites of CBA/J * DBA/2, we found C4 and
C3, but we failed to reveal C1r. These data suggest that complement is activated
through the lectin pathway and proceeds to completion of the activation sequence
as revealed by C9 deposition. MBL-A was detected as early as 3.5 d of pregnancy,
and MBL-A deficiency prevented pregnancy loss in the abortion-prone mating
combination. The contribution of the terminal complex to miscarriage was
supported by the finding that pregnancy failure was largely inhibited by the
administration of neutralizing Ab to C5. Treatment of DBA/2-mated CBA/J mice with
Polyman2 that binds to MBL-A with high affinity proved to be highly effective in
controlling the activation of the lectin pathway and in preventing fetal loss.
PMID- 26561548
TI - Aryl Hydrocarbon Receptor Protects Lungs from Cockroach Allergen-Induced
Inflammation by Modulating Mesenchymal Stem Cells.
AB - Exposure to cockroach allergen leads to allergic sensitization and increased risk
of developing asthma. Aryl hydrocarbon receptor (AhR), a receptor for many common
environmental contaminants, can sense not only environmental pollutants but also
microbial insults. Mesenchymal stem cells (MSCs) are multipotent progenitor cells
with the capacity to modulate immune responses. In this study, we investigated
whether AhR can sense cockroach allergens and modulate allergen-induced lung
inflammation through MSCs. We found that cockroach allergen-treated AhR-deficient
(AhR(-/-)) mice showed exacerbation of lung inflammation when compared with wild
type (WT) mice. In contrast, 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD), an AhR
agonist, significantly suppressed allergen-induced mouse lung inflammation. MSCs
were significantly reduced in cockroach allergen-challenged AhR(-/-) mice as
compared with WT mice, but increased in cockroach allergen-challenged WT mice
when treated with TCDD. Moreover, MSCs express AhR, and AhR signaling can be
activated by cockroach allergen with increased expression of its downstream genes
cyp1a1 and cyp1b1. Furthermore, we tracked the migration of i.v.-injected GFP(+)
MSCs and found that cockroach allergen-challenged AhR(-/-) mice displayed less
migration of MSCs to the lungs compared with WT. The AhR-mediated MSC migration
was further verified by an in vitro Transwell migration assay. Epithelial
conditioned medium prepared from cockroach extract-challenged epithelial cells
significantly induced MSC migration, which was further enhanced by TCDD. The
administration of MSCs significantly attenuated cockroach allergen-induced
inflammation, which was abolished by TGF-beta1-neutralizing Ab. These results
suggest that AhR plays an important role in protecting lungs from allergen
induced inflammation by modulating MSC recruitment and their immune-suppressive
activity.
PMID- 26561550
TI - Cutting Edge: Nanogel-Based Delivery of an Inhibitor of CaMK4 to CD4+ T Cells
Suppresses Experimental Autoimmune Encephalomyelitis and Lupus-like Disease in
Mice.
AB - Treatment of autoimmune diseases is still largely based on the use of
systemically acting immunosuppressive drugs, which invariably cause severe side
effects. Calcium/calmodulin-dependent protein kinase IV is involved in the
suppression of IL-2 and the production of IL-17. Its pharmacologic or genetic
inhibition limits autoimmune disease in mice. In this study, we demonstrate that
KN93, a small-molecule inhibitor of calcium/calmodulin-dependent protein kinase
IV, targeted to CD4(+) T cells via a nanolipogel delivery system, markedly
reduced experimental autoimmune encephalomyelitis and was 10-fold more potent
than the free systemically delivered drug in the lupus mouse models. The targeted
delivery of KN93 did not deplete T cells but effectively blocked Th17 cell
differentiation and expansion as measured in the spinal cords and kidneys of mice
developing experimental autoimmune encephalomyelitis or lupus, respectively.
These results highlight the promise of cell-targeted inhibition of molecules
involved in the pathogenesis of autoimmunity as a means of advancing the
treatment of autoimmune diseases.
PMID- 26561552
TI - Calcium-Modulating Cyclophilin Ligand Is Essential for the Survival of Activated
T Cells and for Adaptive Immunity.
AB - Calcium-modulating cyclophilin ligand (CAML) is an endoplasmic reticulum resident
protein that is widely expressed. Although it has been demonstrated to
participate in the tail-anchored protein insertion pathway, its physiological
role in the mature immune system is unknown. In this work, we show that mature,
peripheral T cells require CAML for survival specifically following TCR-induced
activation. In this study, we examined mature T cells from spleen and lymph nodes
of tamoxifen-inducible CAML knockout mice (tCAML(-/-)). Whereas CAML-deficient T
cells were able to express the early activation markers CD25 and CD69, and
produce IL-2 normally upon stimulation, deficient cells proliferated less and
died. Cells did not require CAML for entry into the S phase of the cell cycle,
thus implicating its survival function at a relatively late step in the T cell
activation sequence. In addition, CAML was required for homeostatic proliferation
and for Ag-dependent cell killing in vivo. These results demonstrate that CAML
critically supports T cell survival and cell division downstream of T cell
activation.
PMID- 26561551
TI - Identification of a Novel Splice Variant Isoform of TREM-1 in Human Neutrophil
Granules.
AB - Triggering receptor expressed on myeloid cells-1 (TREM-1) is critical for
inflammatory signal amplification. Humans have two forms of TREM-1: a membrane
receptor, associated with the adaptor DAP12, and a soluble receptor detected at
times of infection. The membrane receptor isoform acts synergistically with the
TLR pathway to promote cytokine secretion and neutrophil migration, whereas the
soluble receptor functions as a counterregulatory molecule. In multiple models of
sepsis, exogenous administration of soluble forms of TREM-1 attenuates
inflammation and markedly improves survival. Despite intense interest in soluble
TREM-1, both as a clinical predictor of survival and as a therapeutic tool, the
origin of native soluble TREM-1 remains controversial. Using human neutrophils,
we identified a 15-kDa TREM-1 isoform in primary (azurophilic) and secondary
(specific) granules. Mass spectrometric analysis, ELISA, and immunoblot confirm
that the 15-kDa protein is a novel splice variant form of TREM-1 (TREM-1sv).
Neutrophil stimulation with Pseudomonas aeruginosa, LPS, or PAM(3)Cys4 resulted
in degranulation and release of TREM-1sv. The addition of exogenous TREM-1sv
inhibited TREM-1 receptor-mediated proinflammatory cytokine production. Thus,
these data reveal that TREM-1 isoforms simultaneously activate and inhibit
inflammation via the canonical membrane TREM-1 molecule and this newly discovered
granular isoform, TREM-1sv.
PMID- 26561554
TI - Improving the recognition and diagnosis of opioid-induced constipation in
clinical practice.
AB - Opioid-induced constipation is a common side effect of opioid therapy that has
been under-recognized and undertreated. With the continued increase in opioid use
in the United States, along with the growth of the elderly population, more
patients will develop OIC, and family physicians will encounter more patients
with OIC. OIC negatively affects patient QOL, daily activity, work productivity,
and psychological well-being. If not treated effectively, OIC may result in
patients attempting to balance their pain relief against their constipation, by
self-adjusting their opioid dose. OIC results from opioid actions at enteric
opioid receptors. Although commonly prescribed for OIC, laxatives do not target
the pathophysiologic mechanism of OIC and have limited efficacy. Specific,
effective treatments for OIC, consisting of a subcutaneously administered PAMORA,
an oral PAMORA, and an oral chloride channel activator, have been developed and
have received US Food and Drug Administration approval. After recognizing the
signs and symptoms of OIC to facilitate diagnosis, family physicians can initiate
effective treatments in appropriate patients.
PMID- 26561553
TI - Tumor-Unrelated CD4 T Cell Help Augments CD134 plus CD137 Dual Costimulation
Tumor Therapy.
AB - The ability of immune-based cancer therapies to elicit beneficial CD8(+) CTLs is
limited by tolerance pathways that inactivate tumor-specific CD4 Th cells. A
strategy to bypass this problem is to engage tumor-unrelated CD4 Th cells. Thus,
CD4 T cells, regardless of their specificity per se, can boost CD8(+) CTL priming
as long as the cognate epitopes are linked via presentation on the same dendritic
cell. In this study, we assessed the therapeutic impact of engaging tumor
unrelated CD4 T cells during dual costimulation with CD134 plus CD137 that
provide help via the above-mentioned classical linked pathway, as well as provide
nonlinked help that facilitates CTL function in T cells not directly responding
to cognate Ag. We found that engagement of tumor-unrelated CD4 Th cells
dramatically boosted the ability of dual costimulation to control the growth of
established B16 melanomas. Surprisingly, this effect depended upon a CD134
dependent component that was extrinsic to the tumor-unrelated CD4 T cells,
suggesting that the dual costimulated helper cells are themselves helped by a
CD134(+) cell(s). Nevertheless, the delivery of therapeutic help tracked with an
increased frequency of tumor-infiltrating granzyme B(+) effector CD8 T cells and
a reciprocal decrease in Foxp3(+)CD4(+) cell frequency. Notably, the tumor
unrelated CD4 Th cells also infiltrated the tumors, and their deletion several
days following initial T cell priming negated their therapeutic impact. Taken
together, dual costimulation programs tumor-unrelated CD4 T cells to deliver
therapeutic help during both the priming and effector stages of the antitumor
response.
PMID- 26561555
TI - e-CBT (myCompass), Antidepressant Medication, and Face-to-Face Psychological
Treatment for Depression in Australia: A Cost-Effectiveness Comparison.
AB - BACKGROUND: The economic cost of depression is becoming an ever more important
determinant for health policy and decision makers. Internet-based interventions
with and without therapist support have been found to be effective options for
the treatment of mild to moderate depression. With increasing demands on health
resources and shortages of mental health care professionals, the integration of
cost-effective treatment options such as Internet-based programs into primary
health care could increase efficiency in terms of resource use and costs.
OBJECTIVE: Our aim was to evaluate the cost-effectiveness of an Internet-based
intervention (myCompass) for the treatment of mild-to-moderate depression
compared to treatment as usual and cognitive behavior therapy in a stepped care
model. METHODS: A decision model was constructed using a cost utility framework
to show both costs and health outcomes. In accordance with current treatment
guidelines, a stepped care model included myCompass as the first low-intervention
step in care for a proportion of the model cohort, with participants beginning
from a low-intensity intervention to increasing levels of treatment. Model
parameters were based on data from the recent randomized controlled trial of
myCompass, which showed that the intervention reduced symptoms of depression,
anxiety, and stress and improved work and social functioning for people with
symptoms in the mild-to-moderate range. RESULTS: The average net monetary benefit
(NMB) was calculated, identifying myCompass as the strategy with the highest net
benefit. The mean incremental NMB per individual for the myCompass group was AUD
1165.88 compared to treatment as usual and AUD 522.58 for the cognitive
behavioral therapy model. CONCLUSIONS: Internet-based interventions can provide
cost-effective access to treatment when provided as part of a stepped care model.
Widespread dissemination of Internet-based programs can potentially reduce
demands on primary and tertiary services and reduce unmet need.
PMID- 26561556
TI - Different clinical outcomes between locally advanced hypopharyngeal and
oropharyngeal cancer treated with definitive concurrent chemoradiotherapy:
implication for subgroup selection for induction chemotherapy.
AB - OBJECTIVE: The purpose of this study is to compare the long-term clinical outcome
of hypopharynx cancer and oropharynx cancer treated with concurrent
chemoradiotherapy. METHODS: A total of 213 patients with locally advanced
hypopharyngeal squamous cell carcinoma (n = 79) or oropharygeal squamous cell
carcinoma (n = 134) were included. All patients were treated with upfront
concurrent chemoradiotherapy between 1995 and 2012. RESULTS: The median overall
survival and progression-free survival differed significantly between the two
groups (P < 0.05). Overall survival and progression-free survival rates at 3
years were 52% and 42% for hypopharynx cancer, and 75% and 72% for oropharynx
cancer, respectively. There was no significant difference in the overall
incidence of distant metastases but more locoregional recurrences occurred in
patients with hypopharynx cancer compared with those with oropharynx cancer with
a statistical significance (P < 0.001). CONCLUSIONS: Patients diagnosed with
locally advanced hypopharyngeal had relatively poor survival after upfront
concurrent chemoradiotherapy. More intensive treatment such as induction
chemotherapy before concurrent chemoradiotherapy might be needed to improve
survival outcome in this subgroup of patients.
PMID- 26561557
TI - Evaluation of a 5-Marker Blood Test for Colorectal Cancer Early Detection in a
Colorectal Cancer Screening Setting.
AB - PURPOSE: In initial studies that included colorectal cancer patients undergoing
diagnostic colonoscopy, we had identified a serum marker combination able to
detect colorectal cancer with similar diagnostic performance as fecal
immunochemical test (FIT). In this study, we aimed to validate the results in
participants of a large colorectal cancer screening study conducted in the
average-risk, asymptomatic screening population. EXPERIMENTAL DESIGN: We tested
serum samples from 1,200 controls, 420 advanced adenoma patients, 4 carcinoma in
situ patients, and 36 colorectal cancer patients with a 5-marker blood test
[carcinoembryonic antigen (CEA)+anti-p53+osteopontin+seprase+ferritin]. The
diagnostic performance of individual markers and marker combinations was assessed
and compared with stool test results. RESULTS: AUCs for the detection of
colorectal cancer and advanced adenomas with the 5-marker blood test were 0.78
[95% confidence interval (CI), 0.68-0.87] and 0.56 (95% CI, 0.53-0.59),
respectively, which now is comparable with guaiac-based fecal occult blood test
(gFOBT) but inferior to FIT. With cutoffs yielding specificities of 80%, 90%, and
95%, the sensitivities for the detection of colorectal cancer were 64%, 50%, and
42%, and early-stage cancers were detected as well as late-stage cancers. For
osteopontin, seprase, and ferritin, the diagnostic performance in the screening
setting was reduced compared with previous studies in diagnostic settings while
CEA and anti-p53 showed similar diagnostic performance in both settings.
CONCLUSIONS: Performance of the 5-marker blood test under screening conditions is
inferior to FIT even though it is still comparable with the performance of gFOBT.
CEA and anti-p53 could contribute to the development of a multiple marker blood
based test for early detection of colorectal cancer.
PMID- 26561558
TI - InsR/IGF1R Pathway Mediates Resistance to EGFR Inhibitors in Glioblastoma.
AB - PURPOSE: Aberrant activation of EGFR is a hallmark of glioblastoma. However, EGFR
inhibitors exhibit at best modest efficacy in glioblastoma. This is in sharp
contrast with the observations in EGFR-mutant lung cancer. We examined whether
activation of functionally redundant receptor tyrosine kinases (RTKs) conferred
resistance to EGFR inhibitors in glioblastoma. EXPERIMENTAL DESIGN: We collected
a panel of patient-derived glioblastoma xenograft (PDX) lines that maintained
expression of wild-type or mutant EGFR in serial xenotransplantation and tissue
cultures. Using this physiologically relevant platform, we tested the abilities
of several RTK ligands to protect glioblastoma cells against an EGFR inhibitor,
gefitinib. Based on the screening results, we further developed a combination
therapy cotargeting EGFR and insulin receptor (InsR)/insulin-like growth factor 1
receptor (IGF1R). RESULTS: Insulin and IGF1 induced significant protection
against gefitinib in the majority of EGFR-dependent PDX lines with one exception
that did not express InsR or IGF1R. Blockade of the InsR/IGF1R pathway
synergistically improved sensitivity to gefitinib or dacomitinib. Gefitinib alone
effectively attenuated EGFR activities and the downstream MEK/ERK pathway.
However, repression of AKT and induction of apoptosis required concurrent
inhibition of both EGFR and InsR/IGF1R. A combination of gefitinib and OSI-906, a
dual InsR/IGF1R inhibitor, was more effective than either agent alone to treat
subcutaneous glioblastoma xenograft tumors. CONCLUSIONS: Our results suggest that
activation of the InsR/IGF1R pathway confers resistance to EGFR inhibitors in
EGFR-dependent glioblastoma through AKT regulation. Concurrent blockade of these
two pathways holds promise to treat EGFR-dependent glioblastoma.
PMID- 26561560
TI - Revealing the Elusive Plant Epitranscriptome.
PMID- 26561559
TI - Phase I Study of the Novel Investigational NEDD8-Activating Enzyme Inhibitor
Pevonedistat (MLN4924) in Patients with Relapsed/Refractory Multiple Myeloma or
Lymphoma.
AB - PURPOSE: Evaluate the safety, pharmacokinetic profile, pharmacodynamic effects,
and antitumor activity of the first-in-class investigational NEDD8-activating
enzyme (NAE) inhibitor pevonedistat (TAK-924/MLN4924) in patients with
relapsed/refractory lymphoma or multiple myeloma. EXPERIMENTAL DESIGN: Patients
with relapsed/refractory myeloma (n = 17) or lymphoma (n = 27) received
intravenous pevonedistat 25 to 147 mg/m(2) on days 1, 2, 8, 9 (schedule A; n =
27) or 100 to 261 mg/m(2) on days 1, 4, 8, 11 (schedule B; n = 17) of 21-day
cycles. RESULTS: Maximum tolerated doses were 110 mg/m(2) (schedule A) and 196
mg/m(2) (schedule B). Dose-limiting toxicities included febrile neutropenia,
transaminase elevations, muscle cramps (schedule A), and thrombocytopenia
(schedule B). Common adverse events included fatigue and nausea. Common grade >=3
events were anemia (19%; schedule A), and neutropenia and pneumonia (12%;
schedule B). Clinically significant myelosuppression was uncommon. There were no
treatment-related deaths. Pevonedistat pharmacokinetics exhibited a biphasic
disposition phase and approximate dose-proportional increases in systemic
exposure. Consistent with the short mean elimination half-life of approximately
8.5 hours, little-to-no drug accumulation in plasma was seen after multiple
dosing. Pharmacodynamic evidence of NAE inhibition included increased skin levels
of CDT-1 and NRF-2 (substrates of NAE-dependent ubiquitin ligases), and increased
NRF-2-regulated gene transcript levels in whole blood. Pevonedistat-NEDD8 adduct
was detected in bone marrow aspirates, indicating pevonedistat target engagement
in the bone marrow compartment. Three lymphoma patients had partial responses; 30
patients achieved stable disease. CONCLUSIONS: Pevonedistat demonstrated
anticipated pharmacodynamic effects in the clinical setting, a tolerable safety
profile, and some preliminary evidence that may be suggestive of the potential
for activity in relapsed/refractory lymphoma.
PMID- 26561561
TI - Chemical Modifications Mark Alternatively Spliced and Uncapped Messenger RNAs in
Arabidopsis.
AB - Posttranscriptional chemical modification of RNA bases is a widespread and
physiologically relevant regulator of RNA maturation, stability, and function.
While modifications are best characterized in short, noncoding RNAs such as
tRNAs, growing evidence indicates that mRNAs and long noncoding RNAs (lncRNAs)
are likewise modified. Here, we apply our high-throughput annotation of modified
ribonucleotides (HAMR) pipeline to identify and classify modifications that
affect Watson-Crick base pairing at three different levels of the Arabidopsis
thaliana transcriptome (polyadenylated, small, and degrading RNAs). We find this
type of modifications primarily within uncapped, degrading mRNAs and lncRNAs,
suggesting they are the cause or consequence of RNA turnover. Additionally,
modifications within stable mRNAs tend to occur in alternatively spliced introns,
suggesting they regulate splicing. Furthermore, these modifications target mRNAs
with coherent functions, including stress responses. Thus, our comprehensive
analysis across multiple RNA classes yields insights into the functions of
covalent RNA modifications in plant transcriptomes.
PMID- 26561562
TI - The effect and mechanism of electroacupuncture at LI11 and ST37 on constipation
in a rat model.
AB - BACKGROUND: Electroacupuncture (EA) is used clinically for the treatment of
constipation. Serotonin (5-hydroxytryptamine, 5-HT) plays an important role in
colonic motility; however it is unknown whether alterations in colonic 5-HT are
associated with EA. In this study, the effect and mechanism of EA at acupuncture
points LI11 and ST37 were examined using a cold saline-induced rat model of
constipation. METHODS: A rat constipation model was induced by cold saline gavage
in 24 Sprague-Dawley rats. A further six rats were included as a Control group.
The constipated rats were divided into four groups (n=6 each): a Constipation
group that remained untreated; a Constipation+LI11 group that received EA at
LI11; a Constipation+ST37 groups that received EA at ST37; and a
Constipation+LI11+ST37 group that received EA at both LI11 and ST37. After EA
treatment, faecal water content, defaecation frequency, and gastrointestinal (GI)
transit were measured, as well as the expression of tryptophan hydroxylase (TPH)
in colonic tissues (by Western blot analysis) and 5-HT in both faeces and colonic
tissues (by ELISA). RESULTS: All three EA-treated groups demonstrated significant
improvements in faecal water content, defaecation frequency and GI transit
(p<0.05). In addition, TPH and 5-HT expression were both increased by EA at LI11
and/or ST37 (p<0.05). There were no significant differences between the three EA
groups for any outcomes. CONCLUSIONS: EA at LI11 and/or ST37 had a positive
effect on objective markers of constipation in a rat model. In addition, EA
increased 5-HT and TPH in the colonic tissues.
PMID- 26561564
TI - Differential Roles of Two Homologous Cyclin-Dependent Kinase Inhibitor Genes in
Regulating Cell Cycle and Innate Immunity in Arabidopsis.
AB - Precise cell-cycle control is critical for plant development and responses to
pathogen invasion. Two homologous cyclin-dependent kinase inhibitor genes,
SIAMESE (SIM) and SIM-RELATED 1 (SMR1), were recently shown to regulate
Arabidopsis (Arabidopsis thaliana) defense based on phenotypes conferred by a sim
smr1 double mutant. However, whether these two genes play differential roles in
cell-cycle and defense control is unknown. In this report, we show that while
acting synergistically to promote endoreplication, SIM and SMR1 play different
roles in affecting the ploidy of trichome and leaf cells, respectively. In
addition, we found that the smr1-1 mutant, but not sim-1, was more susceptible to
a virulent Pseudomonas syringae strain, and this susceptibility could be rescued
by activating salicylic acid (SA)-mediated defense. Consistent with these
results, smr1-1 partially suppressed the dwarfism, high SA levels, and cell death
phenotypes in acd6-1, a mutant used to gauge the change of defense levels. Thus,
SMR1 functions partly through SA in defense control. The differential roles of
SIM and SMR1 are due to differences in temporal and spatial expression of these
two genes in Arabidopsis tissues and in response to P. syringae infection. In
addition, flow-cytometry analysis of plants with altered SA signaling revealed
that SA is necessary, but not sufficient, to change cell-cycle progression. We
further found that a mutant with three CYCD3 genes disrupted also compromised
disease resistance to P. syringae. Together, this study reveals differential
roles of two homologous cyclin-dependent kinase inhibitors in regulating cell
cycle progression and innate immunity in Arabidopsis and provides insights into
the importance of cell-cycle control during host-pathogen interactions.
PMID- 26561566
TI - Clinical Efficacy of Thrombus Aspiration on 5-Year Clinical Outcomes in Patients
With ST-Segment Elevation Acute Myocardial Infarction Undergoing Percutaneous
Coronary Intervention.
PMID- 26561565
TI - Current Concepts for Genital Herpes Simplex Virus Infection: Diagnostics and
Pathogenesis of Genital Tract Shedding.
AB - Herpes simplex virus 2 (HSV-2) is a DNA virus that is efficiently transmitted
through intimate genital tract contact and causes persistent infection that
cannot be eliminated. HSV-2 may cause frequent, symptomatic self-limited genital
ulcers, but in most persons infection is subclinical. However, recent studies
have demonstrated that the virus is frequently shed from genital surfaces even in
the absence of signs or symptoms of clinical disease and that the virus can be
transmitted during these periods of shedding. Furthermore, HSV-2 shedding is
detected throughout the genital tract and may be associated with genital tract
inflammation, which likely contributes to increased risk of HIV acquisition. This
review focuses on HSV diagnostics, as well as what we have learned about the
importance of frequent genital HSV shedding for (i) HSV transmission and (ii)
genital tract inflammation, as well as (iii) the impact of HSV-2 infection on HIV
acquisition and transmission. We conclude with discussion of future areas of
research to push the field forward.
PMID- 26561567
TI - Dual inhibition of histone deacetylases and phosphoinositide 3-kinases: effects
on Burkitt lymphoma cell growth and migration.
AB - Burkitt lymphoma is a highly aggressive non-Hodgkin lymphoma that is
characterized by MYC deregulation. Recently, the PI3K pathway has emerged as a
cooperative prosurvival mechanism in Burkitt lymphoma. Despite the highly
successful results of treatment that use high-dose chemotherapy regimens in
pediatric Burkitt lymphoma patients, the survival rate of pediatric patients with
progressive or recurrent disease is low. PI3Ks are also known to regulate cell
migration, and abnormal cell migration may contribute to cancer progression and
dissemination in Burkitt lymphoma. Little is known about Burkitt lymphoma cell
migration, but the cooperation between MYC and PI3K in Burkitt lymphoma
pathogenesis suggests that a drug combination could be used to target the
different steps involved in Burkitt lymphoma cell dissemination and disease
progression. The aim of this study was to investigate the effects of the histone
deacetylase inhibitor suberoylanilide hydroxamic acid combined with the PI3K
inhibitor LY294002 on Burkitt lymphoma cell growth and migration. The combination
enhanced the cell growth inhibition and cell-cycle arrest induced by the PI3K
inhibitor or histone deacetylase inhibitor individually. Moreover, histone
deacetylase inhibitor/PI3K inhibitor cotreatment suppressed Burkitt lymphoma cell
migration and decreased cell polarization, Akt and ERK1/2 phosphorylation, and
leads to RhoB induction. In summary, the histone deacetylase inhibitor/PI3Ki
combination inhibits cell proliferation and migration via alterations in PI3K
signaling and histone deacetylase activity, which is involved in the acetylation
of alpha-tubulin and the regulation of RhoB expression.
PMID- 26561568
TI - ADAM17 controls IL-6 signaling by cleavage of the murine IL-6Ralpha from the cell
surface of leukocytes during inflammatory responses.
AB - The cytokine IL-6 is part of a regulatory signaling network that controls immune
responses. IL-6 binds either to the membrane-bound IL-6 receptor-alpha (classic
signaling) or to the soluble IL-6 receptor-alpha (trans-signaling) to initiate
signal transduction via gp130 activation. Because classic and trans-signaling of
IL-6 fulfill different tasks during immune responses, controlled shedding of the
membrane-bound IL-6 receptor-alpha from the surface of immune cells can be
considered a central regulator of IL-6 function. The results from cell culture
based experiments have implicated both a disintegrin and metalloprotease 10 and a
disintegrin and metalloprotease 17 in IL-6 receptor-alpha shedding. However, the
nature of the protease mediating IL-6 receptor-alpha release in vivo is not yet
known. We used hypomorphic a disintegrin and metalloprotease 17 mice and
conditional a disintegrin and metalloprotease 10 knock-out mice to identify the
natural protease of the murine IL-6 receptor-alpha. Circulating homeostatic
soluble IL-6 receptor-alpha levels are not dependent on a disintegrin and
metalloprotease 10 or 17 activity. However, during Listeria monocytogenes
infection, IL-6 receptor-alpha cleavage by the alpha-secretase a disintegrin and
metalloprotease 17 is rapidly induced from the surface of different leukocyte
populations. In contrast, CD4-Cre-driven a disintegrin and metalloprotease 10
deletion in T cells did not influence IL-6 receptor-alpha shedding from these
cells after L. monocytogenes infection. A disintegrin and metalloprotease 17 was
also required for IL-6 receptor-alpha ectodomain cleavage and release during
endotoxemia. These results demonstrate a novel physiologic role for a disintegrin
and metalloprotease 17 in regulating murine IL-6 signals during inflammatory
processes.
PMID- 26561569
TI - Triple costimulation via CD80, 4-1BB, and CD83 ligand elicits the long-term
growth of Vgamma9Vdelta2 T cells in low levels of IL-2.
AB - Human gammadelta T cells play important roles in the regulation of infection and
cancer. To understand the roles of costimulatory signals in activation and
expansion ex vivo, Vgamma9Vdelta2 T cells were grown with artificial APCs that
express CD83, 4-1BB ligand, and/or CD32, which allowed a loading of alphaCD3 and
alphaCD28 antibodies. The costimulatory signals through CD80, 4-1BB, and CD83
ligand in low levels of IL-2 triggered an explosive ex vivo proliferation of
Vgamma9Vdelta2 T cells capable of secreting high levels of IL-2, IFN-gamma, and
TNF-alpha. Moreover, the triple-costimulatory signals cause augmented cell
viabilities for long-term growth of Vgamma9Vdelta2 T cells, resulting in
phenotypic changes to CD27(-)CD45RA(+) effector memory-like cells. Notably, we
observed that CD83 ligand signaling is crucial to promote ex vivo expansion,
survival, and cytolytic effector functions of Vgamma9Vdelta2 T cells. In
contrast, 4-1BB signaling is moderately important in up-regulating surface
molecules on Vgamma9Vdelta2 T cells. Consequently, gammadelta T cells stimulated
in the presence of triple-costimulatory signals have diverse cytolytic effector
molecules, including perforin, granzyme A, granzyme B, and Fas ligand, eliciting
potent cytolytic activities against tumor cells. Overall, our results provide
insights into the roles of costimulatory signals in manufacturing long-lived and
fully functional Vgamma9Vdelta2 T cells that could be useful against cancers.
PMID- 26561571
TI - Spatio-temporal control of cellular uptake achieved by photoswitchable cell
penetrating peptides.
AB - The selective uptake of compounds into specific cells of interest is a major
objective in cell biology and drug delivery. By incorporation of a novel,
thermostable azobenzene moiety we generated peptides that can be switched
optically between an inactive state and an active, cell-penetrating state with
excellent spatio-temporal control.
PMID- 26561570
TI - Fatal infantile mitochondrial encephalomyopathy, hypertrophic cardiomyopathy and
optic atrophy associated with a homozygous OPA1 mutation.
AB - BACKGROUND: Infantile-onset encephalopathy and hypertrophic cardiomyopathy caused
by mitochondrial oxidative phosphorylation defects are genetically heterogeneous
with defects involving both the mitochondrial and nuclear genomes. OBJECTIVE: To
identify the causative genetic defect in two sisters presenting with lethal
infantile encephalopathy, hypertrophic cardiomyopathy and optic atrophy. METHODS:
We describe a comprehensive clinical, biochemical and molecular genetic
investigation of two affected siblings from a consanguineous family. Molecular
genetic analysis was done by a combined approach involving genome-wide
autozygosity mapping and next-generation exome sequencing. Biochemical analysis
was done by enzymatic analysis and Western blot. Evidence for mitochondrial DNA
(mtDNA) instability was investigated using long-range and real-time PCR assays.
Mitochondrial cristae morphology was assessed with transmission electron
microscopy. RESULTS: Both affected sisters presented with a similar cluster of
neurodevelopmental deficits marked by failure to thrive, generalised
neuromuscular weakness and optic atrophy. The disease progression was ultimately
fatal with severe encephalopathy and hypertrophic cardiomyopathy. Mitochondrial
respiratory chain complex activities were globally decreased in skeletal muscle
biopsies. They were found to be homozygous for a novel c.1601T>G (p.Leu534Arg)
mutation in the OPA1 gene, which resulted in a marked loss of steady-state levels
of the native OPA1 protein. We observed severe mtDNA depletion in DNA extracted
from the patients' muscle biopsies. Mitochondrial morphology was consistent with
abnormal mitochondrial membrane fusion. CONCLUSIONS: We have established, for the
first time, a causal link between a pathogenic homozygous OPA1 mutation and human
disease. The fatal multisystemic manifestations observed further extend the
complex phenotype associated with pathogenic OPA1 mutations, in particular the
previously unreported association with hypertrophic cardiomyopathy. Our findings
further emphasise the vital role played by OPA1 in mitochondrial biogenesis and
mtDNA maintenance.
PMID- 26561573
TI - Peer-Review Responsibility.
PMID- 26561572
TI - Azeotropic drying free [(18)F]FDG synthesis and its application to a lab-on-chip
platform.
AB - A very simple and time-saving cartridge-based drying technique for
[(18)F]fluoride allows for an efficient [(18)F]FDG synthesis using protic
solvents and high water content. This novel method has been adapted to a lab-on
chip synthesis platform mitigating the standard azeotropic drying process and
demonstrating a proof of concept towards reduced hardware complexity for such
systems.
PMID- 26561574
TI - Letter to the Editor.
PMID- 26561576
TI - From simplicity to complex systems with bioinspired pseudopeptides.
AB - Pseudopeptidic compounds are chemical species with attractive applications in
many fields of chemistry. The increasing complexity in pseudopeptidic molecules
has allowed improvements of their properties, very often after an initial bio
inspiration. Two main types of complexity can be proposed: structural and
interactional. These operational processes have recently been used for the
generation of new elaborated pseudopetidic molecules and complex molecular
systems. This feature article presents a brief discussion of the recent advances
done following this rational and with these privileged molecules.
PMID- 26561577
TI - Triplet energy management between two signaling units through cooperative rigid
scaffolds.
AB - Through-bond triplet exciplex formation in donor-acceptor systems linked through
a rigid bile acid scaffold has been demonstrated on the basis of kinetic evidence
upon population of the triplet acceptors (naphthalene, or biphenyl) by through
bond triplet-triplet energy transfer from benzophenone.
PMID- 26561578
TI - Olfactory variation in mouse husbandry and its implications for refinement and
standardization: UK survey of non-animal scents.
AB - With their highly sensitive olfactory system, the behaviour and physiology of
mice are not only influenced by the scents of conspecifics and other species, but
also by many other chemicals in the environment. The constraints of laboratory
housing limit a mouse's capacity to avoid aversive odours that could be present
in the environment. Potentially odorous items routinely used for husbandry
procedures, such as sanitizing products and gloves, could be perceived by mice as
aversive or attractive, and affect their behaviour, physiology and experimental
results. A survey was sent to research institutions in the UK to enquire about
husbandry practices that could impact on the olfactory environment of the mouse.
Responses were obtained from 80 individuals working in 51 institutions. Husbandry
practices varied considerably. Seventy percent of respondents reported always
wearing gloves for handling mice, with nitrile being the most common glove
material (94%) followed by latex (23%) and vinyl (14%). Over six different
products were listed for cleaning surfaces, floors, anaesthesia and euthanasia
chambers and behavioural apparatus. In all cases TrigeneTM (now called AnistelTM)
was the most common cleaning product used (43, 41, 40 and 49%, respectively).
Depending on the attribute considered, between 7 and 19% of respondents thought
that cleaning products definitely, or were likely to, have strong effects on
standardization, mouse health, physiology or behaviour. Understanding whether and
how these odours affect mouse welfare will help to refine mouse husbandry and
experimental procedures through practical recommendations, to improve the quality
of life of laboratory animals and the experimental data obtained.
PMID- 26561579
TI - Improved synthesis of trigone trimer cluster metal organic framework MIL-100Al by
a later entry of methyl groups.
AB - Later entry of methyl or ethyl groups was carried out for the economical
synthesis of trigone trimer cluster MIL-100Al oriented from H3BTC directly. The
pure phase MIL-100Al was obtained in a DMF aqueous solution, and equal amounts of
methyl and carboxyl groups are the key for the successful synthesis of MIL-100Al.
PMID- 26561580
TI - Topological mechanics of gyroscopic metamaterials.
AB - Topological mechanical metamaterials are artificial structures whose unusual
properties are protected very much like their electronic and optical
counterparts. Here, we present an experimental and theoretical study of an active
metamaterial--composed of coupled gyroscopes on a lattice--that breaks time
reversal symmetry. The vibrational spectrum displays a sonic gap populated by
topologically protected edge modes that propagate in only one direction and are
unaffected by disorder. We present a mathematical model that explains how the
edge mode chirality can be switched via controlled distortions of the underlying
lattice. This effect allows the direction of the edge current to be determined on
demand. We demonstrate this functionality in experiment and envision applications
of these edge modes to the design of one-way acoustic waveguides.
PMID- 26561581
TI - Extremely high genetic diversity in a single tumor points to prevalence of non
Darwinian cell evolution.
AB - The prevailing view that the evolution of cells in a tumor is driven by Darwinian
selection has never been rigorously tested. Because selection greatly affects the
level of intratumor genetic diversity, it is important to assess whether
intratumor evolution follows the Darwinian or the non-Darwinian mode of
evolution. To provide the statistical power, many regions in a single tumor need
to be sampled and analyzed much more extensively than has been attempted in
previous intratumor studies. Here, from a hepatocellular carcinoma (HCC) tumor,
we evaluated multiregional samples from the tumor, using either whole-exome
sequencing (WES) (n = 23 samples) or genotyping (n = 286) under both the infinite
site and infinite-allele models of population genetics. In addition to the many
single-nucleotide variations (SNVs) present in all samples, there were 35
"polymorphic" SNVs among samples. High genetic diversity was evident as the 23
WES samples defined 20 unique cell clones. With all 286 samples genotyped, clonal
diversity agreed well with the non-Darwinian model with no evidence of positive
Darwinian selection. Under the non-Darwinian model, MALL (the number of coding
region mutations in the entire tumor) was estimated to be greater than 100
million in this tumor. DNA sequences reveal local diversities in small patches of
cells and validate the estimation. In contrast, the genetic diversity under a
Darwinian model would generally be orders of magnitude smaller. Because the level
of genetic diversity will have implications on therapeutic resistance, non
Darwinian evolution should be heeded in cancer treatments even for microscopic
tumors.
PMID- 26561582
TI - Electrochemical evidence that pyranopterin redox chemistry controls the catalysis
of YedY, a mononuclear Mo enzyme.
AB - A long-standing contradiction in the field of mononuclear Mo enzyme research is
that small-molecule chemistry on active-site mimic compounds predicts ligand
participation in the electron transfer reactions, but biochemical measurements
only suggest metal-centered catalytic electron transfer. With the simultaneous
measurement of substrate turnover and reversible electron transfer that is
provided by Fourier-transformed alternating-current voltammetry, we show that
Escherichia coli YedY is a mononuclear Mo enzyme that reconciles this conflict.
In YedY, addition of three protons and three electrons to the well-characterized
"as-isolated" Mo(V) oxidation state is needed to initiate the catalytic reduction
of either dimethyl sulfoxide or trimethylamine N-oxide. Based on comparison with
earlier studies and our UV-vis redox titration data, we assign the reversible one
proton and one-electron reduction process centered around +174 mV vs. standard
hydrogen electrode at pH 7 to a Mo(V)-to-Mo(IV) conversion but ascribe the two
proton and two-electron transition occurring at negative potential to the organic
pyranopterin ligand system. We predict that a dihydro-to-tetrahydro transition is
needed to generate the catalytically active state of the enzyme. This is a
previously unidentified mechanism, suggested by the structural simplicity of
YedY, a protein in which Mo is the only metal site.
PMID- 26561583
TI - Superresolution imaging reveals structurally distinct periodic patterns of
chromatin along pachytene chromosomes.
AB - During meiosis, homologous chromosomes associate to form the synaptonemal complex
(SC), a structure essential for fertility. Information about the epigenetic
features of chromatin within this structure at the level of superresolution
microscopy is largely lacking. We combined single-molecule localization
microscopy (SMLM) with quantitative analytical methods to describe the epigenetic
landscape of meiotic chromosomes at the pachytene stage in mouse oocytes. DNA is
found to be nonrandomly distributed along the length of the SC in condensed
clusters. Periodic clusters of repressive chromatin [trimethylation of histone H3
at lysine (Lys) 27 (H3K27me3)] are found at 500-nm intervals along the SC,
whereas one of the ends of the SC displays a large and dense cluster of
centromeric histone mark [trimethylation of histone H3 at Lys 9 (H3K9me3)].
Chromatin associated with active transcription [trimethylation of histone H3 at
Lys 4 (H3K4me3)] is arranged in a radial hair-like loop pattern emerging
laterally from the SC. These loops seem to be punctuated with small clusters of
H3K4me3 with an average spread larger than their periodicity. Our findings
indicate that the nanoscale structure of the pachytene chromosomes is constrained
by periodic patterns of chromatin marks, whose function in recombination and
higher order genome organization is yet to be elucidated.
PMID- 26561585
TI - Universal spectrum of normal modes in low-temperature glasses.
AB - We report an analytical study of the vibrational spectrum of the simplest model
of jamming, the soft perceptron. We identify two distinct classes of soft modes.
The first kind of modes are related to isostaticity and appear only in the close
vicinity of the jamming transition. The second kind of modes instead are present
everywhere in the glass phase and are related to the hierarchical structure of
the potential energy landscape. Our results highlight the universality of the
spectrum of normal modes in disordered systems, and open the way toward a
detailed analytical understanding of the vibrational spectrum of low-temperature
glasses.
PMID- 26561584
TI - An extracatalytic function of CD45 in B cells is mediated by CD22.
AB - The receptor-like tyrosine phosphatase CD45 regulates antigen receptor signaling
by dephosphorylating the C-terminal inhibitory tyrosine of the src family
kinases. However, despite its abundance, the function of the large, alternatively
spliced extracellular domain of CD45 has remained elusive. We used normally
spliced CD45 transgenes either incorporating a phosphatase-inactivating point
mutation or lacking the cytoplasmic domain to uncouple the enzymatic and
noncatalytic functions of CD45 in lymphocytes. Although these transgenes did not
alter T-cell signaling or development irrespective of endogenous CD45 expression,
both partially rescued the phenotype of CD45-deficient B cells. We identify a
noncatalytic role for CD45 in regulating tonic, but not antigen-mediated, B-cell
antigen receptor (BCR) signaling through modulation of the function of the
inhibitory coreceptor CD22. This finding has important implications for
understanding how naive B cells maintain tonic BCR signaling while restraining
inappropriate antigen-dependent activation to preserve clonal "ignorance."
PMID- 26561587
TI - Chemotherapy regimen checks performed by pharmacists contribute to safe
administration of chemotherapy.
AB - Background Computerized provider order entry (CPOE) has been developed and
implemented within cancer center hospitals nationwide in Japan. To ensure that
high-quality services are routinely provided by oncology pharmacists, this study
was designed to evaluate the interventions through reviewing the orders that are
generated by CPOE. Methods The objective of this retrospective chart review was
to evaluate how pharmacists contributed to safe cancer treatment using paper
based pharmacy records. Data were collected from a total of 35,062 chemotherapy
regimens for 18,515 outpatients between January and December 2013. Results Of
these 35,062 chemotherapy regimens, the rate of pharmacists' interventions was
1.1% ( n = 408). Among them, 53.1% (217/408) of the chemotherapy prescriptions
were modified due to pharmacist interventions. The reasons for interventions
included "changes in the chemotherapy regimen were unclear" in 49.5%,
"physicians' prescription errors" (22.0%), "pharmacist suggestions to improve
chemotherapy" (15.1%), and "finding differences between physicians' chemotherapy
records and their chemotherapy prescriptions" (13.2%). The top three reasons for
the 217 prescription modifications due to pharmacist interventions were "finding
prescription errors" (34.5%), "reasons for change in the chemotherapy regimen
were unclear" (32.7%), and "finding differences between physicians' chemotherapy
records and their chemotherapy prescriptions" (28.5%). Conclusion The computer
could not evaluate chemotherapy protocols or adjust doses of anticancer medicines
according to patients' conditions. Therefore, oncology pharmacists should
continue to ensure safe and appropriate administration of cancer chemotherapy.
PMID- 26561586
TI - Dendritic cells require NIK for CD40-dependent cross-priming of CD8+ T cells.
AB - Dendritic cells (DCs) link innate and adaptive immunity and use a host of innate
immune and inflammatory receptors to respond to pathogens and inflammatory
stimuli. Although DC maturation via canonical NF-kappaB signaling is critical for
many of these functions, the role of noncanonical NF-kappaB signaling via the
serine/threonine kinase NIK (NF-kappaB-inducing kinase) remains unclear. Because
NIK-deficient mice lack secondary lymphoid organs, we generated transgenic mice
with targeted NIK deletion in CD11c(+) cells. Although these mice exhibited
normal lymphoid organs, they were defective in cross-priming naive CD8(+) T cells
following vaccination, even in the presence of anti-CD40 or
polyinosinic:polycytidylic acid to induce DC maturation. This impairment
reflected two intrinsic defects observed in splenic CD8(+) DCs in vitro, namely
antigen cross-presentation to CD8(+) T cells and secretion of IL-12p40, a
cytokine known to promote cross-priming in vivo. In contrast, antigen
presentation to CD4(+) T cells was not affected. These findings reveal that NIK,
and thus probably the noncanonical NF-kappaB pathway, is critical to allow DCs to
acquire the capacity to cross-present antigen and prime CD8 T cells after
exposure to licensing stimuli, such as an agonistic anti-CD40 antibody or Toll
like receptor 3 ligand.
PMID- 26561588
TI - Cross-cultural validation of the Prosthesis Evaluation Questionnaire in vascular
amputees fitted with prostheses in Spain.
AB - BACKGROUND: The lack of specific prosthetic-related outcome instruments for
Spanish amputees must be addressed. OBJECTIVE: To elaborate a culturally
equivalent version of the Prosthesis Evaluation Questionnaire in the Spanish
language. STUDY DESIGN: Cross-cultural questionnaire validation. METHODS: Two
step process for cultural adaptation: forward and backward translations of
English original and Spanish translated versions; assessment of both construct
and criterion validity and reliability in a group of vascular amputees. RESULTS:
A total of 61 patients were recruited, 44 men (72.1%) and 17 women (27.9%), with
a median age of 71.1 years (standard deviation: 7.7 years; range: 51-87 years).
In the Prosthesis Evaluation Questionnaire-Spanish, the lowest scores were for
gait and frustration, and the highest scores were for noise and stump health.
Internal consistency of the questionnaire was acceptable (>0.70) for four of the
scales used in the Prosthesis Evaluation Questionnaire but poor (<0.50) for the
scales relating to appearance and stump health. Correlations with the quality-of
life levels as measured by the Short Form-36 were positive and mostly
significant. CONCLUSION: Prosthesis Evaluation Questionnaire-Spanish could assess
the quality of life in patients who have undergone vascular amputations and then
been fitted with a prosthetic limb. The questionnaire shows adequate criteria
validity when compared with other instruments for measuring quality of life.
CLINICAL RELEVANCE: The Prosthesis Evaluation Questionnaire-Spanish could be a
valid and reliable instrument for assessing adaptation to prostheses in vascular
amputees. The questionnaire adds information relevant to the patient and the
physician and may identify cases with poor expected adaptation to the prosthesis.
PMID- 26561589
TI - 'Normal' serum sodium concentration among inpatients over 65 admitted to
hospital: an observational study.
AB - BACKGROUND: The usual reference range of serum sodium (typically 135-145 mmol/l)
is derived from healthy populations but may not apply to hospital patients.
OBJECTIVES: To describe the range of serum sodium in inpatients and outpatients
of both sexes at different ages. To ascertain correlates of serum sodium among
older inpatients. To describe the association between sodium and mortality.
METHODS: We used routine hospital data on serum sodium in inpatients admitted
between 1 January 2011 and 31 December 2014 and patients attending outpatient or
community clinics with no record of admission to the same hospitals in the same
period. We investigated the distribution of sodium values within these groups and
explored the relationship between serum sodium and death using logistic
regression. RESULTS: Levels among hospital inpatients were significantly lower
than in outpatients of the same age. Emergency admissions had lower levels and
those admitted from care homes higher levels, risk of inhospital death began to
rise at 140 mmol/L, well within the 'normal' range. Patients with a serum sodium
concentration of 145 mmol/L on admission have a risk of inhospital death 3.7
times higher than that of a patient with a concentration of 140 mmol/L.
CONCLUSIONS: The range for serum sodium concentration on admission in inpatients
is broader and lower than the commonly accepted reference range. The risk of
mortality increases at sodium concentration >139 mmol/L, well within reference
range currently considered normal.
PMID- 26561590
TI - Chemotherapy-induced nausea and vomiting: an overview and comparison of three
consensus guidelines.
AB - Chemotherapy-induced nausea and vomiting (CINV) remains one of the most
debilitating toxicities associated with cancer treatment. In recent decades,
significant strides have been made in our understanding of the pathophysiology of
CINV, making way to more effective targeted pharmacotherapies, especially 5
hydroxytryptamine3 receptor antagonists and neurokinin-1 (NK-1) receptor
antagonists. As much as 70%-80% of CINV can be prevented with appropriate
administration of available antiemetics. Nevertheless, fear of CINV still may
diminish cancer treatment adherence. To assimilate and summarise the rapidly
growing body of clinical research literature on CINV, three professional
organisations-the Multinational Association of Supportive Care in Cancer/European
Society for Medical Oncology, the American Society of Clinical Oncology and the
National Comprehensive Cancer Network-have created CINV management guidelines.
While these respective guidelines are developed from similar consensus processes
using similar clinical research literature, their results demonstrate several key
differences in recommended strategies. This article aims to provide an overview
of CINV pathophysiology, compare and contrast three expert guidelines and offer
considerations for future clinical and research challenges.
PMID- 26561591
TI - Distant site lipoatrophy: a rare complication of subcutaneous insulin therapy.
PMID- 26561592
TI - Haemorrhoids: modern diagnosis and treatment.
AB - Haemorrhoids present often to primary and secondary care, and haemorrhoidal
procedures are among the most common carried out. They may co-exist with more
serious pathology, and correct evaluation is important. In most cases a one-off
colonoscopy in patients aged 50 or above with flexible sigmoidoscopy in younger
patients is reasonable. Many people with haemorrhoids do not require treatment.
Topical remedies provide no more than symptomatic relief-and even evidence for
this is poor. Bulk laxatives alone may improve symptoms of both bleeding and
prolapse and seem as effective as injection sclerotherapy. Rubber band ligation
is effective in 75% of patients in the short term, but does not treat prolapsed
haemorrhoids or those with a significant external component. Conventional
haemorrhoidectomy remains the most effective treatment in the long term, the main
limitation being post-operative pain. Metronidazole, topical sphincter relaxants
and operative technique have all been shown to reduce pain. Stapled
haemorrhoidectomy and haemorrhoidal artery ligation techniques are probably less
effective but less painful. Long-term data are poor for all procedures, with many
studies reporting only 1-3 years of follow-up data. Haemorrhoids are common in
pregnancy, occurring in 40% of women. They can usually be treated conservatively
during pregnancy, with any treatment delayed until after delivery. Acutely
strangulated haemorrhoids may be treated either conservatively or operatively.
There is an increased risk of anal stenosis after acute surgery, but the risks of
sepsis and sphincter damage are less significant than previously thought. The
majority of patients who are treated conservatively will still require definitive
treatment at a later date.
PMID- 26561593
TI - Cellular and molecular mechanisms of HGF/Met in the cardiovascular system.
AB - Met tyrosine kinase receptor, also known as c-Met, is the HGF (hepatocyte growth
factor) receptor. The HGF/Met pathway has a prominent role in cardiovascular
remodelling after tissue injury. The present review provides a synopsis of the
cellular and molecular mechanisms underlying the effects of HGF/Met in the heart
and blood vessels. In vivo, HGF/Met function is particularly important for the
protection of the heart in response to both acute and chronic insults, including
ischaemic injury and doxorubicin-induced cardiotoxicity. Accordingly, conditional
deletion of Met in cardiomyocytes results in impaired organ defence against
oxidative stress. After ischaemic injury, activation of Met provides strong anti
apoptotic stimuli for cardiomyocytes through PI3K (phosphoinositide 3-kinase)/Akt
and MAPK (mitogen-activated protein kinase) cascades. Recently, we found that
HGF/Met is also important for autophagy regulation in cardiomyocytes via the mTOR
(mammalian target of rapamycin) pathway. HGF/Met induces proliferation and
migration of endothelial cells through Rac1 (Ras-related C3 botulinum toxin
substrate 1) activation. In fibroblasts, HGF/Met antagonizes the actions of
TGFbeta1 (transforming growth factor beta1) and AngII (angiotensin II), thus
preventing fibrosis. Moreover, HGF/Met influences the inflammatory response of
macrophages and the immune response of dendritic cells, indicating its protective
function against atherosclerotic and autoimmune diseases. The HGF/Met axis also
plays an important role in regulating self-renewal and myocardial regeneration
through the enhancement of cardiac progenitor cells. HGF/Met has beneficial
effects against myocardial infarction and endothelial dysfunction: the cellular
and molecular mechanisms underlying repair function in the heart and blood
vessels are common and include pro-angiogenic, anti-inflammatory and anti
fibrotic actions. Thus administration of HGF or HGF mimetics may represent a
promising therapeutic agent for the treatment of both coronary and peripheral
artery disease.
PMID- 26561594
TI - Vascular growth factors play critical roles in kidney glomeruli.
AB - Kidney glomeruli ultrafilter blood to generate urine and they are dysfunctional
in a variety of kidney diseases. There are two key vascular growth factor
families implicated in glomerular biology and function, namely the vascular
endothelial growth factors (VEGFs) and the angiopoietins (Angpt). We present
examples showing not only how these molecules help generate and maintain healthy
glomeruli but also how they drive disease when their expression is dysregulated.
Finally, we review how manipulating VEGF and Angpt signalling may be used to
treat glomerular disease.
PMID- 26561595
TI - Spatial summation revealed in the earliest visual evoked component C1 and the
effect of attention on its linearity.
AB - In natural scenes, multiple objects are usually presented simultaneously. How do
specific areas of the brain respond to multiple objects based on their responses
to each individual object? Previous functional magnetic resonance imaging (fMRI)
studies have shown that the activity induced by a multiobject stimulus in the
primary visual cortex (V1) can be predicted by the linear or nonlinear sum of the
activities induced by its component objects. However, there has been little
evidence from electroencephelogram (EEG) studies so far. Here we explored how V1
responded to multiple objects by comparing the EEG signals evoked by a three
grating stimulus with those evoked by its two components (the central grating and
2 flanking gratings). We focused on the earliest visual component C1 (onset
latency of ~50 ms) because it has been shown to reflect the feedforward responses
of neurons in V1. We found that when the stimulus was unattended, the amplitude
of the C1 evoked by the three-grating stimulus roughly equaled the sum of the
amplitudes of the C1s evoked by its two components, regardless of the distances
between these gratings. When the stimulus was attended, this linear spatial
summation existed only when the three gratings were far apart from each other.
When the three gratings were close to each other, the spatial summation became
compressed. These results suggest that the earliest visual responses in V1 follow
a linear summation rule when attention is not involved and that attention can
affect the earliest interactions between multiple objects.
PMID- 26561596
TI - Estimation of the phase response curve from Parkinsonian tremor.
AB - Phase response curves (PRCs), characterizing the response of an oscillator to
weak external perturbation, have been estimated from a broad range of biological
oscillators, including single neurons in vivo. PRC estimates, in turn, provide an
intuitive insight into how oscillatory systems become entrained and how they can
be desynchronized. Here, we explore the application of PRC theory to the case of
Parkinsonian tremor. Initial attempts to establish a causal effect of
subthreshold transcranial magnetic stimulation applied to primary motor cortex on
the filtered tremor phase were unsuccessful. We explored the possible
explanations of this and demonstrate that assumptions made when estimating the
PRC in a traditional setting, such as a single neuron, are not arbitrary when
applied to the case of tremor PRC estimation. We go on to extract the PRC of
Parkinsonian tremor using an iterative method that requires varying the
definition of the tremor cycle and estimating the PRC at multiple peristimulus
time samples. Justification for this method is supported by estimates of PRC from
simulated single neuron data. We provide an approach to estimating confidence
limits for tremor PRC and discuss the interpretational caveats introduced by
tremor harmonics and the intrinsic variability of the tremor's period.
PMID- 26561598
TI - Intersegmental coordination elicited by unexpected multidirectional slipping-like
perturbations resembles that adopted during steady locomotion.
AB - This study aimed at testing the hypothesis that reactive biomechanical responses
elicited by unexpected slipping-like perturbations delivered during steady
walking are characterized by an intersegmental coordination strategy resembling
that adopted during unperturbed walking. Fifteen healthy subjects were asked to
manage multidirectional slipping-like perturbations delivered while they walked
steadily. The planar covariation law of elevation angles related to lower limb
segments was the main observed variable related to unperturbed and perturbed
strides. Principal component analysis was used to verify whether elevation angles
covaried, both before and after the onset of the perturbation, and, if so, the
orientation of the related planes of covariation was compared. Results revealed
that the planar covariation law of the unperturbed limb after onset of the
perturbation was systematically similar to that seen during steady walking. This
occurred despite differences in range of motion and intersubject variability of
both elevation and joint angles. The analysis strongly corroborates the
hypothesis that the planar covariation law emerges from the interaction between
spinal neural networks and limb mechanical oscillators. In particular, fast and
stereotyped reactive strategies may result from the interaction among activities
of downstream neural networks encrypting well-trained motor schemes, such as
those related to walking, limb dynamics, and sensory motor information gathered
during the perturbation. In addition, our results allowed us to speculate that
rehabilitative treatment based on unexpected perturbations and relying on the
plasticity of the central nervous system may also be effective in eliciting
unimpaired intralimb coordination in neurological patients.
PMID- 26561597
TI - Two-stage muscle activity responses in decisions about leg movement adjustments
during trip recovery.
AB - Studies on neural decision making mostly investigated fast corrective adjustments
of arm movements. However, fast leg movement corrections deserve attention as
well, since they are often required to avoid falling after balance perturbations.
The present study aimed at elucidating the mechanisms behind fast corrections of
tripping responses by analyzing the concomitant leg muscle activity changes. This
was investigated in seven young adults who were tripped in between normal walking
trials and took a recovery step by elevating the tripped leg over the obstacle.
In some trials, a forbidden landing zone (FZ) was presented behind the obstacle,
at the subjects' preferred foot landing position, forcing a step correction.
Muscle activity of the tripped leg gastrocnemius medialis (iGM), tibialis
anterior (iTA), rectus femoris (iRF), and biceps femoris (iBF) muscles was
compared between normal trips presented before any FZ appearance, trips with a
FZ, and normal trips presented in between trips with a FZ ("catch" trials). When
faced with a real or expected (catch trials) FZ, subjects shortened their
recovery steps. The underlying changes in muscle activity consisted of two
stages. The first stage involved reduced iGM activity, occurring at a latency
shorter than voluntary reaction, followed by reduced iTA and increased iBF and
iGM activities occurring at longer latencies. The fast response was not related
to step shortening, but longer latency responses clearly were functional. We
suggest that the initial response possibly acts as a "pause," allowing the
nervous system to integrate the necessary information and prepare the subsequent,
functional movement adjustment.
PMID- 26561599
TI - Opposing aging-related shift of excitatory dopamine D1 and inhibitory D3 receptor
protein expression in striatum and spinal cord.
AB - Normal aging is associated with a decrease in motor function, a concomitant
increase in muscle stiffness and tone, and a decrease in dopamine (DA) levels in
the spinal cord. The striatum plays a critical role in the control of motor
function, and it receives strong DA innervation from the substantia nigra.
However, locomotor activity also requires the activation of motoneurons in the
lumbar spinal cord, which in the mouse express all five DA receptor subtypes (D1
D5). Of these, the D3 receptor (D3R) expresses the highest affinity to DA and
mediates inhibitory actions, while activation of the lower-affinity D1 receptor
(D1R) system promotes excitatory effects. To test whether the aging-related
decrease in DA levels is associated with corresponding changes in DA receptor
protein expression levels, we probed with Western blot and immunohistochemical
techniques for D1R and D3R protein expression levels over the normal life span of
the mouse. We found that with age D1R expression levels increased in both
striatum and spinal cord, while D3R expression levels remained stable in the
striatum or slightly decreased in the spinal cord. The resulting D1-to-D3 ratio
indicates a strong upregulation of D1R-mediated pathways in old animals, which is
particularly pronounced in the lumbar spinal cord. These data suggest that aging
may be associated with a shift in DA-mediated pathways in striatum and spinal
cord, which in turn could be an underlying factor in the emergence of aging- and
DA-related motor dysfunctions such as Parkinson's disease or Restless Legs
Syndrome (RLS).
PMID- 26561600
TI - High-frequency modulation of rat spinal field potentials: effects of slowly
conducting muscle vs. skin afferents.
AB - Long-term potentiation (LTP) in rat spinal dorsal horn neurons was induced by
electrical high-frequency stimulation (HFS) of afferent C fibers. LTP is
generally assumed to be a key mechanism of spinal sensitization. To determine the
contribution of skin and muscle afferents to LTP induction, the sural nerve (SU,
pure skin nerve) or the gastrocnemius-soleus nerve (GS, pure muscle nerve) were
stimulated individually. As a measure of spinal LTP, C-fiber-induced synaptic
field potentials (SFPs) evoked by the GS and by the SU were recorded in the
dorsal horn. HFS induced a sustained increase of SFPs of the same nerve for at
least 3 h, indicating the elicitation of homosynaptic nociceptive spinal LTP. LTP
after muscle nerve stimulation (HFS to GS) was more pronounced (increase to 248%,
P < 0.05) compared with LTP after skin nerve stimulation (HFS applied to SU;
increase to 151% of baseline, P < 0.05). HFS applied to GS also increased the
SFPs of the unconditioned SU (heterosynaptic LTP) significantly, whereas HFS
applied to SU had no significant impact on the SFP evoked by the GS.
Collectively, the data indicate that HFS of a muscle or skin nerve evoked
nociceptive spinal LTP with large effect sizes for homosynaptic LTP (Cohen's d of
0.8-1.9) and small to medium effect sizes for heterosynaptic LTP (Cohen's d of
0.4-0.65). The finding that homosynaptic and heterosynaptic LTP after HFS of the
muscle nerve were more pronounced than those after HFS of a skin nerve suggests
that muscle pain may be associated with more extensive LTP than cutaneous pain.
PMID- 26561601
TI - Basal forebrain dynamics during nonassociative and associative olfactory
learning.
AB - Cholinergic and GABAergic projections from the horizontal diagonal band (HDB) and
medial preoptic area (MCPO) of the basal forebrain to the olfactory system are
associated with odor discrimination and odor learning, as well as modulation of
neural responses in olfactory structures. Whereas pharmacological and lesion
studies give insights into the functional role of these modulatory inputs on a
slow timescale, the response dynamics of neurons in the HDB/MCPO during olfactory
behaviors have not been investigated. In this study we examined how these neurons
respond during two olfactory behaviors: spontaneous investigation of odorants and
odor-reward association learning. We observe rich heterogeneity in the response
dynamics of individual HDB/MCPO neurons, with a substantial fraction of neurons
exhibiting task-related modulation. HDB/MCPO neurons show both rapid and
transient responses during bouts of odor investigation and slow, long-lasting
modulation of overall response rate based on behavioral demands. Specifically,
baseline rates were higher during the acquisition phase of an odor-reward
association than during spontaneous investigation or the recall phase of an odor
reward association. Our results suggest that modulatory projections from the
HDB/MCPO are poised to influence olfactory processing on multiple timescales,
from hundreds of milliseconds to minutes, and are therefore capable of rapidly
setting olfactory network dynamics during odor processing and learning.
PMID- 26561602
TI - Coherent and intermittent ensemble oscillations emerge from networks of irregular
spiking neurons.
AB - Local field potential (LFP) recordings from spatially distant cortical circuits
reveal episodes of coherent gamma oscillations that are intermittent, and of
variable peak frequency and duration. Concurrently, single neuron spiking remains
largely irregular and of low rate. The underlying potential mechanisms of this
emergent network activity have long been debated. Here we reproduce such
intermittent ensemble oscillations in a model network, consisting of excitatory
and inhibitory model neurons with the characteristics of regular-spiking (RS)
pyramidal neurons, and fast-spiking (FS) and low-threshold spiking (LTS)
interneurons. We find that fluctuations in the external inputs trigger
reciprocally connected and irregularly spiking RS and FS neurons in episodes of
ensemble oscillations, which are terminated by the recruitment of the LTS
population with concurrent accumulation of inhibitory conductance in both RS and
FS neurons. The model qualitatively reproduces experimentally observed phase
drift, oscillation episode duration distributions, variation in the peak
frequency, and the concurrent irregular single-neuron spiking at low rate.
Furthermore, consistent with previous experimental studies using optogenetic
manipulation, periodic activation of FS, but not RS, model neurons causes
enhancement of gamma oscillations. In addition, increasing the coupling between
two model networks from low to high reveals a transition from independent
intermittent oscillations to coherent intermittent oscillations. In conclusion,
the model network suggests biologically plausible mechanisms for the generation
of episodes of coherent intermittent ensemble oscillations with irregular spiking
neurons in cortical circuits.
PMID- 26561603
TI - Properties of pattern and component direction-selective cells in area MT of the
macaque.
AB - Neurons in area MT/V5 of the macaque visual cortex encode visual motion. Some
cells are selective for the motion of oriented features (component direction
selective, CDS); others respond to the true direction of complex patterns
(pattern-direction selective, PDS). There is a continuum of selectivity in MT,
with CDS cells at one extreme and PDS cells at the other; we compute a pattern
index that captures this variation. It is unknown how a neuron's pattern index is
related to its other tuning characteristics. We therefore analyzed the responses
of 792 MT cells recorded in the course of other experiments from opiate
anesthetized macaque monkeys, as a function of the direction, spatial frequency,
drift rate, size, and contrast of sinusoidal gratings and of the direction and
speed of random-dot textures. We also compared MT responses to those of 718 V1
cells. As expected, MT cells with higher pattern index tended to have stronger
direction selectivity and broader direction tuning to gratings, and they
responded better to plaids than to gratings. Strongly PDS cells also tended to
have smaller receptive fields and stronger surround suppression. Interestingly,
they also responded preferentially to higher drift rates and higher speeds of
moving dots. The spatial frequency preferences of PDS cells depended strongly on
their preferred temporal frequencies, whereas these preferences were independent
in component-selective cells. Pattern direction selectivity is statistically
associated with many response properties of MT cells but not strongly associated
with any particular property. Pattern-selective signals are thus available in
association with most other signals exported by MT.
PMID- 26561604
TI - Slow maturation of planning in obstacle avoidance in humans.
AB - Complex gait (e.g., obstacle avoidance) requires a higher cognitive load than
simple steady-state gait, which is a more automated movement. The higher levels
of the central nervous system, responsible for adjusting motor plans to complex
gait, develop throughout childhood into adulthood. Therefore, we hypothesize that
gait strategies in complex gait are likely to mature until adulthood as well.
However, little is known about the maturation of complex gait from childhood into
adolescence and adulthood. To address this issue, we investigated obstacle
avoidance in forty-four 8- to 18-yr-old participants who walked at preferred
speed along a 6-m walkway on which a planar obstacle (150% of step length, 1 m
wide) was projected. Participants avoided the obstacle by stepping over this
projection, while lower body kinematics were recorded. Results showed that step
length and speed adjustments during successful obstacle avoidance were similar
across all ages, even though younger children modified step width to a greater
extent. Additionally, the younger children used larger maximal toe elevations and
take-off distances than older children. Moreover, during unsuccessful trials,
younger children deployed exaggerated take-off distances, which resulted in
obstacle contact upon the consecutive heel strike. These results indicate that
obstacle avoidance is not fully matured in younger children, and that the
inability to plan precise foot placements is an important factor contributing to
failures in obstacle avoidance.
PMID- 26561605
TI - Primary motor cortex LTP/LTD-like plasticity in probable corticobasal syndrome.
AB - Whether the primary motor cortex (M1) contributes to the pathophysiology of
corticobasal syndrome (CBS) remains unclear. In this study in patients with
probable CBS, we tested whether M1 plasticity contributes to the pathophysiology
of symptoms in the contralateral "less affected" limb, manifesting only
parkinsonism, and in the contralateral "more affected" limb, manifesting
parkinsonism plus other motor and nonmotor symptoms. In Experiment 1, we applied
intermittent/continuous theta-burst stimulation (iTBS/cTBS) over the M1
contralateral to the less affected limb in 17 patients. In Experiment 2, we
applied iTBS/cTBS over the M1 contralateral to the more affected limb in 14 of
the 17 patients. We measured iTBS/cTBS-induced plasticity as reflected by motor
evoked potential (MEP) changes. Data were compared with those obtained in 17
healthy subjects (HS). In Experiment 1, TBS over the M1 contralateral to the less
affected limb disclosed reduced plasticity in patients than in HS. In Experiment
2, in 5 of 14 patients we recorded abnormally low-amplitude MEPs, preventing the
evaluation of plasticity in the M1 contralateral to the more affected limb. In
the remaining nine patients, TBS disclosed abnormal plasticity characterized by
high intersubject variability. In these nine patients, the response to TBS
correlated with specific patients' clinical features. In the present study in
patients with probable CBS, we have demonstrated heterogeneous abnormalities of
M1 that contribute to the pathophysiology of this condition.
PMID- 26561606
TI - CaV3.2 T-type Ca2+ channels mediate the augmented calcium influx in carotid body
glomus cells by chronic intermittent hypoxia.
AB - Chronic intermittent hypoxia (CIH) is a hallmark manifestation of sleep apnea. A
heightened carotid body activity and the resulting chemosensory reflex mediate
increased sympathetic nerve activity by CIH. However, the mechanisms underlying
heightened carotid body activity by CIH are not known. An elevation of
intracellular calcium ion concentration ([Ca(2+)]i) in glomus cells, the primary
oxygen-sensing cells, is an essential step for carotid body activation by
hypoxia. In the present study, we examined the effects of CIH on the glomus cell
[Ca(2+)]i response to hypoxia and assessed the underlying mechanisms. Glomus
cells were harvested from adult rats or wild-type mice treated with 10 days of
either room air (control) or CIH (alternating cycles of 15 s of hypoxia and 5 min
of room air; 9 episodes/h; 8 h/day). CIH-treated glomus cells exhibited an
enhanced [Ca(2+)]i response to hypoxia, and this effect was absent in the
presence of 2-(4-cyclopropylphenyl)-N-((1R)-1-[5-[(2,2,2-trifluoroethyl)oxo]
pyridin-2-yl]ethyl)acetamide (TTA-A2), a specific inhibitor of T-type Ca(2+)
channels, and in voltage-gated calcium channel, type 3.2 (CaV3.2), null glomus
cells. CaV3.2 knockout mice exhibited an absence of CIH-induced hypersensitivity
of the carotid body. CIH increased reactive oxygen species (ROS) levels in glomus
cells. A ROS scavenger prevented the exaggerated TTA-A2-sensitive [Ca(2+)]i
response to hypoxia. CIH had no effect on CaV3.2 mRNA levels. CIH augmented
Ca(2+) currents and increased CaV3.2 protein in plasma membrane fractions of
human embryonic kidney-293 cells stably expressing CaV3.2, and either a ROS
scavenger or brefeldin-A, an inhibitor of protein trafficking, prevented these
effects. These findings suggest that CIH leads to an augmented Ca(2+) influx via
ROS-dependent facilitation of CaV3.2 protein trafficking to the plasma membrane.
PMID- 26561607
TI - Balanced ionotropic receptor dynamics support signal estimation via voltage
dependent membrane noise.
AB - Encoding behaviorally relevant stimuli in a noisy background is critical for
animals to survive in their natural environment. We identify core biophysical and
synaptic mechanisms that permit the encoding of low-frequency signals in
pyramidal neurons of the weakly electric fish Apteronotus leptorhynchus, an
animal that can accurately encode even miniscule amplitude modulations of its
self-generated electric field. We demonstrate that slow NMDA receptor (NMDA-R)
mediated excitatory postsynaptic potentials (EPSPs) are able to summate over many
interspike intervals (ISIs) of the primary electrosensory afferents (EAs),
effectively eliminating the baseline EA ISI correlations from the pyramidal cell
input. Together with a dynamic balance of NMDA-R and GABA-A-R currents, this
permits stimulus-evoked changes in EA spiking to be transmitted efficiently to
target electrosensory lobe (ELL) pyramidal cells, for encoding low-frequency
signals. Interestingly, AMPA-R activity is depressed and appears to play a
negligible role in the generation of action potentials. Instead, we hypothesize
that cell-intrinsic voltage-dependent membrane noise supports the encoding of
perithreshold sensory input; this noise drives a significant proportion of
pyramidal cell spikes. Together, these mechanisms may be sufficient for the ELL
to encode signals near the threshold of behavioral detection.
PMID- 26561608
TI - Single-trial decoding of intended eye movement goals from lateral prefrontal
cortex neural ensembles.
AB - Neurons in the lateral prefrontal cortex (LPFC) encode sensory and cognitive
signals, as well as commands for goal-directed actions. Therefore, the LPFC might
be a good signal source for a goal-selection brain-computer interface (BCI) that
decodes the intended goal of a motor action previous to its execution. As a first
step in the development of a goal-selection BCI, we set out to determine if we
could decode simple behavioral intentions to direct gaze to eight different
locations in space from single-trial LPFC neural activity. We recorded neuronal
spiking activity from microelectrode arrays implanted in area 8A of the LPFC of
two adult macaques while they made visually guided saccades to one of eight
targets in a center-out task. Neuronal activity encoded target location
immediately after target presentation, during a delay epoch, during the execution
of the saccade, and every combination thereof. Many (40%) of the neurons that
encoded target location during multiple epochs preferred different locations
during different epochs. Despite heterogeneous and dynamic responses, the
neuronal feature set that best predicted target location was the averaged firing
rates from the entire trial and it was best classified using linear discriminant
analysis (63.6-96.9% in 12 sessions, mean 80.3%; information transfer rate: 21
59, mean 32.8 bits/min). Our results demonstrate that it is possible to decode
intended saccade target location from single-trial LPFC activity and suggest that
the LPFC is a suitable signal source for a goal-selection cognitive BCI.
PMID- 26561609
TI - Chronic imaging of movement-related Purkinje cell calcium activity in awake
behaving mice.
AB - Purkinje cells (PCs) are a major site of information integration and plasticity
in the cerebellum, a brain region involved in motor task refinement. Thus PCs
provide an ideal location for studying the mechanisms necessary for cerebellum
dependent motor learning. Increasingly, sophisticated behavior tasks, used in
combination with genetic reporters and effectors of activity, have opened up the
possibility of studying cerebellar circuits during voluntary movement at an
unprecedented level of quantitation. However, current methods used to monitor PC
activity do not take full advantage of these advances. For example, single-unit
or multiunit electrode recordings, which provide excellent temporal information
regarding electrical activity, only monitor a small population of cells and can
be quite invasive. Bolus loading of cell-permeant calcium (Ca(2+)) indicators is
short-lived, requiring same-day imaging immediately after surgery and/or
indicator injection. Genetically encoded Ca(2+) indicators (GECIs) overcome many
of these limits and have garnered considerable use in many neuron types but only
limited use in PCs. Here we employed these indicators to monitor Ca(2+) activity
in PCs over several weeks. We could repeatedly image from the same cerebellar
regions across multiple days and observed stable activity. We used chronic
imaging to monitor PC activity in crus II, an area previously linked to licking
behavior, and identified a region of increased activity at the onset of licking.
We then monitored this same region after training tasks to initiate voluntary
licking behavior in response to different sensory stimuli. In all cases, PC
Ca(2+) activity increased at the onset of rhythmic licking.
PMID- 26561611
TI - Na+ current in presynaptic terminals of the crayfish opener cannot initiate
action potentials.
AB - Action potential (AP) propagation in presynaptic axons of the crayfish opener
neuromuscular junction (NMJ) was investigated by simultaneously recording from a
terminal varicosity and a proximal branch. Although orthodromically conducting
APs could be recorded in terminals with amplitudes up to 70 mV, depolarizing
steps in terminals to -20 mV or higher failed to fire APs. Patch-clamp recordings
did detect Na(+) current (INa) in most terminals. The INa exhibited a high
threshold and fast activation rate. Local perfusion of Na(+)-free saline showed
that terminal INa contributed to AP waveform by slightly accelerating the rising
phase and increasing the peak amplitude. These findings suggest that terminal INa
functions to "touch up" but not to generate APs.
PMID- 26561610
TI - Functional NMDA receptors are expressed by both AII and A17 amacrine cells in the
rod pathway of the mammalian retina.
AB - At many glutamatergic synapses, non-N-methyl-d-aspartate (NMDA) and NMDA
receptors are coexpressed postsynaptically. In the mammalian retina,
glutamatergic rod bipolar cells are presynaptic to two rod amacrine cells (AII
and A17) that constitute dyad postsynaptic partners opposite each presynaptic
active zone. Whereas there is strong evidence for expression of non-NMDA
receptors by both AII and A17 amacrines, the expression of NMDA receptors by the
pre- and postsynaptic neurons in this microcircuit has not been resolved. In this
study, using patch-clamp recording from visually identified cells in rat retinal
slices, we investigated the expression and functional properties of NMDA
receptors in these cells with a combination of pharmacological and biophysical
methods. Pressure application of NMDA did not evoke a response in rod bipolar
cells, but for both AII and A17 amacrines, NMDA evoked responses that were
blocked by a competitive antagonist (CPP) applied extracellularly and an open
channel blocker (MK-801) applied intracellularly. NMDA-evoked responses also
displayed strong Mg(2+)-dependent voltage block and were independent of gap
junction coupling. With low-frequency application (60-s intervals), NMDA-evoked
responses remained stable for up to 50 min, but with higher-frequency stimulation
(10- to 20-s intervals), NMDA responses were strongly and reversibly suppressed.
We observed strong potentiation when NMDA was applied in nominally Ca(2+)-free
extracellular solution, potentially reflecting Ca(2+)-dependent NMDA receptor
inactivation. These results indicate that expression of functional (i.e.,
conductance-increasing) NMDA receptors is common to both AII and A17 amacrine
cells and suggest that these receptors could play an important role for synaptic
signaling, integration, or plasticity in the rod pathway.
PMID- 26561612
TI - Circulating Tumor Cells, a Tremendous Prognostic Factor in Inflammatory Breast
Cancer.
PMID- 26561613
TI - Periconceptional multiple-micronutrient supplementation and placental function in
rural Gambian women: a double-blind, randomized, placebo-controlled trial.
AB - BACKGROUND: Maternal micronutrient deficiencies are commonly associated with
clinical indicators of placental dysfunction. OBJECTIVE: We tested the hypothesis
that periconceptional multiple-micronutrient supplementation (MMS) affects
placental function. DESIGN: We conducted a double-blind, randomized, placebo
controlled trial of MMS in 17- to 45-y-old Gambian women who were menstruating
regularly and within the previous 3 mo. Eligible subjects were pre-randomly
assigned to supplementation with the UNICEF/WHO/United Nations University
multiple micronutrient preparation (UNIMMAP) or placebo on recruitment and until
they reached their first antenatal check-up or for 1 y if they failed to
conceive. Primary outcome measures were midgestational indexes of utero-placental
vascular-endothelial function [ratio of plasminogen-activator inhibitor (PAI) 1
to PAI-2 and mean uterine-artery resistance index (UtARI)] and placental active
transport capacity at delivery [fetal to maternal measles antibody (MMA) ratio].
RESULTS: We recruited 1156 women who yielded 415 pregnancies, of which 376 met
all of the inclusion criteria. With adjustment for gestational age at sampling,
there were no differences in PAI-1 to PAI-2 or MMA ratios between trial arms, but
there was a 0.02-unit reduction in UtARI between 18 and 32 wk of gestation (95%
CI: -0.03, -0.00; P = 0.040) in women taking UNIMMAP. CONCLUSIONS: Placental
vascular function was modifiable by periconceptional micronutrient
supplementation. However, the effect was small and supplementation did not
further affect other variables of placental function. This trial was registered
at www.controlled-trials.com as ISRCTN 13687662.
PMID- 26561614
TI - Short-term effects of a hypocaloric diet with low glycemic index and low glycemic
load on body adiposity, metabolic variables, ghrelin, leptin, and pregnancy rate
in overweight and obese infertile women: a randomized controlled trial.
AB - BACKGROUND: Obesity is related to hormonal disorders that affect the reproductive
system. Low-glycemic index (LGI) diets seem to exert a positive effect on weight
loss and on metabolic changes that result from obesity. OBJECTIVE: We
investigated the effects of a hypocaloric diet with an LGI and low glycemic load
on anthropometric and metabolic variables, ghrelin and leptin concentrations, and
the pregnancy rate in overweight and obese infertile women who were undergoing in
vitro fertilization (IVF). DESIGN: The study was a randomized block-design
controlled trial in which we analyzed 26 overweight or obese infertile women.
Patients were assigned to a hypocaloric LGI-diet group or a control group and
followed the protocol for 12 wk. Body weight, body mass index (BMI), percentage
of body fat, glucose, insulin, homeostasis model assessment of insulin
resistance, serum lipids, reproductive hormones, leptin, acylated ghrelin, number
of oocytes retrieved in the IVF cycle, and pregnancy rate were determined.
RESULTS: There were greater reductions in body mass, BMI, percentage of body fat,
waist:hip ratio, and leptin in the LGI-diet group than in the control group (P <
0.05). Despite a change of 18% in mean values, there was no significant increase
in acylated ghrelin concentrations in the LGI group compared with the control
group (P = 0.215). The LGI-diet group had 85.4% more oocytes retrieved than did
the control group (7.75 +/- 1.44 and 4.18 +/- 0.87, respectively; P = 0.039) in
the IVF cycle. Three patients (21.4%) in the LGI group experienced a spontaneous
pregnancy during the follow-up, which generated 3 live births. CONCLUSIONS: The
hypocaloric LGI diet promoted a decrease in BMI, percentage of body fat, and
leptin concentrations, which improved oocyte development and pregnancy rate.
These results support the clinical recommendation to advise overweight and obese
women to lose weight through a balanced diet before being submitted for treatment
with assisted reproduction technologies. A hypocaloric diet combined with LGI
foods seems to be beneficial for these patients, but additional studies are
required before this treatment is recommended. This trial was registered at
clinicaltrials.gov as NCT02416960.
PMID- 26561615
TI - Comparative effects of intraduodenal whey protein hydrolysate on
antropyloroduodenal motility, gut hormones, glycemia, appetite, and energy intake
in lean and obese men.
AB - BACKGROUND: In lean individuals, intraduodenal protein and lipid modulate
gastrointestinal motor and hormone functions and reduce energy intake in a load
dependent manner; protein also stimulates insulin, with modest effects on
reducing blood glucose. The effect of intraduodenal lipid on gastrointestinal
motor and hormone responses is diminished in obesity; whether the effects of
protein are also attenuated remains unclear. OBJECTIVES: The objectives of this
study were to characterize the load-dependent effects of intraduodenal whey
protein hydrolysate on antropyloroduodenal pressures, gut hormones, glycemia,
appetite, and energy intake in obese subjects and to compare the responses to the
higher protein load with those in lean subjects. DESIGN: We measured
antropyloroduodenal pressures, plasma cholecystokinin (CCK), glucagon-like
peptide 1 (GLP-1), glucose-dependent insulinotropic polypeptide (GIP), glucagon,
insulin, blood glucose, appetite, and energy intake in 12 nondiabetic obese men
on 3 separate occasions, in a double-blind, randomized order, during 60-min
intraduodenal infusions of hydrolyzed whey protein at either 0 (saline control),
1.5, or 3 kcal/min. Twelve age-matched lean individuals received a 3-kcal/min
infusion only. Immediately after the infusions, energy intake from a buffet lunch
was quantified. RESULTS: In obese subjects, protein suppressed antral and
duodenal pressures; stimulated plasma CCK, GLP-1, GIP, insulin, and glucagon (all
r > 0.57, P < 0.01); and tended to reduce energy intake (r = -10.38, P = 0.057)
in a dose-dependent manner. In response to the 3-kcal/min protein load,
antropyloroduodenal pressures, CCK, GLP-1, and glucagon did not differ between
lean and obese subjects. Insulin release was greater, and GIP release less, in
obese than in lean subjects (both P < 0.05), whereas the reduction in glucose was
comparable. Energy intake tended to be higher in obese subjects (P = 0.08).
CONCLUSIONS: The gastrointestinal effects of hydrolyzed whey protein remain
relatively intact in obesity; however, the observed changes in insulin and GIP
suggest early disturbances in the insulin-incretin axis. This study was
registered at www.anzctr.org.au as ACTRN 12612000203853.
PMID- 26561616
TI - Effects of tree nuts on blood lipids, apolipoproteins, and blood pressure:
systematic review, meta-analysis, and dose-response of 61 controlled intervention
trials.
AB - BACKGROUND: The effects of nuts on major cardiovascular disease (CVD) risk
factors, including dose-responses and potential heterogeneity by nut type or
phytosterol content, are not well established. OBJECTIVES: We examined the
effects of tree nuts (walnuts, pistachios, macadamia nuts, pecans, cashews,
almonds, hazelnuts, and Brazil nuts) on blood lipids [total cholesterol, low
density lipoprotein (LDL) cholesterol, high-density lipoprotein, and
triglycerides], lipoproteins [apolipoprotein A1, apolipoprotein B (ApoB), and
apolipoprotein B100], blood pressure, and inflammation (C-reactive protein) in
adults aged >=18 y without prevalent CVD. DESIGN: We conducted a systematic
review and meta-analysis following Preferred Reporting Items for Systematic
Reviews and Meta-Analyses guidelines. Two investigators screened 1301 potentially
eligible PubMed articles in duplicate. We calculated mean differences between nut
intervention and control arms, dose-standardized to one 1-oz (28.4 g) serving/d,
by using inverse-variance fixed-effects meta-analysis. Dose-response for nut
intake was examined by using linear regression and fractional polynomial
modeling. Heterogeneity by age, sex, background diet, baseline risk factors, nut
type, disease condition, duration, and quality score was assessed with meta
regression. Publication bias was evaluated by using funnel plots and Egger's and
Begg's tests. RESULTS: Sixty-one trials met eligibility criteria (n = 2582).
Interventions ranged from 3 to 26 wk. Nut intake (per serving/d) lowered total
cholesterol (-4.7 mg/dL; 95% CI: -5.3, -4.0 mg/dL), LDL cholesterol (-4.8 mg/dL;
95% CI: -5.5, -4.2 mg/dL), ApoB (-3.7 mg/dL; 95% CI: -5.2, -2.3 mg/dL), and
triglycerides (-2.2 mg/dL; 95% CI: -3.8, -0.5 mg/dL) with no statistically
significant effects on other outcomes. The dose-response between nut intake and
total cholesterol and LDL cholesterol was nonlinear (P-nonlinearity < 0.001
each); stronger effects were observed for >=60 g nuts/d. Significant
heterogeneity was not observed by nut type or other factors. For ApoB, stronger
effects were observed in populations with type 2 diabetes (-11.5 mg/dL; 95% CI:
16.2, -6.8 mg/dL) than in healthy populations (-2.5 mg/dL; 95% CI: -4.7, -0.3
mg/dL) (P-heterogeneity = 0.015). Little evidence of publication bias was found.
CONCLUSIONS: Tree nut intake lowers total cholesterol, LDL cholesterol, ApoB, and
triglycerides. The major determinant of cholesterol lowering appears to be nut
dose rather than nut type. Our findings also highlight the need for investigation
of possible stronger effects at high nut doses and among diabetic populations.
PMID- 26561618
TI - Are strict vegetarians protected against prostate cancer?
AB - BACKGROUND: According to the American Cancer Society, prostate cancer accounts
for ~27% of all incident cancer cases among men and is the second most common
(noncutaneous) cancer among men. The relation between diet and prostate cancer is
still unclear. Because people do not consume individual foods but rather foods in
combination, the assessment of dietary patterns may offer valuable information
when determining associations between diet and prostate cancer risk. OBJECTIVE:
This study aimed to examine the association between dietary patterns
(nonvegetarian, lacto-ovo-vegetarian, pesco-vegetarian, vegan, and semi
vegetarian) and prostate cancer incidence among 26,346 male participants of the
Adventist Health Study-2. DESIGN: In this prospective cohort study, cancer cases
were identified by matching to cancer registries. Cox proportional hazards
regression analysis was performed to estimate HRs by using age as the time
variable. RESULTS: In total, 1079 incident prostate cancer cases were identified.
Around 8% of the study population reported adherence to the vegan diet. Vegan
diets showed a statistically significant protective association with prostate
cancer risk (HR: 0.65; 95% CI: 0.49, 0.85). After stratifying by race, the
statistically significant association with a vegan diet remained only for the
whites (HR: 0.63; 95% CI: 0.46, 0.86), but the multivariate HR for black vegans
showed a similar but nonsignificant point estimate (HR: 0.69; 95% CI: 0.41,
1.18). CONCLUSION: Vegan diets may confer a lower risk of prostate cancer. This
lower estimated risk is seen in both white and black vegan subjects, although in
the latter, the CI is wider and includes the null.
PMID- 26561619
TI - Folate and long-chain polyunsaturated fatty acid supplementation during pregnancy
has long-term effects on the attention system of 8.5-y-old offspring: a
randomized controlled trial.
AB - BACKGROUND: During fetal and perinatal periods, many nutrients, such as long
chain polyunsaturated fatty acids [contained in fish oil (FO)] and folate, are
important in achieving normal brain development. Several studies have shown the
benefits of early nutrition on children's neurocognitive development. However,
the evidence with regard to the attention system is scarce. OBJECTIVES: The aim
of this study was to analyze the long-term effects of FO, 5
methyltetrahydrofolate (5-MTHF), or FO+5-MTHF prenatal supplementation on
attention networks. DESIGN: Participants were 136 children born to mothers from
the NUHEAL (Nutraceuticals for a Healthy Life) project (randomly assigned to
receive FO and/or 5-MTHF or placebo prenatal supplementation) who were recalled
for a new examination 8.5 y later. The response conflict-resolution ability
(using congruent and incongruent conditions)), alerting, and spatial orienting of
attention were evaluated with behavioral measures (Attention Network Test),
electroencephalography/event-related potentials (ERPs), and standardized low
resolution brain electromagnetic tomography (sLORETA). RESULTS: Children born to
mothers supplemented with 5-MTHF alone solved the response conflict more quickly
than did the placebo and the FO+5-MTHF groups (all P < 0.05). Differences between
ERP amplitudes for the conflict conditions were also observed. sLORETA analysis
showed higher activation of the right midcingulate cortex for the incongruent
condition. In addition, a significant slowing down of response speed depending on
the warning cue in the 5-MTHF and FO groups was observed. CONCLUSIONS: Folate
supplementation during pregnancy, rather than FO or FO+5-MTHF supplementation,
improves children's ability to solve response conflicts. This advantage seems to
be based on the higher activation of the midcingulate cortex, indicating that
early nutrition influences the functionality of specific brain areas involved in
executive functions. This trial was registered at clinicaltrials.gov as
NCT01180933.
PMID- 26561620
TI - Low levels of physical activity are associated with dysregulation of energy
intake and fat mass gain over 1 year.
AB - BACKGROUND: Previous studies suggest that appetite may be dysregulated at low
levels of activity, creating an energy imbalance that results in weight gain.
OBJECTIVE: The aim was to examine the relation between energy intake, physical
activity, appetite, and weight gain during a 1-y follow-up period in a large
sample of adults. DESIGN: Participants included 421 individuals (mean +/- SD age:
27.6 +/- 3.8 y). Measurements included the following: energy intake with the use
of interviewer-administered dietary recalls and calculated by using changes in
body composition and energy expenditure, moderate-to-vigorous physical activity
(MVPA) with the use of an arm-based monitor, body composition with the use of
dual-energy X-ray absorptiometry, and questionnaire-derived perceptions of
dietary restraint, disinhibition, hunger, and control of eating. Participants
were grouped at baseline into quintiles of MVPA (min/d) by sex. Measurements were
repeated every 3 mo for 1 y. RESULTS: At baseline, an inverse relation existed
between body weight and activity groups, with the least-active group (15.7 +/-
9.9 min MVPA/d, 6062 +/- 1778 steps/d) having the highest body weight (86.3 +/-
13.2 kg) and the most-active group (174.5 +/- 60.5 min MVPA/d, 10260 +/- 3087
steps/d) having the lowest body weight (67.5 +/- 11.0 kg). A positive relation
was observed between calculated energy intake and activity group, except in the
lowest quintile of activity. The lowest physical activity group reported higher
levels of disinhibition (P = 0.07) and cravings for savory foods (P = 0.03)
compared with the group with the highest level of physical activity. Over 1 y of
follow-up, the lowest activity group gained the largest amount of fat mass (1.7
+/- 0.3 kg) after adjustment for change in MVPA and baseline fat mass. The odds
of gaining >3% of fat mass were between 1.8 and 3.8 times as high for individuals
in the least-active group as for those in the middle activity group. CONCLUSIONS:
These results suggest that low levels of physical activity are a risk factor for
fat mass gain. In the current sample, a threshold for achieving energy balance
occurred at an activity level corresponding to 7116 steps/d, an amount achievable
by most adults. This trial was registered at clinicaltrials.gov as NCT01746186.
PMID- 26561621
TI - Intake of carbohydrates during pregnancy in obese women is associated with fat
mass in the newborn offspring.
AB - BACKGROUND: Transmission of obesity across generations is of concern. Offspring
of obese women have short- and long-term increased morbidities. A high intake of
carbohydrate during pregnancy combined with impaired glucose tolerance is
postulated to result in high birth weight, which is linked to subsequent
metabolic disease. OBJECTIVE: The objective was to examine the association
between carbohydrate intake in obese pregnant women and their offspring's body
composition. DESIGN: Secondary analyses were performed in an observational
setting of 222 pregnant women with a pregestational BMI (in kg/m(2)) >=30
participating in a randomized controlled trial. Diet was assessed at gestational
weeks 11-14 and 36-37 by using a semiquantitative food-frequency questionnaire.
Body composition in the offspring was assessed at birth by dual-energy X-ray
absorptiometry. Relative fat mass (%) was the primary outcome. Absolute measures
(total fat, abdominal fat, and lean body mass) were secondary outcomes. RESULTS:
Mean +/- SD weight and absolute and relative fat mass in the offspring at birth
were 3769 +/- 542 g, 436 +/- 214 g, and 11% +/- 4%, respectively. Maternal intake
of digestible carbohydrates was associated with the offspring's relative fat mass
in late (P-trend = 0.006) but not early (P-trend = 0.15) pregnancy. A comparison
of mothers in the highest (median: 238 g/d) compared with the lowest (median: 188
g/d) quartile of digestible carbohydrate intake showed a mean adjusted higher
value in the offspring's relative fat mass of 2.1% (95% CI: 0.6%, 3.7%), which
corresponded in absolute terms to a 103-g (95% CI: 27, 179-g) higher fat mass.
Abdominal fat mass was also higher. In a strata of women with well-controlled
glucose (2-h glucose values <=6.6 mmol/L), no association between carbohydrate
intake and offspring fat mass was observed, but the associations became
significant and increased in strength with higher intolerance (strata with 2-h
glucose values between 6.7-7.7 and >=7.8 mmol/L). CONCLUSION: In obese women,
even those without gestational diabetes but with impaired glucose tolerance, a
lower carbohydrate intake at moderate levels in late gestation is associated with
a lower fat mass in their offspring at birth. The TOP study was registered at
clinicaltrials.gov as NCT01345149.
PMID- 26561617
TI - Dietary fat intake and risk of cardiovascular disease and all-cause mortality in
a population at high risk of cardiovascular disease.
AB - BACKGROUND: Dietary fat quality and fat replacement are more important for
cardiovascular disease (CVD) prevention than is total dietary fat intake.
OBJECTIVE: The aim was to evaluate the association between total fat intake and
fat subtypes with the risk of CVD (myocardial infarction, stroke, or death from
cardiovascular causes) and cardiovascular and all-cause death. We also examined
the hypothetical effect of the isocaloric substitution of one macronutrient for
another. DESIGN: We prospectively studied 7038 participants at high CVD risk from
the PREvencion con DIeta MEDiterranea (PREDIMED) study. The trial was conducted
from 2003 to 2010, but the present analysis was based on an expanded follow-up
until 2012. At baseline and yearly thereafter, total and specific fat subtypes
were repeatedly measured by using validated food-frequency questionnaires. Time
dependent Cox proportional hazards models were used. RESULTS: After 6 y of follow
up, we documented 336 CVD cases and 414 total deaths. HRs (95% CIs) for CVD for
those in the highest quintile of total fat, monounsaturated fatty acid (MUFA),
and polyunsaturated fatty acid (PUFA) intake compared with those in the lowest
quintile were 0.58 (0.39, 0.86), 0.50 (0.31, 0.81), and 0.68 (0.48, 0.96),
respectively. In the comparison between extreme quintiles, higher saturated fatty
acid (SFA) and trans-fat intakes were associated with 81% (HR: 1.81; 95% CI:
1.05, 3.13) and 67% (HR: 1.67; 95% CI: 1.09, 2.57) higher risk of CVD. Inverse
associations with all-cause death were also observed for PUFA and MUFA intakes.
Isocaloric replacements of SFAs with MUFAs and PUFAs or trans fat with MUFAs were
associated with a lower risk of CVD. SFAs from pastries and processed foods were
associated with a higher risk of CVD. CONCLUSIONS: Intakes of MUFAs and PUFAs
were associated with a lower risk of CVD and death, whereas SFA and trans-fat
intakes were associated with a higher risk of CVD. The replacement of SFAs with
MUFAs and PUFAs or of trans fat with MUFAs was inversely associated with CVD.
This trial was registered at www.controlled-trials.com as ISRCTN 35739639.
PMID- 26561622
TI - In overweight and obese women, dietary iron absorption is reduced and the
enhancement of iron absorption by ascorbic acid is one-half that in normal-weight
women.
AB - BACKGROUND: Iron deficiency is common in overweight and obese individuals. This
deficiency may be due to adiposity-related inflammation that increases serum
hepcidin and decreases dietary iron absorption. Because hepcidin reduces iron
efflux from the basolateral enterocyte, it is uncertain whether luminal enhancers
of dietary iron absorption such as ascorbic acid can be effective in overweight
and obese individuals. OBJECTIVE: In this study, we compared iron absorption from
a meal with ascorbic acid (+AA) and a meal without ascorbic acid (-AA) in women
in a normal-weight group (NW) with those in overweight and obese groups combined
(OW/OB). DESIGN: Healthy, nonanemic women [n = 62; BMI (in kg/m(2)): 18.5-39.9]
consumed a stable-isotope-labeled wheat-based test meal -AA and a wheat-based
test meal +AA (31.4 mg ascorbic acid). We measured iron absorption and body
composition with the use of dual-energy X-ray absorptiometry, blood volume with
the use of a carbon monoxide (CO)-rebreathing method, iron status, inflammation,
and serum hepcidin. RESULTS: Inflammatory biomarkers (all P < 0.05) and hepcidin
(P = 0.08) were lower in the NW than in the OW/OB. Geometric mean (95% CI) iron
absorptions in the NW and OW/OB were 19.0% (15.2%, 23.5%) and 12.9% (9.7%, 16.9%)
(P = 0.049), respectively, from -AA meals and 29.5% (23.3%, 38.2%) and 16.6%
(12.8%, 21.7%) (P = 0.004), respectively, from +AA meals. Median percentage
increases in iron absorption for -AA to +AA meals were 56% in the NW (P < 0.001)
and 28% in OW/OB (P = 0.006). Serum ferritin [R(2) = 0.22; beta = -0.17 (95% CI:
0.25, -0.09)], transferrin receptor [R(2) = 0.23; beta = 2.79 (95% CI: 1.47,
4.11)], and hepcidin [R(2) = 0.13; beta = -0.85 (95% CI: -1.41, -0.28)] were
significant predictors of iron absorption. CONCLUSIONS: In overweight and obese
women, iron absorption is two-thirds that in normal-weight women, and the
enhancing effect of ascorbic acid on iron absorption is one-half of that in
normal-weight women. Recommending higher intakes of ascorbic acid (or other
luminal enhancers of iron absorption) in obese individuals to improve iron status
may have a limited effect. This trial was registered at clinicaltrials.gov as
NCT01884506.
PMID- 26561623
TI - Specialized proresolving lipid mediators in humans with the metabolic syndrome
after n-3 fatty acids and aspirin.
AB - BACKGROUND: The metabolic syndrome (MetS) is associated with a chronic low-grade
inflammatory state and may be affected by the ability to resolve inflammation,
which is an active process that involves specialized proresolving lipid mediators
(SPMs) derived from n-3 (omega-3) fatty acids. OBJECTIVE: We compared plasma
concentrations of SPMs in men and women with features of the MetS and in healthy
matched control subjects in response to intakes of n-3 fatty acids and aspirin.
DESIGN: MetS volunteers (n = 22) and healthy, matched controls (n = 21) were
studied in parallel for 4 wk. Both groups took n-3 fatty acids (2.4 g/d) for 4 wk
with the addition of aspirin (300 mg/d) during the last 7 d. Blood was collected
at baseline and at 3 and 4 wk. Plasma SPMs were measured with the use of liquid
chromatography-tandem mass spectrometry and included 18-hydroxyeicosapentaenoic
acid (18-HEPE), E-series resolvins, 17-hydroxydocosahexaenoic acid (17-HDHA), D
series resolvins, 14-hydroxydocosahexaenoic acid (14-HDHA), and maresin-1.
RESULTS: Baseline SPMs did not differ between groups. There was an increase in
the SPM precursors 18-HEPE, 17-HDHA, and 14-HDHA after n-3 fatty acid
supplementation that was significantly attenuated in the MetS (P < 0.05).
However, the E-series resolvins increased to a similar extent in the groups after
n-3 fatty acid supplementation, and the D-series resolvins were not different
from those at baseline. The addition of aspirin to n-3 fatty acids did not alter
any SPMs in either group. CONCLUSIONS: Volunteers with MetS had reduced plasma
concentrations of the precursors of the E- and D- series resolvins as well as of
14-HDHA in response to n-3 fatty acid supplementation. However, plasma E-series
resolvins were increased to a similar extent after n-3 fatty acid supplementation
in both groups, and the addition of aspirin to n-3 fatty acid supplementation did
not alter any of the plasma SPMs in MetS and control subjects. Additional studies
in the MetS are required to determine whether SPMs affect the ability to mount an
appropriate response to infection. This trial was registered at the Australian
New Zealand Clinical Trials Registry as ACTRN12610000708055.
PMID- 26561624
TI - Prebiotic consumption and the incidence of overweight in a Mediterranean cohort:
the Seguimiento Universidad de Navarra Project.
AB - BACKGROUND: The consumption of prebiotics (fermentable and nondigestible
carbohydrates) has been proposed as a potentially protective factor against
overweight and obesity. However, to our knowledge, no previous prospective
studies have assessed the association between the consumption of prebiotics and
the incidence of overweight or obesity. OBJECTIVE: We evaluated the association
between prebiotic consumption [fructans and galacto-oligosaccharides (GOSs)] and
the incidence of overweight [body mass index (BMI; in kg/m(2)) >=25] in the SUN
(Seguimiento Universidad de Navarra) Project, which is a prospective cohort of
Spanish, middle-aged university graduates with initial BMI <25. DESIGN: The SUN
Project is a dynamic, prospective, multipurpose cohort of Spanish university
graduates with an overall retention rate of 90%. The study population encompassed
8569 Spanish university graduates (mean age: 37 y) who were initially free of
overweight or obesity. Self-reported weight (previously validated) was collected
at baseline and updated every 2 y during the follow-up period. Fructan
consumption and GOS consumption were assessed with the use of a validated
semiquantitative 136-item food-frequency questionnaire and were updated after 10
y. Time-dependent Cox proportional hazards models were used to estimate HRs and
95% CIs for incident overweight and to adjust for potential confounding factors.
RESULTS: During follow-up (median: 9 y), 1964 incident cases of overweight were
identified. After potential confounders were adjusted for, risk of overweight was
15% lower in participants in the highest quartile of fructan consumption (>=2.3
g/d) (95% CI: 0.74, 0.97; P-trend = 0.019). Subjects in the highest quartile of
GOS consumption (>=0.45 g/d) had 17% lower risk of overweight (95% CI: 0.74,
0.94; P-trend = 0.001). CONCLUSIONS: Higher prebiotic consumption was associated
with lower risk of overweight in a cohort of initially normal-weight, middle-aged
adults. This potential protection has been previously scarcely assessed;
therefore, additional longitudinal studies are needed to confirm our results.
PMID- 26561625
TI - Psyllium fiber improves glycemic control proportional to loss of glycemic
control: a meta-analysis of data in euglycemic subjects, patients at risk of type
2 diabetes mellitus, and patients being treated for type 2 diabetes mellitus.
AB - BACKGROUND: A number of health benefits are associated with intake of soluble,
viscous, gel-forming fibers, including reduced serum cholesterol and the
attenuation of postprandial glucose excursions. OBJECTIVE: We assess the effects
of psyllium, which is a soluble, gel-forming, nonfermented fiber supplement, on
glycemic control in patients who were being treated for type 2 diabetes mellitus
(T2DM) and in patients who were at risk of developing T2DM. DESIGN: A
comprehensive search was performed of available published literature (Scopus
scientific database) and clinical records stored by Procter & Gamble with the use
of key search terms to identify clinical studies that assessed the glycemic
effects of psyllium in nondiabetic, pre-T2DM, and T2DM patients. RESULTS: We
identified 35 randomized, controlled, clinical studies that spanned 3 decades and
3 continents. These data were assessed in 8 meta-analyses. In patients with T2DM,
multiweek studies (psyllium dosed before meals) showed significant improvement in
both the fasting blood glucose (FBG) concentration (-37.0 mg/dL; P < 0.001) and
glycated hemoglobin (HbA1c) [-0.97% (-10.6 mmol/mol); P = 0.048]. Glycemic
effects were proportional to baseline FBG; no significant glucose lowering was
observed in euglycemic subjects, a modest improvement was observed in subjects
with pre-T2DM, and the greatest improvement was observed in subjects who were
being treated for T2DM. CONCLUSIONS: These data indicate that psyllium would be
an effective addition to a lifestyle-intervention program. The degree of
psyllium's glycemic benefit was commensurate with the loss of glycemic control.
Because the greatest effect was seen in patients who were being treated for T2DM,
additional studies are needed to determine how best to incorporate psyllium into
existing prevention and treatment algorithms with concomitant hypoglycemic
medications.
PMID- 26561626
TI - Guidelines on the diagnosis and treatment of iron deficiency across indications:
a systematic review.
AB - BACKGROUND: Guidelines on the diagnosis and treatment of iron deficiency (ID)
vary widely across indications. OBJECTIVE: We reviewed all available guidelines
on the management of ID worldwide. DESIGN: A literature search was conducted in
PubMed, Cochrane, and EMBASE and in main professional association websites,
limited to documents published between 1 January 2004 and 30 June 2014. RESULTS:
Of 127 guidelines identified, 29 were selected, involving 29 professional
associations and issued from the United States (n = 8), Europe (n = 6), Britain
(n = 4), Canada (n = 3), international organizations (n = 2), France (n = 2),
Poland (n = 1), Australia (n = 1), Mexico (n = 1), and Japan (n = 1). A total of
22 and 27 guidelines provided recommendations on diagnosis and treatment of ID,
respectively. To define ID, all guidelines recommended a concentration for serum
ferritin. One-half of them (10 of 22) proposed transferrin saturation (TSAT) as
an alternative or complementary diagnostic test. To treat ID, most of the
guidelines (18 of 27) recommended preferentially the oral route if possible,
particularly in children and in women in the pre- or postpregnancy period. Iron
supplementation should be administered intravenously according to 13 of 27
guidelines, particularly in patients with chronic kidney disease (CKD) (n = 7)
and chemotherapy-induced anemia (n = 5). Treatment targets for ID included an
increase in hemoglobin concentrations to 10-12 g/dL or normalization (n = 8) and
serum ferritin >100 MUg/L (n = 7) or 200 MUg/L (n = 4). For the latter, in some
situations, such as CKD, ferritin concentrations should not exceed 500 MUg/L (n =
5) or 800 MUg/L (n = 5). Only 9 guidelines recommended TSAT as a target,
proposing various thresholds ranging from 20% to 50%. CONCLUSIONS: It appears
that for the diagnosis of ID, a cutoff of 100 MUg/L for serum ferritin
concentration should be considered in most conditions and 20% for TSAT, except in
particular situations, including young healthy women with heavy menstrual flow.
New indications of intravenous iron supplementation are emerging.
PMID- 26561627
TI - Neuroimaging identifies increased manganese deposition in infants receiving
parenteral nutrition.
AB - BACKGROUND: Manganese, an essential metal for normal growth and development, is
neurotoxic on excessive exposure. Standard trace element-supplemented neonatal
parenteral nutrition (PN) has a high manganese content and bypasses normal
gastrointestinal absorptive control mechanisms, which places infants at risk of
manganese neurotoxicity. Magnetic resonance (MR) relaxometry demonstrating short
T1 relaxation time (T1R) in the basal ganglia reflects excessive brain manganese
accumulation. OBJECTIVE: This study tested the hypothesis that infants with
greater parenteral manganese exposure have higher brain manganese accumulation,
as measured by MR imaging, than do infants with lower parenteral manganese
exposure. DESIGN: Infants exposed to parenteral manganese were enrolled in a
prospective cohort study. Infants classified as having high manganese exposure
received >75% of their nutrition in the preceding 4 wk as PN. All others were
classified as having low exposure. Daily parenteral and enteral manganese intakes
were calculated. Whole-blood manganese was measured by high-resolution
inductively coupled plasma mass spectrometry. Brain MR relaxometry was
interpreted by a masked reviewer. Linear regression models, adjusted for
gestational age (GA) at birth, estimated the association of relaxometry indexes
with total and parenteral manganese exposures. RESULTS: Seventy-three infants
were enrolled. High-quality MR images were available for 58 infants, 39 with high
and 19 with low manganese exposure. Four infants with a high exposure had blood
manganese concentrations >30 MUg/L. After controlling for GA, higher parenteral
and total manganese intakes were associated with a lower T1R (P = 0.01) in the
globus pallidus and putamen but were not associated with whole-blood manganese
(range: 3.6-56.6 MUg/L). Elevated conjugated bilirubin magnified the association
between parenteral manganese and decreasing T1R. CONCLUSION: A short T1R for GA
identifies infants at risk of increased brain manganese deposition associated
with PN solutions commonly used to nourish critically ill infants. These trials
were registered at clinicaltrials.gov as NCT00392977 and NCT00392730.
PMID- 26561628
TI - Insulin resistance determines a differential response to changes in dietary fat
modification on metabolic syndrome risk factors: the LIPGENE study.
AB - BACKGROUND: Previous data support the benefits of reducing dietary saturated
fatty acids (SFAs) on insulin resistance (IR) and other metabolic risk factors.
However, whether the IR status of those suffering from metabolic syndrome (MetS)
affects this response is not established. OBJECTIVE: Our objective was to
determine whether the degree of IR influences the effect of substituting high
saturated fatty acid (HSFA) diets by isoenergetic alterations in the quality and
quantity of dietary fat on MetS risk factors. DESIGN: In this single-blind,
parallel, controlled, dietary intervention study, MetS subjects (n = 472) from 8
European countries classified by different IR levels according to homeostasis
model assessment of insulin resistance (HOMA-IR) were randomly assigned to 4
diets: an HSFA diet; a high-monounsaturated fatty acid (HMUFA) diet; a low-fat,
high-complex carbohydrate (LFHCC) diet supplemented with long-chain n-3
polyunsaturated fatty acids (1.2 g/d); or an LFHCC diet supplemented with placebo
for 12 wk (control). Anthropometric, lipid, inflammatory, and IR markers were
determined. RESULTS: Insulin-resistant MetS subjects with the highest HOMA-IR
improved IR, with reduced insulin and HOMA-IR concentrations after consumption of
the HMUFA and LFHCC n-3 diets (P < 0.05). In contrast, subjects with lower HOMA
IR showed reduced body mass index and waist circumference after consumption of
the LFHCC control and LFHCC n-3 diets and increased HDL cholesterol
concentrations after consumption of the HMUFA and HSFA diets (P < 0.05). MetS
subjects with a low to medium HOMA-IR exhibited reduced blood pressure,
triglyceride, and LDL cholesterol levels after the LFHCC n-3 diet and increased
apolipoprotein A-I concentrations after consumption of the HMUFA and HSFA diets
(all P < 0.05). CONCLUSIONS: Insulin-resistant MetS subjects with more metabolic
complications responded differently to dietary fat modification, being more
susceptible to a health effect from the substitution of SFAs in the HMUFA and
LFHCC n-3 diets. Conversely, MetS subjects without IR may be more sensitive to
the detrimental effects of HSFA intake. The metabolic phenotype of subjects
clearly determines response to the quantity and quality of dietary fat on MetS
risk factors, which suggests that targeted and personalized dietary therapies may
be of value for its different metabolic features. This study was registered at
clinicaltrials.gov as NCT00429195.
PMID- 26561630
TI - Exercise and energy balance: going to extremes to show that body weight is not
the best outcome.
PMID- 26561629
TI - Compartmental and noncompartmental modeling of 13C-lycopene absorption,
isomerization, and distribution kinetics in healthy adults.
AB - BACKGROUND: Lycopene, which is a red carotenoid in tomatoes, has been
hypothesized to mediate disease-preventive effects associated with tomato
consumption. Lycopene is consumed primarily as the all-trans geometric isomer in
foods, whereas human plasma and tissues show greater proportions of cis isomers.
OBJECTIVE: With the use of compartmental modeling and stable isotope technology,
we determined whether endogenous all-trans-to-cis-lycopene isomerization or
isomeric-bioavailability differences underlie the greater proportion of lycopene
cis isomers in human tissues than in tomato foods. DESIGN: Healthy men (n = 4)
and women (n = 4) consumed (13)C-lycopene (10.2 mg; 82% all-trans and 18% cis),
and plasma was collected over 28 d. Unlabeled and (13)C-labeled total lycopene
and lycopene-isomer plasma concentrations, which were measured with the use of
high-performance liquid chromatography-mass spectrometry, were fit to a 7
compartment model. RESULTS: Subjects absorbed a mean +/- SEM of 23% +/- 6% of the
lycopene. The proportion of plasma cis-(13)C-lycopene isomers increased over
time, and all-trans had a shorter half-life than that of cis isomers (5.3 +/- 0.3
and 8.8 +/- 0.6 d, respectively; P < 0.001) and an earlier time to reach maximal
plasma concentration than that of cis isomers (28 +/- 7 and 48 +/- 9 h,
respectively). A compartmental model that allowed for interindividual differences
in cis- and all-trans-lycopene bioavailability and endogenous trans-to-cis
lycopene isomerization was predictive of plasma (13)C and unlabeled cis- and all
trans-lycopene concentrations. Although the bioavailability of cis (24.5% +/- 6%)
and all-trans (23.2% +/- 8%) isomers did not differ, endogenous isomerization
(0.97 +/- 0.25 MUmol/d in the fast-turnover tissue lycopene pool) drove tissue
and plasma isomeric profiles. CONCLUSION: (13)C-Lycopene combined with
physiologic compartmental modeling provides a strategy for following complex in
vivo metabolic processes in humans and reveals that postabsorptive trans-to-cis
lycopene isomerization, and not the differential bioavailability of isomers,
drives tissue and plasma enrichment of cis-lycopene. This trial was registered at
clinicaltrials.gov as NCT01692340.
PMID- 26561632
TI - Vaccenic acid and trans fatty acid isomers from partially hydrogenated oil both
adversely affect LDL cholesterol: a double-blind, randomized controlled trial.
AB - BACKGROUND: Adverse effects of industrially produced trans fatty acids (iTFAs) on
the risk of coronary artery disease are well documented in the scientific
literature; however, effects of naturally occurring trans fatty acids (TFAs) from
ruminant animals (rTFA), such as vaccenic acid (VA) and cis-9,trans-11 conjugated
linoleic acid (c9,t11-CLA), are less clear. Although animal and cell studies
suggest that VA and c9,t11-CLA may be hypocholesterolemic and antiatherogenic,
epidemiologic data comparing rTFAs and iTFAs are inconsistent, and human
intervention studies have been limited, underpowered, and not well controlled.
OBJECTIVE: We determined the effects of VA, c9,t11-CLA, and iTFA, in the context
of highly controlled diets (24 d each), on lipoprotein risk factors compared with
a control diet. RESULTS: We conducted a double-blind, randomized, crossover
feeding trial in 106 healthy adults [mean +/- SD age: 47 +/- 10.8 y; body mass
index (in kg/m(2)): 28.5 +/- 4.0; low-density lipoprotein (LDL) cholesterol: 3.24
+/- 0.63 mmol/L]. Diets were designed to have stearic acid replaced with the
following TFA isomers (percentage of energy): 0.1% mixed isomers of TFA
(control), ~3% VA, ~3% iTFA, or 1% c9,t11-CLA. Total dietary fat (34% of energy)
and other macronutrients were matched. Total cholesterol (TC), LDL cholesterol,
triacylglycerol, lipoprotein(a), and apolipoprotein B were higher after VA than
after iTFA; high-density lipoprotein (HDL) cholesterol and apolipoprotein AI also
were higher after VA. Compared with control, VA and iTFA both increased TC, LDL
cholesterol, ratio of TC to HDL cholesterol, and apolipoprotein B (2-6% change; P
< 0.05); VA also increased HDL cholesterol, apolipoprotein AI, apolipoprotein B,
and lipoprotein(a) (2-6% change; P < 0.05), whereas iTFA did not. c9,t11-CLA
lowered triacylglycerol (P <= 0.01) and had no effect on other lipoprotein risk
factors. CONCLUSIONS: With respect to risk of cardiovascular disease, these
results are consistent with current nutrition labeling guidelines, with the
requirement of VA, but not c9,t11-CLA, to be listed under TFA on the Nutrition
Facts Panel. This trial was registered at clinicaltrials.gov as NCT00942656.
PMID- 26561631
TI - The association of coffee intake with liver cancer risk is mediated by biomarkers
of inflammation and hepatocellular injury: data from the European Prospective
Investigation into Cancer and Nutrition.
AB - BACKGROUND: Higher coffee intake has been purportedly related to a lower risk of
liver cancer. However, it remains unclear whether this association may be
accounted for by specific biological mechanisms. OBJECTIVE: We aimed to evaluate
the potential mediating roles of inflammatory, metabolic, liver injury, and iron
metabolism biomarkers on the association between coffee intake and the primary
form of liver cancer-hepatocellular carcinoma (HCC). DESIGN: We conducted a
prospective nested case-control study within the European Prospective
Investigation into Cancer and Nutrition among 125 incident HCC cases matched to
250 controls using an incidence-density sampling procedure. The association of
coffee intake with HCC risk was evaluated by using multivariable-adjusted
conditional logistic regression that accounted for smoking, alcohol consumption,
hepatitis infection, and other established liver cancer risk factors. The
mediating effects of 21 biomarkers were evaluated on the basis of percentage
changes and associated 95% CIs in the estimated regression coefficients of models
with and without adjustment for biomarkers individually and in combination.
RESULTS: The multivariable-adjusted RR of having >=4 cups (600 mL) coffee/d
compared with <2 cups (300 mL)/d was 0.25 (95% CI: 0.11, 0.62; P-trend = 0.006).
A statistically significant attenuation of the association between coffee intake
and HCC risk and thereby suspected mediation was confirmed for the inflammatory
biomarker IL-6 and for the biomarkers of hepatocellular injury glutamate
dehydrogenase, alanine aminotransferase, aspartate aminotransferase (AST), gamma
glutamyltransferase (GGT), and total bilirubin, which-in combination-attenuated
the regression coefficients by 72% (95% CI: 7%, 239%). Of the investigated
biomarkers, IL-6, AST, and GGT produced the highest change in the regression
coefficients: 40%, 56%, and 60%, respectively. CONCLUSION: These data suggest
that the inverse association of coffee intake with HCC risk was partly accounted
for by biomarkers of inflammation and hepatocellular injury.
PMID- 26561633
TI - In equal amounts, the major ruminant trans fatty acid is as bad for LDL
cholesterol as industrially produced trans fatty acids, but the latter are easier
to remove from foods.
PMID- 26561636
TI - Fine Particle Pollution, Alanine Transaminase, and Liver Cancer: A Taiwanese
Prospective Cohort Study (REVEAL-HBV).
AB - BACKGROUND: Exposure to fine particulate matter (PM2.5) may promote hepatic
tumorgenesis through low-grade inflammation. Therefore, we assessed the
association of long-term exposure levels of PM2.5 and subsequent risk of
hepatocellular carcinoma (HCC) and investigated the mediation effect of
inflammation as represented by alanine aminotransferase (ALT) on this
association. METHODS: Between 1991 and 1992, we recruited 23 820 participants in
Taiwan with no history of HCC. Case patients of HCC were ascertained through
computerized data linkage with the National Cancer Registry and death
certification systems. Participants' exposures to PM2.5 were based on a four-year
average retrieved from stationary monitoring sites. Cox proportional hazards
models were used to assess the association between PM2.5 exposure and HCC
incidence. Mediation effects of ALT on PM2.5-associated HCC incidence were
estimated. RESULTS: A total of 464 HCC cases were newly diagnosed with a median
follow-up of 16.9 years. Statistically significantly increasing trends between
PM2.5 exposures and ALT were observed on the Main Island and Penghu Islets. The
adjusted hazard ratio (HR) for HCC on the Penghu Islets was 1.22 (95% confidence
interval [CI] = 1.02 to 1.47) per PM2.5 interquartile range (IQR) increment (0.73
ug/m(3)) exposure. We also found a positive association between PM2.5 exposure
(per IQR increment, 13.1 ug/m(3)) and HCC incidence on the Main Island.
Furthermore, ALT had a statistically significant mediation effect on PM2.5
associated HCC incidence (HR = 1.17, 95% CI = 1.02 to1.52 on the Main Island; HR
= 1.04, 95% CI = 1.03 to 1.07 on the Penghu Islets) per PM2.5 IQR increment.
CONCLUSIONS: Long-term PM2.5 exposure increased the risk for liver cancer, and
chronic inflammation of the liver may underlie the pathogenesis.
PMID- 26561637
TI - PKA and phosphatases attached to the Ca(V)1.2 channel regulate channel activity
in cell-free patches.
AB - Calmodulin (CaM) + ATP can reprime voltage-gated L-type Ca(2+) channels
(Ca(V)1.2) in inside-out patches for activation, but this effect decreases time
dependently. This suggests that the Ca(V)1.2 channel activity is regulated by
additional cytoplasmic factors. To test this hypothesis, we examined the role of
cAMP-dependent protein kinase A (PKA) and protein phosphatases in the regulation
of Ca(V)1.2 channel activity in the inside-out mode in guinea pig ventricular
myocytes. Ca(V)1.2 channel activity quickly disappeared after the patch was
excised from the cell and recovered to only 9% of that in the cell-attached mode
on application of CaM + ATP at 10 min after the inside out. However, immediate
exposure of the excised patch to the catalytic subunit of PKA + ATP or the
nonspecific phosphatase inhibitor okadaic acid significantly increased the
Ca(V)1.2 channel activity recovery by CaM + ATP (114 and 96%, respectively) at 10
min. Interestingly, incubation of the excised patches with cAMP + ATP also
increased CaM/ATP-induced Ca(V)1.2 channel activity recovery (108%), and this
effect was blocked by the nonspecific protein kinase inhibitor K252a. The channel
activity in the inside-out mode was not maintained by either catalytic subunit of
PKA or cAMP + ATP in the absence of CaM, but was stably maintained in the
presence of CaM for more than 40 min. These results suggest that PKA and
phosphatase(s) attached on or near the Ca(V)1.2 channel regulate the basal
channel activity, presumably through modulation of the dynamic CaM interaction
with the channel.
PMID- 26561638
TI - Activation of aryl hydrocarbon receptor mediates suppression of hypoxia-inducible
factor-dependent erythropoietin expression by indoxyl sulfate.
AB - Indoxyl sulfate (IS) is a representative uremic toxin that accumulates in the
blood of patients with chronic kidney disease (CKD). In addition to the
involvement in the progression of CKD, a recent report indicates that IS
suppresses hypoxia-inducible factor (HIF)-dependent erythropoietin (EPO)
production, suggesting that IS may also contribute to the progression of renal
anemia. In this report, we provide evidence that aryl hydrocarbon receptor (AhR)
mediates IS-induced suppression of HIF activation and subsequent EPO production.
In HepG2 cells, IS at concentrations similar to the blood levels in CKD patients
suppressed hypoxia- or cobalt chloride-induced EPO mRNA expression and
transcriptional activation of HIF. IS also induced AhR activation, and AhR
blockade resulted in abolishment of IS-induced suppression of HIF activation. The
HIF transcription factor is a heterodimeric complex composed of HIF-alpha
subunits (HIF-1alpha and HIF-2alpha) and AhR nuclear translocator (ARNT). IS
suppressed nuclear accumulation of the HIF-alpha-ARNT complex accompanied by an
increase of the AhR-ARNT complex in the nucleus, implying the involvement of
interactions among AhR, HIF-alpha, and ARNT in the suppression mechanism. In
rats, oral administration of indole, a metabolic precursor of IS, inhibited
bleeding-induced elevation of renal EPO mRNA expression and plasma EPO
concentration and strongly induced AhR activation in the liver and renal cortex
tissues. Collectively, this study is the first to elucidate the detailed
mechanism by which AhR plays an indispensable role in the suppression of HIF
activation by IS. Hence, IS-induced activation of AhR may be a potential
therapeutic target for treating renal anemia.
PMID- 26561639
TI - Remodeling of the rat distal colon in diabetes: function and ultrastructure.
AB - This study seeks to define and explain remodeling of the distal colon in the
streptozotocin (STZ)-treated rat model of diabetes through analysis of resting
and active length dependence of force production, chemical composition, and
ultrastructure. Compared with untreated controls, the passive stiffness on
extension of the diabetic muscle is high, and active force produced at short
muscle lengths is amplified but is limited by an internal resistance to
shortening. The latter are accounted for by a significant increase in collagen
type 1, with no changes in types 3 and 4. In the diabetic colon, ultrastructural
studies show unique, conspicuous pockets of collagen among muscle cells, in
addition to a thickened basement membrane and an extracellular space filled with
collagen fibers and various fibrils. Measurements of DNA and total protein
content revealed that the diabetic colon underwent hypertrophy, along with a
proportional increase in actin and myosin contents, with no change in the actin
to-myosin ratio. Active force production per cross-sectional area was not
different in the diabetic and normal muscles, consistent with the proportionality
of changes in contractile proteins. The stiffness and the limit to shortening of
the diabetic colon were significantly reduced by treatment with the glycation
breaker alagebrium chloride (ALT-711), with no change in collagen contents.
Functionally, this study shows that, in diabetes, the production of collagen type
1 and glycation increase stiffness, which limits distensibility on filling and
limits shortening and expulsion of contents, both of which can be alleviated by
treatment with ALT-711.
PMID- 26561640
TI - Calcineurin homologous proteins regulate the membrane localization and activity
of sodium/proton exchangers in C. elegans.
AB - Calcineurin B homologous proteins (CHP) are N-myristoylated, EF-hand Ca(2+)
binding proteins that bind to and regulate Na(+)/H(+) exchangers, which occurs
through a variety of mechanisms whose relative significance is incompletely
understood. Like mammals, Caenorhabditis elegans has three CHP paralogs, but
unlike mammals, worms can survive CHP loss-of-function. However, mutants for the
CHP ortholog PBO-1 are unfit, and PBO-1 has been shown to be required for proton
signaling by the basolateral Na(+)/H(+) exchanger NHX-7 and for proton-coupled
intestinal nutrient uptake by the apical Na(+)/H(+) exchanger NHX-2. Here, we
have used this genetic model organism to interrogate PBO-1's mechanism of action.
Using fluorescent tags to monitor Na(+)/H(+) exchanger trafficking and
localization, we found that loss of either PBO-1 binding or activity caused NHX-7
to accumulate in late endosomes/lysosomes. In contrast, NHX-2 was stabilized at
the apical membrane by a nonfunctional PBO-1 protein and was only internalized
following its complete loss. Additionally, two pbo-1 paralogs were identified,
and their expression patterns were analyzed. One of these contributed to the
function of the excretory cell, which acts like a kidney in worms, establishing
an alternative model for testing the role of this protein in membrane transporter
trafficking and regulation. These results lead us to conclude that the role of
CHP in Na(+)/H(+) exchanger regulation differs between apical and basolateral
transporters. This further emphasizes the importance of proper targeting of
Na(+)/H(+) exchangers and the critical role of CHP family proteins in this
process.
PMID- 26561641
TI - Hypoxia-inducible factor 3 biology: complexities and emerging themes.
AB - The hypoxia-inducible factor (HIF) family has three distinct members in most
vertebrates. All three HIFs consist of a unique and oxygen-labile alpha-subunit
and a common and stable beta-subunit. While HIF-1 and HIF-2 function as master
regulators of the transcriptional response to hypoxia, much less is known about
HIF-3. The HIF-3alpha gene gives rise to multiple HIF-3alpha variants due to the
utilization of different promoters, different transcription initiation sites, and
alternative splicing. These HIF-3alpha variants are expressed in different
tissues, at different developmental stages, and are differentially regulated by
hypoxia and other factors. Recent studies suggest that different HIF-3alpha
variants have different and even opposite functions. There is strong evidence
that full-length HIF-3alpha protein functions as an oxygen-regulated
transcription activator and that it activates a unique transcriptional program in
response to hypoxia. Many HIF-3alpha target genes have been identified. While
some short HIF-3alpha variants act as dominant-negative regulators of HIF
1/2alpha actions, other HIF-3alpha variants can inhibit HIF-1/2alpha actions by
competing for the common HIF-beta. There are also a number of HIF-3alpha variants
yet to be explored. Future studies of these naturally occurring HIF-3alpha
variants will provide new and important insights into HIF biology and may lead to
the development of new therapeutic strategies.
PMID- 26561642
TI - Intracellular Phosphate Dynamics in Muscle Measured by Magnetic Resonance
Spectroscopy during Hemodialysis.
AB - Of the 600-700 mg inorganic phosphate (Pi) removed during a 4-hour hemodialysis
session, a maximum of 10% may be extracted from the extracellular space. The
origin of the other 90% of removed phosphate is unknown. This study tested the
hypothesis that the main source of phosphate removed during hemodialysis is the
intracellular compartment. Six binephrectomized pigs each underwent one 3-hour
hemodialysis session, during which the extracorporeal circulation blood flow was
maintained between 100 and 150 ml/min. To determine in vivo phosphate metabolism,
we performed phosphorous ((31)P) magnetic resonance spectroscopy using a 1.5
Tesla system and a surface coil placed over the gluteal muscle region. (31)P
magnetic resonance spectra (repetition time =10 s; echo time =0.35 ms) were
acquired every 160 seconds before, during, and after dialysis. During the
dialysis sessions, plasma phosphate concentrations decreased rapidly (-30.4 %;
P=0.003) and then, plateaued before increasing approximately 30 minutes before
the end of the sessions; 16 mmol phosphate was removed in each session. When
extracellular phosphate levels plateaued, intracellular Pi content increased
significantly (11%; P<0.001). Moreover, betaATP decreased significantly
(P<0.001); however, calcium levels remained balanced. Results of this study show
that intracellular Pi is the source of Pi removed during dialysis. The
intracellular Pi increase may reflect cellular stress induced by hemodialysis
and/or strong intracellular phosphate regulation.
PMID- 26561644
TI - Knockdown of neuropeptide Y in the dorsomedial hypothalamus reverses high-fat
diet-induced obesity and impaired glucose tolerance in rats.
AB - Neuropeptide Y (NPY) in the dorsomedial hypothalamus (DMH) plays an important
role in the regulation of energy balance. While DMH NPY overexpression causes
hyperphagia and obesity in rats, knockdown of NPY in the DMH via adeno-associated
virus (AAV)-mediated RNAi (AAVshNPY) ameliorates these alterations. Whether this
knockdown has a therapeutic effect on obesity and glycemic disorder has yet to be
determined. The present study sought to test this potential using a rat model of
high-fat diet (HFD)-induced obesity and insulin resistance, mimicking human
obesity with impaired glucose homeostasis. Rats had ad libitum access to rodent
regular chow (RC) or HFD. Six weeks later, an oral glucose tolerance test (OGTT)
was performed for verifying HFD-induced glucose intolerance. After verification,
obese rats received bilateral DMH injections of AAVshNPY or the control vector
AAVshCTL, and OGTT and insulin tolerance test (ITT) were performed at 16 and 18
wk after viral injection (23 and 25 wk on HFD), respectively. Rats were killed at
26 wk on HFD. We found that AAVshCTL rats on HFD remained hyperphagic, obese,
glucose intolerant, and insulin resistant relative to lean control RC-fed rats
receiving DMH injection of AAVshCTL, whereas these alterations were reversed in
NPY knockdown rats fed a HFD. NPY knockdown rats exhibited normal food intake,
body weight, glucose tolerance, and insulin sensitivity, as seen in lean control
rats. Together, these results demonstrate a therapeutic action of DMH NPY
knockdown against obesity and impaired glucose homeostasis in rats, providing a
potential target for the treatment of obesity and diabetes.
PMID- 26561643
TI - Inflammation in AKI: Current Understanding, Key Questions, and Knowledge Gaps.
AB - Inflammation is a complex biologic response that is essential for eliminating
microbial pathogens and repairing tissue after injury. AKI associates with
intrarenal and systemic inflammation; thus, improved understanding of the
cellular and molecular mechanisms underlying the inflammatory response has high
potential for identifying effective therapies to prevent or ameliorate AKI. In
the past decade, much knowledge has been generated about the fundamental
mechanisms of inflammation. Experimental work in small animal models has revealed
many details of the inflammatory response that occurs within the kidney after
typical causes of AKI, including insights into the molecular signals released by
dying cells, the role of pattern recognition receptors, the diverse subtypes of
resident and recruited immune cells, and the phased transition from destructive
to reparative inflammation. Although this expansion of the basic knowledge base
has increased the number of mechanistically relevant targets of intervention,
progress in developing therapies that improve AKI outcomes by modulation of
inflammation remains slow. In this article, we summarize the most important
recent developments in understanding the inflammatory mechanisms of AKI,
highlight key limitations of the commonly used animal models and clinical trial
designs that may prevent successful clinical application, and suggest priority
approaches for research toward clinical translation in this area.
PMID- 26561645
TI - Hemodynamic and neurochemical determinates of renal function in chronic heart
failure.
AB - Abnormal renal function is common in acute and chronic congestive heart failure
(CHF) and is related to the severity of congestion. However, treatment of
congestion often leads to worsening renal function. Our objective was to explore
basal determinants of renal function and their response to hemodynamic
interventions. Thirty-seven patients without CHF and 59 patients with chronic CHF
(ejection fraction; 23 +/- 8%) underwent right heart catheterization,
measurements of glomerular filtration rate (GFR; inulin) and renal plasma flow
(RPF; para-aminohippurate), and radiotracer estimates of renal sympathetic
activity. A subset (26 without, 36 with CHF) underwent acute pharmacological
intervention with dobutamine or nitroprusside. We explored the relationship
between baseline and drug-induced hemodynamic changes and changes in renal
function. In CHF, there was an inverse relationship among right atrial mean
pressure (RAM) pressure, RPF, and GFR. By contrast, mean arterial pressure (MAP),
cardiac index (CI), and measures of renal sympathetic activity were not
significant predictors. In those with CHF there was also an inverse relationship
among the drug-induced changes in RAM as well as pulmonary artery mean pressure
and the change in GFR. Changes in MAP and CI did not predict the change in GFR in
those with CHF. Baseline values and changes in RAM pressure did not correlate
with GFR in those without CHF. In the CHF group there was a positive correlation
between RAM pressure and renal sympathetic activity. There was also an inverse
relationship among RAM pressure, GFR, and RPF in patients with chronic CHF. The
observation that acute reductions in RAM pressure is associated with an increase
in GFR in patients with CHF has important clinical implications.
PMID- 26561647
TI - Reduced venous compliance: an important determinant for orthostatic intolerance
in women with vasovagal syncope.
AB - The influence of lower limb venous compliance on orthostatic vasovagal syncope
(VVS) is uncertain. The most widespread technique to calculate venous compliance
uses a nonphysiological quadratic regression equation. Our aim was therefore to
construct a physiologically derived venous wall model (VWM) for calculation of
calf venous compliance and to determine the effect of venous compliance on
tolerance to maximal lower body negative pressure (LBNP). Venous occlusion
plethysmography was used to study calf volume changes in 15 women with VVS (25.5
+/- 1.3 yr of age) and 15 controls (22.8 +/- 0.8 yr of age). The fit of the VWM
and the regression equation to the experimentally induced pressure-volume curve
was examined. Venous compliance was calculated as the derivative of the modeled
pressure-volume relationship. Graded LBNP to presyncope was used to determine the
LBNP tolerance index (LTI). The VWM displayed a better fit to the experimentally
induced pressure-volume curve (P < 0.0001). Calf blood pooling was similar in the
groups and was not correlated to the LTI (r = 0.204, P = 0.30). Venous compliance
was significantly reduced at low venous pressures in women with VVS (P = 0.042)
and correlated to the LTI (r = 0.459, P = 0.014) in the low pressure range. No
correlation was found between venous compliance at high venous pressures and the
LTI. In conclusion, the new VWM accurately adopted the curvilinear pressure
volume curve, providing a valid characterization of venous compliance. Reduced
venous compliance at low venous pressures may adversely affect mobilization of
peripheral venous blood to the central circulation during hypovolemic circulatory
stress in women with VVS.
PMID- 26561646
TI - Central ghrelin increases food foraging/hoarding that is blocked by GHSR
antagonism and attenuates hypothalamic paraventricular nucleus neuronal
activation.
AB - The stomach-derived "hunger hormone" ghrelin increases in the circulation in
direct response to time since the last meal, increasing preprandially and falling
immediately following food consumption. We found previously that peripheral
injection of ghrelin potently stimulates food foraging (FF), food hoarding (FH),
and food intake (FI) in Siberian hamsters. It remains, however, largely unknown
if central ghrelin stimulation is necessary/sufficient to increase these
behaviors regardless of peripheral stimulation of the ghrelin receptor [growth
hormone secretagogue receptor (GHSR)]. We injected three doses (0.01, 0.1, and
1.0 MUg) of ghrelin into the third ventricle (3V) of Siberian hamsters and
measured changes in FF, FH, and FI. To test the effects of 3V ghrelin receptor
blockade, we used the potent GHSR antagonist JMV2959 to block these behaviors in
response to food deprivation or a peripheral ghrelin challenge. Finally, we
examined neuronal activation in the arcuate nucleus and paraventricular
hypothalamic nucleus in response to peripheral ghrelin administration and 3V GHSR
antagonism. Third ventricular ghrelin injection significantly increased FI
through 24 h and FH through day 4. Pretreatment with 3V JMV2959 successfully
blocked peripheral ghrelin-induced increases in FF, FH, and FI at all time points
and food deprivation-induced increases in FF, FH, and FI up to 4 h. c-Fos
immunoreactivity was significantly reduced in the paraventricular hypothalamic
nucleus, but not in the arcuate nucleus, following pretreatment with
intraperitoneal JMV2959 and ghrelin. Collectively, these data suggest that
central GHSR activation is both necessary and sufficient to increase appetitive
and consummatory behaviors in Siberian hamsters.
PMID- 26561649
TI - Hyperthermia, dehydration, and osmotic stress: unconventional sources of exercise
induced reactive oxygen species.
AB - Evidence of increased reactive oxygen species (ROS) production is observed in the
circulation during exercise in humans. This is exacerbated at elevated body
temperatures and attenuated when normal exercise-induced body temperature
elevations are suppressed. Why ROS production during exercise is temperature
dependent is entirely unknown. This review covers the human exercise studies to
date that provide evidence that oxidant and antioxidant changes observed in the
blood during exercise are dependent on temperature and fluid balance. We then
address possible mechanisms linking exercise with these variables that include
shear stress, effects of hemoconcentration, and signaling pathways involving
muscle osmoregulation. Since pathways of muscle osmoregulation are rarely
discussed in this context, we provide a brief review of what is currently known
and unknown about muscle osmoregulation and how it may be linked to oxidant
production in exercise and hyperthermia. Both the circulation and the exercising
muscle fibers become concentrated with osmolytes during exercise in the heat,
resulting in a competition for available water across the muscle sarcolemma and
other tissues. We conclude that though multiple mechanisms may be responsible for
the changes in oxidant/antioxidant balance in the blood during exercise, a strong
case can be made that a significant component of ROS produced during some forms
of exercise reflect requirements of adapting to osmotic challenges, hyperthermia
challenges, and loss of circulating fluid volume.
PMID- 26561648
TI - Neuronostatin acts via GPR107 to increase cAMP-independent PKA phosphorylation
and proglucagon mRNA accumulation in pancreatic alpha-cells.
AB - Neuronostatin (NST) is a recently described peptide that is produced from the
somatostatin preprohormone in pancreatic delta-cells. NST has been shown to
increase glucagon secretion from primary rat pancreatic islets in low-glucose
conditions. Here, we demonstrate that NST increases proglucagon message in alpha
cells and identify a potential mechanism for NST's cellular activities, including
the phosphorylation of PKA following activation of the G protein-coupled
receptor, GPR107. GPR107 is abundantly expressed in the pancreas, particularly,
in rodent and human alpha-cells. Compromise of GPR107 in pancreatic alpha-cells
results in failure of NST to increase PKA phosphorylation and proglucagon mRNA
levels. We also demonstrate colocalization of GPR107 and NST on both mouse and
human pancreatic alpha-cells. Taken together with our group's observation that
NST infusion in conscious rats impairs glucose clearance in response to a glucose
challenge and that plasma levels of the peptide are elevated in the fasted
compared with the fed or fasted-refed state, these studies support the hypothesis
that endogenous NST regulates islet cell function by interacting with GPR107 and
initiating signaling in glucagon-producing alpha-cells.
PMID- 26561650
TI - Requirement of clusterin expression for prosurvival autophagy in hypoxic kidney
tubular epithelial cells.
AB - Cellular autophagy is a prosurvival mechanism in the kidney against ischemia
reperfusion injury (IRI), but the molecular pathways that activate the autophagy
in ischemic kidneys are not fully understood. Clusterin (CLU) is a chaperone-like
protein, and its expression is associated with kidney resistance to IRI. The
present study investigated the role of CLU in prosurvival autophagy in the
kidney. Renal IRI was induced in mice by clamping renal pedicles at 32 degrees C
for 45 min. Hypoxia in renal tubular epithelial cell (TEC) cultures was induced
by exposure to a 1% O2 atmosphere. Autophagy was determined by either light chain
3-BII expression with Western blot analysis or light chain 3-green fluorescent
protein aggregation with confocal microscopy. Cell apoptosis was determined by
flow cytometric analysis. The unfolded protein response was determined by PCR
array. Here, we showed that autophagy was significantly activated by IRI in wild
type (WT) but not CLU-deficient kidneys. Similarly, autophagy was activated by
hypoxia in human proximal TECs (HKC-8) and WT mouse primary TECs but was impaired
in CLU-null TECs. Hypoxia-activated autophagy was CLU dependent and positively
correlated with cell survival, and inhibition of autophagy significantly promoted
cell death in both HKC-8 and mouse WT/CLU-expressing TECs but not in CLU-null
TECs. Further experiments showed that CLU-dependent prosurvival autophagy was
associated with activation of the unfolded protein response in hypoxic kidney
cells. In conclusion, these data suggest that activation of prosurvival autophagy
by hypoxia in kidney cells requires CLU expression and may be a key
cytoprotective mechanism of CLU in the protection of the kidney from
hypoxia/ischemia-mediated injury.
PMID- 26561651
TI - Alternative splice variant of the thiazide-sensitive NaCl cotransporter: a novel
player in renal salt handling.
AB - The thiazide-sensitive NaCl cotransporter (NCC) is an important pharmacological
target in the treatment of hypertension. The human SLC12A3 gene, encoding NCC,
gives rise to three isoforms. Only the third isoform has been extensively
investigated. The aim of the present study was, therefore, to establish the
abundance and localization of the almost identical isoforms 1 and 2 (NCC1/2) in
the human kidney and to determine their functional properties and regulation in
physiological conditions. Immunohistochemical analysis of NCC1/2 in the human
kidney revealed that NCC1/2 localizes to the apical plasma membrane of the distal
convoluted tubule. Importantly, NCC1/2 mRNA constitutes ~ 44% of all NCC isoforms
in the human kidney. Functional analysis performed in the Xenopus laevis oocyte
revealed that thiazide-sensitive (22)Na(+) transport of NCC1 was significantly
increased compared with NCC3. Mimicking a constitutively active phosphorylation
site at residue 811 (S811D) in NCC1 further augmented Na(+) transport, while a
nonphosphorylatable variant (S811A) of NCC1 prevented this enhanced response.
Analysis of human urinary exosomes demonstrated that water loading in human
subjects significantly reduces the abundance of NCC1/2 in urinary exosomes. The
present study highlights that previously underrepresented NCC1/2 is a fully
functional thiazide-sensitive NaCl-transporting protein. Being significantly
expressed in the kidney, it may constitute a unique route of renal NaCl
reabsorption and could, therefore, play an important role in blood pressure
regulation.
PMID- 26561653
TI - Are dogs just like us?
AB - Dogs have evolved to become the animal species most integrated with human
society. Surprisingly, the origins and mechanisms of the remarkable co-evolution
are still obscure and provide fuel for debates. Brain imaging studies showing up
similarities and recent results implicating the hormone oxytocin also suggest
that it makes sense to compare the social mind of dogs to our own. Michael Gross
reports.
PMID- 26561652
TI - Radiographic Reference Points Are Inaccurate With and Without a True Lateral
Radiograph: The Importance of Anatomy in Medial Patellofemoral Ligament
Reconstruction.
AB - BACKGROUND: Studies have reported methods for radiographically delineating medial
patellofemoral ligament (MPFL) femoral tunnel position on a true lateral knee
radiograph. However, obtaining a true lateral fluoroscopic radiograph
intraoperatively can be challenging, rendering radiographic methods for tunnel
positioning potentially inaccurate. PURPOSE: To quantify the magnitude of MPFL
femoral tunnel malposition that occurs on true lateral and aberrant lateral knee
radiographs when using a previously reported radiographic technique for MPFL
femoral tunnel localization. STUDY DESIGN: Descriptive laboratory study. METHODS:
Ten fresh-frozen cadaveric knees were dissected to expose the MPFL femoral
insertion and surrounding medial knee anatomy. True lateral and aberrant lateral
knee radiographs at 2.5 degrees , 5 degrees , and 10 degrees off-axis were
obtained with a standard mini C-arm in 4 orientations: anterior to posterior,
posterior to anterior, caudal, and cephalad. A previously reported radiographic
method for MPFL femoral localization was performed on all radiographs and
compared in reference to the anatomic MPFL attachment center. RESULTS: The
radiographic point, as previously described, was a mean distance of 4.1 mm from
the anatomic MPFL attachment on a true lateral knee radiograph. The distance
between the anatomic MPFL attachment center and the radiographic point
significantly increased on aberrant lateral knee radiographs with as little as 5
degrees of rotational error in 3 of 4 orientations of rotation when a standard
mini C-arm was used. This corresponded to a malposition of 7.5, 9.2, and 8.1 mm
on 5 degrees -aberrant radiographs in the anterior-posterior, posterior-anterior,
and cephalad orientations, respectively (P < .005). In the same 3 orientations of
rotation, MPFL tunnel malposition on the femur exceeded 5 mm on 2.5 degrees
aberrant radiographs. CONCLUSION: The commonly utilized radiographic point, as
previously described for MPFL femoral tunnel placement, results in inaccurate
tunnel localization on a true lateral radiograph, and this inaccuracy is
perpetuated with aberrant radiography. Aberrant lateral knee imaging of as little
as 5 degrees off-axis from true lateral has a significant effect on placement of
a commonly used radiographic point relative to the anatomic MPFL femoral
attachment center and results in nonanatomic MPFL tunnel placement. CLINICAL
RELEVANCE: This study demonstrates that radiographic localization of the MPFL
femoral tunnel results in inaccurate tunnel placement on a true lateral
radiograph, particularly when there is deviation from a true lateral fluoroscopic
image, which can be difficult to obtain intraoperatively. Assessing anatomy
directly intraoperatively, rather than relying solely on radiographs, may help
avoid MPFL tunnel malposition.
PMID- 26561654
TI - Jennifer Rohn.
PMID- 26561655
TI - [The value of ultrasound in the follow-up of thyroid cancer].
AB - The commonly used tumour-node-metastasis (TNM) staging system is designed to
predict death and not recurrence. Based on this, patients with thyroid cancer are
grouped into risk categories at the time of initial treatment. However, recent
guidelines proposed a novel staging system focusing on microscopic invasion into
the perithyroidal tissues, neck lymph node involvement and 131I uptake outside
the thyroid bed following treatment. This risk re-assessment improves the
prediction of recurrent/persistent disease. The cornerstone in the follow-up is
measurement of plasma-thyroglobulin concentration and ultrasound of the neck
focusing on the thyroid bed and classification of lymph nodes according to their
location and high risk signs.
PMID- 26561656
TI - [Cancer patients' experiences contribute to more patient-centred care].
AB - In the Region of Southern Denmark, Vejle Hospital has taken the first step toward
measuring patient-centred care from cancer patient's perspective. Based on
results from a local patient survey this article aims to evaluate how Vejle
Hospital is per-forming with regard to principles for patient-centred care in
different parts of the cancer trajectory. The survey provides unique data at ward
level and opportunities to initiate targeted improvement efforts for cancer
patients to monitor improve-ments in patient-experienced care, which is crucial
to become truly patient-centred.
PMID- 26561658
TI - [Preoperative assessment and optimization].
AB - Identification of patients with high risk for post-operative complications is
instrumental in all surgical specialities. Proper identification can in some
cases lead to preoperative or intraoperative optimization resulting in reduced
morbidity and morbidity. Several scoring systems exist for assessing overall
mortality risk. Preoperative functional tests and biochemical risk markers have
shown to be effective in predicting post-operative medical complications and
mortality. Optimization can be done by medical interventions, improved
anaesthesiological care and optimization directed towards improved communication
in the surgical team.
PMID- 26561657
TI - [Steroid treatment and risk of glaucoma].
AB - The risk of steroid-induced elevation of intraocular pressure and glaucoma is
clinical relevant and require monitoring of patients at risk. The risk depends on
route of administration, potency and individual risk factors such as primary open
glaucoma (POAG), first-degree relative with POAG, age (children) and myopia.
Steroid-induced elevation of intraocular pressure is most commonly associated
with ocular application and systemic administration but may occur after
periocular cutaneous application and nasal and inhalation therapy in patients
with individual risk factors.
PMID- 26561659
TI - [The use of pre-hospital tourniquets in life-threatening extremity traumas].
AB - Tourniquets have been used for centuries. They have been called lifesavers and
"an invention of the evil one". 90.9% of deaths on the battlefields result from
haemorrhage. Lessons learned du-ring the wars in Iraq and Afghanistan have
developed the treat-ment given to hypovolaemic patients on the battlefield.
Treating bleeding and hypovolaemia is now considered as the primary intervention.
The tourniquet has proven to be an indis-pensable tool treating wounded soldiers,
with little risk of complications. The tourniquet might also show to be a
valuable asset in a pre-hospital urban setting.
PMID- 26561660
TI - [Physical activity benefits patients with age-related macular degeneration].
AB - We have reviewed studies investigating the effect of physical activity on
prevention of early age-related macular degeneration (AMD), progression to late
AMD, and risk modulation of morbidity and mortality in patients with AMD. Regular
physical activity may lower risk of developing early AMD and progression of early
AMD to late AMD at a level comparable with smoking cessation or dietary
supplements. Studies suggest that AMD itself is associated with physical
inactivity which can result in higher morbidity levels. Patients with AMD may
benefit from physical activity counselling at all stages of the disease.
PMID- 26561662
TI - [Not Available].
PMID- 26561661
TI - [Pulse oximetry screening of newborns can prevent circulatory collapse caused by
congenital heart defect].
AB - We present a case of total anomalous pulmonary venous drainage. Despite low
oxygen saturation an eight-week-old girl had only minimal symptoms initially. She
suffered collapse requiring acute surgical correction and prolonged intensive
care. Her collapse and complicated post-operative course could have been avoided
with earlier diagnosis. Infants with critical heart disease continue to be born
undiagnosed despite prenatal ultrasound screening. There is evidence that infants
with critical congenital heart defect can be detected by pulse oximetry
screening, as is routine in Norway, Sweden and Finland, but not in Denmark.
PMID- 26561663
TI - [In Process Citation].
PMID- 26561664
TI - [In Process Citation].
PMID- 26561665
TI - [Not Available].
PMID- 26561666
TI - [In Process Citation].
PMID- 26561667
TI - [In Process Citation].
PMID- 26561668
TI - [In Process Citation].
PMID- 26561669
TI - [Not Available].
PMID- 26561670
TI - Reply from the author.
PMID- 26561671
TI - Response.
PMID- 26561672
TI - Response.
PMID- 26561673
TI - Response.
PMID- 26561674
TI - Response.
PMID- 26561675
TI - Response.
PMID- 26561676
TI - Update in Interstitial Lung Disease 2014.
PMID- 26561677
TI - Update in Pulmonary Vascular Diseases 2014.
PMID- 26561678
TI - Plastic Bronchitis Related to Idiopathic Thoracic Lymphangiectasia. Noncontrast
Magnetic Resonance Lymphography.
PMID- 26561679
TI - Procedural Considerations on the Use of Polyurethane and/or Conical Cuffs.
PMID- 26561680
TI - Estimating the Risk of Ventilator-associated Pneumonia as a Function of Time.
PMID- 26561681
TI - Stimuli-sensitive systems--an emerging delivery system for drugs.
AB - OBJECTIVES: Development of controlled and sustained drug delivery system (DDS)
remains a great thrust of human beings for the successful delivery of drugs due
to various drawbacks of existing systems. In order to overcome these drawbacks,
various stimuli-sensitive DDSs were developed in the recent years. KEY FINDINGS:
Stimuli are a state of responsiveness to sensory stimulation or excitability.
Stimuli sensitive systems are those systems which deal with the changes in the
physiology of body with respective to the environment changes. These systems may
be very beneficial for the controlled and sustained delivery of drug in the body
if proper work would be carried out on these types of systems. Controlled drug
delivery became the standard criteria in modern pharmaceutical product design and
an intensive research is still going on in achieving much better drug product
with features like effectiveness, reliability, and safety. Many changes like
photo and light, temperature, pH, ion, glucose, and redox affect the release of
drug from the delivery system. These stimuli-sensitive systems are used for
various purposes in various forms like in parenteral, ocular, peroral, rectal,
vaginal, nasal, dermal and transdermal drug delivery. SUMMARY: Various literature
surveys revealed that stimuli-sensitive DDSs can be explored as a potential tool
for the delivery of a variety of macromolecules that are not effectively
delivered by conventional techniques.
PMID- 26561682
TI - Cirrhosis and Its Complications. Catch this liver scarring problem early, because
its effects can be life-threatening.
PMID- 26561683
TI - 50 years for the Netherlands Association of Sports Medicine (VSG) and counting!
PMID- 26561717
TI - Diorganyl Dichalcogenides-Promoted Nucleophilic Closure of 1,4-Diyn-3-ols:
Synthesis of 2-Benzoyl Chalcogenophenes.
AB - We report here the preparation of chalcogenophene derivatives via cyclization
reactions of diynols promoted by diorganyl dichalcogenides and a halogen source.
Different chalcogenophenes, such as 4-halo-selenophenes, 4-butylselenyl
selenophenes, halo-thiophenes, and 4-methylthio-thiophenes, were selectively
prepared in good yields from the same starting materials. The results revealed
that the halogen source had a significant effect on the proportion of 4-bromo
selenophenes and 4-butylselenyl-selenophenes. The best yields of 4-iodo
selenophenes were obtained with iodine as a halogen source, while the use of NBS
gave exclusively the 4-butylselenyl-selenophenes. The experiments also revealed
that the cyclization reaction to form 4-halo-thiophene derivatives can also be
controlled changing the ratios of reagents. The 4-iodo-thiophenes were
exclusively obtained by using dimethyl disulfide (2.0 equiv) and iodine (1.5
equiv), while the 4-bromo-thiophenes were obtained when the reaction was carried
out with a 1.5 molar ratio of dimethyl disulfide and a halogen source. In
addition, the reaction of diynols with an excess of dimethyl disulfide in the
presence of NBS gave the 4-methylthio-thiophenes as sole products. We also
studied the application of chalcogenophenes obtained as starting materials in the
Suzuki, Sonogashira, and Ullmann cross-coupling reactions.
PMID- 26561718
TI - pi-Conjugated Organometallic Isoindigo Oligomer and Polymer Chromophores: Singlet
and Triplet Excited State Dynamics and Application in Polymer Solar Cells.
AB - An isoindigo based pi-conjugated oligomer and polymer that contain cyclometalated
platinum(II) "auxochrome" units were subjected to photophysical characterization,
and application of the polymer in bulk heterojunction polymer solar cells with
PCBM acceptor was examined. The objective of the study was to explore the effect
of the heavy metal centers on the excited state properties, in particular,
intersystem crossing to a triplet (exciton) state, and further how this would
influence the performance of the organometallic polymer in solar cells. The
materials were characterized by electrochemistry, ground state absorption,
emission, and picosecond-nanosecond transient absorption spectroscopy.
Electrochemical measurements indicate that the cyclometalated units have a
significant impact on the HOMO energy level of the chromophores, but little
effect on the LUMO, which is consistent with localization of the LUMO on the
isoindigo acceptor unit. Picosecond-nanosecond transient absorption spectroscopy
reveals a transient with ~100 ns lifetime that is assigned to a triplet excited
state that is produced by intersystem crossing from a singlet state on a time
scale of ~130 ps. This is the first time that a triplet state has been observed
for isoindigo pi-conjugated chromophores. The performance of the polymer in bulk
heterojunction solar cells was explored with PC61BM as an acceptor. The
performance of the cells was optimum at a relatively high PCBM loading (1:6,
polymer:PCBM), but the overall efficiency was relatively low with power
conversion efficiency (PCE) of 0.22%. Atomic force microscopy of blend films
reveals that the length scale of the phase separation decreases with increasing
PCBM content, suggesting a reason for the increase in PCE with acceptor loading.
Energetic considerations show that the triplet state in the polymer is too low in
energy to undergo charge separation with PCBM. Further, due to the relatively low
LUMO energy of the polymer, charge transfer from the singlet to PCBM is only
weakly exothermic, which is believed to be the reason that the photocurrent
efficiency is relatively low.
PMID- 26561719
TI - Varitatin A, a Highly Modified Fatty Acid Amide from Penicillium variabile
Cultured with a DNA Methyltransferase Inhibitor.
AB - A new, highly modified fatty acid amide, varitatin A (1), was isolated from the
fungus Penicillium variabile HXQ-H-1 cultivated with the DNA methyltransferase
inhibitor 5-azacytidine. The structure including the absolute configuration of 1
was established by analysis of NMR and MS data, together with chemical
degradation and Mosher's method based on MPA esters. Compound 1 showed
cytotoxicity against HCT-116 cells with an IC50 value of 2.8 MUM and also
inhibited the effects of protein tyrosine kinases.
PMID- 26561720
TI - A Pt(IV) Pro-drug Preferentially Targets Indoleamine-2,3-dioxygenase, Providing
Enhanced Ovarian Cancer Immuno-Chemotherapy.
AB - Expression of indoleamine-2,3-dioxygenase (IDO), an immunosuppressive enzyme in
human tumors, leads to immune evasion and tumor tolerance. IDO is therefore a
tumor immunotherapeutic target, and several IDO inhibitors are currently
undergoing clinical trials. IDO inhibitors can enhance the efficacy of common
cancer chemotherapeutics. Here we investigate Pt(IV)-(D)-1-methyltryptophan
conjugates 1 and 2 for combined immunomodulation and DNA cross-link-triggered
apoptosis for cancer "immuno-chemotherapy". Compound 2 effectively kills hormone
dependent, cisplatin-resistant human ovarian cancer cells, inhibiting IDO by
transcriptional deregulation of the autocrine-signaling loop IDO-AHR-IL6, which
blocks kynurenine production and promotes T-cell proliferation. Additionally, 1
and 2 display low toxicity in mice and are stable in blood. To our knowledge,
this construct is the first Pt drug candidate with immune checkpoint blockade
properties.
PMID- 26561721
TI - Lower-Body Muscle Structure and Jump Performance of Stronger and Weaker Surfing
Athletes.
AB - PURPOSE: To identify whether there are any significant differences in the lower
body muscle structure and countermovement-jump (CMJ) and squat-jump (SJ)
performance between stronger and weaker surfing athletes. METHODS: Twenty elite
male surfers had their lower-body muscle structure assessed with ultrasonography
and completed a series of lower-body strength and jump tests including isometric
midthigh pull (IMTP), CMJ, and SJ. Athletes were separated into stronger (n = 10)
and weaker (n = 10) groups based on IMTP performance. RESULTS: Large significant
differences were identified between the groups for vastus lateralis (VL)
thickness (P = .02, ES = 1.22) and lateral gastrocnemius (LG) pennation angle (P
= .01, ES = 1.20), and a large nonsignificant difference was identified in LG
thickness (P = .08, ES = 0.89). Furthermore, significant differences were present
between the groups for peak force, relative peak force, and jump height in the
CMJ and SJ (P < .01-.05, ES = 0.90-1.47) and eccentric peak velocity, as well as
vertical displacement of the center of mass during the CMJ (P < .01, ES = 1.40
1.41). CONCLUSION: Stronger surfing athletes in this study had greater VL and LG
thickness and LG pennation angle. These muscle structures may explain their
better performance in the CMJ and SJ. A unique finding in this study was that the
stronger group appeared to better use their strength and muscle structure for
braking as they had significantly higher eccentric peak velocity and vertical
displacement during the CMJ. This enhanced eccentric phase may have resulted in a
greater production and subsequent utilization of stored elastic strain energy
that led to the significantly better CMJ performance in the stronger group.
PMID- 26561722
TI - Race/Ethnic Differences in Birth Size, Infant Growth, and Body Mass Index at Age
Five Years in Children in Hawaii.
AB - BACKGROUND: Factors at birth and infancy may increase risk of being overweight in
childhood. The aim of this study was to examine the relationship of birth size
and infant growth (2-24 months) with BMI at age 5 years in a multiethnic
population. METHODS: This was a retrospective study (using electronic medical
records of a health maintenance organization in Hawaii) of singleton children
born in 2004-2005, with linked maternal and birth information, infant weights (n
= 597) and lengths (n = 473) in the first 2 years, and BMI measures at age 5
years (n = 894). Multiple regression models were used to estimate the association
of BMI at age 5 years with birth size and infant growth. RESULTS: Birth weight
was positively associated with BMI at age 5 years, adjusting for gestational age,
sex, race/ethnicity, and maternal prepregnancy weight, age, education, and
smoking. A greater change in infant weight was associated with a higher BMI at
age 5 years, though the effect of birth weight on BMI was neither mediated nor
modified by infant growth rate. Birth weight, change in infant weight, and BMI at
age 5 years varied by race/ethnicity. Change in infant BMI in the first 2 years
was higher in other Pacific Islanders and whites (Delta = 0.966; confidence
interval [CI] = 0.249-1.684; p = 0.02) than in Asian, other, and part Native
Hawaiian race/ethnic groups. CONCLUSIONS: Early biological measures of birth
weight and infant weight gain varied by race/ethnicity and positively predicted
BMI at age 5 years.
PMID- 26561723
TI - Airborne allergens induce protease activated receptor-2-mediated production of
inflammatory cytokines in human gingival epithelium.
AB - OBJECTIVE: In reaching the airways inhaled allergens pass through and contact
with the oral mucosa. Although they are often responsible for initiating
asthmatic attacks, it is unknown whether airborne allergens can also trigger
chronic inflammation of gingival epithelial cells leading to chronic
periodontitis. In this study, we investigated the inflammatory responses of human
gingival epithelial cells (HGECs) to airborne allergens, particularly German
cockroach extract (GCE) with a focus on calcium signaling. DESIGN: HGECs isolated
from healthy donors were stimulated with GCE. Intracellular Ca(2+) concentration
([Ca(2+)]i) was measured with Fura-2-acetoxymethyl ester (Fura-2/AM) staining.
Expression of inflammatory cytokines interleukin (IL)-8, IL-1beta, IL-6, and NOD
like receptor family, pyridine domain-containing (NLRP) 3 was analyzed using
reverse transcription-polymerase chain reaction (RT-PCR). RESULTS: GCE promoted
increase in the [Ca(2+)]i in a dose-dependent manner. Depletion of endoplasmic
reticulum (ER) Ca(2+) by the ER Ca(2+) ATPase inhibitor thapsigargin (Tg) but not
the depletion of extracellular Ca(2+) abolished the GCE-induced increase in
[Ca(2+)]i. Treatment of phospholipase C (PLC) inhibitor (U73122) or 1,4,5
trisinositolphosphate (IP3) receptor inhibitor (2-APB) also prevented GCE-induced
increase in [Ca(2+)]i. Protease activated receptor (PAR)-2 activation mainly
mediated the GCE-induced increase in [Ca(2+)]i and enhanced the expression of IL
8, NLRP3, IL-1beta, and IL-6 in HGECs. CONCLUSIONS: GCE activates PAR-2, which
can induce PLC/IP3-dependent Ca(2+) signaling pathway, ultimately triggering
inflammation via the production of pro-inflammatory cytokines such as IL-1beta,
IL-6, IL-8, and NLRP 3 in HGECs.
PMID- 26561724
TI - Surface Porphyrins Metalate with Zn Ions from Solution.
AB - Controlling the metalation of surface porphyrins is a critical process in
porphyrin-based devices. Indeed, surface porphyrins are known to metalate in
ultrahigh vacuum from codeposited metal atoms or substrate atoms; however, it is
not yet known if surface porphyrins could metalate from ions in solution, that
is, the most likely environment for porphyrin-based devices. Using X-ray
photoelectron spectroscopy we have studied the metalation of monolayers and
multilayers of a free-base tetraphenyl porphyrin adsorbed on Au(111) with ions in
solution. We found that full metalation with Zn(2+) can be achieved already at
room temperature in contrast with the elevated temperatures required for
metalation with codeposited metal atoms.
PMID- 26561725
TI - Extended release microparticle-in-gel formulation of octreotide: Effect of
polymer type on acylation of peptide during in vitro release.
AB - Polymeric microparticles (MPs)-in-gel formulations for extended delivery of
octreotide were developed. We investigated influence of polymer composition on
acylation of octreotide and kinetics of release during in vitro release from
biodegradable polymeric formulations. Polycaprolactone (PCL), polylactic acid
(PLA), polyglycolic acid (PGA) and polyethylene glycol (PEG) based triblock
(TB~PCL10k-PEG2k-PCL10k) and pentablock (PBA~PLA3k-PCL7k-PEG2k-PCL7k-PLA3k and
PBB~PGA3k-PCL7k-PEG2k-PCL7k-PGA3k) polymers were investigated. Octreotide was
encapsulated in MPs using methanol-oil/water emulsion solvent evaporation method.
The particles were characterized for size, morphology, encapsulation efficiency,
drug loading and in vitro release. Release samples were subjected to HPLC
analysis for quantitation and HPLC-MS analysis for identification of native and
chemically modified octreotide adducts. Entrapment efficiency of methanol
oil/water method with TB, PBA and PBB polymers were 45%, 60%, and 82%,
respectively. A significant fraction of released octreotide was acylated from
lactide and glycolide based PBA (53%) and PBB (92%) polymers. Substantial amount
of peptide was not released from PBB polymers after 330 days of incubation.
Complete release of octreotide was achieved from TB polymer over a period of 3
months with minimal acylation of peptide (13%). PCL based polymers resulted in
minimal acylation of peptide and hence may be suitable for extended peptide and
protein delivery. Conversely, polymers having PLA and PGA blocks may not be
appropriate for peptide delivery due to acylation and incomplete release.
PMID- 26561726
TI - Optimization, physicochemical characterization and in vivo assessment of spray
dried emulsion: A step toward bioavailability augmentation and gastric toxicity
minimization.
AB - The limited solubility of BCS class II drugs diminishes their dissolution and
thus reduces their bioavailability. Our aim in this study was to develop and
optimize a spray dried emulsion containing indomethacin as a model for Class II
drugs, Labrasol(r)/Transuctol(r) mixture as the oily phase, and maltodextrin as a
solid carrier. The optimization was carried out using a 2(3) full factorial
design based on two independent variables, the percentage of carrier and
concentration of Poloxamer(r) 188. The effect of the studied parameters on the
spray dried yield, loading efficiency and in vitro release were thoroughly
investigated. Furthermore, physicochemical characterization of the optimized
formulation was performed. In vivo bioavailability, ulcerogenic capability and
histopathological features were assessed. The results obtained pointed out that
poloxamer 188 concentration in the formulation was the predominant factor
affecting the dissolution release, whereas the drug loading was driven by the
carrier concentration added. Moreover, the yield demonstrated a drawback by
increasing both independent variables studied. The optimized formulation
presented a complete release within two minutes thus suggesting an immediate
release pattern as well, the formulation revealed to be uniform spherical
particles with an average size of 7.5MUm entrapping the drug in its molecular
state as demonstrated by the DSC and FTIR studies. The in vivo evaluation,
demonstrated a 10-fold enhancement in bioavailability of the optimized
formulation, with absence of ulcerogenic side effect compared to the marketed
product. The results provided an evidence for the significance of spray dried
emulsion as a leading strategy for improving the solubility and enhancing the
bioavailability of class II drugs.
PMID- 26561727
TI - Impact of the nature and concentration of plasticizers on the ability of PVC to
sorb drug.
AB - The sorption of a drug by an infusion set may dramatically reduce the drug
delivery efficiency. In this paper, we investigated how the drug sorption, in
static conditions, is affected by the plasticizer's nature and ratio in the case
of plasticized PVC, one of the most common material for infusion set tubing.
Within the study, the drug concentration in diazepam solutions was studied after
contact with PVC films containing different amounts of DEHP, DEHT, TOTM and
DINCH(r) plasticizers. Moreover the partition coefficients between material and
water were calculated. The drug sorption levels were equivalent for the different
plasticizers and there was a plasticizer ratio for which the drug uptake was
enhanced. As a consequence, the amount of sorbed drug might not be only linked to
the amount of plasticizer in the film and to the solubility of the drug in the
plasticizer alone: it must probably depend on specific interactions between
plasticizer and PVC.
PMID- 26561728
TI - Large, Tunable Magnetoresistance in Nonmagnetic III-V Nanowires.
AB - Magnetoresistance, the modulation of resistance by magnetic fields, has been
adopted and continues to evolve in many device applications including hard-disk,
memory, and sensors. Magnetoresistance in nonmagnetic semiconductors has recently
raised much attention and shows great potential due to its large magnitude that
is comparable or even larger than magnetic materials. However, most of the
previous work focus on two terminal devices with large dimensions, typically of
micrometer scales, which severely limit their performance potential and more
importantly, scalability in commercial applications. Here, we investigate
magnetoresistance in the impact ionization region in InGaAs nanowires with 20 nm
diameter and 40 nm gate length. The deeply scaled dimensions of these nanowires
enable high sensibility with less power consumption. Moreover, in these three
terminal devices, the magnitude of magnetoresistance can be tuned by the
transverse electric field controlled by gate voltage. Large magnetoresistance
between 100% at room temperature and 2000% at 4.3 K can be achieved at 2.5 T.
These nanoscale devices with large magnetoresistance offer excellent opportunity
for future high-density large-scale magneto-electric devices using top-down
fabrication approaches, which are compatible with commercial silicon platform.
PMID- 26561729
TI - Air Pollution Exposure Model for Individuals (EMI) in Health Studies: Evaluation
for Ambient PM2.5 in Central North Carolina.
AB - Air pollution health studies of fine particulate matter (diameter <=2.5 MUm,
PM2.5) often use outdoor concentrations as exposure surrogates. Failure to
account for variability of indoor infiltration of ambient PM2.5 and time indoors
can induce exposure errors. We developed and evaluated an exposure model for
individuals (EMI), which predicts five tiers of individual-level exposure metrics
for ambient PM2.5 using outdoor concentrations, questionnaires, weather, and time
location information. We linked a mechanistic air exchange rate (AER) model to a
mass-balance PM2.5 infiltration model to predict residential AER (Tier 1),
infiltration factors (Tier 2), indoor concentrations (Tier 3), personal exposure
factors (Tier 4), and personal exposures (Tier 5) for ambient PM2.5. Using cross
validation, individual predictions were compared to 591 daily measurements from
31 homes (Tiers 1-3) and participants (Tiers 4-5) in central North Carolina.
Median absolute differences were 39% (0.17 h(-1)) for Tier 1, 18% (0.10) for Tier
2, 20% (2.0 MUg/m(3)) for Tier 3, 18% (0.10) for Tier 4, and 20% (1.8 MUg/m(3))
for Tier 5. The capability of EMI could help reduce the uncertainty of ambient
PM2.5 exposure metrics used in health studies.
PMID- 26561731
TI - Measurement of Femoral Vein Diameter by Ultrasound to Estimate Central Venous
Pressure.
AB - RATIONALE: Central venous pressure (CVP) can be estimated by ultrasound of the
inferior vena cava (IVC), but imaging the IVC is sometimes challenging. The
femoral vein is easily imaged by ultrasound and might therefore provide an
alternate target for estimating CVP. OBJECTIVES: To assess femoral vein diameter
(FVD) measured by ultrasound imaging for estimating CVP. METHODS: We
prospectively measured CVP and FVD in 97 patients. Receiver operating
characteristic curves were used to assess the ability of FVD to predict specific
CVP values: less than 10 mm Hg, less than 8 mm Hg (low CVP), and greater than 12
mm Hg (high CVP). Interobserver variability of FVD measurement was assessed in 20
patients. MEASUREMENTS AND MAIN RESULTS: There was moderate correlation between
FVD and CVP (r = 0.66, P < 0.001). FVD less than or equal to 0.8 cm was the best
predictor of CVP < 10 mm Hg, with an area under the curve (AUC) of 0.894 and a
95% confidence interval (CI) of 0.82 to 0.97. FVD less than or equal to 0.7 cm
performed best for predicting low CVP (AUC = 0.97; 95% CI, 0.94-0.99) and FVD
greater than or equal to 1.0 cm for high CVP (AUC = 0.80; 95% CI, 0.72-0.89).
However, FVD greater than or equal to 1.2 cm had the greatest specificity (94%)
for high CVP. Interobserver variability in FVD measurements was 8.3 +/- 7.2%.
CONCLUSIONS: The results of this exploratory study suggest that the accuracy of
FVD measured by ultrasound imaging for estimating CVP is comparable to that which
has been reported for ultrasound measurement of IVC diameter. FVD may provide an
alternative approach when the IVC is difficult to image. Additional studies on
other cohorts of patients are warranted to validate our proposed FVD cutoff
values for predicting low and high CVP.
PMID- 26561730
TI - Cell density regulates cancer metastasis via the Hippo pathway.
AB - Metastatic spread of cancer cells from the primary tumor site to distant organs
is the major cause of death in cancer patients. To disseminate, cancer cells
detach from the primary tumor, enter the blood stream and extravasate at distant
organ sites such as the liver, lung, bone or brain. While cancer cells are known
to evade contact inhibition during growth in culture, we found that cell density
is still sensed and can signal through the Hippo pathway effectors LATS1 and YAP.
These effectors control cancer cell invasive behavior into stromal tissues,
expression of cytokines that recruit inflammatory cells and progression toward
metastatic spread. In this perspective, we discuss the drivers and the
significance of pathways controlled by cell growth density.
PMID- 26561732
TI - Feruloyl glycerol and 1,3-diferuloyl glycerol antioxidant behavior in
phospholipid vesicles.
AB - Feruloyl-sn-glycerol (FG) and 1,3-diferuloyl-sn-glycerol (F2G), the by-product of
biocatalytic transesterification soybean oil and ethyl ferulate, were examined
for their behavior in phospholipid vesicles. Based on absorbance and fluorescence
methods, FG and F2G both were found to partition into vesicles and incorporate
well into 1,2-dioleoylphosphocholine (DOPC) vesicles. FG and F2G incorporation
resulted in vesicles that were as or slightly more stable than the unloaded
vesicles. FG and F2G both demonstrated the ability to maintain antioxidant
properties within the lipid bilayer. Bilayer depth analysis was conducted using
the parallax method and molecular modeling.
PMID- 26561733
TI - A randomized controlled trial of guided internet-delivered cognitive behaviour
therapy for older adults with generalized anxiety.
AB - This study aimed to establish the efficacy of guided Internet-delivered cognitive
behaviour therapy (ICBT) for older adults with generalized anxiety disorder (GAD)
or subclinical GAD. Participants were randomized to receive seven modules of ICBT
(n=24) or to a waiting list condition (WLC; n=22). Faster improvements in
symptoms of anxiety and depression were observed for participants in the ICBT
condition relative to the WLC, with large between-group effect sizes on the
Generalized anxiety disorder-7 (d=.85) and the Patient health questionnaire
(d=1.17) obtained at post-treatment. Further reduction in generalized anxiety
symptoms was reported over the one-month follow-up. Treatment effects were
replicated when control participants subsequently underwent treatment. Higher
ratings of treatment credibility, but not expectancy, prior to ICBT predicted
improvements over time. The results support the efficacy of ICBT as treatment for
older adults with GAD.
PMID- 26561734
TI - Latent profiles of DSM-5 PTSD symptoms and the "Big Five" personality traits.
AB - Typologies of DSM-5 PTSD symptoms and personality traits were evaluated in regard
to coping styles and treatment preferences using data from 1266 trauma-exposed
military veterans of which the majority were male (n=1097; weighted 89.6%).
Latent profile analyses indicated a best-fitting 5-class solution; PTSD
asymptomatic and emotionally stable (C1); predominant re-experiencing and
avoidance symptoms and less emotionally stable (C2); subsyndromal PTSD (C3);
predominant negative alterations in mood/cognitions and combined internalizing
externalizing traits (C4); and high PTSD severity and combined internalizing
externalizing traits (C5). Compared to C5, C1 members were less likely to use
self-distraction, denial, and substance use and more likely to use active coping;
C2 and C4 members were less likely to use denial and more likely to use
behavioral disengagement; C3 members were less likely to use denial and
instrumental coping and more likely to use active coping; most classes were less
likely to seek mental health treatment. Compared to C1, C2 members were more
likely to use self-distraction, substance use, behavioral disengagement and less
likely to use active coping; C3 members were more likely to use self-distraction,
and substance use, and less likely to use positive reframing, and acceptance; and
C4 members were more likely to use denial, substance use, emotional support, and
behavioral disengagement, and less likely to use active coping, positive
reframing, and acceptance; all classes were more likely to seek mental health
treatment. Emotional stability was most distinguishing of the typologies. Other
implications are discussed.
PMID- 26561735
TI - Analysis of Homogeneous Water Oxidation Catalysis with Collector-Generator Cells.
AB - A collector-generator (C-G) technique has been applied to determine the Faradaic
efficiencies for electrocatalytic O2 production by the homogeneous water
oxidation catalysts Ru(bda)(isoq)2 (1; bda = 2,2'-bipyridine and isoq =
isoquinoline) and [Ru(tpy)(bpz)(OH2)](2+) (2; tpy = 2,2':6',2"-terpyridine and
bpz = 2,2'-bipyrazine). This technique uses a custom-fabricated cell consisting
of two fluorine-doped tin oxide (FTO) working electrodes separated by 1 mm with
the conductive sides facing each other. With a catalyst in solution, water
oxidation occurs at one FTO electrode under a sufficient bias to drive O2
formation by the catalyst; the O2 formed then diffuses to the second FTO
electrode poised at a potential sufficiently negative to drive O2 reduction. A
comparison of the current versus time response at each electrode enables
determination of the Faradaic efficiency for O2 production with high
concentrations of supporting electrolyte important for avoiding capacitance
effects between the electrodes. The C-G technique was applied to electrocatalytic
water oxidation by 1 in the presence of the electron-transfer mediator
Ru(bpy)3(2+) in both unbuffered aqueous solutions and with the added buffer bases
HCO3(-), HPO4(2-), imidazole, 1-methylimidazole, and 4-methoxypyridine. HCO3(-)
and HPO4(2-) facilitate water oxidation by atom-proton transfer (APT), which gave
Faradaic yields of 100%. With imidazole as the buffer base, coordination to the
catalyst inhibited water oxidation. 1-Methylimidazole and 4-methoxypyridine gave
O2 yields of 55% and 76%, respectively, with the lower Faradaic efficiencies
possibly due to competitive C-H oxidation of the bases. O2 evolution by catalyst
2 was evaluated at pH 12 with 0.1 M PO4(3-) and at pH 7 in a 0.1 M H2PO4(
)/HPO4(2-) buffer. At pH 12, at an applied potential of 0.8 V vs SCE, water
oxidation by the Ru(IV)(O)(2+) form of the catalyst gave O2 in 73% yield. In a pH
7 solution, water oxidation at 1.4 V vs SCE, which is dominated by Ru(V)(O)(3+),
gave O2 with an efficiency of 100%. The lower efficiency for Ru(IV)(O)(2+) at pH
12 may be due to competitive oxidation of a polypyridyl ligand.
PMID- 26561736
TI - Association of Surgeon Volume With Outcomes and Cost Savings Following
Thyroidectomy: A National Forecast.
AB - IMPORTANCE: Incidence of thyroidectomies is continuing to increase. Identifying
factors associated with favorable outcomes can lead to cost savings. OBJECTIVE:
To assess the association of surgeon volume with clinical outcomes and costs of
thyroidectomy. DESIGN, SETTING, AND PARTICIPANTS: Cross-sectional analysis
performed in October of 2014 of adult (>= 18 years) inpatients in US community
hospitals using the Nationwide Inpatient Sample for the years 2003 through 2009.
EXPOSURES: Thyroidectomy. MAIN OUTCOMES AND MEASURES: Complications, length of
stay, and cost following thyroidectomy in relation to surgeon volume. Surgeon
volumes were stratified into low (1-3 thyroidectomies per year), intermediate (4
29 thyroidectomies per year), and high (>= 30 thyroidectomies per year). RESULTS:
A total of 77,863 patients were included. Procedures performed by low-volume
surgeons were associated with a higher risk of postoperative complications
compared with high-volume surgeons (15.8% vs 7.7%; OR, 1.55 [95% CI, 1.19-2.03];
P = .001). Mean (SD) hospital cost was significantly associated with surgeon
volume (high volume, $6662.69 [$409.31]; intermediate volume, $6912.41 [$137.20];
low volume, $10,396.21 [$345.17]; P < .001). During the study period, if all
operations performed by low-volume surgeons had been selectively referred to
intermediate- or high-volume surgeons, savings of 11.2% or 12.2%, respectively,
would have been incurred. On the basis of the cost growth rate, greater savings
are forecasted for high-volume surgeons. With a conservative assumption of
150,000 thyroidectomies per year in the United States, referral of all patients
to intermediate- or high-volume surgeons would produce savings of $2.08 billion
or $3.11 billion, respectively, over a span of 14 years. CONCLUSIONS AND
RELEVANCE: A surgeon's expertise (measured by surgical volume of procedures per
year) is associated with favorable clinical as well as financial outcomes. Our
model estimates that considerable cost savings are attainable if higher-volume
surgeons perform thyroid procedures in the United States.
PMID- 26561737
TI - Artemisinin, a miracle of traditional Chinese medicine.
AB - The 2015 Nobel Prize in Physiology or Medicine, shared by Professor Youyou Tu,
focused worldwide attention on artemisinin, a natural product antimalarial drug
inspired by traditional Chinese medicine (TCM). This is the first Nobel Prize in
natural sciences presented to a Chinese scientist for her impactful research work
in China in collaboration with other Chinese scientists. We are delighted to
provide the background and implications of the discovery of artemisinin, along
with our personal viewpoints toward the affordability of modern medicines from
natural products.
PMID- 26561738
TI - NMR of (133)Cs(+) in stretched hydrogels: One-dimensional, z- and NOESY spectra,
and probing the ion's environment in erythrocytes.
AB - (133)Cs nuclear magnetic resonance (NMR) spectroscopy was conducted on (133)Cs(+)
in gelatin hydrogels that were either relaxed or stretched. Stretching generated
a septet from this spin-7/2 nucleus, and its nuclear magnetic relaxation was
studied via z-spectra, and two-dimensional nuclear Overhauser (NOESY)
spectroscopy. Various spectral features were well simulated by using Mathematica
and the software package SpinDynamica. Spectra of CsCl in suspensions of human
erythrocytes embedded in gelatin gel showed separation of the resonances from the
cation inside and outside the cells. Upon stretching the sample, the
extracellular (133)Cs(+) signal split into a septet, while the intracellular peak
was unchanged, revealing different alignment/ordering properties of the
environment inside and around the cells. Differential interference contrast light
microscopy confirmed that the cells were stretched when the overall sample was
elongated. Analysis of the various spectral features of (133)Cs(+) reported here
opens up applications of this K(+) congener for studies of cation-handling by
metabolically-active cells and tissues in aligned states.
PMID- 26561739
TI - Characteristics of Cell-Penetrating Peptide/Nucleic Acid Nanoparticles.
AB - Nucleic acids are highly promising candidates for the treatment of various
genetic diseases. However, due to the large size and negative charge, nucleic
acids are not efficiently taken up by cells, and thus, their clinical potential
remains limited so far. Therefore, various delivery vehicles have been designed
to assist the cellular uptake of nucleic acids. Among these, cell-penetrating
peptides (CPPs) have gained increasing popularity as efficient and nontoxic
delivery vectors. CPPs can be coupled to nucleic acids either by covalent or
noncovalent association. Noncovalent coupling, which is based on the formation of
nanoparticle-like nanocomplexes (NP), has received much attention in recent
years, and the number of studies employing the strategy is explosively increasing
due to the high therapeutic potential. However, the properties of CPP/nucleic
acid NPs have not been characterized in sufficient detail yet. We performed a
comprehensive analysis of the size and morphology of nucleic acid nanoparticles
with novel transfection peptides, PepFects (PFs) and NickFects (NFs), using
negative staining transmission electron microscopy (TEM). In addition, we
examined whether the attachment of fluorescence or (nano)gold label to nucleic
acid affects the nanocomplex formation or its morphology. We demonstrated that
transportan-10-based new generation CPPs from PF and NF families condense nucleic
acids to NPs of homogeneous size and shape. The size and shape of assembled
nanoparticles depend on the type of the complexed nucleic acid and the sequence
of the used peptide, whereas the label on the nucleic acid does not influence the
gross characteristics of formed NPs.
PMID- 26561741
TI - Feed supplemented with polyphenolic byproduct from olive mill wastewater
processing improves the redox status in blood and tissues of piglets.
AB - In the present study, a polyphenolic byproduct from olive mill wastewater (OMWW)
was used for making piglet feed with antioxidant activity. For examining the
antioxidant capacity of the feed, 30 piglets of 20 d old were divided into two
groups receiving basal or experimental feed for 30 d. Blood and tissue samples
were drawn at days 2, 20, 35 and 50 post-birth. The tissues collected were brain,
heart, kidney, liver, lung, quadriceps muscle, pancreas, spleen and stomach. The
antioxidant effects of the experimental feed were assessed by measuring oxidative
stress biomarkers in blood and tissues. The oxidative stress markers were total
antioxidant capacity (TAC), glutathione (GSH), catalase activity (CAT), protein
carbonyls (CARB) and thiobarbituric acid reactive species (TBARS). The results
showed that piglets fed with diet supplemented with OMWW polyphenols had
significantly increased antioxidant mechanisms in blood and the majority of the
tested tissues as shown by increases in TAC, CAT and GSH compared to control
group. Moreover, piglets fed with the experimental feed exhibited decreased
oxidative stress-induced damage to lipids and proteins as shown by decreases in
TBARS and CARB respectively. This is the first study in which OMWW polyphenols
were used for making pig feed with antioxidant activity.
PMID- 26561742
TI - Design, synthesis and biological screening of new 4-thiazolidinone derivatives
with promising COX-2 selectivity, anti-inflammatory activity and gastric safety
profile.
AB - Two series of new thiazolidin-4-one derivatives 4a-c and 8a-e were designed and
prepared. All the synthesized compounds were evaluated for their in vitro COX-2
selectivity and anti-inflammatory activity in vivo. Compounds 8c and 8d showed
the best overall in vitro COX-2 selectivity (selectivity indexes of 4.56 and 5.68
respectively) and in vivo activities (edema inhibition %=61.8 and 67 after 3h,
respectively) in comparison with the reference drug celecoxib (S.I.=7.29, edema
inhibition %=60 after 3h). In addition, 8c and 8d were evaluated for their mean
effective anti-inflammatory doses (ED50=27.7 and 18.1 MUmol/kg respectively,
celecoxib ED50=28.2 MUmol/kg) and ulcerogenic liability (reduction in ulcerogenic
potential versus celecoxib=85%, 92% respectively. Molecular docking studies were
performed and the results were in agreement with that obtained from the in vitro
COX inhibition assays.
PMID- 26561740
TI - Critical review of resveratrol in xenobiotic-induced hepatotoxicity.
AB - Use of natural products is increasingly popular. In fact, many patients with
liver diseases self-medicate with herbal supplements. Resveratrol (RSV), in
particular, is a common natural product that can reduce injury in experimental
models of liver disease. Xenobiotic hepatotoxicity is a particularly important
area-of-need for therapeutics. Drug-induced liver injury, for example, is the
most common cause of acute liver failure (ALF) and ALF-induced deaths in many
countries. Importantly, RSV protects against hepatotoxicity in animal models in
vivo caused by several drugs and chemicals and may be an effective intervention.
Although many mechanisms have been proposed to explain the protection, not all
are consistent with other data. Furthermore, RSV suffers from other issues,
including limited bioavailability due to extensive hepatic metabolism. The
purpose of this article is to summarize recent findings on the protective effects
of RSV in xenobiotic-induced liver injury and other forms of liver injury and to
provide a critical review of the underlying mechanisms. New mechanisms that are
more consistent with data emerging from the toxicology field are suggested.
Efforts to move RSV into clinical use are also considered. Overall, RSV is a
promising candidate for therapeutic use, but additional studies are needed to
better understand its effects.
PMID- 26561744
TI - The regulation of exosome function in the CNS: implications for
neurodegeneration.
AB - Exosomes are nanovesicles, generally 50 to 90 nm in diameter, that correspond to
the intraluminal vesicles of the endosomal multivesicular bodies and are secreted
upon fusion of multivesicular bodies with the plasma membrane. Their molecular
content is highly selected and includes not only specific proteins and lipids,
but also RNA species, such as messenger RNAs (mRNAs) and microRNAs (miRNAs),
which are delivered and active in target cells. As they are released in body
fluids, exosomes can shuttle molecules for long distances. In the CNS they have
been shown to regulate neuronal development and regeneration, and to modulate
synaptic functions. In neurodegenerative diseases, they have an important role in
propagating neurotoxic misfolded protein from one cell to another and, as recent
data show, possibly other molecules contributing to neurotoxicity. Some exosomal
lipids such as gangliosides GM1 and GM3 enhance the aggregation of alpha
synuclein, and RNA exosomal cargo is also altered during pathologies such as
Alzheimer's disease, prion diseases and amyotrophic lateral sclerosis. The aim of
this review is to focus on the regulation of CNS exosomal function and highlight
pathways that might have a role in the neurodegenerative process. The
identification of the novel exosomal molecules involved in neurodegenerative
diseases could provide important insights into the pathogenesis and contribute to
the finding of novel diagnostic biomarkers and therapeutic approaches.
PMID- 26561743
TI - Species detection using HyBeacon((r)) probe technology: Working towards rapid
onsite testing in non-human forensic and food authentication applications.
AB - Identifying individual species or determining species' composition in an unknown
sample is important for a variety of forensic applications. Food authentication,
monitoring illegal trade in endangered species, forensic entomology, sexual
assault case work and counter terrorism are just some of the fields that can
require the detection of the biological species present. Traditional laboratory
based approaches employ a wide variety of tools and technologies and exploit a
number of different species specific traits including morphology, molecular
differences and immuno-chemical analyses. A large number of these approaches
require laboratory based apparatus and results can take a number of days to be
returned to investigating authorities. Having a presumptive test for rapid
identification could lead to savings in terms of cost and time and allow sample
prioritisation if confirmatory testing in a laboratory is required later. This
model study describes the development of an assay using a single HyBeacon((r))
probe and melt curve analyses allowing rapid screening and authentication of food
products labelled as Atlantic cod (Gadus morhua). Exploiting melt curve detection
of species specific SNP sites on the COI gene the test allows detection of a
target species (Atlantic cod) and closely related species which may be used as
substitutes. The assay has been designed for use with the Field Portable ParaDNA
system, a molecular detection platform for non-expert users. The entire process
from sampling to result takes approximately 75min. Validation studies were
performed on both single source genomic DNA, mixed genomic DNA and commercial
samples. Data suggests the assay has a lower limit of detection of 31 pg DNA. The
specificity of the assay to Atlantic cod was measured by testing highly processed
food samples including frozen, defrosted and cooked fish fillets as well as fish
fingers, battered fish fillet and fish pie. Ninety-six (92.7%) of all Atlantic
cod food products, tested, provided a correct single species result with the
remaining samples erroneously identified as containing non-target species. The
data shows that the assay was quick to design and characterise and is also
capable of yielding results that would be beneficial in a variety of fields, not
least the authentication of food.
PMID- 26561745
TI - Prone position for acute respiratory failure in adults.
AB - BACKGROUND: Acute hypoxaemia de novo or on a background of chronic hypoxaemia is
a common reason for admission to intensive care and for provision of mechanical
ventilation. Various refinements of mechanical ventilation or adjuncts are
employed to improve patient outcomes. Mortality from acute respiratory distress
syndrome, one of the main contributors to the need for mechanical ventilation for
hypoxaemia, remains approximately 40%. Ventilation in the prone position may
improve lung mechanics and gas exchange and could improve outcomes. OBJECTIVES:
The objectives of this review are (1) to ascertain whether prone ventilation
offers a mortality advantage when compared with traditional supine or semi
recumbent ventilation in patients with severe acute respiratory failure requiring
conventional invasive artificial ventilation, and (2) to supplement previous
systematic reviews on prone ventilation for hypoxaemic respiratory failure in an
adult population. SEARCH METHODS: We searched the Cochrane Central Register of
Controlled Trials (CENTRAL; 2014, Issue 1), Ovid MEDLINE (1950 to 31 January
2014), EMBASE (1980 to 31 January 2014), the Cumulative Index to Nursing and
Allied Health Literature (CINAHL) (1982 to 31 January 2014) and Latin American
Caribbean Health Sciences Literature (LILACS) (1992 to 31 January 2014) in Ovid
MEDLINE for eligible randomized controlled trials. We also searched for studies
by handsearching reference lists of relevant articles, by contacting colleagues
and by handsearching published proceedings of relevant journals. We applied no
language constraints, and we reran the searches in CENTRAL, MEDLINE, EMBASE,
CINAHL and LILACS in June 2015. We added five new studies of potential interest
to the list of "Studies awaiting classification" and will incorporate them into
formal review findings during the review update. SELECTION CRITERIA: We included
randomized controlled trials (RCTs) that examined the effects of prone position
versus supine/semi recumbent position during conventional mechanical ventilation
in adult participants with acute hypoxaemia. DATA COLLECTION AND ANALYSIS: Two
review authors independently reviewed all trials identified by the search and
assessed them for suitability, methods and quality. Two review authors extracted
data, and three review authors reviewed the data extracted. We analysed data
using Review Manager software and pooled included studies to determine the risk
ratio (RR) for mortality and the risk ratio or mean difference (MD) for secondary
outcomes; we also performed subgroup analyses and sensitivity analyses. MAIN
RESULTS: We identified nine relevant RCTs, which enrolled a total of 2165
participants (10 publications). All recruited participants suffered from
disorders of lung function causing moderate to severe hypoxaemia and requiring
mechanical ventilation, so they were fairly comparable, given the heterogeneity
of specific disease diagnoses in intensive care. Risk of bias, although
acceptable in the view of the review authors, was inevitable: Blinding of
participants and carers to treatment allocation was not possible (face-up vs face
down).Primary analyses of short- and longer-term mortality pooled from six trials
demonstrated an RR of 0.84 to 0.86 in favour of the prone position (PP), but
findings were not statistically significant: In the short term, mortality for
those ventilated prone was 33.4% (363/1086) and supine 38.3% (395/1031). This
resulted in an RR of 0.84 (95% confidence interval (CI) 0.69 to 1.02) marginally
in favour of PP. For longer-term mortality, results showed 41.7% (462/1107) for
prone and 47.1% (490/1041) for supine positions, with an RR of 0.86 (95% CI 0.72
to 1.03). The quality of the evidence for both outcomes was rated as low as a
result of important potential bias and serious inconsistency.Subgroup analyses
for mortality identified three groups consistently favouring PP: those recruited
within 48 hours of meeting entry criteria (five trials; 1024 participants showed
an RR of 0.75 (95% CI 0.59 to 94)); those treated in the PP for 16 or more hours
per day (five trials; 1005 participants showed an RR of 0.77 (95% CI 0.61 to
0.99)); and participants with more severe hypoxaemia at trial entry (six trials;
1108 participants showed an RR of 0.77 (95% CI 0.65 to 0.92)). The quality of the
evidence for these outcomes was rated as moderate as a result of potentially
important bias.Prone positioning appeared to influence adverse effects: Pressure
sores (three trials; 366 participants) with an RR of 1.37 (95% CI 1.05 to 1.79)
and tracheal tube obstruction with an RR of 1.78 (95% CI 1.22 to 2.60) were
increased with prone ventilation. Reporting of arrhythmias was reduced with PP,
with an RR of 0.64 (95% CI 0.47 to 0.87). AUTHORS' CONCLUSIONS: We found no
convincing evidence of benefit nor harm from universal application of PP in
adults with hypoxaemia mechanically ventilated in intensive care units (ICUs).
Three subgroups (early implementation of PP, prolonged adoption of PP and severe
hypoxaemia at study entry) suggested that prone positioning may confer a
statistically significant mortality advantage. Additional adequately powered
studies would be required to confirm or refute these possibilities of subgroup
benefit but are unlikely, given results of the most recent study and
recommendations derived from several published subgroup analyses. Meta-analysis
of individual patient data could be useful for further data exploration in this
regard. Complications such as tracheal obstruction are increased with use of
prone ventilation. Long-term mortality data (12 months and beyond), as well as
functional, neuro-psychological and quality of life data, are required if future
studies are to better inform the role of PP in the management of hypoxaemic
respiratory failure in the ICU.
PMID- 26561746
TI - Can Patient Risk Factors Outperform Antiemetic Guidelines?: Choosing Wisely.
PMID- 26561747
TI - The Frequency of Truly Unknown/Undetermined Deaths: A Review of 452 Cases Over a
5-Year Period.
AB - The forensic autopsy is often regarded as the final medical diagnosis and expert
scientific opinion in matters of death investigation, usually in the legal
setting, and sometimes in the clinical setting as well. There are however
limitations to what the autopsy can answer, and sometimes the circumstances
surrounding a death, despite our best efforts, are simply unable to be
determined. Approximately 5% of cases reportedly remain unknown after a complete
autopsy. With this in mind, we sought to examine the frequency of deaths in which
both the cause and manner are unknown after complete forensic examination and
autopsy. Data from 452 undetermined deaths over a 5-year period were analyzed;
sudden unexpected infant deaths and decomposed or skeletonized remains were
excluded, as well as those with a known cause but undetermined manner of death.
After exclusion of these deaths, our analysis revealed that only 0.015% of cases
during this period were truly undetermined in both cause and manner of death.
PMID- 26561748
TI - Simultaneous sulfate and zinc removal from acid wastewater using an acidophilic
and autotrophic biocathode.
AB - The aim of this study was to develop microbial electrolysis cell (MEC) with a
novel acidophilic and autotrophic biocathode for treatment of acid wastewater. A
biocathode was developed using acidophilic sulfate-reducing bacteria as the
catalyst. Artificial wastewater with 200mgL(-1) sulfate and different Zn
concentrations (0, 15, 25, and 40 mg L(-1)) was used as the MEC catholyte. The
acidophilic biocathode dominated by Desulfovibrio sp. with an abundance of 66%
(with 82% of Desulfovibrio sequences similar to Desulfovibrio simplex) and
achieved a considerable sulfate reductive rate of 32 gm(-3)d(-1). With 15 mg L(
1) Zn added, the sulfate reductive rate of MEC improved by 16%. The formation of
ZnS alleviated the inhibition from sulfide and sped the sulfate reduction. With
15 and 25 mgL(-1) Zn added, more than 99% of Zn was removed from the wastewater.
Dissolved Zn ions in the catholyte were converted into insoluble Zn compounds,
such as zinc sulfide and zinc hydroxide, due to the sulfide and elevated pH
produced by sulfate reduction. The MEC with acidophilic and autotrophic
biocathode can be used as an alternative to simultaneously remove sulfate and
metals from acid wastewaters, such as acid mine drainage.
PMID- 26561749
TI - Pilot test of biological removal of 1,4-dioxane from a chemical factory
wastewater by gel carrier entrapping Afipia sp. strain D1.
AB - A pilot-scale (120 L) bioreactor system using a gel carrier-entrapped pure
bacterial strain, Afipia sp. strain D1, capable of degrading 1,4-dioxane as a
sole carbon and energy source was constructed and applied to treat real
industrial wastewater containing 1,4-dioxane from a chemical factory. Although
the wastewater not only contained high concentrations of 1,4-dioxane but also
considerable amounts of other organic compounds (73 mg-TOCL(-1) on average), the
bioreactor could efficiently remove 1,4-dioxane without significant inhibitory
effects. The reactor startup could be completed within approximately 1 month by
increasing the 1,4-dioxane loading rate (0.09-0.47 kg-dioxanem(-3)d(-1)) in a
stepwise manner. Effective 1,4-dioxane removal was stably maintained for 3 months
with an influent 1,4-dioxane of 570-730 mg L(-1), giving an average effluent
concentration and removal rate of 3.4 mg L(-1) and 0.46 kg-dioxanem(-3)d(-1),
respectively. A 1,4-dioxane loading fluctuation between 0.14 and 0.72 kg
dioxanem(-3)d(-1) did not significantly affect its removal, and more than 99%
removal efficiency was constantly maintained. The Monod model could well describe
the relationship between the effluent 1,4-dioxane concentration and 1,4-dioxane
removal rates of the bioreactors, showing that the half-saturation constant (Ks)
was 28 mg L(-1).
PMID- 26561750
TI - Cerium oxide for the destruction of chemical warfare agents: A comparison of
synthetic routes.
AB - Four different synthetic routes were used to prepare active forms of cerium oxide
that are capable of destroying toxic organophosphates: a sol-gel process (via a
citrate precursor), homogeneous hydrolysis and a precipitation/calcination
procedure (via carbonate and oxalate precursors). The samples prepared via
homogeneous hydrolysis with urea and the samples prepared via precipitation with
ammonium bicarbonate (with subsequent calcination at 500 degrees C in both cases)
exhibited the highest degradation efficiencies towards the extremely dangerous
nerve agents soman (O-pinacolyl methylphosphonofluoridate) and VX (O-ethyl S-[2
(diisopropylamino)ethyl] methylphosphonothioate) and the organophosphate
pesticide parathion methyl. These samples were able to destroy more than 90% of
the toxic compounds in less than 10 min. The high degradation efficiency of
cerium oxide is related to its complex surface chemistry (presence of surface OH
groups and surface non-stoichiometry) and to its nanocrystalline nature, which
promotes the formation of crystal defects on which the decomposition of
organophosphates proceeds through a nucleophilic substitution mechanism that is
not dissimilar to the mechanism of enzymatic hydrolysis of organic phosphates by
phosphotriesterase.
PMID- 26561751
TI - Impact of phosphate on glyphosate uptake and toxicity in willow.
AB - Phosphate (PO4(3-)) has been shown to increase glyphosate uptake by willow, a
plant species known for its phytoremediation potential. However, it remains
unclear if this stimulation of glyphosate uptake can result in an elevated
glyphosate toxicity to plants (which could prevent the use of willows in
glyphosate-remediation programs). Consequently, we studied the effects of PO4(3-)
on glyphosate uptake and toxicity in a fast growing willow cultivar (Salix
miyabeana SX64). Plants were grown in hydroponic solution with a combination of
glyphosate (0, 0.001, 0.065 and 1 mg l(-1)) and PO4(3-) (0, 200 and 400 mg l(
1)). We demonstrated that PO4(3-) fertilization greatly increased glyphosate
uptake by roots and its translocation to leaves, which resulted in increased
shikimate concentration in leaves. In addition to its deleterious effects in
photosynthesis, glyphosate induced oxidative stress through hydrogen peroxide
accumulation. Although it has increased glyphosate accumulation, PO4(3-)
fertilization attenuated the herbicide's deleterious effects by increasing the
activity of antioxidant systems and alleviating glyphosate-induced oxidative
stress. Our results indicate that in addition to the glyphosate uptake, PO4(3-)
is involved in glyphosate toxicity in willow by preventing glyphosate induced
oxidative stress.
PMID- 26561752
TI - Adsorption of nitrate from aqueous solution by magnetic amine-crosslinked
biopolymer based corn stalk and its chemical regeneration property.
AB - A novel adsorbent of magnetic amine-crosslinked biopolymer based corn stalk (MAB
CS) was synthesized and used for nitrate removal from aqueous solution. The
characters and adsorption mechanisms of this bio-adsorbent were determined by
using VSM, TGA, XRD, SEM, TEM, FT-IR and XPS, respectively. The results revealed
that the saturated magnetization of MAB-CS reached 6.25 emu/g. Meanwhile, the
studies of various factors indicated that this novel magnetic bio-adsorbent
performed well over a considerable wide pH range of 6.0 ~ 9.0, and the presence
of PO4(3-) and SO4(2-) would markedly decrease the nitrate removal efficiency.
Furthermore, the nitrate adsorption by MAB-CS perfectly fitted the Langmuir
isotherm model (R(2)=0.997-0.999) and pseudo second order kinetic model
(R(2)=0.953-0.995). The calculated nitrate adsorption capacity of MAB-CS was
102.04 mg/g at 318 K by Langmuir model, and thermodynamic study showed that
nitrate adsorption is an spontaneous endothermic process. The regeneration
experiments indicated its merit of regeneration and stability with the recovery
efficient of 118 ~ 147%. By integrating the experimental results, it was found
that the removal of nitrate was mainly via electrostatic attraction and ion
exchange. And this novel bio-adsorbent prepared in this work could achieve
effective removal of nitrate and rapid separation from effluents simultaneously.
PMID- 26561754
TI - The roles of a pillared bentonite on enhancing Se(VI) removal by ZVI and the
influence of co-existing solutes in groundwater.
AB - The zero-valent iron permeable reactive barrier (ZVI-PRB) is a promising
technology for in-situ groundwater remediation. However, its long-term
performance often declined due to the blocked reactive sites by corrosion
products and by interference of co-existing solutes. In order to address these
issues, a pillared bentonite (Al-bent) was homogeneously mixed with ZVI for
removing selenate (Se(VI)) from simulated groundwater in column experiments. The
Se(VI) removal was enhanced because first Al-bent could facilitate the mass
transfer of Se(VI) from solution to iron surface and accelerate Se(VI) reduction.
XANES analysis indicated that Se(VI) was almost completely reduced to Se(0) and
Se(-II) of less toxicity and solubility by the ZVI/Al-bent mixture, and the
buffering effect of Al-bent could maintain the pH at a lower level that favored
the Se(VI) removal. Besides, Al-bent could transfer the corrosion products away
from iron surface, leading to the enhanced reactivity and longevity of ZVI. The
inhibition on reactivity towards Se(VI) in both the single ZVI and the ZVI/Al
bent systems increased in the order of Cl(-)AO-H2O2>AO. The
biodegradability (BOD5/COD) increased from 0 initially to 0.24, 0.09, and 0.03
for EF, AO-H2O2 and AO processes after 360 min treatment, respectively. Effects
of several parameters such as current density, initial pH and Fe(2+)
concentration on the EF degradation have also been examined. Three carboxylic
acids including oxalic, formic and acetic acid were detected, as well as the
released inorganic ions NH4(+), NO3(-) and F(-). At last, an ultra-performance
liquid chromatography coupled with time-of-flight mass spectrometry was used to
identify about eight aromatic intermediates formed in 60 min of EF treatment, and
a plausible mineralization pathway for LEVO by EF treatment was proposed.
PMID- 26561757
TI - ACSM Clinician Profile.
PMID- 26561758
TI - Updates on Team Rhabdomyolysis, Caffeine Fatalities, and Heat Cramping.
PMID- 26561760
TI - Common Tendon Injuries in the Hand.
PMID- 26561761
TI - Clinical Utility of Wilson Test for Osteochondral Lesions at the Knee.
PMID- 26561762
TI - Bilateral Glenoid Hypoplasia in a College Football Athlete: a Case Report and
Review of the Literature.
PMID- 26561763
TI - Rapid Weight Loss in Sports with Weight Classes.
AB - Weight-sensitive sports are popular among elite and nonelite athletes. Rapid
weight loss (RWL) practice has been an essential part of many of these sports for
many decades. Due to the limited epidemiological studies on the prevalence of
RWL, its true prevalence is unknown. It is estimated that more than half of
athletes in weight-class sports have practiced RWL during the competitive
periods. As RWL can have significant physical, physiological, and psychological
negative effects on athletes, its practice has been discouraged for many years.
It seems that appropriate rule changes have had the biggest impact on the
practice of RWL in sports like wrestling. An individualized and well-planned
gradual and safe weight loss program under the supervision of a team of coaching
staff, athletic trainers, sports nutritionists, and sports physicians is
recommended.
PMID- 26561764
TI - Cross-Country Skiing Injuries and Training Methods.
AB - Cross-country skiing is a low injury-risk sport that has many health benefits and
few long-term health risks. Some concern exists that cross-country skiing may be
associated with a higher incidence of atrial fibrillation; however, mortality
rates among skiers are lower than those among the general population. While
continuing to emphasize aerobic and anaerobic training, training methods also
should promote ski-specific strength training to increase maximum force and its
rate of delivery and to build muscular endurance to maintain that power through a
race. Multiple tests are available to monitor training progress. Which tests are
most appropriate depends on the specific events targeted. In addition to
laboratory-based tests, there also are many simpler, more cost-effective tests,
such as short time trials, that can be used to monitor training progress and
predict performance particularly at the junior skier level where access and cost
may be more prohibitive.
PMID- 26561765
TI - Athletes Doing Arabesques: Important Considerations in the Care of Young Dancers.
AB - Dance is as much a sport as an art form. Sports medicine clinicians seeing
dancers in their practice will need to be familiar with the unique
characteristics of dance in order to provide proper care. Dance encompasses
different forms, which vary in equipment and terminology. The epidemiology of
dance injuries has historically focused on ballet, but there is increasing
research on other dance forms. Lower extremity and back injuries predominate.
Injury prevention, both primary and secondary, is at the heart of dance medicine.
Primary prevention includes preseason conditioning, identifying risk factors for
injury, and recognizing the female athlete triad. Secondary prevention includes a
comprehensive approach to injury rehabilitation, an appreciation for the unique
demands of dance, and an understanding of the particulars of the injury being
treated. Dancers may have difficulty accessing medical care or following
prescribed advice; the proactive clinician will anticipate these situations.
PMID- 26561766
TI - Little League Elbow in a Prepubertal Cricket Player.
PMID- 26561767
TI - Popliteal Artery Entrapment in a Classical Ballet Dancer: Successful Conservative
Management.
PMID- 26561768
TI - Heat Illness in Football: Current Concepts.
AB - Despite growing health and safety concerns, American football remains a vastly
popular sport in the United States. Unfortunately, even with increased efforts in
promoting education and hydration, the incidence of death from exertional heat
stroke continues to rise. General risk factors such as hydration status, obesity,
fitness level, and football-specific risk factors such as timing of training camp
and equipment all contribute to the development of heat illness. At the
professional level, changes have been made to effectively reduce mortality from
heat stroke with no deaths since August 2001. However, there have been at least
33 total deaths at the high school and collegiate levels since this time. More
efforts need to be focused at these levels to mandate exertional heat illness
prevention guidelines in order to reverse this trend of mortality in our younger
athletes.
PMID- 26561769
TI - Adhesive systems for restoring primary teeth: a systematic review and meta
analysis of in vitro studies.
AB - AIM: To systematically review the literature for in vitro studies that evaluated
the immediate or after ageing bond strength of etch-and-rinse and self-etch
adhesive systems to enamel and dentin of primary teeth. DESIGN: The search was
conducted in PubMed/MEDLINE, Cochrane, SciELO, Lilacs, and Scopus databases with
no publication year or language limits, following the preferred reporting items
for systematic reviews and meta-analyses (PRISMA) statement. From 459 potentially
eligible studies, 39 were selected for full-text analysis, and 5 were identified
in reference lists, with 36 considered in the meta-analysis. Two reviewers
independently selected the studies, extracted the data, and assessed the risk of
bias. Pooling bond strength data was calculated using random effects analysis
method, comparing two categories of adhesives (etch-and-rinse versus self-etch
systems) when applied in different types and conditions of substrate (alpha =
0.05). RESULTS: No statistical significant difference in bond strength between
both categories was observed in caries-affected dentin at immediate evaluation
and in sound dentin after ageing. Etch-and-rinse adhesives, however, performed
better in sound enamel and dentin substrates considering immediate bond strength.
None study assessed the long-term adhesive effectiveness to sound or
demineralized enamel. CONCLUSION: Although the articles included in this meta
analysis showed high heterogeneity and high risk of bias, the in vitro literature
suggests superior performance of etch-and-rinse adhesives in primary teeth in
comparison with self-etch systems.
PMID- 26561770
TI - Eliciting dual-frequency SSVEP using a hybrid SSVEP-P300 BCI.
AB - BACKGROUND: Steady-state visual-evoked potential (SSVEP)-based brain-computer
interfaces (BCIs) generate weak SSVEP with a monitor and cannot use harmonic
frequencies, whereas P300-based BCIs need multiple stimulation sequences. These
issues can decrease the information transfer rate (ITR). NEW METHOD: In this
paper, we introduce a novel hybrid SSVEP-P300 speller that generates dual
frequency SSVEP, allowing it to overcome the abovementioned limitations and
improve the performance. The hybrid speller consists of nine panels flickering at
different frequencies. Each panel contains four different characters that appear
in a random sequence. The flickering panel and the periodically updating
character evoke the dual-frequency SSVEP, while the oddball stimulus of the
target character evokes the P300. A canonical correlation analysis (CCA) and a
step-wise linear discriminant analysis (SWLDA) classified SSVEP and P300,
respectively. Ten subjects participated in offline and online experiments, in
which accuracy and ITR were compared with those of conventional SSVEP and P300
spellers. RESULTS: The offline analysis revealed not only the P300 potential but
also SSVEP with peaks at sub-harmonic frequencies, demonstrating that the
proposed speller elicited dual-frequency SSVEP. This dual-frequency stimulation
improved SSVEP recognition, increased the number of targets by employing harmonic
frequencies, reduced the stimulation time for P300, and consequently improved ITR
as compared to the conventional spellers. COMPARISON WITH EXISTING METHODS: The
new method reduces the stimulation time and allows harmonic frequencies to be
employed for different stimuli. CONCLUSIONS: The results indicate that this study
provides a promising approach to make the BCI speller more reliable and
efficient.
PMID- 26561771
TI - Accurate detection of low signal-to-noise ratio neuronal calcium transient waves
using a matched filter.
AB - BACKGROUND: Calcium imaging has become a fundamental modality for studying
neuronal circuit dynamics both in vitro and in vivo. However, identifying calcium
events (CEs) from spectral data remains laborious and difficult, especially since
the signal-to-noise ratio (SNR) often falls below 2. Existing automated signal
detection methods are generally applied at high SNRs, leaving a large need for an
automated algorithm that can accurately extract CEs from fluorescence intensity
data of SNR 2 and below. NEW METHOD: In this work we develop a Matched filter for
Multi-unit Calcium Event (MMiCE) detection to extract CEs from fluorescence
intensity traces of simulated and experimentally recorded neuronal calcium
imaging data. RESULTS: MMiCE reached perfect performance on simulated data with
SNR >= 2 and a true positive (TP) rate of 98.27% (+/- 1.38% with a 95% confidence
interval), and a false positive(FP) rate of 6.59% (+/- 2.56%) on simulated data
with SNR 0.2. On real data, verified by patch-clamp recording, MMiCE performed
with a TP rate of 100.00% (+/- 0.00) and a FP rate of 2.04% (+/- 4.10).
COMPARISON WITH EXISTING METHOD(S): This high level of performance exceeds
existing methods at SNRs as low as 0.2, which are well below those used in
previous studies (SNR ? 5-10). CONCLUSION: Overall, the MMiCE detector performed
exceptionally well on both simulated data, and experimentally recorded neuronal
calcium imaging data. The MMiCE detector is accurate, reliable, well suited for
wide-spread use, and freely available at sites.uci.edu/aggies or from the
corresponding author.
PMID- 26561772
TI - A regression method for estimating performance in a rapid serial visual
presentation target detection task.
AB - BACKGROUND: Estimating target detection performance in the rapid serial visual
presentation (RSVP) target detection paradigm can be challenging when the inter
stimulus interval is small relative to the variability in human response time.
The challenge arises because assigning a particular response to the correct image
cannot be done with certainty. Existing solutions to this challenge establish a
heuristic for assigning responses to images and thereby determining which
responses are hits and which are false alarms. NEW METHOD: We developed a
regression-based method for estimating hit rate and false alarm rate that
corrects for expected errors in a likelihood-based assignment of responses to
stimuli. RESULTS: Simulations show that this regression method results in an
unbiased and accurate estimate of target detection performance. COMPARISON WITH
EXISTING METHODS: The regression method had lower estimation error compared to
three existing methods, and in contrast to the existing methods, the errors made
by the regression method do not depend strongly on the true values of hit rate
and false alarm rate. The most commonly used existing method performed well when
simulated performance was nearly perfect, but not when behavioral error rates
increased. CONCLUSIONS: Based on its better estimation of hit rate and false
alarm rate, the regression method proposed here would seem the best choice when
estimating the hit rate and false alarm rate is the primary interest.
PMID- 26561773
TI - Ecabet sodium alleviates neomycin-induced hair cell damage.
AB - Ecabet sodium (ES) is currently applied to some clinical gastrointestinal disease
primarily by the inhibition of the ROS production. In this study, the protective
role of ES was evaluated against the neomycin-induced hair cell loss using
zebrafish experimental animal model. Zebrafish larvae (5-7 dpf), were treated
with each of the following concentrations of ES: 5, 10, 20, 40, and 80 MUg/mL for
1 h, followed by 125 MUM neomycin for 1h. The positive control group was
established by 125 MUM neomycin-only treatment (1h) and the negative control
group with no additional chemicals was also established. Hair cells inside four
neuromasts ( SO1, SO2, O1, OC1) were assessed using fluorescence microscopy (n =
10). Hair cell survival was calculated as the mean number of viable hair cells
for each group. Apoptosis and mitochondrial damage were investigated using
special staining (TUNEL and DASPEI assay, respectively), and compared among
groups. Ultrastructural changes were evaluated using scanning electron
microscopy. Pre-treatment group with ES increased the mean number of viable hair
cells as a dose-dependent manner achieving almost same number of viable hair
cells with 40 MUM/ml ES treatment (12.98 +/- 2.59 cells) comparing to that of the
negative control group (14.15 +/- 1.39 cells, p = 0.72) and significantly more
number of viable hair cells than that of the positive control group (7.45 +/-
0.91 cells, p < 0.01). The production of reactive oxygen species significantly
increased by 183% with 125 MUM neomycin treatment than the negative control group
and significantly decreased down to 105% with the pre-treatment with 40 MUM/ml ES
(n = 40, p = 0.04). A significantly less number of TUNEL-positive cells
(reflecting apoptosis, p < 0.01) and a significantly increased DASPEI reactivity
(reflecting viable mitochondria, p < 0.01) were observed in 40 MUM/ml ES pre
treatment group. Our data suggest that ES could protect against neomycin-induced
hair cell loss possibly by reducing apoptosis, mitochondrial damages, and the ROS
generation.
PMID- 26561774
TI - A reaction-diffusion model of cytosolic hydrogen peroxide.
AB - As a signaling molecule in mammalian cells, hydrogen peroxide (H2O2) determines
the thiol/disulfide oxidation state of several key proteins in the cytosol.
Localization is a key concept in redox signaling; the concentrations of signaling
molecules within the cell are expected to vary in time and in space in manner
that is essential for function. However, as a simplification, all theoretical
studies of intracellular hydrogen peroxide and many experimental studies to date
have treated the cytosol as a well-mixed compartment. In this work, we
incorporate our previously reported reduced kinetic model of the network of
reactions that metabolize hydrogen peroxide in the cytosol into a model that
explicitly treats diffusion along with reaction. We modeled a bolus addition
experiment, solved the model analytically, and used the resulting equations to
quantify the spatiotemporal variations in intracellular H2O2 that result from
this kind of perturbation to the extracellular H2O2 concentration. We predict
that micromolar bolus additions of H2O2 to suspensions of HeLa cells (0.8 *
10(9)cells/l) result in increases in the intracellular concentration that are
localized near the membrane. These findings challenge the assumption that
intracellular concentrations of H2O2 are increased uniformly throughout the cell
during bolus addition experiments and provide a theoretical basis for differing
phenotypic responses of cells to intracellular versus extracellular perturbations
to H2O2 levels.
PMID- 26561775
TI - Glutathione-S-transferase omega 1 (GSTO1-1) acts as mediator of signaling
pathways involved in aflatoxin B1-induced apoptosis-autophagy crosstalk in
macrophages.
AB - Aflatoxin B1 (AFB1) is the most toxic aflatoxin species and has been shown to be
associated with specific as well as non-specific immune responses. In the present
study, using murine macrophage Raw 264.7 cells as a model, we report that short
exposure (6h) to AFB1 caused an increase in the cellular calcium pool in
mitochondria, which in turn elevated reactive oxygen species (ROS)-mediated
oxidative stress and led to loss of mitochondrial membrane potential and
ultimately c-Jun N-terminal kinases (JNK)-mediated caspase-dependent cell death.
On the contrary, longer exposure (12h) to AFB1 reduced JNK phosphorylation and
cell death in macrophages. Measurement of autophagic flux demonstrated that
autophagy induction through the canonical pathway was responsible for suppressing
AFB1-induced apoptosis after 12h. As a detailed molecular mechanism, we found
that the unfolded protein response (UPR) machinery was active at 12h post
exposure to AFB1 and induced cytoprotective autophagy as confirmed by
determination of major autophagic markers. Inhibition of autophagy by Beclin-1
siRNA also resulted in JNK-mediated cell death. We further established that
glutathione S transferase omega1-1 (GSTO1-1), a specific class of GST, was the
responsible factor between apoptosis and autophagy crosstalk. Targeting of GSTO1
1 increased JNK-mediated apoptosis by 2-fold compared to the control, whereas
autophagy rate was reduced. Thus, increased expression of GSTO1-1 was associated
with increased protein glutathionylation, an important protein modification in
response to cellular redox status.
PMID- 26561776
TI - Reversible oxidation of phosphatase and tensin homolog (PTEN) alters its
interactions with signaling and regulatory proteins.
AB - Phosphatase and tensin homolog (PTEN) is involved in a number of different
cellular processes including metabolism, apoptosis, cell proliferation and
survival. It is a redox-sensitive dual-specificity protein phosphatase that acts
as a tumor suppressor by negatively regulating the PI3K/Akt pathway. While direct
evidence of redox regulation of PTEN downstream signaling has been reported, the
effect of PTEN redox status on its protein-protein interactions is poorly
understood. PTEN-GST in its reduced and a DTT-reversible H2O2-oxidized form was
immobilized on a glutathione-sepharose support and incubated with cell lysate to
capture interacting proteins. Captured proteins were analyzed by LC-MSMS and
comparatively quantified using label-free methods. 97 Potential protein
interactors were identified, including a significant number that are novel. The
abundance of fourteen interactors was found to vary significantly with the redox
status of PTEN. Altered binding to PTEN was confirmed by affinity pull-down and
Western blotting for Prdx1, Trx, and Anxa2, while DDB1 was validated as a novel
interactor with unaltered binding. These results suggest that the redox status of
PTEN causes a functional variation in the PTEN interactome. The resin capture
method developed had distinct advantages in that the redox status of PTEN could
be directly controlled and measured.
PMID- 26561777
TI - The metabolic network of Clostridium acetobutylicum: Comparison of the
approximate Bayesian computation via sequential Monte Carlo (ABC-SMC) and profile
likelihood estimation (PLE) methods for determinability analysis.
AB - The Gram-positive bacterium Clostridium acetobutylicum is an anaerobic endospore
forming species which produces acetone, butanol and ethanol via the acetone
butanol (AB) fermentation process, leading to biofuels including butanol. In
previous work we looked to estimate the parameters in an ordinary differential
equation model of the glucose metabolism network using data from pH-controlled
continuous culture experiments. Here we combine two approaches, namely the
approximate Bayesian computation via an existing sequential Monte Carlo (ABC-SMC)
method (to compute credible intervals for the parameters), and the profile
likelihood estimation (PLE) (to improve the calculation of confidence intervals
for the same parameters), the parameters in both cases being derived from
experimental data from forward shift experiments. We also apply the ABC-SMC
method to investigate which of the models introduced previously (one non
sporulation and four sporulation models) have the greatest strength of evidence.
We find that the joint approximate posterior distribution of the parameters
determines the same parameters as previously, including all of the basal and
increased enzyme production rates and enzyme reaction activity parameters, as
well as the Michaelis-Menten kinetic parameters for glucose ingestion, while
other parameters are not as well-determined, particularly those connected with
the internal metabolites acetyl-CoA, acetoacetyl-CoA and butyryl-CoA. We also
find that the approximate posterior is strongly non-Gaussian, indicating that our
previous assumption of elliptical contours of the distribution is not valid,
which has the effect of reducing the numbers of pairs of parameters that are
(linearly) correlated with each other. Calculations of confidence intervals using
the PLE method back this up. Finally, we find that all five of our models are
equally likely, given the data available at present.
PMID- 26561778
TI - A simple approximation of moments of the quasi-equilibrium distribution of an
extended stochastic theta-logistic model with non-integer powers.
AB - The stochastic versions of the logistic and extended logistic growth models are
applied successfully to explain many real-life population dynamics and share a
central body of literature in stochastic modeling of ecological systems. To
understand the randomness in the population dynamics of the underlying processes
completely, it is important to have a clear idea about the quasi-equilibrium
distribution and its moments. Bartlett et al. (1960) took a pioneering attempt
for estimating the moments of the quasi-equilibrium distribution of the
stochastic logistic model. Matis and Kiffe (1996) obtain a set of more accurate
and elegant approximations for the mean, variance and skewness of the quasi
equilibrium distribution of the same model using cumulant truncation method. The
method is extended for stochastic power law logistic family by the same and
several other authors (Nasell, 2003; Singh and Hespanha, 2007). Cumulant
truncation and some alternative methods e.g. saddle point approximation,
derivative matching approach can be applied if the powers involved in the
extended logistic set up are integers, although plenty of evidence is available
for non-integer powers in many practical situations (Sibly et al., 2005). In this
paper, we develop a set of new approximations for mean, variance and skewness of
the quasi-equilibrium distribution under more general family of growth curves,
which is applicable for both integer and non-integer powers. The deterministic
counterpart of this family of models captures both monotonic and non-monotonic
behavior of the per capita growth rate, of which theta-logistic is a special
case. The approximations accurately estimate the first three order moments of the
quasi-equilibrium distribution. The proposed method is illustrated with simulated
data and real data from global population dynamics database.
PMID- 26561780
TI - Frequency and cause of readmissions following pediatric otolaryngologic surgery.
AB - OBJECTIVES/HYPOTHESIS: To characterize the frequency and nature of readmissions
to free standing pediatric hospitals after otolaryngologic procedures. STUDY
DESIGN: Retrospective national database analysis. METHODS: Using the Pediatric
Health Information Systems database, we examined 30-day inpatient readmissions in
children less than 18 years old who underwent otolaryngology procedures between
January 1, 2009, and December 31, 2011. Univariate and multivariate logistic
regression analyses accounting for correlated structure of the data identified
factors related to readmission rate. Reasons for readmission and the
postoperative day at which readmission occurred were also examined. RESULTS: In
the 24-month study period, a total of 493,507 procedures were performed,
resulting in 11,574 (2.3%) 30-day readmissions. Readmission rates varied
significantly based on the type of procedure, patient age, and presence of
chronic medical condition(s). Direct surgical complications accounted for 3,432
(29.7%) of all readmissions; and 4,729 (40.9%) of all readmissions occurred
following tonsil and adenoid surgery. CONCLUSION: Readmissions after pediatric
otolaryngologic surgery are relatively uncommon. These readmission rates vary
directly with the type of procedure performed, as well as patient level factors
(i.e., patient age, ethnicity, and the presence of other medical comorbidities).
These data demonstrate that if readmission rates are to be used as a quality
measure in pediatric otolaryngology procedures, complex risk adjustment of
patient level variables will be necessary to accurately compare outcomes between
different hospitals.
PMID- 26561779
TI - The enteric microbiome in hepatobiliary health and disease.
AB - Increasing evidence points to the contribution of the intestinal microbiome as a
potentially key determinant in the initiation and/or progression of hepatobiliary
disease. While current understanding of this dynamic is incomplete, exciting
insights are continually being made and more are expected given the developments
in molecular and high-throughput omics techniques. In this brief review, we
provide a practical and updated synopsis of the interaction of the intestinal
microbiome with the liver and its downstream impact on the initiation,
progression and complications of hepatobiliary disease.
PMID- 26561781
TI - Helicobacter pylori VacA toxin causes cell death by inducing accumulation of
cytoplasmic connexin 43.
PMID- 26561782
TI - Protective effect of autophagy on human retinal pigment epithelial cells against
lipofuscin fluorophore A2E: implications for age-related macular degeneration.
AB - Age-related macular degeneration (AMD) is the leading cause of central vision
loss in the elderly. Degeneration of retinal pigment epithelial (RPE) cells is a
crucial causative factor responsible for the onset and progression of AMD. A2E, a
major component of toxic lipofuscin implicated in AMD, is deposited in RPE cells
with age. However, the mechanism whereby A2E may contribute to the pathogenesis
of AMD remains unclear. We demonstrated that A2E was a danger signal of RPE
cells, which induced autophagy and decreased cell viability in a concentration-
and time-dependent manner. Within 15 min after the treatment of RPE with 25 MUM
A2E, the induction of autophagosome was detected by transmission electron
microscopy. After continuous incubating RPE cells with A2E, intense punctate
staining of LC3 and increased expression of LC3-II and Beclin-1 were identified.
Meanwhile, the levels of intercellular adhesion molecule (ICAM), interleukin
(IL)1beta, IL2, IL-6, IL-8, IL-17A, IL-22, macrophage cationic peptide (MCP)-1,
stromal cell-derived factor (SDF)-1, and vascular endothelial growth factor A
(VEGFA) were elevated. The autophagic inhibitor 3-methyladenine (3-MA) and
activator rapamycin were also used to verify the effect of autophagy on RPE cells
against A2E. Our results revealed that 3-MA decreased the autophagosomes and LC3
puncta induced by A2E, increased inflammation-associated protein expression
including ICAM, IL1beta, IL2, IL-6, IL-8, IL-17A, IL-22, and SDF-1, and
upregulated VEGFA expression. Whereas rapamycin augmented the A2E-mediated
autophagy, attenuated protein expression of inflammation-associated and
angiogenic factors, and blocked the Akt/mTOR pathway. Taken together, A2E induces
autophagy in RPE cells at the early stage of incubation, and this autophagic
response can be inhibited by 3-MA or augmented by rapamycin via the mTOR pathway.
The enhancement of autophagy has a protective role in RPE cells against the
adverse effects of A2E by reducing the secretion of inflammatory cytokines and
VEGFA.
PMID- 26561783
TI - Differentiation of human neuroblastoma cells toward the osteogenic lineage by
mTOR inhibitor.
AB - Current hypothesis suggest that tumors can originate from adult cells after a
process of 'reprogramming' driven by genetic and epigenetic alterations. These
cancer cells, called cancer stem cells (CSCs), are responsible for the tumor
growth and metastases. To date, the research effort has been directed to the
identification, isolation and manipulation of this cell population. Independently
of whether tumors were triggered by a reprogramming of gene expression or seeded
by stem cells, their energetic metabolism is altered compared with a normal cell,
resulting in a high aerobic glycolytic 'Warburg' phenotype and dysregulation of
mitochondrial activity. This metabolic alteration is intricately linked to cancer
progression.The aim of this work has been to demonstrate the possibility of
differentiating a neoplastic cell toward different germ layer lineages, by
evaluating the morphological, metabolic and functional changes occurring in this
process. The cellular differentiation reported in this study brings to different
conclusions from those present in the current literature. We demonstrate that 'in
vitro' neuroblastoma cancer cells (chosen as experimental model) are able to
differentiate directly into osteoblastic (by rapamycin, an mTOR inhibitor) and
hepatic lineage without an intermediate 'stem' cell step. This process seems
owing to a synergy among few master molecules, metabolic changes and scaffold
presence acting in a concerted way to control the cell fate.
PMID- 26561786
TI - Erratum: Longitudinal in vivo evaluation of bone regeneration by combined
measurement of multi-pinhole SPECT and micro-CT for tissue engineering.
PMID- 26561784
TI - Dietary Supplementation with Specific Collagen Peptides Has a Body Mass Index
Dependent Beneficial Effect on Cellulite Morphology.
AB - In this double-blind, placebo-controlled clinical study, we investigated the
efficacy of specific bioactive collagen peptides (BCP) on the cellulite treatment
of normal and overweight women. In total, 105 women aged 24-50 years with
moderate cellulite were randomized to orally receive a daily dosage of 2.5 g BCP
or a placebo over 6 months. The degree of cellulite was evaluated before starting
the treatment and after 3 and 6 months of intake. In addition, skin waviness,
dermal density, and the length of subcutaneous borderline were assessed. BCP
treatment led to a statistically significant decrease in the degree of cellulite
and a reduced skin waviness on thighs (P < 0.05) in normal weight women.
Moreover, dermal density was significantly improved (P < 0.05) compared to
placebo. The subcutaneous borderline showed a significant shortening after BCP
intake compared to the beginning of the study, indicating cellulite improvement,
but the data failed to reach statistical significance compared to placebo. The
efficacy of BCP treatment was also confirmed in overweight women, although the
impact was less pronounced in comparison with women of normal body weight. The
results of the study demonstrated that a regular ingestion of BCP over a period
of 6 months led to a clear improvement of the skin appearance in women suffering
from moderate cellulite. Based on the current data, it can be concluded that a
long-term therapy with orally administered BCP leads to an improvement of
cellulite and has a positive impact on skin health.
PMID- 26561785
TI - Simultaneous Site-Specific Dual Protein Labeling Using Protein
Prenyltransferases.
AB - Site-specific protein labeling is an important technique in protein chemistry and
is used for diverse applications ranging from creating protein conjugates to
protein immobilization. Enzymatic reactions, including protein prenylation, have
been widely exploited as methods to accomplish site-specific labeling. Enzymatic
prenylation is catalyzed by prenyltransferases, including protein
farnesyltransferase (PFTase) and geranylgeranyltransferase type I (GGTase-I),
both of which recognize C-terminal CaaX motifs with different specificities and
transfer prenyl groups from isoprenoid diphosphates to their respective target
proteins. A number of isoprenoid analogues containing bioorthogonal functional
groups have been used to label proteins of interest via PFTase-catalyzed
reaction. In this study, we sought to expand the scope of prenyltransferase
mediated protein labeling by exploring the utility of rat GGTase-I (rGGTase-I).
First, the isoprenoid specificity of rGGTase-I was evaluated by screening eight
different analogues and it was found that those with bulky moieties and longer
backbone length were recognized by rGGTase-I more efficiently. Taking advantage
of the different substrate specificities of rat PFTase (rPFTase) and rGGTase-I,
we then developed a simultaneous dual labeling method to selectively label two
different proteins by using isoprenoid analogue and CaaX substrate pairs that
were specific to only one of the prenyltransferases. Using two model proteins,
green fluorescent protein with a C-terminal CVLL sequence (GFP-CVLL) and red
fluorescent protein with a C-terminal CVIA sequence (RFP-CVIA), we demonstrated
that when incubated together with both prenyltransferases and the selected
isoprenoid analogues, GFP-CVLL was specifically modified with a ketone
functionalized analogue by rGGTase-I and RFP-CVIA was selectively labeled with an
alkyne-containing analogue by rPFTase. By switching the ketone-containing
analogue to an azide-containing analogue, it was possible to create protein tail
to-tail dimers in a one-pot procedure through the copper(I)-catalyzed alkyne
azide cycloaddition (CuAAC) reaction. Overall, with the flexibility of using
different isoprenoid analogues, this system greatly extends the utility of
protein labeling using prenyltransferases.
PMID- 26561787
TI - Comparison between gold nanoparticle and gold plane electron emissions: a way to
identify secondary electron emission.
AB - To understand the nanoparticle radiosensitising effect observed in the
radiotherapy context, it is necessary to study the nanoparticle electron emission
under x-ray irradiation, which is one of the causes of the radiosensitisation. In
this paper, we compare the electron energy spectrum of gold samples irradiated by
1253.6 eV x-ray photons for energies down to 2 eV for nanoparticles and for a
plane surface. This comparison highlights important differences due to
nanoparticle properties especially at low energy, allowing the identification of
strong nanoparticle secondary electron emission. This strong nanoparticle
emission could play a very important role in radiosensitisation mechanisms.
PMID- 26561788
TI - A Highly Stereoselective, Efficient, and Scalable Synthesis of the C(1)-C(9)
Fragment of the Epothilones.
AB - A second-generation synthesis of the C(1)-C(9) fragment of the epothilones is
reported. The key tandem intramolecular
silylformylation/crotylsilylation/"aprotic" Tamao oxidation sequence has been
redeveloped as a stepwise intermolecular variant, allowing excellent levels of
diastereoselectivity in the crotylation step and proceeds in 50% overall yield on
gram scale. An improved synthesis of the homopropargyl alcohol starting material
is also described, which proceeds in four steps and >99% ee from inexpensive
starting materials and is amenable to multigram scales.
PMID- 26561789
TI - Campylobacter species isolated from poultry and humans, and their analysis using
PFGE in southern Brazil.
AB - Campylobacteriosis is a bacterial disease transmitted to humans through ingestion
of contaminated food. Six hundred samples were collected, 200 from human stool
samples, 200 from poultry products and 200 from poultry feces in Southern Brazil,
and then inoculated on blood agar plates. A total of 58% of the poultry feces,
17% of the poultry meat, and 2% the of human stools tested positive for
Campylobacter. Positive Campylobacter colonies were identified as Campylobacter
jejuni or Campylobactercoli by multiplex PCR. Campylobacter isolates were
analyzed using PFGE to compare different profiles according to the source. This
study demonstrated that there are different Campylobacter clones distributed in
different aviaries in Southern Brazil. In addition, PFGE molecular profiles
suggested that broilers can be a source of contamination of poultry products.
However, the human isolate studied did not show any relationship with other
strains examined.
PMID- 26561790
TI - Causes of neuropathy in patients referred as "idiopathic neuropathy".
AB - INTRODUCTION: The etiology of neuropathy was idiopathic in 20%-30% of patients
despite thorough investigation, based on results from the 1980s and 1990s. Since
then, new etiologies have been recognized, and skin biopsy has been used to
confirm small-fiber neuropathy. METHODS: The authors reviewed the charts of 373
patients with idiopathic neuropathy who were referred to a neuropathy center
between 2002 and 2012. RESULTS: Among the 284 eligible patients, 93 (32.7%)
remained idiopathic. The most common cause was impaired glucose metabolism (72
patients, 25.3%), including diabetes in 26 and prediabetes in 46. Other
etiologies were chronic inflammatory demyelinating polyneuropathy (CIDP) in 57
(20%) and monoclonal gammopathy in 20 (7%), as well as toxic, Sjogren disease,
celiac disease, other immune-mediated diseases, vitamin B12 deficiency,
amyloidosis, vitamin B1 and B6 deficiency, vasculitis, hypothyroidism,
hereditary, Lyme disease, and anti-sulfatide antibody. CONCLUSIONS: The major
causes of undiagnosed neuropathies were impaired glucose metabolism, CIDP, and
monoclonal gammopathies. Despite thorough evaluation 32.7% remained idiopathic.
Muscle Nerve 53: 856-861, 2016.
PMID- 26561791
TI - Student Veteran perceptions of facilitators and barriers to achieving academic
goals.
AB - According to recent estimates, over 1 million Operation Iraqi Freedom/Operation
Enduring Freedom (OIF/OEF) Veterans are utilizing the post-9/11 GI Bill to pursue
higher education. Data collected by the Department of Defense suggests that
greater than 17% of returning Veterans may suffer from mental and physical health
disorders, which can negatively affect school performance. The current study
explored student Veterans' perceived facilitators and barriers to achieving
academic goals. Thirty-one student Veterans completed self-report measures and
interviews. Results suggested that Veterans that were reporting problems or
symptoms in one mental or physical health domain were likely to be reporting
symptoms or problems in others as well. The interview data were coded, and three
overarching themes related to barriers and facilitators emerged: person features
(e.g., discipline and determination, symptoms and stressors), institutional
structure (i.e., what schools and the Department of Veterans Affairs do that was
perceived to help or hinder student Veteran success), and policy concerns (i.e.,
how the structure of the GI Bill affects student Veteran school experience).
Results from this research indicate the need for larger studies and program
development efforts aimed at enhancing academic outcomes for Veterans.
PMID- 26561793
TI - Purification and Partial Characterization of beta-Glucosidase in Chayote (Sechium
edule).
AB - beta-Glucosidase (EC 3.2.1.21) is a prominent member of the GH1 family of
glycoside hydrolases. The properties of this beta-glucosidase appear to include
resistance to temperature, urea, and iodoacetamide, and it is activated by 2-ME,
similar to other members. beta-Glucosidase from chayote (Sechium edule) was
purified by ionic-interchange chromatography and molecular exclusion
chromatography. Peptides detected by LC-ESI-MS/MS were compared with other beta
glucosidases using the BLAST program. This enzyme is a 116 kDa protein composed
of two sub-units of 58 kDa and shows homology with Cucumis sativus beta
glucosidase (NCBI reference sequence XP_004154617.1), in which seven peptides
were found with relative masses ranging from 874.3643 to 1587.8297. The stability
of beta-glucosidase depends on an initial concentration of 0.2 mg/mL of protein
at pH 5.0 which decreases by 33% in a period of 30 h, and then stabilizes and is
active for the next 5 days (pH 4.0 gives similar results). One hundred MUg/mL
beta-D-glucose inhibited beta-glucosidase activity by more than 50%. The enzyme
had a Km of 4.88 mM with p-NPG and a Kcat of 10,000 min(-1). The optimal
conditions for the enzyme require a pH of 4.0 and a temperature of 50 degrees C.
PMID- 26561794
TI - Antihypertensive Effects of Artemisia scoparia Waldst in Spontaneously
Hypertensive Rats and Identification of Angiotensin I Converting Enzyme
Inhibitors.
AB - We investigated the antihypertensive effects of Artemisia scoparia (AS) in
spontaneously hypertensive rats (SHR). The rats were fed diets containing 2%
(w/w) hot water extracts of AS aerial parts for 6 weeks. The AS group had
significantly lower systolic and diastolic blood pressure levels than the control
group. The AS group also had lower angiotensin I converting enzyme (ACE) activity
and angiotensin II content in serum compared to the control group. The AS group
showed higher vascular endothelial growth factor and lower ras homolog gene
family member A expression levels in kidney compared to the control group. The AS
group had significantly lower levels of plasma lipid oxidation and protein
carbonyls than the control group. One new and six known compounds were isolated
from AS by guided purification. The new compound was determined to be 4'-O-beta-D
glucopyranoyl (E)-4-hydroxy-3-methylbut-2-enyl benzoate, based on its nuclear
magnetic resonance and electrospray ionization-mass spectroscopy data.
PMID- 26561795
TI - Pharmacokinetics of Ginkgolide B after Oral Administration of Three Different
Ginkgolide B Formulations in Beagle Dogs.
AB - Ginkgolide B (GB), an important active constituent of Ginkgo biloba extract, has
been used in clinical applications for the treatment of dementia, cerebral
insufficiency or related cognitive decline. To investigate the main
pharmacokinetic characteristics of three different GB formulations in beagle
dogs, a simple, specific and sensitive LC-MS/MS method was established and
validated. The separation of the analytes was achieved on an Agilent Eclipse Plus
C18 column (1.8 MUm, 2.1*50 mm) with a mobile phase consisting of water and
acetonitrile. The flow rate was set at 0.4 mL/min. Quantitation was performed
using multiple reaction monitoring (MRM) in negative ion mode, with the
transitions at m/z (Q1/Q3) 423.1/367.1 for GB and m/z 269.3/170.0 for IS. The
linear calibration curve of GB was obtained over the concentration range of 2-200
ng/mL. The intra- and inter-day precisions were <15% and the accuracies were
within +/-12.7%. The validated method was applied to compare the pharmacokinetic
characteristics of GB in healthy beagle dogs after oral administration of three
formulations (HME08, GB capsule prepared by hot-melt extrusion technology; LL06,
GB pellet prepared by liquid layer technology; conventional GB tablet). The Cmax
values of GB from different formulations in beagle dog plasma were 309.2, 192.4
and 66.6 ug/L, and the AUC values were 606.7, 419.1 and 236.2 ug/L.h,
respectively. The data suggested that the exposure level of GB from HME08 and
LL06 in beagle dog plasma was greatly improved compared with conventional
tablets. This study should be helpful for the design and development of oral GB
preparations.
PMID- 26561796
TI - Synthesis and Chemistry of Organic Geminal Di- and Triazides.
AB - This review recapitulates all available literature dealing with the synthesis and
reactivity of geminal organic di- and triazides. These compound classes are, to a
large extent, unexplored despite their promising chemical properties and their
simple preparation. In addition, the chemistry of carbonyl diazide (2) and
tetraazidomethane (105) is described in separate sections.
PMID- 26561798
TI - Synergy and Other Interactions between Polymethoxyflavones from Citrus
Byproducts.
AB - The citrus by-products released from citrus processing plants may contain high
levels of potentially bioactive compounds such as flavonoids, which are a widely
distributed group of polyphenolic compounds with health-related properties based
on their antioxidant activity. In the study reported here, the potential
bioactivities and antioxidant activities of extracts, fractions and compounds
from citrus by-products were evaluated along with the chemical interactions of
binary mixtures of compounds and complex mixtures. The bioactivities and
interactions were evaluated in wheat coleoptile bioassays and the antioxidant
activity was evaluated by the al DPPH (2,2-diphenyl-1-picrylhdrazyl radical)
radical scavenging assay. The extracts, fractions and most of the isolated
compounds (mainly polymethoxyflavones) showed high activity in the wheat
coleoptile bioassay. However, the antioxidant activity was not consistently high,
except in the acetone extract fractions. Moreover, a study of the interactions
with binary mixtures of polymethoxyflavones showed the occurrence of synergistic
effects. The complex mixtures of fractions composed mainly of polymethoxyflavones
caused a synergistic effect when it was added to a bioactive compound such as
anethole. The results reported here highlight a new application for the wheat
coleoptile bioassay as a quick tool to detect potential synergistic effects in
compounds or mixtures.
PMID- 26561797
TI - Design, Synthesis and in Vivo Evaluation of Novel Glycosylated Sulfonylureas as
Antihyperglycemic Agents.
AB - Sulphonylurea compounds have versatile activities such as antidiabetic, diuretic,
herbicide, oncolytic, antimalarial, antifungal and anticancer. The present study
describes the design, synthesis and in vivo testing of novel glycosylated aryl
sulfonylurea compounds as antihyperglycaemic agents in streptozocine-induced
diabetic mice. The rational for the introduction of the glucosamine moiety is to
enhance selective drug uptake by pancreatic beta-cells in order to decrease the
cardiotoxic side effect commonly associated with sulfonylurea agents. 2-Deoxy-2
(4-chlorophenylsulfonylurea)-D-glucopyranose was found to be the most potent
antihyperglycaemic agents among the synthesized compounds in diabetic mice. This
investigation indicates the importance of this novel class as potential
antihyperglycaemic agents.
PMID- 26561799
TI - Constituents and Pharmacological Activities of Myrcia (Myrtaceae): A Review of an
Aromatic and Medicinal Group of Plants.
AB - Myrcia is one of the largest genera of the economically important family
Myrtaceae. Some of the species are used in folk medicine, such as a group known
as "pedra-hume-caa" or "pedra-ume-caa" or "insulina vegetal" (insulin plant) that
it is used for the treatment of diabetes. The species are an important source of
essential oils, and most of the chemical studies on Myrcia describe the chemical
composition of the essential oils, in which mono- and sesquiterpenes are
predominant. The non-volatile compounds isolated from Myrcia are usually
flavonoids, tannins, acetophenone derivatives and triterpenes. Anti-inflammatory,
antinociceptive, antioxidant, antimicrobial activities have been described to
Myrcia essential oils, while hypoglycemic, anti-hemorrhagic and antioxidant
activities were attributed to the extracts. Flavonoid glucosides and acetophenone
derivatives showed aldose reductase and alpha-glucosidase inhibition, and could
explain the traditional use of Myrcia species to treat diabetes. Antimicrobial
and anti-inflammatory are some of the activities observed for other isolated
compounds from Myrcia.
PMID- 26561800
TI - Connexin 50 Expression in Ependymal Stem Progenitor Cells after Spinal Cord
Injury Activation.
AB - Ion channels included in the family of Connexins (Cx) help to control cell
proliferation and differentiation of neuronal progenitors. Here we explored the
role of Connexin 50 (Cx50) in cell fate modulation of adult spinal cord derived
neural precursors located in the ependymal canal (epSPC). epSPC from non-injured
animals showed high expression levels of Cx50 compared to epSPC from animals with
spinal cord injury (SCI) (epSPCi). When epSPC or epSPCi were induced to
spontaneously differentiate in vitro we found that Cx50 favors glial cell fate,
since higher expression levels, endogenous or by over-expression of Cx50,
augmented the expression of the astrocyte marker GFAP and impaired the neuronal
marker Tuj1. Cx50 was found in both the cytoplasm and nucleus of glial cells,
astrocytes and oligodendrocyte-derived cells. Similar expression patterns were
found in primary cultures of mature astrocytes. In addition, opposite expression
profile for nuclear Cx50 was observed when epSPC and activated epSPCi were
conducted to differentiate into mature oligodendrocytes, suggesting a different
role for this ion channel in spinal cord beyond cell-to-cell communication. In
vivo detection of Cx50 by immunohistochemistry showed a defined location in gray
matter in non-injured tissues and at the epicenter of the injury after SCI.
epSPCi transplantation, which accelerates locomotion regeneration by a
neuroprotective effect after acute SCI is associated with a lower signal of Cx50
within the injured area, suggesting a minor or detrimental contribution of this
ion channel in spinal cord regeneration by activated epSPCi.
PMID- 26561801
TI - Neoadjuvant Down-Sizing of Hilar Cholangiocarcinoma with Photodynamic Therapy-
Long-Term Outcome of a Phase II Pilot Study.
AB - Hilar cholangiocarcinoma (CC) is non-resectable in the majority of patients often
due to intrahepatic extension along bile duct branches/segments, and even after
complete resection (R0) recurrence can be as high as 70%. Photodynamic therapy
(PDT) is an established palliative local tumor ablative treatment for non
resectable hilar CC. We report the long-term outcome of curative resection (R0)
performed after neoadjuvant PDT for downsizing of tumor margins in seven patients
(median age 59 years) with initially non-resectable hilar CC. Photofrin((r)) was
injected intravenously 24-48 h before laser light irradiation of the tumor
stenoses and the adjacent bile duct segments. Major resective surgery was done
with curative intention six weeks after PDT. All seven patients had been
curatively (R0) resected and there were no undue early or late complications for
the neoadjuvant PDT and surgery. Six of seven patients died from tumor recurrence
at a median of 3.2 years after resection, the five-year survival rate was 43%.
These results are comparable with published data for patients resected R0 without
pre-treatment, indicating that neoadjuvant PDT is feasible and could improve
overall survival of patients considered non-curatively resectable because of
initial tumor extension in bile duct branches/segments--however, this concept
needs to be validated in a larger trial.
PMID- 26561803
TI - Plant Responses to Nanoparticle Stress.
AB - With the rapid advancement in nanotechnology, release of nanoscale materials into
the environment is inevitable. Such contamination may negatively influence the
functioning of the ecosystems. Many manufactured nanoparticles (NPs) contain
heavy metals, which can cause soil and water contamination. Proteomic techniques
have contributed substantially in understanding the molecular mechanisms of plant
responses against various stresses by providing a link between gene expression
and cell metabolism. As the coding regions of genome are responsible for plant
adaptation to adverse conditions, protein signatures provide insights into the
phytotoxicity of NPs at proteome level. This review summarizes the recent
contributions of plant proteomic research to elaborate the complex molecular
pathways of plant response to NPs stress.
PMID- 26561802
TI - The Role of Autophagy in Hepatocellular Carcinoma.
AB - Autophagy is a catabolic process involved in cellular homeostasis under basal and
stressed conditions. Autophagy is crucial for normal liver physiology and the
pathogenesis of liver diseases. During the last decade, the function of autophagy
in hepatocellular carcinoma (HCC) has been evaluated extensively. Currently,
autophagy is thought to play a dual role in HCC, i.e., autophagy is involved in
tumorigenesis and tumor suppression. Recent investigations of autophagy have
suggested that autophagy biomarkers can facilitate HCC prognosis and the
establishment of therapeutic approaches. In this review, we briefly summarize the
current understanding of autophagy and discuss recent evidence for its role in
HCC.
PMID- 26561804
TI - Mycophenolate Mofetil Modulates Differentiation of Th1/Th2 and the Secretion of
Cytokines in an Active Crohn's Disease Mouse Model.
AB - Mycophenolate mofetil (MMF) is an alternative immunosuppressive agent that has
been reported to be effective and well tolerated for the treatment of refractory
inflammatory bowel disease (IBD). The aim of this study was to investigate the
therapeutic effect of MMF on intestinal injury and tissue inflammation, which
were caused by Crohn's disease (CD). Here, trinitrobenzene sulfonic acid
relapsing (TNBS) colitis was induced in mice; then, we measured the
differentiation of Th1/Th2 cells in mouse splenocytes by flow cytometry and the
secretion of cytokines in mice with TNBS-induced colitis by real-time polymerase
chain reaction and/or enzyme-linked immunosorbent assay (RT-PCR/ELISA). The
results show that MMF significantly inhibited mRNA expression of pro-inflammatory
cytokines IFN-gamma, TNF-alpha, IL-12, IL-6, and IL-1beta in mice with TNBS
induced colitis; however, MMF did not inhibit the expression of IL-10 mRNA.
Additionally, ELISA showed that the serum levels of IFN-gamma, TNF-alpha, IL-12,
IL-6, and IL-1beta were down-regulated in a TNBS model of colitis. Flow
cytometric analysis showed MMF markedly reduced the percentages of Th1 and Th2
splenocytes in the CD mouse model. Mycophenolic acid (MPA) also significantly
decreased the percentages of splenic Th1 and Th2 cells in vitro. Furthermore, MMF
treatment not only significantly ameliorated diarrhea, and loss of body weight
but also abrogated the histopathologic severity and inflammatory response of
inflammatory colitis, and increased the survival rate of TNBS-induced colitic
mice. These results suggest that treatment with MMF may improve experimental
colitis and induce inflammatory response remission of CD by down-regulation of
pro-inflammatory cytokines via modulation of the differentiation of Th1/Th2
cells.
PMID- 26561806
TI - The mRNA Expression Status of Dopamine Receptor D2, Dopamine Receptor D3 and
DARPP-32 in T Lymphocytes of Patients with Early Psychosis.
AB - Peripheral blood lymphocytes are an attractive tool because there is accumulating
evidence indicating that lymphocytes may be utilized as a biomarker in the field
of psychiatric study as they could reveal the condition of cells distributed in
the brain. Here, we measured the mRNA expression status of dopamine receptor D2
(DRD2), DRD3, and dopamine and cyclic adenosine 3',5'-monophosphate regulated
phosphoprotein-32 (DARPP-32) in T lymphocytes of patients with early psychosis by
quantitative real-time polymerase chain reaction (q-PCR) and explored the
relationships between their mRNA levels and the psychopathological status of
patients. The present study demonstrated that the mRNA expression levels of DRD3
in T lymphocytes were significantly different among controls, and in patients
with psychotic disorder not otherwise specified (NOS) and
schizophrenia/schizophreniform disorder. However, no significant differences in
mRNA expression levels of DRD2 and DARPP-32 were found among the three groups. We
found a significant positive correlation between the DRD2 mRNA level and the
score of the excited factor of the Positive and Negative Syndrome Scale (PANSS)
in patients with schizophrenia/schizophreniform disorder. These findings suggest
that DRD3 mRNA levels may serve as a potential diagnostic biomarker
differentiating patients with early psychosis from controls.
PMID- 26561805
TI - Cell-Penetrating Peptide as a Means of Directing the Differentiation of Induced
Pluripotent Stem Cells.
AB - Protein transduction using cell-penetrating peptides (CPPs) is useful for the
delivery of large protein molecules, including some transcription factors. This
method is safer than gene transfection methods with a viral vector because there
is no risk of genomic integration of the exogenous DNA. Recently, this method was
reported as a means for the induction of induced pluripotent stem (iPS) cells,
directing the differentiation into specific cell types and supporting gene
editing/correction. Furthermore, we developed a direct differentiation method to
obtain a pancreatic lineage from mouse and human pluripotent stem cells via the
protein transduction of three transcription factors, Pdx1, NeuroD, and MafA.
Here, we discuss the possibility of using CPPs as a means of directing the
differentiation of iPS cells and other stem cell technologies.
PMID- 26561807
TI - Low T3 State Is Correlated with Cardiac Mitochondrial Impairments after Ischemia
Reperfusion Injury: Evidence from a Proteomic Approach.
AB - Mitochondria are major determinants of cell fate in ischemia/reperfusion injury
(IR) and common effectors of cardio-protective strategies in cardiac ischemic
disease. Thyroid hormone homeostasis critically affects mitochondrial function
and energy production. Since a low T3 state (LT3S) is frequently observed in the
post infarction setting, the study was aimed to investigate the relationship
between 72 h post IR T3 levels and both the cardiac function and the
mitochondrial proteome in a rat model of IR. The low T3 group exhibits the most
compromised cardiac performance along with the worst mitochondrial activity.
Accordingly, our results show a different remodeling of the mitochondrial
proteome in the presence or absence of a LT3S, with alterations in groups of
proteins that play a key role in energy metabolism, quality control and
regulation of cell death pathways. Overall, our findings highlight a relationship
between LT3S in the early post IR and poor cardiac and mitochondrial outcomes,
and suggest a potential implication of thyroid hormone in the cardio-protection
and tissue remodeling in ischemic disease.
PMID- 26561809
TI - Metabolic Profiling of Pyrrolizidine Alkaloids in Foliage of Two Echium spp.
Invaders in Australia--A Case of Novel Weapons?
AB - Metabolic profiling allows for simultaneous and rapid annotation of biochemically
similar organismal metabolites. An effective platform for profiling of toxic
pyrrolizidine alkaloids (PAs) and their N-oxides (PANOs) was developed using
ultra high pressure liquid chromatography quadrupole time-of-flight (UHPLC-QTOF)
mass spectrometry. Field-collected populations of invasive Australian weeds,
Echium plantagineum and E. vulgare were raised under controlled glasshouse
conditions and surveyed for the presence of related PAs and PANOs in leaf tissues
at various growth stages. Echium plantagineum possessed numerous related and
abundant PANOs (>17) by seven days following seed germination, and these were
also observed in rosette and flowering growth stages. In contrast, the less
invasive E. vulgare accumulated significantly lower levels of most PANOs under
identical glasshouse conditions. Several previously unreported PAs were also
found at trace levels. Field-grown populations of both species were also
evaluated for PA production and highly toxic echimidine N-oxide was amongst the
most abundant PANOs in foliage of both species. PAs in field and glasshouse
plants were more abundant in the more widely invasive species, E. plantagineum,
and may provide competitive advantage by increasing the plant's capacity to deter
natural enemies in its invaded range through production of novel weapons.
PMID- 26561808
TI - Contribution of the Type II Chaperonin, TRiC/CCT, to Oncogenesis.
AB - The folding of newly synthesized proteins and the maintenance of pre-existing
proteins are essential in sustaining a living cell. A network of molecular
chaperones tightly guides the folding, intracellular localization, and
proteolytic turnover of proteins. Many of the key regulators of cell growth and
differentiation have been identified as clients of molecular chaperones, which
implies that chaperones are potential mediators of oncogenesis. In this review,
we briefly provide an overview of the role of chaperones, including HSP70 and
HSP90, in cancer. We further summarize and highlight the emerging the role of
chaperonin TRiC (T-complex protein-1 ring complex, also known as CCT) in the
development and progression of cancer mediated through its critical interactions
with oncogenic clients that modulate growth deregulation, apoptosis, and genome
instability in cancer cells. Elucidation of how TRiC modulates the folding and
function of oncogenic clients will provide strategies for developing novel cancer
therapies.
PMID- 26561810
TI - The Effect of Alendronate Loaded Biphasic Calcium Phosphate Scaffolds on Bone
Regeneration in a Rat Tibial Defect Model.
AB - This study investigated the effect of alendronate (Aln) released from biphasic
calcium phosphate (BCP) scaffolds. We evaluated the in vitro osteogenic
differentiation of Aln/BCP scaffolds using MG-63 cells and the in vivo bone
regenerative capability of Aln/BCP scaffolds using a rat tibial defect model with
radiography, micro-computed tomography (CT), and histological examination. In
vitro studies included the surface morphology of BCP and Aln-loaded BCP scaffolds
visualized using field-emission scanning electron microscope, release kinetics of
Aln from BCP scaffolds, alkaline phosphatase (ALP) activity, calcium deposition,
and gene expression. The in vitro studies showed that sustained release of Aln
from the BCP scaffolds consisted of porous microstructures, and revealed that MG
63 cells cultured on Aln-loaded BCP scaffolds showed significantly increased ALP
activity, calcium deposition, and gene expression compared to cells cultured on
BCP scaffolds. The in vivo studies using radiograph and histology examination
revealed abundant callus formation and bone maturation at the site in the Aln/BCP
groups compared to the control group. However, solid bony bridge formation was
not observed at plain radiographs until 8 weeks. Micro-CT analysis revealed that
bone mineral density and bone formation volume were increased over time in an Aln
concentration-dependent manner. These results suggested that Aln/BCP scaffolds
have the potential for controlling the release of Aln and enhance bone formation
and mineralization.
PMID- 26561811
TI - Smartphone Application for the Analysis of Prosodic Features in Running Speech
with a Focus on Bipolar Disorders: System Performance Evaluation and Case Study.
AB - Bipolar disorder is one of the most common mood disorders characterized by large
and invalidating mood swings. Several projects focus on the development of
decision support systems that monitor and advise patients, as well as clinicians.
Voice monitoring and speech signal analysis can be exploited to reach this goal.
In this study, an Android application was designed for analyzing running speech
using a smartphone device. The application can record audio samples and estimate
speech fundamental frequency, F0, and its changes. F0-related features are
estimated locally on the smartphone, with some advantages with respect to remote
processing approaches in terms of privacy protection and reduced upload costs.
The raw features can be sent to a central server and further processed. The
quality of the audio recordings, algorithm reliability and performance of the
overall system were evaluated in terms of voiced segment detection and features
estimation. The results demonstrate that mean F0 from each voiced segment can be
reliably estimated, thus describing prosodic features across the speech sample.
Instead, features related to F0 variability within each voiced segment performed
poorly. A case study performed on a bipolar patient is presented.
PMID- 26561812
TI - Quantitative Ethylene Measurements with MOx Chemiresistive Sensors at Different
Relative Air Humidities.
AB - The sensitivity of two commercial metal oxide (MOx) sensors to ethylene is tested
at different relative humidities. One sensor (MiCS-5914) is based on tungsten
oxide, the other (MQ-3) on tin oxide. Both sensors were found to be sensitive to
ethylene concentrations down to 10 ppm. Both sensors have significant response
times; however, the tungsten sensor is the faster one. Sensor models are
developed that predict the concentration of ethylene given the sensor output and
the relative humidity. The MQ-3 sensor model achieves an accuracy of +/-9.2 ppm
and the MiCS-5914 sensor model predicts concentration to +/-7.0 ppm. Both sensors
are more accurate for concentrations below 50 ppm, achieving +/-6.7 ppm (MQ-3)
and 5.7 ppm (MiCS-5914).
PMID- 26561813
TI - A Review of LIDAR Radiometric Processing: From Ad Hoc Intensity Correction to
Rigorous Radiometric Calibration.
AB - In addition to precise 3D coordinates, most light detection and ranging (LIDAR)
systems also record "intensity", loosely defined as the strength of the
backscattered echo for each measured point. To date, LIDAR intensity data have
proven beneficial in a wide range of applications because they are related to
surface parameters, such as reflectance. While numerous procedures have been
introduced in the scientific literature, and even commercial software, to enhance
the utility of intensity data through a variety of "normalization", "correction",
or "calibration" techniques, the current situation is complicated by a lack of
standardization, as well as confusing, inconsistent use of terminology. In this
paper, we first provide an overview of basic principles of LIDAR intensity
measurements and applications utilizing intensity information from terrestrial,
airborne topographic, and airborne bathymetric LIDAR. Next, we review effective
parameters on intensity measurements, basic theory, and current intensity
processing methods. We define terminology adopted from the most commonly-used
conventions based on a review of current literature. Finally, we identify topics
in need of further research. Ultimately, the presented information helps lay the
foundation for future standards and specifications for LIDAR radiometric
calibration.
PMID- 26561814
TI - Kinematic Model-Based Pedestrian Dead Reckoning for Heading Correction and Lower
Body Motion Tracking.
AB - In this paper, we present a method for finding the enhanced heading and position
of pedestrians by fusing the Zero velocity UPdaTe (ZUPT)-based pedestrian dead
reckoning (PDR) and the kinematic constraints of the lower human body. ZUPT is a
well known algorithm for PDR, and provides a sufficiently accurate position
solution for short term periods, but it cannot guarantee a stable and reliable
heading because it suffers from magnetic disturbance in determining heading
angles, which degrades the overall position accuracy as time passes. The basic
idea of the proposed algorithm is integrating the left and right foot positions
obtained by ZUPTs with the heading and position information from an IMU mounted
on the waist. To integrate this information, a kinematic model of the lower human
body, which is calculated by using orientation sensors mounted on both thighs and
calves, is adopted. We note that the position of the left and right feet cannot
be apart because of the kinematic constraints of the body, so the kinematic model
generates new measurements for the waist position. The Extended Kalman Filter
(EKF) on the waist data that estimates and corrects error states uses these
measurements and magnetic heading measurements, which enhances the heading
accuracy. The updated position information is fed into the foot mounted sensors,
and reupdate processes are performed to correct the position error of each foot.
The proposed update-reupdate technique consequently ensures improved
observability of error states and position accuracy. Moreover, the proposed
method provides all the information about the lower human body, so that it can be
applied more effectively to motion tracking. The effectiveness of the proposed
algorithm is verified via experimental results, which show that a 1.25% Return
Position Error (RPE) with respect to walking distance is achieved.
PMID- 26561815
TI - Frequency-Switchable Metamaterial Absorber Injecting Eutectic Gallium-Indium
(EGaIn) Liquid Metal Alloy.
AB - In this study, we demonstrated a new class of frequency-switchable metamaterial
absorber in the X-band. Eutectic gallium-indium (EGaIn), a liquid metal alloy,
was injected in a microfluidic channel engraved on polymethyl methacrylate (PMMA)
to achieve frequency switching. Numerical simulation and experimental results are
presented for two cases: when the microfluidic channels are empty, and when they
are filled with liquid metal. To evaluate the performance of the fabricated
absorber prototype, it is tested with a rectangular waveguide. The resonant
frequency was successfully switched from 10.96 GHz to 10.61 GHz after injecting
liquid metal while maintaining absorptivity higher than 98%.
PMID- 26561817
TI - PMHT Approach for Multi-Target Multi-Sensor Sonar Tracking in Clutter.
AB - Multi-sensor sonar tracking has many advantages, such as the potential to reduce
the overall measurement uncertainty and the possibility to hide the receiver.
However, the use of multi-target multi-sensor sonar tracking is challenging
because of the complexity of the underwater environment, especially the low
target detection probability and extremely large number of false alarms caused by
reverberation. In this work, to solve the problem of multi-target multi-sensor
sonar tracking in the presence of clutter, a novel probabilistic multi-hypothesis
tracker (PMHT) approach based on the extended Kalman filter (EKF) and unscented
Kalman filter (UKF) is proposed. The PMHT can efficiently handle the unknown
measurements-to-targets and measurements-to-transmitters data association
ambiguity. The EKF and UKF are used to deal with the high degree of nonlinearity
in the measurement model. The simulation results show that the proposed algorithm
can improve the target tracking performance in a cluttered environment greatly,
and its computational load is low.
PMID- 26561816
TI - The Synthesis and Anion Recognition Property of Symmetrical Chemosensors
Involving Thiourea Groups: Theory and Experiments.
AB - The synthesis of four symmetrical compounds containing urea/thiourea and
anthracene/nitrobenzene groups was optimized. N,N'-Di((anthracen-9-yl)-methylene)
thio-carbonohydrazide showed sensitive and selective binding ability for acetate
ion among the studied anions. The presence of other competitive anions including
F(-), H2PO4(-), Cl(-), Br(-) and I(-) did not interfere with the strong binding
ability. The mechanism of the host-guest interaction was through multiple
hydrogen bonds due to the conformational complementarity and higher basicity. A
theoretical investigation explained that intra-molecular hydrogen bonds existed
in the compound which could strengthen the anion binding ability. In addition,
molecular frontier orbitals in molecular interplay were introduced in order to
explain the red-shift phenomenon in the host-guest interaction process. Compounds
based on thiourea and anthracene derivatives can thus be used as a chemosensor
for detecting acetate ion in environmental and pharmaceutical samples.
PMID- 26561818
TI - Optimizing the Reliability and Performance of Service Composition Applications
with Fault Tolerance in Wireless Sensor Networks.
AB - The services composition technology provides flexible methods for building
service composition applications (SCAs) in wireless sensor networks (WSNs). The
high reliability and high performance of SCAs help services composition
technology promote the practical application of WSNs. The optimization methods
for reliability and performance used for traditional software systems are mostly
based on the instantiations of software components, which are inapplicable and
inefficient in the ever-changing SCAs in WSNs. In this paper, we consider the
SCAs with fault tolerance in WSNs. Based on a Universal Generating Function (UGF)
we propose a reliability and performance model of SCAs in WSNs, which generalizes
a redundancy optimization problem to a multi-state system. Based on this model,
an efficient optimization algorithm for reliability and performance of SCAs in
WSNs is developed based on a Genetic Algorithm (GA) to find the optimal structure
of SCAs with fault-tolerance in WSNs. In order to examine the feasibility of our
algorithm, we have evaluated the performance. Furthermore, the interrelationships
between the reliability, performance and cost are investigated. In addition, a
distinct approach to determine the most suitable parameters in the suggested
algorithm is proposed.
PMID- 26561819
TI - A Dynamic Range Enhanced Readout Technique with a Two-Step TDC for High Speed
Linear CMOS Image Sensors.
AB - This paper presents a dynamic range (DR) enhanced readout technique with a two
step time-to-digital converter (TDC) for high speed linear CMOS image sensors. A
multi-capacitor and self-regulated capacitive trans-impedance amplifier (CTIA)
structure is employed to extend the dynamic range. The gain of the CTIA is auto
adjusted by switching different capacitors to the integration node asynchronously
according to the output voltage. A column-parallel ADC based on a two-step TDC is
utilized to improve the conversion rate. The conversion is divided into coarse
phase and fine phase. An error calibration scheme is also proposed to correct
quantization errors caused by propagation delay skew within -T(clk)~+T(clk). A
linear CMOS image sensor pixel array is designed in the 0.13 MUm CMOS process to
verify this DR-enhanced high speed readout technique. The post simulation results
indicate that the dynamic range of readout circuit is 99.02 dB and the ADC
achieves 60.22 dB SNDR and 9.71 bit ENOB at a conversion rate of 2 MS/s after
calibration, with 14.04 dB and 2.4 bit improvement, compared with SNDR and ENOB
of that without calibration.
PMID- 26561820
TI - Seasonal Changes in Mycosporine-Like Amino Acid Production Rate with Respect to
Natural Phytoplankton Species Composition.
AB - After in situ incubation at the site for a year, phytoplanktons in surface water
were exposed to natural light in temperate lakes (every month); thereafter, the
net production rate of photoprotective compounds (mycosporine-like amino acids,
MAAs) was calculated using (13)C labeled tracer. This is the first report
describing seasonal variation in the net production rate of individual MAAs in
temperate lakes using a compound-specific stable isotope method. In the mid
latitude region of the Korean Peninsula, UV radiation (UVR) usually peaks from
July to August. In Lake Paldang and Lake Cheongpyeong, diatoms dominated among
the phytoplankton throughout the year. The relative abundance of Cyanophyceae
(Anabaena spiroides) reached over 80% during July in Lake Cheongpyeong. Changes
in phytoplankton abundance indicate that the phytoplankton community structure is
influenced by seasonal changes in the net production rate and concentration of
MAAs. Notably, particulate organic matter (POM) showed a remarkable change based
on the UV intensity occurring during that period; this was because of the fact
that cyanobacteria that are highly sensitive to UV irradiance dominated the
community. POM cultured in Lake Paldang had the greatest shinorine (SH)
production rate during October, i.e., 83.83 +/- 10.47 fgC.L(-1).h(-1). The
dominance of diatoms indicated that they had a long-term response to UVR.
Evaluation of POM cultured in Lake Cheongpyeong revealed that there was an
increase in the net MAA production in July (when UVR reached the maximum); a
substantial amount of SH, i.e., 17.62 +/- 18.34 fgC.L(-1).h(-1), was recorded
during this period. Our results demonstrate that both the net production rate as
well as the concentration of MAAs related to photoinduction depended on the
phytoplankton community structure. In addition, seasonal changes in UVR also
influenced the quantity and production of MAAs in phytoplanktons (especially
Cyanophyceae).
PMID- 26561821
TI - Identification of Antiviral Agents Targeting Hepatitis B Virus Promoter from
Extracts of Indonesian Marine Organisms by a Novel Cell-Based Screening Assay.
AB - The current treatments of chronic hepatitis B (CHB) face a limited choice of
vaccine, antibody and antiviral agents. The development of additional antiviral
agents is still needed for improvement of CHB therapy. In this study, we
established a screening system in order to identify compounds inhibiting the core
promoter activity of hepatitis B virus (HBV). We prepared 80 extracts of marine
organisms from the coral reefs of Indonesia and screened them by using this
system. Eventually, two extracts showed high inhibitory activity (>95%) and low
cytotoxicity (66% to 77%). Solvent fractionation, column chromatography and NMR
analysis revealed that 3,5-dibromo-2-(2,4-dibromophenoxy)-phenol (compound 1) and
3,4,5-tribromo-2-(2,4-dibromophenoxy)-phenol (compound 2), which are classified
as polybrominated diphenyl ethers (PBDEs), were identified as anti-HBV agents in
the extracts. Compounds 1 and 2 inhibited HBV core promoter activity as well as
HBV production from HepG2.2.15.7 cells in a dose-dependent manner. The EC50
values of compounds 1 and 2 were 0.23 and 0.80 uM, respectively, while
selectivity indexes of compound 1 and 2 were 18.2 and 12.8, respectively. These
results suggest that our cell-based HBV core promoter assay system is useful to
determine anti-HBV compounds, and that two PBDE compounds are expected to be
candidates of lead compounds for the development of anti-HBV drugs.
PMID- 26561822
TI - Heavy Metal Pollution, Fractionation, and Potential Ecological Risks in Sediments
from Lake Chaohu (Eastern China) and the Surrounding Rivers.
AB - Heavy metal (Cr, Ni, Cu, Zn, Cd, and Pb) pollution, fractionation, and ecological
risks in the sediments of Lake Chaohu (Eastern China), its eleven inflowing
rivers and its only outflowing river were studied. An improved BCR (proposed by
the European Community Bureau of Reference) sequential extraction procedure was
applied to fractionate heavy metals within sediments, a geoaccumulation index was
used to assess the extent of heavy metal pollution, and a risk assessment code
was applied to evaluate potential ecological risks. Heavy metals in the
Shuangqiao and Nanfei Rivers were generally higher than the other studied sites.
Of the three Lake Chaohu sites, the highest concentrations were identified in
western Chaohu. Heavy metal pollution and ecological risks in the lake's only
outflowing river were similar to those in the eastern region of the lake, to
which the river is connected. Heavy metal concentrations occurred in the
following order: Cd > Zn > Cu > Pb ~ Ni ~ Cr. Cr, Ni, and Cu made up the largest
proportion of the residual fraction, while Cd was the most prominent metal in the
exchangeable and carbonate-included fraction. Cd posed the greatest potential
ecological risk; the heavy metals generally posed risks in the following order:
Cd > Zn > Cu > Ni > Pb > Cr.
PMID- 26561823
TI - Indoor Environmental Quality in Mechanically Ventilated, Energy-Efficient
Buildings vs. Conventional Buildings.
AB - Energy-efficient buildings need mechanical ventilation. However, there are
concerns that inadequate mechanical ventilation may lead to impaired indoor air
quality. Using a semi-experimental field study, we investigated if exposure of
occupants of two types of buildings (mechanical vs. natural ventilation) differs
with regard to indoor air pollutants and climate factors. We investigated living
and bedrooms in 123 buildings (62 highly energy-efficient and 61 conventional
buildings) built in the years 2010 to 2012 in Austria (mainly Vienna and Lower
Austria). Measurements of indoor parameters (climate, chemical pollutants and
biological contaminants) were conducted twice. In total, more than 3000
measurements were performed. Almost all indoor air quality and room climate
parameters showed significantly better results in mechanically ventilated homes
compared to those relying on ventilation from open windows and/or doors. This
study does not support the hypothesis that occupants in mechanically ventilated
low energy houses are exposed to lower indoor air quality.
PMID- 26561824
TI - Distribution and Source of Polycyclic Aromatic Hydrocarbons (PAHs) in Water
Dissolved Phase, Suspended Particulate Matter and Sediment from Weihe River in
Northwest China.
AB - Weihe River is a typical river located in the arid and semi-arid regions of
Northwest China. In this study, the distribution and sources of 16 polycyclic
aromatic hydrocarbons (PAHs) in Weihe River were investigated. The concentrations
of ?PAHs ranged from 351 to 4427 ng/L with a mean value of 835.4 ng/L in water
dissolved phase (WDP), from 3557 ng/L to 147,907 ng/L with a mean value of 20,780
ng /L in suspended particulate matter (SPM), and from 362 to 15,667 ng/g dry
weight (dw) with a mean value of 2000 ng/g dw in sediment, respectively. The
concentrations of PAHs in Weihe River were higher compared with other rivers in
the world. In both WDP and sediment, the highest concentrations of ?PAHs were
observed in the middle reach, while the lowest concentrations of ?PAHs were found
in the lower reach. For SPM, however, the PAHs concentrations in the lower reach
were highest and the PAHs concentrations in the upper reach were lowest. The
ratios of anthracene/(anthracene + phenanthrene) and fluoranthene/ (fluoranthene
+ pyrene) reflected a pattern of both pyrolytic and petrogenic input of PAHs in
Weihe River. The potential ecosystem risk assessment indicated that harmful
biological impairments occur frequently in Weihe River.
PMID- 26561825
TI - Nutritional Correlates of Perceived Stress among University Students in Egypt.
AB - Food intake choice and amount might change with stress. However, this has not
been examined among Egyptian students. We examined students' stress levels, its
correlation with their consumption of a range of food groups, and adherence to
dietary guidelines. A cross sectional survey (N = 2810 undergraduates at 11
faculties at Assiut University, Egypt) assessed two composite food intake pattern
scores (one unhealthy: sweets, cakes, snacks; and a healthy one: fruits and
vegetables), and two indicators of healthy eating (subjective importance of
healthy eating; and dietary guideline adherence index). Multiple linear
regression tested the associations of stress with two food intake pattern scores
and two indicators of healthy eating, controlling for six potential confounders
for the sample and separately for males and females. Higher perceived stress
score was significantly associated with less frequent food intake of fruit and
vegetables in males and females. The association was more pronounced among males
than in females. No significant association was observed between the sweets cakes
and snacks score and stress. Of the two indicators of healthy eating, the dietary
guideline adherence index was not associated with stress, while the subjective
judgment of healthy eating was consistently negatively associated with stress.
Stress related decreased-eating was present. Recent studies suggest that stress
could be associated with either decreased or increased eating depending on the
study population, food group, and type of stressor. Further research is necessary
to understand stress related over- and undereating.
PMID- 26561826
TI - Exposure Knowledge and Perception of Wireless Communication Technologies.
AB - The presented survey investigates risk and exposure perceptions of radio
frequency electromagnetic fields (RF EMF) associated with base stations, mobile
phones and other sources, the key issue being the interaction between both sets
of perceptions. The study is based on a cross-sectional design, and conducted
with an online sample of 838 citizens from Portugal. The results indicate that
respondents' intuitive exposure perception differs from the actual exposure
levels. Furthermore, exposure and risk perceptions are found to be highly
correlated. Respondents' beliefs about exposure factors, which might influence
possible health risks, is appropriate. A regression analysis between exposure
characteristics, as predictor variables, and RF EMF risk perception, as the
response variable, indicates that people seem to use simple heuristics to form
their perceptions. What is bigger, more frequent and longer lasting is seen as
riskier. Moreover, the quality of exposure knowledge is not an indicator for
amplified EMF risk perception. These findings show that exposure perception is
key to future risk communication.
PMID- 26561828
TI - Oncolytic Replication of E1b-Deleted Adenoviruses.
AB - Various viruses have been studied and developed for oncolytic virotherapies. In
virotherapy, a relatively small amount of viruses used in an intratumoral
injection preferentially replicate in and lyse cancer cells, leading to the
release of amplified viral particles that spread the infection to the surrounding
tumor cells and reduce the tumor mass. Adenoviruses (Ads) are most commonly used
for oncolytic virotherapy due to their infection efficacy, high titer production,
safety, easy genetic modification, and well-studied replication characteristics.
Ads with deletion of E1b55K preferentially replicate in and destroy cancer cells
and have been used in multiple clinical trials. H101, one of the E1b55K-deleted
Ads, has been used for the treatment of late-stage cancers as the first approved
virotherapy agent. However, the mechanism of selective replication of E1b-deleted
Ads in cancer cells is still not well characterized. This review will focus on
three potential molecular mechanisms of oncolytic replication of E1b55K-deleted
Ads. These mechanisms are based upon the functions of the viral E1B55K protein
that are associated with p53 inhibition, late viralmRNAexport, and cell cycle
disruption.
PMID- 26561827
TI - Resistance of Hepatitis C Virus to Inhibitors: Complexity and Clinical
Implications.
AB - Selection of inhibitor-resistant viral mutants is universal for viruses that
display quasi-species dynamics, and hepatitis C virus (HCV) is no exception. Here
we review recent results on drug resistance in HCV, with emphasis on resistance
to the newly-developed, directly-acting antiviral agents, as they are
increasingly employed in the clinic. We put the experimental observations in the
context of quasi-species dynamics, in particular what the genetic and phenotypic
barriers to resistance mean in terms of exploration of sequence space while HCV
replicates in the liver of infected patients or in cell culture. Strategies to
diminish the probability of viral breakthrough during treatment are briefly
outlined.
PMID- 26561829
TI - Immunostimulatory Gene Therapy Using Oncolytic Viruses as Vehicles.
AB - Immunostimulatory gene therapy has been developed during the past twenty years.
The aim of immunostimulatory gene therapy is to tilt the suppressive tumor
microenvironment to promote anti-tumor immunity. Hence, like a Trojan horse, the
gene vehicle can carry warriors and weapons into enemy territory to combat the
tumor from within. The most promising immune stimulators are those activating and
sustaining Th1 responses, but even if potent effects were seen in preclinical
models, many clinical trials failed to show objective responses in cancer
patients. However, with new tools to control ongoing immunosuppression in cancer
patients, immunostimulatory gene therapy is now emerging as an interesting
option. In parallel, oncolytic viruses have been shown to be safe in patients. To
prolong immune stimulation and to increase efficacy, these two fields are now
merging and oncolytic viruses are armed with immunostimulatory transgenes. These
novel agents are racing towards approval as established cancer
immunotherapeutics.
PMID- 26561830
TI - Iron Metabolism Dysregulation and Cognitive Dysfunction in Pediatric Obesity: Is
There a Connection?
AB - Obesity and iron deficiency (ID) are two of the most common nutritional disorders
in the world. In children both conditions deserve particular attention. Several
studies revealed an association between obesity and iron deficiency in children
and, in some cases, a reduced response to oral supplementation. The connecting
mechanism, however, is not completely known. This review is focused on: (1) iron
deficiency in obese children and the role of hepcidin in the connection between
body fat and poor iron status; (2) iron status and consequences on health, in
particular on cognitive function; (3) cognitive function and obesity; (4)
suggestion of a possible link between cognitive dysfunction and ID in pediatric
obesity; and implications for therapy and future research.
PMID- 26561831
TI - Diet, Microbiota and Immune System in Type 1 Diabetes Development and Evolution.
AB - Type 1 diabetes (T1D) is the second most frequent autoimmune disease in
childhood. The long-term micro- and macro-vascular complications of diabetes are
associated with the leading causes of disability and even mortality in young
adults. Understanding the T1D etiology will allow the design of preventive
strategies to avoid or delay the T1D onset and to help to maintain control after
developing. T1D development involves genetic and environmental factors, such as
birth delivery mode, use of antibiotics, and diet. Gut microbiota could be the
link between environmental factors, the development of autoimmunity, and T1D. In
this review, we will focus on the dietary factor and its relationship with the
gut microbiota in the complex process involved in autoimmunity and T1D. The
molecular mechanisms involved will also be addressed, and finally, evidence-based
strategies for potential primary and secondary prevention of T1D will be
discussed.
PMID- 26561833
TI - Questionnaire about the adverse events and side effects following botulinum toxin
A treatment in patients with cerebral palsy.
AB - Botulinum toxin A (BoNT-A) injections for treatment of spasticity in patients
with cerebral palsy (CP) have been used for about two decades. The treatment is
considered safe but a low frequency of adverse events (AE) has been reported. A
good method to report AEs is necessary to verify the safety of the treatment. We
decided to use an active surveillance of treatment-induced harm using a
questionnaire we created. We studied the incidence of reported AEs and side
effects in patients with CP treated with BoNT-A. We investigated the relationship
between the incidence of AEs or side effects and gender, age, weight, total dose,
dose per body weight, Gross Motor Function Classification System (GMFCS) and
number of treated body parts. Seventy-four patients with CP participated in our
study. In 54 (51%) of 105 BoNT-A treatments performed in 45 (61%) patients, there
were 95 AEs and side effects reported, out of which 50 were generalized and/or
focal distant. Severe AEs occurred in three patients (4%), and their BoNT-A
treatment was discontinued. Consecutive collection of the AE and side-effect
incidence using our questionnaire can increase the safety of BoNT-A treatment in
patients with CP.
PMID- 26561834
TI - Revealing the Complexity of Breast Cancer by Next Generation Sequencing.
AB - Over the last few years the increasing usage of "-omic" platforms, supported by
next-generation sequencing, in the analysis of breast cancer samples has
tremendously advanced our understanding of the disease. New driver and passenger
mutations, rare chromosomal rearrangements and other genomic aberrations
identified by whole genome and exome sequencing are providing missing pieces of
the genomic architecture of breast cancer. High resolution maps of breast cancer
methylomes and sequencing of the miRNA microworld are beginning to paint the
epigenomic landscape of the disease. Transcriptomic profiling is giving us a
glimpse into the gene regulatory networks that govern the fate of the breast
cancer cell. At the same time, integrative analysis of sequencing data confirms
an extensive intertumor and intratumor heterogeneity and plasticity in breast
cancer arguing for a new approach to the problem. In this review, we report on
the latest findings on the molecular characterization of breast cancer using NGS
technologies, and we discuss their potential implications for the improvement of
existing therapies.
PMID- 26561832
TI - The Role of Maternal Dietary Proteins in Development of Metabolic Syndrome in
Offspring.
AB - The prevalence of metabolic syndrome and obesity has been increasing. Pre-natal
environment has been suggested as a factor influencing the risk of metabolic
syndrome in adulthood. Both observational and experimental studies showed that
maternal diet is a major modifier of the development of regulatory systems in the
offspring in utero and post-natally. Both protein content and source in maternal
diet influence pre- and early post-natal development. High and low protein dams'
diets have detrimental effect on body weight, blood pressure191 and metabolic and
intake regulatory systems in the offspring. Moreover, the role of the source of
protein in a nutritionally adequate maternal diet in programming of food intake
regulatory system, body weight, glucose metabolism and blood pressure in
offspring is studied. However, underlying mechanisms are still elusive. The
purpose of this review is to examine the current literature related to the role
of proteins in maternal diets in development of characteristics of the metabolic
syndrome in offspring.
PMID- 26561835
TI - Multiparametric Evaluation of Head and Neck Squamous Cell Carcinoma Using a
Single-Source Dual-Energy CT with Fast kVp Switching: State of the Art.
AB - There is an increasing body of evidence establishing the advantages of dual
energy CT (DECT) for evaluation of head and neck squamous cell carcinoma (HNSCC).
Focusing on a single-source DECT system with fast kVp switching, we will review
the principles behind DECT and associated post-processing steps that make this
technology especially suitable for HNSCC evaluation and staging. The article will
review current applications of DECT for evaluation of HNSCC including use of
different reconstructions to improve tumor conspicuity, tumor-normal soft tissue
interface, accuracy of invasion of critical structures such as thyroid cartilage,
and reduce dental artifact. We will provide a practical approach for DECT
implementation into routine clinical use and a multi-parametric approach for scan
interpretation based on the experience at our institution. The article will
conclude with a brief overview of potential future applications of the technique.
PMID- 26561836
TI - The Classification of Hysteria and Related Disorders: Historical and
Phenomenological Considerations.
AB - This article examines the history of the conceptualization of dissociative,
conversion, and somatoform syndromes in relation to one another, chronicles
efforts to classify these and other phenomenologically-related psychopathology in
the American diagnostic system for mental disorders, and traces the subsequent
divergence in opinions of dissenting sectors on classification of these
disorders. This article then considers the extensive phenomenological overlap
across these disorders in empirical research, and from this foundation presents a
new model for the conceptualization of these disorders. The classification of
disorders formerly known as hysteria and phenomenologically-related syndromes has
long been contentious and unsettled. Examination of the long history of the
conceptual difficulties, which remain inherent in existing classification schemes
for these disorders, can help to address the continuing controversy. This review
clarifies the need for a major conceptual revision of the current classification
of these disorders. A new phenomenologically-based classification scheme for
these disorders is proposed that is more compatible with the agnostic and
atheoretical approach to diagnosis of mental disorders used by the current
classification system.
PMID- 26561837
TI - Neutrophil Development, Migration, and Function in Teleost Fish.
AB - It is now widely recognized that neutrophils are sophisticated cells that are
critical to host defense and the maintenance of homeostasis. In addition,
concepts such as neutrophil plasticity are helping to define the range of
phenotypic profiles available to cells in this group and the physiological
conditions that contribute to their differentiation. Herein, we discuss key
features of the life of a teleost neutrophil including their development,
migration to an inflammatory site, and contributions to pathogen killing and the
control of acute inflammation. The potent anti-microbial mechanisms elicited by
these cells in bony fish are a testament to their long-standing evolutionary
contributions in host defense. In addition, recent insights into their active
roles in the control of inflammation prior to induction of apoptosis highlight
their importance to the maintenance of host integrity in these early vertebrates.
Overall, our goal is to summarize recent progress in our understanding of this
cell type in teleost fish, and to provide evolutionary context for the
contributions of this hematopoietic lineage in host defense and an efficient
return to homeostasis following injury or infection.
PMID- 26561839
TI - [The diagnosis of latent tuberculosis infection in children in XXI century. Is
tuberculin skin test still up to date?].
AB - Tuberculosis morbidity rates in Poland have been gradually decreasing.
Nevertheless, there are approximately 8 thousand cases being registered annually,
which includes almost 3 thousand massively infectious patients. In the last 3
years, around 100 cases/year have been reported among children below 14 years of
age. Infection with Mycobacterium tuberculosis should be considered in all
patients who present symptoms suggesting tuberculosis, have had recent contact
with a person suffering from lung tuberculosis or are planned to undergo an
immunosuppressive treatment. HIV infected patients are also supposed to have
screening tests for M. tuberculosis infection performed. For over a 100 years
tuberculin skin test (TST) was the only test capable of confirming tuberculous
infection. TST is based on the assessment of skin reaction to intracutaneous
injection of tuberculin. Due to cross-reaction to the injected tuberculin in BCG
vaccinated individuals, the correct interpretation of the test is difficult.
Since 13 years new immunological assays have been available. They are based on
detecting interferon gamma (Interferon Gamma Release Assay - IGRA) concentration
in blood serum, which has previously been incubated with Mycobacterium
tuberculosis antigens absent in the BCG strain. In infected individuals
interferon gamma is intensively produced by memory cells in reaction to the
contact with previously met Mycobacterium antigens. Many trials have proved
IGRA's high sensitivity and, higher than TST, specificity. Recent guidelines
promote the usage of IGRAs, even in children.
PMID- 26561838
TI - Skin Immunization Obviates Alcohol-Related Immune Dysfunction.
AB - Alcoholics suffer from immune dysfunction that can impede vaccine efficacy. If
ethanol (EtOH)-induced immune impairment is in part a result of direct exposure
of immune cells to EtOH, then reduced levels of exposure could result in less
immune dysfunction. As alcohol ingestion results in lower alcohol levels in skin
than blood, we hypothesized that the skin immune network may be relatively
preserved, enabling skin-targeted immunizations to obviate the immune inhibitory
effects of alcohol consumption on conventional vaccines. We employed the two most
common chronic EtOH mouse feeding models, the liver-damaging Lieber-DeCarli (LD)
and liver-sparing Meadows-Cook (MC) diets, to examine the roles of EtOH and/or
EtOH-induced liver dysfunction on alcohol related immunosuppression. Pair-fed
mice were immunized against the model antigen ovalbumin (OVA) by DNA immunization
or against flu by administering the protein-based influenza vaccine either
systemically (IV, IM), directly to liver (hydrodynamic), or cutaneously
(biolistic, ID). We measured resulting tissue EtOH levels, liver stress,
regulatory T cell (Treg), and myeloid-derived suppressor cell (MDSC) populations.
We compared immune responsiveness by measuring delayed-type hypersensitivity
(DTH), antigen-specific cytotoxic T lymphocyte (CTL), and antibody induction as a
function of delivery route and feeding model. We found that, as expected, and
independent of the feeding model, EtOH ingestion inhibits DTH, CTL lysis, and
antigen-specific total IgG induced by traditional systemic vaccines. On the other
hand, skin-targeted vaccines were equally immunogenic in alcohol-exposed and non
exposed subjects, suggesting that cutaneous immunization may result in more
efficacious vaccination in alcohol-ingesting subjects.
PMID- 26561840
TI - [Dental plaque as a biofilm - a risk in oral cavity and methods to prevent].
AB - Bacteria living constantly in the oral cavity are in the form of a biofilm. The
biofilm formed on a solid base such as the enamel of the teeth, fillings,
restorations, orthodontic appliances or obturators is dental plaque. Disturbance
of homeostasis of biofilm, excessive growth or increase in the number of acid
forming bacteria leads to the development of the most common diseases of the oral
cavity, i.e. dental caries and periodontal disease. The presence of bacterial
biofilm on the walls of the root canal or at the top of the root on an outer wall
leads to complications and failure in endodontic treatment. The aim of the study
was to present the latest information on the occurrence, development and the role
of biofilm in the etiopathogenesis of oral diseases and its control. Based on the
literature analyzed, it can be concluded that the biofilm, due to its complex
structure and numerous mechanisms of bacteria adaptation, is an effective barrier
against the traditional agents with antibacterial properties. There are now great
hopes for nanotechnology as an innovative method for obtaining new structures of
nanometric size and different properties than source materials. The use of
antibacterial properties of nano-silver used in dentistry significantly reduces
the metabolic activity and the number of colony forming bacteria and lactic acid
production in the biofilm.
PMID- 26561841
TI - [Heat shock protein HSP60 and the perspective for future using as vaccine
antigens].
AB - Heat Shock Proteins (HSPs) are widely spread in nature, highly conserved
proteins, found in all prokaryotic and eukaryotic cells. HSPs have been
classified in 10 families, one of them is the HSP60 family. HSP60 function in the
cytoplasm as ATP-dependent molecular chaperones by assisting the folding of newly
synthesised polypeptides and the assembly of multiprotein complexes. There is a
large amount of evidence which demonstrate that HSP60 is expressed on the cell
surface. Especially in bacteria the expression on the surface occurs
constitutively and increases remarkably during host infection. HSP60 also play an
important role in biofilm formation. In the extracellular environment, HSP60
alone or with self or microbial proteins can acts not only as a link between
immune cells, but also as a coordinator of the immune system activity. This
protein could influence the immune system in a different way because they act as
an antigen, a carrier of other functional molecules or as a ligand for receptor.
They are able to stimulate both cells of the acquired (naive, effector,
regulatory T lymphocyte, B lymphocyte) and the innate (macrophages, monocytes,
dendritic cells) immune system. HSPs have been reported to be potent activators
of the immune system and they are one of the immunodominant bacterial antigens
they could be a good candidate for a subunit vaccine or as an adjuvant.
PMID- 26561842
TI - [The neurotoxicity of pyridinium metabolites of haloperidol].
AB - Haloperydol is a butyrophenone, typical neuroleptic agent characterized as a high
antipsychotics effects in the treatment of schizophrenia and in palliative care
to alleviation many syndromes, such as naursea, vomiting and delirium. Clinical
problems occurs during and after administration of the drug are side effects,
particularly extrapyrramidal symptoms (EPS). The neurotoxicity of haloperydol may
be initiated by the cationic metabolites of haloperydol, HPP+, RHPP+, formed by
oxidation and reduction pathways. These metabolites are transported by human
organic cation transporters (hOCT) to several brain structures for exapmle, in
substantia nigra, striatum, caudate nucleus, hippocampus. After reaching the
dopaminergic neurons inhibits mitochondrial complex I, evidence for free radical
involvement, thus leading to neurodegeneration.
PMID- 26561843
TI - Bone markers in craniofacial bone deformations and dysplasias.
AB - Various forms of bony deformations and dysplasias are often present in the facial
skeleton. Bone defects can be either localized or general. Quite often they are
not only present in the skull but also can be found in other parts of the
skeleton. In many cases the presence and levels of specific bone markers should
be measured in order to fully describe their activity and presence in the
skeleton. Fibrous dysplasia (FD) is the most common one in the facial skeleton;
however, other bone deformations regarding bone growth and activity can also be
present. Every clinician should be aware of all common, rare and uncommon bony
diseases and conditions such as cherubism, Paget's disease, osteogenesis
imperfecta and others related to genetic conditions. We present standard
(calcium, parathyroid hormone, calcitonin, alkaline phosphatase, vitamin D) and
specialized bone markers (pyridinium, deoxypyridinium, hydroxyproline,
RANKL/RANK/OPG pathway, growth hormone, insulin-like growth hormone-1) that can
be used to evaluate, measure or describe the processes occurring in craniofacial
bones.
PMID- 26561844
TI - Pneumococcal and seasonal influenza vaccination among elderly patients with
diabetes.
AB - Both seasonal influenza vaccination and pneumococcal vaccination are recommended
for elderly diabetics. The aim of the study was to determine the rate of seasonal
influenza vaccination over the previous twelve months, pneumococcal vaccination
over a lifetime, and to identify predictors which affect likelihood of
vaccination. 219 diabetics elders were detailed questioned 3 months after the end
of 2012/2013 influenza season. 26.48% of patients have been vaccinated against
influenza in the last year and only 9.13% of patients reported pneumococcal
vaccination in the past. The logistic regression analysis revealed that variables
which increased the likelihood of having been vaccinated against influenza were:
higher number of anti-hyperglycemic medications, increased number of co
morbidities, higher patients' income, recommendation of vaccination from General
Practitioners (GPs) and specialist. Significant predictors of pneumococcal
vaccine uptake included increased number of co-morbidities and recommendation of
vaccination received from GPs and specialist. The commonest reasons given by
those unvaccinated were lack of information about immunization and low perceived
benefits of vaccination. Of patients who were not treated with influenza vaccine
86.7% had never received recommendation from specialist and 71.4% had never been
advised by GPs. Influenza vaccination was too expensive to 24.85% of patients.
The vaccination rate among elderly diabetics in Poland is low. Lack of knowledge
and patients' income are the main barriers. Increased awareness of healthcare
professionals to educate and encourage vaccination and propagation of free
vaccinations to all people at risk may increase the rate of vaccination against
influenza and pneumococcal disease.
PMID- 26561845
TI - Saliva of obese patients - is it different?
AB - Obesity is a major public health concern that increases the risk of
cardiovascular disease, type 2 diabetes and cancer. The incidence of obesity has
increased significantly in recent years, not only in adults, but also in
adolescents and children. This is evidenced by rapidly developing bariatric
surgery, the most effective method of treating morbid obesity. Obesity is a
multifactorial disease, and its pathogenesis is not completely understood.
Numerous studies have been performed to clarify pathogenetic mechanisms, based
mostly on blood and sometimes urine samples. Saliva is easily accessible and can
be obtained non-invasively. Our aim was to review studies performed on saliva
obtained from obese subjects in order to answer the title question. Obese people
have different composition of salivary bacteria. Changes in the concentration of
sialic acid, phosphorus and peroxidase activity as well as a lower flow rate of
stimulated whole saliva promote dental caries and periodontal disease.
Concentrations of salivary uric acid, endocannabinoids and CRP are increased in
obesity and may provide a useful index of cardiometabolic risk. Assessment of
fasting salivary ghrelin might facilitate choosing the best type of bariatric
surgery for a specific patient. A significant decrease in salivary cortisol in
women with morbid obesity also seems interesting. There is sufficient evidence to
state that the saliva of obese and lean subjects is different. Saliva as an
easily accessible research material seems promising, as shown by the few studies
performed so far.
PMID- 26561846
TI - Medical applications of nanotechnology.
AB - Nanotechnologies are new areas of research focusing on affecting matter at the
atomic and molecular levels. It is beyond doubt that modern medicine can benefit
greatly from it; thus nanomedicine has become one of the main branches of
nanotechnological research. Currently it focuses on developing new methods of
preventing, diagnosing and treating various diseases. Nanomaterials show very
high efficiency in destroying cancer cells and are already undergoing clinical
trials. The results are so promising that nanomaterials might become an
alternative to traditional cancer therapy, mostly due to the fact that they allow
cancer cells to be targeted specifically and enable detailed imaging of tissues,
making planning further therapy much easier. Nanoscience might also be a source
of the needed breakthrough in the fight against atherosclerosis, since
nanostructures may be used in both preventing and increasing the stability of
atherosclerotic lesions. One area of interest is creating nanomaterials that are
not only efficient, but also well tolerated by the human body. Other potential
applications of nanotechnology in medicine include: nanoadjuvants with
immunomodulatory properties used to deliver vaccine antigens; the nano-knife, an
almost non-invasive method of destroying cancer cells with high voltage
electricity; and carbon nanotubes, which are already a popular way of repairing
damaged tissues and might be used to regenerate nerves in the future. The aim of
this article is to outline the potential uses of nanotechnology in medicine.
Original articles and reviews have been used to present the new developments and
directions of studies.
PMID- 26561847
TI - [Angiogenesis and lymphangiogenesis in primary cutaneous T-cell lymphomas].
AB - Primary cutaneous T-cell lymphomas are a group of rare hematologic malignancies,
derived from mature T lymphocytes and initially developing only in the skin. The
most common lymphomas representing this group are mycosis fungoides and Sezary
syndrome. Mycosis fungoides is an indolent disease with a chronic course and
characteristic evolution of the skin lesions from erythematous patches, through
plaques to tumors. Sezary syndrome is characterized by an aggressive course and a
triad of symptoms (erythroderma, generalized lymphadenopathy, and the presence of
atypical cells in the skin, lymph nodes and peripheral blood). The
etiopathogenesis of cutaneous lymphomas is not fully understood, but a few
studies on angiogenesis and lymphangiogenesis in these malignancies indicate a
significant role in their development and progression. Angiogenesis is a process
of formation of new blood vessels from existing ones. Lymphangiogenesis is a
similar process concerning lymphatic vasculature. Development of new vessels is a
complex process composed of several successive stages: migration, proliferation,
and differentiation of endothelial cells, extracellular matrix degradation and
formation and stabilization of new vessels, regulated by growth factors,
cytokines and other proteins. Both phenomena are essential in the development and
progression of solid tumors and hematological malignancies. Therapeutic
strategies involving the inhibition of tumor angiogenesis and lymphangiogenesis
are a promising new direction of studies in antitumor therapy, requiring further
experiments.
PMID- 26561848
TI - Thrombocytopenia in pregnancy - pathogenesis and diagnostic approach.
AB - Thrombocytopenia (TP) affects 7-10% of pregnant women. It occurs 4 times more
frequently in pregnancy than in the non-pregnant women population. Women with
thrombocytopenia in pregnancy are a heterogeneous and poorly known group. There
are several possible causes of thrombocytopenia in pregnancy. The most common
are: gestational thrombocytopenia (GE) (60-75%), preeclampsia (PE) and HELLP
(hemolysis, elevated liver enzymes, low platelets) syndrome associated TP (21%),
and idiopathic immune thrombocytopenia (ITP) (3-10%). Although thrombocytopenia
diagnosed in pregnancy in most cases has a mild course, it has also been reported
to be associated with a higher rate of preterm birth and premature detachment of
the placenta. Some cases of severe thrombocytopenia with systemic involvement are
associated with high risk of serious perinatal complications and require early
diagnosis, careful clinical monitoring and medical treatment. The differential
diagnosis and proper assessment of clinical risk of TP during pregnancy may be of
great concern. The article discusses these issues, focusing on pathophysiology of
TP in pregnancy.
PMID- 26561849
TI - Analysis of nanomechanical properties of Borrelia burgdorferi spirochetes under
the influence of lytic factors in an in vitro model using atomic force
microscopy.
AB - BACKGROUND: Atomic force microscopy (AFM) is an experimental technique which
recently has been used in biology, microbiology, and medicine to investigate the
topography of surfaces and in the evaluation of mechanical properties of cells.
The aim of this study was to evaluate the influence of the complement system and
specific anti-Borrelia antibodies in in vitro conditions on the modification of
nanomechanical features of B. burgdorferi B31 cells. MATERIAL AND METHODS: In
order to assess the influence of the complement system and anti-Borrelia
antibodies on B. burgdorferi s.s. B31 spirochetes, the bacteria were incubated
together with plasma of identified status. The samples were applied on the
surface of mica disks. Young's modulus and adhesive forces were analyzed with a
NanoScope V, MultiMode 8 AFM microscope (Bruker) by the PeakForce QNM technique
in air using NanoScope Analysis 1.40 software (Bruker). RESULTS/CONCLUSION: The
average value of flexibility of spirochetes' surface expressed by Young's modulus
was 10185.32 MPa, whereas the adhesion force was 3.68 nN. AFM is a modern tool
with a broad spectrum of observational and measurement abilities. Young's modulus
and the adhesion force can be treated as parameters in the evaluation of
intensity and changes which take place in pathogenic microorganisms under the
influence of various lytic factors. The visualization of the changes in
association with nanomechanical features provides a realistic portrayal of the
lytic abilities of the elements of the innate and adaptive human immune system.
PMID- 26561850
TI - [Novel aspects of human infertility: the role of the male factor].
AB - In the article new aspects of the 'male factor' and its role in early stages of
pregnancy are described. Among others, genetic and immunogenetic (KIR/KAR, HLA)
factors are underlined as well as immunological ones (e.g. microchimerism). A
significant part of this review is dedicated to infectious agents and semen
inflammation as well as to the TORCH syndrome and chlamydiosis, concentrating on
the male part, in which there are a lot of unclarified consequences. The problem
of somatic diseases and general homeostasis of the male and its influence on
pregnancy with particular emphasis on previous cryptorchidism is also discussed.
The role of sperm DNA integrity in the fertilization process as well as genetic
polymorphisms on the male side is emphasised. Particularly, molecular aspects of
HLA-G and HLA-C in developmental biology are raised. There is a discussion of the
individual approach to assisted reproductive techniques, which cannot be treated
as a panacea for infertility treatment, particularly considering early stages of
embryonal and fetal development.
PMID- 26561852
TI - Coevolved Mutations Reveal Distinct Architectures for Two Core Proteins in the
Bacterial Flagellar Motor.
AB - Switching of bacterial flagellar rotation is caused by large domain movements of
the FliG protein triggered by binding of the signal protein CheY to FliM. FliG
and FliM form adjacent multi-subunit arrays within the basal body C-ring. The
movements alter the interaction of the FliG C-terminal (FliGC) "torque" helix
with the stator complexes. Atomic models based on the Salmonella entrovar C-ring
electron microscopy reconstruction have implications for switching, but lack
consensus on the relative locations of the FliG armadillo (ARM) domains (amino
terminal (FliGN), middle (FliGM) and FliGC) as well as changes during chemotaxis.
The generality of the Salmonella model is challenged by the variation in motor
morphology and response between species. We studied coevolved residue mutations
to determine the unifying elements of switch architecture. Residue interactions,
measured by their coevolution, were formalized as a network, guided by structural
data. Our measurements reveal a common design with dedicated switch and motor
modules. The FliM middle domain (FliMM) has extensive connectivity most simply
explained by conserved intra and inter-subunit contacts. In contrast, FliG has
patchy, complex architecture. Conserved structural motifs form interacting nodes
in the coevolution network that wire FliMM to the FliGC C-terminal, four-helix
motor module (C3-6). FliG C3-6 coevolution is organized around the torque helix,
differently from other ARM domains. The nodes form separated, surface-proximal
patches that are targeted by deleterious mutations as in other allosteric
systems. The dominant node is formed by the EHPQ motif at the FliMMFliGM contact
interface and adjacent helix residues at a central location within FliGM. The
node interacts with nodes in the N-terminal FliGc alpha-helix triad (ARM-C) and
FliGN. ARM-C, separated from C3-6 by the MFVF motif, has poor intra-network
connectivity consistent with its variable orientation revealed by structural
data. ARM-C could be the convertor element that provides mechanistic and species
diversity.
PMID- 26561851
TI - RNA-seq Brings New Insights to the Intra-Macrophage Transcriptome of Salmonella
Typhimurium.
AB - Salmonella enterica serovar Typhimurium is arguably the world's best-understood
bacterial pathogen. However, crucial details about the genetic programs used by
the bacterium to survive and replicate in macrophages have remained obscure
because of the challenge of studying gene expression of intracellular pathogens
during infection. Here, we report the use of deep sequencing (RNA-seq) to reveal
the transcriptional architecture and gene activity of Salmonella during infection
of murine macrophages, providing new insights into the strategies used by the
pathogen to survive in a bactericidal immune cell. We characterized 3583
transcriptional start sites that are active within macrophages, and highlight 11
of these as candidates for the delivery of heterologous antigens from Salmonella
vaccine strains. A majority (88%) of the 280 S. Typhimurium sRNAs were expressed
inside macrophages, and SPI13 and SPI2 were the most highly expressed
pathogenicity islands. We identified 31 S. Typhimurium genes that were strongly
up-regulated inside macrophages but expressed at very low levels during in vitro
growth. The SalComMac online resource allows the visualisation of every
transcript expressed during bacterial replication within mammalian cells. This
primary transcriptome of intra-macrophage S.-Typhimurium describes the
transcriptional start sites and the transcripts responsible for virulence traits,
and catalogues the sRNAs that may play a role in the regulation of gene
expression during infection.
PMID- 26561853
TI - Differential Gene Expression Profiles and Selected Cytokine Protein Analysis of
Mediastinal Lymph Nodes of Horses with Chronic Recurrent Airway Obstruction (RAO)
Support an Interleukin-17 Immune Response.
AB - Recurrent airway obstruction (RAO) is a pulmonary inflammatory condition that
afflicts certain mature horses exposed to organic dust particulates in hay. Its
clinical and pathological features, manifested by reversible bronchoconstriction,
excessive mucus production and airway neutrophilia, resemble the pulmonary
alterations that occur in agricultural workers with occupational asthma. The
immunological basis of RAO remains uncertain although its chronicity, its
localization to a mucosal surface and its domination by a neutrophilic, non
septic inflammatory response, suggest involvement of Interleukin-17 (IL-17). We
examined global gene expression profiles in mediastinal (pulmonary-draining)
lymph nodes isolated from RAO-affected and control horses. Differential
expression of > 200 genes, coupled with network analysis, supports an IL-17
response centered about NF-kappaB. Immunohistochemical analysis of mediastinal
lymph node sections demonstrated increased IL-17 staining intensity in diseased
horses. This result, along with the finding of increased IL-17 concentrations in
lymph node homogenates from RAO-affected horses (P = 0.1) and a down-regulation
of IL-4 gene and protein expression, provides additional evidence of the
involvement of IL-17 in the chronic stages of RAO. Additional investigations are
needed to ascertain the cellular source of IL-17 in this equine model of
occupational asthma. Understanding the immunopathogenesis of this disorder likely
will enhance the development of therapeutic interventions beneficial to human and
animal pulmonary health.
PMID- 26561854
TI - Association of Early Atherosclerosis with Vascular Wall Shear Stress in
Hypercholesterolemic Zebrafish.
AB - Although atherosclerosis is a multifactorial disease, the role of hemodynamic
information has become more important. Low and oscillating wall shear stress
(WSS) that changes its direction is associated with the early stage of
atherosclerosis. Several in vitro and in vivo models were proposed to reveal the
relation between the WSS and the early atherosclerosis. However, these models
possess technical limitations in mimicking real physiological conditions and
monitoring the developmental course of the early atherosclerosis. In this study,
a hypercholesterolaemic zebrafish model is proposed as a novel experimental model
to resolve these limitations. Zebrafish larvae are optically transparent, which
enables temporal observation of pathological variations under in vivo condition.
WSS in blood vessels of 15 days post-fertilisation zebrafish was measured using a
micro particle image velocimetry (PIV) technique, and spatial distribution of
lipid deposition inside the model was quantitatively investigated after feeding
high cholesterol diet for 10 days. Lipids were mainly deposited in blood vessel
of low WSS. The oscillating WSS was not induced by the blood flows in zebrafish
models. The present hypercholesterolaemic zebrafish would be used as a
potentially useful model for in vivo study about the effects of low WSS in the
early atherosclerosis.
PMID- 26561855
TI - Enhancer Runaway and the Evolution of Diploid Gene Expression.
AB - Evidence is mounting that the evolution of gene expression plays a major role in
adaptation and speciation. Understanding the evolution of gene regulatory regions
is indeed an essential step in linking genotypes and phenotypes and in
understanding the molecular mechanisms underlying evolutionary change. The common
view is that expression traits (protein folding, expression timing, tissue
localization and concentration) are under natural selection at the individual
level. Here, we use a theoretical approach to show that, in addition, in diploid
organisms, enhancer strength (i.e., the ability of enhancers to activate
transcription) may increase in a runaway process due to competition for
expression between homologous enhancer alleles. These alleles may be viewed as
self-promoting genetic elements, as they spread without conferring a benefit at
the individual level. They gain a selective advantage by getting associated to
better genetic backgrounds: deleterious mutations are more efficiently purged
when linked to stronger enhancers. This process, which has been entirely
overlooked so far, may help understand the observed overrepresentation of cis
acting regulatory changes in between-species phenotypic differences, and sheds a
new light on investigating the contribution of gene expression evolution to
adaptation.
PMID- 26561856
TI - Claudin-6 and Occludin Natural Variants Found in a Patient Highly Exposed but Not
Infected with Hepatitis C Virus (HCV) Do Not Confer HCV Resistance In Vitro.
AB - The clinical course of Hepatitis C Virus (HCV) infection is highly variable
between infected individual hosts: up to 80% of acutely HCV infected patients
develop a chronic infection while 20% clear infection spontaneously. Spontaneous
clearance of HCV infection can be predicted by several factors, including
symptomatic acute infection, favorable IFNL3 polymorphisms and gender. In our
study, we explored the possibility that variants in HCV cell entry factors might
be involved in resistance to HCV infection. In a same case patient highly exposed
but not infected by HCV, we previously identified one mutation in claudin-6
(CLDN6) and a rare variant in occludin (OCLN), two tight junction proteins
involved in HCV entry into hepatocytes. Here, we conducted an extensive
functional study to characterize the ability of these two natural variants to
prevent HCV entry. We used lentiviral vectors to express Wildtype or mutated
CLDN6 and OCLN in different cell lines and primary human hepatocytes. HCV
infection was then investigated using cell culture produced HCV particles (HCVcc)
as well as HCV pseudoparticles (HCVpp) expressing envelope proteins from
different genotypes. Our results show that variants of CLDN6 and OCLN expressed
separately or in combination did not affect HCV infection nor cell-to-cell
transmission. Hence, our study highlights the complexity of HCV resistance
mechanisms supporting the fact that this process probably not primarily involves
HCV entry factors and that other unknown host factors may be implicated.
PMID- 26561857
TI - Physical Characterization of Gemini Surfactant-Based Synthetic Vectors for the
Delivery of Linear Covalently Closed (LCC) DNA Ministrings.
AB - In combination with novel linear covalently closed (LCC) DNA minivectors,
referred to as DNA ministrings, a gemini surfactant-based synthetic vector for
gene delivery has been shown to exhibit enhanced delivery and bioavailability
while offering a heightened safety profile. Due to topological differences from
conventional circular covalently closed (CCC) plasmid DNA vectors, the linear
topology of LCC DNA ministrings may present differences with regards to DNA
interaction and the physicochemical properties influencing DNA-surfactant
interactions in the formulation of lipoplexed particles. In this study, N,N
bis(dimethylhexadecyl)-alpha,omega-propanediammonium(16-3-16)gemini-based
synthetic vectors, incorporating either CCC plasmid or LCC DNA ministrings, were
characterized and compared with respect to particle size, zeta potential, DNA
encapsulation, DNase sensitivity, and in vitro transgene delivery efficacy.
Through comparative analysis, differences between CCC plasmid DNA and LCC DNA
ministrings led to variations in the physical properties of the resulting
lipoplexes after complexation with 16-3-16 gemini surfactants. Despite the size
disparities between the plasmid DNA vectors (CCC) and DNA ministrings (LCC),
differences in DNA topology resulted in the generation of lipoplexes of
comparable particle sizes. The capacity for ministring (LCC) derived lipoplexes
to undergo complete counterion release during lipoplex formation contributed to
improved DNA encapsulation, protection from DNase degradation, and in vitro
transgene delivery.
PMID- 26561858
TI - Aspirin Compared to Low Intensity Anticoagulation in Patients with Non-Valvular
Atrial Fibrillation. A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Despite its lack of efficacy, aspirin is commonly used for stroke
prevention in atrial fibrillation. Since prior studies have suggested a benefit
of low-intensity anticoagulation over aspirin in the prevention of vascular
events, the aim of this systematic review was to compare the outcomes of patients
with non-valvular atrial fibrillation treated with low-intensity anticoagulation
with Vitamin K antagonists or aspirin. METHODS: We conducted a systematic review
searching Ovid MEDLINE, Embase and the Cochrane Central Register of Controlled
Trials, from 1946 to October 14th, 2015. Randomized controlled trials were
included if they reported the outcomes of patients with non-valvular atrial
fibrillation treated with a low-intensity anticoagulation compared to patients
treated with aspirin. The primary outcome was a combination of ischemic stroke or
systemic embolism. The random-effects model odds ratio was used as the outcome
measure. RESULTS: Our initial search identified 6309relevant articles of which
three satisfied our inclusion criteria and were included. Compared to low
intensity anticoagulation, aspirin alone did not reduce the incidence of ischemic
stroke or systemic embolism OR 0.94 (95% CI 0.57-1.56), major bleeding OR 1.06
(95% CI 0.42-2.62) or vascular death OR 1.04 (95% CI 0.61-1.75). The use of
aspirin was associated with a significant increase in all-cause mortality OR 1.66
(95% CI 1.12-2.48). CONCLUSION: In patients with non-valvular atrial
fibrillation, aspirin provides no benefits over low-intensity anticoagulation.
Furthermore, the use of aspirin appears to be associated with an increased risk
in all-cause mortality. Our study provides more evidence against the use aspirin
in patients with non-valvular atrial fibrillation.
PMID- 26561860
TI - Correction: Improving the pH-stability of Versatile Peroxidase by Comparative
Structural Analysis with a Naturally-Stable Manganese Peroxidase.
PMID- 26561859
TI - Implant Optimisation for Primary Hip Replacement in Patients over 60 Years with
Osteoarthritis: A Cohort Study of Clinical Outcomes and Implant Costs Using Data
from England and Wales.
AB - BACKGROUND: Hip replacement is one of the most commonly performed surgical
procedures worldwide; hundreds of implant configurations provide options for
femoral head size, joint surface material and fixation method with dramatically
varying costs. Robust comparative evidence to inform the choice of implant is
needed. This retrospective cohort study uses linked national databases from
England and Wales to determine the optimal type of replacement for patients over
60 years undergoing hip replacement for osteoarthritis. METHODS AND FINDINGS:
Implants included were the commonest brand from each of the four types of
replacement (cemented, cementless, hybrid and resurfacing); the reference
prosthesis was the cemented hip procedure. Patient reported outcome scores
(PROMs), costs and risk of repeat (revision) surgery were examined. Multivariable
analyses included analysis of covariance to assess improvement in PROMs (Oxford
hip score, OHS, and EQ5D index) (9159 linked episodes) and competing risks
modelling of implant survival (79,775 procedures). Cost of implants and ancillary
equipment were obtained from National Health Service procurement data. RESULTS:
EQ5D score improvements (at 6 months) were similar for all hip replacement types.
In females, revision risk was significantly higher in cementless hip prostheses
(hazard ratio, HR = 2.22, p<0.001), when compared to the reference hip. Although
improvement in OHS was statistically higher (22.1 versus 20.5, p<0.001) for
cementless implants, this small difference is unlikely to be clinically
important. In males, revision risk was significantly higher in cementless (HR =
1.95, p = 0.003) and resurfacing implants, HR = 3.46, p<0.001), with no
differences in OHS. Material costs were lowest with the reference implant
(cemented, range L1103 to L1524) and highest with cementless implants (L1928 to
L4285). Limitations include the design of the study, which is intrinsically
vulnerable to omitted variables, a paucity of long-term implant survival data
(reflecting the duration of data collection), the possibility of revision under
reporting, response bias within PROMs data, and issues associated with current
outcome scoring systems, which may not accurately reflect level of improvement in
some patients. CONCLUSIONS: Cement fixation, using a polyethylene cup and a
standard sized head offers good outcomes, with the lowest risks and at the lowest
costs. The most commonly used cementless and resurfacing implants were associated
with higher risk of revision and were more costly, while perceptions of improved
function and longevity were unsupported.
PMID- 26561861
TI - GABRB2 Haplotype Association with Heroin Dependence in Chinese Population.
AB - Substance dependence is a frequently observed comorbid disorder in schizophrenia,
but little is known about genetic factors possibly shared between the two
psychotic disorders. GABRB2, a schizophrenia candidate gene coding for GABAA
receptor beta2 subunit, is examined for possible association with heroin
dependence in Han Chinese population. Four single nucleotide polymorphisms (SNPs)
in GABRB2, namely rs6556547 (S1), rs1816071 (S3), rs18016072 (S5), and rs187269
(S29), previously associated with schizophrenia, were examined for their
association with heroin dependence. Two additional SNPs, rs10051667 (S31) and
rs967771 (S32), previously associated with alcohol dependence and bipolar
disorder respectively, were also analyzed. The six SNPs were genotyped by direct
sequencing of PCR amplicons of target regions for 564 heroin dependent
individuals and 498 controls of Han Chinese origin. Interestingly, it was found
that recombination between the haplotypes of all-derived-allele (H1; OR = 1.00)
and all-ancestral-allele (H2; OR = 0.74) at S5-S29 junction generated two
recombinants H3 (OR = 8.51) and H4 (OR = 5.58), both conferring high
susceptibility to heroin dependence. Additional recombination between H2 and H3
haplotypes at S1-S3 junction resulted in a risk-conferring haplotype H5 (OR =
1.94x109). In contrast, recombination between H1 and H2 haplotypes at S3-S5
junction rescued the risk-conferring effect of recombination at S5-S29 junction,
giving rise to the protective haplotype H6 (OR = 0.68). Risk-conferring effects
of S1-S3 and S5-S29 crossovers and protective effects of S3-S5 crossover were
seen in both pure heroin dependent and multiple substance dependence subgroups.
In conclusion, significant association was found with haplotypes of the S1-S29
segment in GABRB2 for heroin dependence in Han Chinese population. Local
recombination was an important determining factor for switching haplotypes
between risk-conferring and protective statuses. The present study provide
evidence for the schizophrenia candidate gene GABRB2 to play a role in heroin
dependence, but replication of these findings is required.
PMID- 26561862
TI - Development of a Performance-Based Measure of Executive Functions in Patients
with Schizophrenia.
AB - A performance-based measure for assessing executive functions (EF) is useful to
understand patients' real life performance of EF. This study aimed to develop a
performance-based measure of executive functions (PEF) based on the Lezak model
and to examine psychometric properties (i.e., unidimensionality and reliability)
of the PEF using Rasch analysis in patients with schizophrenia. We developed the
PEF in three phases: (1) designing the preliminary version of PEF; (2)
consultation with experts, cognitive interviews with patients, and pilot tests on
patients to revise the preliminary PEF; (3) establishment of the final version of
the PEF and examination of unidimensionality and Rasch reliability. Two hundred
patients were assessed using the revised PEF. After deleting items which did not
satisfy the Rasch model's expectations, the final version of the PEF contained 1
practice item and 13 test items for assessing the four domains of EF (i.e.,
volition, planning, purposive action, and effective performance). For
unidimensional and multidimensional Rasch analyses, the 4 domains showed good
reliability (i.e., 0.77-0.85 and 0.87-0.90, respectively). Our results showed
that the PEF had satisfactory unidimensionality and Rasch reliability. Therefore,
clinicians and researchers could use the PEF to assess the four domains of EF in
patients with schizophrenia.
PMID- 26561864
TI - Sex Differences in Participation, Performance, and Age of Ultramarathon Runners.
AB - The sex difference in marathon running is increased with lower participation of
women than men, but whether this occurs for ultramarathon running is not known.
The study purpose was to determine whether the sex difference in performance
widens among lower-placed runners and the association between the sex difference
in running speed and participation rates. The top-10 ultramarathon running times,
age at performance date, and the number of men and women finishers were analyzed
from 20 races (45-160 km) in the US Track and Field Ultra Running Grand Prix. Men
were faster than women for all events (18.7% +/- 5.8%, P < .001). The sex
difference in speed was the least for 100 km (14.9% +/- 4.2%) and greatest for 45
50 km (19.3% +/- 5.8%). The top-10 men were younger than the top-10 women (37.7
+/- 3.2 and 39.0 +/- 3.1 y, respectively, P < .001). The sex difference in speed
increased with finishing place (1st place 15.6% +/- 6.6% vs 10th 20.8% +/- 5.6%,
P < .001). Association analysis showed that the sex difference in speed was
largest when there were fewer women than men finishers in a race; the strength of
the association was greatest for the 80-km distance and least for the 160-km.
Lower participation rates of women than men in the lower-distance ultramarathons
and less depth among lower-placed women runners inflate the sex difference in
ultramarathon performance.
PMID- 26561863
TI - Plant Photosynthesis-Irradiance Curve Responses to Pollution Show Non-Competitive
Inhibited Michaelis Kinetics.
AB - Photosynthesis-irradiance (PI) curves are extensively used in field and
laboratory research to evaluate the photon-use efficiency of plants. However,
most existing models for PI curves focus on the relationship between the
photosynthetic rate (Pn) and photosynthetically active radiation (PAR), and do
not take account of the influence of environmental factors on the curve. In the
present study, we used a new non-competitive inhibited Michaelis-Menten model
(NIMM) to predict the co-variation of Pn, PAR, and the relative pollution index
(I). We then evaluated the model with published data and our own experimental
data. The results indicate that the Pn of plants decreased with increasing I in
the environment and, as predicted, were all fitted well by the NIMM model.
Therefore, our model provides a robust basis to evaluate and understand the
influence of environmental pollution on plant photosynthesis.
PMID- 26561865
TI - Biocompatible Mesoporous Nanotubular Structured Surface to Control Cell Behaviors
and Deliver Bioactive Molecules.
AB - Biocompatible nanostructured surfaces control the cell behaviors and tissue
integration process of medical devices and implants. Here we develop a novel
biocompatible nanostructured surface based on mesoporous silica nanotube (MSNT)
by means of an electrodeposition. MSNTs, replicated from carbon nanotubes of 25
nm * 1200 nm size, were interfaced in combination with fugitive biopolymers
(chitosan or collagen) onto a Ti metallic substrate. The MSNT-biopolymer deposits
uniformly covered the substrate with weight gains controllable by the
electrodeposition conditions. Random nanotubular networks were generated
successfully, which alongside the high mesoporosity provided unique
nanotopological properties for the cell responses and the loading/delivery of
biomolecules. Of note, the adhesion and spreading behaviors of mesenchymal stem
cells (MSCs) were significantly altered, revealing more rapid cell anchorage and
extensive nanofilopodia development along the nanotubular networks. Furthermore,
the nanotubular surface improved the loading capacity of biomolecules
(dexamethasone and bovine serum albumin) up to 5-7 times. The release of the
biomolecules was highly sustained, exhibiting a diffusion-controlled pattern over
15 days. The therapeutic efficacy of the delivered biomolecules was also
confirmed in the osteogenic differentiation of MSCs. While in vivo performance
and applicability studies are needed further, the current biocompatible
nanostructured surface may be considered as a novel biointerfacing platform to
control cellular behaviors and biomolecular delivery.
PMID- 26561866
TI - Cytotoxic Orbitide from the Latex of Croton urucurana.
AB - The bioactive ethyl acetate phase obtained from the latex of Croton urucurana
Baillon afforded a novel orbitide (1), [1-9-NalphaC]-crourorb A1, that proved
active against NCI-ADR/RES (ovary, multidrug-resistance phenotype) cells with the
same potency as doxorubicin (positive control) and inactive up to the highest
concentration tested against nontumor NIH/3T3 cells. The structure elucidation
was based on 1D and 2D NMR spectroscopy, further supported by HRESIMS data and by
application of Marfey's method for determination of the absolute configuration of
its amino acid residues. This is the first orbitide obtained from C. urucurana.
PMID- 26561867
TI - Short-Lived Buildings in China: Impacts on Water, Energy, and Carbon Emissions.
AB - This paper has changed the vague understanding that "the short-lived buildings
have huge environmental footprints (EF)" into a concrete one. By estimating the
annual floor space of buildings demolished and calibrating the average building
lifetime in China, this paper compared the EF under various assumptive extended
buildings' lifetime scenarios based on time-series environmental-extended input
output model. Results show that if the average buildings' lifetime in China can
be extended from the current 23.2 years to their designed life expectancy, 50
years, in 2011, China can reduce 5.8 Gt of water withdrawal, 127.1 Mtce of energy
consumption, and 426.0 Mt of carbon emissions, each of which is equivalent to the
corresponding annual EF of Belgium, Mexico, and Italy. These findings will urge
China to extend the lifetime of existing and new buildings, in order to reduce
the EF from further urbanization. This paper also verifies that the lifetime of a
product or the replacement rate of a sector is a very important factor that
influences the cumulative EF. When making policies to reduce the EF, adjusting
people's behaviors to extend the lifetime of products or reduce the replacement
rate of sectors may be a very simple and cost-effective option.
PMID- 26561868
TI - Coordination-Driven Polymerization of Supramolecular Nanocages.
AB - Controlled assembly of 0D supramolecular nanocages into 2D or 3D architectures
has been demonstrated for the first time via a coordination-driven polymerization
approach, and the conversion from a 2D to 3D supramolecular architecture has also
been successfully achieved via a temperature-induced crystal transformation. The
boost of dimensionality for the supramolecular architecture has led to steady yet
remarkable enhancement of properties, as reflected from the gas adsorption
studies.
PMID- 26561869
TI - 2-Bromotetraazapentacene and Its Functionalization by Pd(0)-Chemistry.
AB - We have synthesized a brominated N,N'-dihydrotetraazapentacene using a
condensation route. Sonogashira reactions replace the Br-substituent by an
alkynyl group, placed on the azaacene core. Sonogashira coupling of brominated
dihydro tetraazapentacene 1H2 with alkynes and subsequent oxidation afford
several functionalized TIPS-tetraazapentacene derivatives with energetically
stabilized FMOs. These TIPS-TAPs are either crystalline or amorphous, depending
upon their substitution pattern.
PMID- 26561870
TI - Evolutionary Characteristics of Missing Proteins: Insights into the Evolution of
Human Chromosomes Related to Missing-Protein-Encoding Genes.
AB - Although the "missing protein" is a temporary concept in C-HPP, the biological
information for their "missing" could be an important clue in evolutionary
studies. Here we classified missing-protein-encoding genes into two groups, the
genes encoding PE2 proteins (with transcript evidence) and the genes encoding
PE3/4 proteins (with no transcript evidence). These missing-protein-encoding
genes distribute unevenly among different chromosomes, chromosomal regions, or
gene clusters. In the view of evolutionary features, PE3/4 genes tend to be
young, spreading at the nonhomology chromosomal regions and evolving at higher
rates. Interestingly, there is a higher proportion of singletons in PE3/4 genes
than the proportion of singletons in all genes (background) and OTCSGs (organ,
tissue, cell type-specific genes). More importantly, most of the paralogous PE3/4
genes belong to the newly duplicated members of the paralogous gene groups, which
mainly contribute to special biological functions, such as "smell perception".
These functions are heavily restricted into specific type of cells, tissues, or
specific developmental stages, acting as the new functional requirements that
facilitated the emergence of the missing-protein-encoding genes during evolution.
In addition, the criteria for the extremely special physical-chemical proteins
were first set up based on the properties of PE2 proteins, and the evolutionary
characteristics of those proteins were explored. Overall, the evolutionary
analyses of missing-protein-encoding genes are expected to be highly instructive
for proteomics and functional studies in the future.
PMID- 26561871
TI - Hierarchical Surface Architecture of Plants as an Inspiration for Biomimetic Fog
Collectors.
AB - Fog collectors can enable us to alleviate the water crisis in certain arid
regions of the world. A continuous fog-collection cycle consisting of a
persistent capture of fog droplets and their fast transport to the target is a
prerequisite for developing an efficient fog collector. In regard to this topic,
a biological superior design has been found in the hierarchical surface
architecture of barley (Hordeum vulgare) awns. We demonstrate here the highly
wettable (advancing contact angle 16 degrees +/- 2.7 and receding contact angle
9 degrees +/- 2.6) barbed (barb = conical structure) awn as a model to develop
optimized fog collectors with a high fog-capturing capability, an effective water
transport, and above all an efficient fog collection. We compare the fog
collection efficiency of the model sample with other plant samples naturally
grown in foggy habitats that are supposed to be very efficient fog collectors.
The model sample, consisting of dry hydrophilized awns (DH awns), is found to be
about twice as efficient (fog-collection rate 563.7 +/- 23.2 MUg/cm(2) over 10
min) as any other samples investigated under controlled experimental conditions.
Finally, a design based on the hierarchical surface architecture of the model
sample is proposed for the development of optimized biomimetic fog collectors.
PMID- 26561872
TI - Rapid Electron Beam Writing of Topologically Complex 3D Nanostructures Using
Liquid Phase Precursor.
AB - Advancement of focused electron beam-induced deposition (FEBID) as a versatile
direct-write additive nanoscale fabrication technique has been inhibited by poor
throughput, limited choice of precursors, and restrictions on possible 3D
topologies. Here, we demonstrate FEBID using nanoelectrospray liquid precursor
injection to grow carbon and pure metal nanostructures via direct decomposition
and electrochemical reduction of the relevant precursors, achieving growth rates
10(5) times greater than those observed in standard gas-phase FEBID. Initiating
growth at the free surface of a liquid pool enables fabrication of complex 3D
carbon nanostructures with strong adhesion to the substrate. Deposition of silver
microstructures at similar growth rates is also demonstrated as a promising
avenue for future development of the technique.
PMID- 26561873
TI - Asymmetric Synthesis of beta-Amino Amides by Catalytic Enantioconvergent 2-Aza
Cope Rearrangement.
AB - Dynamic kinetic resolutions of alpha-stereogenic-beta-formyl amides in asymmetric
2-aza-Cope rearrangements are described. Chiral phosphoric acids catalyze this
rare example of a non-hydrogenative DKR of a beta-oxo acid derivative. The [3,3]
rearrangement occurs with high diastereo- and enantiocontrol, forming beta-imino
amides that can be deprotected to the primary beta-amino amide or reduced to the
corresponding diamine.
PMID- 26561875
TI - Encapsulation of Folic Acid in Zeolite Y for Controlled Release via Electric
Field.
AB - Zeolite Y/alginate hydrogel was used as a drug carrier/matrix for an
electrophoresis transdermal drug delivery system. Folic acid (FA) as a model drug
was loaded into the zeolite Y/alginate hydrogel via an ion-exchange process. The
effects of cross-linking ratio, Si/Al ratio, electric field strength, and
electrode polarity were investigated with respect to the release mechanism and
diffusion coefficient (D) of FA using a modified Franz-diffusion cell. The FA was
released from the matrix through the diffusion-controlled mechanism or Fickian
diffusion because the diffusion scaling exponent value of FA was close to the
value of 0.5. The D increased with an increasing cross-linking ratio and Si/Al
ratio due to the mesh-size-promoting and the aluminum-content effects. The
electric field strength enhanced the D of FA from the anode-FA electroreplusion.
In addition, the D of FA could be varied by the electro-attractive or electro
repulsive force between the positively charged FA and the charged electrode
depending on whether cathode or anode was placed on the drug matrix. Thus, the
fabricated zeolite/hydrogel is of great potential to be used in an electrically
controlled transdermal drug delivery system where drug diffusion can be precisely
activated and controlled at the time of application.
PMID- 26561876
TI - Development of the knee osteoarthritis patient education questionnaire: a new
measure for evaluating preoperative patient education programmes for patients
undergoing total knee replacement.
AB - OBJECTIVE: The aim of this study was to develop a generic instrument for the use
of patients, named the Knee Osteoarthrtis Patient Education Questionnaire
(KOPEQ), to assess the validity of a preoperative educational intervention and to
make a preliminary test of its psychometric properties. METHODS: A patient
reported outcome instrument was designed, using the conceptual framework of
Wilson and Cleary as a methodological guide. Likert items with a five-point scale
were chosen for the scoring option. The feasibility and interpretability of
administering the KOPEQ was tested through conducting interviews with targeted
patients. Items of the KOPEQ were linked to the International Classification of
Functioning, Disability and Health (ICF). Psychometric testing contained internal
consistency for reliability, and factor analysis for validity properties.
RESULTS: A final list of 16 items was derived and linked to the ICF. Targeted
patients confirmed in interviews, that all 16 questions were highly
understandable and that the length of the questionnaire was feasible and
acceptable. There was a good internal consistency for the 16-item KOPEQ with a
Cronbach's alpha of 0.83 (95% confidence interval 0.71-0.94). Sixty-one percent
of the variance was explained by a four-factor model and the factors were named
"didactics", "addressability", "empowerment" and "theory". Results of a factor
analysis provided a loading of the separate items between 0.469 and 0.958.
CONCLUSIONS: The KOPEQ can help to provide health professionals with reliable
feedback on how patients assessed the applied patient education intervention.
Interviews with patients and a factor analysis revealed new and important
insight.
PMID- 26561877
TI - Identification and Characterization of Phytohemagglutinins from White Kidney
Beans (Phaseolus vulgaris L., var. Beldia) in the Rat Small Intestine.
AB - Although kidney bean (Phaseolus vulgaris L.) lectin toxicity is widely known, its
effects in the gastrointestinal tract require further study. This investigation
aimed to identify and characterize phytohemagglutinins (PHAs) in the small
intestine and sera of rats following oral challenge with ground white beans.
Twenty young, adult male rats were divided randomly into two groups of 10 animals
each. The control group underwent gavage with a suspension of 300 mg of rodent
pellet flour. The experimental group was administered a 300 mg Beldia bean flour
suspension (BBFS). After 10 days of daily treatment, jejunal rinse liquid (JRL)
and ileum rinse liquid and secretions, as well as sera, were collected. All
biological fluids were screened for lectin reactivity using competitive
inhibition ELISA, Ouchterlony double immunodiffusion, and immunoelectrophoresis
techniques. The results revealed the presence of immunogenic intraluminal PHAs 3
4 h after the oral intake of the BBFS in the JRLs as well as in the jejunal and
ileal secretions; however, no PHA was detectable in the rat sera. Ingestion of
raw Beldia beans may lead to interaction between PHAs and the mucosa of the small
intestine, potentially resulting in an inflammatory response.
PMID- 26561878
TI - Risk of selected dermatological toxicities in cancer patients treated with MEK
inhibitors: a comparative systematic review and meta-analysis.
AB - BACKGROUND: This meta-analysis was conducted aiming at assessing the risk of
selected dermatological toxicities associated with MEK inhibitors. METHODS: We
considered relevant prospective randomized Phase II and III trials of cancer
patients on the three MEK inhibitors (trametinib, selumetinib and cobimetinib),
describing events of skin rash and acneiform dermatitis, as eligible for
inclusion. RESULTS: After exclusion of ineligible studies, a total of 14 clinical
trials were considered eligible for the meta-analysis. The relative risk of all
grade skin rash and acneiform dermatitis was 1.71 (95% CI: 1.07-2.72; p = 0.02)
and 6.55 (95% CI: 3.42-12.56; p < 0.00001), correspondingly; while the relative
risk of high-grade skin rash and acneiform dermatitis was 2.64 (95% CI: 1.42
4.91; p = 0.002) and 8.44 (95% CI: 2.39-29.81; p = 0.0009), respectively.
CONCLUSION: Our meta-analysis has demonstrated that MEK inhibitor-based treatment
is associated with an increased risk of all-grade and high-grade skin rash and
acneiform dermatitis compared with control.
PMID- 26561880
TI - Introduction.
PMID- 26561879
TI - Folate Deficiency, Atopy, and Severe Asthma Exacerbations in Puerto Rican
Children.
AB - BACKGROUND: Little is known about folate and atopy or severe asthma
exacerbations. We examined whether folate deficiency is associated with number of
positive skin tests to allergens or severe asthma exacerbations in a high-risk
population and further assessed whether such association is explained or modified
by vitamin D status. METHODS: Cross-sectional study of 582 children aged 6 to 14
years with (n = 304) and without (n = 278) asthma in San Juan, Puerto Rico.
Folate deficiency was defined as plasma folate less than or equal to 20 ng/ml.
Our outcomes were the number of positive skin tests to allergens (range, 0-15) in
all children and (in children with asthma) one or more severe exacerbations in
the previous year. Logistic and negative binomial regression models were used for
the multivariate analysis. All multivariate models were adjusted for age, sex,
household income, residential proximity to a major road, and (for atopy)
case/control status; those for severe exacerbations were also adjusted for use of
inhaled corticosteroids and vitamin D insufficiency (a plasma 25[OH]D < 30
ng/ml). MEASUREMENTS AND MAIN RESULTS: In a multivariate analysis, folate
deficiency was significantly associated with an increased degree of atopy and 2.2
times increased odds of at least one severe asthma exacerbation (95% confidence
interval for odds ratio, 1.1-4.6). Compared with children who had normal levels
of both folate and vitamin D, those with both folate deficiency and vitamin D
insufficiency had nearly eightfold increased odds of one or more severe asthma
exacerbation (95% confidence interval for adjusted odds ratio, 2.7-21.6).
CONCLUSIONS: Folate deficiency is associated with increased degree of atopy and
severe asthma exacerbations in school-aged Puerto Ricans. Vitamin D insufficiency
may further increase detrimental effects of folate deficiency on severe asthma
exacerbations.
PMID- 26561881
TI - Reverberation negatively impacts musical sound quality for cochlear implant
users.
AB - OBJECTIVE: Satisfactory musical sound quality remains a challenge for many
cochlear implant (CI) users. In particular, questionnaires completed by CI users
suggest that reverberation due to room acoustics can negatively impact their
music listening experience. The objective of this study was to more specifically
characterize of the effect of reverberation on musical sound quality in CI users,
normal hearing (NH) non-musicians, and NH musicians using a previously designed
assessment method, called Cochlear Implant-MUltiple Stimulus with Hidden
Reference and Anchor (CI-MUSHRA). METHODS: In this method, listeners were
randomly presented with an anechoic musical segment and five-versions of this
segment in which increasing amounts of reverberation were artificially added.
Participants listened to the six reverberation versions and provided sound
quality ratings between 0 (very poor) and 100 (excellent). RESULTS: Results
demonstrated that on average CI users and NH non-musicians preferred the sound
quality of anechoic versions to more reverberant versions. In comparison, NH
musicians could be delineated into those who preferred the sound quality of
anechoic pieces and those who preferred pieces with some reverberation.
DISCUSSION/CONCLUSION: This is the first study, to our knowledge, to objectively
compare the effects of reverberation on musical sound quality ratings in CI
users. These results suggest that musical sound quality for CI users can be
improved by non-reverberant listening conditions and musical stimuli in which
reverberation is removed.
PMID- 26561882
TI - Cochlear implant users rely on tempo rather than on pitch information during
perception of musical emotion.
AB - OBJECTIVES: The purpose of this study was to investigate the extent to which
cochlear implant (CI) users rely on tempo and mode in perception of musical
emotion when compared with normal hearing (NH) individuals. METHODS: A test
battery of novel four-bar melodies was created and adapted to four permutations
with alterations of tonality (major vs. minor) and tempo (presto vs. largo),
resulting in non-ambiguous (major key/fast tempo and minor key/slow tempo) and
ambiguous (major key/slow tempo, and minor key/fast tempo) musical stimuli. Both
CI and NH participants listened to each clip and provided emotional ratings on a
Likert scale of +5 (happy) to -5 (sad). RESULTS: A three-way ANOVA demonstrated
an overall effect for tempo in both groups, and an overall effect for mode in the
NH group. The CI group rated stimuli of the same tempo similarly, regardless of
changes in mode, whereas the NH group did not. A subgroup analysis indicated the
same effects in both musician and non-musician CI users and NH listeners.
DISCUSSION: The results suggest that the CI group relied more heavily on tempo
than mode in making musical emotion decisions. The subgroup analysis further
suggests that level of musical training did not significantly impact this
finding. CONCLUSION: CI users weigh temporal cues more heavily than pitch cues in
inferring musical emotion. These findings highlight the significant disadvantage
of CI users in comparison with NH listeners for music perception, particularly
during recognition of musical emotion, a critically important feature of music.
PMID- 26561883
TI - Use of the Phantom Electrode strategy to improve bass frequency perception for
music listening in cochlear implant users.
AB - OBJECTIVES: The Phantom Electrode strategy makes use of partial bipolar
stimulation on the two most apical electrodes in an effort to extend the
frequency range available to cochlear implant (CI) users. This study aimed to
quantify the effect of the Phantom Electrode strategy on bass frequency
perception in music listening in CI users. METHODS: Eleven adult Advanced Bionics
users with the Fidelity 120 processing strategy and 16 adult normal hearing (NH)
individuals participated in the study. All subjects completed the CI-multiple
stimulus with hidden reference and anchor (MUSHRA), a test of an individual's
ability to make discriminations in sound quality following the removal of bass
frequency information. NH participants completed the CI-MUSHRA once, whereas CI
users completed the task twice - once with their baseline clinical program and
once with the Phantom Electrode strategy, in random order. CI users' performance
was assessed in comparison with NH performance. RESULTS: The Phantom Electrode
strategy improved CI users performance on the CI-MUSHRA compared with Fidelity
120. DISCUSSION: Creation of a Phantom Electrode percept through partial bipolar
stimulation of the two most apical electrodes appears to improve CI users'
perception of bass frequency information in music, contributing to greater
accuracy in the ability to detect alterations in musical sound quality.
CONCLUSION: The Phantom Electrode processing strategy may enhance the experience
of listening to music and thus acoustic stimuli more broadly by improving
perception of bass frequencies, through direction of current towards the apical
portion of the cochlea beyond the termination of the electrode.
PMID- 26561885
TI - Developing the musical brain to boost early pre-verbal, communication and
listening skills: The implications for musicality development pre- and post
cochlear implantation. It is not just about Nursery Rhymes!
AB - OBJECTIVES: A growing body of evidence suggests that musical activities can
enhance the listening brain and develop higher cognitive functions, including
linguistic abilities. The BabyBeatsTM early intervention resource, a musical
habilitation resource, was designed to improve early parent interaction, early
listening behaviour, early communication skills, and social and emotional
development, pre- and post-implantation. METHODS: A feasibility study was
conducted on families from the UK. There were two groups: seven babies <12 months
old, with a varying degree of severe to profound hearing loss and eight infants
who had been implanted with a cochlear implant and were now >12 months old. Audit
data were collected based on a simple parental and professional feedback
questionnaire, completed at the end of an 8-month trial. RESULTS: Parental
feedback was positive and ideas from the resource were carried over into the
family's everyday routines. Parents and professionals observed increased
vocalisation, attention, and anticipation of the activities in their babies.
Parents also reported increased confidence in singing, moving, and playing with
their baby. CONCLUSION: These preliminary outcomes suggest that this type of
intervention may lead to earlier development of communication and listening
skills when used in combination with appropriate amplification. The next steps
will be to include more infants, match the groups regarding age and developmental
stage, to observe the infants for a longer period and to compare outcomes over
time.
PMID- 26561884
TI - A preliminary report of music-based training for adult cochlear implant users:
Rationales and development.
AB - OBJECTIVE: This paper provides a preliminary report of a music-based training
program for adult cochlear implant (CI) recipients. Included in this report are
descriptions of the rationale for music-based training, factors influencing
program development, and the resulting program components. METHODS: Prior studies
describing experience-based plasticity in response to music training, auditory
training for persons with hearing impairment, and music training for CI
recipients were reviewed. These sources revealed rationales for using music to
enhance speech, factors associated with successful auditory training, relevant
aspects of electric hearing and music perception, and extant evidence regarding
limitations and advantages associated with parameters for music training with CI
users. This informed the development of a computer-based music training program
designed specifically for adult CI users. RESULTS: Principles and parameters for
perceptual training of music, such as stimulus choice, rehabilitation approach,
and motivational concerns were developed in relation to the unique auditory
characteristics of adults with electric hearing. An outline of the resulting
program components and the outcome measures for evaluating program effectiveness
are presented. CONCLUSIONS: Music training can enhance the perceptual accuracy of
music, but is also hypothesized to enhance several features of speech with
similar processing requirements as music (e.g., pitch and timbre). However,
additional evaluation of specific training parameters and the impact of music
based training on speech perception of CI users is required.
PMID- 26561886
TI - Participatory design of a music aural rehabilitation programme.
AB - OBJECTIVES: Many cochlear implant (CI) users wish to enjoy music but are
dissatisfied by its quality as perceived through their implant. Although there is
evidence to suggest that training can improve CI users' perception and appraisal
of music, availability of interactive music-based aural rehabilitation for adults
is limited. In response to this need, an 'Interactive Music Awareness Programme'
(IMAP) was developed with and for adult CI users. METHODS: An iterative design
and evaluation approach was used. The process began with identification of user
needs through consultations, followed by use of mock-up applications in
workshops. Feedback from these were used to develop the prototype IMAP; a
programme of 24 interactive sessions, enabling users to create and manipulate
music. The prototype IMAP was subsequently evaluated in a home trial with 16
adult CI users over a period of 12 weeks. RESULTS: Overall ratings for the
prototype IMAP were positive and indicated that it met users' needs. Quantitative
and qualitative feedback on the sessions and software in the prototype IMAP were
used to identify aspects of the programme that worked well and aspects that
required improvement. The IMAP was further developed in response to users'
feedback and is freely available online. CONCLUSIONS: The participatory design
approach used in developing the IMAP was fundamental in ensuring its relevance,
and regular feedback from end users in each phase of development proved valuable
for early identification of issues. Observations and feedback from end users
supported a holistic approach to music aural rehabilitation.
PMID- 26561887
TI - Musical FAVORS: Reintroducing music to adult cochlear implant users.
AB - Music represents a considerable challenge for many adult users of cochlear
implants (CIs). Around half of adult CI users report that they do not find music
enjoyable, and, in some cases, despite enhanced speech perception skills, this
leads to considerable frustration and disappointment for the CI user. This paper
presents suggestions to improve the musical experiences of deafened adults with
CIs. Interviews with a number of adult CI users revealed that there were a number
of factors which could lead to enhanced music experiences. The acronym FAVORS
(familiar music, auditory-visual access, open-mindedness, and simple
arrangements) summarizes the factors that have been identified, which can help CI
users in their early music listening experiences. Each of these factors is
discussed in detail, along with suggestions for how they can be used in therapy
sessions. The use of a group approach (music focus groups) is also discussed and
an overview of the approach and exercises used is presented. The importance of
live music experiences is also discussed.
PMID- 26561888
TI - Jumpstarting auditory learning in children with cochlear implants through music
experiences.
AB - Musical experiences are a valuable part of the lives of children with cochlear
implants (CIs). In addition to the pleasure, relationships and emotional outlet
provided by music, it serves to enhance or 'jumpstart' other auditory and
cognitive skills that are critical for development and learning throughout the
lifespan. Musicians have been shown to be 'better listeners' than non-musicians
with regard to how they perceive and process sound. A heuristic model of music
therapy is reviewed, including six modulating factors that may account for the
auditory advantages demonstrated by those who participate in music therapy. The
integral approach to music therapy is described along with the hybrid approach to
pediatric language intervention. These approaches share the characteristics of
placing high value on ecologically valid therapy experiences, i.e., engaging in
'real' music and 'real' communication. Music and language intervention techniques
used by the authors are presented. It has been documented that children with CIs
consistently have lower music perception scores than do their peers with normal
hearing (NH). On the one hand, this finding matters a great deal because it
provides parameters for setting reasonable expectations and highlights the work
still required to improve signal processing with the devices so that they more
accurately transmit music to CI listeners. On the other hand, the finding might
not matter much if we assume that music, even in its less-than-optimal state,
functions for CI children, as for NH children, as a developmental jumpstarter, a
language-learning tool, a cognitive enricher, a motivator, and an attention
enhancer.
PMID- 26561889
TI - Using singing to nurture children's hearing? A pilot study.
AB - This article reports a pilot study of the potential benefits of a sustained
programme of singing activities on the musical behaviours and hearing acuity of
young children with hearing impairment (HI). Twenty-nine children (n=12 HI and
n=17 NH) aged between 5 and 7 years from an inner-city primary school in London
participated, following appropriate ethical approval. The predominantly classroom
based programme was designed by colleagues from the UCL Institute of Education
and UCL Ear Institute in collaboration with a multi-arts charity Creative Futures
and delivered by an experienced early years music specialist weekly across two
school terms. There was a particular emphasis on building a repertoire of simple
songs with actions and allied vocal exploration. Musical learning was also
supported by activities that drew on visual imagery for sound and that included
simple notation and physical gesture. An overall impact assessment of the pilot
programme embraced pre- and post-intervention measures of pitch discrimination,
speech perception in noise and singing competency. Subsequent statistical data
analyses suggest that the programme had a positive impact on participant
children's singing range, particularly (but not only) for HI children with
hearing aids, and also in their singing skills. HI children's pitch perception
also improved measurably over time. Findings imply that all children, including
those with HI, can benefit from regular and sustained access to age-appropriate
musical activities.
PMID- 26561890
TI - Contribution of hearing aids to music perception by cochlear implant users.
AB - OBJECTIVES: Modern cochlear implant (CI) encoding strategies represent the
temporal envelope of sounds well but provide limited spectral information. This
deficit in spectral information has been implicated as a contributing factor to
difficulty with speech perception in noisy conditions, discriminating between
talkers and melody recognition. One way to supplement spectral information for CI
users is by fitting a hearing aid (HA) to the non-implanted ear. METHODS: In this
study 14 postlingually deaf adults (half with a unilateral CI and the other half
with a CI and an HA (CI + HA)) were tested on measures of music perception and
familiar melody recognition. RESULTS: CI + HA listeners performed significantly
better than CI-only listeners on all pitch-based music perception tasks. The CI +
HA group did not perform significantly better than the CI-only group in the two
tasks that relied on duration cues. Recognition of familiar melodies was
significantly enhanced for the group wearing an HA in addition to their CI. This
advantage in melody recognition was increased when melodic sequences were
presented with the addition of harmony. CONCLUSION: These results show that, for
CI recipients with aidable hearing in the non-implanted ear, using a HA in
addition to their implant improves perception of musical pitch and recognition of
real-world melodies.
PMID- 26561891
TI - Perception of the pitch and naturalness of popular music by cochlear implant
users.
AB - OBJECTIVES: To assess the perceived pitch and naturalness of popular music by
cochlear implant (CI) users. METHODS: Eleven experienced post-lingually deafened
adult CI users rated the pitch, naturalness, and clarity of a popular song with
10 frequency allocation settings, including the default. The alternative settings
all had logarithmic frequency spacing and frequency shifts of less than one
octave compared with the default map. For maps which were perceived as having
incorrect pitch, participants adjusted the pitch of the song in real time using a
slider, in order to normalize it, and the amount of adjustment was recorded.
RESULTS: The default map was rated as having close to correct pitch. Naturalness
rating was negatively correlated with basal shift from a baseline logarithmic
map, which was the same as the default map for basal electrodes (R(2) = 0.77).
Ratings of the clarity of the lyrics were adversely affected by basal shift. The
majority of participants were able to rate and adjust pitch appropriately. The
frequency shift in the map was highly correlated with participants' adjustments
of the pitch slider (R(2) = 0.94), but the adjustments were less than expected
for the majority of participants. DISCUSSION: The pitch ratings for the default
allocation suggest that participants have acclimatized to their processors'
frequency allocations. Adjustment of the pitch of the song was possible for the
majority and suggested that all but one participant was experiencing frequency
compression. Expansion of the frequency allocation might help to alleviate this.
CONCLUSION: Adjustment of the pitch of a popular song could be helpful for tuning
CIs.
PMID- 26561892
TI - Pitch and lexical tone perception of bilingual English-Mandarin-speaking cochlear
implant recipients, hearing aid users, and normally hearing listeners.
AB - OBJECTIVES: The purpose of this current study was to investigate whether pitch,
lexical tone, and/or speech-in-noise perception were significantly correlated for
Singaporean teenagers or adults who spoke both Mandarin and English. METHODS:
Thirty-three normal hearing or near-normal hearing listeners who did not use a
hearing device (NNH group), eight postlingually deafened cochlear implant (CI)
recipients (CI group), and three postlingually deafened bilateral hearing aid
(HA) users (HA group) were recruited. All participants were bilingual Mandarin
English-speaking Singaporean residents. Participants were assessed on tests of
pitch-ranking, lexical tone perception, and speech-in-noise. RESULTS: The NNH
group scored significantly better than the CI group for all tests and subtests.
There were no significant differences for the pitch test between the HA group and
either the CI or NNH group. However, HA users scored significantly better than
the CI group, and more aligned with the NNH group's scores for both the lexical
tone and Mandarin speech-in-noise test. There were highly significant moderate
positive correlations between all three tests. Discussion Overall, the
performance of the CI users in this study indicates that CI recipients still
struggle on pitch-related auditory perception tasks. Additionally, although the
test scores from the HA users were better than the CI recipients, they were not
as good as the NNH listeners. The significant moderate correlations between all
three tests indicate that there is at least some degree of overlap in the skills
required to accurately perceive these stimuli. CONCLUSION: The overall results
suggest that CI users, and to a lesser extent HA users, still struggle with
complex auditory perceptual tasks, particularly when it requires the perception
of pitch. However, it may be possible that training one of these skills (e.g.
musical pitch) may then generalize to other tasks (e.g. lexical tone and/or
speech-in-noise). This is important for counseling, as well as for planning
effective rehabilitation programs.
PMID- 26561894
TI - Routine integration of palliative care: what will it take?
PMID- 26561896
TI - Correction.
PMID- 26561895
TI - Reassessing rare cancers.
PMID- 26561897
TI - The challenges of early diagnosis of cancer in general practice.
PMID- 26561899
TI - Climate change is harmful to our health: taking action will have many benefits.
PMID- 26561898
TI - Advances in radiation therapy.
PMID- 26561900
TI - Legionella pneumonia with severe rhabdomyolysis.
PMID- 26561901
TI - Can patients presenting with acute coronary syndrome be screened for diabetes
using glycosylated haemoglobin?
PMID- 26561902
TI - Exploring the value of interprofessional student-led clinics for chronic disease
patients.
PMID- 26561903
TI - Indigenous health expenditure deficits obscured in Closing the Gap reports.
PMID- 26561904
TI - Life expectancy discussions in a multisite sample of Australian medical oncology
outpatients.
AB - OBJECTIVES: The study examined: 1) the proportion of patients who received their
preferred level of information about life expectancy; and 2) sociodemographic,
clinical and psychological factors associated with patients' perceptions of
whether they received too little, too much, or the right amount of information
about life expectancy. DESIGN: Cross-sectional survey. SETTING: Eleven large
Australian medical oncology treatment centres. PARTICIPANTS: A total of 1431
medical oncology outpatients participated (81% consent rate). Eligible patients
were approached between September 2012 and May 2014. MAIN OUTCOME MEASURES:
Patients indicated whether the information about life expectancy they received
aligned with their preferences. RESULTS: Almost one in four patients (24%)
received too little information, 4% received too much, and 50% received all the
information they wanted; 22% of patients neither wanted nor received information
about life expectancy. Patients were more likely to receive too little
information if they were not in remission (odds ratio [OR], 1.77), did not know
their cancer stage at diagnosis (OR, 3.64), or were anxious (OR, 1.48) or
depressed (OR, 1.48). Patients had greater odds of receiving too much information
if they were younger (OR, 1.45), had more advanced cancer (OR, 2.01) or did not
know their cancer stage at diagnosis (OR, 4.42). CONCLUSIONS: That fact that 28%
of cancer patients did not receive their desired level of information about life
expectancy highlights the difficulties associated with discussing this sensitive
topic. To ensure that life expectancy discussions correspond with patient
preferences, clinicians should routinely ask patients whether they want to know
this information, in what format, and at which level of detail.
PMID- 26561905
TI - Do teleoncology models of care enable safe delivery of chemotherapy in rural
towns?
AB - OBJECTIVES: To compare the dose intensity and toxicity profiles for patients
undergoing chemotherapy at the Townsville Cancer Centre (TCC), a tertiary cancer
centre in northern Queensland, with those for patients treated in Mount Isa,
supervised by the same medical oncologists via teleoncology. DESIGN: A quasi
experimental design comparing two patient groups. SETTING: TCC and Mount Isa
Hospital, which both operate under the auspices of the Townsville Teleoncology
Network (TTN). PARTICIPANTS: Eligible patients who received chemotherapy at TCC
or Mt Isa Hospital between 1 May 2007 and 30 April 2012. INTERVENTION:
Teleoncology model for managing cancer patients in rural towns. MAIN OUTCOME
MEASURES: Dose intensity (doses, number of cycles and lines of treatment) and
toxicity rates (rate of serious side effects, hospital admissions and mortality).
RESULTS: Over 5 years, 89 patients received a total of 626 cycles of various
chemotherapy regimens in Mount Isa. During the same period, 117 patients who
received a total of 799 cycles of chemotherapy at TCC were eligible for inclusion
in the comparison group. There were no significant differences between the Mount
Isa and TCC patients in most demographic characteristics, mean numbers of
treatment cycles, dose intensities, proportions of side effects, and hospital
admissions. There were no toxicity-related deaths in either group. CONCLUSION: It
appears safe to administer chemotherapy in rural towns under the supervision of
medical oncologists from larger centres via teleoncology, provided that rural
health care resources and governance arrangements are adequate.
PMID- 26561907
TI - Disseminated methicillin-sensitive Staphylococcus aureus infection resulting from
a paracervical abscess after acupuncture.
PMID- 26561906
TI - General practitioners' prescribing of lipid-lowering medications for Indigenous
and non-Indigenous Australians, 2001-2013.
AB - OBJECTIVE: To examine the prescribing of lipid-lowering medications during
general practitioner encounters with Indigenous and non-Indigenous Australians
from 2001 to 2013. DESIGN, SETTING AND PARTICIPANTS: Observational time trend
study, using data from the Bettering the Evaluation and Care of Health (BEACH)
survey, of 9594 primary care encounters with Indigenous patients and 750 079
encounters with non-Indigenous patients aged 30 years or over. MAIN OUTCOME
MEASURE: Prescription of at least one lipid-lowering medication. RESULTS: The age
sex standardised proportion of encounters that resulted in at least one lipid
lowering medication being prescribed was 5.5% (95% CI, 4.7%-6.3%) for Indigenous
patients and 4.6% (95% CI, 4.5%-4.7%) for non-Indigenous patients. The proportion
of encounters with Indigenous patients at which a lipid-lowering medication was
prescribed increased significantly from 4.1% during 2001-2005 to 6.4% during 2009
2013 (P = 0.013 for trend). For encounters with non-Indigenous patients, the
proportion increased significantly from 3.8% during 2001-2005 to 5.2% during 2009
2013 (P < 0.01). For encounters during which GPs managed diabetes, hypertension
or ischaemic heart disease, the proportion of Indigenous encounters during which
lipid-lowering medication was prescribed was similar to that for non-Indigenous
patients. For encounters in which GPs managed a lipid disorder, however, the age
sex standardised proportion was significantly greater for Indigenous (78.4%; 95%
CI, 72.6%-84.2%) than for non-Indigenous patients (65.2%; 95% CI, 64.5%-65.8%).
CONCLUSION: We detected substantial increases in the prescribing of lipid
lowering medications from 2001 to 2013 for both Indigenous and non-Indigenous
patients seen in Australian general practice. Providers were more likely to
prescribe lipid-lowering medications for Indigenous than for non-Indigenous
patients, suggesting some measure of success in expanding access to medications
and reducing cardiovascular risk among Indigenous people.
PMID- 26561908
TI - Malnutrition: a global health perspective from a Timorese mountain.
PMID- 26561909
TI - Upstream or downstream?
PMID- 26561911
TI - Empowering clinicians to address the global challenge of trauma: an example from
Myanmar.
PMID- 26561912
TI - Comb sign in intestinal obstruction secondary to desmoplastic reaction due to an
ileal neuroendocrine tumor.
AB - This article report the case of an intestinal obstruction secondary to
desmoplastic reaction of an ileum neuroendocrine tumor (NET), that was
radiologically diagnosed by the comb sign. This is an infrequent clinical
manifestation of NETs, often underdiagnosed, related to local overproduction of
serotonin.
PMID- 26561913
TI - Direct parasitologial diagnosis of infection with Hysterothylacium aduncum in a
patient with epigastralgia.
AB - Anisakis parasitization has been on the rise because some factors, like increased
interest in dishes consisting of raw fish. We report a case of epigastralgia with
direct diagnosis by endoscopy, which futher study pointed out H. Aduncum as
causal agent, a anisakis which is rarely involved in human anisakiasis.
PMID- 26561914
TI - Automated measurement of CT noise in patient images with a novel structure
coherence feature.
AB - While the assessment of CT noise constitutes an important task for the
optimization of scan protocols in clinical routine, the majority of noise
measurements in practice still rely on manual operation, hence limiting their
efficiency and reliability. This study presents an algorithm for the automated
measurement of CT noise in patient images with a novel structure coherence
feature. The proposed algorithm consists of a four-step procedure including
subcutaneous fat tissue selection, the calculation of structure coherence
feature, the determination of homogeneous ROIs, and the estimation of the average
noise level. In an evaluation with 94 CT scans (16 517 images) of pediatric and
adult patients along with the participation of two radiologists, ROIs were placed
on a homogeneous fat region at 99.46% accuracy, and the agreement of the
automated noise measurements with the radiologists' reference noise measurements
(PCC = 0.86) was substantially higher than the within and between-rater
agreements of noise measurements (PCCwithin = 0.75, PCCbetween = 0.70). In
addition, the absolute noise level measurements matched closely the theoretical
noise levels generated by a reduced-dose simulation technique. Our proposed
algorithm has the potential to be used for examining the appropriateness of
radiation dose and the image quality of CT protocols for research purposes as
well as clinical routine.
PMID- 26561915
TI - Non-anesthesiologist administration of propofol for gastrointestinal endoscopy:
European Society of Gastrointestinal Endoscopy, European Society of
Gastroenterology and Endoscopy Nurses and Associates Guideline--Updated June
2015.
PMID- 26561916
TI - Efficacy and safety of bovine activated factors IIa/VIIa/IXa/Xa in patients with
active gastrointestinal bleeding: a proof of concept study.
AB - BACKGROUND AND STUDY AIMS: Endoscopic treatment of active gastrointestinal
bleeding often remains difficult, and considerable technical expertise is
required. Our aim was to assess the efficacy and safety of endoscopic hemostasis
with a liquid combination of bovine activated factors IIa/VIIa/IXa/Xa (SeraSeal).
METHODS: Patients with active gastrointestinal bleeding were prospectively
included. In group A, 5 mL of bovine activated factors IIa/VIIa/IXa/Xa was
topically applied via catheters to the bleeding site as initial hemostasis; group
B received a similar application but as rescue therapy after failure of
conventional endoscopic hemostasis. RESULTS: In group A, bleeding was stopped by
the agent in 15 /22 patients (68 %) and by conventional endoscopic hemostasis in
5 of the other 7, with coiling and surgery required for definitive hemostasis in
2. In group B, the addition of the agent definitively stopped bleeding in 13 /15
patients (87 %), with hemostasis in the remaining 2 achieved with fibrin glue.
Rebleeding was observed in 1 patient. CONCLUSIONS: Our proof of concept study
suggests that the use of bovine activated factors IIa/VIIa/IXa/Xa might be a safe
and effective addition to current endoscopic hemostatic strategies, but further
studies are necessary.ClinicalTrials.gov identifier: NCT02349490.
PMID- 26561917
TI - A meta-analysis comparing ProCore and standard fine-needle aspiration needles for
endoscopic ultrasound-guided tissue acquisition.
AB - BACKGROUND AND STUDY AIMS: To overcome the limitations associated with cytology,
a uniquely designed needle (ProCore) was introduced in an effort to obtain a core
of tissue under endoscopic ultrasound (EUS) guidance. However, studies comparing
the sample quality between ProCore and standard-design fine-needle aspiration
(FNA) needles have yielded varying results. A systematic review and meta-analysis
was therefore conducted to compare the performance of the ProCore and standard
FNA needles when performing EUS-guided tissue acquisition. PATIENTS AND METHODS:
MEDLINE and EMBASE were searched to identify all published manuscripts that
compared the ProCore needle with standard FNA needles. Noncomparative and
technical feasibility studies were excluded. The main outcome measures were
diagnostic adequacy, diagnostic accuracy, acquisition of histological core
tissue, and mean number of passes required to achieve a diagnosis when sampling
solid lesions. RESULTS: Nine studies (total 576 patients) met the inclusion
criteria. There was no significant difference in diagnostic adequacy (75.2 % vs.
89.0 %, odds ratio [OR] 0.39, P = 0.23), diagnostic accuracy (85.8 % vs. 86.2 %,
OR 0.88, P = 0.53) or rate of histological core specimen acquisition (77.7 % vs.
76.5 %, OR 0.94, P = 0.85) between the ProCore and standard FNA needles,
respectively. The mean number of passes required for diagnosis, however, was
significantly lower when using the ProCore needle (standardized mean difference -
1.2, P < 0.001). CONCLUSIONS: Current data do not demonstrate a significant
difference between the ProCore and standard FNA needles for sample adequacy,
diagnostic accuracy or acquisition of a core specimen. However, the ProCore
needle establishes the diagnosis with fewer passes.
PMID- 26561918
TI - Use of patency capsule in patients with established Crohn's disease.
AB - BACKGROUND AND STUDY AIMS: Video capsule endoscopy (VCE) is invaluable in the
diagnosis of small-bowel pathology. Capsule retention is a major concern in
patients with Crohn's disease. The patency capsule was designed to evaluate small
bowel patency before VCE. However, the actual benefit of the patency capsule test
in Crohn's disease remains unclear. The aim of this study was to evaluate the
clinical impact of patency capsule use on the risk of video capsule retention in
patients with established Crohn's disease. PATIENTS AND METHODS: This was a
retrospective, multicenter study of patients with established Crohn's disease who
underwent VCE for clinical need. The utilization strategy for the patency capsule
was classified as selective (only in patients with obstructive symptoms, history
of intestinal obstruction or surgery, or per treating physician's request) or
nonselective (all patients with Crohn's disease). The main outcome was video
capsule retention in the entire cohort and within each utilization strategy.
RESULTS: A total of 406 patients who were referred for VCE were included in the
study. VCE was performed in 132 /406 patients (32.5 %) without a prior patency
capsule test. The patency capsule test was performed in 274 /406 patients (67.5
%) and was negative in 193 patients. Overall, VCE was performed in 343 patients
and was retained in the small bowel in 8 (2.3 %). In this cohort, the risk of
video capsule retention in the small bowel was 1.5 % without use of a prior
patency capsule and 2.1 % after a negative patency test (P = 0.9). A total of 18
patients underwent VCE after a positive patency capsule test, with a retention
rate of 11.1 % (P = 0.01). Patency capsule administration strategy (selective vs.
nonselective) was not associated with the risk of video capsule retention.
CONCLUSIONS: Capsule retention is a rare event in patients with established
Crohn's disease undergoing VCE. The risk of video capsule retention was not
reduced by the nonselective use of the patency capsule. Furthermore, VCE after a
positive patency capsule test in patients with Crohn's disease was associated
with a high risk of video capsule retention.
PMID- 26561920
TI - Coupled phase field, heat conduction, and elastodynamic simulations of kinetic
superheating and nanoscale melting of aluminum nanolayer irradiated by picosecond
laser.
AB - An advanced continuum model for nanoscale melting and kinetic superheating of an
aluminum nanolayer irradiated by a picosecond laser is formulated. Barrierless
nucleation of surface premelting and melting occurs, followed by a propagation of
two solid-melt interfaces toward each other and their collision. For a slow
heating rate of Q = 0.015 K ps(-1) melting occurs at the equilibrium melting
temperature under uniaxial strain conditions T = 898.1 K (i.e., below equilibrium
melting temperature Teq = 933.67 K) and corresponding biaxial stresses, which
relax during melting. For a high heating rate of Q = 0.99-84 K ps(-1), melting
occurs significantly above Teq. Surprisingly, an increase in heating rate leads
to temperature reduction at the 3 nm wide moving interfaces due to fast
absorption of the heat of fusion. A significant, rapid temperature drop (100-500
K, even below melting temperature) at the very end of melting is revealed, which
is caused by the collision of two finite-width interfaces and accelerated melting
in about the 5 nm zone. For Q = 25-84 K ps(-1), standing elastic stress waves are
observed in a solid with nodal points at the moving solid-melt interfaces, which,
however, do not have a profound effect on melting time or temperatures. When
surface melting is suppressed, barrierless bulk melting occurs in the entire
sample, and elastodynamic effects are more important. Good correspondence with
published, experimentally-determined melting time is found for a broad range of
heating rates. Similar approaches can be applied to study various phase
transformations in different materials and nanostructures under high heating
rates.
PMID- 26561919
TI - Contrast-enhanced harmonic endoscopic ultrasonography with time-intensity curve
analysis for intraductal papillary mucinous neoplasms of the pancreas.
AB - BACKGROUND AND STUDY AIMS: Preoperative diagnosis of the pathological grade of
intraductal papillary mucinous neoplasms (IPMNs) is difficult. This study aimed
to evaluate the accuracy of contrast-enhanced harmonic endoscopic ultrasonography
(CH-EUS) with time - intensity curve analysis in differentiating between low or
intermediate grade dysplasia (LGD/IGD) and high grade dysplasia or invasive
carcinoma (HGD/invasive carcinoma) in IPMNs and to assess correlation between the
time - intensity curve parameters and tumor microvessel density. PATIENTS AND
METHODS: Data from 30 patients with resected IPMNs (14 LGD/IGD, 16 HGD/invasive
carcinoma) who underwent CH-EUS with time - intensity curve analysis were
evaluated retrospectively. Time - intensity curve parameters and the microvessel
density of the mural nodule were compared between the HGD/invasive carcinoma and
LGD/IGD groups; the diagnostic accuracy of the time - intensity curve parameters
was evaluated. RESULTS: The echo intensity change and echo intensity reduction
rate of the mural nodule, and the nodule/pancreatic parenchyma contrast ratio
were significantly higher in the HGD/invasive carcinoma group than in the LGD/IGD
group (P < 0.05); the accuracies of these parameters were 80 %, 86.7 %, and 93.3
%, respectively. The microvessel density of the mural nodule was significantly
higher in the HGD/invasive carcinoma group (P = 0.002). There was a strong
positive, linear correlation between the echo intensity change of the mural
nodule and the microvessel density (r = 0.803, P < 0.001). CONCLUSIONS: CH-EUS
with time - intensity curve analysis is potentially useful for quantitatively
evaluating the blood flow of IPMN microvasculature, and for differentiating
between HGD/invasive carcinoma and LGD/IGD.
PMID- 26561921
TI - Transient electrochemistry: beyond simply temporal resolution.
AB - Some physicochemical intrigues for which transient electrochemistry was necessary
to solve the problem are summarized in this feature article. First, we highlight
the main constraints to be aware of to access to low time scales, and
particularly focus on the effects of stray capacitances. Then, the electron
transfer rate constant measured for redox molecules in a self-assembled monolayer
configuration is compared to the conductance measured through the same systems,
but at the single molecule level. This evidences strong conformational changes
when molecules are trapped in the nanogap created between both electrodes. We
also report about dendrimers, for which a short electrochemical perturbation
induces creation of a diffusion layer within the molecule, allowing the electron
hopping rate to be measured and analyzed in terms of molecular motions of the
redox centers. Finally, we show that transient electrochemistry provides also
useful information when coupled to other methodologies. For example, when an
ultrasonic field drives very fast movements of a bubble situated above the
electrode surface, the motion can be detected indirectly through a modification
of the diffusion flux. Another field concerns pulse radiolysis, and we describe
how the reactivity (at the electrode or within the solution) of radicals created
by a radiolytic pulse can be quantified, widening the possibilities of
electrochemistry to operate in biological media.
PMID- 26561922
TI - PD-L1 Expression as a Predictive Biomarker: Is Absence of Proof the Same as Proof
of Absence?
PMID- 26561923
TI - Characteristics of patients with benign partial epilepsy in infancy without PRRT2
mutations.
AB - Mutations in the proline-rich transmembrane protein 2 gene (PRRT2) are known to
cause clinical symptoms of paroxysmal kinesigenic dyskinesia (PKD), benign
partial epilepsy in infancy (BPEI), and infantile convulsions with
choreoathetosis (ICCA) syndrome; however, not all patients with BPEI have PRRT2
mutations, and the genetic backgrounds for such patients are still unknown. To
characterize BPEI patients without PRRT2 mutations, we analyzed unrelated 63
patients with BPEI. Sanger sequencing identified PRRT2 mutations in 33 probands
(52%). The most common insertion, c.649dup, was identified in 28 probands. Two
novel truncation mutations, c.232dup and c.503_504del were identified
independently. 16p11.2 microdeletion was not detected in patients without PRRT2
mutations. PRRT2 mutation detection rates were 21/31 (68%) and 12/32 (38%) in
probands who were positive or negative for family history, respectively,
indicating a significant difference between the two groups. In this study, 20
probands with BPEI were negative for family history of BPEI and negative for
PRRT2 mutation. BPEI in these probands may be due to complex genetic
predispositions. Because the possibility remains that a second gene contributes
to BPEI, further studies are necessary in patients with BPEI but no PRRT2
mutation, especially in Asian people.
PMID- 26561924
TI - Asymmetry in cross-hippocampal connectivity in unilateral mesial temporal lobe
epilepsy.
AB - Mesial temporal lobe epilepsy (mTLE) is mostly characterized by hippocampal
sclerosis (HS) changes. Although considerable progress has been made in
understanding the altered functional network of mTLE patients, whether one side
of the abnormal hippocampal (HP) structure will affect the other healthy side of
the hippocampal network is still unclear. Here, we used a seed-based method to
explore the commonly alterative hippocampal network in mTLE patients by comparing
the bilateral hippocampal network of unilateral mTLE patients with healthy
control participants. We observed that both sides of the hippocampal network in
unilateral mTLE patients were changed independent of the affected or "healthy"
side, which may suggest a common plasticity network for both sides of hippocampal
sclerosis mesial temporal lobe epilepsy patients. Furthermore, using the HP as
the ROI, we found that the functional connectivity of the intra-HP in the left
mTLE-HS group was moderately positively correlated with the duration of the
disease, while a strong negative correlation between functional connectivity of
the intra-HP and duration were detected in the right mTLE-HS group, which
suggested that it was easier for the right HP than the left HP to communicate
with the contralateral HP according to the progression of mTLE disease because
the hippocampus plays different roles in the communication and compensatory
mechanism associated with the contralateral side of the hemisphere. We hope that
this potential relevance may help us to better characterize mTLE with hippocampal
sclerosis and ultimately assist in providing a better diagnosis and more accurate
invasive treatments of mTLE.
PMID- 26561926
TI - Five-year clinical outcome of posterior zirconia ceramic inlay-retained FDPs with
a modified design.
AB - OBJECTIVES: To evaluate the 5-year clinical outcome of posterior inlay-retained
fixed dental prostheses (IRFDPs) with a modified design made from zirconia
ceramic (Vita In-Ceram YZ, Vita Zahnfabrik, Bad Sackingen, Germany). METHODS:
Thirty 3-unit IRFDPs were placed in 30 patients. Seven IRFDPs replaced the second
premolars (4 in the maxilla, 3 in the mandible), and 23 replaced the first molars
(15 in the maxilla, 8 in the mandible). Preparations were performed in accordance
with general principles for ceramic inlay restorations and modified with a short
retainer-wing bevel preparation within the enamel at the buccal and oral sides.
The frameworks were milled from zirconia ceramic, and the pontics were veneered
with feldspathic ceramic. After air-abrasion of bonding surfaces, IRFDPs were
bonded with an adhesive composite resin. The patients were recalled 6-12 months
after placement, and then annually. Kaplan-Meier analysis was used to calculate
the survival and complication rates of the IRFDPs. RESULTS: After a mean
observation time of 64.4 (SD=17.6) months (min 15, max 95.6), the 5-year
cumulative survival of IRFDPs was 95.8%. Debonding was reported for two IRFDPs
(6.9%), one of them failed finally after 49.4 months due to repeated debonding.
Chipping of the veneering ceramic was reported in three cases (10.5%). Secondary
caries were reported in 2 patients (8.1%). Nevertheless, the latter complications
did not affect the clinical function of the involved IRFDPs. CONCLUSION: The 5
year clinical outcome of zirconia-based IRFDPs fabricated in the modified design
is encouraging, so that they may represent a treatment alternative to replace
posterior single missing teeth, taking into consideration the appropriate case
selection.
PMID- 26561925
TI - Biological variations of thirteen plasma biochemical indicators.
AB - BACKGROUND: Reports on biological variation of plasma biochemical indicators are
limited. We evaluated biological variations of 13 plasma biochemical indicators.
METHODS: Plasma samples were collected from 40 healthy individuals over 5days.
Intra-individual coefficient of variation (CVI), inter-individual coefficient of
variation (CVG), index of individuality (II), reference change value (RCV), and
analytical goal parameters were calculated. RESULTS: Albumin (Alb) showed the
lowest CVI (2.50%) and the lowest CVG (5.08%), while C-reactive protein (hsCRP)
presented the highest CVI (26.87%) and CVG (61.73%). II values were all less than
1.0. Alb presented the lowest 95% RCV (7.67), while hsCRP showed the highest 95%
RCV (74.61). Alb, urea, creatinine (Cr), creatine kinase (CK), and creatine
kinase isoenzyme MB (CKMBmass) CVI differed with gender (P<0.05). The CVG of the
13 indicators presented a significant gender difference (P<0.0001). Alb showed
the lowest desirable imprecision CV (1.3%), the lowest desirable bias (1.4%), and
the lowest desirable total error (3.5%), while hsCRP presented the highest
desirable imprecision (13.4%), the highest desirable bias (16.8%), and the
highest desirable total error (39.0%). CONCLUSION: Our findings add to the
database of biological variations of plasma indicators.
PMID- 26561927
TI - Dysphagia Following Airway Reconstruction in Adults.
AB - IMPORTANCE: Patients who undergo open airway reconstruction procedures are likely
to experience some degree of postoperative dysphagia symptoms and delayed return
to oral intake. OBJECTIVE: To review the duration of postoperative dysphagia
symptoms and outcomes in a group of adult patients. DESIGN, SETTING, AND
PARTICIPANTS: Retrospective review of the medical records of adult patients
undergoing laryngotracheoplasty, posterior cricoid split laryngoplasty, tracheal
resection, and cricotracheal resection in a tertiary hospital between July 2009
and September 2014. EXPOSURES: Laryngotracheoplasty, posterior cricoid split
laryngoplasty, tracheal resection, and cricotracheal resection. MAIN OUTCOMES AND
MEASURES: Demographic characteristics, etiology of airway stenosis, surgical
procedure, stent type, and duration of dysphagia symptoms. RESULTS: Thirty-eight
patients (14 men, 24 women; mean [SD; range] age, 48 [14.4; 20-80] years) fitting
the inclusion criteria were identified. Twenty-four (63%) patients had
laryngotracheal stenosis secondary to prolonged intubation, with 3 (8%), 5 (13%),
and 6 (16%) cases being due to autoimmune, idiopathic, or other etiology,
respectively. Twenty-five (66%) patients underwent tracheal or cricotracheal
resection, and 13 (34%) underwent laryngotracheoplasty or posterior cricoid split
laryngoplasty. Of the 17 patients with stents placed, 6 (35%) patients had a
suprastomal stent sewn at the top with a polypropylene suture using a horizontal
mattress technique, 6 (35%) patients had a suprastomal stent capped with an
extended Silastic thoracic T-tube segment, and 5 (29%) patients had either a T
tube or hood bronchial stent. Eight of 17 patients used a nasogastric feeding
tube while the stent was in place (up to 5 weeks). All patients returned to their
preoperative diet. The mean (SD) duration of dysphagia symptoms in all patients
(both those without a stent and following stent removal) was 8 (27.2) days
(median, 1.5 days). The mean (SD) duration of dysphagia symptoms in patients who
did not have a stent placed was 4.8 (5.3) days (median, 4 days). CONCLUSIONS AND
RELEVANCE: In this study of adults who underwent open airway reconstruction, all
returned to their preoperative diet, but those without stents had a shorter
duration of dysphagia symptoms than those with stents. Approximately half as many
patients with a stent had a prolonged course with dysphagia symptoms compared
with those without a stent.
PMID- 26561929
TI - Detection and analysis of nanoparticles in patients: A critical review of the
status quo of clinical nanotoxicology.
AB - On the cusp of massive commercialization of nanotechnology-enhanced products and
services, the physical and chemical analysis of nanoparticles in human specimens
merits immediate attention from the research community as a prerequisite for a
confident clinical interpretation of their occurrence in the human organism. In
this review, we describe the caveats in current practices of extracting and
isolating nanoparticles from clinical samples and show that they do not help
truly define the clinical significance of detected exogenous nano-sized objects.
Finally, we suggest a systematic way of tackling these demanding scientific
tasks. More specifically, a precise and true qualitative evaluation of
nanoparticles in human biological samples is still hindered by various technical
reasons. Such a procedure is more refined when the nature of the pollutants is
known, like in the case of nano-sized wear debris originating from biomedical
prostheses. Nevertheless, nearly all available analytical methods provide unknown
quantitative accuracy and qualitative precision due to the challenging physical
and chemical nature of nanoparticles. Without trustworthy information to describe
the nanoparticulate load of clinical samples, it is impossible to accurately
assess its pathological impact on isolated cases or allow for relevant
epidemiological surveys on large populations. Therefore, we suggest that the many
and various specimens stored in hospitals be used for the refinement of methods
of exhaustive quantitative and qualitative characterization of prominent
nanoparticles in complex human milieu.
PMID- 26561928
TI - Anti-inflammatory effects of the extract of Gnaphalium affine D. Don in vivo and
in vitro.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Gnaphalium affine D. Don (GA) has been
traditionally used as a medicinal herb in China for the treatment of many
ailments including rheumatoid arthritis. However, the anti-arthritic mechanism of
GA has still not been demonstrated. This study aims to reveal the anti
inflammatory activity and anti-arthritic mechanism of ethanol extract of G.
affine D. Don. MATERIALS AND METHODS: Anti-inflammatory potential of GA was
analyzed in vivo in carrageenan induced mice paw edema (acute study). Also, in
vivo study was applied in collagen-induced arthritis (CIA) rats. In vitro
experiments for analyzing the anti-inflammatory potential of GA were performed on
rat alveolar macrophages cell line (NR8383). Analysis of nitric oxide release in
NR8383 cells was done by Griess reaction. RT-PCR and western blotting experiment
was performed to analyze the expression of phosphorylated p65 and
IkappaBalpha/beta-actin in NF-kappaB pathway. The production of TNF-alpha, IL
1beta, and COX-2 in NR8383 cells were measured by enzyme-linked immunosorbent
assay. The chemical profile of GA was analyzed by HPLC-VWD. RESULTS: GA
significantly reduced the paw volume in carrageenan induced rat paw edema rat at
different doses (300 and 600 mg/kg), compared with the standard indomethacin
treatment. In CIA, GA can obviously ameliorate the inflammatory symptom,
including cytokine, histological symptom and paw swelling. In the vitro study, GA
was able to reduce the nitric oxide (NO) levels in NR8383 cells that had been
stimulated with lipopolysaccharide (LPS). The level of TNF-alpha, IL-1beta, and
COX-2 was also decreased with GA treatment in NR8383 cells that had been
stimulated with lipopolysaccharide (LPS). Interestingly, GA was found to decrease
the level of phosphorylated p65 and IkappaBalpha in NR8383 cells. Fifteen
compounds were identified by HPLC-VWD with the reference substances and verified
by LC-MS. CONCLUSIONS: The results of the experiment scientifically validated its
traditional use in inflammatory conditions.
PMID- 26561930
TI - In vitro and in vivo evaluation of a new zirconia/niobium biocermet for hard
tissue replacement.
AB - Metals and ceramics are commonly used in orthopaedics, dentistry and other load
bearing applications. However, the use of ceramic matrix composites reinforced
with biocompatible metals for heavy load-bearing hard tissue replacement
applications has not previously been reported. In order to improve the
reliability and the mechanical properties of biomedical implants, new zirconia-Nb
composites have been recently developed. The aim of this study was to investigate
the biological tolerance of these new zirconia/Nb biocermets implants with both
in vitro and in vivo approaches. At first, human bone marrow derived mesenchymal
stem cells were cultured on sintered biocermet discs with polished surfaces and
were compared with responses to niobium metal. In vitro, the biocermets showed no
deleterious effect on cell proliferation, extra-cellular matrix production or on
cell morphology. Furthermore, the biocermet showed a higher percentage of cell
proliferation than Nb metal. On the other hand, the bone response to these new
zirconia/Nb biocermets was studied. Cylinders of biocermets, as well as
commercially Nb rod were implanted in the tibiae of New Zealand white rabbits.
All the animals were euthanatized after 6 months. The specimens were processed to
obtain thin ground sections. The slides were observed in normal transmitted light
microscope. A newly formed bone was observed in close contact with material
surfaces. No inflamed or multinucleated cells were present. This study concluded
that zirconia/Nb composites are biocompatible and osteoconductive. The ceramic
metal composite has even better osteointegration ability than pure Nb. In
conclusion, zirconia-Nb biocermet is suitable for heavy load-bearing hard tissue
replacement from the point of view of both mechanical properties and
biocompatibility.
PMID- 26561931
TI - Current advances and future perspectives in extrusion-based bioprinting.
AB - Extrusion-based bioprinting (EBB) is a rapidly growing technology that has made
substantial progress during the last decade. It has great versatility in printing
various biologics, including cells, tissues, tissue constructs, organ modules and
microfluidic devices, in applications from basic research and pharmaceutics to
clinics. Despite the great benefits and flexibility in printing a wide range of
bioinks, including tissue spheroids, tissue strands, cell pellets, decellularized
matrix components, micro-carriers and cell-laden hydrogels, the technology
currently faces several limitations and challenges. These include impediments to
organ fabrication, the limited resolution of printed features, the need for
advanced bioprinting solutions to transition the technology bench to bedside, the
necessity of new bioink development for rapid, safe and sustainable delivery of
cells in a biomimetically organized microenvironment, and regulatory concerns to
transform the technology into a product. This paper, presenting a first-time
comprehensive review of EBB, discusses the current advancements in EBB technology
and highlights future directions to transform the technology to generate viable
end products for tissue engineering and regenerative medicine.
PMID- 26561932
TI - Successful endothelialization and remodeling of a cell-free small-diameter
arterial graft in a large animal model.
AB - The large number of coronary artery bypass procedures necessitates development of
off-the-shelf vascular grafts that do not require cell or tissue harvest from
patients. However, immediate thrombus formation after implantation due to the
absence of a healthy endothelium is very likely. Here we present the successful
development of an acellular tissue engineered vessel (A-TEV) based on small
intestinal submucosa that was functionalized sequentially with heparin and VEGF.
A-TEVs were implanted into the carotid artery of an ovine model demonstrating
high patency rates and significant host cell infiltration as early as one week
post-implantation. At one month, a confluent and functional endothelium was
present and the vascular wall showed significant infiltration of host smooth
muscle cells exhibiting vascular contractility in response to vaso-agonists.
After three months, the endothelium aligned in the direction of flow and the
medial layer comprised of circumferentially aligned smooth muscle cells. A-TEVs
demonstrated high elastin and collagen content as well as impressive mechanical
properties and vascular contractility comparable to native arteries. This is the
first demonstration of successful endothelialization, remodeling, and development
of vascular function of a cell-free vascular graft that was implanted in the
arterial circulation of a pre-clinical animal model.
PMID- 26561933
TI - Hybrid small-diameter vascular grafts: Anti-expansion effect of electrospun poly
epsilon-caprolactone on heparin-coated decellularized matrices.
AB - Small-diameter vascular grafts (SDVGs) (D < 6 mm) are increasingly needed in
clinical settings for cardiovascular disease, including coronary artery and
peripheral vascular pathologies. Vessels made from synthetic polymers have
shortcomings such as thrombosis, intimal hyperplasia, calcification, chronic
inflammation and no growth potential. Decellularized xenografts are commonly used
as a tissue-engineering substitute for vascular reconstructive procedures.
Although acellular allogeneic vascular grafts have good histocompatibility and
antithrombotic properties, the decellularization process may damage the
biomechanics and accelerate the elastin deformation and degradation, finally
resulting in vascular graft expansion and even aneurysm formation. Here, to
address these problems, we combine synthetic polymers with natural decellularized
small-diameter vessels to fabricate hybrid tissue-engineered vascular grafts
(HTEV). The donor aortic vessels were decellularized with a combination of
different detergents and dehydrated under a vacuum freeze-drying process.
Polycaprolactone (PCL) nanofibers were electrospun (ES) outside the acellular
aortic vascular grafts to strengthen the decellularized matrix. The intimal
surfaces of the hybrid small-diameter vascular grafts were coated with heparin
before the allograft transplantation. Histopathology and scanning electron
microscope revealed that the media of the decellularized vessels were severely
injured. Mechanical testing of scaffolds showed that ES-PCL significantly
enhanced the biomechanics of decellularized vessels. Vascular ultrasound and
micro-CT angiography showed that all grafts after implantation in a rat model
were satisfactorily patent for up to 6 weeks. ES-PCL successfully prevented the
occurrence of vasodilation and aneurysm formation after transplantation and
reduced the cell inflammatory infiltration. In conclusion, the HTEV with perfect
histocompatibility and biomechanics provide a facile and useful technique for the
development of SDVGs.
PMID- 26561934
TI - Human platelet lysate: Replacing fetal bovine serum as a gold standard for human
cell propagation?
AB - The essential physiological role of platelets in wound healing and tissue repair
builds the rationale for the use of human platelet derivatives in regenerative
medicine. Abundant growth factors and cytokines stored in platelet granules can
be naturally released by thrombin activation and clotting or artificially by
freeze/thaw-mediated platelet lysis, sonication or chemical treatment. Human
platelet lysate prepared by the various release strategies has been established
as a suitable alternative to fetal bovine serum as culture medium supplement,
enabling efficient propagation of human cells under animal serum-free conditions
for a multiplicity of applications in advanced somatic cell therapy and tissue
engineering. The rapidly increasing number of studies using platelet derived
products for inducing human cell proliferation and differentiation has also
uncovered a considerable variability of human platelet lysate preparations which
limits comparability of results. The main variations discussed herein encompass
aspects of donor selection, preparation of the starting material, the possibility
for pooling in plasma or additive solution, the implementation of pathogen
inactivation and consideration of ABO blood groups, all of which can influence
applicability. This review outlines the current knowledge about human platelet
lysate as a powerful additive for human cell propagation and highlights its role
as a prevailing supplement for human cell culture capable to replace animal serum
in a growing spectrum of applications.
PMID- 26561935
TI - Self-deploying shape memory polymer scaffolds for grafting and stabilizing
complex bone defects: A mouse femoral segmental defect study.
AB - Treatment of complex bone defects places a significant burden on the US health
care system. Current strategies for treatment include grafting and stabilization
using internal metal plates/screws, intramedullary rods, or external fixators.
Here, we introduce the use of shape memory polymer (SMP) materials for grafting
and adjunct stabilization of segmental defects. Self-deploying SMP grafts and SMP
sleeves capable of expanding and contracting, respectively, under intraoperative
conditions were developed and evaluated in a mouse segmental defect model in
vivo. Integration between grafts/sleeves and native bone was assessed using x-ray
radiography, microcomputed tomography, and torsional mechanical testing. We found
that SMP grafts were able to integrate with the native bone after 12 weeks,
maintain defect stability, and provide torsional mechanical properties comparable
to an allograft alone treatment; however no gross de novo bone formation was
observed. SMP sleeves did not inhibit bony bridging at the margins, and limbs
treated with a sleeve/allograft combination had torsional mechanical properties
comparable to limbs treated with an allograft alone. In vitro torsional and
bending tests suggest sleeves may provide additional torsional stability to
defects. Incorporation of shape memory into synthetic bone graft substitutes and
adjunct stabilization devices is anticipated to enhance functionality of
synthetic materials employed in both applications.
PMID- 26561936
TI - Multifunctional all-in-one drug delivery systems for tumor targeting and
sequential release of three different anti-tumor drugs.
AB - To achieve active tumor targeting and sequential release of 3 drugs to a tumor
site in one nanoparticulate system, self-decomposable SiO2 nanoparticles modified
by 3-aminopropyltriethoxysilane (APTS) as their inner structure were used to
double load HCPT (in the NP core) and Dox (on the NP surface). Meanwhile,
monoclonal antibodies (mAb198.3) against the FAT1 antigen and Bcl-2 siRNA were
conjugated onto PEGylated Au-PEG-COOH nanoparticles. The obtained drug-loaded
SiO2 nanoparticles were coated with the Au-PEG-mAb.198.3/siRNA nanoparticles
through electrostatic interaction to form the SiO2@AuNP sequential drug delivery
system, which featured the controlled and sequential release of siRNA, Dox and
HCPT step by step to maximize its anticancer efficacy. The results revealed that
the SiO2@AuNP sequential drug delivery system specifically targeted tumor cells
and was internalize rapidly, followed by endosome escape and sequential drug
release. Importantly, the sustainable release characteristics of SiO2 made the
Tmax difference between HCPT and Dox approximately 8-12 h, and this enhanced the
sensitizing efficiency of HCPT on Dox compared with co-administration. The in
vivo antitumor results demonstrated that the tumor size after SiO2@AuNP treatment
is 1/400 compared with the saline control group and approximately 1/40 of the
HCPT/Dox co-treatment group without any noticeable systemic toxicity.
PMID- 26561937
TI - Method of carrier-free delivery of therapeutic RNA importable into human
mitochondria: Lipophilic conjugates with cleavable bonds.
AB - Defects in mitochondrial DNA often cause neuromuscular pathologies, for which no
efficient therapy has yet been developed. MtDNA targeting nucleic acids might
therefore be promising therapeutic candidates. Nevertheless, mitochondrial gene
therapy has never been achieved because DNA molecules can not penetrate inside
mitochondria in vivo. In contrast, some small non-coding RNAs are imported into
mitochondrial matrix, and we recently designed mitochondrial RNA vectors that can
be used to address therapeutic oligoribonucleotides into human mitochondria. Here
we describe an approach of carrier-free targeting of the mitochondrially
importable RNA into living human cells. For this purpose, we developed the
protocol of chemical synthesis of oligoribonucleotides conjugated with
cholesterol residue through cleavable covalent bonds. Conjugates containing pH
triggered hydrazone bond were stable during the cell transfection procedure and
rapidly cleaved in acidic endosomal cellular compartments. RNAs conjugated to
cholesterol through a hydrazone bond were characterized by efficient carrier-free
cellular uptake and partial co-localization with mitochondrial network. Moreover,
the imported oligoribonucleotide designed to target a pathogenic point mutation
in mitochondrial DNA was able to induce a decrease in the proportion of mutant
mitochondrial genomes. This newly developed approach can be useful for a carrier
free delivery of therapeutic RNA into mitochondria of living human cells.
PMID- 26561938
TI - Discovery of survival factor for primitive chronic myeloid leukemia cells using
induced pluripotent stem cells.
AB - A definitive cure for chronic myeloid leukemia (CML) requires identifying novel
therapeutic targets to eradicate leukemia stem cells (LSCs). However, the rarity
of LSCs within the primitive hematopoietic cell compartment remains a major
limiting factor for their study in humans. Here we show that primitive
hematopoietic cells with typical LSC features, including adhesion defect,
increased long-term survival and proliferation, and innate resistance to tyrosine
kinase inhibitor (TKI) imatinib, can be generated de novo from reprogrammed
primary CML cells. Using CML iPSC-derived primitive leukemia cells, we discovered
olfactomedin 4 (OLFM4) as a novel factor that contributes to survival and growth
of somatic lin(-)CD34(+) cells from bone marrow of patients with CML in chronic
phase, but not primitive hematopoietic cells from normal bone marrow. Overall,
this study shows the feasibility and advantages of using reprogramming technology
to develop strategies for targeting primitive leukemia cells.
PMID- 26561939
TI - Relationship of the serotonin transporter gene promoter polymorphism (5-HTTLPR)
genotype and serotonin transporter binding to neural processing of negative
emotional stimuli.
AB - BACKGROUND: The lower-expressing (S') alleles of the serotonin transporter (5
HTT) gene promoter polymorphism (5-HTTLPR) are linked to mood and anxiety related
psychopathology. However, the specific neural mechanism through which these
alleles may influence emotional and cognitive processing remains unknown. We
examined the relationship between both 5-HTTLPR genotype and in vivo 5-HTT
binding quantified via PET with amygdala reactivity to emotionally negative
stimuli. We hypothesized that 5-HTT binding in both raphe nuclei (RN) and
amygdala would be inversely correlated with amygdala reactivity, and that number
of S' alleles would correlate positively with amygdala reactivity. METHODS: In
medication-free patients with current major depressive disorder (MDD; N=21), we
determined 5-HTTLPR genotype, employed functional magnetic resonance imaging
(fMRI) to examine amygdala responses to negative emotional stimuli, and used
positron emission tomography with [(11)C]DASB to examine 5-HTT binding. RESULTS:
[(11)C]DASB binding in RN and amygdala was inversely correlated with amygdala
response to negative stimuli. 5-HTTLPR S' alleles were not associated with
amygdala response to negative emotional stimuli. LIMITATIONS: Primary limitations
are small sample size and lack of control group. CONCLUSIONS: Consistent with
findings in healthy volunteers, 5-HTT binding is associated with amygdala
reactivity to emotional stimuli in MDD. 5-HTT binding may be a stronger predictor
of emotional processing in MDD as compared with 5-HTTLPR genotype.
PMID- 26561940
TI - Positive mental health in outpatients with affective disorders: Associations with
life satisfaction and general functioning.
AB - BACKGROUND: Positive mental health (PMH) is an integral and essential component
of health that encompasses emotional, psychological and social well-being. The
Keyes' two continua model of mental health and illness posits that mental health
status is not merely the absence of mental health problems, and it can be
enhanced regardless of a diagnosis of mental illness. The present study
hypothesized that mentally ill patients with higher levels of PMH would be
associated with better life satisfaction and general functioning. METHODS: 218
outpatients with affective disorders at a tertiary psychiatric hospital were
recruited and administered the multidimensional Positive Mental Health
instrument, which was validated and developed in Singapore to measure PMH.
Depression and anxiety severity were also assessed. Associations of positive
mental health with life satisfaction and general functioning were investigated in
linear regression models. RESULTS: PMH scores varied largely within patients with
depressive and anxiety disorders but did not differ statistically across the two
diagnoses, except for emotional support. PMH was associated with both life
satisfaction and general functioning with little evidence of confounding by
sociodemographic and clinical status. LIMITATIONS: The cross-sectional design of
the study could not examine causal relationships. Findings may be restrictive to
treatment-seeking population with specific affective disorders. CONCLUSION: Our
study provides evidence to support the notion that a good mental health state is
not simply the absence of a mental disorder. Mentally ill patients can also have
high levels of PMH that possibly have a moderating or mediating effect on the
relationship between patients' clinical symptoms and life satisfaction or general
functioning.
PMID- 26561941
TI - Comorbidity variation in patients with obsessive-compulsive disorder according to
symptom dimensions: Results from a large multicentre clinical sample.
AB - BACKGROUND: Obsessive-compulsive disorder (OCD) has a heterogeneous and complex
phenomenological picture, characterized by different symptom dimensions and
comorbid psychiatric disorders, which frequently co-occur or are replaced by
others over the illness course. To date, very few studies have investigated the
associations between specific OCD symptom dimensions and comorbid disorders.
METHODS: Cross-sectional, multicenter clinical study with 1001 well-characterized
OCD patients recruited within the Brazilian Research Consortium on Obsessive
Compulsive and Related Disorders. The primary instruments were the Dimensional
Yale-Brown Obsessive Compulsive Scale (DY-BOCS) and the Structured Clinical
Interview for DSM-IV Axis I Disorders. Bivariate analyses between symptom
dimensions and comorbidities were followed by logistic regression. RESULTS: The
most common comorbidities among participants (56.8% females) were major
depression (56.4%), social phobia (34.6%), generalized anxiety disorder (34.3%),
and specific phobia (31.4%). The aggressive dimension was independently
associated with posttraumatic stress disorder (PTSD), separation anxiety
disorder, any impulse-control disorder and skin picking; the sexual-religious
dimension was associated with mood disorders, panic disorder/agoraphobia, social
phobia, separation anxiety disorder, non-paraphilic sexual disorder, any
somatoform disorder, body dysmorphic disorder and tic disorders; the
contamination-cleaning dimension was related to hypochondriasis; and the hoarding
dimension was associated with depressive disorders, specific phobia, PTSD,
impulse control disorders (compulsive buying, skin picking, internet use), ADHD
and tic disorders. The symmetry-ordering dimension was not independently
associated with any comorbidity. LIMITATIONS: Cross-sectional design;
participants from only tertiary mental health services; personality disorders not
investigated. CONCLUSIONS: Different OCD dimensions presented some specific
associations with comorbid disorders, which may influence treatment seeking
behaviors and response, and be suggestive of different underlying pathogenic
mechanisms.
PMID- 26561942
TI - Risk factors for suicide attempts and hospitalizations in a sample of 39,542
French adolescents.
AB - BACKGROUND: The high frequency of suicide attempts during adolescence is a
serious public health concern. In particular attempts leading to hospitalization,
often due to their severity, require careful consideration. METHODS: Participants
were drawn from a large adolescent general population survey conducted by the
French Monitoring Center for Drugs and Drug Addiction and the National Service
department. The final sample included 39,542 adolescents aged 17. Participants
were surveyed during the "one-day session of civic and military information"
using a pen and paper self-administered questionnaire. RESULTS: Depressive
symptoms, family socio-economic status, familial situation, relationship with
parents, school situation, substance use and body image were significant
independent predictors of lifetime suicide attempts. Among suicide attempts,
hospitalization was independently predicted by daily smoking in both genders,
school dropout, grade repetition, absence of relationship with the mother,
regular cannabis smoking and lifetime other illicit drug use in girls.
LIMITATIONS: The main limitation of the present study is the absence of a
thorough assessment of psychiatric disorders, depressive symptomatology being the
only indicator of mental health status at the time of the survey. Second, the
survey was cross-sectional thus limiting the interpretation of the findings.
CONCLUSIONS: The variables associated with suicide attempts and among them, those
associated with hospitalization should be used to identify and provide additional
services to adolescents at risk for serious suicidal behavior. Primary prevention
in the field of parenting and family support, as well as intervention tackling
normative beliefs related to body image should be considered.
PMID- 26561943
TI - The exacerbating influence of hopelessness on other known risk factors for repeat
self-harm and suicide.
AB - BACKGROUND: Hopelessness is frequently observed in people who harm themselves and
is an established risk factor for nonfatal self-harm repetition and suicide.
Little is known about how the presence of hopelessness in addition to other risk
factors affects subsequent risk. METHOD: Prospective cohort of 19,479 individuals
presenting with self-harm to one of three English Emergency Departments between
1st January 2000 and 31st December 2010. Repeat self-harm and suicide deaths
within twelve months of the first assessed episode were identified. Cox
Proportional Hazards models were used to estimate Hazard Ratios (HRs) for risk
factors with and without hopelessness. RESULTS: A clinical impression of
hopelessness was associated with increased risk of further self-harm (HR 1.35,
95% CI 1.16-1.58) and suicide (HR 2.56, CI 1.10-5.96) in the year following an
index episode. For individuals who were living alone or homeless, unemployed,
reported problems with housing, had received psychiatric treatment in the past,
were currently receiving treatment or used alcohol during the self-harm episode,
an exacerbation of an already elevated risk of repetition was observed amongst
those who were assessed as hopeless. Where individuals presented with forensic
problems, physical health problems or bereavement, an increase in risk was only
observed for those who were also assessed as hopeless. LIMITATIONS: A clinical
impression of hopelessness was assigned using a binary "yes"/"no" classification
rather than a validated scale. CONCLUSIONS: Hopelessness intensifies the impact
of several known risk factors for adverse outcomes following self-harm. These
findings highlight the importance of identifying and therapeutically addressing
this dynamic but potentially modifiable clinical risk factor during the
psychosocial assessment and in subsequent care.
PMID- 26561944
TI - Effects of embryonic exposure to polychlorinated biphenyls (PCBs) on larval
zebrafish behavior.
AB - Developmental disorders such as anxiety, autism, and attention deficit
hyperactivity disorders have been linked to exposure to polychlorinated biphenyls
(PCBs), a ubiquitous anthropogenic pollutant. The zebrafish is widely recognized
as an excellent model system for assessing the effects of toxicant exposure on
behavior and neurodevelopment. In the present study, we examined the effect of
sub-chronic embryonic exposure to the PCB mixture, Aroclor (A) 1254 on anxiety
related behaviors in zebrafish larvae at 7 days post-fertilization (dpf). We
found that exposure to low concentrations of A1254, from 2 to 26 h post
fertilization (hpf) induced specific behavioral defects in two assays. In one
assay with intermittent presentations of a moving visual stimulus, 5 ppm and 10
ppm PCB-exposed larvae displayed decreased avoidance behavior but no significant
differences in thigmotaxis or freezing relative to controls. In the other assay
with intermittent presentations of a moving visual stimulus and a stationary
visual stimulus, 5 ppm and 10 ppm PCB-exposed larvae had elevated baseline levels
of thigmotaxis but no significant differences in avoidance behavior relative to
controls. The 5 ppm larvae also displayed higher terminal levels of freezing
relative to controls. Collectively, our results show that exposure to
ecologically valid PCB concentrations during embryonic development can induce
functional deficits and alter behavioral responses to a visual threat.
PMID- 26561945
TI - Trans-generational transmission of neurobehavioral impairments produced by
developmental methylmercury exposure in zebrafish (Danio rerio).
AB - Our previous study showed that embryonic exposures to methylmercury (MeHg)
produced learning impairments in adult zebrafish. The present study investigated
the persistency of learning impairments in the second (F2) and third (F3)
generations of zebrafish developmentally exposed to MeHg as embryos using active
avoidance conditioning as the behavioral paradigm. The results showed that the
control zebrafish learned avoidance responses during training and significantly
increased avoidance responses during testing. The F2 generation of zebrafish
developmentally exposed to MeHg as embryos displayed no significant changes in
avoidance responses from training to testing, showing persistent learning
impairments, while the F3 generation of zebrafish developmentally exposed as
embryos to only the higher concentration of MeHg showed persistent learning
impairments. Results of the present study showed that learning impairments
produced by embryonic MeHg exposure persisted for at least three generations,
demonstrating trans-generational effects of embryonic exposure to MeHg.
PMID- 26561947
TI - Reaching out to Ebola victims: Coercion, persuasion or an appeal for self
sacrifice?
AB - The 2014-2015 Ebola crisis in West Africa has highlighted the practical limits of
upholding human rights and common ethical principles when applying emergency
public-health measures. The role of medical teams in the implementation of
quarantine and isolation has been equivocal, particularly when such measures are
opposed by communities who are coerced by the temporary suspension of civil
liberties. In their encounters with Ebola victims, outreach teams face moral
dilemmas, where the boundaries are unclear between coercion, persuasion and
appeals for self-sacrifice. For those teams, we propose a set of practical
recommendations aimed at respecting the autonomy of epidemic victims and easing
tensions within communities. We recognize that some of these recommendations are
progressively achievable, depending on the specific stage or setting of an
outbreak. Yet with the increasing availability of experimental treatments and
research interventions, weighing patients' autonomy against the common good will
become an even more pressing ethical obligation.
PMID- 26561946
TI - Distinctive effects of nicotinic receptor intracellular-loop mutations associated
with nocturnal frontal lobe epilepsy.
AB - Previously characterized nicotinic acetylcholine receptor (nAChR) autosomal
dominant nocturnal frontal lobe epilepsy (ADNFLE)-associated mutations are found
in alpha2, alpha4 and beta2 subunit transmembrane (TM) domains. They
predominantly increase ACh potency and, for beta2-subunit mutants, increase
macroscopic currents. Two recently-identified mutations, alpha4(R336H) and
beta2(V337G), located in the intracellular cytoplasmic loop (C2) have been
associated with non-familial NFLE. Effects of these mutations on alpha4beta2
nAChR function and expression were studied for the first time, using two
electrode voltage clamp recordings in Xenopus laevis oocytes. Biased-ratio
preparations elucidated the mutations' effects at alternate isoforms: high
sensitivity [HS; (alpha4)2(beta2)3] or low-sensitivity [LS; (alpha4)3(beta2)2]
via 1:10 or 30:1 [alpha4:beta2] cRNA injection ratios, respectively. An unbiased
(1:1 [alpha4:beta2] cRNA) injection ratio was also used to study potential shifts
in isoform expression. alpha4(R336H)-containing receptors showed significant
increases in maximal ACh-induced currents (Imax) in all preparations (140%
increase compared to wild type control). beta2(V337G)-containing receptors
significantly increased Imax in the LS-favoring preparation (20% increase
compared to control). Expression of either mutation consistently produced
enrichment of HS-isoform expression in all preparations. alpha4beta2-nAChR
harboring either NFLE mutant subunit showed unchanged ACh, sazetidine-A,
nicotine, cytisine and mecamylamine potency. However, both mutant subunits
enhanced partial agonist efficacies in the LS-biased preparation. Using beta2
subunit-specific [(125)I]mAb 295 immunolabeling, nAChR cell-surface expression
was determined. Antibody binding studies revealed that the beta2(V337G) mutation
tended to reduce cell-surface expression, and function per receptor was
significantly increased by either NFLE mutant subunit in HS-favoring
preparations. These findings identify both common and differing features between
TM- and C2-domain AD/NFLE-associated mutations. As we discuss, the shared
features may be particularly salient to AD/NFLE etiology.
PMID- 26561948
TI - Combined therapy of oncolytic adenovirus and temozolomide enhances lung cancer
virotherapy in vitro and in vivo.
AB - Oncolytic adenoviruses (OAds) are very promising for the treatment of lung
cancer. However, OAd-based monotherapeutics have not been effective during
clinical trials. Therefore, the effectiveness of virotherapy must be enhanced by
combining OAds with other therapies. In this study, the therapeutic potential of
OAd in combination with temozolomide (TMZ) was evaluated in lung cancer cells in
vitro and in vivo. The combination of OAd and TMZ therapy synergistically
enhanced cancer cell death; this enhanced cancer cell death may be explained via
three related mechanisms: apoptosis, virus replication, and autophagy. Autophagy
inhibition partially protected cancer cells from this combined therapy. This
combination significantly suppressed the growth of subcutaneous H441 lung cancer
xenograft tumors in athymic nude mice. In this study, we have provided an
experimental rationale to test OAds in combination with TMZ in a lung cancer
clinical trial.
PMID- 26561949
TI - The amelioration of composite tissue allograft rejection by TIM-3-modified
dendritic cell: Regulation of the balance of regulatory and effector T cells.
AB - T cell-dependent immune responses play a central role in allograft rejection.
Exploring ways to disarm alloreactive T cells represents a potential strategy to
promote long-term allograft acceptance and survival. T cell Ig domain and mucin
domain 3 (TIM-3) has previously been demonstrated as a central regulator of T
helper 1 (Th1) responses and immune tolerance. Hence, TIM-3 may be an important
molecule for decreasing immunological rejection during composite tissue
allotransplantation (CTA). In this study, BALB/c and C57BL/6 mice were chosen as
the experimental animals. The effects of TIM-3 on allograft rejection were
explored using TIM-3-modified mature dendritic cells (TIM-3 mDCs). A laser
speckle blood flow (LSBF) imager was used to evaluate blood distribution of the
BALB/c mice. ELISA, MTT, ELISPOT assays and flow cytometry analysis were carried
out for further researches. We found that TIM-3 could obviously prolong the
survival time of the transplanted limbs. And TIM-3 could mitigate the immune
response and thus enhance immune tolerance after CTA. Also, TIM-3 can induce
lymphocyte hyporesponsiveness, including facilitating lymphocyte apoptosis,
decreasing lymphocyte proliferation, and influencing the secretion of
inflammatory cytokines by CD4(+) T cells. Furthermore, TIM-3 overexpression could
induce CD4(+) T cells to differentiate into regulatory T cells (Tregs), which
recalibrate the effector and regulatory arms of the alloimmune response. In
summary, we concluded that TIM-3 can mitigate allograft rejection and thus
enhance immune tolerance by inducing lymphocyte hyporesponsiveness and increasing
the number of Tregs of the alloimmune response. TIM-3 may be a potential
therapeutic molecule for allograft rejection in CTA.
PMID- 26561950
TI - Predicting drug resistance in adult patients with generalized epilepsy: A case
control study.
AB - OBJECTIVES: Using an adult cohort of patients with generalized epilepsy, we aimed
to identify risk factors for development of drug-resistant epilepsy (DRE), which
if identifiable would allow patients to receive earlier treatment and more
specifically individualized treatment plans. METHODS: For the case-control study,
118 patients with generalized epilepsy (GE) between the ages of 18 and 75 were
included after selection from a database of 800 patients referred from throughout
the Saskatchewan Epilepsy Program. Definitions were used in accordance with ILAE
criteria. The odds ratio and its confidence interval were calculated. We
performed a logistic regression analysis. RESULTS: Forty-four (37%) patients
fulfilled the definition of DRE (cases), and seizures in 74 (63%) patients were
not intractable (controls). Patients with DRE were significantly younger than the
controls at the onset of epilepsy (6.6 vs. 18.8 years, p=<0.001). Significant
variables on univariate analysis were the following: epilepsy diagnosed prior to
12 years (OR: 12.1, CI: 4.8-29.9, p<0.001), previous history of status
epilepticus (OR: 15.1, CI: 3.2-70.9, p<0.001), developmental delay (OR: 12.6, CI:
4.9-32, p<0.001), and cryptogenic epilepsy (OR: 10.5, CI: 3.9-27.8, p<0.001). Our
study showed some protective factors for DRE such as a good response to first
AED, idiopathic etiology, and history of febrile seizures. In the logistic
regression analysis, two variables remained statistically significant:
developmental delay and more than one seizure type. CONCLUSION: Our study has
identified a set of variables that predict DRE in patients with generalized
epilepsy. Risk factors identified in our study are similar to those previously
identified in pediatric studies, however, our study is specifically tailored to
adult patients with generalized epilepsy.
PMID- 26561951
TI - Vascular Factors and Cognitive Dysfunction in Alzheimer Disease.
AB - BACKGROUND: The purpose of the present study was to assess the influence of
vascular factors on the degree of intensity and rate of progression of cognitive
disorders in the course of Alzheimer Disease (AD). MATERIAL AND METHODS: The
research group consisted of 39 persons, all of whom were diagnosed with AD
according to the NINCDS/ADRDA criteria. We divided these patients into 2
subgroups, based on the vascular factors measured by the modified Hachinski
Ischemic Scale (Ha-mod): group A, without the vascular component (HA-mod score of
0-1 point), and group B, with the vascular component (a score over 1 point).
Cognitive functions were evaluated at baseline and again 2 years later, using the
Cognitive Part of the Alzheimer Disease Assessment Scale (ADAS-cog). RESULTS: We
found that the patients from subgroup B, with the stronger vascular component,
demonstrated the highest intensity of cognitive disorders at baseline, both in
terms of the overall ADAS-cog score, and in the subscores for ideational praxis,
orientation, spoken language ability, comprehension of spoken language, and word
finding difficulty in spontaneous speech. Another variable which was connected
with the intensity of dementia was age. After 2 years, however, the rate of
progression of cognitive disorders was not significantly different between the 2
groups. CONCLUSIONS: The severity of vascular factors correlates directly with
the intensity of cognitive disturbances. At the 2-year follow-up examination,
however, no correlation was observed in the research group between greater
vascular involvement and more rapid progression of cognitive disorders, as
measured by the ADAS-cog scale.
PMID- 26561952
TI - Severe Respiratory Failure Due to Interferon Beta-Related Pulmonary Hypertension.
AB - Pulmonary arterial hypertension (PAH) is an uncommon but devastating disease.
There is increasing evidence of a correlation between interferon (IFN) use and
PAH. Very few cases of PAH in patients treated with IFN are reported in
literature. We report a case of a 47-year-old woman with previous diagnosis of
multiple sclerosis treated with IFN beta-1a for 6 years, presenting severe
respiratory failure (paO2/FiO2 228) because of pulmonary hypertension. The
suspension of the drug along with the treatment of PAH improved the clinical
picture allowing cessation of oxygen administration. Pathophysiological effects
of IFN on endothelial vascular cells are discussed.
PMID- 26561953
TI - Ocular Trauma: Automatic Nail Gun.
PMID- 26561954
TI - Uniformly Sclerotic Diffuse Large B-Cell Lymphoma of the Orbit.
AB - Over a year, a 51-year-old man developed a mass in the anteromedial orbit in the
region of the lacrimal sac that caused epiphora. Imaging studies disclosed no
bone destruction. On biopsy, a sclerotic lesion was discovered populated by
hyperchromatic cells that had been apparently distorted by crush artifact,
indicative of fragile cells. The lesion simulated a sclerosing inflammatory
process or a desmoplastic metastatic carcinoma. CD20 revealed that the background
cells were large neoplastic B-lymphocytes. A systemic workup uncovered widespread
skeletal disease. The patient is undergoing R-CHOP chemotherapy with a relatively
favorable prognosis due to negative testing for MYC.
PMID- 26561955
TI - Extranodal Rosai-Dorfman Disease of the Orbit: Clinical Features of 8 Cases.
AB - PURPOSE: To report on the presentation, radiography, histology, and treatment of
8 cases of extranodal Rosai-Dorfman disease involving the orbit. METHODS:
Multicenter retrospective case series. RESULTS: Five males and 3 females had a
median age of 10 years (range 2-78 years). Presenting signs and symptoms included
proptosis, periorbital pain, palpable mass, blepharoptosis, decreased vision,
diplopia, impaired extraocular motility, and afferent pupillary defect. Four
patients had bilateral orbital disease, while 4 had unilateral disease. Six cases
were extraconal, 1 was intraconal, and 1 was both intra- and extra-conal. Four
cases had only extranodal disease without lymphadenopathy (3 of which had
localized orbital disease). Diagnosis was confirmed by exam, orbital, and/or
systemic radiography, and biopsy in all cases. Treatment strategies included
excision or debulking, systemic corticosteroids, chemotherapy, radiotherapy,
observation or a combination thereof. At last follow up, 4 patients were disease
free, while 4 had residual improved disease. CONCLUSIONS: Rosai-Dorfman disease
of the orbit is a rare clinical entity. Purely extranodal disease is rare, with
isolated orbital disease being exceedingly rare. This study is unique in that 4
of 8 patients had strictly isolated extranodal disease of the orbit. A large
majority of the cases had disease in the extraconal space, contrasting with
previous reports. In addition, lacrimal gland disease, particularly bilateral
involvement, was prominent in the current study. Although there is no consensus
on treatment, surgical excision should be attempted if plausible in symptomatic
patients especially if the orbit represents a localized site of disease.
PMID- 26561956
TI - In Vivo and Ex Vivo Confocal Microscopy for the Management of a Melanoma of the
Eyelid Margin.
PMID- 26561957
TI - The Oval Female Facial Shape--A Study in Beauty.
AB - PURPOSE: Our understanding of who is beautiful seems to be innate but has been
argued to conform to mathematical principles and proportions. One aspect of
beauty is facial shape that is gender specific. In women, an oval facial shape is
considered attractive. OBJECTIVE: To study the facial shape of beautiful actors,
pageant title winners, and performers across ethnicities and in different time
periods and to construct an ideal oval shape based on the average of their facial
shape dimensions. METHODS: Twenty-one full-face photographs of purportedly
beautiful female actors, performers, and pageant winners were analyzed and an
oval constructed from their facial parameters. RESULTS: Only 3 of the 21 faces
were totally symmetrical, with the most larger in the left upper and lower face.
The average oval was subsequently constructed from an average bizygomatic
distance (horizontal parameter) of 4.3 times their intercanthal distance (ICD)
and a vertical dimension that averaged 6.3 times their ICD. CONCLUSION: This
average oval could be fitted to many of the individual subjects showing a smooth
flow from the forehead through temples, cheeks, jaw angle, jawline, and chin with
all these facial aspects abutting the oval. Where they did not abut, treatment
may have improved these subjects.
PMID- 26561958
TI - Hemosiderin Hyperpigmentation: Successful Treatment With Q-Switched 755-nm Laser
Therapy.
PMID- 26561959
TI - Use of Bone Anchor Systems for the Reconstruction of Medial Canthal Tendon.
PMID- 26561960
TI - Intraoperative Ultrasound to Accurately Gauge Scar Thickness and Identify Altered
Intrascar Anatomy During Multimodal Revision of a Hypertrophic Chest Wall Burn
Scar.
PMID- 26561961
TI - Concurrent Development of Endurance Capacity and Explosiveness: Training
Characteristics of World-Class Nordic-Combined Athletes.
AB - Performing at an elite level in Nordic combined (NC) requires both the
explosiveness required for ski jumping performance and the endurance capacity
required for cross-country skiing. PURPOSE: To describe the characteristics of
world-class NC athletes' training and determine how endurance and non-endurance
(ie, strength, power, and ski jumping) training is periodized. METHODS: Annual
training characteristics and the periodization of endurance and non-endurance
training were determined by analyzing the training diaries of 6 world-class NC
athletes. RESULTS: Of 846 +/- 72 annual training hours, 540 +/- 37 h were
endurance training, with 88.6% being low-, 5.9% moderate-, and 5.5% high
intensity training. While training frequency remained relatively constant, the
total training volume was reduced from the general preparatory to the competition
phase, primarily due to less low- and moderate-intensity training (P < .05). A
total of 236 +/- 55 h/y were spent as non-endurance training, including 211 +/-
44 h of power and ski-jump-specific training (908 +/- 165 ski jumps and ski-jump
imitations). The proportion of non-endurance training increased significantly
toward the competition phase (P < .05). CONCLUSION: World-class NC athletes
reduce the volume of low- and moderate-intensity endurance training toward the
competition phase, followed by an increase in the relative contribution of power
and ski-jump training. These data provide novel insight on how successful
athletes execute their training and may facilitate more-precise coaching of
future athletes in this sport. In addition, this information is of high relevance
for the training organization of other sports that require optimization of 2
fundamentally different physical capacities.
PMID- 26561962
TI - 12,17-Cyclojatrophane and Jatrophane Constituents of Euphorbia welwitschii.
AB - Euphowelwitschines A (1) and B (2), isolated from a methanolic extract of
Euphorbia welwitschii, exhibit a rare combination of structural features in
having a 5/8/8 fused-ring system and a 12,15-ether bridge. Moreover, the
isolation of the additional new compounds welwitschene (3) and epoxywelwitschene
(4) has provided insights into the biogenetic pathway of 12,17-cyclojatrophanes.
The structures of 1-4 were determined by spectroscopic methods inclusive of 1D
and 2D NMR experiments and X-ray crystallography for compounds 1 and 2.
Preliminary information on the selective antiproliferative activity of compounds
1-4 is also described.
PMID- 26561963
TI - Heterogeneously-Grown Tunable Tensile Strained Germanium on Silicon for Photonic
Devices.
AB - The growth, structural and optical properties, and energy band alignments of
tensile-strained germanium (epsilon-Ge) epilayers heterogeneously integrated on
silicon (Si) were demonstrated for the first time. The tunable epsilon-Ge thin
films were achieved using a composite linearly graded InxGa1-xAs/GaAs buffer
architecture grown via solid source molecular beam epitaxy. High-resolution X-ray
diffraction and micro-Raman spectroscopic analysis confirmed a pseudomorphic
epsilon-Ge epitaxy whereby the degree of strain varied as a function of the
In(x)Ga(1-x)As buffer indium alloy composition. Sharp heterointerfaces between
each epsilon-Ge epilayer and the respective In(x)Ga(1-x)As strain template were
confirmed by detailed strain analysis using cross-sectional transmission electron
microscopy. Low-temperature microphotoluminescence measurements confirmed both
direct and indirect bandgap radiative recombination between the Gamma and L
valleys of Ge to the light-hole valence band, with L-lh bandgaps of 0.68 and 0.65
eV demonstrated for the 0.82 +/- 0.06% and 1.11 +/- 0.03% strained Ge on Si,
respectively. Type-I band alignments and valence band offsets of 0.27 and 0.29 eV
for the epsilon-Ge/In(0.11)Ga(0.89)As (0.82%) and epsilon-Ge/In(0.17)Ga(0.83)As
(1.11%) heterointerfaces, respectively, show promise for epsilon-Ge carrier
confinement in future nanoscale optoelectronic devices. Therefore, the successful
heterogeneous integration of tunable tensile-strained Ge on Si paves the way for
the design and implementation of novel Ge-based photonic devices on the Si
technology platform.
PMID- 26561964
TI - Impacts of Combustion Conditions and Photochemical Processing on the Light
Absorption of Biomass Combustion Aerosol.
AB - The aim was to identify relationships between combustion conditions, particle
characteristics, and optical properties of fresh and photochemically processed
emissions from biomass combustion. The combustion conditions included nominal and
high burn rate operation and individual combustion phases from a conventional
wood stove. Low temperature pyrolysis upon fuel addition resulted in "tar-ball"
type particles dominated by organic aerosol with an absorption Angstrom exponent
(AAE) of 2.5-2.7 and estimated Brown Carbon contributions of 50-70% to absorption
at the climate relevant aethalometer-wavelength (520 nm). High temperature
combustion during the intermediate (flaming) phase was dominated by soot
agglomerates with AAE 1.0-1.2 and 85-100% of absorption at 520 nm attributed to
Black Carbon. Intense photochemical processing of high burn rate flaming
combustion emissions in an oxidation flow reactor led to strong formation of
Secondary Organic Aerosol, with no or weak absorption. PM1 mass emission factors
(mg/kg) of fresh emissions were about an order of magnitude higher for low
temperature pyrolysis compared to high temperature combustion. However, emission
factors describing the absorption cross section emitted per kg of fuel consumed
(m(2)/kg) were of similar magnitude at 520 nm for the diverse combustion
conditions investigated in this study. These results provide a link between
biomass combustion conditions, emitted particle types, and their optical
properties in fresh and processed plumes which can be of value for source
apportionment and balanced mitigation of biomass combustion emissions from a
climate and health perspective.
PMID- 26561966
TI - Depicting Visual Motion in Still Images: Forward Leaning and a Left to Right Bias
for Lateral Movement.
AB - What artistic conventions are used to convey the motion of animate and inanimate
items in still images, such as drawings and photographs? One graphic convention
involves depicting items leaning forward into their movement, with greater
leaning conveying greater speed. Though this convention could derive from the
natural leaning forward of people and animals as they run, it is also applied to
depictions of inanimate objects (eg cars and trains). It is proposed that it is
this convention that allows the italicization of text to convey notions of motion
and speed. Evidence for this is obtained from three sources: the use of
italicization on book covers (in book titles); judgments of typeface
connotations; and performance measures during the semantic classification of
words appearing in italicized and non-italicized fonts. Inspection of the
availability of italic fonts in Hebrew indicates an additional artistic
convention for conveying motion, based on a fundamental bias, yet to be
confirmed, for people to expect to see, or prefer to see, lateral movement (real
or implied) in a left to right direction, rather than a right to left direction.
Evidence for such a bias is found in photographs of a range of animate and
inanimate items archived on Google Images. Whereas a rightward bias is found for
photographs of animate and inanimate items in motion (the more so, the faster the
motion being conveyed), either no bias or a leftward bias is found for the same
items in static pose. Possible origins of a fundamental left to right bias for
visual motion, and future lines of research able to evaluate them, are
identified.
PMID- 26561965
TI - Carbohydrate-Aromatic Interactions in Proteins.
AB - Protein-carbohydrate interactions play pivotal roles in health and disease.
However, defining and manipulating these interactions has been hindered by an
incomplete understanding of the underlying fundamental forces. To elucidate
common and discriminating features in carbohydrate recognition, we have analyzed
quantitatively X-ray crystal structures of proteins with noncovalently bound
carbohydrates. Within the carbohydrate-binding pockets, aliphatic hydrophobic
residues are disfavored, whereas aromatic side chains are enriched. The greatest
preference is for tryptophan with an increased prevalence of 9-fold. Variations
in the spatial orientation of amino acids around different monosaccharides
indicate specific carbohydrate C-H bonds interact preferentially with aromatic
residues. These preferences are consistent with the electronic properties of both
the carbohydrate C-H bonds and the aromatic residues. Those carbohydrates that
present patches of electropositive saccharide C-H bonds engage more often in CH
pi interactions involving electron-rich aromatic partners. These electronic
effects are also manifested when carbohydrate-aromatic interactions are monitored
in solution: NMR analysis indicates that indole favorably binds to electron-poor
C-H bonds of model carbohydrates, and a clear linear free energy relationships
with substituted indoles supports the importance of complementary electronic
effects in driving protein-carbohydrate interactions. Together, our data indicate
that electrostatic and electronic complementarity between carbohydrates and
aromatic residues play key roles in driving protein-carbohydrate complexation.
Moreover, these weak noncovalent interactions influence which saccharide residues
bind to proteins, and how they are positioned within carbohydrate-binding sites.
PMID- 26561967
TI - Nonuniform Changes in the Distribution of Visual Attention from Visual Complexity
and Action: A Driving Simulation Study.
AB - Researchers acknowledge the interplay between action and attention, but typically
consider action as a response to successful attentional selection or the
correlation of performance on separate action and attention tasks. We
investigated how concurrent action with spatial monitoring affects the
distribution of attention across the visual field. We embedded a functional field
of view (FFOV) paradigm with concurrent central object recognition and peripheral
target localization tasks in a simulated driving environment. Peripheral targets
varied across 20-60 deg eccentricity at 11 radial spokes. Three conditions
assessed the effects of visual complexity and concurrent action on the size and
shape of the FFOV: (1) with no background, (2) with driving background, and (3)
with driving background and vehicle steering. The addition of visual complexity
slowed task performance and reduced the FFOV size but did not change the baseline
shape. In contrast, the addition of steering produced not only shrinkage of the
FFOV, but also changes in the FFOV shape. Nonuniform performance decrements
occurred in proximal regions used for the central task and for steering,
independent of interference from context elements. Multifocal attention models
should consider the role of action and account for nonhomogeneities in the
distribution of attention.
PMID- 26561968
TI - Simulation of Driving in Low-Visibility Conditions: Does Stereopsis Improve Speed
Perception?
AB - Laboratory-based studies of perceived speed show that, under most circumstances,
perceived speed is reduced as a function of contrast. However, a recent
investigation of perceived vehicular speed while driving around a closed road
circuit showed no such effect (Owens, Wood, & Carberry, 2010, Perception, 39: ,
1199-1215). We sought to probe the source of this discrepancy, asking whether the
presence or absence of stereoscopic motion information might account for the
difference in results. In a two-alternative forced-choice psychophysical speed
discrimination task, observers compared the speed of high- and low-contrast
driving clips filmed with a 3-D camera and presented either stereoscopically (3
D) or monoscopically (2-D). Although perceived speed was reduced at low contrast,
the size of this misperception was equivalent for 2-D and 3-D presentations.
However, the inclusion of stereoscopic cues to vehicular speed caused significant
improvements in the precision of speed judgments. It is concluded that although
stereopsis can provide access to valuable information on perceived speed,
contrast-independent speed estimation as demonstrated by Owens et al. (2010) is
more likely to reflect the use of the full visual field in a real driving
situation (compared with limited field of view simulations), or the additional
contributions of nonvisual cues rather than stereopsis.
PMID- 26561969
TI - Temporal Processing in Bistable Perception of the Necker Cube.
AB - Perception of ambiguous figures is unstable and alternates repeatedly between
possible interpretations. Some approaches to explaining this phenomenon have, so
far, assumed low-level bottom-up mechanisms like adaptation and mutual inhibition
of underlying neural assemblies. In contrast, less precise top-down approaches
assume high-level attentional control mechanisms generalised across sensory
modalities. In the current work we focused on specific aspects of the top-down
approach. In a first study we used dwell times (periods of transiently stable
percepts) and the parameters of dwell time distribution functions to compare the
dynamics of perceptual alternations between visual (Necker cube) and auditory
ambiguity (verbal transformation effect). In a second study we compared the
endogenous alternation dynamics of the Necker cube with parameters from two
attention tasks with different regimes of temporal dynamics. The first attention
task (d2) is characterised by endogenous self-paced dynamics, similar to the
dynamics underlying perceptual alternations of ambiguous figures, and we found
clear correlations between dwell time parameters (Necker cube) and processing
speed (d2 task). The temporal dynamics of the second (go/no-go) attention task,
in contrast, are exogenously governed by the stimulus protocol, and we found no
statistically significant correlation with the Necker cube data. Our results
indicate that both perceptual instability and higher-level attentional tasks are
linked to endogenous brain dynamics on a global coordinating level beyond sensory
modalities.
PMID- 26561970
TI - Volitional Mechanisms Mediate the Cuing Effect of Pitch on Attention Orienting:
The Influences of Perceptual Difficulty and Response Pressure.
AB - Our cognitive system tends to link auditory pitch with spatial location in a
specific manner (ie high-pitched sounds are usually associated with an upper
location, and low sounds are associated with a lower location). Recent studies
have demonstrated that this cross-modality association biases the allocation of
visual attention and affects performance despite the auditory stimuli being
irrelevant to the behavioural task. There is, however, a discrepancy between
studies in their interpretation of the underlying mechanisms. Whereas we have
previously claimed that the pitch-location mapping is mediated by volitional
shifts of attention (Chiou & Rich, 2012, Perception, 41: , 339-353), other
researchers suggest that this cross-modal effect reflects automatic shifts of
attention (Mossbridge, Grabowecky, & Suzuki, 2011, Cognition, 121: , 133-139).
Here we report a series of three experiments examining the effects of perceptual
and response-related pressure on the ability of nonpredictive pitch to bias
visual attention. We compare it with two control cues: a predictive pitch that
triggers voluntary attention shifts and a salient peripheral flash that evokes
involuntary shifts. The results show that the effect of nonpredictive pitch is
abolished by pressure at either perceptual or response levels. By contrast, the
effects of the two control cues remain significant, demonstrating the robustness
of informative and perceptually salient stimuli in directing attention. This
distinction suggests that, in contexts of high perceptual demand and response
pressure, cognitive resources are primarily engaged by the task-relevant stimuli,
which effectively prevents uninformative pitch from orienting attention to its
cross-modally associated location. These findings are consistent with the
hypothesis that the link between pitch and location affects attentional
deployment via volitional rather than automatic mechanisms.
PMID- 26561971
TI - Perception of Animacy from the Motion of a Single Sound Object.
AB - Research in the visual modality has shown that the presence of certain dynamics
in the motion of an object has a strong effect on whether or not the entity is
perceived as animate. Cues for animacy are, among others, self-propelled motion
and direction changes that are seemingly not caused by entities external to, or
in direct contact with, the moving object. The present study aimed to extend this
research into the auditory domain by determining if similar dynamics could
influence the perceived animacy of a sound source. In two experiments,
participants were presented with single, synthetically generated 'mosquito'
sounds moving along trajectories in space, and asked to rate how certain they
were that each sound-emitting entity was alive. At a random point on a linear
motion trajectory, the sound source would deviate from its initial path and
speed. Results confirm findings from the visual domain that a change in the
velocity of motion is positively correlated with perceived animacy, and changes
in direction were found to influence animacy judgment as well. This suggests that
an ability to facilitate and sustain self-movement is perceived as a living
quality not only in the visual domain, but in the auditory domain as well.
PMID- 26561972
TI - Effects of Frequency Separation and Diotic/Dichotic Presentations on the
Alternation Frequency Limits in Audition Derived from a Temporal Phase
Discrimination Task.
AB - Temporal phase discrimination is a useful psychophysical task to evaluate how
sensory signals, synchronously detected in parallel, are perceptually bound by
human observers. In this task two stimulus sequences synchronously alternate
between two states (say, A-B-A-B and X-Y-X-Y) in either of two temporal phases
(ie A and B are respectively paired with X and Y, or vice versa). The critical
alternation frequency beyond which participants cannot discriminate the temporal
phase is measured as an index characterizing the temporal property of the
underlying binding process. This task has been used to reveal the mechanisms
underlying visual and cross-modal bindings. To directly compare these binding
mechanisms with those in another modality, this study used the temporal phase
discrimination task to reveal the processes underlying auditory bindings. The two
sequences were alternations between two pitches. We manipulated the distance
between the two sequences by changing intersequence frequency separation, or
presentation ears (diotic vs dichotic). Results showed that the alternation
frequency limit ranged from 7 to 30 Hz, becoming higher as the intersequence
distance decreased, as is the case with vision. However, unlike vision, auditory
phase discrimination limits were higher and more variable across participants.
PMID- 26561973
TI - A New Demonstration of the Illusory Letters Phenomenon: Graphemic Restoration in
Arabic Word Perception.
AB - The illusory letters phenomenon (ILP) is a unique demonstration that words can be
perceived as complete even when letters are physically absent. However, the ILP
has only ever been reported for a Latinate language (English), and it is unknown
whether the illusion occurs for alphabetic languages with fundamentally different
visual properties. Here we report a demonstration of the ILP for Arabic in which
stimuli containing only the exterior letters of three-letter Arabic words and a
nonsense pattern in the interior position were presented to fluent Arabic
readers. Despite being incomplete, participants perceived these stimuli as
complete Arabic words with all letters visible in their appropriate positions,
and were unable to distinguish between illusory and normal displays. This finding
provides an important extension of the original ILP and suggests that alphabetic
languages may be widely susceptible to the phenomenon and reading generally may
occur as a process augmented by illusory percepts.
PMID- 26561974
TI - A New Illusion at Your Elbow.
AB - On experiencing distal-proximal tactile motion on the volar side of the forearm
starting at the wrist, subjects significantly anticipate touch of the elbow
crook. This illusion, popular as a children's game, was quantified in ninety
participants (forty-seven women) on both arms. As a top-down explanation of the
illusion, we discuss a model of Bayesian inferences. As a bottom-up contribution,
we consider afterdischarges of cortical neurons, which receive input from skin
mechanoreceptors specifically driven by slow-motion tactile stimuli. Like
previously described illusions, the elbow crook illusion is larger on the
nondominant arm. Women showed a smaller illusion than men, giving testimony to
their reportedly superior cutaneous sensitivity.
PMID- 26561975
TI - Review: Structural Information Theory: The Simplicity of Visual Form, Simplicity
in Vision: A Multidisciplinary Account of Perceptual Organization, Psychology of
Touch and Blindness, Psychology of Touch and Blindness.
PMID- 26561976
TI - Organic Oxidations Using Geomimicry.
AB - Oxidations of phenylacetic acid to benzaldehyde, benzyl alcohol to benzaldehyde,
and benzaldehyde to benzoic acid have been observed, in water as the solvent and
using only copper(II) chloride as the oxidant. The reactions are performed at 250
degrees C and 40 bar, conditions that mimic hydrothermal reactions that are
geochemically relevant. Speciation calculations show that the oxidizing agent is
not freely solvated copper(II) ions, but complexes of copper(II) with chloride
and carboxylate anions. Measurements of the reaction stoichiometries and also of
substituent effects on reactivity allow plausible mechanisms to be proposed.
These oxidation reactions are relevant to green chemistry in that they proceed in
high chemical yield in water as the solvent and avoid the use of toxic heavy
metal oxidizing reagents.
PMID- 26561977
TI - Biomarker Development for Intraductal Papillary Mucinous Neoplasms Using Multiple
Reaction Monitoring Mass Spectrometry.
AB - Intraductal papillary mucinous neoplasm (IPMN) is a common precursor of
pancreatic cancer (PC). Much clinical attention has been directed toward IPMNs
due to the increase in the prevalence of PC. The diagnosis of IPMN depends
primarily on a radiological examination, but the diagnostic accuracy of this tool
is not satisfactory, necessitating the development of accurate diagnostic
biomarkers for IPMN to prevent PC. Recently, high-throughput targeted proteomic
quantification methods have accelerated the discovery of biomarkers, rendering
them powerful platforms for the evolution of IPMN diagnostic biomarkers. In this
study, a robust multiple reaction monitoring (MRM) pipeline was applied to
discovery and verify IPMN biomarker candidates in a large cohort of plasma
samples. Through highly reproducible MRM assays and a stringent statistical
analysis, 11 proteins were selected as IPMN marker candidates with high
confidence in 184 plasma samples, comprising a training (n = 84) and test set (n
= 100). To improve the discriminatory power, we constructed a six-protein panel
by combining marker candidates. The multimarker panel had high discriminatory
power in distinguishing between IPMN and controls, including other benign
diseases. Consequently, the diagnostic accuracy of IPMN can be improved
dramatically with this novel plasma-based panel in combination with a
radiological examination.
PMID- 26561978
TI - Direct Observation of Confinement-Induced Charge Inversion at a Metal Surface.
AB - Surface interactions across water are central to areas from nanomedicine to
colloidal stability. They are predominantly a combination of attractive but short
ranged dispersive (van der Waals) forces, and long-ranged electrostatic forces
between the charged surfaces. Here we show, using a surface force balance, that
electrostatic forces between two surfaces across water, one at constant charge
while the other (a molecularly smooth metal surface) is at constant potential of
the same sign, may revert smoothly from repulsion to attraction on progressive
confinement of the aqueous intersurface gap. This remarkable effect, long
predicted theoretically in the classic Gouy-Chapman (Poisson-Boltzmann) model but
never previously experimentally observed, unambiguously demonstrates surface
charge reversal at the metal-water surface. This experimental confirmation
emphasizes the implications for interactions of dielectrics with metal surfaces
in aqueous media.
PMID- 26561979
TI - Discovery and Pharmacology of a Novel Class of Diacylglycerol Acyltransferase 2
Inhibitors.
AB - DGAT2 plays a critical role in hepatic triglyceride production, and data suggests
that inhibition of DGAT2 could prove to be beneficial in treating a number of
disease states. This article documents the discovery and optimization of a
selective small molecule inhibitor of DGAT2 as well as pharmacological proof of
biology in a mouse model of triglyceride production.
PMID- 26561980
TI - Endothelin-1 contributes to the progression of renal injury in sickle cell
disease via reactive oxygen species.
AB - BACKGROUND AND PURPOSE: Endothelin-1 (ET-1) is increased in patients with sickle
cell disease and may contribute to the development of sickle cell nephropathy.
The current study was designed to determine whether ET-1 acting via the ETA
receptor contributes to renal injury in a mouse model of sickle cell disease.
EXPERIMENTAL APPROACH: Adult, humanized HbSS (homozygous for sickle Hb) mice had
increased ET-1 mRNA expression in both the cortex and the glomeruli compared with
mice heterozygous for sickle and Hb A (HbAS controls). In the renal cortex, ETA
receptor mRNA expression was also elevated in HbSS (sickle) mice although ETB
receptor mRNA expression was unchanged. Ligand binding assays confirmed that
sickle mice had increased ETA receptors in the renal vascular tissue when
compared with control mice. KEY RESULTS: In response to PKC stimulation, reactive
oxygen species production by isolated glomeruli from HbSS sickle mice was
increased compared with that from HbSA controls, an effect that was prevented by
1 week in vivo treatment with the selective ETA antagonist, ABT-627. Protein and
nephrin excretion were both elevated in sickle mice, effects that were also
significantly attenuated by ABT-627. Finally, ETA receptor antagonism caused a
significant reduction in mRNA expression of NADPH oxidase subunits, which may
contribute to nephropathy in sickle cell disease. CONCLUSIONS AND IMPLICATIONS:
These data support a novel role for ET-1 in the progression of sickle
nephropathy, specifically via the ETA receptor, and suggest a potential role for
ETA receptor antagonism in a treatment strategy.
PMID- 26561981
TI - One Size Does Not Fit All--Regional Variation in the Impact of the Share 35 Liver
Allocation Policy.
AB - Allocation policies for liver transplantation underwent significant changes in
June 2013 with the introduction of Share 35. We aimed to examine the effect of
Share 35 on regional variation in posttransplant outcomes. We examined two
patient groups from the United Network for Organ Sharing dataset; a pre-Share 35
group composed of patients transplanted between June 17, 2012, and June 17, 2013
(n = 5523), and a post-Share group composed of patients transplanted between June
18, 2013, and June 18, 2014 (n = 5815). We used Kaplan-Meier and Cox
multivariable analyses to compare survival. There were significant increases in
allocation Model for End-stage Liver Disease (MELD) scores, laboratory MELD
scores, and proportions of patients in the intensive care unit and on mechanical,
ventilated, or organ-perfusion support at transplant post-Share 35. We also
observed a significant increase in donor risk index in this group. We found no
difference on a national level in survival between patients transplanted pre
Share 35 and post-Share 35 (p = 0.987). Regionally, however, posttransplantation
survival was significantly worse in the post-Share 35 patients in regions 4 and
10 (p = 0.008 and p = 0.04), with no significant differences in the remaining
regions. These results suggest that Share 35 has been associated with
transplanting "sicker patients" with higher MELD scores, and although no
difference in survival is observed on a national level, outcomes appear to be
concerning in some regions.
PMID- 26561983
TI - Reply: Respiratory motor function in centronuclear myopathy.
PMID- 26561982
TI - Signal recognition particle immunoglobulin g detected incidentally associates
with autoimmune myopathy.
AB - INTRODUCTION: Paraneoplastic autoantibody screening of 150,000 patient sera by
tissue-based immunofluorescence incidentally revealed 170 with unsuspected signal
recognition particle (SRP) immunoglobulin G (IgG), which is a recognized
biomarker of autoimmune myopathy. Of the 77 patients with available information,
54 had myopathy. We describe the clinical/laboratory associations. METHODS:
Distinctive cytoplasm-binding IgG (mouse tissue substrate) prompted western blot,
enzyme-linked immunoassay, and immunoprecipitation analyses. Available histories
were reviewed. RESULTS: The immunostaining pattern resembled rough endoplasmic
reticulum, and mimicked Purkinje-cell cytoplasmic antibody type 1 IgG/anti-Yo.
Immunoblotting revealed ribonucleoprotein reactivity. Recombinant antigens
confirmed the following: SRP54 IgG specificity alone (17); SRP72 IgG specificity
alone (3); both (32); or neither (2). Coexisting neural autoantibodies were
identified in 28% (low titer). Electromyography revealed myopathy with
fibrillation potentials; 78% of biopsies had active necrotizing myopathy with
minimal inflammation, and 17% had inflammatory myopathy. Immunotherapy
responsiveness was typically slow and incomplete, and relapses were frequent on
withdrawal. Histologically confirmed cancers (17%) were primarily breast and
hematologic, with some others. CONCLUSIONS: Autoimmune necrotizing SRP myopathy,
both idiopathic and paraneoplastic, is underdiagnosed in neurological practice.
Serological screening aids early diagnosis. Cancer surveillance and appropriate
immunosuppressant therapy may improve outcome. Muscle Nerve 53: 925-932, 2016.
PMID- 26561984
TI - Mapping the Topography of a Protein Energy Landscape.
AB - Protein energy landscapes are highly complex, yet the vast majority of states
within them tend to be invisible to experimentalists. Here, using site-directed
mutagenesis and exploiting the simplicity of tandem-repeat protein structures, we
delineate a network of these states and the routes between them. We show that our
target, gankyrin, a 226-residue 7-ankyrin-repeat protein, can access two
alternative (un)folding pathways. We resolve intermediates as well as transition
states, constituting a comprehensive series of snapshots that map early and late
stages of the two pathways and show both to be polarized such that the repeat
array progressively unravels from one end of the molecule or the other.
Strikingly, we find that the protein folds via one pathway but unfolds via a
different one. The origins of this behavior can be rationalized using the
numerical results of a simple statistical mechanics model that allows us to
visualize the equilibrium behavior as well as single-molecule folding/unfolding
trajectories, thereby filling in the gaps that are not accessible to direct
experimental observation. Our study highlights the complexity of repeat-protein
folding arising from their symmetrical structures; at the same time, however,
this structural simplicity enables us to dissect the complexity and thereby map
the precise topography of the energy landscape in full breadth and remarkable
detail. That we can recapitulate the key features of the folding mechanism by
computational analysis of the native structure alone will help toward the
ultimate goal of designed amino-acid sequences with made-to-measure folding
mechanisms-the Holy Grail of protein folding.
PMID- 26561985
TI - Neutral and adaptive genomic signatures of rapid poleward range expansion.
AB - Many species are expanding their range polewards, and this has been associated
with rapid phenotypic change. Yet, it is unclear to what extent this reflects
rapid genetic adaptation or neutral processes associated with range expansion, or
selection linked to the new thermal conditions encountered. To disentangle these
alternatives, we studied the genomic signature of range expansion in the
damselfly Coenagrion scitulum using 4950 newly developed genomic SNPs and linked
this to the rapidly evolved phenotypic differences between core and (newly
established) edge populations. Most edge populations were genetically clearly
differentiated from the core populations and all were differentiated from each
other indicating independent range expansion events. In addition, evidence for
genetic drift in the edge populations, and strong evidence for adaptive genetic
variation in association with the range expansion was detected. We identified one
SNP under consistent selection in four of the five edge populations and showed
that the allele increasing in frequency is associated with increased flight
performance. This indicates collateral, non-neutral evolutionary changes in
independent edge populations driven by the range expansion process. We also
detected a genomic signature of adaptation to the newly encountered thermal
regimes, reflecting a pattern of countergradient variation. The latter signature
was identified at a single SNP as well as in a set of covarying SNPs using a
polygenic multilocus approach to detect selection. Overall, this study highlights
how a strategic geographic sampling design and the integration of genomic,
phenotypic and environmental data can identify and disentangle the neutral and
adaptive processes that are simultaneously operating during range expansions.
PMID- 26561986
TI - Complex mixtures, complex responses: Assessing pharmaceutical mixtures using
field and laboratory approaches.
AB - Pharmaceuticals are present in low concentrations (<100 ng/L) in most municipal
wastewater effluents but may be elevated locally because of factors such as input
from pharmaceutical formulation facilities. Using existing concentration data,
the authors assessed pharmaceuticals in laboratory exposures of fathead minnows
(Pimephales promelas) and added environmental complexity through effluent
exposures. In the laboratory, larval and mature minnows were exposed to a simple
opioid mixture (hydrocodone, methadone, and oxycodone), an opioid agonist
(tramadol), a muscle relaxant (methocarbamol), a simple antidepressant mixture
(fluoxetine, paroxetine, venlafaxine), a sleep aid (temazepam), or a complex
mixture of all compounds. Larval minnow response to effluent exposure was not
consistent. The 2010 exposures resulted in shorter exposed minnow larvae, whereas
the larvae exposed in 2012 exhibited altered escape behavior. Mature minnows
exhibited altered hepatosomatic indices, with the strongest effects in females
and in mixture exposures. In addition, laboratory-exposed, mature male minnows
exposed to all pharmaceuticals (except the selective serotonin reuptake inhibitor
mixture) defended nest sites less rigorously than fish in the control group.
Tramadol or antidepressant mixture exposure resulted in increased splenic T
lymphocytes. Only male minnows exposed to whole effluent responded with increased
plasma vitellogenin concentrations. Female minnows exposed to pharmaceuticals
(except the opioid mixture) had larger livers, likely as a compensatory result of
greater prominence of vacuoles in liver hepatocytes. The observed alteration of
apical endpoints central to sustaining fish populations confirms that effluents
containing waste streams from pharmaceutical formulation facilities can adversely
impact fish populations but that the effects may not be temporally consistent.
The present study highlights the importance of including diverse biological
endpoints spanning levels of biological organization and life stages when
assessing contaminant interactions.
PMID- 26561987
TI - Conformational Changes and Association of Membrane-Interacting Peptides in Myelin
Membrane Models: A Case of the C-Terminal Peptide of Proteolipid Protein and the
Antimicrobial Peptide Melittin.
AB - Model membranes composed of various lipid mixtures can segregate into liquid
ordered (Lo) and liquid-disordered (Ld) phases. In this study, lipid vesicles
composed of mainly Lo or Ld phases as well as complex lipid systems representing
the cytosolic leaflet of the myelin membrane were characterized by fluorescence
resonance energy transfer with a donor/acceptor pair that preferentially
partitioned into Lo or Ld phases, respectively. The fluidity of the lipid systems
containing >30% cholesterol was modulated in the presence of the amphipathic
peptide melittin. With all the studied lipid systems, melittin attained an alpha
helical conformation as determined by CD spectroscopy and attained varying
degrees of membrane association and penetration as determined by intrinsic Trp
fluorescence. The other protein domain utilized was a putative amphipathic
helical peptide derived from the cytosolic C-terminal sequence of proteolipid
protein (PLP) which is the most abundant protein in the myelin membrane. The C
terminal PLP peptide transitioned from a random coil to an alpha-helix in the
presence of trifluoroethanol. Upon interacting with each of lipid vesicle system,
the PLP peptide also folded into a helix; however, at high concentrations of the
peptide with fluid lipid systems, associated helices transmuted into a beta-sheet
conformer. The membrane-associated aggregation of the cytosolic C-termini could
be a mechanism by which the transmembrane PLP multimerizes in the myelin
membrane.
PMID- 26561988
TI - Evolving Roles for Physicians and Genetic Counselors in Managing Complex Genetic
Disorders.
AB - Proponents of personalized medicine predict that genetic information will provide
pivotal perspectives for the prevention and management of complex disorders.
Personalized medicine differs from traditional Western medicine, in that it
focuses on more complex disorders that require mechanistic disease modeling and
outcome simulation by integrating genomic risk, environmental stressors, and
biomarkers as indicators of disease state. This information could be useful to
guide targeted therapy and prevent pathologic outcomes. However, gaps exist in
the process of linking the pieces together; currently, genetic data are seldom
used to assist physicians in clinical decision making. With rapid growth in
genetic data and the requirements for new paradigms for complex disorders comes
the need to train professionals to understand and manage the impact of genetic
information on patients within these clinical settings. Here we describe the
challenges, controversies, and opportunities for genetics and genetic counselors
in managing complex disorders and discuss the rationale for modifications in
genetic counselor training and function. We conclude that a major paradigm shift
is underway and a compelling functional, ethical, and financial argument can be
made for employing properly trained genetic counselors to be strategically
positioned within the health-care industries that are responsible for managing
complex disorders.
PMID- 26561989
TI - Recent Advances in Celiac Disease from TTG to Gluten in Pee.
PMID- 26561990
TI - The First Years after Fellowship: Our Perspective.
PMID- 26561992
TI - Graphite Screen-Printed Electrodes Applied for the Accurate and Reagentless
Sensing of pH.
AB - A reagentless pH sensor based upon disposable and economical graphite screen
printed electrodes (GSPEs) is demonstrated for the first time. The voltammetric
pH sensor utilizes GSPEs which are chemically pretreated to form surface
immobilized oxygenated species that, when their redox behavior is monitored, give
a Nernstian response over a large pH range (1-13). An excellent experimental
correlation is observed between the voltammetric potential and pH over the entire
pH range of 1-13 providing a simple approach with which to monitor solution pH.
Such a linear response over this dynamic pH range is not usually expected but
rather deviation from linearity is encountered at alkaline pH values; absence of
this has previously been attributed to a change in the pKa value of surface
immobilized groups from that of solution phase species. This non-deviation, which
is observed here in the case of our facile produced reagentless pH sensor and
also reported in the literature for pH sensitive compounds immobilized upon
carbon electrodes/surfaces, where a linear response is observed over the entire
pH range, is explained alternatively for the first time. The performance of the
GSPE pH sensor is also directly compared with a glass pH probe and applied to the
measurement of pH in "real" unbuffered samples where an excellent correlation
between the two protocols is observed validating the proposed GSPE pH sensor.
PMID- 26561991
TI - The complete mitogenome of a 500-year-old Inca child mummy.
AB - In 1985, a frozen mummy was found in Cerro Aconcagua (Argentina). Archaeological
studies identified the mummy as a seven-year-old Inca sacrifice victim who lived
>500 years ago, at the time of the expansion of the Inca Empire towards the
southern cone. The sequence of its entire mitogenome was obtained. After querying
a large worldwide database of mitogenomes (>28,000) we found that the Inca
haplotype belonged to a branch of haplogroup C1b (C1bi) that has not yet been
identified in modern Native Americans. The expansion of C1b into the Americas, as
estimated using 203 C1b mitogenomes, dates to the initial Paleoindian settlements
(~18.3 thousand years ago [kya]); however, its internal variation differs between
Mesoamerica and South America. By querying large databases of control region
haplotypes (>150,000), we found only a few C1bi members in Peru and Bolivia (e.g.
Aymaras), including one haplotype retrieved from ancient DNA of an individual
belonging to the Wari Empire (Peruvian Andes). Overall, the results suggest that
the profile of the mummy represents a very rare sub-clade that arose 14.3 (5
23.6) kya and could have been more frequent in the past. A Peruvian Inca origin
for present-day C1bi haplotypes would satisfy both the genetic and paleo
anthropological findings.
PMID- 26561993
TI - Current concepts of protective ventilation during general anaesthesia.
AB - Mechanical ventilation with high tidal volumes (VT) has been common practice in
operating theatres because this strategy recruits collapsed lung tissue and
improves ventilation-perfusion mismatch, thus decreasing the need for high
inspired oxygen concentrations. Positive end-expiratory pressure (PEEP) was not
used routinely because it was thought to impair cardiovascular function. Over the
past two decades there have been advances in our understanding of the causes and
importance of ventilation-induced lung injury based on studies in animals with
healthy lungs, and trials in critically ill patients with and without acute
respiratory distress syndrome. Recent data from randomised controlled trials in
patients receiving ventilation during general anaesthesia for surgery have
demonstrated that lung-protective strategies (use of low VT, use of PEEP if
indicated, and avoidance of excessive oxygen concentrations) are also of
importance during intraoperative ventilation.
PMID- 26561994
TI - Porphyromonas gingivalis and Epstein-Barr Virus Are Associated With Increased
Levels of Visfatin in Gingival Crevicular Fluid.
AB - BACKGROUND: There is little clinical information on the relationship between
periodontopathogens and visfatin. The purpose of this study is to determine
visfatin levels in the gingival crevicular fluid (GCF) of healthy individuals and
patients with periodontitis and to investigate the possible relationship between
this adipokine and the presence and levels of Porphyromonas gingivalis,
Prevotella intermedia, Prevotella nigrescense, and Epstein-Barr virus (EBV).
METHODS: Eighteen healthy individuals and 27 patients with periodontitis were
included in this study. GCF and plaque samples were obtained from all
individuals. Visfatin levels were analyzed by enzyme-linked immunosorbent assay,
and the bacterial numbers were evaluated by the reverse transcription-polymerase
chain reaction method. RESULTS: In patients with periodontitis, the visfatin
levels in the GCF (mean: 84.29 ng/mL; range: 63.8 to 108.9 ng/mL) were
significantly higher compared with those of the healthy individuals (mean: 38.06
ng/mL; range: 13.8 to 89.02 ng/mL) (P <0.01). There was a positive correlation
between the visfatin levels and P. gingivalis (r = 0.266, P <0.05), whereas no
correlation was found between visfatin levels and other microorganisms. In
addition, the visfatin levels were found to be higher in individuals in whom P.
gingivalis was detected than for those without P. gingivalis (P <0.01). The
visfatin levels were also found to be higher in individuals in whom EBV was
detected (P <0.05). CONCLUSIONS: To the best of the authors' knowledge, the
present study is the first one to show the correlation of periodontopathogens and
GCF visfatin levels. P. gingivalis colonization of the periodontal pockets may
increase visfatin secretion. Furthermore, the presence of EBV in the plaque may
be another factor that causes an increase in visfatin levels.
PMID- 26561995
TI - Expression of Vascular Endothelial Growth Factor Has a Regulatory Role in
Gingival Venules in Experimental Diabetes.
AB - BACKGROUND: In the present study, the possible localization and role of vascular
endothelial growth factor receptor type 2 (VEGFR2) in the regulation of gingival
venules in a rat model of experimental diabetes are examined. METHODS: Six weeks
after streptozotocin premedication, Wistar male rats presenting blood sugar
levels >20 mmol/L were selected for investigation. The VEGFR2 antagonist ZM323881
[5-((7-benzyloxyquinazolin-4-yl)amino)-4-fluoro-2-methylphenol-hydrochloride] (20
MUg/mL) was dripped onto the gingiva between the mandibular incisors. Changes in
diameter of the selected gingival venule were measured by vital microscopy
combined with digital photography at specified times. Immunohistochemical
staining was used to localize VEGFR2. For controls, the same protocol was used on
animals with normal blood sugar levels and healthy gingiva. RESULTS: There was a
significant difference between the baseline venule diameter of the diabetic and
the control groups (47 +/- 1 and 28 +/- 2 MUm, respectively). After 15, 30, and
60 minutes of local application of ZM323881, significant vasoconstriction was
observed in the venules of diabetic rats compared with the baseline (81.4% +/-
4.6%, 81.8% +/- 4.4%, and 80.6% +/- 5.1%, respectively). The control group showed
no change in the venule diameter. The immunohistochemical analysis showed
significantly increased VEGFR2 expression in the mast cells along the venules in
the diabetic group, whereas mast cells were rarely found in the control group.
CONCLUSIONS: The findings suggest that VEGF expression is increased in gingiva in
experimentally induced diabetes. After VEGFR2 activation, the mast cell-derived
vasodilatory and inflammatory mediators may contribute markedly to the
concomitant changes in the microcirculation.
PMID- 26561996
TI - Implant Abutment Cleaning by Plasma of Argon: 5-Year Follow-Up of a Randomized
Controlled Trial.
AB - BACKGROUND: Contamination of implant abutments could potentially influence the
peri-implant tissue inflammatory response. The aim of the present study is to
assess the radiographic bone changes around customized, platform-switched
abutments placed according to the "one-abutment-one-time" protocol, with and
without plasma of argon cleaning treatment. METHODS: Thirty healthy patients with
thin gingival biotype (<1 mm) and history of periodontal disease received one
maxillary implant each. Immediately before abutment connection, patients were
randomly assigned to control group (cleaning protocol by steaming) or test group
(plasma of argon treatment). Outcome measures were: 1) success rate of implants
and prostheses; 2) biologic and prosthetic complications; 3) peri-implant
marginal bone loss (MBL); 4) esthetic and periodontal parameters; and 5) patient
satisfaction. RESULTS: Neither implants nor prostheses were lost in either group
at the 5-year follow-up examination. Overall, both groups showed a slight amount
of peri-implant bone loss from baseline to 5 years. A statistically higher mean
MBL was found in the control group compared with the test group at 6, 24, and 60
months after crown connection. Nevertheless, during the entire follow-up period,
intragroup comparison demonstrated statistically significant mean MBL in the
control group, but not in the test group. The test group showed a higher mean
gain at the soft tissue margin, but not for the papilla. All implants showed good
periodontal parameters, with no significant differences between groups.
CONCLUSION: Plasma of argon could be used to disinfect implant abutments before
insertion to minimize future peri-implant bone resorption.
PMID- 26561997
TI - Use of Platelet-Rich Fibrin Membrane in the Treatment of Gingival Recession: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: The aim of this systematic review is to evaluate the effects of
platelet-rich fibrin (PRF) membranes on the outcomes of clinical treatments in
patients with gingival recession. METHODS: Articles that were published before
June 2015 were searched electronically in four databases without any date or
language restrictions and searched manually in regular journals and unpublished
studies. The eligibility criteria comprised randomized controlled trials (RCTs)
and prospective controlled trials with follow-up periods of >= 6 months that
compared the performance of PRF to other biomaterials in the treatment of Miller
Class I or II gingival recessions. For the meta-analysis, the inverse variance
method was used in fixed- or random-effect models, which were chosen according to
heterogeneity. The estimates of the intervention effects were expressed as the
mean differences in percentages or millimeters. RESULTS: Six RCTs and one
prospective clinical trial are included in this review. Root coverage (RC) and
clinical attachment level (CAL) did not differ significantly between the analyzed
subgroups (P = 0.57 and P = 0.50, respectively). The keratinized mucosa width
(KMW) gain was significantly greater (P = 0.04) in the subgroup that was treated
with connective tissue grafts. CONCLUSION: The results of the meta-analysis
suggest that the use of PRF membranes did not improve the RC, KMW, or CAL of
Miller Class I and II gingival recessions compared with the other treatment
modalities.
PMID- 26561998
TI - The Effects of Initial Periodontal Therapy on the Serum Receptor Activator of
Nuclear Factor-kappabeta Ligand/Osteoprotegerin System in Patients With Type 2
Diabetes Mellitus and Periodontitis.
AB - BACKGROUND: The aim of the present study is to evaluate the serum receptor
activator of nuclear factor-kappabeta ligand (RANKL)/osteoprotegerin (OPG) system
in patients with chronic periodontitis (CP) and type 2 diabetes mellitus (T2DM)
and its changes after periodontal intervention. METHODS: Thirty-five patients
with CP + T2DM, 35 systemically healthy patients with CP, and 35 healthy controls
were enrolled, and serum levels of RANKL and OPG were measured at baseline. Then
the CP + T2DM group was divided into a well-controlled subgroup and a poorly
controlled subgroup according to their hemoglobin A1c (HbA1c), and initial
periodontal therapy was performed. After 3 months, patients in both subgroups
were recalled, and serum RANKL and OPG levels were tested again and compared with
the baseline. RESULTS: At baseline, serum levels of OPG in the T2DM + CP group
were much lower than in the CP group and healthy controls (197.41 +/- 57.05 pg/mL
versus 232.60 +/- 70.85 pg/mL [CP group] or 244.96 +/- 85.13 pg/mL [healthy
controls], P <0.05), whereas their RANKL levels were much higher than in the
other two groups (324.35 +/- 87.62 pg/mL versus 284.52 +/- 90.35 pg/mL [CP group]
or 163.01 +/- 45.24 pg/mL [healthy control], P <0.05), as was the RANKL/OPG (R/O)
ratio (1.68 +/- 0.33 versus 1.26 +/- 0.35 [CP group] or 0.72 +/- 0.25 [healthy
control], P <0.001). Serum levels of OPG in both disease groups had significant
negative correlations with HbA1C, and serum levels of RANKL in all participants
had significant positive correlations with periodontal parameters. After
periodontal intervention, both the well-controlled and poorly controlled
subgroups exhibited significant increases in OPG and decreases in RANKL in serum,
and the R/O ratio was also notably reduced. Additionally, the poorly controlled
subgroup exhibited a greater reduction in HbA1c and a greater increase in OPG
than the well-controlled subgroup. CONCLUSIONS: The changing trend in the serum
RANKL/OPG system in patients with T2DM + CP was similar to that seen in CP
patients and may be even more pronounced. Periodontal intervention effectively
improved glucose metabolism and changed the serum RANKL/OPG system regardless of
whether patients' HbA1c was well-controlled or poorly controlled over the 3-month
observation period.
PMID- 26561999
TI - A Familial Pattern of Multiple Idiopathic Cervical Root Resorption With a 30-Year
Follow-Up.
AB - BACKGROUND: Multiple idiopathic cervical root resorption (MICRR) is a rare entity
distinct from pathologic root resorption that occurs as a result of several local
and systemic factors. METHODS: This report describes a familial pattern of MICRR,
including a recently identified case and a 30-year follow-up on previously
described cases. RESULTS: The previously reported father (aged 95 years) and son
(aged 64 years), and the recently affected daughter (aged 61 years) recounted non
contributory medical history. The resorptive lesions were asymptomatic,
unassociated with any predisposing factors, and first identified during the
fourth to sixth decades of life. All tooth types were affected, with posterior
teeth being affected earlier and with greater frequency; however, distal root
surfaces were never affected. The resorptive lesions were progressive in nature,
with additional teeth becoming involved as the condition was followed over time.
In many instances, surrounding alveolar bone extended into the existing
resorptive defects, but without clinical evidence of ankylosis. Gingival tissues,
periodontal probing, and tooth mobility were within normal limits. Microcomputed
tomography of extracted teeth demonstrated that the lesions were more extensive
than clinically evident and rarely invaded the pulp chamber. Histologically, many
resorptive lesions were noted along the cementum surface, with evidence of
isolated cemental repair. Management of MICRR focused on restoring damaged root
surfaces and extracting teeth with extensive root resorption. CONCLUSIONS: MICRR
is a challenging entity with unknown etiology and a lack of well-established
preventive and management strategies. The familial pattern noted in this report
necessitates future studies to investigate the role of genetic components in
MICRR development.
PMID- 26562000
TI - Obstructive sleep apnea and cancer: effects of intermittent hypoxia?
AB - Obstructive sleep apnea (OSA) is a common disorder characterized by pauses in
regular breathing. Apneic episodes lead to recurrent hypoxemia-reoxygenation
cycles with concomitant cellular intermittent hypoxia. Studies suggest that
intermittent hypoxia in OSA may influence tumorigenesis. This review presents
recent articles on the potential role of OSA in cancer development. Relevant
research has focused on: molecular pathways mediating the influence of
intermittent hypoxia on tumor physiology, animal and epidemiological human
studies linking OSA and cancer. Current data relating OSA to risk of neoplastic
disease remain scarce, but recent studies reveal the potential for a strong
relation. More work is, therefore, needed on the impact of OSA on many cancer
related aspects. Results may offer enlightenment for improved cancer diagnosis
and treatment.
PMID- 26562001
TI - Does running strengthen bone?
AB - Bone is a living tissue needing mechanical stress to maintain strength.
Traditional endurance exercises offer only modest effects on bone. Walking and
running produce low impact but lead to bone fatigue. This article is specifically
addressed to therapists and explains the mechanisms involved for the effects of
exercise on bone. Intermittent exercise limits bone fatigue, and downhill
exercises increase ground impact forces and involve eccentric muscle
contractions, which are particularly osteogenic.
PMID- 26562002
TI - Behavioral Bias for Food Reflected in Hand Movements: A Preliminary Study with
Healthy Subjects.
AB - Palatable food induces general approach tendencies when compared to nonfood
stimuli. For eating disorders, the modification of an attention bias toward food
was proposed as a treatment option. Similar approaches have been efficient for
other psychiatric conditions and, recently, successfully incorporated approach
motivation. The direct impact of attentional biases on spontaneous natural
behavior has hardly been investigated so far, although actions may serve as an
intervention target, especially seeing the recent advances in the field of
embodied cognition. In this study, we addressed the interplay of motor action
execution and cognition when interacting with food objects. In a Virtual Reality
(VR) setting, healthy participants repeatedly grasped or warded high-calorie food
or hand-affordant ball objects using their own dominant hand. This novel
experimental paradigm revealed an attention-like bias in hand-based actions: 3D
objects of food were collected faster than ball objects, and this difference
correlated positively with both individual body mass index and diet-related
attitudes. The behavioral bias for food in hand movements complements several
recent experimental and neurophysiological findings. Implications for the use of
VR in the treatment of eating-related health problems are discussed.
PMID- 26562003
TI - The Effect of Head Massage on the Regulation of the Cardiac Autonomic Nervous
System: A Pilot Randomized Crossover Trial.
AB - OBJECTIVES: To evaluate the effect of a single 10-minute session of Chinese head
massage on the activity of the cardiac autonomic nervous system via measurement
of heart rate variability (HRV). DESIGN: In this pilot randomized crossover
trial, each participant received both head massage and the control intervention
in a randomized fashion. SETTINGS/LOCATION: The study was conducted at Children's
& Women's Health Centre of British Columbia between June and November 2014.
PARTICIPANTS: Ten otherwise healthy adults (6 men and 4 women) were enrolled in
this study. INTERVENTIONS: The intervention comprised 10 minutes of head massage
therapy (HMT) in a seated position compared with a control intervention of
sitting quietly on the same chair with eyes closed for an equal amount of time
(no HMT). OUTCOME MEASURES: The primary outcome measures were the main parameters
of HRV, including total power (TP), high frequency (HF), HF as a normalized unit,
pre-ejection period, and heart rate (HR). RESULTS: A single short session (10
minutes) of head massage demonstrated an increase in TP continuing up to 20
minutes after massage and reaching statistical significance at 10 minutes after
massage (relative change from baseline, 66% for HMT versus -6.6% for no HMT; p =
0.017). The effect on HF also peaked up to 10 minutes after massage (59.4% for
HMT versus 4% for no HMT; p = 0.139). Receiving head massage also decreased HR by
more than three-fold compared to the control intervention. CONCLUSION: This study
shows the potential benefits of head massage by modulating the cardiac autonomic
nervous system through an increase in the total variability and a shift toward
higher parasympathetic nervous system activity. Randomized controlled trials with
larger sample size and multiple sessions of massage are needed to substantiate
these findings.
PMID- 26562004
TI - Effectiveness and acceptability of parental financial incentives and quasi
mandatory schemes for increasing uptake of vaccinations in preschool children:
systematic review, qualitative study and discrete choice experiment.
AB - BACKGROUND: Uptake of preschool vaccinations is less than optimal. Financial
incentives and quasi-mandatory policies (restricting access to child care or
educational settings to fully vaccinated children) have been used to increase
uptake internationally, but not in the UK. OBJECTIVE: To provide evidence on the
effectiveness, acceptability and economic costs and consequences of parental
financial incentives and quasi-mandatory schemes for increasing the uptake of
preschool vaccinations. DESIGN: Systematic review, qualitative study and discrete
choice experiment (DCE) with questionnaire. SETTING: Community, health and
education settings in England. PARTICIPANTS: Qualitative study - parents and
carers of preschool children, health and educational professionals. DCE - parents
and carers of preschool children identified as 'at high risk' and 'not at high
risk' of incompletely vaccinating their children. DATA SOURCES: Qualitative study
- focus groups and individual interviews. DCE - online questionnaire. REVIEW
METHODS: The review included studies exploring the effectiveness, acceptability
or economic costs and consequences of interventions that offered contingent
rewards or penalties with real material value for preschool vaccinations, or
quasi-mandatory schemes that restricted access to 'universal' services, compared
with usual care or no intervention. Electronic database, reference and citation
searches were conducted. RESULTS: Systematic review - there was insufficient
evidence to conclude that the interventions considered are effective. There was
some evidence that the quasi-mandatory interventions were acceptable. There was
insufficient evidence to draw conclusions on economic costs and consequences.
Qualitative study - there was little appetite for parental financial incentives.
Quasi-mandatory schemes were more acceptable. Optimising current services was
consistently preferred to the interventions proposed. DCE and questionnaire -
universal parental financial incentives were preferred to quasi-mandatory
interventions, which were preferred to targeted incentives. Those reporting that
they would need an incentive to vaccinate their children completely required
around L110. Those who did not felt that the maximum acceptable incentive was
around L70. LIMITATIONS: Systematic review - a number of relevant studies were
excluded as they did not meet the study design inclusion criteria. Qualitative
study - few partially and non-vaccinating parents were recruited. DCE and
questionnaire - data were from a convenience sample. CONCLUSIONS: There is little
current evidence on the effectiveness or economic costs and consequences of
parental financial incentives and quasi-mandatory interventions for preschool
vaccinations. Universal incentives are likely to be more acceptable than targeted
ones. Preferences concerning incentives versus quasi-mandatory interventions may
depend on the context in which these are elicited. FUTURE WORK: Further evidence
is required on (i) the effectiveness and optimal configuration of parental
financial incentive and quasi-mandatory interventions for preschool vaccinations
if effectiveness is confirmed, further evidence is required on how to
communicate this to stakeholders and the impact on acceptability; and (ii) the
acceptability of parental financial incentive and quasi-mandatory interventions
for preschool vaccinations to members of the population who are not parents of
preschool children or relevant health professionals. Further consideration should
be given to (i) incorporating reasons for non-vaccination into new interventions
for promoting vaccination uptake; and (ii) how existing services can be
optimised. STUDY REGISTRATION: This study is registered as PROSPERO
CRD42012003192. FUNDING: The National Institute for Health Research Health
Technology Assessment programme.
PMID- 26562005
TI - Estimation of diffusion properties in three-way fiber crossings without
overfitting.
AB - Diffusion-weighted magnetic resonance imaging permits assessment of the
structural integrity of the brain's white matter. This requires unbiased and
precise quantification of diffusion properties. We aim to estimate such
properties in simple and complex fiber geometries up to three-way fiber crossings
using rank-2 tensor model selection. A maximum a-posteriori (MAP) estimator is
employed to determine the parameters of a constrained triple tensor model. A
prior is imposed on the parameters to avoid the degeneracy of the model
estimation. This prior maximizes the divergence between the three tensor's
principal orientations. A new model selection approach quantifies the extent to
which the candidate models are appropriate, i.e. a single-, dual- or triple
tensor model. The model selection precludes overfitting to the data. It is based
on the goodness of fit and information complexity measured by the total Kullback
Leibler divergence (ICOMP-TKLD). The proposed framework is compared to maximum
likelihood estimation on phantom data of three-way fiber crossings. It is also
compared to the ball-and-stick approach from the FMRIB Software Library (FSL) on
experimental data. The spread in the estimated parameters reduces significantly
due to the prior. The fractional anisotropy (FA) could be precisely estimated
with MAP down to an angle of approximately 40 degrees between the three fibers.
Furthermore, volume fractions between 0.2 and 0.8 could be reliably estimated.
The configurations inferred by our method corresponded to the anticipated neuro
anatomy both in single fibers and in three-way fiber crossings. The main
difference with FSL was in single fiber regions. Here, ICOMP-TKLD predominantly
inferred a single fiber configuration, as preferred, whereas FSL mostly selected
dual or triple order ball-and-stick models. The prior of our MAP estimator
enhances the precision of the parameter estimation, without introducing a bias.
Additionally, our model selection effectively balances the trade-off between the
goodness of fit and information complexity. The proposed framework can enhance
the sensitivity of statistical analysis of diffusion tensor MRI.
PMID- 26562006
TI - Synthesis of ceria based superhydrophobic coating on Ni20Cr substrate via
cathodic electrodeposition.
AB - In this work, superhydrophobic cerium oxide coating surface (111) with dual scale
texture on Ni20Cr substrate is obtained by combination of electropolishing the
substrate and subsequent cathodic electrodeposition and long-term UVH surface
relaxation. To form hierarchical structures of CeO2 is controllable by varying
the substrate roughness, and electropolishing period. The results indicated that
at the optimal condition, the surface of the cerium oxide coating showed a
superhydrophobicity with a great water contact angle (151.0 +/- 1.4 degrees )
with Gecko state. An interface model for electropolishing of substrate surface in
cerium nitrate medium is proposed. We expect that this facile process can be
readily and widely adopted for the design of superhydrophobic coating on
engineering materials.
PMID- 26562008
TI - [Aesthetic/Plastic Surgery in Children as Seen from the Perspective of Child and
Adolescent Psychiatry and Psychotherapy].
AB - Physical deformities may cause psychological stress and lead to psychological
disorders in children and adolescents. On the other hand, the correction of non
pathological conditions is a legal issue in patients unable to consent, a group
that is partly made up of minors. This article provides an overview on available
evidence on the psychological consequences of physical deformities, psychiatric
contraindications for plastic surgery due to psychological disorders, and on the
issue of minors' ability to consent.
PMID- 26562009
TI - [Microsurgical Autologous Lymph Vessel Transplantation: Does Harvesting Lymphatic
Vessel Grafts Induce Lymphatic Transport Disturbances in the Donor Limb?].
AB - OBJECTIVE: The aim of this study was to determine whether the extirpation of
lymphatic vessels induces lymphatic transport disturbances in the donor limb of
patients following the harvest of lymph vessel grafts. PATIENTS, MATERIAL AND
METHODS: A total of 19 consecutive patients (15 females, 4 males; mean age 51.5
years, range 21.8-72.3) were examined by lymphoscintigraphy before and after
surgery. The patients had previously been diagnosed with upper or lower limb
lymphoedema in accordance with the criteria of the International Society of
Lymphology, and autologous lymph vessel transplantation had been intended for
treatment. Since only patients with normal scintigraphic tests at the harvesting
site were considered for treatment, all consecutive patients (n=19) had normal
scintigraphic tests of the donor limb prior to surgery. In order to quantify the
visual scintigraphic findings, a well established numeric transport index (TI)
was used, which combined 5 visual parameters of transport kinetics. To that end,
the following visually assessed criteria were evaluated: temporal and spatial
kinetics, radiopharmaceutical distribution pattern, time to appearance of
inguinal lymph nodes, qualitative visualisation of lymph nodes and lymph vessels.
RESULTS: All patients underwent a preoperative scintigraphic baseline study and a
postoperative scintigraphic follow-up after autologous lymphatic vessel grafting.
The mean time period from the baseline study to the date of microsurgical lymph
vessel transplantation was 3.5 months (median 2.5 months). The scintigraphic
follow-up was performed 48.6 months (median 57.8 months) following
transplantation. In all patients the postoperative TI was very close to the TI
calculated in the preoperative baseline scintigraphy, and all TIs were within the
normal range (TI<10). The absolute value of deviation of pre- vs. post-operative
transport indices was calculated to be 0.2 on average (maximum 0.4). CONCLUSIONS:
The results show that microsurgical transfer of lymph vessel grafts is possible
without compromising lymphatic drainage of the donor limb if safety precautions
are taken into account.
PMID- 26562010
TI - A FRET-based ratiometric two-photon fluorescent probe for dual-channel imaging of
nitroxyl in living cells and tissues.
AB - A FRET-based two-photon fluorescent probe, , which exhibited a fast and high
selective ratiometric response to nitroxyl, was first proposed. was successfully
applied to two-photon dual-channel imaging of nitroxyl in living cells and
tissues with less cross-talk between channels and satisfactory deep-tissue
imaging depth.
PMID- 26562011
TI - Interferon-gamma Inhibits Ebola Virus Infection.
AB - Ebola virus outbreaks, such as the 2014 Makona epidemic in West Africa, are
episodic and deadly. Filovirus antivirals are currently not clinically available.
Our findings suggest interferon gamma, an FDA-approved drug, may serve as a novel
and effective prophylactic or treatment option. Using mouse-adapted Ebola virus,
we found that murine interferon gamma administered 24 hours before or after
infection robustly protects lethally-challenged mice and reduces morbidity and
serum viral titers. Furthermore, we demonstrated that interferon gamma profoundly
inhibits Ebola virus infection of macrophages, an early cellular target of
infection. As early as six hours following in vitro infection, Ebola virus RNA
levels in interferon gamma-treated macrophages were lower than in infected,
untreated cells. Addition of the protein synthesis inhibitor, cycloheximide, to
interferon gamma-treated macrophages did not further reduce viral RNA levels,
suggesting that interferon gamma blocks life cycle events that require protein
synthesis such as virus replication. Microarray studies with interferon gamma
treated human macrophages identified more than 160 interferon-stimulated genes.
Ectopic expression of a select group of these genes inhibited Ebola virus
infection. These studies provide new potential avenues for antiviral targeting as
these genes that have not previously appreciated to inhibit negative strand RNA
viruses and specifically Ebola virus infection. As treatment of interferon gamma
robustly protects mice from lethal Ebola virus infection, we propose that
interferon gamma should be further evaluated for its efficacy as a prophylactic
and/or therapeutic strategy against filoviruses. Use of this FDA-approved drug
could rapidly be deployed during future outbreaks.
PMID- 26562012
TI - Heterologous Expression of Mannanase and Developing a New Reporter Gene System in
Lactobacillus casei and Escherichia coli.
AB - Reporter gene systems are useful for studying bacterial molecular biology,
including the regulation of gene expression and the histochemical analysis of
protein products. Here, two genes, beta-1,4-mannanase (manB) from Bacillus
pumilus and beta-glucuronidase (gusA) from Escherichia coli K12, were cloned into
the expression vector pELX1. The expression patterns of these reporter genes in
Lactobacillus casei were investigated by measuring their enzymatic activities and
estimating their recombinant protein yields using western blot analysis. Whereas
mannanase activity was positively correlated with the accumulation of ManB during
growth, GusA activity was not; western blot analysis indicated that while the
amount of GusA protein increased during later growth stages, GusA activity
gradually decreased, indicating that the enzyme was inactive during cell growth.
A similar trend was observed in E. coli JM109. We chose to use the more stable
mannanase gene as the reporter to test secretion expression in L. casei. Two
pELX1-based secretion vectors were constructed: one carried the signal peptide of
the unknown secretion protein Usp45 from Lactococcus lactis (pELSH), and the
other contained the full-length SlpA protein from the S-layer of L. acidophilus
(pELWH). The secretion of ManB was detected in the supernatant of the pELSH-ManB
transformants and in the S-layer of the cell surface of the pELWH-ManB
transformants. This is the first report demonstrating that the B. pumilus manB
gene is a useful reporter gene in L. casei and E.coli.
PMID- 26562013
TI - Optimizing the Detection of Wakeful and Sleep-Like States for Future
Electrocorticographic Brain Computer Interface Applications.
AB - Previous studies suggest stable and robust control of a brain-computer interface
(BCI) can be achieved using electrocorticography (ECoG). Translation of this
technology from the laboratory to the real world requires additional methods that
allow users operate their ECoG-based BCI autonomously. In such an environment,
users must be able to perform all tasks currently performed by the experimenter,
including manually switching the BCI system on/off. Although a simple task, it
can be challenging for target users (e.g., individuals with tetraplegia) due to
severe motor disability. In this study, we present an automated and practical
strategy to switch a BCI system on or off based on the cognitive state of the
user. Using a logistic regression, we built probabilistic models that utilized
sub-dural ECoG signals from humans to estimate in pseudo real-time whether a
person is awake or in a sleep-like state, and subsequently, whether to turn a BCI
system on or off. Furthermore, we constrained these models to identify the
optimal anatomical and spectral parameters for delineating states. Other methods
exist to differentiate wake and sleep states using ECoG, but none account for
practical requirements of BCI application, such as minimizing the size of an ECoG
implant and predicting states in real time. Our results demonstrate that, across
4 individuals, wakeful and sleep-like states can be classified with over 80%
accuracy (up to 92%) in pseudo real-time using high gamma (70-110 Hz) band
limited power from only 5 electrodes (platinum discs with a diameter of 2.3 mm)
located above the precentral and posterior superior temporal gyrus.
PMID- 26562014
TI - The Metabolomic Profile of Spent Culture Media from Day-3 Human Embryos Cultured
under Low Oxygen Tension.
AB - Despite efforts made to improve the in vitro embryo culture conditions used
during assisted reproduction procedures, human embryos must adapt to different in
vitro oxygen concentrations and the new metabolic milieu provided by the diverse
culture media used for such protocols. It has been shown that the embryo culture
environment can affect not only cellular metabolism, but also gene expression in
different species of mammalian embryos. Therefore we wanted to compare the
metabolic footprint left by human cleavage-stage embryos under two types of
oxygen atmospheric culture conditions (6% and 20% O2). The spent culture media
from 39 transferred and implanted embryos from a total of 22 patients undergoing
egg donation treatment was analyzed; 23 embryos came from 13 patients in the 6%
oxygen concentration group, and 16 embryos from 9 patients were used in the 20%
oxygen concentration group. The multivariate statistics we used in our analysis
showed that human cleavage-stage embryos grown under both types of oxygen
concentration left a similar metabolic fingerprint. We failed to observe any
change in the net depletion or release of relevant analytes, such as glucose and
especially fatty acids, by human cleavage-stage embryos under either type of
culture condition. Therefore it seems that low oxygen tension during embryo
culture does not alter the global metabolism of human cleavage-stage embryos.
PMID- 26562016
TI - Generating Evidence to Improve the Response to Neglected Diseases: How
Operational Research in a Medecins Sans Frontieres Buruli Ulcer Treatment
Programme Informed International Management Guidance.
PMID- 26562015
TI - Multiple Introduction and Naturally Occuring Drug Resistance of HCV among HIV
Infected Intravenous Drug Users in Yunnan: An Origin of China's HIV/HCV
Epidemics.
AB - BACKGROUND: The human immunodeficiency virus 1 (HIV-1) epidemic in China
historically stemmed from intravenous drug users (IDUs) in Yunnan. Due to a
shared transmission route, hepatitis C virus (HCV)/HIV-1 co-infection is common.
Here, we investigated HCV genetic characteristics and baseline drug resistance
among HIV-infected IDUs in Yunnan. METHODS: Blood samples of 432 HIV-1/HCV co
infected IDUs were collected from January to June 2014 in six prefectures of
Yunnan Province. Partial E1E2 and NS5B genes were sequenced. Phylogenetic,
evolutionary and genotypic drug resistance analyses were performed. RESULTS:
Among the 293 specimens successfully genotyped, seven subtypes were identified,
including subtypes 3b (37.9%, 111/293), 3a (21.8%, 64/293), 6n (14.0%, 41/293),
1b (10.6%, 31/293), 1a (8.2%, 24/293), 6a (5.1%, 15/293) and 6u (2.4%, 7/293).
The distribution of HCV subtypes was mostly related to geographic location.
Subtypes 3b, 3a, and 6n were detected in all six prefectures, however, the other
four subtypes were detected only in parts of the six prefectures. Phylogeographic
analyses indicated that 6n, 1a and 6u originated in the western prefecture
(Dehong) and spread eastward and showed genetic relatedness with those detected
in Burmese. However, 6a originated in the southeast prefectures (Honghe and
Wenshan) bordering Vietnam and was transmitted westward. These subtypes exhibited
different evolutionary rates (between 4.35*10-4 and 2.38*10-3 substitutions site
1 year-1) and times of most recent common ancestor (tMRCA, between 1790.3 and
1994.6), suggesting that HCV was multiply introduced into Yunnan. Naturally
occurring resistance-associated mutations (C316N, A421V, C445F, I482L, V494A, and
V499A) to NS5B polymerase inhibitors were detected in direct-acting antivirals
(DAAs)-naive IDUs. CONCLUSION: This work reveals the temporal-spatial
distribution of HCV subtypes and baseline HCV drug resistance among HIV-infected
IDUs in Yunnan. The findings enhance our understanding of the characteristics and
evolution of HCV in IDUs and are valuable for developing HCV prevention and
management strategies for this population.
PMID- 26562017
TI - Trunk Muscle Activation at the Initiation and Braking of Bilateral Shoulder
Flexion Movements of Different Amplitudes.
AB - The aim of this study was to investigate if trunk muscle activation patterns
during rapid bilateral shoulder flexions are affected by movement amplitude.
Eleven healthy males performed shoulder flexion movements starting from a
position with arms along sides (0 degrees ) to either 45 degrees , 90 degrees or
180 degrees . EMG was measured bilaterally from transversus abdominis (TrA),
obliquus internus (OI) with intra-muscular electrodes, and from rectus abdominis
(RA), erector spinae (ES) and deltoideus with surface electrodes. 3D kinematics
was recorded and inverse dynamics was used to calculate the reactive linear
forces and torque about the shoulders and the linear and angular impulses. The
sequencing of trunk muscle onsets at the initiation of arm movements was the same
across movement amplitudes with ES as the first muscle activated, followed by
TrA, RA and OI. All arm movements induced a flexion angular impulse about the
shoulders during acceleration that was reversed during deceleration. Increased
movement amplitude led to shortened onset latencies of the abdominal muscles and
increased level of activation in TrA and ES. The activation magnitude of TrA was
similar in acceleration and deceleration where the other muscles were specific to
acceleration or deceleration. The findings show that arm movements need to be
standardized when used as a method to evaluate trunk muscle activation patterns
and that inclusion of the deceleration of the arms in the analysis allow the
study of the relationship between trunk muscle activation and direction of
perturbing torque during one and the same arm movement.
PMID- 26562018
TI - A Second Look at the Association between Gender and Mortality on Antiretroviral
Therapy.
AB - OBJECTIVE: We assessed the association between gender and mortality on
antiretroviral therapy (ART) using identical models with and without sex-specific
categories for weight and hemoglobin. DESIGN: Cohort study of adult patients on
ART. SETTING: GHESKIO Clinic in Port-au-Prince, Haiti. PARTICIPANTS: 4,717 ART
naive adult patients consecutively enrolled on ART at GHESKIO from 2003 to 2008.
MAIN OUTCOME MEASURE: Mortality on ART; multivariable analyses were conducted
with and without sex-specific categories for weight and hemoglobin. RESULTS: In
Haiti, male gender was associated with mortality (OR 1.61; 95% CI: 1.30-2.00) in
multivariable analyses with hemoglobin and weight included as control variables,
but not when sex-specific interactions with hemoglobin and weight were used.
CONCLUSIONS: If sex-specific categories are omitted, multivariable analyses
indicate a higher risk of mortality for males vs. females of the same weight and
hemoglobin. However, because males have higher normal values for weight and
hemoglobin, the males in this comparison would generally have poorer health
status than the females. This may explain why gender differences in mortality are
sometimes observed after controlling for differences in baseline variables when
gender-specific interactions with weight and hemoglobin are omitted.
PMID- 26562019
TI - Seasonal Shifts in Diet and Gut Microbiota of the American Bison (Bison bison).
AB - North American bison (Bison bison) are becoming increasingly important to both
grassland management and commercial ranching. However, a lack of quantitative
data on their diet constrains conservation efforts and the ability to predict
bison effects on grasslands. In particular, we know little about the seasonality
of the bison diet, the degree to which bison supplement their diet with eudicots,
and how changes in diet influence gut microbial communities, all of which play
important roles in ungulate performance. To address these knowledge gaps, we
quantified seasonal patterns in bison diet and gut microbial community
composition for a bison herd in Kansas using DNA sequencing-based analyses of
both chloroplast and microbial DNA contained in fecal matter. Across the 11
sampling dates that spanned 166 days, we found that diet shifted continuously
over the growing season, allowing bison to take advantage of the seasonal
availability of high-protein plant species. Bison consumed more woody shrubs in
spring and fall than in summer, when forb and grass intake predominated. In
examining gut microbiota, the bacterial phylum Tenericutes shifted significantly
in relative abundance over the growing season. This work suggests that North
American bison can continuously adjust their diet with a high reliance on non
grasses throughout the year. In addition, we find evidence for seasonal patterns
in gut community composition that are likely driven by the observed dietary
changes.
PMID- 26562021
TI - Correction: DAPIT Over-Expression Modulates Glucose Metabolism and Cell Behaviour
in HEK293T Cells.
PMID- 26562020
TI - SNPase-ARMS qPCR: Ultrasensitive Mutation-Based Detection of Cell-Free Tumor DNA
in Melanoma Patients.
AB - Cell-free circulating tumor DNA in the plasma of cancer patients has become a
common point of interest as indicator of therapy options and treatment response
in clinical cancer research. Especially patient- and tumor-specific single
nucleotide variants that accurately distinguish tumor DNA from wild type DNA are
promising targets. The reliable detection and quantification of these single-base
DNA variants is technically challenging. Currently, a variety of techniques is
applied, with no apparent "gold standard". Here we present a novel qPCR protocol
that meets the conditions of extreme sensitivity and specificity that are
required for detection and quantification of tumor DNA. By consecutive
application of two polymerases, one of them designed for extreme base
specificity, the method reaches unprecedented sensitivity and specificity. Three
qPCR assays were tested with spike-in experiments, specific for point mutations
BRAF V600E, PTEN T167A and NRAS Q61L of melanoma cell lines. It was possible to
detect down to one copy of tumor DNA per reaction (Poisson distribution), at a
background of up to 200 000 wild type DNAs. To prove its clinical applicability,
the method was successfully tested on a small cohort of BRAF V600E positive
melanoma patients.
PMID- 26562022
TI - Quantifying Integrated Proteomic Responses to Iron Stress in the Globally
Important Marine Diazotroph Trichodesmium.
AB - Trichodesmium is a biogeochemically important marine cyanobacterium, responsible
for a significant proportion of the annual 'new' nitrogen introduced into the
global ocean. These non-heterocystous filamentous diazotrophs employ a
potentially unique strategy of near-concurrent nitrogen fixation and oxygenic
photosynthesis, potentially burdening Trichodesmium with a particularly high iron
requirement due to the iron-binding proteins involved in these processes. Iron
availability may therefore have a significant influence on the biogeography of
Trichodesmium. Previous investigations of molecular responses to iron stress in
this keystone marine microbe have largely been targeted. Here a holistic approach
was taken using a label-free quantitative proteomics technique (MSE) to reveal a
sophisticated multi-faceted proteomic response of Trichodesmium erythraeum IMS101
to iron stress. Increased abundances of proteins known to be involved in
acclimation to iron stress and proteins known or predicted to be involved in iron
uptake were observed, alongside decreases in the abundances of iron-binding
proteins involved in photosynthesis and nitrogen fixation. Preferential loss of
proteins with a high iron content contributed to overall reductions of 55-60% in
estimated proteomic iron requirements. Changes in the abundances of iron-binding
proteins also suggested the potential importance of alternate photosynthetic
pathways as Trichodesmium reallocates the limiting resource under iron stress.
Trichodesmium therefore displays a significant and integrated proteomic response
to iron availability that likely contributes to the ecological success of this
species in the ocean.
PMID- 26562024
TI - Identification of BRAF Kinase Domain Duplications Across Multiple Tumor Types and
Response to RAF Inhibitor Therapy.
PMID- 26562023
TI - Polyglutamylated Tubulin Binding Protein C1orf96/CSAP Is Involved in Microtubule
Stabilization in Mitotic Spindles.
AB - The centrosome-associated C1orf96/Centriole, Cilia and Spindle-Associated Protein
(CSAP) targets polyglutamylated tubulin in mitotic microtubules (MTs). Loss of
CSAP causes critical defects in brain development; however, it is unclear how
CSAP association with MTs affects mitosis progression. In this study, we explored
the molecular mechanisms of the interaction of CSAP with mitotic spindles. Loss
of CSAP caused MT instability in mitotic spindles and resulted in mislocalization
of Nuclear protein that associates with the Mitotic Apparatus (NuMA), with
defective MT dynamics. Thus, CSAP overload in the spindles caused extensive MT
stabilization and recruitment of NuMA. Moreover, MT stabilization by CSAP led to
high levels of polyglutamylation on MTs. MT depolymerization by cold or
nocodazole treatment was inhibited by CSAP binding. Live-cell imaging analysis
suggested that CSAP-dependent MT-stabilization led to centrosome-free MT aster
formation immediately upon nuclear envelope breakdown without gamma-tubulin. We
therefore propose that CSAP associates with MTs around centrosomes to stabilize
MTs during mitosis, ensuring proper bipolar spindle formation and maintenance.
PMID- 26562025
TI - Perlecan Diversely Regulates the Migration and Proliferation of Distinct Cell
Types in vitro.
AB - Perlecan is a multifunctional component of the extracellular matrix. It shows
different effects on distinct cell types, and therefore it is thought to show
potential for therapies targeting multiple cell types. However, the full range of
multifunctionality of perlecan remains to be elucidated. We cultured various cell
types, which were derived from epithelial/endothelial, connective and muscle
tissues, in the presence of either antiserum against perlecan or exogenous
perlecan, and examined the effects of perlecan on cell migration and
proliferation. Cell migration was determined using a scratch assay. Blocking of
perlecan by anti-perlecan antiserum inhibited the migration of vascular
endothelial cells (VECs) and bone marrow-derived mesenchymal stem cells, and
exogenous perlecan added to the culture medium promoted the migration of these
cell types. The migration of other cell types was inhibited or was not promoted
by exogenous perlecan. Cell proliferation was measured using a water-soluble
tetrazolium dye. When cells were cultured at low densities, perlecan blocking
inhibited the proliferation of VECs, and exogenous perlecan promoted the
proliferation of keratinocytes. In contrast, the proliferation of fibroblasts,
pre-adipocytes and vascular smooth muscle cells cultured at low densities was
inhibited by exogenous perlecan. When cells were cultured at high densities,
perlecan blocking promoted the proliferation of most cell types, with the
exception of skeletal system-derived cells (chondrocytes and osteoblasts), which
were inhibited by exogenous perlecan. Our results provide an overview of the
multiple functions of perlecan in various cell types, and implicate a potential
role of perlecan to inhibit undesirable activities, such as fibrosis, obesity and
intimal hyperplasia.
PMID- 26562026
TI - Possible Gender-Related Modulation by the ROCK1 Gene in Colorectal Cancer
Susceptibility.
AB - AIM: In view of accumulating evidence supporting a pivotal role of the Rho/ROCK
pathway in cancer, we investigated Rho-kinase polymorphisms as potential
susceptibility factors in colorectal cancer (CRC) in a representative sample of
the Italian population. METHODS: DNA obtained from the peripheral blood samples
of 137 CRC patients and 141 healthy controls was genotyped for four ROCK1
(rs35996865; rs73963110; rs2127958; rs288980) and five ROCK2 (rs12692437;
rs7563468; rs35768389; rs17463896; rs16857265) selected single nucleotide
polymorphisms. RESULTS: None of the allelic variants of the nine selected markers
was associated with the occurrence of CRC or with the development of regional
lymph node metastasis. By contrast, the ROCK1 rs35996865 G variant allele was
significantly more frequent in male patients (p = 0.028) than in the control
group. CONCLUSION: This finding is, at present, the first that points to a
possible gender-related modulation by the ROCK1 gene in CRC susceptibility.
PMID- 26562027
TI - CD10 Immunohistochemical Expression in Apocrine Lesions of the Breast.
AB - OBJECTIVE: In the breast, CD10 is expressed by myoepithelial cells (MECs), and
apocrine metaplasia has also been mentioned as being positive with this marker.
Apocrine lesions have been explored for the expression of CD10. METHODS: The
apocrine lesions studied included 11 cysts, 6 cases of apocrine adenosis, 2 of
apocrine metaplasia or hyperplasia in papilloma, 13 ductal carcinomas in situ
(DCIS) and invasive carcinomas (14 ductal and 4 lobular). RESULTS: Benign
apocrine lesions showed complete or partial luminal CD10 staining, although most
cases included parts without staining, and 2 lesions were completely negative.
The MECs were often but not always positive. Nine of the 13 cases of apocrine
DCIS displayed no luminal staining, but 4 demonstrated very focal luminal
positivity. The MECs around the DCIS showed a spectrum of staining from nil to
strong and complete. Only 4 invasive carcinomas demonstrated luminal/membranous
staining. Cytoplasmic CD10 positivity was seen focally in 4 invasive cancers and
in 3 DCIS. CONCLUSION: CD10 positivity is luminal/membranous in most benign
apocrine lesions, the staining being nonuniversal and sometimes focal. Analogous
staining in apocrine malignancies seems rarer in DCIS and even rarer in invasive
apocrine carcinomas, but atypical cytoplasmic positivity may also occur. CD10 is
not an ideal myoepithelial marker in apocrine lesions.
PMID- 26562028
TI - A preliminary study of paraoxonase-1 in infected patients with an indwelling
central venous catheter.
AB - OBJECTIVES: Identification of biochemical markers to diagnose bloodstream
infections in patients with a central venous catheter (CVC) inserted is an active
research pursuit. Paraoxonase-1 (PON1) is an enzyme participating in the innate
immune system protecting against toxic substances and infectious agents. We
investigated the relationships between serum PON1 alterations and the
characteristics of infection in a group of patients with a CVC implant. METHODS:
Patients (n=114) who had had an inserted CVC removed because of infection or
because the usefulness was at an end, and 407 healthy volunteers were recruited.
In all participants we measured serum PON1 lactonase and paraoxonase activities,
PON1 concentration and genetic polymorphisms, together with levels of the
chemokine (C-C motif) ligand 2 (CCL2), procalcitonin and C-reactive protein
(CRP). RESULTS: Patients with an acute concomitant infection (ACI) had higher
CCL2, CRP and procalcitonin concentrations than the control group, together with
lower paraoxonase and lactonase activities and specific activities. The areas
under the curve of the receiver operating characteristic plots for paraoxonase
and lactonase specific activities in the discrimination between patients with or
without and ACI were 0.81 (0.73-0.89) and 0.81 (0.71-0.89), respectively,
indicating the high diagnostic accuracy of these parameters. CONCLUSION: This
preliminary study suggests that the measurement of PON1 may be useful as a tool
for the diagnosis of ACI in patients with an indwelling CVC.
PMID- 26562029
TI - Sodium interference in the determination of urinary aldosterone.
AB - OBJECTIVES: Primary hyperaldosteronism (PHA) is one of the most common endocrine
forms of secondary hypertension. Among the most used confirmatory tests for PHA
is urinary aldosterone determination after oral sodium loading test. The primary
aim of our study was to investigate if sodium concentrations interfere with
urinary aldosterone in an automated competitive immunoassay (Liaison(r)) as well
as to verify the manufacturer's specifications. DESIGN AND METHODS: 24-hr urine
samples were collected and stored frozen until assayed. Two pools at low and high
aldosterone concentrations were prepared. Verification of performance for
precision was tested according to Clinical and Laboratory Standards Institute
(CLSI) document EP15-A2 and interference with increasing concentrations of NaCl
according to CLSI EP7-A2. RESULTS: The assay met the quality specifications
according to optimal biological variation. Our results show that sodium
concentrations up to 200mmol/L do not interfere on urinary aldosterone
quantification, but sodium concentrations above 486mmol/L negatively interfere
with the test. CONCLUSIONS: The Liaison(r) automated method is useful for
aldosterone determination in the PHA confirmatory test, but interferences with
NaCl may occur. It is therefore recommended to determine urinary NaCl before
measuring urinary aldosterone to avoid falsely low results.
PMID- 26562030
TI - Artificial algae algorithm with multi-light source for numerical optimization and
applications.
AB - Artificial algae algorithm (AAA), which is one of the recently developed bio
inspired optimization algorithms, has been introduced by inspiration from living
behaviors of microalgae. In AAA, the modification of the algal colonies, i.e.
exploration and exploitation is provided with a helical movement. In this study,
AAA was modified by implementing multi-light source movement and artificial algae
algorithm with multi-light source (AAAML) version was established. In this new
version, we propose the selection of a different light source for each dimension
that is modified with the helical movement for stronger balance between
exploration and exploitation. These light sources have been selected by
tournament method and each light source are different from each other. This gives
different solutions in the search space. The best of these three light sources
provides orientation to the better region of search space. Furthermore, the
diversity in the source space is obtained with the worst light source. In
addition, the other light source improves the balance. To indicate the
performance of AAA with new proposed operators (AAAML), experiments were
performed on two different sets. Firstly, the performance of AAA and AAAML was
evaluated on the IEEE-CEC'13 benchmark set. The second set was real-world
optimization problems used in the IEEE-CEC'11. To verify the effectiveness and
efficiency of the proposed algorithm, the results were compared with other state
of-the-art hybrid and modified algorithms. Experimental results showed that the
multi-light source movement (MLS) increases the success of the AAA.
PMID- 26562031
TI - Stimulus edge effects in the measurement of macular pigment using heterochromatic
flicker photometry.
AB - Heterochromatic flicker photometry (HFP) is the most common technique of
measuring macular pigment optical density (MPOD). Some data strongly suggest that
HFP samples MPOD specifically at the edge of center-fixated circular stimuli.
Other data have led to the conclusion that HFP samples over the entire area of
the stimulus. To resolve this disparity, MPOD was measured using HFP and a series
of solid discs of varying radii (0.25 to 2.0 deg) and with thin annuli
corresponding to the edge of those discs. MPOD assessed with the two methods
yielded excellent correspondence and linearity: Y=0.01+0.98X , r=0.96. A second
set of experiments showed that if a disc stimulus is adjusted for no-flicker (the
standard procedure) and simply reduced in size, no flicker is observed despite
the higher level of MPOD in the smaller area. Taken together, these results
confirm that MPOD is determined at the edge of the measuring stimulus when using
stimulus sizes in the range that is in dispute (up to a radius of 0.75 deg). The
basis for this edge effect can be explained by quantitative differences in the
spatial-temporal properties of the visual field as a function of angular distance
from the fixation point.
PMID- 26562032
TI - Characterization of the cellular response triggered by gold nanoparticle-mediated
laser manipulation.
AB - Laser-based transfection techniques have proven high applicability in several
cell biologic applications. The delivery of different molecules using these
techniques has been extensively investigated. In particular, new high-throughput
approaches such as gold nanoparticle-mediated laser transfection allow efficient
delivery of antisense molecules or proteins into cells preserving high cell
viabilities. However, the cellular response to the perforation procedure is not
well understood. We herein analyzed the perforation kinetics of single cells
during resonant gold nanoparticle-mediated laser manipulation with an 850-ps
laser system at a wavelength of 532 nm. Inflow velocity of propidium iodide into
manipulated cells reached a maximum within a few seconds. Experiments based on
the inflow of FM4-64 indicated that the membrane remains permeable for a few
minutes for small molecules. To further characterize the cellular response
postmanipulation, we analyzed levels of oxidative heat or general stress.
Although we observed an increased formation of reactive oxygen species by an
increase of dichlorofluorescein fluorescence, heat shock protein 70 was not
upregulated in laser-treated cells. Additionally, no evidence of stress granule
formation was visible by immunofluorescence staining. The data provided in this
study help to identify the cellular reactions to gold nanoparticle-mediated laser
manipulation.
PMID- 26562033
TI - Immunoassay or LC-MS/MS for the measurement of salivary cortisol in children?
AB - BACKGROUND: Dysregulation of the adrenal cortex has been assessed with
measurement of salivary cortisol. So far salivary cortisol is routinely measured
with immunoassay (IA). However, liquid chromatography-tandem mass spectrometry
(MS) is known to offer better specificity. We compared the concentrations of
salivary cortisol measured by MS and IA at basal and stress induced conditions
and evaluated reasons for the difference in method-dependent cortisol results.
METHODS: Saliva samples (n=2703) were collected from 169 children (age range: 8
14 years; 81 healthy children; 55 with internalizing and 33 with externalizing
disorders) under circadian conditions and during the Trier Social Stress Test for
Children (TSST-C). Biochemical analyses were performed with MS for cortisol and
cortisone, IA (IBL, RE62011) for cortisol, and enzyme kinetic assay for alpha
amylase. RESULTS: MS and IA showed mostly comparable results for circadian
activity and TSST-C response with similar statistical power. However, IA measured
cortisol concentrations about 2.39-fold higher than MS. We found that this
difference in measured values between MS and IA was mainly due to different
standardization of IA compared to MS. In addition, at cortisol IA concentration
below 5 nmol/L, cross-reactivity with cortisone was found to contribute to the
lower concordance between MS and IA. CONCLUSIONS: Immunoassay and LC-MS/MS were
largely comparable in the interpretation of salivary cortisol dynamics in stress
research. But the IA method revealed a restricted accuracy in the measuring range
below 5 nmol/L.
PMID- 26562034
TI - Reference intervals of plasma homoarginine from the German Gutenberg Health
Study.
AB - BACKGROUND: Low circulating homoarginine has been associated with adverse
cardiovascular (CV) outcome and mortality in patients at risk and in the general
population. The present study aimed to define plasma homoarginine reference
intervals from a representative population sample to improve risk stratification
between healthy individuals and individuals at risk. METHODS: We determined age-
and sex-specific reference intervals for circulating plasma homoarginine in a
subgroup of 786 healthy participants (no CV disease or risk factors) of the
Gutenberg Health Study. Homoarginine concentrations were measured using a
validated liquid chromatography-tandem mass spectrometry method. RESULTS: Median
EDTA plasma homoarginine concentration was 1.88 [25th; 75th percentile, 1.47;
2.41] MUmol/L, with lower concentrations in women (1.77 [1.38; 2.26] MUmol/L)
than in men (2.01 [1.61; 2.56] MUmol/L; p<0.001). Sex-specific 2.5th and 97.5th
percentiles of reference intervals were 0.84 and 3.89 MUmol/L in women and 0.98
and 4.10 MUmol/L in men, respectively. Homoarginine concentrations also depended
on age and single nucleotide polymorphisms related to the L-arginine:glycine
amidinotransferase gene. CONCLUSIONS: We provide plasma homoarginine reference
intervals in men and women of the general population. The determination of
homoarginine levels might be favorable for individual risk stratification.
PMID- 26562035
TI - Platelet aggregation in response to ADP is highly variable in normal donors and
patients on anti-platelet medication.
AB - BACKGROUND: P2Y12 inhibitors are indicated in patients following percutaneous
coronary intervention. Several studies have demonstrated that high on treatment
platelet reactivity is correlated with outcomes yet prospective studies of guided
therapy have failed to show benefit. There is a paucity of studies on the
platelet aggregation response to ADP before P2Y12 therapy is started. The aim of
this study was to characterize platelet responses to 20 MUM ADP by light
transmission aggregometry (LTA) in a homogenous population. METHODS: Platelet
aggregation was assessed in 201 patients on dual antiplatelet therapy, 98
patients on aspirin alone and 47 normal, healthy volunteers free from anti
platelet medication. RESULTS: Consensus guidelines suggest that a platelet
aggregation response in response to the agonist ADP of <57% is an adequate
therapeutic response to P2Y12 inhibition. Seven healthy donors and 38 patients
taking aspirin only had aggregation responses below 57%. CONCLUSIONS: The results
of our study demonstrate that 15% of normal donors and 38% of patients taking
aspirin only would be classified as having a therapeutic response to P2Y12
inhibition using current guidelines.
PMID- 26562036
TI - Clinical laboratories have a critical role in test strip lot management in
glucose point-of-care testing.
PMID- 26562037
TI - Added value of indirect immunofluorescence intensity of automated antinuclear
antibody testing in a secondary hospital setting.
PMID- 26562038
TI - Impact of the routine implementation of automated indirect immunofluorescence
antinuclear antibody analysis: 1 year of experience.
PMID- 26562039
TI - Misidentification in laboratory medicine and diagnostic process: a neglected
problem calling for action.
PMID- 26562040
TI - A replacement for the testosterone "sex gap".
PMID- 26562041
TI - Biological variation of high sensitivity cardiac troponin-T in stable dialysis
patients: implications for clinical practice.
PMID- 26562042
TI - Suppressor of cytokine signaling 2 (SOCS2) deletion protects against multiple low
dose streptozotocin-induced type 1 diabetes in adult male mice.
AB - BACKGROUND: Diabetes type 1 is characterized by the failure of beta cells to
produce insulin. Suppressor of cytokine signaling (SOCS) proteins are important
regulators of the Janus kinase/signal transducer and activator of transcription
(JAK-STAT) pathway. Previous studies have shown that GH can prevent the
development of type I diabetes in mice and that SOCS2 deficiency mimics a state
of increased GH sensitivity. METHODOLOGY: The elevated sensitivity of SOCS2-/-
mice to GH and possibly to PRL was the rationale to analyze the effects of
multiple low dose streptozotocin (MLDSTZ)-induced diabetes in SOCS2-/- mice.
RESULTS: We show that 6-month-old SOCS2-/- mice, but not 2-month-old mice, were
less sensitive to MLDSTZ-induced diabetes, compared to controls. MLDSTZ treatment
induced glucose intolerance in both SOCS2+/+ and SOCS2-/- mice, as shown by
glucose tolerance tests, with SOCS2+/+ mice showing a more marked intolerance,
compared to SOCS2-/- mice. Furthermore, insulin tolerance tests showed that the
SOCS2-/- mice have an improved hypoglycemic response to exogenous insulin,
compared to SOCS2+/+ mice. Moreover, in isolated islets, lipotoxic effects on
insulin release could partly be overcome by ligands, which bind to GH or PRL
receptors. CONCLUSION: Knockdown of SOCS2 makes mice less sensitive to MLDSTZ.
These results are consistent with the proposal that elimination of SOCS2 in
pancreatic islets creates a state of beta-cell hypersensitivity to GH/PRL that
mimics events in pregnancy, and which is protective against MLDSTZ-induced type I
diabetes in mice. SOCS2-dependent control of beta-cell survival may be of
relevance to islet regeneration and survival in transplantation.
PMID- 26562043
TI - The association between lung cancer incidence and ambient air pollution in China:
A spatiotemporal analysis.
AB - BACKGROUND: China is experiencing more and more days of serious air pollution
recently, and has the highest lung cancer burden in the world. OBJECTIVES: To
examine the associations between lung cancer incidence and fine particles (PM2.5)
and ozone in China. METHODS: We used 75 communities' data of lung cancer
incidence from the National Cancer Registration of China from 1990 to 2009. The
annual concentrations of fine particles (PM2.5) and ozone at 0.1 degrees *0.1
degrees spatial resolution were generated by combing remote sensing, global
chemical transport models, and improvements in coverage of surface measurements.
A spatial age-period-cohort model was used to examine the relative risks of lung
cancer incidence associated with the air pollutants, after adjusting for impacts
of age, period, and birth cohort, sex, and community type (rural and urban) as
well as the spatial variation on lung cancer incidence. RESULTS: The relative
risks of lung cancer incidence related to a 10 ug/m(3) increase in 2-year average
PM2.5 were 1.055 (95% confidence interval (CI): 1.038, 1.072) for men, 1.149
(1.120, 1.178) for women, 1.060 (1.044, 1.075) for an urban communities, 1.037
(0.998, 1.078) for a rural population, 1.074 (1.052, 1.096) for people aged 30-65
years, and 1.111 (1.077, 1.146) for those aged over 75 years. Ozone also had a
significant association with lung cancer incidence. CONCLUSIONS: The increased
risks of lung cancer incidence were associated with PM2.5 and ozone air
pollution. Control measures to reduce air pollution would likely lower the future
incidence of lung cancer.
PMID- 26562044
TI - Impact of fetal and childhood mercury exposure on immune status in children.
AB - BACKGROUND: Mercury exposure have been shown to affect immune status in animals
as reflected by cytokine expression. It is unclear whether low levels of exposure
during fetal and/or childhood periods could impact on immune status in humans.
OBJECTIVES: To test the hypothesis that fetal and childhood mercury exposure is
associated with childhood cytokine profiles and to investigate whether childhood
selenium levels interact with any of the associations found. METHODS: Children
were recruited from a previously established birth cohort between the ages of 6-9
years for assessment and measurement of blood mercury, selenium and cytokine
profile (interleukin (IL)-4, IL-6, IL-8, IL-10, IL-13 and TNF-alpha).
Multivariable linear regression models were used to assess the adjusted
association of cord blood mercury concentration and current mercury
concentrations with levels of the cytokine levels. We tested whether the
association with current mercury level varied by current selenium level and cord
blood mercury level. RESULTS: IL-10 was negatively associated with current blood
mercury concentration. The effect was greatest in cases with low cord blood
mercury and low current selenium concentrations. None of the other cytokine
levels were associated with either cord blood or current blood mercury
concentrations, except that cord blood mercury was negatively associated with IL
6. CONCLUSIONS: Childhood mercury exposure was negatively associated with
childhood IL-10 levels. It is postulated that while selenium is protective, low
levels of fetal mercury exposure may increase the degree of this negative
association during childhood. Further studies into the clinical significance of
these findings are required.
PMID- 26562045
TI - The Role of Peer Support in Attaining Ideal Cardiovascular Health: Peer Pressure
and Prevention.
PMID- 26562046
TI - Generalizability of SPRINT Results to the U.S. Adult Population.
AB - BACKGROUND: In SPRINT (Systolic Blood Pressure Intervention Trial), a systolic
blood pressure (SBP) goal of <120 mm Hg resulted in lower cardiovascular disease
(CVD) risk compared with an SBP goal of <140 mm Hg. OBJECTIVES: The purpose of
this study was to estimate the prevalence, number, and characteristics of U.S.
adults meeting SPRINT eligibility criteria and determine the broader population
to whom SPRINT could be generalized. METHODS: We conducted a cross-sectional,
population-based study using data from the National Health and Nutrition
Examination Survey, 2007 to 2012. The SPRINT inclusion criteria were age >=50
years, SBP 130 to 180 mm Hg depending on the number of antihypertensive
medication classes being taken, and high CVD risk (history of coronary heart
disease, estimated glomerular filtration rate of 20 to 59 ml/min/1.73 m(2), 10
year CVD risk >=15%, or age >=75 years). Exclusion criteria were diabetes,
history of stroke, >1 g in 24 h of proteinuria daily, heart failure, estimated
glomerular filtration rate <20 ml/min/1.73 m(2), or receiving dialysis. Treated
hypertension was defined by self-reported use of medication to lower blood
pressure with >=1 class of antihypertensive medication identified through a pill
bottle review. RESULTS: Overall, 7.6% (95% confidence interval [CI]: 7.0% to
8.3%) or 16.8 million (95% CI: 15.7 to 17.8 million) U.S. adults, and 16.7% (95%
CI: 15.2% to 18.3%) or 8.2 million (95% CI: 7.6 to 8.8 million) adults with
treated hypertension met the SPRINT eligibility criteria. Among both the overall
U.S. population and adults with treated hypertension, the percentage meeting
SPRINT eligibility criteria increased with older age, was higher among males than
females, and was higher among non-Hispanic whites compared with non-Hispanic
blacks or Hispanics. Of U.S. adults eligible for SPRINT, 51.0% (95% CI: 47.8% to
54.1%) or 8.6 million (95% CI: 8.0 to 9.1 million) were not treated for
hypertension. CONCLUSIONS: A substantial percentage of U.S. adults meet the
eligibility criteria for SPRINT.
PMID- 26562047
TI - A Comprehensive Lifestyle Peer Group-Based Intervention on Cardiovascular Risk
Factors: The Randomized Controlled Fifty-Fifty Program.
AB - BACKGROUND: Cardiovascular diseases stem from modifiable risk factors. Peer
support is a proven strategy for many chronic illnesses. Randomized trials
assessing the efficacy of this strategy for global cardiovascular risk factor
modification are lacking. OBJECTIVES: This study assessed the hypothesis that a
peer group strategy would help improve healthy behaviors in individuals with
cardiovascular risk factors. METHODS: A total of 543 adults 25 to 50 years of age
with at least 1 risk factor were recruited; risk factors included hypertension
(20%), overweight (82%), smoking (31%), and physical inactivity (81%). Subjects
were randomized 1:1 to a peer group-based intervention group (IG) or a self
management control group (CG) for 12 months. Peer-elected leaders moderated
monthly meetings involving role-play, brainstorming, and activities to address
emotions, diet, and exercise. The primary outcome was mean change in a composite
score related to blood pressure, exercise, weight, alimentation, and tobacco
(Fuster-BEWAT score, 0 to 15). Multilevel models with municipality as a cluster
variable were applied to assess differences between groups. RESULTS:
Participants' mean age was 42 +/- 6 years, 71% were female, and they had a mean
baseline Fuster-BEWAT score of 8.42 +/- 2.35. After 1 year, the mean scores were
significantly higher in the IG (n = 277) than in the CG (n = 266) (IG mean score:
8.84; 95% confidence interval (CI): 8.37 to 9.32; CG mean score: 8.17; 95% CI:
7.55 to 8.79; p = 0.02). The increase in the overall score was significantly
larger in the IG compared with the CG (difference: 0.75; 95% CI: 0.32 to 1.18; p
= 0.02). The mean improvement in the individual components was uniformly greater
in the IG, with a significant difference for the tobacco component. CONCLUSIONS:
The peer group intervention had beneficial effects on cardiovascular risk
factors, with significant improvements in the overall score and specifically on
tobacco cessation. A follow-up assessment will be performed 1 year after the
final assessment reported here to determine long-term sustainability of the
improvements associated with peer group intervention. (Peer-Group-Based
Intervention Program [Fifty-Fifty]; NCT02367963).
PMID- 26562048
TI - Prenatal alcohol exposure inducing the apoptosis of mossy cells in hippocampus of
SMS2-/- mice.
AB - In order to understand the mechanisms of alcohol-induced neuroapoptosis through
the ceramide pathway, sphingomyelin synthase 2 knockout (SMS2-/-) mice were used
to make the prenatal alcohol exposure model, and the role of ceramide regulation
on alcohol-induced neuroapoptosis was studied in the offspring. Initially the
levels of serum sphingomyelin (SM) were detected with enzymatic method in P0 pups
after alcohol exposure in parents. Then the apoptosis of mossy cells in the
offspring hippocampus was investigated after prenatal alcohol exposure with
immunohistochemistry and TUNEL assay. Finally the expression of activated Caspase
8 and activated Caspase 3 in the offspring hippocampus was detected with Western
blot analysis. Our results showed that SM levels were down-regulated in a dose
dependent manner (p<0.05) after prenatal alcohol exposure in wild-type (WT) and
SMS2-/- pups. However, SM levels of serum in SMS2-/- pups were significantly
lower than that in WT pups (p<0.01). Furthermore, we found that mossy cells were
very sensitive to alcohol-induced neuroapoptosis. In both WT pups and SMS2-/-
pups, the number of apoptotic mossy cells in the hippocampus increased after
prenatal alcohol exposure in a dose dependent manner (p<0.05) and decreased with
the growing age. Compared with WT pups, the number of apoptotic mossy cells in
the hippocampus of SMS2-/- pups increased (p<0.05). Western blotting showed that
the expression of activated Caspase 8 and activated Caspase 3 of hippocampal
tissue in WT pups and SMS2-/- pups increases after prenatal alcohol exposure,
consistent with results from TUNEL assay and immunocytochemistry. Our study
suggests that mossy cells may be the easily attacked cells for fetal alcohol
spectrum disorder (FASD), and ceramide is involved in the alcohol-induced neural
apoptosis. The mechanism probably lies in the accumulated ceramide in SMS2 mice,
and the increase of activated Caspase 8 and Caspase 3 promotes alcohol-induced
neuroapoptosis.
PMID- 26562049
TI - Differential modulation of expression of nuclear receptor mediated genes by
tris(2-butoxyethyl) phosphate (TBOEP) on early life stages of zebrafish (Danio
rerio).
AB - As one substitute for phased-out brominated flame retardants (BFRs), tris(2
butoxyethyl) phosphate (TBOEP) is frequently detected in aquatic organisms.
However, knowledge about endocrine disrupting mechanisms associated with nuclear
receptors caused by TBOEP remained restricted to results from in vitro studies
with mammalian cells. In the study, results of which are presented here,
embryos/larvae of zebrafish (Danio rerio) were exposed to 0.02, 0.1 or 0.5MUM
TBOEP to investigate expression of genes under control of several nuclear hormone
receptors (estrogen receptors (ERs), androgen receptor (AR), thyroid hormone
receptor alpha (TRalpha), mineralocorticoid receptor (MR), glucocorticoid
receptor (GR), aryl hydrocarbon (AhR), peroxisome proliferator-activated receptor
alpha (PPARalpha), and pregnane*receptor (P*R)) pathways at 120hpf. Exposure to
0.5MUM TBOEP significantly (p<0.05, one-way analysis of variance) up-regulated
expression of estrogen receptors (ERs, er1, er2a, and er2b) genes and ER
associated genes (vtg4, vtg5, pgr, ncor, and ncoa3), indicating TBOEP modulates
the ER pathway. In contrast, expression of most genes (mr, 11betahsd, ube2i,and
adrb2b) associated with the mineralocorticoid receptor (MR) pathway were
significantly down-regulated. Furthermore, in vitro mammalian cell-based (MDA-kb2
and H4IIE-luc) receptor transactivation assays, were also conducted to
investigate possible agonistic or antagonistic effects on AR- and AhR-mediated
pathways. In mammalian cells, none of these pathways were affected by TBOEP at
the concentrations studied. Receptor-mediated responses (in vivo) and mammalian
cell lines receptor binding assay (in vitro) combined with published information
suggest that TBOEP can modulate receptor-mediated, endocrine process (in vivo/in
vitro), particularly ER and MR.
PMID- 26562050
TI - Reproductive toxicity of low level bisphenol A exposures in a two-generation
zebrafish assay: Evidence of male-specific effects.
AB - Bisphenol A (BPA), a high-volume chemical used to make polycarbonate plastic and
epoxy resins, is a ubiquitous contaminant in environment and human body. To
investigate the reproductive effects of long-term exposure to low concentrations
of BPA, a two-generation study was conducted using the aquatic model species of
zebrafish. Our findings revealed that exposure to 1nM (0.228MUg/L) BPA for
continuous two generations resulted in female-biased sex ratio in both F1 and F2
adult population, decreased sperm density, and decreased sperm quality as
measured by motility, velocity, ATP content and lipid peroxidation in F1 and F2
males. Females were less sensitive to BPA exposures than males as no adverse
effects were found in female gonads or gametes. Delayed hatching at 48hpf and
increased malformation and mortality were found in the offspring from BPA exposed
F2, but not F1 parents. Most importantly, the adverse effect on larval
development and survival from BPA exposed F2 parents was paternal-specific,
resulting mainly from BPA exposed males. Subsequent transcription analysis of F2
male gonads revealed dysregulated mitochondrial biogenesis and significant
activation of non-canonical Wnt/planar cell polarity and Wnt/Calcium signaling
pathways. Gene expression analysis of larvae from BPA exposed F2 parents showed
significant reduced expression of DNA methyltransferases such as dnmt1, dnmt3,
and dnmt5. In conclusion, low level BPA exposures for continuous two generations
not only affects sex ratio and sperm quantity/quality in F1 and F2 adults,
reproductive success in offspring from F2 parents, but also perturbs various
molecular pathways potentially contributing to these BPA induced male-specific
reproductive defects.
PMID- 26562051
TI - An in vitro screening with emerging contaminants reveals inhibition of
carboxylesterase activity in aquatic organisms.
AB - Pharmaceuticals and personal care products (PPCPs) form part of the new
generation of pollutants present in many freshwater and marine ecosystems.
Although environmental concentrations of these bioactive substances are low, they
cause sublethal effects (e.g., enzyme inhibition) in non-target organisms.
However, little is known on metabolism of PPCPs by non-mammal species. Herein, an
in vitro enzyme trial was performed to explore sensitivity of carboxylesterase
(CE) activity of aquatic organisms to fourteen PPCPs. The esterase activity was
determined in the liver of Mediterranean freshwater fish (Barbus meridionalis and
Squalius laietanus), coastal marine fish (Dicentrarchus labrax and Solea solea),
middle-slope fish (Trachyrhynchus scabrus), deep-sea fish (Alepocephalus
rostratus and Cataetix laticeps), and in the digestive gland of a decapod
crustacean (Aristeus antennatus). Results showed that 100MUM of the lipid
regulators simvastatin and fenofibrate significantly inhibited (30-80% of
controls) the CE activity of all target species. Among the personal care
products, nonylphenol and triclosan were strong esterase inhibitors in most
species (36-68% of controls). Comparison with literature data suggests that fish
CE activity is as sensitive to inhibition by some PPCPs as that of mammals,
although their basal activity levels are lower than in mammals. Pending further
studies on the interaction between PPCPs and CE activity, we postulate that this
enzyme may act as a molecular sink for certain PPCPs in a comparable way than
that described for the organophosphorus pesticides.
PMID- 26562052
TI - Modified intention-to-treat analysis did not bias trial results.
AB - OBJECTIVE: To investigate whether analysis of the modified intention-to-treat
(mITT) population with postrandomization exclusion of patients from analysis is
associated with biased estimates of treatment effect compared to the conservative
intention-to-treat (ITT) population. STUDY DESIGN AND SETTING: Placebo
controlled, blinded randomized trials on biological or targeted interventions for
rheumatoid arthritis were identified through a systematic search. Two authors
independently extracted data. A random-effects meta-analysis was used to combine
odds ratios as an expression of treatment effect and stratify according to the
different analysis populations. RESULTS: Seventy-two randomized trials were
included and analyzed (23,842 patients). Thirty trials analyzed the ITT
population, 37 analyzed an mITT population, and 5 trials had an unclear analysis
population. The treatment effect of active intervention compared to control, when
based on mITT, was comparable to ITT (odds ratio 3.76 [95% confidence interval
3.09, 4.57], and 3.47 [2.77, 4.34]; comparison P = 0.60). CONCLUSION: We found no
difference in the treatment effect between randomized trials using ITT and mITT
analyses populations. This suggests that the mITT approach in rheumatoid
arthritis trials investigating biological or targeted interventions does not
introduce bias compared to ITT.
PMID- 26562053
TI - Default network activation during episodic and semantic memory retrieval: A
selective meta-analytic comparison.
AB - It remains unclear whether and to what extent the default network subregions
involved in episodic memory (EM) and semantic memory (SM) processes overlap or
are separated from one another. This study addresses this issue through a
controlled meta-analysis of functional neuroimaging studies involving healthy
participants. Various EM and SM task paradigms differ widely in the extent of
default network involvement. Therefore, the issue at hand cannot be properly
addressed without some control for this factor. In this regard, this study
employs a two-stage analysis: a preliminary meta-analysis to select EM and SM
task paradigms that recruit relatively extensive default network regions and a
main analysis to compare the selected task paradigms. Based on a within-EM
comparison, the default network contributed more to recollection/familiarity
effects than to old/new effects, and based on a within-SM comparison, it
contributed more to word/pseudoword effects than to semantic/phonological
effects. According to a direct comparison of recollection/familiarity and
word/pseudoword effects, each involving a range of default network regions, there
were more overlaps than separations in default network subregions involved in
these two effects. More specifically, overlaps included the bilateral posterior
cingulate/retrosplenial cortex, left inferior parietal lobule, and left
anteromedial prefrontal regions, whereas separations included only the
hippocampal formation and the parahippocampal cortex region, which was unique to
recollection/familiarity effects. These results indicate that EM and SM retrieval
processes involving strong memory signals recruit extensive and largely
overlapping default network regions and differ mainly in distinct contributions
of hippocampus and parahippocampal regions to EM retrieval.
PMID- 26562054
TI - Event-related potentials in response to violations of content and temporal event
knowledge.
AB - Scripts that store knowledge of everyday events are fundamentally important for
managing daily routines. Content event knowledge (i.e., knowledge about which
events belong to a script) and temporal event knowledge (i.e., knowledge about
the chronological order of events in a script) constitute qualitatively different
forms of knowledge. However, there is limited information about each distinct
process and the time course involved in accessing content and temporal event
knowledge. Therefore, we analyzed event-related potentials (ERPs) in response to
either correctly presented event sequences or event sequences that contained a
content or temporal error. We found an N400, which was followed by a posteriorly
distributed P600 in response to content errors in event sequences. By contrast,
we did not find an N400 but an anteriorly distributed P600 in response to
temporal errors in event sequences. Thus, the N400 seems to be elicited as a
response to a general mismatch between an event and the established event model.
We assume that the expectancy violation of content event knowledge, as indicated
by the N400, induces the collapse of the established event model, a process
indicated by the posterior P600. The expectancy violation of temporal event
knowledge is assumed to induce an attempt to reorganize the event model in
working memory, a process indicated by the frontal P600.
PMID- 26562055
TI - Attentional focus on subjective interoceptive experience in patients with
fibromyalgia.
AB - OBJECTIVES: The hypervigilance model of pain perception states that patients with
fibromyalgia (FM) have an enhanced sensitivity to aversive and non-aversive
stimuli. Few studies have focused on enhanced interoceptive sensitivity in FM.
Therefore, the aim of the present study was to investigate spontaneous sensations
(SPS) in FM. DESIGN: SPS are those tingling, tickly and other kind of sensations
usually perceived on the skin during periods of rest and without any external
trigger. Therefore, we have investigated SPS by requiring participants to focus
attention on each hand. METHODS: Eighteen patients with a diagnosis of FM and 18
matched healthy participants had to direct their gaze toward the hand tested for
a period of 10s. Subsequently, they had to map and report the intensity, the
number and the qualitative properties of sensations arising spontaneously.
Finally, participants had to fill out questionnaires assessing cognitive and
affective status that may influence the interoceptive sensations feedback.
RESULTS: Patients with FM perceived SPS as significantly more intense than
controls did. Additionally, SPS were perceived by the FM group as occupying an
overall larger area on the hand than those reported by controls. Importantly,
entering scores of pain and catastrophism as covariates produced a relative
effect on the feeling of SPS. CONCLUSIONS: The outcome of this study supports the
generalized hypervigilance model, suggesting that patients with FM have a
perceptual style of amplification of non-aversive interoceptive stimulation,
modulated by pain and catastrophizing. This is discussed in relationship to
interoceptive awareness.
PMID- 26562056
TI - 3D rotating wall vessel and 2D cell culture of four veterinary virus pathogens: A
comparison of virus yields, portions of infectious particles and virus growth
curves.
AB - Only very few comparative studies have been performed that evaluate general
trends of virus growth under 3D in comparison with 2D cell culture conditions.
The aim of this study was to investigate differences when four animal viruses are
cultured in 2D and 3D. Suid herpesvirus 1 (SuHV-1), Vesicular stomatitis virus
(VSIV), Bovine adenovirus (BAdV) and Bovine parainfluenza 3 virus (BPIV-3) were
cultivated in 3D rotating wall vessels (RWVs) and conventional 2D cultures. The
production of virus particles, the portion of infectious particles, and the
infectious growth curves were compared. For all viruses, the production of virus
particles (related to cell density), including the non-infectious ones, was lower
in 3D than in 2D culture. The production of only infectious particles was
significantly lower in BAdV and BPIV-3 in 3D cultures in relation to cell
density. The two cultivation approaches resulted in significantly different virus
particle-to-TCID50 ratios in three of the four viruses: lower in SuHV-1 and BPIV
3 and higher in BAdV in 3D culture. The infectious virus growth rates were not
significantly different in all viruses. Although 3D RWV culture resulted in lower
production of virus particles compared to 2D systems, the portion of infectious
particles was higher for some viruses.
PMID- 26562057
TI - Multiple virus resistance using artificial trans-acting siRNAs.
AB - Plant TAS gene encoded trans-acting siRNAs (ta-siRNAs) regulate the expression of
target mRNAs by guiding their cleavage at the sequence complementary region as
microRNAs. Since one TAS transcript is cleaved into multiple ta-siRNAs in a
phased manner, TAS genes may be engineered to express multiple artificial ta
siRNAs (ata-siRNAs) that target multiple viruses at several distinct genomic
positions. To test this hypothesis, the Arabidopsis TAS3a gene was engineered to
express ata-siRNAs targeting the genome of Turnip mosaic virus (TuMV) and
Cucumber mosaic virus (CMV). Transgenic Arabidopsis thaliana plants expressing
these ata-siRNAs showed high level of resistance to both viruses. These results
suggest that plant TAS genes can be modified to express artificial ta-siRNAs to
confer multiple virus resistance and could have broad applications for future
development in virus resistance strategies.
PMID- 26562058
TI - The evaluation of hollow-fiber ultrafiltration and celite concentration of
enteroviruses, adenoviruses and bacteriophage from different water matrices.
AB - The collection of waterborne pathogen occurrence data often requires the
concentration of microbes from large volumes of water due to the low number of
microorganisms that are typically present in environmental and drinking waters.
Hollow-fiber ultrafiltration (HFUF) has shown promise in the recovery of various
microorganisms. This study has demonstrated that the HFUF primary concentration
method is effective at recovering bacteriophage phiX174, poliovirus, enterovirus
70, echovirus 7, coxsackievirus B4 and adenovirus 41 from large volumes of tap
and river water with an average recovery of all viruses of 73.4% and 81.0%,
respectively. This study also evaluated an effective secondary concentration
method using celite for the recovery of bacteriophage and enteric viruses tested
from HFUF concentrates of both matrices. Overall, the complete concentration
method (HFUF primary concentration plus celite secondary concentration) resulted
in a concentration factor of 3333 and average recoveries for all viruses from tap
and river waters of 60.6% and 60.0%, respectively.
PMID- 26562059
TI - Load-related brain activation predicts spatial working memory performance in
youth aged 9-12 and is associated with executive function at earlier ages.
AB - Spatial working memory is a central cognitive process that matures through
adolescence in conjunction with major changes in brain function and anatomy. Here
we focused on late childhood and early adolescence to more closely examine the
neural correlates of performance variability during this important transition
period. Using a modified spatial 1-back task with two memory load conditions in
an fMRI study, we examined the relationship between load-dependent neural
responses and task performance in a sample of 39 youth aged 9-12 years. Our data
revealed that between-subject differences in task performance was predicted by
load-dependent deactivation in default network regions, including the ventral
anterior cingulate cortex (vACC) and posterior cingulate cortex (PCC). Although
load-dependent increases in activation in prefrontal and posterior parietal
regions were only weakly correlated with performance, increased prefrontal
parietal coupling was associated with better performance. Furthermore, behavioral
measures of executive function from as early as age 3 predicted current load
dependent deactivation in vACC and PCC. These findings suggest that both task
positive and task negative brain activation during spatial working memory
contributed to successful task performance in late childhood/early adolescence.
This may serve as a good model for studying executive control deficits in
developmental disorders.
PMID- 26562060
TI - The Physiology of Cardiopulmonary Resuscitation.
AB - Outcomes after cardiac arrest remain poor more than a half a century after closed
chest cardiopulmonary resuscitation (CPR) was first described. This review
article is focused on recent insights into the physiology of blood flow to the
heart and brain during CPR. Over the past 20 years, a greater understanding of
heart-brain-lung interactions has resulted in novel resuscitation methods and
technologies that significantly improve outcomes from cardiac arrest. This
article highlights the importance of attention to CPR quality, recent approaches
to regulate intrathoracic pressure to improve cerebral and systemic perfusion,
and ongoing research related to the ways to mitigate reperfusion injury during
CPR. Taken together, these new approaches in adult and pediatric patients provide
an innovative, physiologically based road map to increase survival and quality of
life after cardiac arrest.
PMID- 26562061
TI - Current cigarette smoking among adults - United States, 2005-2014.
AB - Tobacco smoking is the leading cause of preventable disease and death in the
United States, resulting in approximately 480,000 premature deaths and more than
$300 billion in direct health care expenditures and productivity losses each year
(1). To assess progress toward achieving the Healthy People 2020 objective of
reducing the percentage of U.S. adults who smoke cigarettes to <=12.0%,* CDC
assessed the most recent national estimates of smoking prevalence among adults
aged >=18 years using data from the 2014 National Health Interview Survey (NHIS).
The percentage of U.S. adults who smoke cigarettes declined from 20.9% in 2005 to
16.8% in 2014. Among daily cigarette smokers, declines were observed in the
percentage who smoked 20-29 cigarettes per day (from 34.9% to 27.4%) or >=30
cigarettes per day (from 12.7% to 6.9%). In 2014, prevalence of cigarette smoking
was higher among males, adults aged 25-44 years, multiracial persons and American
Indian/Alaska Natives, persons who have a General Education Development
certificate, live below the federal poverty level, live in the Midwest, are
insured through Medicaid or are uninsured, have a disability or limitation, or
are lesbian, gay, or bisexual. Proven population-based interventions, including
tobacco price increases, comprehensive smoke-free laws, high impact mass media
campaigns, and barrier-free access to quitting assistance, are critical to reduce
cigarette smoking and smoking-related disease and death among U.S. adults.
PMID- 26562064
TI - Temperature Activated Diffusion of Radicals through Ion Implanted Polymers.
AB - Plasma immersion ion implantation (PIII) is a promising technique for
immobilizing biomolecules on the surface of polymers. Radicals generated in a
subsurface layer by PIII treatment diffuse throughout the substrate, forming
covalent bonds to molecules when they reach the surface. Understanding and
controlling the diffusion of radicals through this layer will enable efficient
optimization of this technique. We develop a model based on site to site
diffusion according to Fick's second law with temperature activation according to
the Arrhenius relation. Using our model, the Arrhenius exponential prefactor (for
barrierless diffusion), D0, and activation energy, EA, for a radical to diffuse
from one position to another are found to be 3.11 * 10(-17) m(2) s(-1) and 0.31
eV, respectively. The model fits experimental data with a high degree of accuracy
and allows for accurate prediction of radical diffusion to the surface. The model
makes useful predictions for the lifetime over which the surface is sufficiently
active to covalently immobilize biomolecules and it can be used to determine
radical fluence during biomolecule incubation for a range of storage and
incubation temperatures so facilitating selection of the most appropriate
parameters.
PMID- 26562062
TI - The Impact of Multipollutant Clusters on the Association Between Fine Particulate
Air Pollution and Microvascular Function.
AB - BACKGROUND: Prior studies including the Framingham Heart Study have suggested
associations between single components of air pollution and vascular function;
however, underlying mixtures of air pollution may have distinct associations with
vascular function. METHODS: We used a k-means approach to construct five distinct
pollution mixtures from elemental analyses of particle filters, air pollution
monitoring data, and meteorology. Exposure was modeled as an interaction between
fine particle mass (PM2.5), and concurrent pollution cluster. Outcome variables
were two measures of microvascular function in the fingertip in the Framingham
Offspring and Third Generation cohorts from 2003 to 2008. RESULTS: In 1,720
participants, associations between PM2.5 and baseline pulse amplitude tonometry
differed by air pollution cluster (interaction P value 0.009). Higher PM2.5 on
days with low mass concentrations but high proportion of ultrafine particles from
traffic was associated with 18% (95% confidence interval: 4.6%, 33%) higher
baseline pulse amplitude per 5 MUg/m and days with high contributions of oil and
wood combustion with 16% (95% confidence interval: 0.2%, 34%) higher baseline
pulse amplitude. We observed no variation in associations of PM2.5 with hyperemic
response to ischemia observed across air pollution clusters. CONCLUSIONS: PM2.5
exposure from air pollution mixtures with large contributions of local ultrafine
particles from traffic, heating oil, and wood combustion was associated with
higher baseline pulse amplitude but not hyperemic response. Our findings suggest
little association between acute exposure to air pollution clusters reflective of
select sources and hyperemic response to ischemia, but possible associations with
excessive small artery pulsatility with potentially deleterious microvascular
consequences.
PMID- 26562065
TI - New Antimicrobially Amended Media for Improved Nonpoint Source Bacterial
Pollution Treatment.
AB - Nonpoint source pollution (NPS) such as stormwater runoff may introduce high
loads of bacteria, impairing surface water bodies. The existing filter materials
in stormwater best management practices (BMP) are typically not designed to
inactivate bacteria. Herein, novel filtration media were extensively tested for
microbial load reduction in stormwater runoff. Red cedar wood chips (RC) were
amended with different loadings of either 3-(trihydroxysilyl)
propyldimethyloctadecyl ammonium chloride (TPA) or silver nanoparticles (AgNP).
Under batch conditions at 25 degrees C, log10 removal values (LRV) up to 3.71 +/
0.38 (mean +/- standard error) for TPA-RC and 2.25 +/- 1.00 for AgNP-RC were
achieved for Escherichia coli (E. coli), whereas unmodified RC achieved less than
0.5 LRV. Similar trends were observed at 17.5 degrees C, however at low
temperature (10 degrees C) no statistically significant difference in E. coli
inactivation between modified and unmodified RC was detected. Inactivation
kinetic studies show that TPA-RC has higher inactivation rate constants compared
to AgNP-RC. Under dynamic flow conditions a mass balance approach indicates that
even after remobilization up to 99.8% of E. coli removal using 9 mg/g TPA-RC
compared to 64.8% for unmodified RC. This study demonstrates that RC wood chips
amended with antimicrobial compounds show promising applications as filtration
material for the reduction of microbiological contamination load in stormwater
runoff.
PMID- 26562066
TI - Stelleralides D-J and Anti-HIV Daphnane Diterpenes from Stellera chamaejasme.
AB - Bioassay-guided fractionation of a petroleum ether extract of the roots of
Stellera chamaejasme led to the isolation of seven new (stelleralides D-J, 1-7)
and 12 known (8-19) daphnane diterpenoids. The structures and relative
configurations of 1-7 were established on the basis of extensive spectroscopic
analysis, including HRESIMS and comprehensive NMR techniques. All isolates were
evaluated for anti-HIV activity in MT4 cells. All compounds tested, except 2,
showed anti-HIV activity, and, especially, five 1alpha-alkyldaphnane diterpenoids
(3, 4, 5, 10, and 11) exhibited extremely potent anti-HIV activity, with EC50
values of 0.06-1.1 nM and selectivity index values of more than 10,000.
PMID- 26562067
TI - Tuning the Electronic Properties of 2-Cyano-3-phenylacrylamide Derivatives.
AB - We are the first to report the synthesis of a new class of 2-cyanoarylacrylamide
(2-CAA) derivatives and observe that the synthesized 2-CAA shows fluorescence
properties due to the formation of a dimeric interaction of hydrogen bonds
between carbonyl oxygens and amide hydrogens (C?O...H-N-C?O...H-N...); i.e.,
dimers are linked through dimeric N-H...O hydrogen bonds. The single-crystal X
ray structure shows molecules to be hydrogen-bonded dimers, which further form a
parallel stacking arrangement, mediated by significant pi-pi interactions. The
(1)H NMR and fluorescence spectral studies indicate the coexistence of amide and
iminol tautomers in solution, which can be influenced by the nature of the
solvent. Further, the excitation-wavelength-dependent fluorescence spectrum and
the biexponential fluorescence decay profiles suggest the presence of more than
one emitting species; i.e., amide and iminol tautomers coexists in solution. We
have also shown that the equilibrium between the two tautomers can be tuned by
the judicious choice of electron-donating or -withdrawing substituents.
PMID- 26562070
TI - Discovery of Nanomolar Dengue and West Nile Virus Protease Inhibitors Containing
a 4-Benzyloxyphenylglycine Residue.
AB - The dengue virus (DENV) and West Nile Virus (WNV) NS2B-NS3 proteases are
attractive targets for the development of dual-acting therapeutics against these
arboviral pathogens. We present the synthesis and extensive biological evaluation
of inhibitors that contain benzyl ethers of 4-hydroxyphenylglycine as non-natural
peptidic building blocks synthesized via a copper-complex intermediate. A three
step optimization strategy, beginning with fragment growth of the C-terminal 4
hydroxyphenylglycine to the benzyloxy ether, followed by C- and N-terminal
optimization, and finally fragment merging generated compounds with in vitro
affinities in the low nanomolar range. The most promising derivative reached Ki
values of 12 nM at the DENV-2 and 39 nM at the WNV proteases. Several of the
newly discovered protease inhibitors yielded a significant reduction of dengue
and West Nile virus titers in cell-based assays of virus replication, with an
EC50 value of 3.4 MUM at DENV-2 and 15.5 MUM at WNV for the most active analogue.
PMID- 26562068
TI - Neisseria meningitidis Lacking the Major Porins PorA and PorB Is Viable and
Modulates Apoptosis and the Oxidative Burst of Neutrophils.
AB - The bacterial pathogen Neisseria meningitidis expresses two major outer-membrane
porins. PorA expression is subject to phase-variation (high frequency, random, on
off switching), and both PorA and PorB are antigenically variable between
strains. PorA expression is variable and not correlated with meningococcal
colonisation or invasive disease, whereas all naturally-occurring strains express
PorB suggesting strong selection for expression. We have generated N.
meningitidis strains lacking expression of both major porins, demonstrating that
they are dispensable for bacterial growth in vitro. The porAB mutant strain has
an exponential growth rate similar to the parental strain, as do the single porA
or porB mutants, but the porAB mutant strain does not reach the same cell density
in stationary phase. Proteomic analysis suggests that the double mutant strain
exhibits compensatory expression changes in proteins associated with cellular
redox state, energy/nutrient metabolism, and membrane stability. On solid media,
there is obvious growth impairment that is rescued by addition of blood or serum
from mammalian species, particularly heme. These porin mutants are not impaired
in their capacity to inhibit both staurosporine-induced apoptosis and a phorbol
12-myristate 13-acetate-induced oxidative burst in human neutrophils suggesting
that the porins are not the only bacterial factors that can modulate these
processes in host cells.
PMID- 26562071
TI - One-Pot Benzo[b]phosphole Synthesis through Sequential Alkyne Arylmagnesiation,
Electrophilic Trapping, and Intramolecular Phospha-Friedel-Crafts Cyclization.
AB - A one-pot multicomponent synthesis of a benzo[b]phosphole derivative has been
achieved by a sequence of transition-metal-catalyzed arylmagnesiation of an
internal alkyne, electrophilic trapping of the resulting alkenylmagnesium species
with a dichloroorganophosphine, and an intramolecular phospha-Friedel-Crafts
reaction. With appropriate arylmagnesiation and P-C bond formation conditions,
the present method allows for the modular and expedient preparation of
benzophospholes bearing a variety of substituents on the phosphorus atom, the C2
and C3 atoms, and the "benzo" moiety.
PMID- 26562069
TI - Synthetic Mimics of Bacterial Lipid A Trigger Optical Transitions in Liquid
Crystal Microdroplets at Ultralow Picogram-per-Milliliter Concentrations.
AB - We report synthetic six-tailed mimics of the bacterial glycolipid Lipid A that
trigger changes in the internal ordering of water-dispersed liquid crystal (LC)
microdroplets at ultralow (picogram-per-milliliter) concentrations. These
molecules represent the first class of synthetic amphiphiles to mimic the ability
of Lipid A and bacterial endotoxins to trigger optical responses in LC droplets
at these ultralow concentrations. This behavior stands in contrast to all
previously reported synthetic surfactants and lipids, which require near-complete
monolayer coverage at the LC droplet surface to trigger ordering transitions.
Surface-pressure measurements and SAXS experiments reveal these six-tailed
synthetic amphiphiles to mimic key aspects of the self-assembly of Lipid A at
aqueous interfaces and in solution. These and other results suggest that these
amphiphiles trigger orientational transitions at ultralow concentrations through
a unique mechanism that is similar to that of Lipid A and involves formation of
inverted self-associated nanostructures at topological defects in the LC
droplets.
PMID- 26562073
TI - Comparison of sequential pancreatic duct guidewire placement technique and needle
knife precut sphincterotomy for difficult biliary cannulation.
AB - OBJECTIVE: Pancreatic duct guidewire placement (PDGP) includes double guidewire
technique (DGT) and transpancreatic sphincterotomy (TPS). DGT can be switched to
TPS with ease due to the existing guidewire in the pancreatic duct. In this
study, we aimed to combine DGT and TPS as a single technique, named sequential
PDGP, and to compare its performance with needle knife precut sphincterotomy
(NKPS) in treating difficult biliary cannulation (BC). METHODS: A total of 83
patients with difficult BC were enrolled in this study. Of these, 63 underwent
sequential PDGP and 20 underwent NKPS. Cannulation success rate, cannulation time
and endoscopic retrograde cholangiopancreatography (ERCP)-related complications
were prospectively recorded and compared between the two groups. RESULTS:
Successful BC was achieved in 88.9% (56/63) of the patients in the sequential
PDGP group compared with 70.0% (14/20) in the NKPS group (P = 0.095). Cannulation
time was 7.49 +/- 5.03 min in the sequential PDGP group and 10.60 +/- 7.24 min in
the NKPS group (P = 0.086). Post-ERCP pancreatitis occurred in 12.7% of patients
in the sequential PDGP group and 10.0% in the NKPS group (P = 1.000). There was
no significant difference in the rates of other complications (bleeding,
perforation and cholangitis) between the two groups. CONCLUSIONS: Sequential PDGP
is a safe and effective alternative method to NKPS in cases of difficult BC. In
those with failed standard cannulation, sequential PDGP can be considered when
the guidewire is inadvertently inserted into the pancreatic duct or can be placed
in the pancreatic duct without difficulty.
PMID- 26562072
TI - Mechanism of allosteric activation of TMEM16A/ANO1 channels by a commonly used
chloride channel blocker.
AB - BACKGROUND AND PURPOSE: Calcium-activated chloride channels (CaCCs) play varied
physiological roles and constitute potential therapeutic targets for conditions
such as asthma and hypertension. TMEM16A encodes a CaCC. CaCC pharmacology is
restricted to compounds with relatively low potency and poorly defined
selectivity. Anthracene-9-carboxylic acid (A9C), an inhibitor of various chloride
channel types, exhibits complex effects on native CaCCs and cloned TMEM16A
channels providing both activation and inhibition. The mechanisms underlying
these effects are not fully defined. EXPERIMENTAL APPROACH: Patch-clamp
electrophysiology in conjunction with concentration jump experiments was employed
to define the mode of interaction of A9C with TMEM16A channels. KEY RESULTS: In
the presence of high intracellular Ca(2+) , A9C inhibited TMEM16A currents in a
voltage-dependent manner by entering the channel from the outside. A9C
activation, revealed in the presence of submaximal intracellular Ca(2+)
concentrations, was also voltage-dependent. The electric distance of A9C
inhibiting and activating binding site was ~0.6 in each case. Inhibition occurred
according to an open-channel block mechanism. Activation was due to a dramatic
leftward shift in the steady-state activation curve and slowed deactivation
kinetics. Extracellular A9C competed with extracellular Cl(-) , suggesting that
A9C binds deep in the channel's pore to exert both inhibiting and activating
effects. CONCLUSIONS AND IMPLICATIONS: A9C is an open TMEM16A channel blocker and
gating modifier. These effects require A9C to bind to a region within the pore
that is accessible from the extracellular side of the membrane. These data will
aid the future drug design of compounds that selectively activate or inhibit
TMEM16A channels.
PMID- 26562074
TI - Effects of PEMF on patients with osteoarthritis: Results of a prospective,
placebo-controlled, double-blind study.
AB - This study aimed to evaluate effects of pulsed electromagnetic fields (PEMF) in a
double blind study on patients with knee joint osteoarthritis. The MAGCELL ARTHRO
electrode-less therapy delivered a sinusoidal magnetic field, varying in
frequency between 4 and 12 Hz. In 1 cm tissue depth, magnetic flux density was
105 mT. A total of n = 57 patients were randomly assigned to the verum, PEMF or
placebo group (placebo device). Their average age was 61.6 +/- 12.0 years.
According to American College of Rheumatology criteria the osteoarthritis level
was 2.8 +/- 0.8. Treatment was performed twice a day for 5 min over a period of
18 days. Treatment with the MAGCELL device versus control (sham exposed) showed a
highly significant reduction in pain (P < 0.001), a significant reduction in
stiffness (P = 0.032) and a significant reduction in disability in daily
activities (P = 0.005) according to the Western Ontario and McMaster Universities
Osteoarthritis Index (WOMAC) scales-with a good overall treatment tolerance. In
the placebo group there was no evidence of a significant change between the
initial and final examination in any of the three above-mentioned WOMAC scales.
Results of this partly randomized placebo-controlled double-blind study show
clinically at any rate, that use of PEMF lead to highly significant better
results in the treatment group compared to the placebo group with regard to the
total WOMAC global score and especially for visual analogue scale. Patient
assessment of the "effectiveness" was rated in 29.5% as very good and good in
27.3% compared to 0.0% and 15.4% in controls. This therapy is thus a useful
complementary treatment option with no side effects.
PMID- 26562075
TI - Primary myositis and abscess of iliacus muscle due to Brucella.
PMID- 26562076
TI - Microsatellite evolutionary rate and pattern in Schistocerca gregaria inferred
from direct observation of germline mutations.
AB - Unravelling variation among taxonomic orders regarding the rate of evolution in
microsatellites is crucial for evolutionary biology and population genetics
research. The mean mutation rate of microsatellites tends to be lower in
arthropods than in vertebrates, but data are scarce and mostly concern
accumulation of mutations in model species. Based on parent-offspring
segregations and a hierarchical Bayesian model, the mean rate of mutation in the
orthopteran insect Schistocerca gregaria was estimated at 2.1e(-4) per generation
per untranscribed dinucleotide locus. This is close to vertebrate estimates and
one order of magnitude higher than estimates from species of other arthropod
orders, such as Drosophila melanogaster and Daphnia pulex. We also found evidence
of a directional bias towards expansions even for long alleles and exceptionally
large ranges of allele sizes. Finally, at transcribed microsatellites, the mean
rate of mutation was half the rate found at untranscribed loci and the mutational
model deviated from that usually considered, with most mutations involving
multistep changes that avoid disrupting the reading frame. Our direct estimates
of mutation rate were discussed in the light of peculiar biological and genomic
features of S. gregaria, including specificities in mismatch repair and the
dependence of its activity to allele length. Shedding new light on the mutational
dynamics of grasshopper microsatellites is of critical importance for a number of
research fields. As an illustration, we showed how our findings improve
microsatellite application in population genetics, by obtaining a more precise
estimation of S. gregaria effective population size from a published data set
based on the same microsatellites.
PMID- 26562077
TI - Evaluating the extent of pharmaceuticals in surface waters of the United States
using a National-scale Rivers and Streams Assessment survey.
AB - To assess the potential exposure of aquatic ecosystems to active pharmaceutical
ingredients, the authors conducted a national-scale, probability-based
statistical survey of the occurrence of these compounds in surface waters of the
United States. The survey included 182 sampling sites and targeted rivers with
close proximity to urban areas. The 46 analytes reported represent many classes
of active pharmaceutical ingredients (APIs), including antibiotics, diuretics,
antihypertensives, anticonvulsants, and antidepressants. Of the 46 analytes, 37
were detected in at least 1 sampling location. Sulfamethoxazole (an antibiotic)
was the most frequently detected compound, being measured in 141 of the 182
surface waters surveyed at concentrations ranging up to 570 ng/L. Ten of the
compounds were detected in 20% or more of the sampling sites. Weighted means of
the analytical measurements are used with the statistical survey design and
analysis to provide national estimates of the extent of contamination for these
APIs in the nation's urban rivers. Published 2015 Wiley Periodicals, Inc. on
behalf of SETAC. This article is a US Government work and as such, is in the
public domain in the United States of America.
PMID- 26562078
TI - Expedient Synthesis of N1-Substituted Triazole Peptidomimetics.
AB - A general procedure for the rapid diversification of peptide scaffolds is
described. A one-pot click reaction between a peptide-alkyne and a series of in
situ generated aryl/alkyl azides affords novel N1-substituted triazole
peptidomimetics. This transformation is of broad scope, operates under mild
conditions, and is parallel chemical synthesis compatible.
PMID- 26562079
TI - Survival analysis and microarray profiling identify Cd40 as a candidate for the
Salmonella susceptibility locus, Ity5.
AB - The outcome of infection with Salmonella Typhimurium in mouse models of human
typhoid fever is dependent upon a coordinated complex immune response. A panel of
recombinant congenic strains (RCS) derived from reciprocal backcross of A/J and
C57BL/6J mice was screened for their susceptibility to Salmonella infection and
two susceptibility loci, Ity4 (Immunity to Typhimurium locus 4) and Ity5, were
identified. We validated Ity5 in a genetic environment free of the impact of Ity4
using a cross between A/J and 129S6. Using a time-series analysis of genome-wide
transcription during infection, comparing A/J with AcB60 mice having a C57BL/6J
derived Ity5 interval, we have identified the differential expression of the
positional candidate gene Cd40, Cd40-associated signaling pathways, and the
differential expression of numerous genes expressed in neutrophils. CD40 is known
to coordinate T cell-dependent B-cell responses and myeloid cell activation. In
fact, CD40 signaling is altered in A/J mice as seen by impaired IgM upregulation
during infection, decreased Ig class switching, neutropenia, reduced granulocyte
recruitment in response to infection and inflammation, and decreased ERK1/2
activity. These results suggest that altered CD40 signaling and granulocyte
recruitment in response to infection are responsible for the Ity5-associated
Salmonella susceptibility of A/J mice.
PMID- 26562082
TI - [Editorial].
PMID- 26562083
TI - [Attachment Patterns and their Relation to the Development of Anxiety Symptoms in
Childhood and Adolescence].
AB - From the perspective of attachment theory, insecure attachment can be seen as a
key risk factor for the development of anxiety symptoms and anxiety disorders.
This systematic review addresses the current state of empirical research on the
relationship between attachment status and anxiety symptoms respective anxiety
disorders in childhood and adolescence. 21 current international studies
published between 2010 and 2014 were included in this systematic review. These
studies were heterogeneous in target populations, methods and study design. The
majority of studies supported the assumed correlation between insecure attachment
and anxiety symptoms or anxiety disorders. These findings are more evident in
studies with school-age children than with preschool children or adolescents.
Furthermore, the disorganized-disoriented type of attachment seems to be a
particular risk factor for the development of anxiety symptoms and anxiety
disorders. Results were discussed in relation to attachment theory and with
reference to the results of previous relevant reviews.
PMID- 26562084
TI - [Emotion Regulation and Emotional Vulnerability in Adolescents with Anxiety
Disorders].
AB - From an attachment perspective, insecure attachment patterns in both infancy and
adolescence are risk factors for the development of anxiety disorders in
adolescence. Dysfunctional emotion regulation and biased social information
processing are possible mediating processes. This study examines differences in
emotion regulation, emotional vulnerability, and behaviour inhibition in
adolescents with clinical diagnosis of anxiety disorder and healthy controls.
Adolescents with anxiety disorder reported more maladaptive emotion regulation
depending on the specific emotion and a higher incidence of reporting hurt
feelings in social interactions. In contrast, behaviour inhibition did not
explain additional variance. The results suggest that adolescents with anxiety
disorders show a bias in the interpretation of social interactions as frequently
emotionally hurting, and the use of dysfunctional emotion regulation strategies
that minimize the possibility for effective social emotion regulation by close
others or therapists. The results are interpreted within attachment framework.
PMID- 26562080
TI - Interactome analysis of gene expression profile reveals potential novel key
transcriptional regulators of skin pathology in vitiligo.
AB - Selective destruction of epidermal melanocytes is central to vitiligo (VL), a
common acquired, autoimmune depigmentory disorder of the skin. Like other
autoimmune diseases, the pathogenesis of VL is obscure and both multifactorial
and polygenic. The prevailing theory is that VL may be part of an autoimmune
diathesis. To evaluate mechanisms underlying disease development and progression,
we studied genome-wide gene expression from lesional and non-lesional skin of
patients with non-segmental VL. Unbiased clustering and principal components
analyses reveals a 'lesional pathology'-based signature. Pathway-based analyses
of the differentially expressed genes underscore processes such as melanocyte
development and cell cycle as central drivers of the disease state. Interactome
analysis identifies several key transcriptional regulators potentially affecting
disease pathogenesis both within and 'hidden' from the data set. Finally, two
genes within six identified transcriptional 'hot spots' coincide with previous VL
associated genetic elements. The remaining genes in the 'hot spots' offer an
additional set of potential disease-linked loci that may help to guide future
studies aimed at identifying disease risk genes.
PMID- 26562085
TI - [Psychodynamic-multisystemic Therapy of School Phobia due to Separation Anxiety
in Day Clinic].
AB - Psychodynamic understanding and systemic approach in the multi-family treatment
of a day care clinic are illustrated following the case report of a seven-year
old girl with school phobia due to separation anxiety. The treatment modalities
of the day clinic at the University Medical Center Muenster are described
focussing on the multi-systemic approach. Using psychodynamic and systemic
hypotheses the process of treatment is developed. Specific interventions,
differentiated into reorganizations of inner and outer world issues, are traced
to psychodynamic and systemic hypotheses. In conclusion it is argued that the
integration of psychodynamic and multisystemic therapy methods in day clinic
parent-child treatment provide a promising treatment approach.
PMID- 26562086
TI - [Summary of the Pilot Study Short-term Psychoanalytic Child Therapy (PaCT) of
Anxious Children].
AB - We provide a summary of a recently published study on Psychoanalytic Child
Therapy (PaCT; Gottken, White, Klein, von Klitzing, 2014) for young children with
emotional and affective symptoms. Consisting of approximately 20 psychotherapy
sessions, therapists treat families in parent-child, child-alone, parent-alone
settings, aiming to uncover and work through a relational theme underlying the
symptoms. Thirty families were entered into a wait-list controlled study in an
outpatient setting (n = 18 treatment group; n = 12 waitlist) with the aim of
assessing the effectiveness of PaCT (Gottken u. von Klitzing, 2014) for 4- to 10
year-olds with anxiety disorders. After treatment, over half of the children of
the treatment group no longer met criteria for anxiety disorder while no children
of the control group remitted during the wait-list interval. In addition, parent,
child and teacher reports showed significant symptom reduction. The pattern of
results lend preliminary support to psychodynamic intervention as an effective
tool for treating childhood anxiety and affective disorders and call for future
randomized controlled trials to provide additional evidence for these promising
effects.
PMID- 26562090
TI - E-mental health preferences of Veterans with and without probable posttraumatic
stress disorder.
AB - Mental health care practices supported by electronic communication, referred to
as e-mental health, offer ways to increase access to mental health resources. In
recent years, e-mental health interventions using clinical video
teleconferencing, Internet-based interventions, social networking sites, and
telephones have emerged as viable, cost-effective methods to augment traditional
service delivery. Whereas some research evaluates attitudes about e-mental
health, few studies have assessed interest in using these approaches in a
contemporary sample of U.S. Veterans. This study sought to understand willingness
to use e-mental health in a diverse group of Veterans residing in Hawaii. Mailed
surveys were completed by 600 Operation Iraqi Freedom/Operation Enduring Freedom
Veterans and National Guard members. Results suggest that overall willingness to
use e-mental health ranged from 32.2% to 56.7% depending on modality type.
Importantly, Veterans who screened positive for posttraumatic stress disorder
(PTSD) were significantly less likely to report willingness to use each e-mental
health modality than their peers without PTSD, despite their greater desire for
mental health services. These results suggest that despite solutions to
logistical barriers afforded via e-mental health services, certain barriers to
mental health care may persist, especially among Veterans who screen positive for
PTSD.
PMID- 26562091
TI - Eyes on New Product Development.
PMID- 26562093
TI - Composite Resin Core Buildups With and Without Post for the Restoration of
Endodontically Treated Molars Without Ferrule.
AB - OBJECTIVE: The aim of this study was to investigate the restoration of highly
damaged, broken-down endodontically treated molars without the ferrule effect
using glass ceramic crowns on different dual-cure composite resin core buildups.
METHODS AND MATERIALS: Thirty (N=30, n=15) decoronated, endodontically treated
teeth (no ferrule) were restored without a ferrule with a direct buildup using
the dual-curing composite Multicore HB (group MHB) or the dual-curing composite
core buildup Multicore Flow in combination with glass-fiber-reinforced composite
post (FRC post; group MFP). All teeth were prepared to receive bonded glass
ceramic crowns (Empress CAD luted with Variolink II) and were subjected to
accelerated fatigue testing. Cyclic isometric loading was applied to the palatal
cusp at an angle of 30 degrees and a frequency of 5 Hz, beginning with a load of
200 N (*5000 cycles), followed by stages of 400, 600, 800, 1000, 1200, and 1400 N
at a maximum of 30,000 cycles each. Specimens were loaded until failure or to a
maximum of 185,000 cycles. Groups were compared using the life table survival
analysis (log rank test at p=0.05). Average fracture loads and number of survived
cycles were compared with one-way analysis of variance (Scheffe post hoc at
p=0.05). Previously published data from the same authors about core buildups made
of high-performance polymers (group HPP, n=15) and light-curing composite resin
without FRC posts (group TEC, n=15) and with FRC posts (group TECP, n=15) using
the same experimental setup were included for comparison. RESULTS: None of the
tested specimen withstood all 185,000 load cycles. There was no significant
difference in mean fracture load (p=0.376), survived cycles (p=0.422), and
survival (p=0.613) between MHB (facture load 859.4 N+/-194.92) and MFP (796.13
N+/-156.34). Group HPP from a previous study appeared to have significantly
higher performance than all other groups except MHB. All groups with posts were
affected by an initial failure phenomenon (wide gap at the margin between the
buildup/crown assembly and the root). CONCLUSIONS: HPP and MHB enhanced the
performance of all-ceramic leucite-reinforced glass ceramic crowns, and insertion
of a fiber-reinforced composite post was not influential when using other
materials.
PMID- 26562092
TI - CRY Drives Cyclic CK2-Mediated BMAL1 Phosphorylation to Control the Mammalian
Circadian Clock.
AB - Intracellular circadian clocks, composed of clock genes that act in transcription
translation feedback loops, drive global rhythmic expression of the mammalian
transcriptome and allow an organism to anticipate to the momentum of the day.
Using a novel clock-perturbing peptide, we established a pivotal role for casein
kinase (CK)-2-mediated circadian BMAL1-Ser90 phosphorylation (BMAL1-P) in
regulating central and peripheral core clocks. Subsequent analysis of the
underlying mechanism showed a novel role of CRY as a repressor for protein
kinase. Co-immunoprecipitation experiments and real-time monitoring of protein
protein interactions revealed that CRY-mediated periodic binding of CK2beta to
BMAL1 inhibits BMAL1-Ser90 phosphorylation by CK2alpha. The FAD binding domain of
CRY1, two C-terminal BMAL1 domains, and particularly BMAL1-Lys537
acetylation/deacetylation by CLOCK/SIRT1, were shown to be critical for CRY
mediated BMAL1-CK2beta binding. Reciprocally, BMAL1-Ser90 phosphorylation is
prerequisite for BMAL1-Lys537 acetylation. We propose a dual negative-feedback
model in which a CRY-dependent CK2-driven posttranslational BMAL1-P-BMAL1 loop is
an integral part of the core clock oscillator.
PMID- 26562094
TI - Tumor profiling and the incidentalome: patient decisions and risks.
AB - In recent years, the field of oncology has witnessed rapid advancements in
genetic sequencing simultaneously with steeply declining costs of sequencing
technology. As a result, genomics-driven cancer medicine and the use of tumor
profiling are quickly becoming mainstays of cancer therapy. Oncology patients can
benefit from tumor profiling by allowing the selection of targeted therapies
tailored to their disease. However, it is increasingly recognized that the
process of determining a tumor DNA sequence may lead to incidental discovery of
underlying germline mutations which can impact other aspects of a patient's
health, and that of their family. How to handle the 'incidentalome' has been the
subject of recent public debate, yet patient education about the potential risks
of tumor profiling remains sparse. Patient perspectives and clinical implications
of the tumor incidentalome must be specifically addressed by the oncology
community as tumor profiling expands to become a new standard of care.
PMID- 26562096
TI - Dose reduction with iterative reconstruction for coronary CT angiography: a
systematic review and meta-analysis.
AB - OBJECTIVE: To investigate the achievable radiation dose reduction for coronary CT
angiography (CCTA) with iterative reconstruction (IR) in adults and the effects
on image quality. METHODS: PubMed and EMBASE were searched, and original articles
concerning IR for CCTA in adults using prospective electrocardiogram triggering
were included. Primary outcome was the effective dose using filtered back
projection (FBP) and IR. Secondary outcome was the effect of IR on objective and
subjective image quality. RESULTS: The search yielded 1616 unique articles, of
which 10 studies (1042 patients) were included. The pooled routine effective dose
with FBP was 4.2 mSv [95% confidence interval (CI) 3.5-5.0]. A dose reduction of
48% to a pooled effective dose of 2.2 mSv (95% CI 1.3-3.1) using IR was reported.
Noise, contrast-to-noise ratio and subjective image quality were equal or
improved in all but one study, whereas signal-to-noise ratio was decreased in two
studies with IR at reduced dose. CONCLUSION: IR allows for CCTA acquisition with
an effective dose of 2.2 mSv with preserved objective and subjective image
quality.
PMID- 26562095
TI - Nephroprotective potential of carnitine against glycerol and contrast-induced
kidney injury in rats through modulation of oxidative stress, proinflammatory
cytokines, and apoptosis.
AB - OBJECTIVE: Contrast media (CM) are a major cause of nephropathy in high-risk
patients. The aim of this study was to examine the effects of carnitine (CAR) in
advanced nephrotoxicity due to CM administration in rats with glycerol-induced
renal functional disorder. METHODS: 40 rats were divided randomly into five
groups (n = 8): (1) healthy group; (2) glycerol only (GLY); (3) glycerol and CM
(GLY + CM); (4) glycerol, CM and 200 mg kg(-1) carnitine (CAR200, Carnitene((r));
Sigma-tau/Santa Farma, Istanbul, Turkey); and (5) glycerol, CM and 400 mg kg(-1)
carnitine (CAR400). Kidney injury was induced with a single-dose, intramuscular
injection of 10 ml kg(-1) body weight (b.w.) of GLY. CAR was administered
intraperitoneally. CM (8 ml kg(-1) b.w. iohexol, OmnipaqueTM; Opakim Medical
Products, Istanbul, Turkey) was infused via the tail vein to the rats in Groups 3
5. RESULTS: l-carnitine administration significantly decreased serum creatinine
and blood urea nitrogen levels. Superoxide dismutase and glutathione activity
increased significantly in the treatment groups compared with the nephrotoxic
groups. CAR400 significantly reduced malondialdehyde levels to healthy levels. In
the treatment groups, tumour necrosis factor (TNF)-alpha, transforming growth
factor 1beta, interleukin 1beta and caspase-3 gene expression decreased compared
with the nephrotoxic groups. TNF-alpha and nuclear factor kappa-beta (NF-kappaB)
protein expression increased after CM and CAR administration reduced both TNF
alpha and NF-kappaB expressions. Histopathologically, hyaline and haemorrhagic
casts and necrosis in proximal tubules increased in the nephrotoxicity groups and
decreased in the CAR groups. CONCLUSION: The results reveal that l-carnitine
protects the oxidant/antioxidant balance and decreases proinflammatory cytokines
and apoptosis in CM-induced nephrotoxicity in rats with underlying pathology.
ADVANCES IN KNOWLEDGE: Depending on the underlying kidney pathologies, the
incidence of CM-induced nephropathy (CIN) increases. Therefore, this is the best
model to represent clinically observed CIN.
PMID- 26562097
TI - Ultrasound-guided procedures to treat sport-related muscle injuries.
AB - Ultrasound is well known as a low-cost, radiation-free and effective imaging
technique to guide percutaneous procedures. The lower limb muscles represent a
good target to perform such procedures under ultrasound guidance, thus allowing
for clear and precise visualization of the needle during the whole procedure. The
knowledge of guidelines and technical aspects is mandatory to act in the most
safe and accurate way on target tissues that can be as small as a few
millimetres. This review will focus above the local treatments of traumatic lower
limb muscle injuries described in literature, focusing on new and promising
approaches, such as platelet-rich plasma treatment of muscle tears in athletes.
For each procedure, a brief how-to-do practical guide will be provided,
emphasizing precautions and tricks based on day-by-day experience that may help
to improve the outcome of percutaneous ultrasound-guided procedures around the
lower limb muscles.
PMID- 26562099
TI - Post-mortem CT and MRI: appropriate post-mortem imaging appearances and changes
related to cardiopulmonary resuscitation.
AB - Post-mortem cross-sectional imaging in the form of CT and, less frequently, MRI
is an emerging facility in the evaluation of cause-of-death and human
identification for the coronial service as well as in assisting the forensic
investigation of suspicious deaths and homicide. There are marked differences
between the radiological evaluation and interpretation of the CT and MRI features
of the live patient (i.e. antemortem imaging) and the evaluation and
interpretation of post-mortem CT and MRI appearances. In addition to the absence
of frequently utilized tissue enhancement following intravenous contrast
administration in antemortem imaging, there are a number of variable changes
which occur in the tissues and organs of the body as a normal process following
death, some of which are, in addition, affected significantly by environmental
factors. Many patients and victims will also have undergone aggressive attempts
at cardiopulmonary resuscitation in the perimortem period which will also
significantly alter post-mortem CT and MRI appearances. It is paramount that the
radiologist and pathologist engaged in the interpretation of such post-mortem
imaging are familiar with the appropriate non-pathological imaging changes
germane to death, the post-mortem interval and cardiopulmonary resuscitation in
order to avoid erroneously attributing such changes to trauma or pathology. Some
of the more frequently encountered radiological imaging considerations of this
nature will be reviewed.
PMID- 26562098
TI - Pulmonary ground-glass nodules diagnosis: mean change rate of peak CT number as a
discriminative factor of pathology during a follow-up.
AB - OBJECTIVE: We aimed to analyse the peak CT number (PEAK) in CT number histogram
of ground-glass nodules (GGN), meaning the most frequent density of pixels in the
image of pulmonary nodule, based on three-dimensional (3D) reconstructive model
pre-operatively, and the mean rate of PEAK change (V-PEAK) during a follow-up of
GGN for differential diagnosis between pre-invasive adenocarcinoma (PIA) and
invasive adenocarcinoma (IAC). METHODS: CT number histogram of pixels in GGN was
made automatically by 3D measurement software. Diameter, total volume, PEAK and V
PEAK were measured from CT data sets of different groups classified by pathology,
subtype and number of GGN, respectively. RESULTS: Among all 102 cases, 47 were
PIA, including atypical adenomatous hyperplasia (n = 29) and adenocarcinoma in
situ (n = 18), and 55 were IAC, including minimally IAC (MIA, n = 4). By Wilcoxon
test, PEAK of IAC was significantly higher than that of PIA (p < 0.001). By
receiver operating curve analysis, area under the curve (AUC) was 0.857 and
threshold -820.50 Hounsfield units (HU) for differentiation between PIA and IAC.
V-PEAK of IAC was unexpectedly remarkably smaller than that of PIA (p < 0.001)
with AUC and threshold being 0.810 and -0.829 HU day(-1), respectively.
CONCLUSION: Pre-operative PEAK and V-PEAK, which interpret and evaluate the
change of volume and density of pulmonary nodule simultaneously from both
exterior and interior perspectives, can help to distinguish IAC from PIA.
ADVANCES IN KNOWLEDGE: This study provided researchers of GGN another
perspective, taking both volume and density of nodules into consideration for
pathological evaluation.
PMID- 26562100
TI - Effects of Placental Ischemia Are Attenuated by 1,25-Dihydroxyvitamin D Treatment
and Associated with Reduced Apoptosis and Increased Autophagy.
AB - We evaluated the effects of administration of 1,25-dihydroxyvitamin D
(1,25(OH)2D) during pregnancy on relieving adverse outcomes of preeclampsia and
the pathologic and biochemical changes in reduction in uteroplacental perfusion
(RUPP) model of rats. On day 1, 7, and 14 of pregnancy, rats in pregnant RUPP
plus 1,25(OH)2D (RUPP+VD) group (n = 15) received 120 ng/100 g body weight/week
of 1,25(OH)2D by subcutaneous injection, while rats in normal pregnant (n = 12)
and the RUPP group (n = 14) received 1,25(OH)2D vehicle (saline solution). On day
19 of pregnancy, after measure of blood pressure and cardiac function and urine
collection, rats were euthanized, and fetal and maternal serum, placenta, and
heart and kidney were collected. Fetal mortality, urinary protein, glucose, and
parameters for kidney function in serum were measured. We evaluated vitamin D
receptor expression and pathological and ultrastructural changes in rat heart,
kidney, and placenta. Levels of oxidative stress, endoplasmic reticulum (ER)
stress, apoptosis, and autophagy were measured in placenta. Compared to RUPP
rats, 1,25(OH)2D decreased fetal mortality, mean blood pressure, 24-h urinary
protein, urine microalbumin, and hyperglycemia in RUPP+VD rats. These were
consistent with the improvements of structure impairment in heart, kidney, and
placenta of RUPP rat by 1,25(OH)2D. In placenta of RUPP rat, the decrease in
oxidative stress and ER stress by 1,25(OH)2D treatment was accompanied by
autophagy activation and apoptosis attenuation. 1,25(OH)2D plays a beneficial
effect on preeclampsia at the early gestation and might be used as a potential
protective agent for preeclampsia. However, the RUPP model only recapitulated the
hypoxic origin of preeclampsia; further randomized controlled trial is expected
to be performed for validation and evaluation.
PMID- 26562101
TI - Risk Factors for and Behavioral Consequences of Direct Versus Indirect Exposure
to Violence.
AB - Research suggests that direct exposure (personal victimization) and indirect
exposure (witnessing or hearing about the victimization of a family member,
friend, or neighbor) to violence are correlated. However, questions remain about
the co-occurrence of these phenomena within individuals. We used data on 1915
youths (with an average age of 12 years at baseline) from the Project on Human
Development in Chicago Neighborhoods to examine this issue. Results indicated
that youths who tended to be personally victimized were also likely to witness
violence; conversely, youths who disproportionately witnessed violence were
relatively unlikely to experience personal victimization. In addition, direct and
indirect exposures to violence were associated with subsequent adverse outcomes
in similar ways. The key distinguishing factor was, rather, the cumulative level
of violence (both direct and indirect) to which youths were exposed.
PMID- 26562102
TI - Barriers to Cervical Screening Among Sex Workers in Vancouver.
AB - OBJECTIVES: We longitudinally examined the social, structural, and geographic
correlates of cervical screening among sex workers in Metropolitan Vancouver,
British Columbia, to determine the roles that physical and social geography play
in routine reproductive health care access. METHODS: Analysis drew on (2010-2013)
data from an open prospective cohort of sex workers (An Evaluation of Sex
Workers' Health Access). We used multivariable logistic regression with
generalized estimating equations (GEE) to model correlates of regular cervical
screening. RESULTS: At baseline, 236 (38.6%) of 611 sex workers in our sample had
received cervical screening, and 63 (10.3%) were HIV-seropositive. In
multivariable GEE analysis, HIV-seropositivity (adjusted odds ratio [AOR] = 1.65;
95% confidence interval [CI] = 1.06, 2.58) and accessing outreach services (AOR =
1.35; 95% CI = 1.09, 1.66) were correlated with regular cervical screening.
Experiencing barriers to health care access (e.g., poor treatment by health care
staff, limited hours of operation, and language barriers) reduced odds of regular
Papanicolaou testing (AOR = 0.81; 95% CI = 0.65, 1.00). CONCLUSIONS: Sex workers
in Metropolitan Vancouver had suboptimal levels of cervical screening. Innovative
mobile outreach service delivery models offering cervical screening as one
component of sex worker-targeted comprehensive sexual and reproductive health
services may hold promise.
PMID- 26562103
TI - Legal Barriers to Adolescent Participation in Research About HIV and Other
Sexually Transmitted Infections.
AB - Whether adolescents can participate in clinical trials of pharmacologic therapies
for HIV prevention, such as preexposure prophylaxis, without parental permission
hinges on state minor consent laws. Very few of these laws explicitly authorize
adolescents to consent to preventive services for HIV and other sexually
transmitted infections. Unclear state laws may lead to research cessation. We
have summarized legal, ethical, and policy considerations related to adolescents'
participation in HIV and sexually transmitted infection prevention research in
the United States, and we have explored strategies for facilitating adolescents'
access.
PMID- 26562104
TI - Nongovernment Philanthropic Spending on Public Health in the United States.
AB - The objective of this study was to estimate the dollar amount of nongovernment
philanthropic spending on public health activities in the United States. Health
expenditure data were derived from the US National Health Expenditures Accounts
and the US Census Bureau. Results reveal that spending on public health is not
disaggregated from health spending in general. The level of philanthropic
spending is estimated as, on average, 7% of overall health spending, or about
$150 billion annually according to National Health Expenditures Accounts data
tables. When a point estimate of charity care provided by hospitals and office
based physicians is added, the value of nongovernment philanthropic expenditures
reaches approximately $203 billion, or about 10% of all health spending annually.
PMID- 26562105
TI - Halfdan Mahler: Architect and Defender of the World Health Organization "Health
for All by 2000" Declaration of 1978.
PMID- 26562106
TI - Toxicity of Botanical Medicines: An Overlooked Global Health Problem.
PMID- 26562107
TI - Improving Adolescent Parenting: Results From a Randomized Controlled Trial of a
Home Visiting Program for Young Families.
AB - OBJECTIVES: Our aim was to estimate the effects of Healthy Families
Massachusetts, a statewide home visiting program serving first-time adolescent
parents, on parenting, child development, educational attainment, family
planning, and maternal health and well-being. METHODS: We used a randomized
controlled trial design to randomly assign the 704 participants to a group
receiving home visiting services or a control group. Between 2008 and 2012,
telephone and in-person interviews were conducted and administrative data
obtained at 12 and 24 months after enrollment. Intention-to-treat analyses
compared group differences across 5 outcome domains: parenting, child health and
development, educational and economic achievement, family planning, and parental
health and well-being. RESULTS: The home visiting program had a positive
influence on parenting stress, college attendance, condom use, intimate partner
violence, and engagement in risky behaviors. No negative findings were observed.
CONCLUSIONS: A paraprofessional home visiting program specifically targeting
young mothers appears effective in domains of particular salience to young
parents and their infants and toddlers. Expanding participation in the program
appears a worthy goal for program administrators and policymakers.
PMID- 26562108
TI - Communities of Color Creating Healthy Environments to Combat Childhood Obesity.
AB - Ethnic and racial health disparities present an enduring challenge to community
based health promotion, which rarely targets their underlying population-level
determinants (e.g., poverty, food insecurity, health care inequity). We present a
novel 3-lens prescription for using community organizing to treat these
determinants in communities of color based on the Robert Wood Johnson
Foundation's Communities Creating Healthy Environments initiative, the first
national project to combat childhood obesity in communities of color using
community organizing strategies. The lenses--Social Justice, Culture-Place, and
Organizational Capacity-Organizing Approach--assist health professional-community
partnerships in planning and evaluating community organizing-based health
promotion programs. These programs activate community stakeholders to alter their
community's disease-causing, population-level determinants through grassroots
policy advocacy, potentially reducing health disparities affecting communities of
color.
PMID- 26562109
TI - Community-Based Health Financing and Child Stunting in Rural Rwanda.
AB - OBJECTIVES: We analyzed the likelihood of rural children (aged 6-24 months) being
stunted according to whether they were enrolled in Mutuelles, a community-based
health-financing program providing health insurance to rural populations and
granting them access to health care, including nutrition services. METHODS: We
retrieved health facility data from the District Health System Strengthening Tool
and calculated the percentage of rural health centers that provided nutrition
related services required by Mutuelles' minimum service package. We used data
from the 2010 Rwanda Demographic and Health Survey and performed multilevel
logistic analysis to control for clustering effects and sociodemographic
characteristics. The final sample was 1061 children. RESULTS: Among 384 rural
health centers, more than 90% conducted nutrition-related campaigns and
malnutrition screening for children. Regardless of poverty status, the risk of
being stunted was significantly lower (odds ratio = 0.60; 95% credible interval =
0.41, 0.83) for Mutuelles enrollees. This finding was robust to various model
specifications (adjusted for Mutuelles enrollment, poverty status, other
variables) or estimation methods (fixed and random effects). CONCLUSIONS: This
study provides evidence of the effectiveness of Mutuelles in improving child
nutrition status and supported the hypothesis about the role of Mutuelles in
expanding medical and nutritional care coverage for children.
PMID- 26562110
TI - Public Health and Hospitals: Lessons Learned From Partnerships in a Changing
Health Care Environment.
AB - Recent changes in policymaking, such as the passage of the Patient Protection and
Affordable Care Act, have ushered in a new era in community health partnerships.
To investigate characteristics of effective collaboration between hospitals,
their parent systems, and the public health community, with the support of major
hospital, medical, and public health associations, we compiled a list of 157
successful partnerships. This set was subsequently narrowed to 12 successful and
diverse partnerships. After conducting site visits in each of the partnerships'
communities and interviews with key partnership participants, we extracted
lessons about their success. The lessons we have learned from our investigation
have the potential to assist others as they develop partnerships.
PMID- 26562111
TI - Increasing the Incidence and Influence of Systematic Reviews on Health Policy and
Practice.
PMID- 26562112
TI - A Public Health of Consequence.
PMID- 26562113
TI - Patterns and Trends of Newly Diagnosed HIV Infections Among Adults and
Adolescents in Correctional and Noncorrectional Facilities, United States, 2008
2011.
AB - OBJECTIVES: We aimed to determine whether the patterns and trends of HIV
infections newly diagnosed within correctional and noncorrectional facilities
differ. METHODS: We classified persons newly diagnosed with HIV infection in the
United States between 2008 and 2011 (n = 181,710) by correctional and
noncorrectional facilities where diagnoses were first made, and stratified by
sex, age group, race/ethnicity, transmission category, and diagnosis year.
RESULTS: An estimated 9187 persons were newly diagnosed with HIV infection in
2008 to 2011 while incarcerated, representing approximately 5.1% of the 181,710
HIV infections diagnosed in the United States during this period. Of these
incarcerated persons, 84% were male, 30% were aged 30 to 39 years, 59% were
Black/African American, and 51% of the men had been exposed through male-to-male
sexual contact. Yearly numbers of diagnoses declined by 9.9% in correctional
versus 0.3% in noncorrectional facilities. The percentage with a late HIV
diagnosis was significantly lower in correctional than in noncorrectional
facilities (prevalence ratio = 0.52; 95% confidence interval = 0.49, 0.55).
CONCLUSIONS: Initial HIV diagnosis occurred sooner after HIV infection onset in
correctional than in noncorrectional settings, pointing to the need for efficient
referral systems after release.
PMID- 26562114
TI - Sociodemographic Predictors of Vaccination Exemptions on the Basis of Personal
Belief in California.
AB - OBJECTIVES: We examined the variability in the percentage of students with
personal belief exemptions (PBEs) from mandatory vaccinations in California
schools and communities according to income, education, race, and school
characteristics. METHODS: We used spatial lag models to analyze 2007-2013 PBE
data from the California Department of Public Health. The analyses included
school- and regional-level models, and separately examined the percentage of
students with exemptions in 2013 and the change in percentages over time.
RESULTS: The percentage of students with PBEs doubled from 2007 to 2013, from
1.54% to 3.06%. Across all models, higher median household income and higher
percentage of White race in the population, but not educational attainment,
significantly predicted higher percentages of students with PBEs in 2013. Higher
income, White population, and private school type significantly predicted greater
increases in exemptions from 2007 to 2013, whereas higher educational attainment
was associated with smaller increases. CONCLUSIONS: Personal belief exemptions
are more common in areas with a higher percentage of White race and higher
income.
PMID- 26562115
TI - Public Health's Falling Share of US Health Spending.
AB - We examined trends in US public health expenditures by analyzing historical and
projected National Health Expenditure Accounts data. Per-capita public health
spending (inflation-adjusted) rose from $39 in 1960 to $281 in 2008, and has
fallen by 9.3% since then. Public health's share of total health expenditures
rose from 1.36% in 1960 to 3.18% in 2002, then fell to 2.65% in 2014; it is
projected to fall to 2.40% in 2023. Public health spending has declined,
potentially undermining prevention and weakening responses to health inequalities
and new health threats.
PMID- 26562116
TI - Realizing Reproductive Health Equity Needs More Than Long-Acting Reversible
Contraception (LARC).
PMID- 26562117
TI - The Public Health Framework of Legalized Marijuana in Colorado.
AB - On January 1, 2014, Colorado became the first state in the nation to sell legal
recreational marijuana for adult use. As a result, Colorado has had to carefully
examine potential population health and safety impacts as well as the role of
public health in response to legalization. We have discussed an emerging public
health framework for legalized recreational marijuana. We have outlined this
framework according to the core public health functions of assessment, policy
development, and assurance. In addition, we have discussed challenges to
implementing this framework that other states considering legalization may face.
PMID- 26562118
TI - Free Bus Travel and Physical Activity, Gait Speed, and Adiposity in the English
Longitudinal Study of Ageing.
AB - OBJECTIVES: We investigated associations between having a bus pass, enabling free
local bus travel across the United Kingdom for state pension-aged people, and
physical activity, gait speed, and adiposity. METHODS: We used data on 4650 bus
pass-eligible people (aged >= 62 years) at wave 6 (2012-2013) of the English
Longitudinal Study of Ageing in regression analyses. RESULTS: Bus pass holders
were more likely to be female (odds ratio [OR] = 1.67; 95% confidence interval
[CI] = 1.38, 2.02; P < .001), retired (OR = 2.65; 95% CI = 2.10, 3.35; P < .001),
without access to a car (OR = 2.78; 95% CI = 1.83, 4.21; P < .001), to use public
transportation (OR = 10.26; 95% CI = 8.33, 12.64; P < .001), and to be physically
active (OR = 1.43; 95% CI = 1.12, 1.84; P = .004). Female pass holders had faster
gait speed (b = 0.06 meters per second; 95% CI = 0.02, 0.09; P = .001), a body
mass index 1 kilogram per meter squared lower (b = -1.20; 95% CI = -1.93, -0.46;
P = .001), and waist circumference 3 centimeters smaller (b = -3.32; 95% CI =
5.02, -1.62; P < .001) than women without a pass. CONCLUSIONS: Free bus travel
for older people helps make transportation universally accessible, including for
those at risk for social isolation. Those with a bus pass are more physically
active. Among women in particular, the bus pass is associated with healthier
aging.
PMID- 26562119
TI - Access to Care and Chronic Disease Outcomes Among Medicaid-Insured Persons Versus
the Uninsured.
AB - OBJECTIVES: We sought to determine the association between Medicaid coverage and
the receipt of appropriate clinical care. METHODS: Using the 1999 to 2012
National Health and Nutritional Examination Surveys, we identified adults aged 18
to 64 years with incomes below the federal poverty level, and compared outpatient
visit frequency, awareness, and control of chronic diseases between the uninsured
(n = 2975) and those who had Medicaid (n = 1485). RESULTS: Respondents with
Medicaid were more likely than the uninsured to have at least 1 outpatient
physician visit annually, after we controlled for patient characteristics (odds
ratio [OR] = 5.0; 95% confidence interval [CI] = 3.8, 6.6). Among poor persons
with evidence of hypertension, Medicaid coverage was associated with greater
awareness (OR = 1.83; 95% CI = 1.26, 2.66) and control (OR = 1.69; 95% CI = 1.32,
2.27) of their condition. Medicaid coverage was also associated with awareness of
being overweight (OR = 1.30; 95% CI = 1.02, 1.67), but not with awareness or
control of diabetes or hypercholesterolemia. CONCLUSIONS: Among poor adults
nationally, Medicaid coverage appears to facilitate outpatient physician care and
to improve blood pressure control.
PMID- 26562120
TI - Inequalities of Income and Inequalities of Longevity: A Cross-Country Study.
AB - OBJECTIVES: We examined the effects of market income inequality (income
inequality before taxes and transfers) and income redistribution via taxes and
transfers on inequality in longevity. METHODS: We used life tables to compute
Gini coefficients of longevity inequality for all individuals and for individuals
who survived to at least 10 years of age. We regressed longevity inequality on
market income inequality and income redistribution, and we controlled for
potential confounders, in a cross-sectional time-series sample of up to 28
predominantly Western developed countries and up to 37 years (1974-2011).
RESULTS: Income inequality before taxes and transfers was positively associated
with inequality in the number of years lived; income redistribution (the
difference between market income inequality and income inequality after taxes and
transfers were accounted for) was negatively associated with longevity
inequality. CONCLUSIONS: To the extent that our estimated effects derived from
observational data are causal, governments can reduce longevity inequality not
only via public health policies, but also via their influence on market income
inequality and the redistribution of incomes from the relatively rich to the
relatively poor.
PMID- 26562121
TI - Chinese Immigrant Religious Institutions' Variability in Views on Preventing
Sexual Transmission of HIV.
AB - OBJECTIVES: We examined Chinese immigrant religious institutions' views on
teaching about preventing sexual transmission of HIV and the consistency of their
views with public health best practices in HIV prevention. METHODS: We used 2009
to 2011 survey data from 712 members of 20 New York City-based Chinese immigrant
religious institutions to analyze their views on (1) teaching adolescents about
condoms, (2) discussing homosexuality nonjudgmentally, and (3) promoting
abstinence until marriage. RESULTS: Religion type was a significant predictor of
views in the 3 domains, with Evangelical Protestants in least agreement with
public health best practices, Buddhists in most agreement, and mainline
Protestants between them. Greater HIV knowledge was significantly associated with
agreement with best practices in all 3 domains. The frequency of prayer,
meditation, or chanting and the level of acculturation were significant
predictors of views on teaching adolescents about condoms and promoting
abstinence until marriage. CONCLUSIONS: The best practice messages about HIV
prevention that Chinese immigrant religious institutions find acceptable vary
according to religion type and several other key factors, including HIV
knowledge; frequency of prayer, meditation, or chanting; and level of
acculturation.
PMID- 26562122
TI - Evaluating Public Health Interventions: 1. Examples, Definitions, and a Personal
Note.
AB - In the first contribution to a new section in AJPH that will address critical
methodological issues in evaluations of public health interventions, I will
discuss topics in study design and analysis, covering the most innovative
emerging methodologies and providing an overview of best practices. The methods
considered are motivated by public health evaluations, both domestic and global.
In this first contribution, I also define implementation science, program
evaluation, impact evaluation, and cost-effectiveness research, disciplines that
have tremendous methodological and substantive overlap with evaluation of public
health interventions--the focus of this section.
PMID- 26562124
TI - Substance Use and Cumulative Exposure to American Society: Findings From Both
Sides of the US-Mexico Border Region.
AB - OBJECTIVES: We investigated whether Mexican immigration to the United States
exerts transnational effects on substance use in Mexico and the United States.
METHODS: We performed a cross-sectional survey of 2336 Mexican Americans and 2460
Mexicans in 3 Texas border metropolitan areas and their sister cities in Mexico
(the US-Mexico Study on Alcohol and Related Conditions, 2011-2013). We collected
prevalence and risk factors for alcohol and drug use; Diagnostic and Statistical
Manual of Mental Disorders, Fourth Edition, alcohol-use disorders; and 2 symptoms
(hazardous use and quit or control) of drug use disorder across a continuum of
migration experiences in the Mexican and Mexican American populations. RESULTS:
Compared with Mexicans with no migrant experience, the adjusted odds ratios for
this continuum of migration experiences ranged from 1.10 to 8.85 for 12-month
drug use, 1.09 to 5.07 for 12-month alcohol use disorder, and 1.13 to 9.95 for 12
month drug-use disorder. Odds ratios increased with longer exposure to US
society. These findings are consistent with those of 3 previous studies.
CONCLUSIONS: People of Mexican origin have increased prevalence of substance use
and disorders with cumulative exposure to US society.
PMID- 26562123
TI - Housing Status, Medical Care, and Health Outcomes Among People Living With
HIV/AIDS: A Systematic Review.
AB - BACKGROUND: Accumulating evidence suggests responses to HIV that combine
individual-level interventions with those that address structural or contextual
factors that influence risks and health outcomes of infection. Housing is such a
factor. Housing occupies a strategic position as an intermediate structural
factor, linking "upstream" economic, social, and cultural determinants to the
more immediate physical and social environments in which everyday life is lived.
The importance of housing status for HIV prevention and care has been recognized,
but much of this attention has focused on homeless individuals as a special risk
group. Analyses have less often addressed community housing availability and
conditions as factors influencing population health or unstable, inadequate, or
unaffordable housing as a situation or temporary state. A focus on individual
level characteristics associated with literal homelessness glosses over social,
economic, and policy drivers operating largely outside any specific individual's
control that affect housing and residential environments and the health resources
or risk exposures such contexts provide. OBJECTIVES: We examined the available
empirical evidence on the association between housing status (broadly defined),
medical care, and health outcomes among people with HIV and analyzed results to
inform future research, program development, and policy implementation. SEARCH
METHODS: We searched 8 electronic health and social science databases from
January 1, 1996, through March 31, 2014, using search terms related to housing,
dwelling, and living arrangements and HIV and AIDS. We contacted experts for
additional literature. SELECTION CRITERIA: We selected articles if they were
quantitative analyses published in English, French, or Spanish that included at
least 1 measure of housing status as an independent variable and at least 1
health status, health care, treatment adherence, or risk behavior outcome among
people with HIV in high-income countries. We defined housing status to include
consideration of material or social dimensions of housing adequacy, stability,
and security of tenure. DATA COLLECTION AND ANALYSIS: Two independent reviewers
performed data extraction and quality appraisal. We used the Cochrane Risk of
Bias Tool for randomized controlled trials and a modified version of the
Newcastle Ottawa Quality Appraisal Tool for nonintervention studies. In our
quality appraisal, we focused on issues of quality for observational studies:
appropriate methods for determining exposure and measuring outcomes and methods
to control confounding. RESULTS: Searches yielded 5528 references from which we
included 152 studies, representing 139,757 HIV-positive participants. Most
studies were conducted in the United States and Canada. Studies examined access
and utilization of HIV medical care, adherence to antiretroviral medications, HIV
clinical outcomes, other health outcomes, emergency department and inpatient
utilization, and sex and drug risk behaviors. With rare exceptions, across
studies in all domains, worse housing status was independently associated with
worse outcomes, controlling for a range of individual patient and care system
characteristics. CONCLUSIONS: Lack of stable, secure, adequate housing is a
significant barrier to consistent and appropriate HIV medical care, access and
adherence to antiretroviral medications, sustained viral suppression, and risk of
forward transmission. Studies that examined the history of homelessness or
problematic housing years before outcome assessment were least likely to find
negative outcomes, homelessness being a potentially modifiable contextual factor.
Randomized controlled trials and observational studies indicate an independent
effect of housing assistance on improved outcomes for formerly homeless or
inadequately housed people with HIV. Housing challenges result from complex
interactions between individual vulnerabilities and broader economic, political,
and legal structural determinants of health. The broad structural processes
sustaining social exclusion and inequality seem beyond the immediate reach of HIV
interventions, but changing housing and residential environments is both possible
and promising.
PMID- 26562125
TI - Accelerated Adoption of Smoke-Free Laws After Ratification of the World Health
Organization Framework Convention on Tobacco Control.
AB - OBJECTIVES: We sought to evaluate the effect of ratifying the World Health
Organization Framework Convention on Tobacco Control (FCTC) on countries enacting
smoke-free laws covering indoor workplaces, restaurants, and bars. METHODS: We
compared adoption of smoke-free indoor workplace, restaurant, and bar laws in
countries that did versus did not ratify the FCTC, accounting for years since the
ratification of the FCTC and for countries' World Bank income group. RESULTS:
Ratification of the FCTC significantly (P < .001) increased the probability of
smoke-free laws. This effect faded with time, with a half-life of 3.1 years for
indoor workplaces and 3.8 years for restaurants and bars. Compared with high
income countries, upper-middle-income countries had a significantly higher
probability of smoke-free indoor workplace laws. CONCLUSIONS: The FCTC
accelerated the adoption of smoke-free indoor workplace, restaurant, and bar
laws, with the greatest effect in the years immediately following ratification.
The policy implication is that health advocates must increase efforts to secure
implementation of FCTC smoke-free provisions in countries that have not done so.
PMID- 26562126
TI - Health Profiles of Newly Arrived Refugee Children in the United States, 2006
2012.
AB - OBJECTIVES: We conducted a large-scale study of newly arrived refugee children in
the United States with data from 2006 to 2012 domestic medical examinations in 4
sites: Colorado; Minnesota; Philadelphia, Pennsylvania; and Washington State.
METHODS: Blood lead level, anemia, hepatitis B virus (HBV) infection,
tuberculosis infection or disease, and Strongyloides seropositivity data were
available for 8148 refugee children (aged < 19 years) from Bhutan, Burma,
Democratic Republic of Congo, Ethiopia, Iraq, and Somalia. RESULTS: We identified
distinct health profiles for each country of origin, as well as for Burmese
children who arrived in the United States from Thailand compared with Burmese
children who arrived from Malaysia. Hepatitis B was more prevalent among male
children than female children and among children aged 5 years and older. The odds
of HBV, tuberculosis, and Strongyloides decreased over the study period.
CONCLUSIONS: Medical screening remains an important part of health care for newly
arrived refugee children in the United States, and disease risk varies by
population.
PMID- 26562129
TI - Cascade of Care for Hepatitis C Virus Infection Within the US Veterans Health
Administration.
AB - OBJECTIVES: We measured the quality of HCV care using a cascade of HCV care
model. METHODS: We estimated the number of patients diagnosed with chronic HCV,
linked to HCV care, treated with HCV antivirals, and having achieved a sustained
virologic response (SVR) in the electronic medical record data from the Veterans
Health Administration's Corporate Data Warehouse and the HCV Clinical Case
Registry in 2013. RESULTS: Of the estimated 233,898 patients with chronic HCV,
77% (181,168) were diagnosed, 69% (160,794) were linked to HCV care, 17% (39,388)
were treated with HCV antivirals, and 7% (15,983) had achieved SVR. CONCLUSIONS:
This Cascade of HCV Care provides a clinically relevant model to measure the
quality of HCV care within a health care system and to compare HCV care across
health systems.
PMID- 26562128
TI - Differential Child Maltreatment Risk Across Deployment Periods of US Army
Soldiers.
AB - OBJECTIVES: We described the risk for maltreatment among toddlers of US Army
soldiers over different deployment cycles to develop a systematic response within
the US Army to provide families appropriate supports. METHODS: We conducted a
person-time analysis of substantiated maltreatment reports and medical diagnoses
among children of 112,325 deployed US Army soldiers between 2001 and 2007.
RESULTS: Risk of maltreatment was elevated after deployment for children of
soldiers deployed once but not for children of soldiers deployed twice. During
the 6 months after deployment, children of soldiers deployed once had 4.43
substantiated maltreatment reports and 4.96 medical diagnoses per 10,000 child
months. The highest maltreatment rate among children of soldiers deployed twice
occurred during the second deployment for substantiated maltreatment (4.83
episodes per 10,000 child-months) and before the first deployment for medical
diagnoses of maltreatment (3.78 episodes per 10,000 child-months). CONCLUSIONS:
We confirmed an elevated risk for child maltreatment during deployment but also
found a previously unidentified high-risk period during the 6 months following
deployment, indicating elevated stress within families of deployed and returning
soldiers. These findings can inform efforts by the military to initiate and
standardize support and preparation to families during periods of elevated risk.
PMID- 26562131
TI - Systematic Motorcycle Management and Health Care Delivery: A Field Trial.
AB - OBJECTIVES: We investigated whether managed transportation improves outreach
based health service delivery to rural village populations. METHODS: We examined
systematic transportation management in a small-cluster interrupted time series
field trial. In 8 districts in Southern Zambia, we followed health workers at 116
health facilities from September 2011 to March 2014. The primary outcome was the
average number of outreach trips per health worker per week. Secondary outcomes
were health worker productivity, motorcycle performance, and geographical
coverage. RESULTS: Systematic fleet management resulted in an increase of 0.9 (SD
= 1.0) trips to rural villages per health worker per week (P < .001), village
level health worker productivity by 20.5 (SD = 5.9) patient visits, 10.2 (SD =
1.5) measles immunizations, and 5.2 (SD = 5.4) child growth assessments per
health worker per week. Motorcycle uptime increased by 3.5 days per week (P <
.001), use by 1.5 days per week (P < .001), and mean distance by 9.3 kilometers
per trip (P < .001). Geographical coverage of health outreach increased in
experimental (P < .001) but not control districts. CONCLUSIONS: Systematic
motorcycle management improves basic health care delivery to rural villages in
resource-poor environments through increased health worker productivity and
greater geographical coverage.
PMID- 26562132
TI - Northridge and Coupey Respond.
PMID- 26562127
TI - Global Birth Prevalence of Spina Bifida by Folic Acid Fortification Status: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: Birth defects remain a significant source of worldwide morbidity and
mortality. Strong scientific evidence shows that folic acid fortification of a
region's food supply leads to a decrease in spina bifida (a birth defect of the
spine). Still, many countries around the world have yet to approve mandatory
fortification through government legislation. OBJECTIVES: We sought to perform a
systematic review and meta-analysis of period prevalence of spina bifida by folic
acid fortification status, geographic region, and study population. SEARCH
METHODS: An expert research librarian used terms related to neural tube defects
and epidemiology from primary research from 1985 to 2010 to search in EMBASE and
MEDLINE. We searched the reference lists of included articles and key review
articles identified by experts. SELECTION CRITERIA: Inclusion criteria included
studies in English or French reporting on prevalence published between January
1985 and December 2010 that (1) were primary research, (2) were population-based,
and (3) reported a point or period prevalence estimate of spina bifida (i.e.,
prevalence estimate with confidence intervals or case numerator and population
denominator). Two independent reviewers screened titles and abstracts for
eligible articles, then 2 authors screened full texts in duplicate for final
inclusion. Disagreements were resolved through consensus or a third party. DATA
COLLECTION AND ANALYSIS: We followed Preferred Reporting Items for Systematic
Reviews and Meta-Analyses, or PRISMA, abstracting data related to case
ascertainment, study population, folic acid fortification status, geographic
region, and prevalence estimate independently and in duplicate. We extracted
overall data and any subgroups reported by age, gender, time period, or type of
spina bifida. We classified each period prevalence estimate as "mandatory" or
"voluntary" folic acid fortification according to each country's folic acid
fortification status at the time data were collected (as determined by a well
recognized fortification monitoring body, Food Fortification Initiative). We
determined study quality on the basis of sample representativeness,
standardization of data collection and birth defect assessment, and statistical
analyses. We analyzed study-level period prevalence estimates by using a random
effects model (alpha level of < 0.05) for all meta-analyses. We stratified pooled
period prevalence estimates by birth population, fortification status, and
continent. RESULTS: Of 4078 studies identified, we included 179 studies in the
systematic review and 123 in a meta-analysis. In studies of live births (LBs)
alone, period prevalences of spina bifida were (1) lower in geographical regions
with mandatory (33.86 per 100,000 LBs) versus voluntary (48.35 per 100,000 LBs)
folic acid fortification, and (2) lower in studies of LBs, stillbirths, and
terminations of pregnancy in regions with mandatory (35.22 per 100,000 LBs)
versus voluntary (52.29 per 100,000 LBs) fortification. In LBs, stillbirths, and
terminations of pregnancy studies, the lowest pooled prevalence estimate was in
North America (38.70 per 100,000). Case ascertainment, surveillance methods, and
reporting varied across these population-based studies. CONCLUSIONS: Mandatory
legislation enforcing folic acid fortification of the food supply lags behind the
evidence, particularly in Asian and European countries. This extensive literature
review shows that spina bifida is significantly more common in world regions
without government legislation regulating full-coverage folic acid fortification
of the food supply (i.e., Asia, Europe) and that mandatory folic acid
fortification resulted in a lower prevalence of spina bifida regardless of the
type of birth cohort. African data were scarce, but needed, as many African
nations are beginning to adopt folic acid legislation.
PMID- 26562130
TI - Correlates of Sexual HIV Risk Among African American Men Who Have Sex With Men.
AB - OBJECTIVES: We examined correlates of condomless anal intercourse with nonmain
sexual partners among African American men who have sex with men (MSM). METHODS:
We recruited social networks composed of 445 Black MSM from 2012 to 2014 in
Milwaukee, Wisconsin; Cleveland, Ohio; and Miami Beach, Florida. Participants
reported past-3-month sexual behavior, substance use, and background,
psychosocial, and HIV-related characteristics. RESULTS: Condomless anal
intercourse outside main concordant partnerships, reported by 34.4% of MSM, was
less likely in the case of no alcohol and marijuana use in the past 30 days, and
higher risk-reduction behavioral intentions. High frequency of condomless anal
intercourse acts with nonmain partners was associated with high gay community
participation, weak risk-reduction intentions, safer sex not being perceived as a
peer norm, low condom-use self-efficacy, and longer time since most recent HIV
testing. CONCLUSIONS: Condomless anal intercourse with nonmain partners among
Black MSM was primarily associated with gay community participation, alcohol and
marijuana use, and risk-reduction behavioral intentions.
PMID- 26562133
TI - Local weighting of nanometric track structure properties in macroscopic voxel
geometries for particle beam treatment planning.
AB - The research project BioQuaRT within the European Metrology Research Programme
aimed at correlating ion track structure characteristics with the biological
effects of radiation and developed measurement and simulation techniques for
determining ion track structure on different length scales from about 2 nm to
about 10 MUm. Within this framework, we investigated methods to translate track
structure quantities derived on a nanometre scale to macroscopic dimensions. Here
we make use of parameterizations that link the energy of the projectile to the
ionization pattern of the track using nanodosimetric ionization cluster size
distributions. They were defined with data generated by simulations of ion tracks
in liquid water using the Geant4 Monte Carlo toolkit with the Geant4-DNA
processes. For the clinical situation with a mixed radiation field, where
particles of various energies hit a cell from several directions, we have to find
macroscopic relevant mean values. They can be determined by appropriate local
weighting functions for the identified parameterization. We show that a stopping
power weighted mean value of the mentioned track structure properties can
describe the overall track structure in a cell exposed to a mixed radiation
field. The parameterization, together with the presented stopping power weighting
approach, show how nanometric track structure properties could be integrated into
treatment planning systems without the need to perform time consuming simulations
on the nanometer level for each individual patient.
PMID- 26562134
TI - Nb and Ta layer doping effects on the interfacial energetics and electronic
properties of LaAlO3/SrTiO3 heterostructure: first-principles analysis.
AB - The two-dimensional electron gas (2DEG) formed at the n-type (LaO)(+1)/(TiO2)(0)
interface in the polar/nonpolar LaAlO3/SrTiO3 (LAO/STO) heterostructure (HS) has
emerged as a prominent research area because of its great potential for
nanoelectronic applications. Due to its practical implementation in devices,
desired physical properties such as high charge carrier density and mobility are
vital. In this respect, 4d and 5d transition metal doping near the interfacial
region is expected to tailor electronic properties of the LAO/STO HS system
effectively. Herein, we studied Nb and Ta-doping effects on the energetics,
electronic structure, interfacial charge carrier density, magnetic moment, and
the charge confinements of the 2DEG at the n-type (LaO)(+1)/(TiO2)(0) interface
of LAO/STO HS using first-principles density functional theory calculations. We
found that the substitutional doping of Nb(Ta) at Ti [Nb(Ta)@Ti] and Al
[Nb(Ta)@Al] sites is energetically more favorable than that at La [Nb(Ta)@La] and
Sr [Nb(Ta)@Sr] sites, and under appropriate thermodynamic conditions, the changes
in the interfacial energy of HS systems upon Nb(Ta)@Ti and Nb(Ta)@Al doping are
negative, implying that the formation of these structures is energetically
favored. Our calculations also showed that Nb(Ta)@Ti and Nb(Ta)@Al doping
significantly improve the interfacial charge carrier density with respect to that
of the undoped system, which is because the Nb(Ta) dopant introduces excess free
electrons into the system, and these free electrons reside mainly on the Nb(Ta)
ions and interfacial Ti ions. Hence, along with the Ti 3d orbitals, the Nb 4d and
Ta 5d orbitals also contribute to the interfacial metallic states; accordingly,
the magnetic moments on the interfacial Ti ions increase significantly. As
expected, the Nb@Al and Ta@Al doped LAO/STO HS systems show higher interfacial
charge carrier density than the undoped and other doped systems. In contrast,
Nb@Ti and Ta@Ti doped systems may show higher charge carrier mobility because of
the lower electron effective mass.
PMID- 26562135
TI - [Correlation from Undiluted Vitreous Cytokines of Untreated Central Retinal Vein
Occlusion with Spectral Domain Optical Coherence Tomography].
AB - PURPOSE: To correlate key inflammatory and pro-angiogenic cytokines from
undiluted vitreous fluid of treatment-naive patients with central retinal vein
occlusion (CRVO) with SD-OCT parameters. METHODS: Thirty-five patients (age 71.1
years, 24 phakic, 30 non-ischaemic) underwent intravitreal combination therapy,
including single-site 23-gauge core vitrectomy. Twenty-eight samples from
patients with idiopathic, non-uveitis floaterectomy served as controls. Levels of
interleukin 6 (IL-6), monocyte chemoattractant protein-1 (MCP-1), and vascular
endothelial growth factor (VEGF-A) were correlated with visual acuity (logMar),
category of CRVO (ischaemic or non-ischaemic) and morphological parameters, such
as central macular thickness (CMT), thickness of neurosensory retina (Tneuro),
extent of serous retinal detachment (SRT) and disintegrity of the IS/OS and
others. RESULTS: Mean IL-6 was 64.7 pg/ml (SD +/- 115.8), mean MCP-1 1015.7 pg/ml
(+/- 970.1), and mean VEGF-A 278.4 pg/ml (+/- 512.8), which was significantly
higher than the control values of IL-6 6.2 +/- 3.4 pg/ml (p = 0.06), MCP-1 253.2
+/- 73.5 pg/ml (p < 0.0 000 001) and VEGF-A 7.0 +/- 4.9 pg/ml (p < 0.0006),
respectively. All cytokines correlated highly with one another (correlation
coefficient r = 0.82 for IL-6 and MCP-1; r = 0.68 for Il-6 and VEGF-A; r = 0.64
for MCP-1 and VEGF-A). IL-6 correlated significantly with CMT, TRT, SRT, dIS/OS,
and dELM. MCP-1 correlated significantly with SRT, dIS/OS, and dELM. VEGF-A did
not correlate with changes in SD-OCT, while it had a trend to be higher in the
ischaemic versus the non-ischaemic CRVO groups (p = 0.09). CONCLUSIONS: The
inflammatory cytokines were more often correlated with morphological changes
assessed by SD-OCT, whereas VEGF-A did not correlate with CRVO-associated changes
in SD-OCT. VEGF inhibition alone may not be sufficient to decrease the
inflammatory response in CRVO therapy.
PMID- 26562136
TI - [Effectiveness of Intravitreal Aflibercept Injections in Patients who had
Received 10 and More Ranibizumab Injections in Advance].
AB - BACKGROUND: Since 2007, the standard treatment for age related macular
degeneration has been intravitreal injection of ranibizumab. However, despite
continuous treatment, some patients fail to achieve remission or stabilisation of
the disease. Since 2012, the recombinant fusion protein aflibercept has been
available as an alternative treatment. In this study, we investigated whether
patients who appear to be resistant to ranibizumab would benefit from treatment
with aflibercept. METHODOLOGY: This retrospective study covered 83 eyes of 81
patients, for whom treatment switch from ranibizumab to aflibercept was
indicated. Inclusion criteria were an age >= 50 years and at least 10 ranibizumab
injections before a switch to aflibercept. Patients with severely impaired visual
acuity were excluded. Primary outcomes were improvement or loss of visual acuity
(VA) and evaluation of central macular thickness (CMT) via SD-OCT. Secondary
endpoints were percentage of eyes without activity of the choroidal neovascular
membrane after aflibercept injections and loss or gain of letters on the visual
chart. Statistical analysis was performed using SPSS. RESULTS: VA was 0.83 +/-
0.34 logMAR before the first aflibercept injection, with a slight but not
statistically significant improvement up to 0.79 +/- 0.33 logMAR after the third
aflibercept injection (p = 0.205). On the other hand, there was a clear reduction
of CMT in OCT, from 451.4 +/- 263.0 to 288.2 +/- 128.2 um (p = 0.0001). Overall,
73 % of eyes exhibited better or stable VA and 27 % of eyes lost VA.
Interestingly, eyes with worse initial VA gained greater benefit from the switch
to aflibercept (p = 0.001). CONCLUSION: A switch to aflibercept may lead to
stabilisation of choroidal neovascularisation and thus stabilise the visual
acuity for patients who appear to be no longer responsive to treatment with
ranibizumab.
PMID- 26562137
TI - A systematic review of the status of children's school access in low- and middle
income countries between 1998 and 2013: using the INDEPTH Network platform to
fill the research gaps.
AB - BACKGROUND: The framework for expanding children's school access in low- and
middle-income countries (LMICs) has been directed by universal education policies
as part of Education for All since 1990. In measuring progress to universal
education, a narrow conceptualisation of access which dichotomises children's
participation as being in or out of school has often been assumed. Yet, the
actual promise of universal education goes beyond this simple definition to
include retention, progression, completion, and learning. OBJECTIVE: Our first
objective was to identify gaps in the literature on children's school access
using the zones of exclusion of the Consortium for Research on Educational
Access, Transition, and Equity as a framework. Second, we gave consideration to
how these gaps can be met by using longitudinal and cross-country data from
Health and Demographic Surveillance System (HDSS) sites within the International
Network for the Demographic Evaluation of Population and Their Health (INDEPTH)
in LMICs. DESIGN: Using Web of Science, we conducted a literature search of
studies published in international peer-reviewed journals between 1998 and 2013
in LMICs. The phrases we searched included six school outcomes: school enrolment,
school attendance, grade progression, school dropout, primary to secondary school
transition, and school completion. From our search, we recorded studies according
to: 1) school outcomes; 2) whether longitudinal data were used; and 3) whether
data from more than one country were analysed. RESULTS: The area of school access
most published is enrolment followed by attendance and dropout. Primary to
secondary school transition and grade progression had the least number of
publications. Of 132 publications which we found to be relevant to school access,
33 made use of longitudinal data and 17 performed cross-country analyses.
CONCLUSIONS: The majority of studies published in international peer-reviewed
journals on children's school access between 1998 and 2013 were focused on three
outcomes: enrolment, attendance, and dropout. Few of these studies used data
collected over time or data collected from more than one country for comparative
analyses. The contribution of the INDEPTH Network in helping to address these
gaps in the literature lies in the longitudinal design of HDSS surveys and in the
diversity of countries within the network.
PMID- 26562138
TI - Trends in contraceptive use and distribution of births with demographic risk
factors in Ethiopia: a sub-national analysis.
AB - BACKGROUND: Evidence shows that family planning contributes to the decline in
child mortality by decreasing the proportions of births that are considered high
risk. The main objective of the present analysis was to examine the trends in use
of modern contraceptives and their relationship with total fertility rate (TFR)
and distribution of births by demographic risk factors as defined by mother's
age, birth interval, and birth order at the sub-national level in Ethiopia.
DESIGN: Analyses used data from three Demographic and Health Surveys in Ethiopia
(2000, 2005, and 2011), which are nationally representative data collected
through questionnaire-based interviews from women 15-49 using a stratified, two
stage cluster sampling. First, we examined the trends of and relationship between
TFR (in the 3 years before each survey) and modern contraceptive use among
currently married women in all administrative regions over the time period 2000
2011 using linear regression analysis. We also examined the relationship between
birth risks and under-five mortality using the no-risk group as a reference.
Finally, multiple logistic regression analysis was performed to estimate the
relationship between the effect of being a resident in one of the regions and
having an avoidable birth risk (which includes births to mothers younger than 18
and older than 34 years, birth interval of less than 24 months and birth order
higher than third) after adjusting for select covariates including wealth,
educational status, residence, religion and exposure to family planning
information. RESULTS: Sub-national-level regression analysis showed an inverse
relationship between modern contraceptive use among married women and the TFR,
with an average decrease of TFR by one child per woman associated with a 13
percentage point increase in modern contraceptive use between 2000 and 2011. A
high percentage of births in Ethiopia (62%) fall in one of the risk categories
(excluding first births), with wide regional variation from 55% in Gambela to 72%
in the Somali region. The multivariate analysis showed women living in the
Somali, Afar and Benishangul-Gumuz regions had significantly higher odds of
having avoidable birth risk compared to those in Addis Ababa after controlling
for observed covariates. The trend analysis showed there was a significant drop
in the proportion of births from women above 34 years between 2000 and 2011.
There was no significant decline in births to women less than 18 years between
2000 and 2011. CONCLUSIONS: A majority of births in Ethiopia fall in one of the
risk categories, with substantial region-to-region variation in the percentage of
births with avoidable risk factors, Somali and Afar having the highest burden.
The analysis indicated that births in the three regions had significantly higher
odds of having one of the avoidable risk factors compared to Addis Ababa, and we
suggest family planning programmes need to identify differentials of modern
contraceptive use at the sub-national level in order to better address coverage
and equity issues.
PMID- 26562139
TI - Potential confounding in the association between short birth intervals and
increased neonatal, infant, and child mortality.
AB - BACKGROUND: Recent steep declines in child mortality have been attributed in part
to increased use of contraceptives and the resulting change in fertility
behaviour, including an increase in the time between births. Previous
observational studies have documented strong associations between short birth
spacing and an increase in the risk of neonatal, infant, and under-five
mortality, compared to births with longer preceding birth intervals. In this
analysis, we compare two methods to estimate the association between short birth
intervals and mortality risk to better inform modelling efforts linking family
planning and mortality in children. OBJECTIVES: Our goal was to estimate the
mortality risk for neonates, infants, and young children by preceding birth space
using household survey data, controlling for mother-level factors and to compare
the results to those from previous analyses with survey data. DESIGN: We assessed
the potential for confounding when estimating the relative mortality risk by
preceding birth interval and estimated mortality risk by birth interval in four
categories: less than 18 months, 18-23 months, 24-35 months, and 36 months or
longer. We estimated the relative risks among women who were 35 and older at the
time of the survey with two methods: in a Cox proportional hazards regression
adjusting for potential confounders and also by stratifying Cox regression by
mother, to control for all factors that remain constant over a woman's
childbearing years. We estimated the overall effects for birth spacing in a meta
analysis with random survey effects. RESULTS: We identified several factors known
for their associations with neonatal, infant, and child mortality that are also
associated with preceding birth interval. When estimating the effect of birth
spacing on mortality, we found that regression adjustment for these factors does
not substantially change the risk ratio for short birth intervals compared to an
unadjusted mortality ratio. For birth intervals less than 18 months, standard
regression adjustment for confounding factors estimated a risk ratio for neonatal
mortality of 2.28 (95% confidence interval: 2.18-2.37). This same effect
estimated within mother is 1.57 (95% confidence interval: 1.52-1.63), a decline
of almost one-third in the effect on neonatal mortality. CONCLUSIONS: Neonatal,
infant, and child mortality are strongly and significantly related to preceding
birth interval, where births within a short interval of time after the previous
birth have increased mortality. Previous analyses have demonstrated this
relationship on average across all births; however, women who have short spaces
between births are different from women with long spaces. Among women 35 years
and older where a comparison of birth spaces within mother is possible, we find a
much reduced although still significant effect of short birth spaces on child
mortality.
PMID- 26562140
TI - Meeting demand for family planning within a generation: prospects and
implications at country level.
AB - BACKGROUND: In order to track progress towards the target of universal access to
sexual and reproductive health care services of the post-2015 Sustainable
Development Goals (SDGs), a measure (demand for family planning satisfied with
modern contraceptive methods) and a benchmark (at least 75% by 2030 in all
countries) have been recommended. OBJECTIVES: The goal of this study was to
assess the prospects of reaching the benchmark at the country level. Such
information can facilitate strategic planning, including resource allocation at
global and country levels. DESIGN: We selected 63 countries based on their status
as least developed according to the United Nations or as a priority country in
global family planning initiatives. Using United Nations estimates and
projections of family planning indicators between 1970 and 2030, we calculated
percent demand for family planning satisfied with modern contraceptive methods
for each year and country. We then calculated the annual percentage point changes
between 2014 and 2030 required to meet the benchmark. The required rates of
change were compared to current projections as well as estimates between 1970 and
2010. RESULTS: To reach the benchmark on average across the 63 countries, demand
satisfied with modern methods must increase by 2.2 percentage points per year
between 2014 and 2030 - more than double current projections. Between 1970 and
2010, such rapid progress was observed in 24 study countries but typically
spanning 5-10 years. At currently projected rates, only 9 of the 63 study
countries will reach the benchmark. Meanwhile, the gap between projected and
required changes is largest in the Central and West African regions, 0.9 and 3.0
percentage points per year, respectively. If the benchmark is achieved, 334
million women across the study countries will use a modern contraceptive method
in 2030, compared to 226 million women in 2014. CONCLUSIONS: In order to achieve
the component of the SDGs calling for universal access to sexual and reproductive
health services, substantial effort is needed to accelerate rates of progress by
a factor of 2 in most study countries and by a factor of 3 in Central and West
African countries.
PMID- 26562141
TI - Estimating family planning coverage from contraceptive prevalence using national
household surveys.
AB - BACKGROUND: Contraception is one of the most important health interventions
currently available and yet, many women and couples still do not have reliable
access to modern contraceptives. The best indicator for monitoring family
planning is the proportion of women using contraception among those who need it.
This indicator is frequently called demand for family planning satisfied and we
argue that it should be called family planning coverage (FPC). This indicator is
complex to calculate and requires a considerable number of questions to be
included in a household survey. OBJECTIVES: We propose a model that can predict
FPC from a much simpler indicator - contraceptive use prevalence - for situations
where it cannot be derived directly. DESIGN: Using 197 Multiple Indicator Cluster
Surveys and Demographic and Health Surveys from 82 countries, we explored least
squares regression models that could be used to predict FPC. Non-linearity was
expected in this situation and we used a fractional polynomial approach to find
the best fitting model. We also explored the effect of calendar time and of
wealth on the models explored. RESULTS: Given the high correlation between the
variables involved in FPC, we managed to derive a relatively simple model that
depends only on contraceptive use prevalence but explains 95% of the variability
of the outcome, with high precision for the estimated regression line. We also
show that the relationship between the two variables has not changed with time. A
concordance analysis showed agreement between observed and fitted results within
a range of +/-9 percentage points. CONCLUSIONS: We show that it is possible to
obtain fairly good estimates of FPC using only contraceptive prevalence as a
predictor, a strategy that is useful in situations where it is not possible to
estimate FPC directly.
PMID- 26562142
TI - Trends and patterns of modern contraceptive use and relationships with high-risk
births and child mortality in Burkina Faso.
AB - BACKGROUND: In sub-Saharan Africa, few studies have stressed the importance of
spatial heterogeneity analysis in modern contraceptive use and the relationships
with high-risk births. OBJECTIVE: This paper aims to analyse the association
between modern contraceptive use, distribution of birth risk, and under-five
child mortality at both national and regional levels in Burkina Faso. DESIGN: The
last three Demographic and Health Surveys - conducted in Burkina Faso in 1998,
2003, and 2010 - enabled descriptions of differentials, trends, and associations
between modern contraceptive use, total fertility rates (TFR), and factors
associated with high-risk births and under-five child mortality. Multivariate
models, adjusted by covariates of cultural and socio-economic background and
contact with health system, were used to investigate the relationship between
birth risk factors and modern contraceptive prevalence rates (mCPR). RESULTS:
Overall, Burkina Faso's modern contraception level remains low (15.4% in 2010),
despite significant increases during the last decade. However, there are
substantial variations in mCPR by region, and health facility contact was
positively associated with mCPR increase. Women's fertility history and cultural
and socio-economic background were also significant factors in predicting use of
modern contraception. Low modern contraceptive use is associated with higher
birth risks and increased child mortality. This association is stronger in the
Sahel, Est, and Sud-Ouest regions. Even though all factors in high-risk births
were associated with under-five mortality, it should be stressed that short birth
spacing ranked as the highest risk in relation to mortality of children.
CONCLUSIONS: Programmes that target sub-national differentials and leverage
women's health system contacts to inform women about family planning
opportunities may be effective in improving coverage, quality, and equity of
modern contraceptive use. Improving the demand satisfied for modern contraception
may result in a reduction in the percentage of women experiencing high-risk
births and may also reduce child mortality.
PMID- 26562143
TI - Patterns and trends of contraceptive use among sexually active adolescents in
Burkina Faso, Ethiopia, and Nigeria: evidence from cross-sectional studies.
AB - BACKGROUND: The benefits of universal access to voluntary contraception have been
widely documented in terms of maternal and newborn survival, women's empowerment,
and human capital. Given population dynamics, the choices and opportunities
adolescents have in terms of access to sexual and reproductive health information
and services could significantly affect the burden of diseases and nations' human
capital. OBJECTIVES: The objectives of this paper are to assess the patterns and
trends of modern contraception use among sexually active adolescents by socio
economic characteristics and by birth spacing and parity; to explore predictors
of use of modern contraception in relation to the health system; and to discuss
implications of the findings for family planning policy and programmes. DESIGN:
Data are from the last three Demographic and Health Surveys of Ethiopia, Burkina
Faso, and Nigeria. The descriptive analysis focused on sexually active
adolescents (15- to 19-year age group), used modern contraception as the
dependent variable, and a series of contact points with the health system
(antenatal care, institutional delivery, postnatal care, immunisation) as
covariates. The multivariate analysis used the same covariates, adjusting for
socio-economic variables. RESULTS: There are two different groups of sexually
active adolescents: those married or in a union with very low use of modern
contraception and lower socio-economic status, and those unmarried, among whom
nearly 50% are using modern contraception. Younger adolescents have lower modern
contraceptive prevalence. There are significant inequality issues in modern
contraception use by education, residence, and wealth quintile. However, while
there was no significant progress in Burkina Faso and Nigeria, the data in
Ethiopia point to a significant and systematic reduction of inequalities. The
narrowing of the equity gap was most notable for childbearing adolescents with no
education or living in rural areas. In the three countries, after adjusting for
socio-economic variables, the strongest factors affecting modern contraception
use among childbearing adolescents were marriage and child immunisation.
CONCLUSIONS: Addressing child marriage and adopting effective policies and
strategies to reach married adolescents are critical for improving empowerment
and human capital of adolescent girls. The reduction of the equity gap in
coverage in Ethiopia warrants further studies and documentation. The results
suggest a missed opportunity for maternal and newborn and family planning
integration.
PMID- 26562144
TI - Patterns and trends of postpartum family planning in Ethiopia, Malawi, and
Nigeria: evidence of missed opportunities for integration.
AB - BACKGROUND: The first 12 months following childbirth are a period when a
subsequent pregnancy holds the greatest risk for mother and baby, but also when
there are numerous contacts with the healthcare system for postnatal care for
mother and baby (immunisation, nutrition, etc.). The benefits and importance of
postpartum family planning are well documented. They include a reduction in risk
of miscarriage, as well as mitigation of (or protection against) low birth
weight, neonatal and maternal death, preterm birth, and anaemia. OBJECTIVES: The
objectives of this paper are to assess patterns and trends in the use of
postpartum family planning at the country level, to determine whether postpartum
family planning is associated with birth interval and parity, and to identify the
health services most closely associated with postpartum family planning after
adjusting for socio-economic characteristics. DESIGN: Data were used from
Demographic and Health Surveys that contain a reproductive calendar, carried out
within the last 10 years, from Ethiopia, Malawi, and Nigeria. All women for whom
the calendar was completed and who gave birth between 57 and 60 months prior to
data collection were included in the analysis. For each of the births, we merged
the reproductive calendar with the birth record into a survey for each country
reflecting the previous 60 months. The definition of the postpartum period in
this paper is based on a period of 3 months postpartum. We used this definition
to assess early adoption of postpartum family planning. We assessed variations in
postpartum family planning according to demographic and socio-economic variables,
as well as its association with various contact opportunities with the health
system [antenatal care (ANC), childbirth in facilities, immunisation, etc.]. We
did simple descriptive analysis with tabular, graphic, and 'equiplot' displays
and a logistic regression controlling for important background characteristics.
RESULTS: Overall, variation in postpartum use of modern contraception was not
affected over the years by age or marital status. One contrast to this is in
Ethiopia, where the data show a significant increase in uptake of postpartum
contraception among adolescents from 2005 to 2011. There are systematic and
pervasive equity issues in the use of modern postpartum family planning by
education level, place of residence, and wealth quintile, especially in Ethiopia
where the gaps are very large. Disaggregation of data also point to significant
sub-national variations. After adjusting for socio-economic variables, the most
consistent health sector services associated with modern postpartum contraception
are institutional childbirth and child immunisation. ANC is less likely to be
associated with the use of modern postpartum family planning. CONCLUSION:
Postpartum use of modern family planning has remained very low over the years,
including for childbearing adolescents. Our results indicate that improving
postpartum family planning requires policies and strategies to address the
inequalities caused by socio-economic factors and the integration of family
planning with maternal and newborn health services, particularly with childbirth
in facilities and child immunisation. Scaling up systematic screening, training
of providers, and generation of demand are some possible ways forward.
PMID- 26562145
TI - Contraceptive use and distribution of high-risk births in Nigeria: a sub-national
analysis.
AB - BACKGROUND: Family planning expansion has been identified as an impetus to
harnessing Nigeria's demographic dividend. However, there is a need for data to
address pockets of inequality and to better understand cultural and social
factors affecting contraceptive use and health benefits. This paper contributes
to addressing these needs by providing evidence on the trends and sub-national
patterns of modern contraceptive prevalence in Nigeria and the association
between contraceptive use and high-risk births in Nigeria. DESIGN: The study
utilised women's data from the last three Demographic and Health Surveys (2003,
2008, and 2013) in Nigeria. The analysis involved descriptive, bivariate, and
multivariate analyses. The multivariate analyses were performed to examine the
relationship between high-risk births and contraceptive use. Associations were
examined using Poisson regression. RESULTS: Findings showed that respondents in
avoidable high-risk birth categories were less likely to use contraceptives
compared to those at no risk [rate ratio 0.82, confidence interval: 0.76-0.89,
p<0.001]. Education and wealth index consistently predicted significant
differences in contraceptive use across the models. CONCLUSIONS: The results of
this study suggest that women in the high-risk birth categories were
significantly less likely to use a modern method of contraception relative to
those categorised as having no risk. However, there are huge sub-national
variations at regional and state levels in contraceptive prevalence and
subsequent high-risk births. These results further strengthen evidence-based
justification for increased investments in family planning programmes at the
state and regional levels, particularly regions and states with high unmet needs
for family planning.
PMID- 26562146
TI - Implementation of a phased medical educational approach in a developing country.
AB - OBJECTIVE: Healthcare provider education can serve as one method for improving
healthcare in developing countries. Working with providers at St Luke Hospital in
Haiti, we developed a phased educational approach through partnership
development, face-to-face teaching, and virtual educational tools. DESIGN: Our
novel approach included three phases: direct patient care, targeted education,
and utilization of the train-the-trainer model. Our end goal was an educational
system that could be utilized by the local medical staff to continually improve
their medical knowledge, even after our educational project was completed. We
implemented pre- and post-lecture evaluations during our teaching phase to
determine whether the education provided was effective and beneficial.
Additionally, we provided medical lectures on a shared file internet platform,
Box.com, during the train-the-trainer phase to allow healthcare providers in
Haiti to access the educational content electronically. RESULTS: In total, 47
lectures were given to 150 medical providers, including nurses, physicians, and
pharmacists. Pre- and post-lecture evaluations were administered. The mean was
30.63 (14.40) for pre-lecture evaluations and 93.36 (9.80) for post-lecture
evaluations indicating improvement out of a total of 100 possible points. Our
collaborative Box.com account contains 214 medical education lectures available
for viewing as a constant resource to St Luke Hospital staff. Thus far, 20 of the
43 (47%) Haitian medical providers have viewed lectures, with an average of 5.6
lectures viewed per person. Qualitative data suggest that these methods improved
communication between healthcare staff, promoted better ways of triaging
patients, and improved job satisfaction. CONCLUSIONS: A phased educational
approach can improve healthcare workers' knowledge through partnership in a
developing country. Educating local providers is one way of ensuring that in
country healthcare staff will improve their medical knowledge and expertise.
PMID- 26562147
TI - Family planning as a critical component of sustainable global development.
PMID- 26562149
TI - Renal Integrin-Linked Kinase Depletion Induces Kidney cGMP-Axis Upregulation:
Consequences on Basal and Acutely Damaged Renal Function.
AB - Soluble guanylyl cyclase (sGC) is activated by nitric oxide (NO) and produces
cGMP, which activates cGMP-dependent protein kinases (PKG) and is hydrolyzed by
specific phosphodiesterases (PDE). The vasodilatory and cytoprotective capacity
of cGMP-axis activation results in a therapeutic strategy for several
pathologies. Integrin-linked kinase (ILK), a major scaffold protein between the
extracellular matrix and intracellular signaling pathways, may modulate the
expression and functionality of the cGMP-axis-related proteins. We introduce ILK
as a novel modulator in renal homeostasis as well as a potential target for
cisplatin (CIS)-induced acute kidney injury (AKI) improvement. We used an adult
mice model of depletion of ILK (cKD-ILK), which showed basal increase of sGC and
PKG expressions and activities in renal cortex when compared with wildtype (WT)
littermates. Twenty-four h activation of sGC activation with NO enhanced the
filtration rate in cKD-ILK. During AKI, cKD-ILK maintained the cGMP-axis
upregulation with consequent filtration rates enhancement and ameliorated CIS
dependent tubular epithelial-to-mesenchymal transition and inflammation and
markers. To emphasize the role of cGMP-axis upregulation due to ILK depletion, we
modulated the cGMP axis under AKI in vivo and in renal cultured cells. A
suboptimal dose of the PDE inhibitor ZAP enhanced the beneficial effects of the
ILK depletion in AKI mice. On the other hand, CIS increased contractility-related
events in cultured glomerular mesangial cells and necrosis rates in cultured
tubular cells; ILK depletion protected the cells while sGC blockade with ODQ
fully recovered the damage.
PMID- 26562150
TI - Genome-Wide Association Study of Late-Onset Myasthenia Gravis: Confirmation of
TNFRSF11A and Identification of ZBTB10 and Three Distinct HLA Associations.
AB - To investigate the genetics of late-onset myasthenia gravis (LOMG), we conducted
a genome-wide association study imputation of>6 million single nucleotide
polymorphisms (SNPs) in 532 LOMG cases (anti-acetylcholine receptor [AChR]
antibody positive; onset age>=50 years) and 2,128 controls matched for sex and
population substructure. The data confirm reported TNFRSF11A associations
(rs4574025, P = 3.9 * 10-7, odds ratio [OR] 1.42) and identify a novel candidate
gene, ZBTB10, achieving genome-wide significance (rs6998967, P = 8.9 * 10-10, OR
0.53). Several other SNPs showed suggestive significance including rs2476601 (P =
6.5 * 10-6, OR 1.62) encoding the PTPN22 R620W variant noted in early-onset
myasthenia gravis (EOMG) and other autoimmune diseases. In contrast, EOMG
associated SNPs in TNIP1 showed no association in LOMG, nor did other loci
suggested for EOMG. Many SNPs within the major histocompatibility complex (MHC)
region showed strong associations in LOMG, but with smaller effect sizes than in
EOMG (highest OR ~2 versus ~6 in EOMG). Moreover, the strongest associations were
in opposite directions from EOMG, including an OR of 0.54 for DQA1*05:01 in LOMG
(P = 5.9 * 10-12) versus 2.82 in EOMG (P = 3.86 * 10-45). Association and
conditioning studies for the MHC region showed three distinct and largely
independent association peaks for LOMG corresponding to (a) MHC class II (highest
attenuation when conditioning on DQA1), (b) HLA-A and (c) MHC class III SNPs.
Conditioning studies of human leukocyte antigen (HLA) amino acid residues also
suggest potential functional correlates. Together, these findings emphasize the
value of subgrouping myasthenia gravis patients for clinical and basic
investigations and imply distinct predisposing mechanisms in LOMG.
PMID- 26562152
TI - VMCast: A VM-Assisted Stability Enhancing Solution for Tree-Based Overlay
Multicast.
AB - Tree-based overlay multicast is an effective group communication method for media
streaming applications. However, a group member's departure causes all of its
descendants to be disconnected from the multicast tree for some time, which
results in poor performance. The above problem is difficult to be addressed
because overlay multicast tree is intrinsically instable. In this paper, we
proposed a novel stability enhancing solution, VMCast, for tree-based overlay
multicast. This solution uses two types of on-demand cloud virtual machines
(VMs), i.e., multicast VMs (MVMs) and compensation VMs (CVMs). MVMs are used to
disseminate the multicast data, whereas CVMs are used to offer streaming
compensation. The used VMs in the same cloud datacenter constitute a VM cluster.
Each VM cluster is responsible for a service domain (VMSD), and each group member
belongs to a specific VMSD. The data source delivers the multicast data to MVMs
through a reliable path, and MVMs further disseminate the data to group members
along domain overlay multicast trees. The above approach structurally improves
the stability of the overlay multicast tree. We further utilized CVM-based
streaming compensation to enhance the stability of the data distribution in the
VMSDs. VMCast can be used as an extension to existing tree-based overlay
multicast solutions, to provide better services for media streaming applications.
We applied VMCast to two application instances (i.e., HMTP and HCcast). The
results show that it can obviously enhance the stability of the data
distribution.
PMID- 26562151
TI - Evolution and Emergence of Enteroviruses through Intra- and Inter-species
Recombination: Plasticity and Phenotypic Impact of Modular Genetic Exchanges in
the 5' Untranslated Region.
AB - Genetic recombination shapes the diversity of RNA viruses, including
enteroviruses (EVs), which frequently have mosaic genomes. Pathogenic circulating
vaccine-derived poliovirus (cVDPV) genomes consist of mutated vaccine poliovirus
(PV) sequences encoding capsid proteins, and sequences encoding nonstructural
proteins derived from other species' C EVs, including certain coxsackieviruses A
(CV-A) in particular. Many cVDPV genomes also have an exogenous 5' untranslated
region (5' UTR). This region is involved in virulence and includes the cloverleaf
(CL) and the internal ribosomal entry site, which play major roles in replication
and the initiation of translation, respectively. We investigated the plasticity
of the PV genome in terms of recombination in the 5' UTR, by developing an
experimental model involving the rescue of a bipartite PV/CV-A cVDPV genome
rendered defective by mutations in the CL, following the co-transfection of cells
with 5' UTR RNAs from each of the four human EV species (EV-A to -D). The
defective cVDPV was rescued by recombination with 5' UTR sequences from the four
EV species. Homologous and nonhomologous recombinants with large deletions or
insertions in three hotspots were isolated, revealing a striking plasticity of
the 5' UTR. By contrast to the recombination of the cVDPV with the 5' UTR of
group II (EV-A and -B), which can decrease viral replication and virulence,
recombination with the 5' UTRs of group I (EV-C and -D) appeared to be
evolutionarily neutral or associated with a gain in fitness. This study
illustrates how the genomes of positive-strand RNA viruses can evolve into mosaic
recombinant genomes through intra- or inter-species modular genetic exchanges,
favoring the emergence of new recombinant lineages.
PMID- 26562153
TI - Pimecrolimus Is a Potent Inhibitor of Allergic Reactions to Hymenopteran Venom
Extracts and Birch Pollen Allergen In Vitro.
AB - Pimecrolimus (Elidel, SDZ ASM 981) is an anti-inflammatory and immunomodulatory
33-epichloro-derivative of macrolactam ascomycin, with low potential for
affecting systemic immune responses compared with other calcineurin inhibitors,
cyclosporin A and tacrolimus. Despite numerous studies focused on the mechanism
of pimecrolimus action on mast cells, only the single report has addressed
pimecrolimus effects on other typical FcepsilonRI-expressing cells, the
basophils. Patients allergic to birch pollen (n = 20), hymenopteran venoms (n =
23) and 10 non-allergic volunteers were examined. Primary human basophils pre
treated or not with 0.5-50 MUMol pimecrolimus were exposed to various
concentrations of recombinant Bet v 1a allergen, bee or wasp venom extracts and
anti-IgE for 20 min, and then examined for the expression of CD45, CD193, CD203c,
CD63 and CD164 using flow cytometry. The externalization of basophil activation
markers (CD63 and CD164) was equally inhibited through pimecrolimus in cells
activated by recombinant pollen allergen, hymenopteran venom extracts and anti
IgE. Although the individual response rate was subject to strong variation,
importantly, pre-treatment with pimecrolimus lowered the number of activated
basophils in response to any of the stimuli in the basophils from all patients.
The inhibition was concentration-dependent; approximately half of the basophils
were inhibited in the presence of 2.5 mMol pimecrolimus. Pimecrolimus is a
valuable new tool for the inhibition of hyper-reactive basophils in patients with
pollen allergy and a history of anaphylactic reactions to bee or wasp venoms.
Further research should address short-term use of pimecrolimus in vivo in a wide
spectrum of allergic diseases.
PMID- 26562154
TI - Etiology of Multiple Non-EV71 and Non-CVA16 Enteroviruses Associated with Hand,
Foot and Mouth Disease in Jinan, China, 2009-June 2013.
AB - Hand, foot, and mouth disease (HFMD) is an infectious disease caused by human
enterovirus 71 (EV71), coxsackievirus A16 (CVA16) and other enteroviruses. It is
of interest that other enteroviruses associated with HFMD in Jinan have been
rarely reported. The aim of the present study is to detect and characterize the
circulating serotypes of non-EV71 and non-CVA16 enteroviruses associated with
HFMD in Jinan city, Shandong province, China. A total of 400 specimens were
collected from clinically diagnosed HFMD cases in Jinan from January 2009 to June
2013. All specimens were infected with non-EV71 and non-CVA16 enteroviruses
previously confirmed by RT-PCR or real-time PCR according to the protocols at
that time. The GeXP-based multiplex RT-PCR assay (GeXP assay) was performed to
investigate the pathogen spectrum of 15 enteroviruses (coxsackieviruses A4, A5,
A6, A9, A10, A16; coxsackieviruses B1, B3, B5; Echoviruses 6, 7, 11, 13, 19 and
EV71) infections associated with HMFD. For GeXP assay negative samples, reverse
transcription nested PCR (nested RT-PCR) based on the 5' -untranslated region (5'
UTR) sequence and phylogenetic analysis were conducted to further explore the
etiology of multiple enteroviruses. The results showed that a total of twenty
serotypes of enteroviruses (including EV71 and CVA16) were identified by GeXP
assay and nested RT-PCR. The most circulating twelve serotypes of enteroviruses
with HFMD in Jinan from 2009 to June 2013 were EV71, CVA16, CVA10, CVA6, CVA12,
CVA2, Echo3, CVA4, CVA9, CVB1, CVB3 and Echo6. CVA10 and CVA6 were the most
prevalent pathogens other than EV71 and CVA16 in Jinan and their most prevalent
seasons were spring and summer, and a slight increase was observed in autumn and
early winter. It should be noted that mixed-infections were identified by GeXP
assay and the phylogenetic tree clearly discriminated the multiple pathogens
associated with HFMD. Our results thus demonstrate that there was a clear lack of
a reliable testing method for EV71 and CVA16 and multiple non-EV71 and non-CVA16
enteroviruses associated with HFMD were present in Jinan. The GeXP assay combined
with nested RT-PCR based on 5'-UTR region could meet the need for the national
surveillance of multiple enteroviruses or the investigation of epidemic outbreaks
triggered by enteroviruses in the future.
PMID- 26562155
TI - Triploidy--Observations in 154 Diandric Cases.
AB - Hydatidiform moles (HMs) are abnormal human pregnancies with vesicular chorionic
villi, imposing two clinical challenges; miscarriage and a risk of gestational
trophoblastic neoplasia (GTN). The parental type of most HMs are either diandric
diploid (PP) or diandric triploid (PPM). We consecutively collected 154 triploid
or near-triploid samples from conceptuses with vesicular chorionic villi. We used
analysis of DNA markers and/or methylation sensitive-MLPA and collected data from
registries and patients records. We performed whole genome SNP analysis of one
case of twinning (PP+PM).In all 154 triploids or near-triploids we found two
different paternal contributions to the genome (P1P2M). The ratios between the
sex chromosomal constitutions XXX, XXY, and XYY were 5.7: 6.9: 1.0. No cases of
GTN were observed. Our results corroborate that all triploid human conceptuses
with vesicular chorionic villi have the parental type P1P2M. The sex chromosomal
ratios suggest approximately equal frequencies of meiosis I and meiosis II errors
with selection against the XYY conceptuses or a combination of dispermy, non
disjunction in meiosis I and meiosis II and selection against XYY conceptuses.
Although single cases of GTN after a triploid HM have been reported, the results
of this study combined with data from previous prospective studies estimate the
risk of GTN after a triploid mole to 0% (95% CI: 0-1,4%).
PMID- 26562156
TI - Outcome-Driven Cluster Analysis with Application to Microarray Data.
AB - One goal of cluster analysis is to sort characteristics into groups (clusters) so
that those in the same group are more highly correlated to each other than they
are to those in other groups. An example is the search for groups of genes whose
expression of RNA is correlated in a population of patients. These genes would be
of greater interest if their common level of RNA expression were additionally
predictive of the clinical outcome. This issue arose in the context of a study of
trauma patients on whom RNA samples were available. The question of interest was
whether there were groups of genes that were behaving similarly, and whether each
gene in the cluster would have a similar effect on who would recover. For this,
we develop an algorithm to simultaneously assign characteristics (genes) into
groups of highly correlated genes that have the same effect on the outcome
(recovery). We propose a random effects model where the genes within each group
(cluster) equal the sum of a random effect, specific to the observation and
cluster, and an independent error term. The outcome variable is a linear
combination of the random effects of each cluster. To fit the model, we implement
a Markov chain Monte Carlo algorithm based on the likelihood of the observed
data. We evaluate the effect of including outcome in the model through simulation
studies and describe a strategy for prediction. These methods are applied to
trauma data from the Inflammation and Host Response to Injury research program,
revealing a clustering of the genes that are informed by the recovery outcome.
PMID- 26562157
TI - Leptospirosis in Rio Grande do Sul, Brazil: An Ecosystem Approach in the Animal
Human Interface.
AB - BACKGROUND: Leptospirosis is an epidemic-prone neglected disease that affects
humans and animals, mostly in vulnerable populations. The One Health approach is
a recommended strategy to identify drivers of the disease and plan for its
prevention and control. In that context, the aim of this study was to analyze the
distribution of human cases of leptospirosis in the State of Rio Grande do Sul,
Brazil, and to explore possible drivers. Additionally, it sought to provide
further evidence to support interventions and to identify hypotheses for new
research at the human-animal-ecosystem interface. METHODOLOGY AND FINDINGS: The
risk for human infection was described in relation to environmental,
socioeconomic, and livestock variables. This ecological study used aggregated
data by municipality (all 496). Data were extracted from secondary, publicly
available sources. Thematic maps were constructed and univariate analysis
performed for all variables. Negative binomial regression was used for
multivariable statistical analysis of leptospirosis cases. An annual average of
428 human cases of leptospirosis was reported in the state from 2008 to 2012. The
cumulative incidence in rural populations was eight times higher than in urban
populations. Variables significantly associated with leptospirosis cases in the
final model were: Parana/Paraiba ecoregion (RR: 2.25; CI95%: 2.03-2.49); Neossolo
Litolitico soil (RR: 1.93; CI95%: 1.26-2.96); and, to a lesser extent, the
production of tobacco (RR: 1.10; CI95%: 1.09-1.11) and rice (RR: 1.003; CI95%:
1.002-1.04). CONCLUSION: Urban cases were concentrated in the capital and rural
cases in a specific ecoregion. The major drivers identified in this study were
related to environmental and production processes that are permanent features of
the state. This study contributes to the basic knowledge on leptospirosis
distribution and drivers in the state and encourages a comprehensive approach to
address the disease in the animal-human-ecosystem interface.
PMID- 26562158
TI - MzPIP2;1: An Aquaporin Involved in Radial Water Movement in Both Water Uptake and
Transportation, Altered the Drought and Salt Tolerance of Transgenic Arabidopsis.
AB - BACKGROUND: Plants are unavoidably subjected to various abiotic stressors,
including high salinity, drought and low temperature, which results in water
deficit and even death. Water uptake and transportation play a critical role in
response to these stresses. Many aquaporin proteins, localized at different
tissues, function in various transmembrane water movements. We targeted at the
key aquaporin in charge of both water uptake in roots and radial water
transportation from vascular tissues through the whole plant. RESULTS: The
MzPIP2;1 gene encoding a plasma membrane intrinsic protein was cloned from salt
tolerant apple rootstock Malus zumi Mats. The GUS gene was driven by MzPIP2;1
promoter in transgenic Arabidopsis. It indicated that MzPIP2;1 might function in
the epidermal and vascular cells of roots, parenchyma cells around vessels
through the stems and vascular tissues of leaves. The ectopically expressed
MzPIP2;1 conferred the transgenic Arabidopsis plants enhanced tolerance to slight
salt and drought stresses, but sensitive to moderate salt stress, which was
indicated by root length, lateral root number, fresh weight and K+/Na+ ratio. In
addition, the possible key cis-elements in response to salt, drought and cold
stresses were isolated by the promoter deletion experiment. CONCLUSION: The
MzPIP2;1 protein, as a PIP2 aquaporins subgroup member, involved in radial water
movement, controls water absorption and usage efficiency and alters transgenic
plants drought and salt tolerance.
PMID- 26562159
TI - Quantitative Assessment of the Heterogeneity of PD-L1 Expression in Non-Small
Cell Lung Cancer.
AB - IMPORTANCE: Early-phase trials with monoclonal antibodies targeting PD-1
(programmed cell death protein 1) and PD-L1 (programmed cell death 1 ligand 1)
have demonstrated durable clinical responses in patients with non-small-cell lung
cancer (NSCLC). However, current assays for the prognostic and/or predictive role
of tumor PD-L1 expression are not standardized with respect to either quantity or
distribution of expression. OBJECTIVE: To demonstrate PD-L1 protein distribution
in NSCLC tumors using both conventional immunohistochemistry (IHC) and
quantitative immunofluorescence (QIF) and compare results obtained using 2
different PD-L1 antibodies. DESIGN, SETTING, AND PARTICIPANTS: PD-L1 was measured
using E1L3N and SP142, 2 rabbit monoclonal antibodies, in 49 NSCLC whole-tissue
sections and a corresponding tissue microarray with the same 49 cases. Non-small
cell lung cancer biopsy specimens from 2011 to 2012 were collected
retrospectively from the Yale Thoracic Oncology Program Tissue Bank. Human
melanoma Mel 624 cells stably transfected with PD-L1 as well as Mel 624 parental
cells, and human term placenta whole tissue sections were used as controls and
for antibody validation. PD-L1 protein expression in tumor and stroma was
assessed using chromogenic IHC and the AQUA (Automated Quantitative Analysis)
method of QIF. Tumor-infiltrating lymphocytes (TILs) were scored in hematoxylin
eosin slides using current consensus guidelines. The association between PD-L1
protein expression, TILs, and clinicopathological features were determined. MAIN
OUTCOMES AND MEASURES: PD-L1 expression discordance or heterogeneity using the
diaminobenzidine chromogen and QIF was the main outcome measure selected prior to
performing the study. RESULTS: Using chromogenic IHC, both antibodies showed fair
to poor concordance. The PD-L1 antibodies showed poor concordance (Cohen kappa
range, 0.124-0.340) using conventional chromogenic IHC and showed intra-assay
heterogeneity (E1L3N coefficient of variation [CV], 6.75%-75.24%; SP142 CV,
12.17%-109.61%) and significant interassay discordance using QIF (26.6%).
Quantitative immunofluorescence showed that PD-L1 expression using both PD-L1
antibodies was heterogeneous. Using QIF, the scores obtained with E1L3N and SP142
for each tumor were significantly different according to nonparametric paired
test (P < .001). Assessment of 588 serial section fields of view from whole
tissue showed discordant expression at a frequency of 25%. Expression of PD-L1
was correlated with high TILs using both E1L3N (P = .007) and SP142 (P = .02).
CONCLUSIONS AND RELEVANCE: Objective determination of PD-L1 protein levels in
NSCLC reveals heterogeneity within tumors and prominent interassay variability or
discordance. This could be due to different antibody affinities, limited
specificity, or distinct target epitopes. Efforts to determine the clinical value
of these observations are under way.
PMID- 26562160
TI - Structural Insight of a Trimodular Halophilic Cellulase with a Family 46
Carbohydrate-Binding Module.
AB - Cellulases are the key enzymes used in the biofuel industry. A typical cellulase
contains a catalytic domain connected to a carbohydrate-binding module (CBM)
through a flexible linker. Here we report the structure of an atypical trimodular
cellulase which harbors a catalytic domain, a CBM46 domain and a rigid CBM_X
domain between them. The catalytic domain shows the features of GH5 family, while
the CBM46 domain has a sandwich-like structure. The catalytic domain and the
CBM46 domain form an extended substrate binding cleft, within which several
tryptophan residues are well exposed. Mutagenesis assays indicate that these
residues are essential for the enzymatic activities. Gel affinity electrophoresis
shows that these tryptophan residues are involved in the polysaccharide substrate
binding. Also, electrostatic potential analysis indicates that almost the entire
solvent accessible surface of CelB is negatively charged, which is consistent
with the halophilic nature of this enzyme.
PMID- 26562162
TI - Correction: Attenuated Recombinant Influenza A Virus Expressing HPV16 E6 and E7
as a Novel Therapeutic Vaccine Approach.
PMID- 26562161
TI - Spontaneous Immunity Against the Receptor Tyrosine Kinase ROR1 in Patients with
Chronic Lymphocytic Leukemia.
AB - BACKGROUND: ROR1 is a receptor tyrosine kinase expressed in chronic lymphocytic
leukemia (CLL) and several other malignancies but absent in most adult normal
tissues. ROR1 is considered an onco-fetal antigen. In the present study we
analysed spontaneous humoral and cellular immunity against ROR1 in CLL patients.
MATERIALS AND METHODS: Antibodies against ROR1 were analysed in 23 patients and
20 healthy donors by ELISA and Western blot. Purified serum IgG from patients was
tested for cytotoxicity against CLL cells using the MTT viability assay. A
cellular immune response against ROR1 derived HLA-A2 restricted 9 aa and 16 aa
long peptides were analysed using peptide loaded dendritic cells co-cultured with
autologous T cells from CLL patients (n = 9) and healthy donors (n = 6). IFN
gamma, IL-5 and IL-17A-secreting T cells were assessed by ELISPOT and a
proliferative response using a H3-thymidine incorporation assay. RESULTS: The
majority of CLL patients had antibodies against ROR1. Significantly higher titers
of anti-ROR1 antibodies were noted in patients with non-progressive as compared
to progressive disease. The extracellular membrane-close ROR1 KNG domain seemed
to be an immunodominant epitope. Ten patients with high titers of anti-ROR1
binding antibodies were tested for cytotoxicity. Five of those had cytotoxic anti
ROR1 antibodies against CLL cells. ROR1-specific IFN-gamma and IL-17A producing T
cells could be detected in CLL patients, preferentially in non-progressive as
compared to patients with progressive disease (p<0.05). CONCLUSION: ROR1 seemed
to spontaneously induce a humoral as well as a T cell response in CLL patients.
The data support the notion that ROR1 might be a specific neo-antigen and may
serve as a target for immunotherapy.
PMID- 26562163
TI - O-GlcNAc glycosylation of p27(kip1) promotes astrocyte migration and functional
recovery after spinal cord contusion.
AB - Glial scar formation derived from astrocyte proliferation and migration
influences the functional recovery after spinal cord injury. Cyclin-dependent
kinase inhibitor p27(kip1), whose activity is closely related to its
phosphorylation state, reportedly regulates astrocyte proliferation and
migration. In this study, we reported that p27(Kip1) undergoes O-GlcNAc
modification at Ser 2, Ser 110 and Thr 197. Inhibiting O-GlcNAcylation on Ser 2
by gene mutation (S2A) attenuated the phosphorylation of Ser 10, and vice versa.
Interestingly, compared with wild type p27(Kip1), S2A p27(Kip1) displayed a
decreased interaction with CRM1 and reduced nuclear export following serum
starvation and release. In addition, the interaction between stathmin and S2A
p27(Kip1) was also decreased. Cytoskeletal proteins microtubules appeared high
density in astrocytes transfected with S2A p27(Kip1) especially at the leading
edge of the scratch wound. Accordingly, scratch-wound assay revealed that the
motility of astrocytes transfected with S2A p27(Kip1) was faster than that of
control. Finally, we injected lentiviral vectors immediately after spinal cord
contusion, and found the lesion volume of the rat injected with S2A p27(Kip1) was
smaller than that of rat injected with wild type p27(Kip1). Besides, the BBB and
CBS behavioral tests showed greater functional recovery in S2A p27(Kip1) treated
rats. Taken together, our findings revealed a novel function of O-GlcNAc
modification of p27(Kip1) in mediating astrocytes migration and functional
recovery after spinal cord contusion.
PMID- 26562164
TI - Homozygous loss of mouse tetraspanin CD82 enhances integrin alphaIIbbeta3
expression and clot retraction in platelets.
AB - Integrin alphaIIbbeta3 is critical for platelet-mediated blood clotting.
Tetraspanins are well-established regulators of integrins and genetic loss of
tetraspanin CD151 or TSSC6 in mice leads to increased bleeding due to inadequate
integrin alphaIIbbeta3 outside-in signaling. Conversely, mild but enhanced
integrin alphaIIbbeta3 activation and hyperaggregation is observed in CD9 and
CD63 null mice respectively. CD82 is reportedly expressed in platelets; however
its function is unknown. Using genetically engineered CD82 null mice, we
investigated the role of the tetraspanin CD82 in platelet activation. Loss of
CD82 resulted in reduced bleed times in vivo. CD82 was present on the surface of
both human and mouse platelets, and its levels did not change upon platelet
activation or degranulation. No differences in platelet activation,
degranulation, or aggregation in response to ADP or collagen were detected in
CD82 null mice. However, the kinetics of clot retraction was enhanced, which was
intrinsic to the CD82-null platelets. Integrin alphaIIbbeta3 surface expression
was elevated on the platelets from CD82 null mice and they displayed enhanced
adhesion and tyrosine kinase signaling on fibrinogen. This is the first report on
CD82 function in platelets; which we found intrinsically modulates clot
retraction, integrin alphaIIbbeta3 expression, cell adhesion, and tyrosine
signaling.
PMID- 26562165
TI - Delineating a New Heterothallic Species of Volvox (Volvocaceae, Chlorophyceae)
Using New Strains of "Volvox africanus".
AB - The volvocine algae represent an excellent model lineage in which to study
evolution of female and male genders based on comparative analyses of related
species. Among these species, Volvox carteri has been extensively studied as a
model of an oogamous and complex organism. However, it may have unique derived
features that are not present in other species of Volvox. Therefore, information
regarding the characteristics of sexual reproduction of other species of Volvox
is also important. In 1971, Starr studied four types of sexuality in several
global strains identified as Volvox africanus; however, further taxonomic studies
of these strains have been lacking, and strains of three of the four sexual types
are not available. Here, we studied the morphology, sexual reproduction, and
taxonomy of two V. africanus-like species isolated recently from Lake Biwa,
Japan. These two species were very similar to two sexual types described by Starr
in 1971: one producing dioecious sexual spheroids in heterothallic strains and
the other forming both male spheroids and monoecious spheroids in a single
strain. The former species produced zygotes with a reticulate cell wall, whereas
a smooth zygote wall was observed in the latter species as in V. africanus
previously reported from various localities around the world. Our multigene
phylogenetic analysis demonstrated that these are sister species to each other.
However, the presence of a compensatory base change in the most conserved region
of the secondary structure of nuclear ribosomal DNA internal transcribed spacer
2, hybrid inviability demonstrated by intercrossing experiments, and
morphological differences in the density of abutment between the gelatinous
material of adjacent cells (individual sheaths) in the spheroid supported the
recognition of the two species, V. africanus having a smooth zygote wall and V.
reticuliferus Nozaki sp. nov. having a reticulate zygote wall.
PMID- 26562167
TI - Effects of Fluoride on the Expression of Beclin1 and mTOR in Ameloblasts.
AB - Exposure to high levels of fluoride (F-) can result in dental fluorosis in
different individuals, but the mechanism of dental fluorosis remains unclear.
Autophagy is a highly conserved intracellular digestion process that degrades
damaged organelles and protein aggregates. This study examined the effect of
sodium fluoride (NaF) on the expression of Beclin1 and mTOR to elucidate the
development mechanisms of dental fluorosis. HAT-7 cells were incubated with
various concentrations of NaF, and autophagic vacuoles were studied by
transmission electron microscopy. At both mRNA and protein level, expression of
Beclin1, which is required for autophagosome formation and decreases the
expression of mTOR, an autophagy-related complex, was increased at 1.2 mmol/l NaF
compared to baseline (0 mmol/l NaF). Additionally, immunohistochemical analysis
was performed on paraffin-embedded rat incisor sections to identify the
expression of Beclin1 and mTOR proteins in vitro. Highly significant differences
were detected compared to controls. In summary, our results demonstrate
unequivocally that excessive amounts of fluoride cause autophagy of HAT-7 cells,
indicating that autophagy is involved in dental fluorosis.
PMID- 26562166
TI - Genome-Wide Identification of MicroRNAs in Leaves and the Developing Head of Four
Durum Genotypes during Water Deficit Stress.
AB - MicroRNAs (miRNAs) are small non-coding RNAs that play critical roles in plant
development and abiotic stress responses. The miRNA transcriptome (miRNAome)
under water deficit stress has been investigated in many plant species, but is
poorly characterised in durum wheat (Triticum turgidum L. ssp. durum). Water
stress during early reproductive stages can result in significant yield loss in
durum wheat and this study describes genotypic differences in the miRNAome
between water deficit tolerant and sensitive durum genotypes. Small RNA libraries
(96 in total) were constructed from flag leaf and developing head tissues of four
durum genotypes, with or without water stress to identify differentially abundant
miRNAs. Illumina sequencing detected 110 conserved miRNAs and 159 novel candidate
miRNA hairpins with 66 conserved miRNAs and five novel miRNA hairpins
differentially abundant under water deficit stress. Ten miRNAs (seven conserved,
three novel) were validated through qPCR. Several conserved and novel miRNAs
showed unambiguous inverted regulatory profiles between the durum genotypes.
Several miRNAs also showed differential abundance between two tissue types
regardless of treatment. Predicted mRNA targets (130) of four novel durum miRNAs
were characterised using Gene Ontology (GO) which revealed functions common to
stress responses and plant development. Negative correlation was observed between
several target genes and the corresponding miRNA under water stress. For the
first time, we present a comprehensive study of the durum miRNAome under water
deficit stress. The identification of differentially abundant miRNAs provides
molecular evidence that miRNAs are potential determinants of water stress
tolerance in durum wheat. GO analysis of predicted targets contributes to the
understanding of genotypic physiological responses leading to stress tolerance
capacity. Further functional analysis of specific stress responsive miRNAs and
their interaction with targets is ongoing and will assist in developing future
durum wheat varieties with enhanced water deficit stress tolerance.
PMID- 26562168
TI - Visible Versus Near-Infrared Optical Performance of Diffractive Multifocal
Intraocular Lenses.
AB - PURPOSE: The purpose of this study was to compare the optical performance of
diffractive multifocal intraocular lenses (DMIOLs) with visible (VIS)
illumination with that of near infrared (NIR) illumination, the latter being used
to test pseudophakic eyes in clinical aberrometers and double-pass systems.
METHODS: Two DMIOLs of different design (Tecnis +2.75 D ZKB00 and AcrySof +2.5 D
SV25T0) were tested in vitro in a model eye under both VIS (lambda = 530 nm) and
NIR (lambda = 780 nm) illumination, and variations in the add power of the lenses
were determined. Moreover, for the two wavelengths, the energy efficiency and
modulation transfer function at the DMIOLs' far and near foci were measured with
pupils of 3.0 and 4.5 mm. Two counterpart monofocal IOLs (Tecnis ZA9003 and
AcrySof SN60WF) were included as references in the comparison. RESULTS: With VIS
light, the two DMIOLs produced relatively well-contrasted images at their near
and far foci. Under NIR illumination, the add power increased, whereas the energy
efficiency of the near focus decreased and that of far focus increased. Hence,
the DMIOLs tended to behave like monofocal lenses because they generated good
quality well-contrasted images only at their far foci. CONCLUSIONS: In addition
to changes in add power, the optical performances of the DMIOLs measured under
either VIS or NIR illumination are considerably different. Whereas they show two
distinct (near and far) foci under VIS light, their optical performances under
NIR illumination are clearly biased in favor of their far focus. These results
may help prevent a misleading use of NIR-based clinical instruments for the
assessment of eyes implanted with DMIOLs.
PMID- 26562170
TI - Cerenkov Luminescence Imaging for Accurate Placement of Radioactive Plaques in
Episcleral Brachytherapy of Intraocular Tumors.
AB - PURPOSE: The purpose of this study was to determine the feasibility of using
Cerenkov luminescence imaging (CLI) to facilitate plaque placement during
episcleral brachytherapy of intraocular tumors. METHODS: Ruthenium-106 (Ru-106)
decays to rhodium-106, which in turn emits high-energy beta particles. When the
electrons propagate through the eyewall, the so-called Cerenkov effect leads to
emission of weak light, which can be captured by high-sensitivity charge-couple
device (CCD) cameras. Enucleated porcine eyes were prepared with tumor phantoms
made of melanin-containing gelatin. The anterior portion of the globe was
removed, and different Ru-106 plaque types (designated CCA, CCB, COB, and CIA)
with activities ranging from 6.8 to 16.7 MBq were sutured to the sclera overlying
the tumor phantom. The globe was placed in a transparent container with saline.
CLI was performed through the anterior opening of the eye using a cooled electron
multiplying CCD camera. RESULTS: Exposure times between 5 and 60 seconds produced
good quality images of the Cerenkov light. There was a linear relationship
between plaque activity and Cerenkov radiance. The perimeters of the CCA and CCB
plaques could be seen clearly as circles of light symmetrically surrounding the
tumor phantoms. Notched COB and CIA plaques led to images revealing their actual
positions in relation to the optic disc and ciliary body, respectively. Simulated
plaque tilting resulted in diffuse demarcation of the light. CONCLUSIONS: The
study indicates that CLI is a feasible method to ensure accurate placement of Ru
106 plaques in brachytherapy of intraocular tumors. CLI may offer a new tool to
improve and document plaque placement, both perioperatively and postoperatively.
PMID- 26562169
TI - Corneal Fibroblast Migration Patterns During Intrastromal Wound Healing Correlate
With ECM Structure and Alignment.
AB - PURPOSE: To assess keratocyte backscattering, alignment, morphology, and
connectivity in vivo following a full-thickness corneal injury using the
Heidelberg Retina Tomograph Rostock Cornea Module (HRT-RCM), and to correlate
these findings with en bloc three-dimensional (3-D) confocal fluorescence and
second harmonic generation (SHG) imaging. METHODS: Rabbit corneas were scanned in
vivo both before and 3, 7, 14, and 28 days after transcorneal freeze injury (FI),
which damages all corneal cell layers. Corneal tissue was also fixed and labeled
for f-actin and nuclei en bloc, and imaged using 3-D confocal fluorescence
microscopy and SHG imaging. RESULTS: Using the modified HRT-RCM, full-thickness
scans of all cell layers were consistently obtained. Following FI, stromal cells
repopulating the damaged tissue assumed an elongated fibroblastic morphology, and
a significant increase in cellular light scattering was measured. This stromal
haze gradually decreased as wound healing progressed. Parallel, interconnected
streams of aligned corneal fibroblasts were observed both in vivo (from HRT-RCM
reflection images) and ex vivo (from f-actin and nuclear labeling) during wound
healing, particularly in the posterior cornea. Second harmonic generation imaging
demonstrated that these cells were aligned parallel to the collagen lamellae.
CONCLUSIONS: The modified HRT-RCM allows in vivo measurements of sublayer
thickness, assessment of cell morphology, alignment and connectivity, and
estimation of stromal backscatter during wound healing. In this study, these in
vivo observations led to the novel finding that the pattern of corneal fibroblast
alignment is highly correlated with lamellar organization, suggesting contact
guidance of intrastromal migration that may facilitate more rapid wound
repopulation.
PMID- 26562171
TI - Estradiol, acting through ERalpha, induces endothelial non-classic renin
angiotensin system increasing angiotensin 1-7 production.
AB - Intracellular renin-angiotensin system (RAS) can operate independently of the
circulating RAS. Estrogens provide protective effects by modulating the RAS. Our
aim was to investigate the effect of estradiol (E2) on angiotensin converting
enzymes (ACE) 1 and ACE2 expression and activities in human endothelial cells
(HUVEC), and the role of estrogen receptors (ER). The results confirmed the
presence of active intracellular RAS in HUVEC. Physiological concentrations of E2
induced a concentration-dependent increase of ACE1 and ACE2 mRNA expression and
ACE1, but not ACE2, protein levels. ACE1 and ACE2 enzymatic activities were also
induced with E2. These effects were mediated through ERalpha activation, since ER
antagonists ICI 182780 and MPP completely abolished the effect of E2. Moreover,
the ERalpha agonist PPT mirrored the E2 effects on ACE1 and ACE2 protein
expression and activity. Exposure of endothelial cells to E2 significantly
increased Ang-(1-7) production. In conclusion, E2 increases Ang-(1-7) production,
through ERalpha, involving increased ACE1 and ACE2 mRNA expression and activity
and ACE1 protein levels.
PMID- 26562172
TI - Progesterone Improves Neurobehavioral Outcome in Models of Intracerebral
Hemorrhage.
AB - In models of acute brain injury, progesterone improves recovery through several
mechanisms including modulation of neuroinflammation. Secondary injury from
neuroinflammation is a potential therapeutic target after intracerebral
hemorrhage (ICH). For potential translation of progesterone as a clinical acute
ICH therapeutic, the present study sought to define efficacy of exogenous
progesterone administration in ICH-relevant experimental paradigms. Young and
aged C57BL/6 male, female, and ovariectomized (OVX) mice underwent left
intrastriatal collagenase (0.05-0.075 U) or autologous whole blood (35 MUl)
injection. Progesterone at varying doses (4-16 mg/kg) was administered at 2, 5,
24, 48, and 72 h after injury. Rotarod and Morris water maze latencies were
measured on days 1-7 and days 28-31 after injury, respectively. Hematoma volume,
brain water content (cerebral edema), complementary immunohistochemistry,
multiplex cytokine arrays, and inflammatory proteins were assessed at
prespecified time points after injury. Progesterone (4 mg/kg) administration
improved rotarod and water maze latencies (p < 0.01), and decreased cerebral
edema (p < 0.05), microglial proliferation, and neuronal loss (p < 0.01) in young
and aged male, young OVX, and aged female mice. Brain concentration of
proinflammatory cytokines and Toll-like receptor-associated proteins were also
decreased after progesterone (4 mg/kg) treatment (p < 0.01). Progesterone-treated
young female mice showed no detectable effects. Exogenous progesterone improved
short- and long-term neurobehavioral recovery and modulated neuroinflammation in
male and OVX mice after ICH. Future studies should validate these findings, and
address timing and length of administration before translation to clinical trial.
PMID- 26562173
TI - HIV drug resistance mutations among patients failing second-line antiretroviral
therapy in Rwanda.
AB - BACKGROUND: Studies of patients failing second-line antiretroviral therapy (ART)
in resource-limited settings (RLS) are few. Evidence suggests most patients who
appear to be virologically failing do so not due to drug resistance but to poor
adherence, which, if properly addressed, could allow continued use of less
expensive first- and second-line regimens. Drug resistant mutations (DRMs) were
characterized among patients virologically failing second-line ART in Rwanda.
METHODS: A total of 128 adult patients receiving second-line ART for at least 6
months were invited to participate; 74 agreed and had HIV-1 viral load (VL)
measured. Resistance genotypes were conducted in patients with virological
failure (VF; that is, VL >=1,000 copies/ml). RESULTS: In total, 35 patients met
the criteria for VF. The median time on lopinavir/ritonavir-based second-line ART
was 2.7 years. Of 30 successful resistance genotype analyses, 13 (43%) had >=1
nucleoside reverse transcriptase inhibitor (NRTI) mutation, 18 (60%) had at least
1 non-NRTI mutation and 5 (17%) had at least 1 major protease inhibitor mutation.
Eleven (37%) had virus without significant mutations that would be fully
sensitive to first-line ART; 12 (40%) had DRM to first-line ART but sensitive to
second-line ART. Only 7 patients (23%) demonstrated a DRM profile requiring third
line ART. CONCLUSIONS: Among 30 genotyped samples of patients with VF on second
line ART, more than one-third had no significant DRMs, implicating poor adherence
as the primary cause of VF. The majority of patients (77%) would not have
required third-line ART. These findings reinforce the need for intensive
adherence assessment and counselling for patients who appear to be failing second
line ART in RLS.
PMID- 26562174
TI - Effects of Space Environment on Genome, Transcriptome, and Proteome of Klebsiella
pneumoniae.
AB - BACKGROUND AND AIMS: The aim of this study was to explore the effects of space
flight on Klebsiella pneumoniae. METHODS: A strain of K. pneumoniae was sent to
space for 398 h aboard the ShenZhou VIII spacecraft during November 1, 2011
November 17, 2011. At the same time, a ground simulation with similar temperature
conditions during the space flight was performed as a control. After the space
mission, the flight and control strains were analyzed using phenotypic, genomic,
transcriptomic and proteomic techniques. RESULTS: The flight strains LCT-KP289
exhibited a higher cotrimoxazole resistance level and changes in metabolism
relative to the ground control strain LCT-KP214. After the space flight, 73 SNPs
and a plasmid copy number variation were identified in the flight strain. Based
on the transcriptomic analysis, there are 232 upregulated and 1879 downregulated
genes, of which almost all were for metabolism. Proteomic analysis revealed that
there were 57 upregulated and 125 downregulated proteins. These differentially
expressed proteins had several functions that included energy production and
conversion, carbohydrate transport and metabolism, translation, ribosomal
structure and biogenesis, posttranslational modification, protein turnover, and
chaperone functions. At a systems biology level, the ytfG gene had a synonymous
mutation that resulted in significantly downregulated expression at both
transcriptomic and proteomic levels. CONCLUSIONS: The mutation of the ytfG gene
may influence fructose and mannose metabolic processes of K. pneumoniae during
space flight, which may be beneficial to the field of space microbiology,
providing potential therapeutic strategies to combat or prevent infection in
astronauts.
PMID- 26562175
TI - Oxaliplatin-induced Oxidative Stress Provokes Toxicity in Isolated Rat Liver
Mitochondria.
AB - BACKGROUND AND AIMS: Oxaliplatin is a widely employed platinum-derived
chemotherapeutic agent commonly used for the treatment of colorectal cancer.
Unfortunately, the benefit of this important drug is compromised by severe side
effects such as neuropathy, ototoxicity, gastrointestinal toxicity, and
hematological toxicity. Recently, few studies have also suggested the occurrence
of hepatotoxicity in oxaliplatin-treated patients. Mitochondria have emerged as
targets for anticancer drugs in various kinds of toxicity including
hepatotoxicity that can lead to neoplastic disease. Oxidative stress is a well
established biomarker of mitochondrial toxicity. The purpose of this study was to
investigate the dose-dependent damage caused by oxaliplatin on isolated liver
mitochondria under in vitro conditions. METHODS: The study was conducted in
mitochondria isolated from liver of Wistar rats. Oxaliplatin was incubated with
mitochondria in a dose-dependent manner under in vitro conditions. Oxidative
stress indexes, non-enzymatic and enzymatic antioxidants were evaluated, looking
at the overall armamentarium against the toxicity induced by oxaliplatin.
RESULTS: Oxaliplatin caused a significant rise in the mitochondrial oxidative
stress indexes lipid peroxidation and protein carbonyl. Alterations in the levels
of non-enzymatic antioxidants and activities of enzymatic antioxidants were also
observed. CONCLUSION: Oxidative stress plays an important role in the
mitochondrial toxicity of oxaliplatin. The integrity of the hepatic tissue is
compromised by the reactive oxygen species-mediated lipid peroxidation and
protein carbonyl formation.
PMID- 26562177
TI - Quantification of X. laevis vitellogenin by liquid chromatography tandem mass
spectrometry.
AB - Over the last several decades, there has been an increase in public awareness and
regulatory activity in regard to the presence of emerging contaminants in the
environment that may have the potential to interact with the endocrine system of
exposed wildlife. Alterations in vitellogenin (VTG), a high density yolk
precursor protein, can indicate endocrine activity in oviparous species,
including many fish and amphibians. While various methodologies and experiments
have been performed to characterize baseline VTG concentrations among commonly
studied fish species, fewer methodologies for accurately quantifying amphibian
VTG are available. Since there is relatively little information available on
background VTG levels in male and female frogs, the present investigation set out
to quantify baseline levels of VTG in juvenile as well as adult male and female
African clawed frogs (Xenopus laevis) using a newly developed liquid
chromatography tandem mass spectrometry method. This new methodology for
quantifying VTG in X. laevis frog blood plasma can be applied in mechanistic and
toxicity studies with X. laevis to better characterize potential endocrine modes
of action.
PMID- 26562176
TI - Assessing Deep Retinal Capillary Ischemia in Paracentral Acute Middle Maculopathy
by Optical Coherence Tomography Angiography.
AB - PURPOSE: To assess microvascular blood flow of the deep retinal capillary plexus
in eyes with paracentral acute middle maculopathy using optical coherence
tomography (OCT) angiography. DESIGN: Retrospective, multicenter observational
case series. METHODS: Clinical and multimodal imaging findings from 8 patients
with paracentral acute middle maculopathy were reviewed and analyzed. OCT
angiography scans were analyzed and processed, and vessel density was calculated.
RESULTS: Eight patients (7 male, 1 female, aged 9-82 years) were included. OCT
angiography was obtained at either the acute (4 cases) or old stage (4 cases).
Scans of the deep capillary plexus showed preservation of perfusion in acute
lesions and capillary attenuation in old cases. Cases of central retinal artery
occlusion showed marked loss of the deep capillary plexus. The mean vessel
density of the superficial capillary plexus in normal fellow eyes was 12.8 +/-
1.8 mm(-1) vs 12.1 +/- 1.9 mm(-1) in eyes with paracentral acute middle
maculopathy (reduction -6.0%, P = .08). The mean vessel density of the deep
capillary plexus in normal fellow eyes was 17.5 +/- 1.4 mm(-1) vs 14.7 +/- 3.5
mm(-1) in eyes with paracentral acute middle maculopathy (reduction -19.4%, P =
.04). This significant difference was representative of the eyes with old
lesions. CONCLUSION: Paracentral acute middle maculopathy lesions correspond to
preservation of perfusion in focal acute lesions and to pruning of the plexus in
old cases. Cases of central retinal artery occlusion demonstrate marked
hypoperfusion of the deep capillary plexus. Our study further supports an
ischemic pathogenesis of this retinal vasculopathy.
PMID- 26562178
TI - Inhibition of BCL-2 leads to increased apoptosis and delayed neuronal
differentiation in human ReNcell VM cells in vitro.
AB - BCL-2 is a multifunctional protein involved in the regulation of apoptosis, cell
cycle progression and neural developmental processes. Its function in the latter
process is not well understood and needs further elucidation. Therefore, we
characterized the protein expression kinetics of BCL-2 and associated regulatory
proteins of the intrinsic apoptosis pathway during the process of neuronal
differentiation in ReNcell VM cells with and without functional inhibition of BCL
2 by its competitive ligand HA14-1. Inhibition of BCL-2 caused a diminished BCL-2
expression and higher levels of cleaved BAX, activated Caspase-3 and cleaved
PARP, all pro-apoptotic markers, when compared with untreated differentiating
cells. In parallel, flow cytometric analysis of HA14-1-treated cells revealed a
delayed differentiation into HuC/D+ neuronal cells when compared to untreated
differentiating cells. In conclusion, BCL-2 possess a protective function in
fully differentiated ReNcell VM cells. We propose that the pro-survival signaling
of BCL-2 is closely connected with its stimulatory effects on neurogenesis of
human neural progenitor cells.
PMID- 26562179
TI - Early development of the fetal central sulcus on 7.0T magnetic resonance imaging.
AB - In the previous studies, the criterion for deciding the occurrence time of the
fetal central sulcus (CS) on magnetic resonance imaging (MRI) is based on the
observation by the eyes. There have been no existing quantitative standards or
numerical criteria in this field. In this study, we reconstructed the three
dimension (3D) images of the fetal brain based on the 7.0T MR images of 45
Chinese fetal specimens from the 11 to 22 weeks of gestational age (GA). Then we
obtained data by measuring the maximum depth and length of the CS so as to
analyze the early developmental pattern of it. These measures, especially CS
depth, can be used to quantitatively determine the time of emergence of the fetal
CS during the development. Statistics show that there are no gender or
interhemispheric asymmetries of the CS from GA of 11 to 22 weeks.
PMID- 26562180
TI - Simultaneous determination of mebeverine hydrochloride and chlordiazepoxide in
their binary mixture using novel univariate spectrophotometric methods via
different manipulation pathways.
AB - Smart, sensitive, simple and accurate spectrophotometric methods were developed
and validated for the quantitative determination of a binary mixture of
mebeverine hydrochloride (MVH) and chlordiazepoxide (CDZ) without prior
separation steps via different manipulating pathways. These pathways were applied
either on zero order absorption spectra namely, absorbance subtraction (AS) or
based on the recovered zero order absorption spectra via a decoding technique
namely, derivative transformation (DT) or via ratio spectra namely, ratio
subtraction (RS) coupled with extended ratio subtraction (EXRS), spectrum
subtraction (SS), constant multiplication (CM) and constant value (CV) methods.
The manipulation steps applied on the ratio spectra are namely, ratio difference
(RD) and amplitude modulation (AM) methods or applying a derivative to these
ratio spectra namely, derivative ratio (DD(1)) or second derivative (D(2)).
Finally, the pathway based on the ratio spectra of derivative spectra is namely,
derivative subtraction (DS). The specificity of the developed methods was
investigated by analyzing the laboratory mixtures and was successfully applied
for their combined dosage form. The proposed methods were validated according to
ICH guidelines. These methods exhibited linearity in the range of 2-28MUg/mL for
mebeverine hydrochloride and 1-12MUg/mL for chlordiazepoxide. The obtained
results were statistically compared with those of the official methods using
Student t-test, F-test, and one way ANOVA, showing no significant difference with
respect to accuracy and precision.
PMID- 26562181
TI - Structural characterization, thermoluminescence studies and kinetic parameters of
SrSO4:Eu nanophosphors under X-ray and gamma excitations.
AB - Nanostructured SrSO4:Eu phosphors with high thermoluminescence (TL) emission
temperatures have been synthesized through a controlled chemical precipitation
method. Structural analysis and TL studies under both gamma-ray and X-ray
excitations were done. The phosphors were characterized using Powder X-ray
diffraction, X-ray photoelectron spectroscopy, SEM, TEM, thermogravimetry, UV-VIS
and photoluminescence studies. The average crystallite size estimated using PXRD
data is found to be around 40nm. XPS and PL studies reveal that Eu(2+) ions are
the luminescence emission centres in the phosphor. The phosphor is found to be
highly TL sensitive to both gamma-rays and X-rays with very high emission
temperature which is not reported so far. The emission behaviour is suitable for
environmental radiation dosimetry applications. The TL glow curve shows well
defined isolated high temperature emission peak at 312 degrees C under 2Gy gamma
excitation and 284 degrees C for low energy diagnostic X-ray irradiation and 271
degrees C for high energy therapeutic X-rays. Chen's peak shape method is applied
to obtain the kinetic parameters behind the TL emission. The TL mechanism is
found to follow second order kinetics, suggesting the probability of re-trapping
of charge carriers.
PMID- 26562182
TI - Mastering analytical challenges for the characterization of pentacyclic
triterpene mono- and diesters of Calendula officinalis flowers by non-aqueous C30
HPLC and hyphenation with APCI-QTOF-MS.
AB - Pentacyclic triterpene mono- and diesters have been isolated from Calendula
officinalis flowers. GC-MS, APCI-Exactive Orbitrap HR-MS and NMR allowed to
identify the triterpene skeleton in various samples (different triterpene
mixtures from Calendula n-hexane extract). NMR provided evidence that triterpene
diesters are present in the samples as well. However, the corresponding quasi
molecular ions could not be detected by APCI-Exactive Orbitrap HR-MS. Instability
of triterpene diesters and loss of a fatty acid residue, respectively, in the ion
source made their MS detection challenging. Thus, a set of new APCI-QTOF-MS
methods (using the TripleTOF 5600+ mass spectrometer) were developed which made
it eventually possible to solve this problem and confirm the diester structures
by MS via quasi-molecular ion [M+H](+) detection. Direct infusion APCI-QTOF MS
experiments in MS/MS high sensitivity scan mode with low collision energy and
multi-channel averaging acquisition (MCA) allowed the detection of quasi
molecular ions of triterpene diesters for the first time and unequivocally
confirmed the presence of faradiol 3,16-dimyristate and -dipalmitate, as well as
the corresponding mixed diesters faradiol 3-myristate,16-palmitate and faradiol 3
palmitate,16-myristate. Preferential loss of the fatty acid in 16-position made
it possible to distinguish the mixed diesters by MS/MS spectra. Their
chromatographic separations turned out to be challenging due to their bulkiness
and extended molecular dimensions. However, separation could be achieved by an
uncommon non-aqueous RPLC mode with an in-house synthesized C30 phase. Finally,
two (U)HPLC-APCI-QTOF-MS methods with C18- and C30-based non-aqueous RPLC
provided suitable, sensitive assays to monitor the presence of monoesters and
diesters of various triterpenes (faradiol, maniladiol, arnidiol, arnitriol A and
lupane-3beta,16beta,20-triol esters) in the n-hexane extract of C. officinalis
with high mass resolution and good mass accuracy.
PMID- 26562183
TI - Analysis of PVC plasticizers in medical devices and infused solutions by GC-MS.
AB - In 2008, di-(2-ethylhexyl) phthalate (DEHP), was categorized as CMR 1B under the
CLP regulations and its use in PVC medical devices (MD) was called into question
by the European authorities. This resulted in the commercialization of PVC MDs
plasticized with the DEHP alternative plasticizers tri-octyl trimellitate (TOTM),
di-(2-ethylhexyl) terephthalate (DEHT), di-isononyl cyclohexane-1,2-dicarboxylate
(DINCH), di-isononyl phthalate (DINP), di-(2-ethylhexy) adipate (DEHA), and
Acetyl tri-n-butyl citrate (ATBC). The data available on the migration of these
plasticizers from the MDs are too limited to ensure their safe use. We therefore
developed a versatile GC-MS method to identify and quantify both these newly used
plasticizers and DEHP in MDs and to assess their migration abilities in simulant
solution. The use of cubic calibration curves and the optimization of the
analytical method by an experimental plan allowed us to lower the limit of
plasticizer quantification. It also allowed wide calibration curves to be
established that were adapted to this quantification in MDs during migration
tests, irrespective of the amount present, and while maintaining good precision
and accuracy. We then tested the developed method on 32 PVC MDs used in our
hospital and evaluated the plasticizer release from a PVC MD into a simulant
solution during a 24h migration test. The results showed a predominance of TOTM
in PVC MDs accompanied by DEHP (<0.1% w/w), DEHT, and sometimes DEHA. The
migration tests showed a difference in the migration ability between the
plasticizers and a non-linear kinetic release.
PMID- 26562184
TI - Toxicity of nickel in the marine calanoid copepod Acartia tonsa: Nickel chloride
versus nanoparticles.
AB - Nickel compounds are widely used in industries and have been massively introduced
in the environment in different chemical forms. Here we report the effect of two
different chemical forms of nickel, NiCl2 and nickel nanoparticles (NiNPs), on
the reproduction of the marine calanoid copepod Acartia tonsa. The behavior of
nickel nanoparticles was analyzed with different techniques and with two
protocols. In the "sonicated experiment" (SON) NiNP solution was sonicated while
in the "non-sonicated experiment" (NON-SON) the solution was vigorously shaken by
hand. Final nominal concentrations of 5, 10 and 50mgL(-1) and 1, 5 and 10mgL(-1)
NiNPs were used for the acute and semichronic tests, respectively. Nanoparticle
size did not change over time except for the highest concentration of 50mgL(-1)
NiNPs, in which the diameter increased up to 843nm after 48h. The concentration
of Ni dissolved in the water increased with NP concentration and was similar for
SON and NON-SON solutions. Our results indicate that sonication does not modify
toxicity for the copepod A. tonsa. Mean EC50 values were similar for NON-SON
(20.2mgL(-1)) and SON experiments (22.14mgL(-1)) in the acute test. Similarly, no
differences occurred between the two different protocols in the semichronic test,
with an EC50 of 7.45mgL(-1) and 6.97mgL(-1) for NON-SON and SON experiments,
respectively. Acute and semichronic tests, conducted exposing A. tonsa embryos to
NiCl2 concentrations from 0.025 to 0.63mgL(-1), showed EC50 of 0.164 and
0.039mgL(-1), respectively. Overall, A. tonsa is more sensitive to NiCl2 than
NiNPs with EC50 being one order of magnitude higher for NiNPs. Finally, we
exposed adult copepods for 4 days to NiCl2 and NiNPs (chronic exposure) to study
the effect on fecundity in terms of daily egg production and naupliar viability.
Egg production is not affected by either form of nickel, whereas egg viability is
significantly reduced by 0.025mgL(-1) NiCl2 and by 8.5mgL(-1) NiNPs. At NiNP
concentration below the acute EC50 (17mgL(-1)) only 9% of embryos hatched after 4
days. Interestingly, the percentage of naupliar mortality (>82%) observed in the
semichronic test at the nominal concentration of 10mgL(-1) NiNPs corresponding to
almost 0.10mgL(-1) of dissolved Ni, was similar to that recorded at the same Ni
salt concentration. Electron microscopical analyses revealed that A. tonsa adults
ingest NiNPs and excrete them through fecal pellets. To the best of our
knowledge, this is the first study investigating the toxicity of two different
forms of Ni on the reproductive physiology of the copepod A. tonsa and showing
the ability of the calanoid copepod to ingest nanoparticles from seawater.
PMID- 26562185
TI - PDE4D phosphorylation: A coincidence detector integrating multiple signaling
pathways.
AB - In Eukaryotes, more than 100 different phosphodiesterase (PDE) proteins serve to
fine-tune cyclic nucleotide (cAMP and cGMP) signals and contribute to specificity
of signaling. In mammals, PDEs are divided into 11 families, of which PDE4
represents the largest family. Four genes (pde4a, pde4b, pde4c and pde4d) encode
for this class of enzymes in mammals and give rise to more than 20 variants.
Within this family of genes, PDE4D was discovered on the basis of its regulatory
properties and its induction by hormones and cAMP. PDE4D has often been used as
the prototype PDE4 and large body of work has been generated on the biochemical,
pharmacological, and physiological properties of this enzyme. This review covers
the regulation of PDE4D by phosphorylation, the impact of this regulation in the
context of the structure of this protein, and the functional consequences of this
complex pattern of posttranslational modifications.
PMID- 26562186
TI - Effects of a viscous-fibre supplemented evening meal and the following un
supplemented breakfast on post-prandial satiety responses in healthy women.
AB - The post-prandial satiety response and "second-meal effect" of a viscous fibre
supplement PolyGlycopleX((r)) (PGX((r))) was evaluated in a single-blind,
randomised controlled crossover study of 14 healthy adult women. The two hour
post-prandial satiety response, expressed as the area under the curve (AUC) of
perceived hunger/fullness score versus post-prandial time, of a standardised
evening meal with concurrent intake of either PGX softgel or rice flour softgel
(control) was determined. On the following morning, after an overnight fast, the
four hour satiety response to a standardised breakfast with no softgel
supplementation was assessed. A significantly higher satiety response (AUC) to
the standard dinner for the PGX-supplemented dinner compared with the control
dinner (p=0.001) was found. No significant difference (p=0.09) was observed in
the satiety response (AUC) of the breakfast regardless of which supplemented
dinner had been consumed prior, however the p value indicated a trend towards a
higher response to the breakfast following the PGX-supplemented dinner. The
fullness scores of the breakfast following the PGX-supplemented dinner at 15, 30,
90, 120, 150, 180, 210 and 240min post-prandial were significantly higher than
those for the breakfast following the control dinner (p=<0.001, 0.007, 0.009,
0.009, 0.049, 0.03, 0.003 and <0.001 respectively). PGX supplementation at dinner
increased the satiety effects of both the dinner itself and the subsequent un
supplemented breakfast; a "second meal effect" indicting the potential for this
fibre supplement to induce extended satiety.
PMID- 26562187
TI - The Leeds food preference questionnaire after mild sleep restriction - A small
feasibility study.
AB - Besides the increased sedentary lifestyle and increased caloric intake, changes
in dietary composition may play an important role in the increased prevalence of
obesity. Because inadequate sleep could be a risk factor in the aetiology of
obesity, reliable methods for assessing food intake and food choice after sleep
restriction are needed. We translated the Leeds food preference questionnaire
(LFPQ), addressing preferences for sweet/savoury tastes and low-fat/high-fat
foods, into Dutch, and tested it in 15 mildly sleep-restricted psychology
students. The participants completed the LFPQ in our laboratory on two separate
occasions, with approximately one week in between. Sleep on the preceding night
was not controlled, but mild sleep-restriction was confirmed by a short sleep
latency test (sSLT) or a short maintenance of wakefulness test (sMWT). Each
participant completed the sSLT and sMWT once, just before the LFPQ, in a cross
over design randomised for the first test. Differences were present in
preferences for food items from different categories (sweet/savoury and low
fat/high-fat; p<0.001). The choice frequencies for various food categories were
comparable on both occasions (p=0.27). The choice frequencies for individual
items were also comparable on both occasions (p=0.27). The LFPQ is easily
implemented under mild sleep-restricted conditions, and translation is
straightforward. Future studies using the LFPQ after sleep restriction could
elucidate if restricting sleep or longer periods affects food choice, which could
underlie increases in obesity risk.
PMID- 26562188
TI - A facile and effective immobilization of glucose oxidase on tannic acid modified
CoFe2O4 magnetic nanoparticles.
AB - This article presents a study of glucose oxidase (GOx) immobilization by
employing tannic acid (TA) modified-CoFe2O4 (CFO) magnetic nanoparticles which
demonstrates novel aspect for enzyme immobilization. By using the strong protein
and tannic acid binding, GOx immobilization was carried out via physical
adsorption in a simpler way compared with the other immobilization methods which
require various chemicals and complicated procedures which is difficult,
expensive, time-consuming, and destructive to the enzyme structure. CFO was
synthesized by hydrothermal synthesis and modified with TA to immobilize GOx. The
immobilized GOx demonstrated maximum catalytic activity at pH 6.5 and 45 degrees
C. The samples were characterized by vibrating sample magnetometer (VSM),
thermogravimetric analysis (TGA), zeta potential, and fourier transform infrared
spectroscopy (FTIR), all of which confirm the surface modification of CFO and GOx
immobilization. Also, field emission scanning electron microscope (FESEM) and X
ray diffraction (XRD) were performed to demonstrate the surface morphology and
chemical structure of samples. According to the Lineweaver-Burk plot, GOx
possessed lower affinity to glucose after immobilization, and the Michelis-Menten
constant (KM) of immobilized and free GOx were found to be 50.05 mM and 28.00 mM,
respectively. The immobilized GOx showed excellent reusability, and even after 8
consecutive activity assay runs, the immobilized GOx maintained ca. 60% of its
initial activity.
PMID- 26562189
TI - Effect of silica nanoparticles on the interfacial properties of a canonical lipid
mixture.
AB - The incorporation of silica nanoparticles (NPs) from the subphase into Langmuir
lipid monolayers formed by three components, 1,2-Dipalmitoyl-sn-glycerol-3
phosphocholine (DPPC), 1,2-Dioleoyl-sn-glycero-3-phosphocholine (DOPC) and
Cholesterol (Chol), modifies the thermodynamic and rheological behavior, as well
as the structure of the pristine lipid film. Thus, the combination of structural
characterization techniques, such as Brewster Angle Microscopy (BAM) and Atomic
Force Microscopy (AFM), with interfacial thermodynamic and dilational rheology
studies has allowed us to deepen on the physico-chemical bases governing the
interaction between lipid molecules and NPs. The penetration of NPs driven by the
interaction (electrostatic or hydrogen bonds) with the polar groups of the lipid
molecules affects the phase behaviour (surface pressure-area, P-A , isotherm) of
the monolayer. This can be easily rationalized considering the modification of
the packing and cohesion of the molecules at the interface as revealed BAM and
AFM images. Furthermore, oscillatory barrier experiments have allowed obtaining
information related to the effect of NPs on the monolayer response under dynamic
conditions that presents a critical impact on the characterization of biological
relevant systems because most of the processes of interest for these systems
present a dynamic character.
PMID- 26562190
TI - Cationic nanogels as Trojan carriers for disruption of endosomes.
AB - The comparison study of interaction of linear poly(2-dimethyl amino)ethyl
methacrylate and its cationic nanogels of various cross-linking with both DNA and
sodium poly(styrene sulfonate) has been performed. Although all amino groups of
the nanogels proved to be susceptible for protonation, their accessibility for
ion pairing with the polyanions was controlled and impaired with the cross
linking. The investigation of nanogels complexes with cells in culture that was
accomplished by using of calcein pH-sensitive probe revealed a successive
increase in the cytoplasmic fluorescence upon the growth in the cross-linking due
to calceine leakage from acidic compartments to cytosol. This regularity implies
that amino groups which are buried presumably inside the nanogel are protected
against the ion-pairing with polyanions of plasma membrane and hence are able to
manifest buffer properties while captured into acidic endosomes, i.e. possess
lyso/endosomolytic capacity. These findings suggest that network architecture
makes an important contribution to proton sponge properties of weak polycations.
PMID- 26562191
TI - Transthyretin as a new transporter of nanoparticles for receptor-mediated
transcytosis in rat brain microvessels.
AB - Many drugs are unable to breach the blood-brain barrier (BBB). Protein-directed
transport of nanomedicine by receptor-mediated transcytosis (RMT) has been
investigated as a means to overcome this problem. In this study, we screened
transporters using an in vitro transcytosis assay system in rat serum to identify
candidates that could guide nanoparticles through the BBB by RMT. The proteins
that showed over 5-fold decreases in RMT when treated with chloropromazine, an
inhibitor of clathrin-dependent endocytosis, were selected and identified by
Maldi-TOF mass spectroscopy. Eleven proteins, including transthyretin (Ttr), and
creatine kinase-muscle type (CKM), were identified as being capable of
penetrating the endothelial cell layer by RMT. Among them, 10 proteins have not
yet been used to transport nanomaterials across the BBB. To validate their
activity as nanoparticle transporters in vivo, Ttr and CKM were conjugated to the
surface of quantum dot (QD) nanoparticles and administrated intravenously. After
8h, the distribution of Ttr-QDs and CKM-QDs in brain tissue was analyzed. The
results showed transcytosis of Ttr-QD conjugates across the BBB in rats as well
as in in vitro assays, which was in contrast to the results observed for bare QDs
and CKM-QDs. Taken together, these results indicate that Ttr is a new putative
transporter for nanomedicines across the BBB.
PMID- 26562192
TI - Phospholipid/cholesterol/decanethiol mixtures for direct assembly of
immunosensing interfaces.
AB - In this work, a simple yet robust method to prepare lipid-based biosensing
interfaces on gold using common lipids (a phospholipid and cholesterol) and an
alkanethiol is reported. The lipids were carefully chosen to tailor the
biophysical properties of the bilayer. The simplicity of the method relies on the
incorporation of a small percentage of decanethiol in the lipid vesicles for a
direct formation of a thiol-linked supported lipid bilayer, which is advantageous
in several respects. It prevents the use of specially synthesized thiolipids and
preserves the natural fluidity and dynamics of the lipids. As a consequence the
whole arrangement is extremely stable regarding ionic strength changes and
solution flow during surface plasmon resonance experiments. Moreover, we show
that this interface is very effective on suppressing the nonspecific adsorption
of proteins on the surface, and enables the covalent attachment of the
recognition antibody. The subsequent detection of specific interaction toward
antigen was monitored in real-time by SPR and confirmed by ellipsometric
measurements. This lipid-based biosensing platform is versatile and can be
adapted to the biorecognition reaction of interest.
PMID- 26562193
TI - The impact of detoxifying and repair gene polymorphisms and the levels of serum
ROS in the susceptibility to multiple sclerosis.
AB - OBJECTIVE: Multiple sclerosis (MS) is an autoimmune neurodegenerative disease
with unknown etiology. Oxidative stress (OS) has been implicated to play a role
in its cause; therefore, antioxidants and repair systems may help in restoring
oxidant-antioxidant balance. Since polymorphisms in DNA repair genes can result
in reduced DNA repair capacity, it is important to investigate its association
with OS products to demonstrate the impact of individual susceptibility. Our aim
is to examine whether a defect in one of the detoxifying and DNA repair enzyme
systems could explain the association between MS and exposure to OS products.
METHODS: We investigated the association of polymorphisms in the metabolizing and
DNA repair genes with serum Reactive Oxygen Species (ROS) levels. Gene
polymorphisms were analyzed by simultaneous multiplex and Restriction Fragment
Length Polymorphism Polymerase Chain Reaction and serum ROS levels were detected.
RESULTS: OGG1 Ser/Cys and Ser/Cys+Cys/Cys genotypes had higher MS risk. XRCC1
Arg/Gln+Gln/Gln genotype increased the risk of MS. CONCLUSIONS: Our data
suggested that OGG1 Ser326Cys gene polymorphism is a major genetic factor
involved in the development of MS. Smoking is also a pivotal confounding factor
for subjects with mutant genotypes of XRCC1 Arg399Gln. Further studies are needed
to reaffirm our results.
PMID- 26562194
TI - Sagittal alignment of the spine: What do you need to know?
AB - Sagittal alignment, often misrepresented as sagittal balance, describes the ideal
and "normal" alignment in the sagittal plane, resulting from the interplay
between various organic factors. Any pathology that alters this equilibrium
instigates sagittal malalignment and its compensatory mechanisms. As a result,
sagittal malalignment is not limited to adult spinal deformity; its pervasiveness
extends through most spinal disorders. While further research is developing, the
literature reports clinically relevant radiographic parameters that have
significant relationships with patient-reported outcomes. This article aims to
provide a pragmatic review of sagittal plane analysis. At the end of this review,
the reader should be able to analyze the sagittal plane of the spine, identify
compensatory mechanisms, and choose patient-specific alignment targets.
PMID- 26562195
TI - Risk factors for meningitis after craniotomy in patients with subarachnoid
hemorrhage due to anterior circulation aneurysms rupture.
AB - OBJECTIVES: Postoperative meningitis is a serious complication occurring after
neurosurgical interventions. However, few investigations have focused
specifically on the risk factors that predispose patients to meningitis after
major craniotomy. This study identified the risk factors for postoperative
meningitis after neurovascular surgery, and investigated the relationship between
postoperative meningitis and clinical outcome. PATIENTS AND METHODS: A total of
148 consecutive patients with subarachnoid hemorrhage (SAH) who underwent
clipping surgery through a pterional approach within 72 h between January 2007
and September 2011 were retrospectively analyzed. The treatment strategy of our
hospital for patients with SAH was based on the findings of digital subtraction
angiography in the acute phase. Coil embolization was firstly considered, and
clipping through craniotomy if indicated was performed as soon as possible.
Prophylactic antibiotics were administered before beginning craniotomy and for at
least 3 days after. Hydrocortisone was used to prevent hyponatremia if allowed by
the medical condition of the patient. Intrathecal administration of nicardipine
hydrochloride was given if required for vasospasm treatment. Meningitis was
clinically diagnosed from the blood samplings and cerebrospinal fluid (CSF)
examinations. Data were collected from the electronic and paper charts. The
status of modified Rankin scale (mRS) 0-2 at discharge was defined as favorable
outcome. RESULTS: A total of 14 patients (9.5%) had meningitis during this study
period. Symptomatic vasospasm was detected in 33 patients (22.3%), and 12
patients (8.1%) had permanent neurological deficits caused by vasospasm. Overall,
109 patients (73.6%) had favorable outcome. The longer duration of drainage
placement, presence of CSF leakage, and intrathecal administration of
vasodilatory agent showed significantly higher incidence of postoperative
meningitis in univariate analysis (p=0.0093, 0.0017, and 0.0090, respectively).
The proportion of favorable outcome patients at discharge (mRS 0-2) was
significantly lower in patients with postoperative meningitis (35.7%) than in
patients without it (77.6%) (p=0.0004). The duration of in-hospital stay was
significantly longer in patients with postoperative meningitis (median 58.5,
range 28-115 days) than in patients without it (median 38.5, range 19-149 days)
(p<0.001). Multivariate logistic regression analysis showed that only presence of
CSF leakage was associated with postoperative meningitis (p=0.0299). CONCLUSION:
Meningitis after surgery is still a serious complication that requires
preventative intervention. The clinical outcome of patients with postoperative
meningitis after neurovascular surgery is not still satisfactory.
PMID- 26562196
TI - The use of off-labeled drugs in wound healing: oxandrolone.
PMID- 26562198
TI - Association news: alliance of wound care stakeholders.
PMID- 26562199
TI - Do you know how to read and properly interpret the National Correct Coding
Initiative Edits?
PMID- 26562200
TI - Proxy study on minimizing risk of sacral pressure ulcers while complying with
ventilator-associated pneumonia risk reduction guidelines.
AB - Bed positioning poses a subtle, yet important, tradeoff in the competing needs of
hospitalized patients, particularly those susceptible to lower respiratory tract
infections and/or pressure ulcers. Although it is widely held that a minimum 30
degrees incline is necessary to mitigate risk of ventilator-acquired pneumonia,
it is unclear what effect semirecumbent positioning has on the risk of pressure
ulcerations. The authors test several hypotheses with the objective of
elucidating the relationship between bed incline, posture, and incline, pursuant
to a more evidence-based recommendation for practice in clinical care. To this
end, interfacial pressures from 40 healthy subjects were analyzed following
observation in both supine and sidelying positions, at shallow (30 degrees ) and
moderate (45 degrees ) bed-angle incline. Summarily, the authors report that
supine postures reduce pressure signatures associated with pressure ulceration
versus sidelying position: 15% increase area of contact (P = 1.3*10), and 17%
decrease in ratio of peak to average pressure (P = 3.1*10). Within supine
posture, the authors found significant increases in 4 measures of local pressure,
including average pressure (10.4% decrease, P = .005) and coefficient of pressure
variation (22.1%, P = 2.2*10) at moderate incline. The authors conclude that
supine bed positionings at moderate incline appear to reduce predictors of
pressure ulceration.
PMID- 26562201
TI - Evaluation of commonly used temporary skin dressings and a newly developed
collagen matrix for treatment of superficial wounds.
AB - OBJECTIVE: In the authors' experience, extended superficial-thickness wounds
often were treated with the application of synthetic temporary wound dressings.
There is a broad range of expensive products available in this market. The aim of
this study was to compare commonly used temporary skin dressings with a newly
developed collagen matrix. METHODS: A total of 56 standardized superficial skin
defects were generated on the back of 28 adult male Lewis rats using a skin
dermatome. The wounds were treated with Biobrane (Smith & Nephew, St Petersburg,
Florida) (n = 14), Suprathel (PolyMedics, Denkendorf, Germany) (n = 14), and
newly developed Collagen Cell Carrier (Naturin Viscofan, Weinheim, Germany) (CCC;
n = 14). Histological evaluation was performed at 3 months' postoperative in
regard to quality of newly formed skin. RESULTS: In regard to epidermal cell
count and thickness of skin, Biobrane and Suprathel demonstrated comparable
values, whereas CCC demonstrated higher epidermal cell count and thicker
neoepidermis. The epidermal thickness of CCC was comparable to untreated, healthy
skin. CONCLUSIONS: The presented results reveal the potential of CCC in the
treatment of superficial skin defects and encourages further evaluation of CCC in
surgical applications and regenerative medicine.
PMID- 26562202
TI - Celebrating 30 years of the Clinical Symposium on Advances in Skin & Wound Care.
PMID- 26562203
TI - A predictive model for pressure ulcer outcome: the Wound Healing Index.
AB - PURPOSE: The purpose of this learning activity is to provide information
regarding the creation of a risk-stratification system to predict the likelihood
of the healing of body and heel pressure ulcers (PrUs). TARGET AUDIENCE: This
continuing education activity is intended for physicians and nurses with an
interest in skin and wound care. OBJECTIVES: After participating in this
educational activity, the participant should be better able to:1. Explain the
need for a PrU risk stratification tool.2. Describe the purpose and methodology
of the study.3. Delineate the results of the study and development of the Wound
Healing Index. ABSTRACT: OBJECTIVE: : To create a validated system to predict
the healing likelihood of patients with body and heel pressure ulcers (PrUs),
incorporating only patient- and wound-specific variables. DESIGN: The US Wound
Registry data were examined retrospectively and assigned a clear outcome (healed,
amputated, and so on). Significant variables were identified with bivariate
analyses. Multivariable logistic regression models were created based on
significant factors (P < .05) and tested on a 10% randomly selected hold-out
sample. SETTING: Fifty-six wound clinics in 24 states PATIENTS: : A total of 7973
body PrUs and 2350 heel PrUs were eligible for analysis. INTERVENTION: Not
applicable MAIN OUTCOME MEASURE: : Healed PrU MAIN RESULTS:: Because of missing
data elements, the logistic regression development model included 6640 body PrUs,
of which 4300 healed (64.8%), and the 10% validation sample included 709 PrUs, of
which 477 healed (67.3%). For heel PrUs, the logistic regression development
model included 1909 heel PrUs, of which 1240 healed (65.0%), and the 10%
validation sample included 203 PrUs, of which 133 healed (65.5%). Variables
significantly predicting healing were PrU size, PrU age, number of concurrent
wounds of any etiology, PrU Stage III or IV, evidence of bioburden/infection,
patient age, being nonambulatory, having renal transplant, paralysis,
malnutrition, and/or patient hospitalization for any reason. CONCLUSIONS: Body
and heel PrU Wound Healing Indices are comprehensive, user-friendly, and
validated predictive models for likelihood of body and heel PrU healing. They can
risk-stratify patients in clinical research trials, stratify patient data for
quality reporting and benchmarking activities, and identify patients most likely
to require advanced therapeutics to achieve healing.
PMID- 26562205
TI - Strategic view of meaningful use plus final rule updates: part 3.
PMID- 26562206
TI - Increase in incidence of congenital syphilis - United States, 2012-2014.
AB - Congenital syphilis (CS) occurs when a mother infected with syphilis transmits
the infection to her child during pregnancy. CS can cause severe illness,
miscarriage, stillbirth, and early infant death. However, among pregnant women
with syphilis who deliver after 20 weeks gestation, maternal treatment with
penicillin is 98% effective at preventing CS (1). In the United States, the rate
of CS decreased during 1991-2005 but increased slightly during 2005-2008 (2). To
assess recent trends in CS, CDC analyzed national surveillance data reported
during 2008-2014, calculated rates, and described selected characteristics of
infants with CS and their mothers. The overall rate of reported CS decreased from
10.5 to 8.4 cases per 100,000 live births during 2008-2012, and then increased to
11.6 cases per 100,000 live births in 2014, the highest CS rate reported since
2001. From 2012 to 2014, reported cases and rates of CS increased across all
regions of the United States. To reduce CS, the timely identification of and
response to increases in syphilis among women of reproductive age and men who
have sex with women are essential. All women should have access to quality
prenatal care, including syphilis screening and adequate treatment, during
pregnancy (3).
PMID- 26562209
TI - Yield of Outpatient Sleep EEG for Epileptiform Alterations' Detection in
Children.
AB - PURPOSE: Ambulatory EEGs in children are frequently ordered as sleep studies.
However, the yield according to different clinical situations has received little
attention to date. The authors aimed to quantify the added value in terms of
detection of epileptiform features of an EEG containing sleep, as compared with
only wakefulness, according to the referral diagnoses. METHODS: The authors
retrospectively selected consecutive outpatients' EEG recordings of patients
between 6 months to 16 years old, performed between January 2014 and February
2015. The authors excluded those lacking at least 10 minutes of waking and/or at
least 5 minutes of behavioral sleep. Interictal epileptiform activity (IEA) in
wakefulness and sleep was compared among referral suspected diagnoses. Additional
yield of sleep was considered if at least one of the following was observed:
appearance of interictal epileptiform activity or increase by >50%; interictal
epileptiform activity change in localization or morphology, seizure occurrence.
RESULTS: A total of 425 recordings (mean age 6.9 +/- 4.7 years) were analyzed. Of
them, 194 (45.6%) presented an additional yield during sleep, which was dependent
on the occurrence of IEA during wakefulness: 77/251 (30.7%) in those without
versus 117/174 (67.2%) in those with wakefulness IEA (P < 0.001, chi). The yield
was markedly lower in studies performed for nonepileptic referral diagnoses (7%
vs. 43% to 100%; P < 0.001, Fisher). CONCLUSIONS: When wakefulness EEG lacks
epileptiform features, the yield of sleep EEG in our pediatric population
appeared modest, especially in patients without a suspected epileptic syndrome.
This information may be used to optimize the request of sleep EEG in children.
PMID- 26562208
TI - Evaluation of Dry Sensors for Neonatal EEG Recordings.
AB - PURPOSE: Neonatal seizures are a common neurologic diagnosis in neonatal
intensive care units, occurring in approximately 14,000 newborns annually in the
United States. Although the only reliable means of detecting and treating
neonatal seizures is with an electroencephalography (EEG) recording, many
neonates do not receive an EEG or experience delays in getting them. Barriers to
obtaining neonatal EEGs include (1) lack of skilled EEG technologists to apply
conventional wet electrodes to delicate neonatal skin, (2) poor signal quality
because of improper skin preparation and artifact, and (3) extensive time needed
to apply electrodes. Dry sensors have the potential to overcome these obstacles
but have not previously been evaluated on neonates. METHODS: Sequential and
simultaneous recordings with wet and dry sensors were performed for 1 hour on 27
neonates from 35 to 42.5 weeks postmenstrual age. Recordings were analyzed for
correlation and amplitude and were reviewed by neurophysiologists. Performance of
dry sensors on simulated vernix was examined. RESULTS: Analysis of dry and wet
signals showed good time-domain correlation (reaching >0.8), given the
nonsuperimposed sensor positions and similar power spectral density curves.
Neurophysiologist reviews showed no statistically significant difference between
dry and wet data on most clinically relevant EEG background and seizure patterns.
There was no skin injury after 1 hour of dry sensor recordings. In contrast to
wet electrodes, impedance and electrical artifact of dry sensors were largely
unaffected by simulated vernix. CONCLUSIONS: Dry sensors evaluated in this study
have the potential to provide high-quality, timely EEG recordings on neonates
with less risk of skin injury.
PMID- 26562211
TI - Low Drag Porous Ship with Superhydrophobic and Superoleophilic Surface for Oil
Spills Cleanup.
AB - To efficiently remove and recycle oil spills, we construct aligned ZnO nanorod
arrays on the surface of the porous stainless steel wire mesh to fabricate a
porous unmanned ship (PUS) with properties of superhydrophobicity,
superoleophilicity, and low drag by imitating the structure of nonwetting leg of
water strider. The superhydrophobicity of the PUS is stable, which can support
16.5 cm water column with pore size of 100 MUm. Water droplet can rebound without
adhesion. In the process of oil/water separation, when the PUS contacts with oil,
the oil is quickly pulled toward and penetrates into the PUS automatically. The
superhydrophobicity and low water adhesion force of the PUS surface endow the PUS
with high oil recovery capacity (above 94%) and drag-reducing property (31% at
flowing velocity of 0.38m/s). In addition, the PUS has good corrosion resistance
and reusability. We further investigate the wetting behavior of water and oil,
oil recovery capacity, drag-reducing property, and corrosion resistance of the
PUS after oil absorbed. The PUS surface changes significantly from
superhydrophobic to hydrophobic after absorbing oil. However, the oil absorbed
PUS possesses better drag-reducing property and corrosion resistance due to the
changes of the motion state of the water droplets.
PMID- 26562212
TI - Electrochemical Synthesis and Magnetic Properties of [Cu9W6]: The Ultimate Member
of the Quindecanuclear Octacyanometallate-Based Transition-Metal Cluster?
AB - [Cu9W6], synthesized by the electrochemical method, may be the ultimate member of
the quindecanuclear octacyanometallate-based transition-metal cluster. Its single
crystal structure and magnetic properties were characterized.
PMID- 26562213
TI - Controllable Nonspecific Protein Adsorption by Charged Hyperbranched Polyglycerol
Thin Films.
AB - Antifouling thin films derived from charged hyperbranched polyglycerol (hbPG)
layers were fabricated and evaluated. The anionic hbPG (a-hbPG) monolayers and
cationic hbPG/anionic hbPG (c/a-hbPG) bilayers were adsorbed on the underlying
self-assembled monolayers (SAMs) of cysteamine and 3-mercaptopropionic acid (3
MPA) by electrostatic interaction, respectively, and their procession was
monitored by surface plasmon resonance spectroscopy (SPR). The adsorption of
bovine serum albumin (BSA) and fibrinogen on the premade a-hbPG and c/a-hbPG thin
films was measured and the capability of these thin films to resist nonspecific
protein adsorption was evaluated by SPR as well. It is observed that the c/a-hbPG
bilayer films possessed good antifouling properties. With c/a-hbPG bilayers
consisting of higher molecular weight a-hbPG, the adsorption of BSA and
fibrinogen were as low as 0.015 ng/mm(-2) and 0.0076 ng/mm(-2), respectively,
comparable to the traditionally ultralow antifouling surfaces (<0.05 ng/mm(-2) of
nonspecific protein adsorption). This work proved that the charged hbPG thin
films can strongly reduce the nonspecific protein adsorption and have the promise
for the antifouling coatings with improved performance.
PMID- 26562214
TI - High-Efficiency Polymer LEDs with Fast Response Times Fabricated via Selection of
Electron-Injecting Conjugated Polyelectrolyte Backbone Structure.
AB - Imidazolium ionic side-group-containing fluorene-based conjugated
polyelectrolytes (CPEs) with different pi-conjugated structures, poly[(9,9-bis(8'
(3"-methyl-1"-imidazolium)octyl)-2,7-fluorene)-alt-2,7-(9,9-dioctylfluorene)]
dibromide (F8im-Br) and poly[(9,9-bis(8'-(3"-methyl-1"-imidazolium)octyl)-2,7
fluorene)-alt-(benzo(2,1,3)thiadiazol-4,8-diyl) dibromide (F8imBT-Br), are
synthesized and utilized as an electron injection layer (EIL) in green-emitting
F8BT polymer light-emitting diodes (PLEDs). Both CPE EIL devices significantly
outperform Ca cathode devices; 17.9 cd A(-1) (at 3.8 V) and 16.6 lm W(-1) (at 3.0
V) for F8imBT-Br devices, 11.1 cd A(-1) (at 4.2 V) and 9.1 lm W(-1) (at 3.4 V)
for F8im-Br devices, and 7.2 cd A(-1) (at 3.6 V) and 7.0 lm W(-1) (at 3.0 V) for
Ca devices. Importantly, unlike the F8im-Br EIL devices, F8imBT-Br PLEDs exhibit
much faster electroluminescence turn-on times (<10 MUs) despite both EILs
possessing the same tethered imidazolium and mobile bromide ions. The F8imBT-Br
devices represent, to the best of our knowledge, the highest efficiency in thin
(70 nm) single-layer F8BT PLEDs in conventional device architecture with the
fastest EL response time using CPE EIL with mobile ions. Our results clearly
indicate the importance of an additional factor of EIL materials, specifically
the conjugated backbone structure, to determine the device efficiency and
response times.
PMID- 26562215
TI - Dearomative Indole Cycloaddition Reactions of Aza-Oxyallyl Cationic
Intermediates: Modular Access to Pyrroloindolines.
AB - A regioselective dearomative aza-(3 + 2) cycloaddition reaction of substituted
indoles with alpha-halohydroxamates has been developed. This transformation
provides rapid access to highly functionalized pyrroloindolines that are
represented in large number of bioactive compounds. The natural product,
physostigmine, has been concisely synthesized utilizing this method.
PMID- 26562216
TI - Synthesis of Functionalized Chromeno[2,3-b]pyrrol-4(1H)-ones by Silver-Catalyzed
Cascade Reactions of Chromones/Thiochromones and Isocyanoacetates.
AB - A novel and convenient approach to the synthesis of chromeno[2,3-b]pyrrol-4(1H)
ones has been developed. Furthermore, the method involves a facile silver
catalyzed cascade cyclization reaction including an intramolecular C-O bond
formation. The silver salt acts as a key promoter.
PMID- 26562217
TI - Development of Alkyne-Containing Pyrazolopyrimidines To Overcome Drug Resistance
of Bcr-Abl Kinase.
AB - Despite the success of imatinib at inhibiting Bcr-Abl and treating chronic
myelogenous leukemia (CML), resistance to the therapy occurs over time in
patients. In particular, the resistance to imatinib caused by the gatekeeper
mutation T315I in Bcr-Abl remains a challenge in the clinic. Inspired by the
successful development of ponatinib to curb drug resistance, we hypothesize that
the incorporation of an alkyne linker in other heterocyclic scaffolds can also
achieve potent inhibition of Bcr-Abl(T315I) by allowing for simultaneous
occupancy of both the active site and the allosteric pocket in the Abl kinase
domain. Herein, we describe the design, synthesis, and characterization of a
series of alkyne-containing pyrazolopyrimidines as Bcr-Abl inhibitors. Our
results demonstrate that some alkyne-containing pyrazolopyrimidines potently
inhibit not only Abl(T315I) in vitro but also Bcr-Abl(T315I) in cells. These
pyrazolopyrimidines can serve as lead compounds for future development of novel
targeted therapy to overcome drug resistance of CML.
PMID- 26562219
TI - Neurotrophin-dependent plasticity of neurotransmitter segregation in the rat
superior cervical ganglion in vivo.
AB - Neurons are able to segregate transmitters to different axon endings. Segregation
is a plastic neuronal feature; it can be modulated by synaptic environment. We
have demonstrated that neurotrophin and other cellular factors regulate
segregation in sympathetic neurons in culture. Herein we tested the hypothesis
that sympathetic neurons in vivo are also capable to exhibit neurotrophin
dependent plasticity of segregation. To explore the effect of neurotrophin on
segregation, we reduced ganglionic NGF content by the transection of
postganglionic nerves (axotomy) of the superior cervical ganglia. By
immunohistochemistry, Western blot, and PCR analyses, we explored the effect of
axotomy on the NGF and BDNF content of ganglionic neurons, and on the segregation
extent of vesicular acetylcholine transporter (VAChT) and methionine enkephalin
(mENK) in pre-ganglionic varicosities. We analyzed NGF-dependence of the changes
found by applying exogenous NGF. Axotomy reduced ganglionic NGF and BDNF content,
increased NGF transcripts, and increased VAChT-mENK segregation. Axotomy also
increased the number of VAChT immunopositive varicosities, and caused the
appearance of a population of VAChT-, mENK- or SV2-containing varicosities
lacking Synaptophysin (Syn). Administration of NGF prevented changes in NGF
content, kept NGF transcripts increased, and counteracted changes in segregation
and in the number of cholinergic varicosities. The exogenous NGF did not preclude
change in BDNF content or in the occurrence of the VAChT- or mENK-containing
varicosities lacking Syn. Data demonstrate that segregation of transmitters in
vivo is plastic and it is modulated by environmental signals like NGF. We propose
a possible functional correlate of segregation plasticity in the sympathetic
ganglia. (c) 2015 Wiley Periodicals, Inc. Develop Neurobiol 76: 832-846, 2016.
PMID- 26562218
TI - Optical probes based on G protein-coupled receptors - added work or added value?
AB - In 2003, the first report was published that presented proof of principle for a
novel class of FRET biosensors for use in living cells. This novel sensor class
was built on the base of GPCRs, which represent an integral transmembrane
receptor family passing the membrane seven times and are thus also called the 7TM
receptor family. As an estimated number of 30% of all marketed drugs exert their
effects by modulating GPCR function, these initial reports promised the gain of
novel insights into receptor function. Such FRET sensors have slowly, but
progressively, made their way into the standard toolbox for GPCR research as
several groups are now reporting on the generation and use of these sensors. By
now, FRET sensors have been reported for 18 different GPCRs, and more are
expected to be added. These particular receptor sensors have been used to
investigate receptor dynamics in living cells to evaluate ligand binding and
ligand efficacy in real time, to study voltage and mechanosensitivity of GPCRs or
to study the influence of receptor polymorphisms on receptor function in real
time. In this review we will describe the different design principles of these
GPCR-based sensors and will summarize their current biological applications in
living cells.
PMID- 26562220
TI - Nerve ultrasound in electrophysiologically verified tarsal tunnel syndrome.
AB - INTRODUCTION: Tarsal tunnel syndrome (TTS) arises from tibial nerve damage under
the flexor retinaculum of the fibro-osseus tunnel at the medial malleolus. It is
notoriously difficult to diagnose, as many other foot pathologies result in a
similar clinical picture. We examined the additional value of nerve ultrasound in
patients with tarsal tunnel syndrome confirmed by nerve conduction. METHODS: We
performed a retrospective analysis of nerve ultrasound changes in
electrophysiologically confirmed TTS spanning our records from 2007 to 2015.
RESULTS: Nine feet with TTS were identified, all of which showed abnormal nerve
ultrasound findings, which in 6 feet, led to identification of the underlying
cause. CONCLUSIONS: This study shows that nerve ultrasound is abnormal in all
cases of electrophysiologically verified TTS. The pattern of nerve abnormality is
varied. This, and the fact that in the majority of patients causation was
identified, suggests nerve ultrasound should form part of standard work-up for
TTS. Muscle Nerve 53: 906-912, 2016.
PMID- 26562221
TI - RAD sequencing reveals within-generation polygenic selection in response to
anthropogenic organic and metal contamination in North Atlantic Eels.
AB - Measuring the effects of selection on the genome imposed by human-altered
environment is currently a major goal in ecological genomics. Given the polygenic
basis of most phenotypic traits, quantitative genetic theory predicts that
selection is expected to cause subtle allelic changes among covarying loci rather
than pronounced changes at few loci of large effects. The goal of this study was
to test for the occurrence of polygenic selection in both North Atlantic eels
(European Eel, Anguilla anguilla and American Eel, A. rostrata), using a method
that searches for covariation among loci that would discriminate eels from
'control' vs. 'polluted' environments and be associated with specific
contaminants acting as putative selective agents. RAD-seq libraries resulted in
23 659 and 14 755 filtered loci for the European and American Eels, respectively.
A total of 142 and 141 covarying markers discriminating European and American
Eels from 'control' vs. 'polluted' sampling localities were obtained using the
Random Forest algorithm. Distance-based redundancy analyses (db-RDAs) were used
to assess the relationships between these covarying markers and concentration of
34 contaminants measured for each individual eel. PCB153, 4'4'DDE and selenium
were associated with covarying markers for both species, thus pointing to these
contaminants as major selective agents in contaminated sites. Gene enrichment
analyses suggested that sterol regulation plays an important role in the
differential survival of eels in 'polluted' environment. This study illustrates
the power of combining methods for detecting signals of polygenic selection and
for associating variation of markers with putative selective agents in studies
aiming at documenting the dynamics of selection at the genomic level and
particularly so in human-altered environments.
PMID- 26562222
TI - Landfill leachate as a mirror of today's disposable society: Pharmaceuticals and
other contaminants of emerging concern in final leachate from landfills in the
conterminous United States.
AB - Final leachates (leachate after storage or treatment processes) from 22 landfills
in 12 states were analyzed for 190 pharmaceuticals and other contaminants of
emerging concern (CECs), which were detected in every sample, with the number of
CECs ranging from 1 to 58 (median = 22). In total, 101 different CECs were
detected in leachate samples, including 43 prescription pharmaceuticals, 22
industrial chemicals, 15 household chemicals, 12 nonprescription pharmaceuticals,
5 steroid hormones, and 4 animal/plant sterols. The most frequently detected CECs
were lidocaine (91%, local anesthetic), cotinine (86%, nicotine degradate),
carisoprodol (82%, muscle relaxant), bisphenol A (77%, component of plastics and
thermal paper), carbamazepine (77%, anticonvulsant), and N,N-diethyltoluamide
(68%, insect repellent). Concentrations of CECs spanned 7 orders of magnitude,
ranging from 2.0 ng/L (estrone) to 17,200,000 ng/L (bisphenol A). Concentrations
of household and industrial chemicals were the greatest (~1000-1,000,000 ng/L),
followed by plant/animal sterols (~1000-100,000 ng/L), nonprescription
pharmaceuticals (~100-10,000 ng/L), prescription pharmaceuticals (~10-10,000
ng/L), and steroid hormones (~10-100 ng/L). The CEC concentrations in leachate
from active landfills were significantly greater than those in leachate from
closed, unlined landfills (p = 0.05). The CEC concentrations were significantly
greater (p < 0.01) in untreated leachate compared with treated leachate. The CEC
concentrations were significantly greater in leachate disposed to wastewater
treatment plants from modern lined landfills than in leachate released to
groundwater from closed, unlined landfills (p = 0.04). The CEC concentrations
were significantly greater (p = 0.06) in the fresh leachate (leachate before
storage or treatment) reported in a previous study compared with the final
leachate sampled for the present study.
PMID- 26562223
TI - Molecular Multipole Potential Energy Functions for Water.
AB - Water is the most common liquid on this planet, with many unique properties that
make it essential for life as we know it. These properties must arise from
features in the charge distribution of a water molecule, so it is essential to
capture these features in potential energy functions for water to reproduce its
liquid state properties in computer simulations. Recently, models that utilize a
multipole expansion located on a single site in the water molecule, or "molecular
multipole models", have been shown to rival and even surpass site models with up
to five sites in reproducing both the electrostatic potential around a molecule
and a variety of liquid state properties in simulations. However, despite decades
of work using multipoles, confusion still remains about how to truncate the
multipole expansions efficiently and accurately. This is particularly important
when using molecular multipole expansions to describe water molecules in the
liquid state, where the short-range interactions must be accurate, because the
higher order multipoles of a water molecule are large. Here, truncation schemes
designed for a recent efficient algorithm for multipoles in molecular dynamics
simulations are assessed for how well they reproduce results for a simple three
site model of water when the multipole moments and Lennard-Jones parameters of
that model are used. In addition, the multipole analysis indicates that site
models that do not account for out-of-plane electron density overestimate the
stability of a non-hydrogen-bonded conformation, leading to serious consequences
for the simulated liquid.
PMID- 26562224
TI - Cell-Based Selection Expands the Utility of DNA-Encoded Small-Molecule Library
Technology to Cell Surface Drug Targets: Identification of Novel Antagonists of
the NK3 Tachykinin Receptor.
AB - DNA-encoded small-molecule library technology has recently emerged as a new
paradigm for identifying ligands against drug targets. To date, this technology
has been used with soluble protein targets that are produced and used in a
purified state. Here, we describe a cell-based method for identifying small
molecule ligands from DNA-encoded libraries against integral membrane protein
targets. We use this method to identify novel, potent, and specific inhibitors of
NK3, a member of the tachykinin family of G-protein coupled receptors (GPCRs).
The method is simple and broadly applicable to other GPCRs and integral membrane
proteins. We have extended the application of DNA-encoded library technology to
membrane-associated targets and demonstrate the feasibility of selecting DNA
tagged, small-molecule ligands from complex combinatorial libraries against
targets in a heterogeneous milieu, such as the surface of a cell.
PMID- 26562226
TI - Response to Cederbaum.
PMID- 26562225
TI - Computational evaluation of exome sequence data using human and model organism
phenotypes improves diagnostic efficiency.
AB - PURPOSE: Medical diagnosis and molecular or biochemical confirmation typically
rely on the knowledge of the clinician. Although this is very difficult in
extremely rare diseases, we hypothesized that the recording of patient phenotypes
in Human Phenotype Ontology (HPO) terms and computationally ranking putative
disease-associated sequence variants improves diagnosis, particularly for
patients with atypical clinical profiles. METHODS: Using simulated exomes and the
National Institutes of Health Undiagnosed Diseases Program (UDP) patient cohort
and associated exome sequence, we tested our hypothesis using Exomiser. Exomiser
ranks candidate variants based on patient phenotype similarity to (i) known
disease-gene phenotypes, (ii) model organism phenotypes of candidate orthologs,
and (iii) phenotypes of protein-protein association neighbors. RESULTS:
Benchmarking showed Exomiser ranked the causal variant as the top hit in 97% of
known disease-gene associations and ranked the correct seeded variant in up to
87% when detectable disease-gene associations were unavailable. Using UDP data,
Exomiser ranked the causative variant(s) within the top 10 variants for 11
previously diagnosed variants and achieved a diagnosis for 4 of 23 cases
undiagnosed by clinical evaluation. CONCLUSION: Structured phenotyping of
patients and computational analysis are effective adjuncts for diagnosing
patients with genetic disorders.Genet Med 18 6, 608-617.
PMID- 26562228
TI - Predicting prosthetic prescription after major lower-limb amputation.
AB - We describe prosthetic limb prescription in the first year following lower-limb
amputation and examine the relationship between amputation level, geographic
region, and prosthetic prescription. We analyzed 2005 to 2010 Department of
Veterans Affairs (VA) Inpatient and Medical Encounters SAS data sets, Vital
Status death data, and National Prosthetic Patient Database data for 9,994
Veterans who underwent lower-limb amputation at a VA hospital. Descriptive
statistics and bivariates were examined. Cox proportional hazard models
identified factors associated with prosthetic prescription. Analyses showed that
amputation level was associated with prosthetic prescription. The hazard ratios
(HRs) were 1.41 for ankle amputation and 0.46 for transfemoral amputation
compared with transtibial amputation. HRs for geographic region were Northeast =
1.49, Upper Midwest = 1.26, and West = 1.39 compared with the South (p < 0.001).
African American race, longer length of hospital stay, older age, congestive
heart failure, paralysis, other neurological disease, renal failure, and
admission from a nursing facility were negatively associated with prosthetic
prescription. Being married was positively associated. After adjusting for
patient characteristics, people with ankle amputation were most likely to be
prescribed a prosthesis and people with transfemoral amputation were least
likely. Geographic variation in prosthetic prescription exists in the VA and
further research is needed to explain why.
PMID- 26562227
TI - Improving hearing loss gene testing: a systematic review of gene evidence toward
more efficient next-generation sequencing-based diagnostic testing and
interpretation.
AB - PURPOSE: With next generation sequencing technology improvement and cost
reductions, it has become technically feasible to sequence a large number of
genes in one diagnostic test. This is especially relevant for diseases with large
genetic and/or phenotypic heterogeneity, such as hearing loss. However, variant
interpretation remains the major bottleneck. This is further exacerbated by the
lack in the clinical genetics community of consensus criteria for defining the
evidence necessary to include genes on targeted disease panels or in genomic
reports, and the consequent risk of reporting variants in genes with no relevance
to disease. METHODS: We describe a systematic evidence-based approach for
assessing gene-disease associations and for curating relevant genes for different
disease aspects, including mode of inheritance, phenotypic severity, and mutation
spectrum. RESULTS: By applying this approach to clinically available hearing loss
gene panels with a total of 163 genes, we show that a significant number (45%) of
genes lack sufficient evidence of association with disease and thus are expected
to increase uncertainty and patient anxiety, in addition to intensifying the
interpretation burden. Information about all curated genes is summarized. Our
retrospective analysis of 539 hearing loss cases tested by our previous
OtoGenomeV2 panel demonstrates the impact of including genes with weak disease
association in laboratory wet-bench and interpretation processes. CONCLUSION: Our
study is, to our knowledge, the first to highlight the urgent need for defining
the clinical validity of gene-disease relationships for more efficient and
accurate clinical testing and reporting.Genet Med 18 6, 545-553.
PMID- 26562229
TI - CT of Gastric Emergencies.
AB - Abdominal pain, nausea, and vomiting are common presenting symptoms among adult
patients seeking care in the emergency department, and, with the increased use of
computed tomography (CT) to image patients with these complaints, radiologists
will more frequently encounter a variety of emergent gastric pathologic
conditions on CT studies. Familiarity with the CT appearance of emergent gastric
conditions is important, as the clinical presentation is often nonspecific and
the radiologist may be the first to recognize gastric disease as the cause of a
patient's symptoms. Although endoscopy and barium fluoroscopy remain important
tools for evaluating patients with suspected gastric disease in the outpatient
setting, compared with CT these modalities enable less comprehensive evaluation
of patients with nonspecific complaints and are less readily available in the
acute setting. Endoscopy is also more invasive than CT and has greater potential
risks. Although the mucosal detail of CT is relatively poor compared with barium
fluoroscopy or endoscopy, CT can be used with the appropriate imaging protocols
to identify inflammatory conditions of the stomach ranging from gastritis to
peptic ulcer disease. In addition, CT can readily demonstrate the various
complications of gastric disease, including perforation, obstruction, and
hemorrhage, which may direct further clinical, endoscopic, or surgical
management. We will review the normal anatomy of the stomach and discuss emergent
gastric disease with a focus on the usual clinical presentation, typical imaging
appearance, and differentiating features, as well as potential imaging pitfalls.
PMID- 26562230
TI - CT Evaluation of Acute Enteritis and Colitis: Is It Infectious, Inflammatory, or
Ischemic?: Resident and Fellow Education Feature.
PMID- 26562231
TI - Extratesticular Fibrous Pseudotumor.
PMID- 26562232
TI - A Primer on the Physical Principles of Tissue Harmonic Imaging.
AB - Tissue harmonic imaging (THI) is a routinely used component of diagnostic
ultrasonography (US). In this method, higher-frequency harmonic waves produced by
nonlinear fundamental US wave propagation are used to generate images that
contain fewer artifacts than those seen on conventional fundamental wave US
tissue imaging. Harmonic frequencies are integer multiples of the fundamental
frequency. The majority of current clinical US systems use second harmonic echoes
for THI image formation. Image processing techniques (ie, bandwidth receive
filtering, pulse inversion, side-by-side phase cancellation, and pulse-coded
harmonics) are used to eliminate the fundamental frequency echoes, and the
remaining harmonic frequency data are used to generate the diagnostic image.
Advantages of THI include improved signal-to-noise ratio and reduced artifacts
produced by side lobes, grating lobes, and reverberation. THI has been accepted
in US practice, and variations of the technology are available on most US systems
typically used for diagnostic imaging in radiologic practice. Differential THI is
a further improvement that combines the advantages of THI, including superior
tissue definition and reduced speckle artifact, with the greater penetration of
lower frequency US, which permits high-quality harmonic imaging at greater depth
than could previously be performed with conventional THI.
PMID- 26562234
TI - Three-dimensional Physical Modeling: Applications and Experience at Mayo Clinic.
AB - Radiologists will be at the center of the rapid technologic expansion of three
dimensional (3D) printing of medical models, as accurate models depend on well
planned, high-quality imaging studies. This article outlines the available
technology and the processes necessary to create 3D models from the radiologist's
perspective. We review the published medical literature regarding the use of 3D
models in various surgical practices and share our experience in creating a
hospital-based three-dimensional printing laboratory to aid in the planning of
complex surgeries.
PMID- 26562235
TI - High-Resolution US of Rheumatologic Diseases.
AB - For the past 15 years, high-resolution ultrasonography (US) is being routinely
and increasingly used for initial evaluation and treatment follow-up of
rheumatologic diseases. This imaging technique is performed by using high
frequency linear transducers and has proved to be a powerful diagnostic tool in
evaluation of articular erosions, simple and complex joint and bursal effusions,
tendon sheath effusions, and synovitis, with results comparable to those of
magnetic resonance imaging, excluding detection of bone marrow edema. Crystal
deposition diseases including gouty arthropathy and calcium pyrophosphate
deposition disease (CPPD) have characteristic appearances at US, enabling
differentiation between these two diseases and from inflammatory arthropathies.
Enthesopathy, which frequently accompanies psoriatic and reactive arthritis, also
has a characteristic appearance at high-resolution US, distinguishing these two
entities from other inflammatory and metabolic arthropathies. The presence of
Doppler signal in examined joints, bursae, and tendon sheaths indicates active
synovitis. Microbubble echo contrast agents augment detection of tissue
vascularity and may act in the future as a drug delivery vehicle. Frequently,
joint, tendon sheath, and bursal fluid aspirations and therapeutic injections are
performed under US guidance. The authors describe the high-resolution US
technique including gray-scale, color or power Doppler, and contrast agent
enhanced US that is used in evaluation of rheumatologic diseases of the wrist and
hand and the ankle and foot in their routine clinical practice. This article
demonstrates imaging findings of normal joints, rheumatoid arthritis, gouty
arthritis, CPPD, psoriatic and reactive arthritis, and osteoarthritis.
PMID- 26562236
TI - Fibrous Dysplasia of the Rib: AIRP Best Cases in Radiologic-Pathologic
Correlation.
PMID- 26562233
TI - Medical 3D Printing for the Radiologist.
AB - While use of advanced visualization in radiology is instrumental in diagnosis and
communication with referring clinicians, there is an unmet need to render Digital
Imaging and Communications in Medicine (DICOM) images as three-dimensional (3D)
printed models capable of providing both tactile feedback and tangible depth
information about anatomic and pathologic states. Three-dimensional printed
models, already entrenched in the nonmedical sciences, are rapidly being embraced
in medicine as well as in the lay community. Incorporating 3D printing from
images generated and interpreted by radiologists presents particular challenges,
including training, materials and equipment, and guidelines. The overall costs of
a 3D printing laboratory must be balanced by the clinical benefits. It is
expected that the number of 3D-printed models generated from DICOM images for
planning interventions and fabricating implants will grow exponentially.
Radiologists should at a minimum be familiar with 3D printing as it relates to
their field, including types of 3D printing technologies and materials used to
create 3D-printed anatomic models, published applications of models to date, and
clinical benefits in radiology. Online supplemental material is available for
this article.
PMID- 26562238
TI - Optimizing Digital Mammographic Image Quality for Full-Field Digital Detectors:
Artifacts Encountered during the QC Process.
AB - Early detection of breast cancer through routine mammographic screening has been
shown to reduce mortality from breast cancer by up to 30% in multiple studies.
However, this reduction of mortality is possible only with careful attention to
image quality by the medical physicist, radiologic technologist, and interpreting
radiologist. The accepted quality control (QC) processes for analog mammography
are well established. However, now that use of digital units is widespread in
both the United States and internationally, information regarding the necessary
steps and the inherent challenges that might be encountered at each step needs to
be elucidated. In this review, the essential steps of the QC process for digital
mammography are reviewed, with special attention to the possible problems that
can occur during the QC process, many of which can lead to image artifacts. For
each of the daily, weekly, monthly, and semiannual QC tests, we review the steps
and expected performance and provide examples of some of the common artifacts
that may be encountered. Understanding the components of the QC process and
recognizing problems that may result in a suboptimal image is critical to ensure
optimal image quality in an effort to maximize early detection of breast cancer.
PMID- 26562237
TI - Radiology of Cleft Lip and Palate: Imaging for the Prenatal Period and throughout
Life.
AB - Recent advances in prenatal imaging have made possible the in utero diagnosis of
cleft lip and palate and associated deformities. Postnatal diagnosis of cleft lip
is made clinically, but imaging still plays a role in detection of associated
abnormalities, surgical treatment planning, and screening for or surveillance of
secondary deformities. This article describes the clinical entities of cleft lip
with or without cleft palate (CLP) and isolated cleft palate and documents their
prenatal and postnatal appearances at radiography, ultrasonography (US), magnetic
resonance (MR) imaging, and computed tomography (CT). Imaging protocols and
findings for prenatal screening, detection of associated anomalies, and
evaluation of secondary deformities throughout life are described and
illustrated. CLP and isolated cleft palate are distinct entities with shared
radiologic appearances. Prenatal US and MR imaging can depict clefting of the lip
or palate and associated anomalies. While two- and three-dimensional US often can
depict cleft lip, visualization of cleft palate is more difficult, and repeat US
or fetal MR imaging should be performed if cleft palate is suspected. Postnatal
imaging can assist in identifying associated abnormalities and dentofacial
deformities. Dentofacial sequelae of cleft lip and palate include missing and
supernumerary teeth, oronasal fistulas, velopharyngeal insufficiency, hearing
loss, maxillary growth restriction, and airway abnormalities. Secondary
deformities can often be found incidentally at imaging performed for other
purposes, but detection is necessary because they may have considerable
implications for the patient.
PMID- 26562240
TI - Imaging of Ancient Egyptian Animal Mummies.
AB - Human mummies have long been studied by using imaging as a primary investigative
method. Mummified animal remains from ancient Egypt are less well researched, yet
much can be learned about species diversity and the methods of preservation.
Noninvasive imaging methods enable mummy bundles to remain intact, with no
detrimental physical effects, thus ensuring protection of a valuable
archaeological resource. This article is based on the research experience
gathered during 13 years (2000-2012) with 152 animal mummies held in the
collections of 17 museums in the United Kingdom. Conventional radiography,
computed radiography, digital radiography, and computed tomography (CT) available
in the clinical setting were used to assess the value of each imaging modality in
the study of animal mummies and related material. Radiography proved to be an
excellent research method that provided initial insight into the contents of the
mummy bundle, and CT contributed additional useful detail in some cases.
Paleoradiologic analyses enabled information on mummy bundle contents to be
proved, including the nature of the skeletal remains and the methods of
mummification. An optimum method involving radiography and CT is described.
PMID- 26562241
TI - Imaging of Atlanto-Occipital and Atlantoaxial Traumatic Injuries: What the
Radiologist Needs to Know.
AB - Approximately one-third of all cervical spine injuries involve the craniocervical
junction (CCJ). Composed of the occiput and the first two cervical vertebrae,
this important anatomic landmark, in conjunction with an intricate ligamentous
complex, is essential to maintaining the stability of the cervical spine. The
atlantoaxial joint is the most mobile portion of the spine, predominantly relying
on the ligamentous framework for stability at that level. As acute onsite
management of trauma patients continues to improve, CCJ injuries, which often
lead to death onsite where the injury occurred, are increasingly being
encountered in the emergency department. Understanding the anatomy of the CCJ is
crucial in properly evaluating the cervical spine, allowing the radiologist to
assess its stability in the trauma setting. The imaging findings of important CCJ
injuries, such as atlanto-occipital dissociation, occipital condyle fractures,
atlas fractures with transverse ligament rupture, atlantoaxial distraction, and
traumatic rotatory subluxation, are important to recognize in the acute setting,
often dictating patient management. Thin-section multidetector computed
tomography with sagittal and coronal reformats is the study of choice in
evaluating the extent of injury, allowing the radiologist to thoroughly evaluate
the stability of the cervical spine. Furthermore, magnetic resonance (MR) imaging
is increasingly being used to evaluate the spinal soft tissues and ligaments, and
to identify associated spinal cord injury, if present. MR imaging is also
indicated in patients whose neurologic status cannot be evaluated within 48 hours
of injury. .
PMID- 26562239
TI - Anorectal Cancer: Critical Anatomic and Staging Distinctions That Affect Use of
Radiation Therapy.
AB - Although rectal and anal cancers are anatomically close, they are distinct
entities with different histologic features, risk factors, staging systems, and
treatment pathways. Imaging is at the core of initial clinical staging of these
cancers and most commonly includes magnetic resonance imaging for local-regional
staging and computed tomography for evaluation of metastatic disease. The details
of the primary tumor and involvement of regional lymph nodes are crucial in
determining if and how radiation therapy should be used in treatment of these
cancers. Unfortunately, available imaging modalities have been shown to have
imperfect accuracy for identification of nodal metastases and imaging features
other than size. Staging of nonmetastatic rectal cancers is dependent on the
depth of invasion (T stage) and the number of involved regional lymph nodes (N
stage). Staging of nonmetastatic anal cancers is determined according to the size
of the primary mass and the combination of regional nodal sites involved; the
number of positive nodes at each site is not a consideration for staging.
Patients with T3 rectal tumors and/or involvement of perirectal, mesenteric, and
internal iliac lymph nodes receive radiation therapy. Almost all anal cancers
warrant use of radiation therapy, but the extent and dose of the radiation fields
is altered on the basis of both the size of the primary lesion and the presence
and extent of nodal involvement. The radiologist must recognize and report these
critical anatomic and staging distinctions, which affect use of radiation therapy
in patients with anal and rectal cancers.
PMID- 26562242
TI - Normal and Abnormal US Findings in Early First-Trimester Pregnancy: Review of the
Society of Radiologists in Ultrasound 2012 Consensus Panel Recommendations.
AB - Since being introduced more than 30 years ago, endovaginal ultrasonography (US)
and quantitative testing of serum levels of the beta subunit of human chorionic
gonadotropin have become the standard means of establishing the presence of
normal intrauterine pregnancy (IUP), failed IUP, and ectopic pregnancy.
Appropriate use of these powerful tools requires clear, standardized
interpretations based on conservative criteria to protect both the pregnancy and
the mother. Since diagnoses are assigned earlier and available medical treatments
for ectopic pregnancy and failed IUP are expanding, emphasis must carefully shift
toward watchful waiting when the mother is clinically stable and a definitive
location for the pregnancy cannot be established with US. To this end and to
prevent inadvertent harm to early normal pregnancies, the Society of Radiologists
in Ultrasound convened a consensus panel of radiologists, obstetricians, and
emergency medicine physicians in 2012 with the goal of reviewing current
literature and clinical practices and formulating modern criteria and terminology
for the various first-trimester outcomes.
PMID- 26562243
TI - Additional Radiologic Clue to Diagnosing Hepatic Lymphoma.
PMID- 26562244
TI - Uber and Us: Radiology and On Demand Platforms, with Commentary from Dr Heilman:
Practice Corner.
PMID- 26562245
TI - Congratulations to the 2015 RSNA Outstanding Educator: Kay H. Vydareny, MD.
PMID- 26562247
TI - Mycophenolate Mofetil Therapy in Refractory Inflammatory Eye Disease.
AB - PURPOSE: To study the efficacy and incidence of treatment-related side effects of
mycophenolate mofetil (MMF) therapy in patients with noninfectious inflammatory
eye diseases. METHODS: Retrospective cohort study of 27 Chilean patients treated
for noninfectious inflammatory eye diseases using MMF therapy over a 10-year
period. Main outcome measures were: ability to control ocular inflammation and to
taper prednisone to <=10 mg daily (treatment success); incidence of treatment
related side effects. RESULTS: The proportion of patients with sustained control
of inflammation was 81.48% at 6 months. Additionally 55.56% and 22.22% of
patients succeeded in tapering their prednisone to 5-10 mg/day and <5 mg/day, at
6 months. Two patients developed a neoplasia during MMF therapy; however, this
cohort is too small to interpret the significance of this relation to MMF
treatment. CONCLUSIONS: MMF seems to be an effective corticosteroid-sparing agent
with an acceptable safety profile.
PMID- 26562249
TI - Perception and the Social Psychology of 'The Dress'.
PMID- 26562248
TI - Combined Ang-2 and VEGF serum levels: holding hands as a new integral biomarker
in non-small-cell lung cancers.
AB - AIM: Evaluate if serum levels of VEGF and Ang-2 are correlated in non-small-cell
lung cancers (NSCLCs) and its implications in the diagnostic and prognostic of
the disease. PATIENTS & METHODS: Unselected cohort of 145 NSCLC patients and 30
control individuals. The serum levels of Ang-2 and VEGF of each patient were
measured by ELISA prior to treatment. RESULTS & CONCLUSIONS: Serum levels of Ang
2 and VEGF are correlated (p < 0.0001). High serum levels of Ang-2 and VEGF
isolated and both combined (high(Ang-2/VEGF)) correlate with likelihood of
presenting NSCLC (p = 0.016; p = 0.003; p < 0.0001, respectively). Serum levels
of Ang-2 and high(Ang-2/VEGF) but not VEGF alone are independent prognostic
factors (p = 0.001; p = 0.619; p = 0.005). High(Ang-2/VEGF) serum levels could be
exploited as a new valuable integral biomarker in NSCLC.
PMID- 26562250
TI - Spatiotemporal Relationships among Audiovisual Stimuli Modulate Auditory
Facilitation of Visual Target Discrimination.
AB - Sensory information is multimodal; through audiovisual interaction, task
irrelevant auditory stimuli tend to speed response times and increase visual
perception accuracy. However, mechanisms underlying these performance
enhancements have remained unclear. We hypothesize that task-irrelevant auditory
stimuli might provide reliable temporal and spatial cues for visual target
discrimination and behavioral response enhancement. Using signal detection
theory, the present study investigated the effects of spatiotemporal
relationships on auditory facilitation of visual target discrimination. Three
experiments were conducted where an auditory stimulus maintained reliable
temporal and/or spatial relationships with visual target stimuli. Results showed
that perception sensitivity (d') to visual target stimuli was enhanced only when
a task-irrelevant auditory stimulus maintained reliable spatiotemporal
relationships with a visual target stimulus. When only reliable spatial or
temporal information was contained, perception sensitivity was not enhanced.
These results suggest that reliable spatiotemporal relationships between visual
and auditory signals are required for audiovisual integration during a visual
discrimination task, most likely due to a spread of attention. These results also
indicate that auditory facilitation of visual target discrimination follows from
late-stage cognitive processes rather than early stage sensory processes.
PMID- 26562251
TI - The Achromatic Object-Colour Manifold is Three-Dimensional.
AB - When in shadow, the achromatic object colours appear different from when they are
in light. This immediate observation was quantitatively confirmed by Logvinenko
and Maloney (2006, Perception & Psychophysics, 68, 76-83) who, using
multidimensional scaling (MDS), showed the two-dimensionality of achromatic
object colours. As their experiments included only cast shadows, a question
arises: is this also the case for attached shadows? Recently, Madigan and
Brainard (2014) argued in favour of the negative answer. However, they also
failed to confirm the two-dimensionality for cast shadows. To resolve this issue,
an experiment was conducted in which observers rated the dissimilarity between
achromatic Munsell chips presented in light and in shadows of both types.
Specifically, the chips were presented in four conditions: in front in light; at
slant in light; in front in shadow; and at slant in shadow. MDS analysis of the
obtained dissimilarities confirmed the two-dimensionality of achromatic colours
for both types of shadow. Furthermore, the dimension induced by the cast shadow
(shadowedness) was found to be different from that induced by the attached shadow
(shading). In the three-dimensional MDS output configuration these were
represented by clearly different dimensions. This quantitatively supports a fact,
well-known to artists, that attached and cast shadows are experienced as
different phenomenological entities. It is argued that a shading gradient is
perceptually experienced as shape (ie spatial relief).
PMID- 26562252
TI - The Processing Speed of Scene Categorization at Multiple Levels of Description:
The Superordinate Advantage Revisited.
AB - Recent studies have sought to determine which levels of categories are processed
first in visual scene categorization and have shown that the natural and man-made
superordinate-level categories are understood faster than are basic-level
categories. The current study examined the robustness of the superordinate-level
advantage in a visual scene categorization task. A go/no-go categorization task
was evaluated with response time distribution analysis using an ex-Gaussian
template. A visual scene was categorized as either superordinate or basic level,
and two basic-level categories forming a superordinate category were judged as
either similar or dissimilar to each other. First, outdoor/ indoor groups and
natural/man-made were used as superordinate categories to investigate whether the
advantage could be generalized beyond the natural/man-made boundary. Second, a
set of images forming a superordinate category was manipulated. We predicted that
decreasing image set similarity within the superordinate-level category would
work against the speed advantage. We found that basic-level categorization was
faster than outdoor/indoor categorization when the outdoor category comprised
dissimilar basic-level categories. Our results indicate that the superordinate
level advantage in visual scene categorization is labile across different
categories and category structures.
PMID- 26562253
TI - Signal Detection Measures Cannot Distinguish Perceptual Biases from Response
Biases.
AB - A common conceptualization of signal detection theory (SDT) holds that if the
effect of an experimental manipulation is truly perceptual, then it will
necessarily be reflected in a change in d' rather than a change in the measure of
response bias. Thus, if an experimental manipulation affects the measure of bias,
but not d', then it is safe to conclude that the manipulation in question did not
affect perception but instead affected the placement of the internal decision
criterion. However, the opposite may be true: an effect on perception may affect
measured bias while having no effect on d'. To illustrate this point, we expound
how signal detection measures are calculated and show how all biases-including
perceptual biases-can exert their effects on the criterion measure rather than on
d'. While d' can provide evidence for a perceptual effect, an effect solely on
the criterion measure can also arise from a perceptual effect. We further support
this conclusion using simulations to demonstrate that the Muller-Lyer illusion,
which is a classic visual illusion that creates a powerful perceptual effect on
the apparent length of a line, influences the criterion measure without
influencing d'. For discrimination experiments, SDT is effective at
discriminating between sensitivity and bias but cannot by itself determine the
underlying source of the bias, be it perceptual or response based.
PMID- 26562254
TI - Orientation and Spatial Frequency Selectivity following Adaptation: A Reaction
Time Study.
AB - The aim of the study was to determine orientation and spatial frequency
sensitivity using reaction times (RTs) in an adaptation paradigm. Simple RTs were
measured to the onset of a Gabor patch (SD = 1.2 deg, spatial frequency = 4
cycles deg(-1)). Observers adapted for 10 s to a 4 cycles deg(-1) grating
presented at a series of orientations (0, 2, 5, 10, 22.5, 45, 90 degrees ) or
spatial frequencies (+/-0.5, 1, and 2 octaves). The contrast of the test grating
was 4x each participant's unadapted threshold. The effect of adaptation was
evaluated by transforming RTs to effective contrast reduction using RT-based
contrast response functions. RTs increased by between ~ 100 ms to 150 ms when the
test and adapting gratings were of the same orientation or spatial frequency. The
effect became less pronounced as the difference in orientation or spatial
frequency increased. The average bandwidths for orientation and spatial frequency
were 17.4 degrees and 1.24 octaves, respectively. The method has some advantages
over traditional approaches. It reveals a rapid time course of adaptation
recovery with a half-life of about 13 s to 23 s. RTs form a rapid and easily
implemented technique for assessing the underlying physiological mechanisms that
control adaptation at suprathreshold levels of contrast.
PMID- 26562255
TI - Flicker-Defined Forms in the Ternus Display.
AB - Odic and Pratt (2008, Perception, 37, 1790-1804) proposed that the type of
movement seen in the bistable Ternus display depends on the elements' temporal
summation of contrast relative to the background. To test this theory,
participants viewed a flicker-defined Ternus display where the elements had no
temporal summation of contrast. Participants also viewed a luminance-defined
control condition. Five interstimulus intervals (ISIs) (0, 20, 40, 60, and 80 ms)
and two stimulus durations (SDs) (200 and 400 ms) were used in each condition. If
temporal summation of contrast does not influence perceived group and end-to-end
movement in flicker-defined forms, it was expected that the frequency of their
reports would be equal to those in the luminance- defined control condition at
the same ISIs and SDs. As predicted, the main effect of condition was not
significant and participants reported both percepts at expected rates in both
conditions, contrary to the predictions of Odic and Pratt (2008).
PMID- 26562256
TI - The Headscarf Effect Revisited: Further Evidence for a Culture-Based Internal
Face Processing Advantage.
AB - Encoding the internal features of unfamiliar faces poses a perceptual challenge
that occasionally results in face recognition errors. Extensive experience with
faces framed by a headscarf may, however, enhance perceivers' ability to process
internal facial information. To examine this claim empirically, participants in
the United Arab Emirates and the United States of America completed a standard
part-whole face recognition task. Accuracy on the task was examined using a 2
(perceiver culture: Emirati vs American) x 2 (face race: Arab vs white) x 2
(probe type: part vs whole) x 3 (probe feature: eyes vs nose vs mouth) mixed
measures analysis of variance. As predicted, Emiratis outperformed Americans on
the administered task. Although their recognition advantage occurred regardless
of probe type, it was most pronounced for Arab faces and for trials that captured
the processing of nose or mouth information. The findings demonstrate that
culture-based experiences hone perceivers' face processing skills.
PMID- 26562257
TI - Review: Human Factors in Lighting.
PMID- 26562258
TI - Down, But Not Out: Partial Elimination of Androgen Receptors in the Male Mouse
Brain Does Not Affect Androgenic Regulation of Anxiety or HPA Activity.
AB - We previously found that androgen receptor (AR) activity mediates two effects of
T in adult male mice: reduction of anxiety-like behaviors and dampening of the
hypothalamic-pituitary-adrenal response to stress. To determine whether brain ARs
mediate these effects, we used the Cre/loxP technology seeking to disable AR
throughout the central nervous system (CNS). Female mice carrying the floxed AR
allele (ARlox) were crossed with males carrying cre recombinase transgene
controlled by the nestin promoter (NesCre), producing cre in developing neurons
and glia. Among male offspring, four genotypes resulted: males carrying ARlox and
NesCre (NesARko), and three control groups (wild types, NesCre, and ARlox).
Reporter mice indicated ubiquitous Cre expression throughout the CNS.
Nevertheless, AR immunocytochemistry in NesARko mice revealed efficient knockout
(KO) of AR in some brain regions (hippocampus and medial prefrontal cortex
[mPFC]), but not others. Substantial AR protein was seen in the amygdala and
hypothalamus among other regions, whereas negligible AR remained in others like
the bed nucleus of the stria terminalis and dorsal periaqueductal gray. This
selective KO allowed for testing the role of AR in hippocampus and mPFC. Males
were castrated and implanted with T at postnatal day 60 before testing on
postnatal day 90-100. In contrast with males with global KO of AR, T still
modulated anxiety-related behavior and hypothalamic-pituitary-adrenal activity in
NesARko males. These results leave open the possibility that AR acting in the CNS
mediates these effects of T, but demonstrate that AR is not required in the
hippocampus or mPFC for T's anxiolytic effects.
PMID- 26562259
TI - GnRH Episodic Secretion Is Altered by Pharmacological Blockade of Gap Junctions:
Possible Involvement of Glial Cells.
AB - Episodic release of GnRH is essential for reproductive function. In vitro studies
have established that this episodic release is an endogenous property of GnRH
neurons and that GnRH secretory pulses are associated with synchronization of
GnRH neuron activity. The cellular mechanisms by which GnRH neurons synchronize
remain largely unknown. There is no clear evidence of physical coupling of GnRH
neurons through gap junctions to explain episodic synchronization. However,
coupling of glial cells through gap junctions has been shown to regulate neuron
activity in their microenvironment. The present study investigated whether glial
cell communication through gap junctions plays a role in GnRH neuron activity and
secretion in the mouse. Our findings show that Glial Fibrillary Acidic Protein
expressing glial cells located in the median eminence in close vicinity to GnRH
fibers expressed Gja1 encoding connexin-43. To study the impact of glial-gap
junction coupling on GnRH neuron activity, an in vitro model of primary cultures
from mouse embryo nasal placodes was used. In this model, GnRH neurons possess a
glial microenvironment and were able to release GnRH in an episodic manner. Our
findings show that in vitro glial cells forming the microenvironment of GnRH
neurons expressed connexin-43 and displayed functional gap junctions.
Pharmacological blockade of the gap junctions with 50 MUM 18-alpha-glycyrrhetinic
acid decreased GnRH secretion by reducing pulse frequency and amplitude,
suppressed neuronal synchronization and drastically reduced spontaneous
electrical activity, all these effects were reversed upon 18-alpha-glycyrrhetinic
acid washout.
PMID- 26562260
TI - Conditional Deletion of Prolyl Hydroxylase Domain-Containing Protein 2 (Phd2)
Gene Reveals Its Essential Role in Chondrocyte Function and Endochondral Bone
Formation.
AB - The hypoxic growth plate cartilage requires hypoxia-inducible factor (HIF)
mediated pathways to maintain chondrocyte survival and differentiation. HIF
proteins are tightly regulated by prolyl hydroxylase domain-containing protein 2
(Phd2)-mediated proteosomal degradation. We conditionally disrupted the Phd2 gene
in chondrocytes by crossing Phd2 floxed mice with type 2 collagen-alpha1-Cre
transgenic mice and found massive increases (>50%) in the trabecular bone mass of
long bones and lumbar vertebra of the Phd2 conditional knockout (cKO) mice caused
by significant increases in trabecular number and thickness and reductions in
trabecular separation. Cortical thickness and tissue mineral density at the
femoral middiaphysis of the cKO mice were also significantly increased. Dynamic
histomorphometric analyses revealed increased longitudinal length and osteoid
surface per bone surface in the primary spongiosa of the cKO mice, suggesting
elevated conversion rate from hypertrophic chondrocytes to mineralized bone
matrix as well as increased bone formation in the primary spongiosa. In the
secondary spongiosa, bone formation measured by mineralizing surface per bone
surface and mineral apposition rate were not changed, but resorption was slightly
reduced. Increases in the mRNA levels of SRY (sex determining region Y)-box 9,
osterix (Osx), type 2 collagen, aggrecan, alkaline phosphatase, bone
sialoprotein, vascular endothelial growth factor, erythropoietin, and glycolytic
enzymes in the growth plate of cKO mice were detected by quantitative RT-PCR.
Immunohistochemistry revealed an increased HIF-1alpha protein level in the
hypertrophic chondrocytes of cKO mice. Infection of chondrocytes isolated from
Phd2 floxed mice with adenoviral Cre resulted in similar gene expression patterns
as observed in the cKO growth plate chondrocytes. Our findings indicate that Phd2
suppresses endochondral bone formation, in part, via HIF-dependent mechanisms in
mice.
PMID- 26562261
TI - Thyroid Hormone Stimulation of Autophagy Is Essential for Mitochondrial
Biogenesis and Activity in Skeletal Muscle.
AB - Thyroid hormone (TH) and autophagy share similar functions in regulating skeletal
muscle growth, regeneration, and differentiation. Although TH recently has been
shown to increase autophagy in liver, the regulation and role of autophagy by
this hormone in skeletal muscle is not known. Here, using both in vitro and in
vivo models, we demonstrated that TH induces autophagy in a dose- and time
dependent manner in skeletal muscle. TH induction of autophagy involved reactive
oxygen species (ROS) stimulation of 5'adenosine monophosphate-activated protein
kinase (AMPK)-Mammalian target of rapamycin (mTOR)-Unc-51-like kinase 1 (Ulk1)
signaling. TH also increased mRNA and protein expression of key autophagy genes,
microtubule-associated protein light chain 3 (LC3), Sequestosome 1 (p62), and
Ulk1, as well as genes that modulated autophagy and Forkhead box O (FOXO) 1/3a.
TH increased mitochondrial protein synthesis and number as well as basal
mitochondrial O2 consumption, ATP turnover, and maximal respiratory capacity.
Surprisingly, mitochondrial activity and biogenesis were blunted when autophagy
was blocked in muscle cells by Autophagy-related gene (Atg)5 short hairpin RNA
(shRNA). Induction of ROS and 5'adenosine monophosphate-activated protein kinase
(AMPK) by TH played a significant role in the up-regulation of Peroxisome
proliferator-activated receptor gamma coactivator 1-alpha (PPARGC1A), the key
regulator of mitochondrial synthesis. In summary, our findings showed that TH
mediated autophagy was essential for stimulation of mitochondrial biogenesis and
activity in skeletal muscle. Moreover, autophagy and mitochondrial biogenesis
were coupled in skeletal muscle via TH induction of mitochondrial activity and
ROS generation.
PMID- 26562262
TI - XBP1 Regulates the Biosynthetic Capacity of the Mammary Gland During Lactation by
Controlling Epithelial Expansion and Endoplasmic Reticulum Formation.
AB - Cells composing the mammary secretory compartment have evolved a high capacity to
secrete not only proteins but also triglycerides and carbohydrates. This feature
is illustrated by the mouse, which can secrete nearly twice its own weight in
milk proteins, triglycerides and lactose over a short 20-day lactation. The
coordination of synthesis and export of products in other secretory cells is
orchestrated in part by the transcription factor X-box binding protein 1 (XBP1).
To assess the role of XBP1 in mammary epithelial cells (MEC), we studied floxed
XBP1 female mice lacking (wild type; WT) or expressing the Cre recombinase under
the control of the ovine beta-lactoglobulin promoter (DeltaXBP1(MEC)). Pregnant
DeltaXBP1(MEC) females had morphologically normal mammary development and gave
birth to the same number of pups as WT mice. Their litters, however, suffered a
weight gain deficit by lactation day 3 (L3)3 that grew to 80% by L14.
DeltaXBP1(MEC) dams had only modest changes in milk composition (-21% protein,
+24% triglyceride) and in the expression of associated genes in isolated MEC. By
L5, WT glands were fully occupied by dilated alveoli, whereas DeltaXBP1(MEC)
glands contained fewer, mostly unfilled alveoli and retained a prominent
adipocyte population. The smaller epithelial compartment in DeltaXBP1(MEC) glands
was explained by lower MEC proliferation and increased apoptosis. Finally,
endoplasmic reticulum ribbons were less abundant in DeltaXBP1(MEC) at pregnancy
day 18 and failed to increase in abundance by L5. Collectively, these results
show that XBP1 is required for MEC population expansion during lactation and its
ability to develop an elaborate endoplasmic reticulum compartment.
PMID- 26562266
TI - Electronic brachytherapy: overused and overpriced?
PMID- 26562264
TI - Androgen Deficiency Exacerbates High-Fat Diet-Induced Metabolic Alterations in
Male Mice.
AB - Androgen deficiency is associated with obesity, metabolic syndrome, and type 2
diabetes mellitus in men, but the mechanisms behind these associations remain
unclear. In this study, we investigated the combined effects of androgen
deficiency and high-fat diet (HFD) on body composition and glucose homeostasis in
C57BL/6J male mice. Two models of androgen deficiency were used: orchidectomy
(ORX) and androgen receptor knockout mice. Both models displayed higher adiposity
and serum leptin levels upon HFD, whereas no differences were seen on a regular
diet. Fat accumulation in HFD ORX animals was accompanied by increased sedentary
behavior and occurred in spite of reduced food intake. HFD ORX mice showed white
adipocyte hypertrophy, correlated with decreased mitochondrial content but not
function as well as increased lipogenesis and decreased lipolysis suggested by
the up-regulation of fatty acid synthase and the down-regulation of hormone
sensitive lipase. Both ORX and androgen receptor knockout exacerbated HFD-induced
glucose intolerance by impairing insulin action in liver and skeletal muscle, as
evidenced by the increased triglyceride and decreased glycogen content in these
tissues. In addition, serum IL-1beta levels were elevated, and pancreatic insulin
secretion was impaired after ORX. Testosterone but not dihydrotestosterone
supplementation restored the castration effects on body composition and glucose
homeostasis. We conclude that sex steroid deficiency in combination with HFD
exacerbates adiposity, insulin resistance, and beta-cell failure in 2 preclinical
male mouse models. Our findings stress the importance of a healthy diet in a
clinical context of androgen deficiency and may have implications for the
prevention of metabolic alterations in hypogonadal men.
PMID- 26562265
TI - Binding Selectivity of Abaloparatide for PTH-Type-1-Receptor Conformations and
Effects on Downstream Signaling.
AB - The PTH receptor type 1 (PTHR1) mediates the actions of two endogenous
polypeptide ligands, PTH and PTHrP, and thereby plays key roles in bone biology.
Based on its capacity to stimulate bone formation, the peptide fragment PTH (1
34) is currently in use as therapy for osteoporosis. Abaloparatide (ABL) is a
novel synthetic analog of human PTHrP (1-34) that holds promise as a new
osteoporosis therapy, as studies in animals suggest that it can stimulate bone
formation with less of the accompanying bone resorption and hypercalcemic effects
that can occur with PTH (1-34). Recent studies in vitro suggest that certain PTH
or PTHrP ligand analogs can distinguish between two high-affinity PTHR1
conformations, R(0) and RG, and that efficient binding to R(0) results in
prolonged signaling responses in cells and prolonged calcemic responses in
animals, whereas selective binding to RG results in more transient responses. As
intermittent PTH ligand action is known to favor the bone-formation response,
whereas continuous ligand action favors the net bone-resorption/calcemic
response, we hypothesized that ABL binds more selectively to the RG vs the R(0)
PTHR1 conformation than does PTH (1-34), and thus induces more transient
signaling responses in cells. We show that ABL indeed binds with greater
selectivity to the RG conformation than does PTH (1-34), and as a result of this
RG bias, ABL mediates more transient cAMP responses in PTHR1-expressing cells.
The findings provide a plausible mechanism (ie, transient signaling via RG
selective binding) that can help account for the favorable anabolic effects that
ABL has on bone.
PMID- 26562267
TI - Medically unlikely edits.
PMID- 26562263
TI - Both Estrogen and Androgen Modify the Response to Activation of Neurokinin-3 and
kappa-Opioid Receptors in Arcuate Kisspeptin Neurons From Male Mice.
AB - Gonadal steroids regulate the pattern of GnRH secretion. Arcuate kisspeptin
(kisspeptin, neurokinin B, and dynorphin [KNDy]) neurons may convey steroid
feedback to GnRH neurons. KNDy neurons increase action potential firing upon the
activation of neurokinin B receptors (neurokinin-3 receptor [NK3R]) and decrease
firing upon the activation of dynorphin receptors (kappa-opioid receptor [KOR]).
In KNDy neurons from intact vs castrated male mice, NK3R-mediated stimulation is
attenuated and KOR-mediated inhibition enhanced, suggesting gonadal secretions
are involved. Estradiol suppresses spontaneous GnRH neuron firing in male mice,
but the mediators of the effects on firing in KNDy neurons are unknown. We
hypothesized the same gonadal steroids affecting GnRH firing pattern would
regulate KNDy neuron response to NK3R and KOR agonists. To test this possibility,
extracellular recordings were made from KNDy neurons in brain slices from intact,
untreated castrated or castrated adult male mice treated in vivo with steroid
receptor agonists. As observed previously, the stimulation of KNDy neurons by the
NK3R agonist senktide was attenuated in intact vs castrated mice and suppression
by dynorphin was enhanced. In contrast to observations of steroid effects on the
GnRH neuron firing pattern, both estradiol and DHT suppressed senktide-induced
KNDy neuron firing and enhanced the inhibition caused by dynorphin. An estrogen
receptor-alpha agonist but not an estrogen receptor-beta agonist mimicked the
effects of estradiol on NK3R activation. These observations suggest the steroid
modulation of responses to activation of NK3R and KOR as mechanisms for negative
feedback in KNDy neurons and support the contribution of these neurons to steroid
sensitive elements of a GnRH pulse generator.
PMID- 26562268
TI - Physician skin examinations for melanoma screening.
AB - A variety of estimates of the value and impact of physician skin examinations
(PSEs) in screening for melanoma have been published. Although current melanoma
screening guidelines vary, new evidence supports improved melanoma outcomes
associated with PSEs. In this systematic review, we evaluated 5 observational
studies of the impact of PSEs on melanoma thickness at diagnosis and melanoma
mortality rates. Although definitive evidence from randomized controlled trials
supporting improved health outcomes associated with PSEs is lacking, these well
designed observational studies have found PSEs to be correlated with thinner
melanomas at diagnosis and reduced melanoma mortality rates.
PMID- 26562269
TI - An analysis of the clinical trial landscape for cutaneous melanoma.
AB - Recognizing current trends and obstacles in melanoma clinical trial development
is critical to future progress in this field. This article examines the melanoma
research enterprise to identify changing trends and potential barriers to
success. All trials registered at ClinicalTrials.gov after September 2005 were
evaluated for possible inclusion. A total of 777 interventional trials designed
specifically for cutaneous melanoma patients were included. Geographic trial
distribution as well as disease state and type of intervention were analyzed and
compared among each group. ClinicalTrials.gov is an invaluable tool to study the
research enterprise. Further studies are needed on prevention and early detection
of melanoma in the curative setting, a critical role for dermatologists.
PMID- 26562270
TI - Evaluation of gender as a clinically relevant outcome variable in the treatment
of onychomycosis with efinaconazole topical solution 10.
AB - Although there are limited data available on gender as an outcome variable in the
treatment of onychomycosis, differences in disease prevalence and impact in males
versus females have been observed. This article provides a gender subgroup
analysis based on results from recent studies evaluating the efficacy, safety,
and tolerability of efinaconazole topical solution 10% in the treatment of
onychomycosis. Data were collected from two 52-week, prospective, multicenter,
randomized, double-blind studies of patients ?(age range, 18-70 years) randomized
to receive either efinaconazole topical solution 10% or vehicle for treatment of
onychomycosis. Results from this analysis indicated that once-daily application
of efinaconazole topical solution 10% may provide a useful topical option for
treatment of mild to moderate toenail onychomycosis, especially in female
patients.
PMID- 26562271
TI - What is your diagnosis? Idiopathic guttate hypomelanosis.
PMID- 26562272
TI - Syringoid eccrine carcinoma.
PMID- 26562273
TI - Therapies for actinic keratosis with a focus on cosmetic outcomes.
AB - Actinic keratosis (AK) is a commonly encountered premalignant epidermal lesion
that has a predilection to manifest on highly visible areas such as the face,
head, and hands. Lesions may be cosmetically unappealing and have been reported
to reduce patients' quality of life (QOL), but appropriate treatment can resolve
these issues. In this article, we review the efficacy of the most commonly
utilized treatments for AKs including topical medications, procedural modalities,
and light-based therapies, and we discuss the relevant cosmetic considerations
and outcomes.
PMID- 26562274
TI - Erythema induratum of Bazin presenting as peripheral neuropathy.
AB - Erythema induratum of Bazin (EIB) is a rare tuberculid that typically affects
middle-aged women. We report a unique case of EIB in a 57-year-old Hispanic woman
who presented with recurrent painful plaques and nodules on the lower
extremities, specifically on the pretibial area of the legs and dorsal aspect of
the feet, with a severe burning sensation on the feet that resolved after
antituberculosis therapy. We review the characteristics of EIB and examine how
the unique presentation of peripheral neuropathy may relate to the
pathophysiology of this disease.
PMID- 26562275
TI - Characterization of knuckle (Garrod) pads using optical coherence tomography in
vivo.
PMID- 26562276
TI - Oral lichen planus with malignant transformation to invasive squamous cell
carcinoma.
PMID- 26562277
TI - Extensive skin necrosis from suspected levamisole-contaminated cocaine.
PMID- 26562278
TI - Granulomatous cheilitis: a stiff upper lip.
PMID- 26562279
TI - Enhancing dermatology education: resident presentation opportunities.
AB - Dermatology residency is a time to maximize educational experiences, which
include opportunities to attend academic meetings and present research and
clinical cases. In this article, resident presentation opportunities at major
academic dermatology meetings are reviewed.
PMID- 26562280
TI - Type 1 neurofibromatosis (von Recklinghausen disease).
AB - Type 1 neurofibromatosis (NF1), or von ?Recklinghausen disease, is a genetic
disorder that is well known for its clinical features. ?Effective treatment
modalities for NF1 have not yet been established. The advent of new treatment
options for NF1 such as topical vitamin D3 analogues, lovastatin, rapamycin (or
sirolimus), and imatinib mesylate has added new dimensions that require further
investigation to provide the greatest benefit to patients.
PMID- 26562281
TI - Painful skin lesions on the hands following black henna application.
PMID- 26562282
TI - Vegetative sacral plaque in a patient with human immunodeficiency virus.
PMID- 26562283
TI - A New Role Discovered for a Well-Known Clock Protein.
AB - A new study adds further complexity to the mammalian circadian clock by revealing
that the CRY protein has an additional unsuspected feedback role in facilitating
a crucial regulatory phosphorylation event. Read the Research Article.
PMID- 26562284
TI - Common-mask guided image reconstruction (c-MGIR) for enhanced 4D cone-beam
computed tomography.
AB - Compared to 3D cone beam computed tomography (3D CBCT), the image quality of
commercially available four-dimensional (4D) CBCT is severely impaired due to the
insufficient amount of projection data available for each phase. Since the
traditional Feldkamp-Davis-Kress (FDK)-based algorithm is infeasible for
reconstructing high quality 4D CBCT images with limited projections,
investigators had developed several compress-sensing (CS) based algorithms to
improve image quality. The aim of this study is to develop a novel algorithm
which can provide better image quality than the FDK and other CS based algorithms
with limited projections. We named this algorithm 'the common mask guided image
reconstruction' (c-MGIR).In c-MGIR, the unknown CBCT volume is mathematically
modeled as a combination of phase-specific motion vectors and phase-independent
static vectors. The common-mask matrix, which is the key concept behind the c
MGIR algorithm, separates the common static part across all phase images from the
possible moving part in each phase image. The moving part and the static part of
the volumes were then alternatively updated by solving two sub-minimization
problems iteratively. As the novel mathematical transformation allows the static
volume and moving volumes to be updated (during each iteration) with global
projections and 'well' solved static volume respectively, the algorithm was able
to reduce the noise and under-sampling artifact (an issue faced by other
algorithms) to the maximum extent. To evaluate the performance of our proposed c
MGIR, we utilized imaging data from both numerical phantoms and a lung cancer
patient. The qualities of the images reconstructed with c-MGIR were compared with
(1) standard FDK algorithm, (2) conventional total variation (CTV) based
algorithm, (3) prior image constrained compressed sensing (PICCS) algorithm, and
(4) motion-map constrained image reconstruction (MCIR) algorithm, respectively.
To improve the efficiency of the algorithm, the code was implemented with a
graphic processing unit for parallel processing purposes.Root mean square error
(RMSE) between the ground truth and reconstructed volumes of the numerical
phantom were in the descending order of FDK, CTV, PICCS, MCIR, and c-MGIR for all
phases. Specifically, the means and the standard deviations of the RMSE of FDK,
CTV, PICCS, MCIR and c-MGIR for all phases were 42.64 +/- 6.5%, 3.63 +/-
0.83%, 1.31% +/- 0.09%, 0.86% +/- 0.11% and 0.52 % +/- 0.02%, respectively.
The image quality of the patient case also indicated the superiority of c-MGIR
compared to other algorithms.The results indicated that clinically viable 4D CBCT
images can be reconstructed while requiring no more projection data than a
typical clinical 3D CBCT scan. This makes c-MGIR a potential online
reconstruction algorithm for 4D CBCT, which can provide much better image quality
than other available algorithms, while requiring less dose and potentially less
scanning time.
PMID- 26562285
TI - Comment on "Scaling properties of information-theoretic quantities in density
functional reactivity theory" by C. Rong, T. Lu, P. W. Ayers, P. K. Chattaraj and
S. Liu, Phys. Chem. Chem. Phys., 2015, 17, 4977-4988.
AB - The scaling properties of density functionals are key for fundamentally
understanding density functional theory. Accordingly, the dependence of density
functionals on the number of particles is of paramount relevance. The numerical
exploration by Rong et al. addressed N-scaling for a set of quantum information
quantities; they found linear relationships between each one of them and the
electronic population for atoms, molecules, and atoms in molecules. The main
motivation for their computational work was that the theoretical scaling of these
quantities is unknown; however, these scaling properties can be analytically
determined. Here I reveal the derivation of the N-scaling rules for the
quantities studied by Rong et al. by following the procedure introduced in
Comput. Theor. Chem., 2015, 1053, 38. In addition, a new atomic scaling rule
explains the linear relationship between atomic populations and atomic values of
the same quantum information quantities.
PMID- 26562286
TI - Strategies for Controlled Ovarian Stimulation in the Setting of Ovarian Aging.
AB - In the context of assisted reproduction, the term ovarian aging is often used to
refer to declining potential of ovaries to produce oocytes in adequate number or
quality in response to controlled ovarian stimulation (COS). Different aspects of
COS have been modified with the intention to increase the number and quality of
oocytes obtained for in vitro fertilization. In the setting of ovarian aging,
suppression of the luteinizing hormone (LH) surge with gonadotropin-releasing
hormone (GnRH) antagonist or short GnRH agonist protocol and stimulation with a
daily gonadotropin dosage of <= 300 IU/day seem to be appropriate first choices,
and there is a strong need for well-designed randomized controlled trials
investigating effects of addition of LH activity, estradiol priming, transdermal
testosterone administration, and growth hormone supplementation. Given the lack
of high-quality evidence showing effectiveness of one approach over another,
other factors such as duration of stimulation, total gonadotropin consumption and
cost of medication, patient friendliness, and possible side effect profiles must
be considered in tailoring the COS protocol according to each individual's needs
and desires.
PMID- 26562287
TI - Oocyte Cryopreservation as a Preventive Measure for Age-Related Fertility Loss.
AB - The increased desire to achieve higher educational, career, or financial goals
and not having met a suitable partner are the main reasons why women are
postponing parenthood to later stages in life. Not being aware of the age-related
decline in fertility and the presence of novel and effective fertility
preservation strategies are contributing factors to the increased incidence of
childlessness at later stages of life. Oocyte cryopreservation has recently been
introduced as a means of increasing the probability of motherhood at advanced
ages, should attempts at natural conception fail. It is likely to be the most
effective when performed in patients younger than 35 years with a good ovarian
reserve. Preconceptional counseling of women by medical professionals, education
of young adolescents at schools, organization of public health campaigns, and
presentation of fertility health information through the media are strategies
suggested to increase public awareness on the age-related decline in fertility
and avoid its possible consequences.
PMID- 26562288
TI - Metabolic Determinants of Mitochondrial Function in Oocytes.
AB - Mitochondrial production of cellular energy is essential to oocyte function,
zygote development and successful continuation of pregnancy. This review focuses
on several key functions of healthy oocyte mitochondria and the effect of
pathologic states such as aging, oxidative stress and apoptosis on these
functions. The effect of these abnormal conditions is presented in terms of
clinical presentations, specifically maternal obesity, diminished ovarian reserve
and assisted reproductive technologies.
PMID- 26562289
TI - Impaired DNA Repair as a Mechanism for Oocyte Aging: Is It Epigenetically
Determined?
AB - DNA damage is one of the most common insults that challenge all cells, and more
so in resting cell-like oocytes. Increased DNA damage in aged oocyte has been
shown to negatively impact the reproductive outcomes. The underlying molecular
mechanism is still not completely comprehended, but based on the literature, this
decline in the aging oocyte is attributed to impaired DNA repair and epigenetic
modifications of these genes with increasing age. In this review, we discuss
these molecular alterations and the epigenetic modifications in the DNA double
strand break repair gene expressions as a mechanism of oocyte aging.
PMID- 26562290
TI - An Analysis of Lateral Crural Repositioning and Its Effect on Alar Rim Position.
AB - IMPORTANCE: Cephalically malpositioned lateral crura are a frequent cause of alar
rim retraction during both primary and revision rhinoplasty. OBJECTIVE: To
demonstrate the efficacy of lateral crural repositioning as an isolated maneuver
as and combined with adjunctive grafts for lowering the alar rim. DESIGN,
SETTING, AND PARTICIPANTS: From August through December 2014, we retrospectively
reviewed the cases of 54 patients (102 hemi-noses) who had lateral crural
repositioning performed by the same surgeon between 2007 and 2013. Only patients
with standardized photographs taken preoperatively and at least 6 months
postoperatively were included in the study. INTERVENTIONS: All primary and
revision cases were completed via an open rhinoplasty approach and had lateral
crural repositioning performed. MAIN OUTCOMES AND MEASURES: Preoperative and
postoperative photographs were compared using Adobe Photoshop CS via a modified
Gunter technique to measure the degree of alar rim retraction on lateral views.
The change in angle was then calculated, and statistical analysis conducted using
a paired t test. RESULTS: A total of 54 patients (102 hemi-noses) met inclusion
criteria; 42 (79%) were women, and the average patient age was 41.3 years. Forty
five (83%) of the cases were revision rhinoplasties, and the average time to
obtaining postoperative photographs was 11.3 months. The mean (SD) anterior
nostril apex angles preoperatively (31.3 degrees [8.9 degrees ]) and
postoperatively (24.5 degrees [6.8 degrees ]) indicated a net decrease of 6.8
degrees (P < .001). Excluding patients who had other rim-lowering grafts (alar
rim and/or composite grafts), we saw a similar result comparing preoperative
(31.1 degrees [8.2 degrees ]) and postoperative (24.5 degrees [6.7 degrees ])
angles, with a net decrease of 6.6 degrees degrees (P < .001). When examining
patients who underwent lateral crural repositioning alone compared with those who
also had lateral crural strut grafts, we saw a decrease of 6.9 degrees (P <
.001) and 6.7 degrees degrees (P < .001), respectively. CONCLUSIONS AND
RELEVANCE: Repositioning of the lower lateral cartilages results in a
statistically significant lowering of the alar rim. Our data suggest that when
combined with lateral crural repositioning, the addition of adjunctive grafts
does not add significantly to the rim-lowering effect. LEVEL OF EVIDENCE: 3.
PMID- 26562291
TI - Dengue Virus Non-structural Protein 1 Modulates Infectious Particle Production
via Interaction with the Structural Proteins.
AB - Non-structural protein 1 (NS1) is one of the most enigmatic proteins of the
Dengue virus (DENV), playing distinct functions in immune evasion, pathogenesis
and viral replication. The recently reported crystal structure of DENV NS1
revealed its peculiar three-dimensional fold; however, detailed information on
NS1 function at different steps of the viral replication cycle is still missing.
By using the recently reported crystal structure, as well as amino acid sequence
conservation, as a guide for a comprehensive site-directed mutagenesis study, we
discovered that in addition to being essential for RNA replication, DENV NS1 is
also critically required for the production of infectious virus particles. Taking
advantage of a trans-complementation approach based on fully functional epitope
tagged NS1 variants, we identified previously unreported interactions between NS1
and the structural proteins Envelope (E) and precursor Membrane (prM).
Interestingly, coimmunoprecipitation revealed an additional association with
capsid, arguing that NS1 interacts via the structural glycoproteins with DENV
particles. Results obtained with mutations residing either in the NS1 Wing domain
or in the beta-ladder domain suggest that NS1 might have two distinct functions
in the assembly of DENV particles. By using a trans-complementation approach with
a C-terminally KDEL-tagged ER-resident NS1, we demonstrate that the secretion of
NS1 is dispensable for both RNA replication and infectious particle production.
In conclusion, our results provide an extensive genetic map of NS1 determinants
essential for viral RNA replication and identify a novel role of NS1 in virion
production that is mediated via interaction with the structural proteins. These
studies extend the list of NS1 functions and argue for a central role in
coordinating replication and assembly/release of infectious DENV particles.
PMID- 26562292
TI - Risk Model-Guided Antiemetic Prophylaxis vs Physician's Choice in Patients
Receiving Chemotherapy for Early-Stage Breast Cancer: A Randomized Clinical
Trial.
AB - IMPORTANCE: Despite multiple patient-centered factors being associated with the
risk of chemotherapy-induced nausea and vomiting (CINV), these factors are rarely
considered when making antiemetic recommendations. OBJECTIVE: To compare risk
model-guided (RMG) antiemetic prophylaxis with physician's choice (PC) in
patients receiving chemotherapy for early-stage breast cancer. DESIGN, SETTING,
AND PARTICIPANTS: A randomized clinical trial of 324 patients with early-stage
breast cancer undergoing chemotherapy (cyclophosphamide and an anthracycline) for
the first time at 2 specialty cancer care centers in Ottawa from April 10, 2012,
to September 2, 2014. Patients were randomized to either the RMG arm (n = 154) or
the PC control arm (n = 170). Prior to each cycle of chemotherapy patients in the
RMG group were categorized as low or high risk for CINV, and their antiemetic
treatments were adjusted accordingly. INTERVENTIONS: Patients considered to be at
low risk received standard dexamethasone and a 5-HT3 antagonist, while those at
high risk also received aprepitant with or without olanzapine, based on their
risk level. The PC control group received antiemetic agents according to the
treating physician's discretion. MAIN OUTCOMES AND MEASURES: The primary end
points were control of both nausea and vomiting in the acute posttreatment period
(first 24 hours after therapy) and in the delayed posttreatment period (days 2-5
after therapy). RESULTS: The total numbers of chemotherapy cycles delivered in
the RMG and PC control groups were 497 and 551 respectively. In the acute period,
significantly more patients in the RMG group reported no nausea (53.7% [95% CI,
49.2%-58.1%] vs 41.6% [95% CI, 37.4%-45.3%]; P < .001) and no vomiting (91.8%
[95% CI, 89.0%-94.0%] vs 82.2% [95% CI, 78.8%-85.3%]; P < .001) compared with the
PC control group. Similarly, significantly more patients in the RMG group
reported no nausea (39.6% [95% CI, 35.3%-44.1%] vs 30.7% [95% CI, 26.8%-34.7%]; P
= .01) and no vomiting (87.1% [95% CI, 83.8%-90.0%) vs 78.0% [95% CI, 74.3%
81.4%]; P < .001) in the delayed period respectively. CONCLUSIONS AND RELEVANCE:
In this trial, the RMG antiemetic prophylaxis led to improved control of acute
and delayed CINV compared with physician's choice of therapy. TRIAL REGISTRATION:
clinicaltrials.gov Identifier: NCT01913990.
PMID- 26562293
TI - The Cotton WRKY Gene GhWRKY41 Positively Regulates Salt and Drought Stress
Tolerance in Transgenic Nicotiana benthamiana.
AB - WRKY transcription factors constitute a very large family of proteins in plants
and participate in modulating plant biological processes, such as growth,
development and stress responses. However, the exact roles of WRKY proteins are
unclear, particularly in non-model plants. In this study, Gossypium hirsutum
WRKY41 (GhWRKY41) was isolated and transformed into Nicotiana benthamiana. Our
results showed that overexpression of GhWRKY41 enhanced the drought and salt
stress tolerance of transgenic Nicotiana benthamiana. The transgenic plants
exhibited lower malondialdehyde content and higher antioxidant enzyme activity,
and the expression of antioxidant genes was upregulated in transgenic plants
exposed to osmotic stress. A beta-glucuronidase (GUS) staining assay showed that
GhWRKY41 was highly expressed in the stomata when plants were exposed to osmotic
stress, and plants overexpressing GhWRKY41 exhibited enhanced stomatal closure
when they were exposed to osmotic stress. Taken together, our findings
demonstrate that GhWRKY41 may enhance plant tolerance to stress by functioning as
a positive regulator of stoma closure and by regulating reactive oxygen species
(ROS) scavenging and the expression of antioxidant genes.
PMID- 26562294
TI - The Type of Breast Reconstruction May Not Influence Patient Satisfaction in the
Chinese Population: A Single Institutional Experience.
AB - BACKGROUND: The goal of this study was to evaluate patient satisfaction with four
common types of breast reconstruction performed at our institution: latissimus
dorsi myocutaneous (LDM) flap reconstruction with or without implants, pedicled
transverse rectus abdominis musculocutaneous (TRAM) flap reconstruction, and free
deep inferior epigastric perforator (DIEP) flap reconstruction. METHODS: A custom
survey consisting of questions that assessed general and aesthetic satisfaction
was sent to patients who had undergone breast reconstruction in the last 5 years.
The clinical data and details of the surgery were also collected from the
patients who returned the surveys. We compared satisfaction rates across the four
breast reconstruction types and analyzed the effects of various factors on
overall general and aesthetic satisfaction rates using a binary logistic
regression model. RESULT: A total of 207 (72%) patients completed the
questionnaires. Overall, significant differences in general and aesthetic
satisfaction among the four procedures were not observed. A multivariate analysis
revealed that the factor "complications" (p = 0.001) played a significant role in
general satisfaction and that the factors "> 2 years since reconstruction" (p =
0.043) and "age > 35 years" (p = 0.05) played significant roles in overall
aesthetic satisfaction. CONCLUSION: The present study demonstrated that the type
of breast reconstruction might not influence satisfaction in Chinese patients.
PMID- 26562296
TI - How Visuo-Spatial Mental Imagery Develops: Image Generation and Maintenance.
AB - Two experiments examined the nature of visuo-spatial mental imagery generation
and maintenance in 4-, 6-, 8-, 10-year old children and adults (N = 211). The key
questions were how image generation and maintenance develop (Experiment 1) and
how accurately children and adults coordinate mental and visually perceived
images (Experiment 2). Experiment 1 indicated that basic image generation and
maintenance abilities are present at 4 years of age but the precision with which
images are generated and maintained improves particularly between 4 and 8 years.
In addition to increased precision, Experiment 2 demonstrated that generated and
maintained mental images become increasingly similar to visually perceived
objects. Altogether, findings suggest that for simple tasks demanding image
generation and maintenance, children attain adult-like precision younger than
previously reported. This research also sheds new light on the ability to
coordinate mental images with visual images in children and adults.
PMID- 26562297
TI - How does the label "epileptic" influence attitudes toward epilepsy?
AB - PURPOSE: The purpose of our research was to study the possible differences in
attitudes toward epilepsy based on the label used: "person"/"child with epilepsy"
vs. "epileptic"/"epileptic child". METHODS: Two randomly assigned groups of
college-preparatory high school students were questioned using anonymous
questionnaires about their demographics, knowledge on epilepsy and attitudes
toward epilepsy. Attitudes were measured using three general attitude questions
and a six item modified version of the Bogardus social distance scale. Two
versions of the questionnaire were identical except for the term "person"/"child
with epilepsy" in first group (group A) being replaced with the term
"epileptic"/"epileptic child" in the second one (group B). Mann-Whitney test was
used to compare attitude scores between groups. RESULTS: There were 425 subjects
in total, 208 in group A and 217 in group B. The results on the Social distance
scale indicated significantly more negative attitudes in group B (p=0.008).
Subjects in group B also expressed more negative attitudes than those in group A
when asked about sharing a room with a person with epilepsy (p=0.005) and
marrying a person with epilepsy, either themselves (p=0.033) or when the person
getting married is someone close to them (p=0.024). CONCLUSION: In conclusion,
using term "epileptic" can evoke more negative attitudes toward a person with
epilepsy and this seems to be especially true for more intimate life domains
(cohabitation or marriage), while in more impersonal domains (such as
communicating and working with a person with epilepsy), no significant influence
of the label on attitudes was proven.
PMID- 26562295
TI - Elucidating the Role of Injury-Induced Electric Fields (EFs) in Regulating the
Astrocytic Response to Injury in the Mammalian Central Nervous System.
AB - Injury to the vertebrate central nervous system (CNS) induces astrocytes to
change their morphology, to increase their rate of proliferation, and to display
directional migration to the injury site, all to facilitate repair. These
astrocytic responses to injury occur in a clear temporal sequence and, by their
intensity and duration, can have both beneficial and detrimental effects on the
repair of damaged CNS tissue. Studies on highly regenerative tissues in non
mammalian vertebrates have demonstrated that the intensity of direct-current
extracellular electric fields (EFs) at the injury site, which are 50-100 fold
greater than in uninjured tissue, represent a potent signal to drive tissue
repair. In contrast, a 10-fold EF increase has been measured in many injured
mammalian tissues where limited regeneration occurs. As the astrocytic response
to CNS injury is crucial to the reparative outcome, we exposed purified rat
cortical astrocytes to EF intensities associated with intact and injured
mammalian tissues, as well as to those EF intensities measured in regenerating
non-mammalian vertebrate tissues, to determine whether EFs may contribute to the
astrocytic injury response. Astrocytes exposed to EF intensities associated with
uninjured tissue showed little change in their cellular behavior. However,
astrocytes exposed to EF intensities associated with injured tissue showed a
dramatic increase in migration and proliferation. At EF intensities associated
with regenerating non-mammalian vertebrate tissues, these cellular responses were
even more robust and included morphological changes consistent with a
regenerative phenotype. These findings suggest that endogenous EFs may be a
crucial signal for regulating the astrocytic response to injury and that their
manipulation may be a novel target for facilitating CNS repair.
PMID- 26562299
TI - A Re-Examination of the History of Etiologic Confusion between Dengue and
Chikungunya.
AB - Contrary to the perception of many researchers that the recent invasion of
chikungunya (CHIK) in the Western Hemisphere marked the first episode in history,
a recent publication reminded them that CHIK had prevailed in the West Indies and
southern regions of the United States from 1827-1828 under the guise of "dengue"
(DEN), and that many old outbreaks of so-called "dengue" actually represented the
CHIK cases erroneously identified as "dengue." In hindsight, this confusion was
unavoidable, given that the syndromes of the two diseases-transmitted by the same
mosquito vector in urban areas-are very similar, and that specific laboratory
based diagnostic techniques for these diseases did not exist prior to 1940. While
past reviewers reclassified problematic "dengue" outbreaks as CHIK, primarily
based on manifestation of arthralgia as a marker of CHIK, they neither identified
the root cause of the alleged misdiagnosis nor did they elaborate on the negative
consequences derived from it. This article presents a reconstructed history of
the genesis of the clinical definition of dengue by emphasizing problems with the
definition, subsequent confusion with CHIK, and the ways in which physicians
dealt with the variation in dengue-like ("dengue") syndromes. Then, the article
identifies in those records several factors complicating reclassification, based
on current practice and standards. These factors include terms used for
characterizing joint problems, style of documenting outbreak data, frequency of
manifestation of arthralgia, possible involvement of more than one agent, and
occurrence of the principal vector. The analysis of those factors reveals that
while some of the old "dengue" outbreaks, including the 1827-1828 outbreaks in
the Americas, are compatible with CHIK, similar reclassification of other
"dengue" outbreaks to CHIK is difficult because of a combination of the absence
of pathognomonic syndrome in these diseases and conflicting background
information.
PMID- 26562298
TI - Eye Movements Index Implicit Memory Expression in Fear Conditioning.
AB - The role of contingency awareness in simple associative learning experiments with
human participants is currently debated. Since prior work suggests that eye
movements can index mnemonic processes that occur without awareness, we used eye
tracking to better understand the role of awareness in learning aversive
Pavlovian conditioning. A complex real-world scene containing four embedded
household items was presented to participants while skin conductance, eye
movements, and pupil size were recorded. One item embedded in the scene served as
the conditional stimulus (CS). One exemplar of that item (e.g. a white pot) was
paired with shock 100 percent of the time (CS+) while a second exemplar (e.g. a
gray pot) was never paired with shock (CS-). The remaining items were paired with
shock on half of the trials. Participants rated their expectation of receiving a
shock during each trial, and these expectancy ratings were used to identify when
(i.e. on what trial) each participant became aware of the programmed
contingencies. Disproportionate viewing of the CS was found both before and after
explicit contingency awareness, and patterns of viewing distinguished the CS+
from the CS-. These observations are consistent with "dual process" models of
fear conditioning, as they indicate that learning can be expressed in patterns of
viewing prior to explicit contingency awareness.
PMID- 26562300
TI - Localization, expression and role of Orexin A and its receptor in testes of
neonatal mice.
AB - Orexin A (OXA), a hypothalamic neuropeptide, and its receptor (OX1R) are
primarily expressed in lateral hypothalamus and are involved in the control of
various biological functions. Expressions of OXA and OX1R have also been reported
in peripheral organs like gastrointestinal and genital tracts. In the present
study, expressions of OXA and OX1R have been observed in the testis of Parkes
strain neonatal mice by semi-quantitative RT-PCR and western blot analyses.
Immunohistochemical study also revealed their presence on spermatogonia, Sertoli
cells and in the interstitium of the testis. In order to understand the role of
OXA and OX1R in testicular development, an in vitro study was also performed. For
this, binding of OXA to OX1R was blocked using OX1R specific antagonist, SB
334867. Eighteen mice were sacrificed and their testes were cultured in complete
media containing vehicle and two doses (0.1 and 4.0MUg/ml media) of SB-334867 for
72h in CO2 incubator at 37 degrees C. At the end of culture period, testes were
used for western blot and RT-PCR analyses to study the expression of various
markers of gonadal development, such as steroidogenic factor 1 (SF-1), Wilms'
tumor 1 (Wt1), Mullerian inhibiting substance (MIS) and stem cell factor (SCF).
Further, expressions of OXA, OX1R and glucose transporter 3 (GLUT 3) were also
studied. A marked increase in the expression of SF-1 and a decrease in the
expression of Wt1 at both transcript and protein levels were noted, while there
was a decrease in the expression of SCF and MIS at transcript level at both doses
of the antagonist; this suggests that blockage of OXA binding to OX1R by SB
334867 affects testicular development. The decrease in expressions of OXA, OX1R
and GLUT 3 in the test is in response to both doses of the antagonist points to
their down-regulation causing inefficient uptake of glucose by the testicular
cells, thereby affecting gonadal development. In conclusion, our results suggest
that the binding of OXA to OX1R is important for the development of the testis.
PMID- 26562301
TI - Frozen embryos? Torpor during pregnancy in the Tasmanian short-beaked echidna
Tachyglossus aculeatus setosus.
AB - We studied the interaction between torpor and reproduction in free-ranging female
Tasmanian echidnas using a combination of techniques including urogenital smears,
hormone analysis, ultrasonography, external temperature loggers and camera traps.
Male echidnas initiated mating activity by locating hibernating females. All
females that mated or were disturbed by males prior to July 27 re-entered
hibernation, including many that were pregnant. Pregnant females only entered
hibernation in early pregnancy when plasma progesterone concentrations were about
twice basal and progesterone then remained constant during torpor. By re-entering
hibernation pregnant females extended their gestation period and delayed egg
laying. Progesterone peaked 4-6days before egg-laying, then dropped rapidly.
PMID- 26562302
TI - Mutational Hotspot of TET2, IDH1, IDH2, SRSF2, SF3B1, KRAS, and NRAS from Human
Systemic Mastocytosis Are Not Conserved in Canine Mast Cell Tumors.
AB - INTRODUCTION: Both canine cutaneous mast cell tumor (MCT) and human systemic
mastocytosis (SM) are characterized by abnormal proliferation and accumulation of
mast cells in tissues and, frequently, by the presence of activating mutations in
the receptor tyrosine kinase V-Kit Hardy-Zuckerman 4 Feline Sarcoma Viral
Oncogene Homolog (c-KIT), albeit at different incidence (>80% in SM and 10-30% in
MCT). In the last few years, it has been discovered that additional mutations in
other genes belonging to the methylation system, the splicing machinery and cell
signaling, contribute, with c-KIT, to SM pathogenesis and/or phenotype. In the
present study, the mutational profile of the Tet methylcytosine dioxygenase 2
(TET2), the isocitrate dehydrogenases 1 and 2 (IDH1 and IDH2), the
serine/arginine-rich splicing factor 2 (SRSF2), the splicing factor 3b subunit 1
(SF3B1), the Kirsten rat sarcoma viral oncogene homolog (KRAS) and the
neuroblastoma RAS viral oncogene homolog (NRAS), commonly mutated in human
myeloid malignancies and mastocytosis, was investigated in canine MCTs. METHODS:
Using the Sanger sequencing method, a cohort of 75 DNA samples extracted from MCT
biopsies already investigated for c-KIT mutations were screened for the "human
like" hot spot mutations of listed genes. RESULTS: No mutations were ever
identified except for TET2 even if with low frequency (2.7%). In contrast to what
is observed in human TET2 no frame-shift mutations were found in MCT samples.
CONCLUSION: Results obtained in this preliminary study are suggestive of a
substantial difference between human SM and canine MCT if we consider some target
genes known to be involved in the pathogenesis of human SM.
PMID- 26562303
TI - Decadal Trend in Agricultural Abandonment and Woodland Expansion in an Agro
Pastoral Transition Band in Northern China.
AB - Land use land cover (LULC) changes frequently in ecotones due to the large
climate and soil gradients, and complex landscape composition and configuration.
Accurate mapping of LULC changes in ecotones is of great importance for
assessment of ecosystem functions/services and policy-decision support. Decadal
or sub-decadal mapping of LULC provides scenarios for modeling biogeochemical
processes and their feedbacks to climate, and evaluating effectiveness of land
use policies, e.g. forest conversion. However, it remains a great challenge to
produce reliable LULC maps in moderate resolution and to evaluate their
uncertainties over large areas with complex landscapes. In this study we
developed a robust LULC classification system using multiple classifiers based on
MODIS (Moderate Resolution Imaging Spectroradiometer) data and posterior data
fusion. Not only does the system create LULC maps with high statistical accuracy,
but also it provides pixel-level uncertainties that are essential for subsequent
analyses and applications. We applied the classification system to the Agro
pasture transition band in northern China (APTBNC) to detect the decadal changes
in LULC during 2003-2013 and evaluated the effectiveness of the implementation of
major Key Forestry Programs (KFPs). In our study, the random forest (RF), support
vector machine (SVM), and weighted k-nearest neighbors (WKNN) classifiers
outperformed the artificial neural networks (ANN) and naive Bayes (NB) in terms
of high classification accuracy and low sensitivity to training sample size. The
Bayesian-average data fusion based on the results of RF, SVM, and WKNN achieved
the 87.5% Kappa statistics, higher than any individual classifiers and the
majority-vote integration. The pixel-level uncertainty map agreed with the
traditional accuracy assessment. However, it conveys spatial variation of
uncertainty. Specifically, it pinpoints the southwestern area of APTBNC has
higher uncertainty than other part of the region, and the open shrubland is
likely to be misclassified to the bare ground in some locations. Forests, closed
shrublands, and grasslands in APTBNC expanded by 23%, 50%, and 9%, respectively,
during 2003-2013. The expansion of these land cover types is compensated with the
shrinkages in croplands (20%), bare ground (15%), and open shrublands (30%). The
significant decline in agricultural lands is primarily attributed to the KFPs
implemented in the end of last century and the nationwide urbanization in recent
decade. The increased coverage of grass and woody plants would largely reduce
soil erosion, improve mitigation of climate change, and enhance carbon
sequestration in this region.
PMID- 26562304
TI - VGF Peptide Profiles in Type 2 Diabetic Patients' Plasma and in Obese Mice.
AB - To address the possible involvement of VGF peptides in obesity and diabetes, we
studied type 2 diabetes (T2D) and obese patients, and high-fat diet induced obese
mice. Two VGF peptides (NAPP-19 and QQET-30) were identified in human plasma by
HPLC-ESI-MS. The VGF C-terminus, the above two cleaved peptides, and the TLQP-21
related peptide/s were studied using ELISA and immunohistochemistry. In
euglycemic patients, plasma NAPPE and TLQP like peptides were significantly
reduced with obesity (74+/-10 vs. 167+/-28, and 92+/-10 vs. 191+/-19 pmol/ml,
mean+SEM, n = 10 and 6, obese vs. normal BMI, respectively, p<0.03). Upon a
standard glucose load, a distinct response was shown for VGF C-terminus, TLQP and
QQET-like (ERVW immunoreactive) peptides in euglycemic normal BMI patients, but
was virtually abolished in euglycemic obese, and in T2D patients independently of
BMI. High-fat diet induced obese mice showed reduced plasma VGF C-terminus, NAPPE
and QQET-like (ERVW) peptide/s (3+/-0.2 vs. 4.6+/-0.3, 22+/-3.5 vs. 34+/-1.3, and
48+/-7 vs. 100+/-7 pmol/ml, mean+SEM, n = 8/group, obese vs. slim, respectively,
p<0.03), with a loss of the response to glucose for all VGF peptides studied. In
immunohistochemistry, TLQP and/or VGF C-terminus antibodies labelled VGF
containing perikarya in mouse celiac ganglia, pancreatic islet cells and thin
beaded nerve fibres in brown adipose tissues, with fewer in white adipose tissue.
Upon the glucose load, tyrosine hydroxylase and VGF C-terminus immunoreactive
axons became apparent in pancreatic islets of slim animals, but not in obese
animals. Alltogether, a significant loss of VGF peptide immunoreactivity and/or
their response to glucose was demonstrated in obese patients, with or without
T2D, in parallel with a similar loss in high-fat diet induced obese mice. An
involvement of VGF in metabolic regulations, including those of brown and/or
white adipose tissues is underlined, and may point out specific VGF peptides as
potential targets for diagnosis and/or treatment.
PMID- 26562305
TI - Parasite Glycobiology: A Bittersweet Symphony.
PMID- 26562306
TI - E-mails in a Psychiatric Practice: Why Patients Send Them and How Psychiatrists
Respond.
AB - CONTEXT: Little is known about what prompts patients to use e-mail with their
physicians and how physicians respond to these e-mails. OBJECTIVE: To identify
the main reasons why patients e-mail and to learn how psychiatrists manage these
e-mails as part of these patients' overall care. DESIGN: One hundred patient
initiated e-mails to each of two psychiatrists in a group practice were studied
retrospectively for primary reason for the e-mail and for psychiatrists' handling
of each e-mail. Other data were collected to assess how representative the e
mailing patients were of the psychiatrists' patient panels. RESULTS: Age, sex,
and diagnoses of the e-mailers were similar to our overall panels. The most
common reasons for e-mailing were refill requests (19.5%), questions about
prescribed medication (16.5%), and worsening of symptoms (11.5%). The modal e
mail was a patient with attention-deficit/hyperactivity disorder requesting a
refill. The psychiatrists' most common responses were authorizing a refill (25%),
reassurance (22%), and making or moving up a scheduled appointment (16%). For all
patients who reported a worsening of symptoms, responses, communicated by e-mail
or telephone, included a combination of an earlier appointment and/or change in
medication or dose and/or referral for psychotherapy. Both psychiatrists found e
mail to be an efficient enhancement of their practice, and it was inferred that
this was also a satisfying mechanism for patients. CONCLUSION: Physician-patient
communication via e-mail is timesaving for both, and the benefits to patient care
should reassure physicians who are wary of using e-mail in their practice.
Potential risks to patients without face-to-face or telephone contact appear to
be minimal.
PMID- 26562307
TI - Effect of Advancing Age and Multiple Chronic Conditions on Mortality in Patients
with End-Stage Renal Disease after Implantable Cardioverter-Defibrillator
Placement.
AB - CONTEXT: There is insufficient information on the effect that advancing age and
multiple chronic conditions (MCC) have on mortality after placement of an
implantable cardioverter-defibrillator in patients with end-stage renal disease
(ESRD) vs non-ESRD. OBJECTIVE: To assess whether a differential effect of age and
MCC exists between ESRD and non-ESRD. DESIGN: Population-based, retrospective
cohort study using data from the national Kaiser Permanente Cardiac Device
Registry of patients who underwent placement of an implantable cardioverter
defibrillator between January 1, 2007, and December 31, 2013. MAIN OUTCOME
MEASURES: All-cause mortality. RESULTS: Of 7825 patients with implantable
cardioverter-defibrillator placement, ESRD-affected patients constituted 4.0% of
the cohort (n = 311), were similar in age (p = 0.91), and presented with a larger
comorbidity burden (3.3 +/- 1.3 vs 2.4 +/- 1.5, p < 0.001). The effect of
advancing age (every 5 years) on mortality in the ESRD cohort (hazard ratio [HR]
= 1.11, 95% confidence interval [CI] = 1.03-1.20) was less than in the non-ESRD
cohort (HR = 1.28, 95% CI = 1.25-1.32). Similarly, the effect of each additional
comorbidity in the ESRD cohort was less (HR = 1.04, 95% CI = 0.91-1.19) than in
the non-ESRD group (HR = 1.20, 95% CI = 1.16-1.25). Lastly, ESRD was
independently associated with a 3-fold greater hazard of mortality. CONCLUSIONS:
Advancing age and increasing number of MCC have a differential effect on
mortality risk in patients with ESRD compared with their non-ESRD counterparts.
Future studies should focus on assessment of nonlinear relationships of age, MCC,
and naturally occurring clusters of MCC on mortality.
PMID- 26562309
TI - The Gut Balance Revolution by Gerard E Mullin, MD.
PMID- 26562308
TI - Primary Care Clinicians' Perspectives on Reducing Low-Value Care in an Integrated
Delivery System.
AB - CONTEXT: Perceptions about low-value care (eg, medical tests and procedures that
may be unnecessary and/or harmful) among clinicians with capitated salaries are
unknown. OBJECTIVE: Explore clinicians' perceived use of and responsibility for
reducing low-value care by focusing on barriers to use, awareness of the Choosing
Wisely campaign, and response to reports of peer-comparison resource use and
practice patterns. METHODS: Electronic, cross-sectional survey, distributed in
2013, to 304 salaried primary care physicians and physician assistants at Group
Health Cooperative. MAIN OUTCOME MEASURES: Attitudes, awareness, and barriers of
low-value care strategies and initiatives. RESULTS: A total of 189 clinicians
responded (62% response rate). More than 90% believe cost is important to various
stakeholders and believe it is fair to ask clinicians to be cost-conscious. Most
found peer-comparison resource-use reports useful for understanding practice
patterns and prompting peer discussions. Two-thirds of clinicians were aware of
the Choosing Wisely campaign; among them, 97% considered it a legitimate
information source. Although 88% reported being comfortable discussing low-value
care with patients, 80% reported they would order tests or procedures when a
patient insisted. As key barriers in reducing low-value care, clinicians
identified time constraints (45%), overcoming patient preferences/values (44%),
community standards (43%), fear of patients' dissatisfaction (41%), patients'
knowledge about the harms of low-value care (38%), and availability of tools to
support shared decision making (37%). CONCLUSIONS: Salaried clinicians are aware
of rising health care costs and want to be stewards of limited health care
resources. Evidence-based initiatives such as the Choosing Wisely campaign may
help motivate clinicians to be conscientious stewards of limited health care
resources.
PMID- 26562310
TI - The darker-is-deeper heuristic for the perception of 3D shape from shading: Is it
perceptually or ecologically valid?
AB - The darker-is-deeper heuristic was originally proposed by Langer and Zucker
(1994) for approximating 3D shape from shading under conditions of diffuse
illumination that typically occur for outdoor scenes on a cloudy day, and it is
based on the assumption that vignetting is the primary source of luminance
variation under those conditions. It was later rejected as a model of human
perception by Langer and Bulthoff (2000), because points in concavities that
appear to be the deepest are most often located on local luminance maxima.
Despite that result, this heuristic has continued to be described in the
literature as a viable model of human perception (e.g., Chen & Tyler, 2015;
Tyler, 1998), based entirely on the appearance of image intensity gratings, which
have little or no connection to real 3D surfaces or patterns of illumination. In
this article we will present a large number of examples to show what actually
happens when surfaces are viewed under directional and diffuse illuminations. The
results will highlight a number of well-known phenomena in addition to vignetting
that can influence the pattern of shading on a surface under diffuse
illumination, and they will also demonstrate that the darker-is-deeper heuristic
is generally invalid for all types of illumination, except in unusual
circumstances.
PMID- 26562311
TI - Interference during eye movement preparation shifts the timing of perisaccadic
compression.
AB - Our perception of the surrounding environment remains stable despite the fact
that we frequently change the retinal position of input by rapid gaze shifts
(saccades). There is a long-standing debate whether visual stability depends on
an active mechanism using an efference copy of the impending saccadic motor
command. Behavioral studies showing changes in perception around the time of
saccades are consistent with a predictive mechanism, but previous studies of
perceptual effects in humans confounded saccade programming with the resulting
physical eye movement. In three experiments, we used a saccadic inhibition (SI)
paradigm to delay saccadic onset while participants were performing a
perisaccadic localization task. As expected, the perceived position of the probe
stimulus was systematically biased (compressed) toward the saccadic goal, already
during the presaccadic interval. In the SI condition, the localization error was
shifted in time, in line with it following saccade intention rather than
execution. The pattern was not the consequence of the probe being captured by the
timing of the flashed distractor, but depended instead on the delay in saccadic
onset time caused by SI. Importantly, the same configurations of perceptual
probes presented with a flashed backward mask when participants maintained
fixation did not lead to similar localization errors as saccade trials. This
pattern of results is consistent with an active, sensorimotor explanation for
perisaccadic mislocalization and, more generally, theories emphasizing the role
of motor prediction in visual stability.
PMID- 26562313
TI - Electrophysiological responses of feedback processing are modulated by MAOA
genotype in healthy male adolescents.
AB - A functional polymorphism in the promoter region of the monoamine oxidase A
(MAOA) gene is closely related to aggression. Although previous studies suggested
that impaired ability of feedback processing might be associated with aggressive
behaviour, studies concerning the MAOA gene-related aggression rarely focused on
the link between MAOA gene and feedback processing. We therefore sought to
investigate the effect of MAOA genotype on electrophysiological responses of
feedback processing in 72 healthy male adolescents during a simple monetary
gambling task. Feedback processing was investigated by measuring the feedback
related negativity (FRN) and the P300 as electrophysiological markers. We
observed a decreased electrophysiological response of the loss-gain difference
waves from 250 to 350 ms (dFRN) in individuals with the lower activity alleles
(MAOA-L) during the task, an effect that was driven primarily by the considerably
altered response to monetary gains. The reduced dFRN in MAOA-L group might
indicate poor ability to learn from feedback, which is followed by adjusting
future behaviour. And MAOA-L carriers exhibited lower P300 compared with subjects
with higher activity alleles (MAOA-H), which suggested fewer attentional
resources were allocated to feedback processing. In addition, MAOA-L carriers
demonstrated higher aggression and the aggression were inversely correlated with
dFRN across two groups; further analyses suggested that dFRN mediated the MAOA
genotype-aggression relationship. Consequently, we concluded that it might be the
altered feedback processing that makes MAOA-L carriers more vulnerable to
aggressive behaviour.
PMID- 26562312
TI - Search for an Endogenous Bombesin-Like Receptor 3 (BRS-3) Ligand Using Parabiotic
Mice.
AB - Bombesin-like receptor 3 (BRS-3) is an X-linked G protein-coupled receptor
involved in the regulation of energy homeostasis. Brs3 null (Brs3-/y) mice become
obese. To date, no high affinity endogenous ligand has been identified. In an
effort to detect a circulating endogenous BRS-3 ligand, we generated parabiotic
pairs of mice between Brs3-/y and wild type (WT) mice or between WT controls.
Successful parabiosis was demonstrated by circulatory dye exchange. The Brs3-/y
WT and WT-WT pairs lost similar weight immediately after surgery. After 9 weeks
on a high fat diet, the Brs3-/y-WT pairs weighed more than the WT-WT pairs.
Within the Brs3-/y-WT pairs, the Brs3-/y mice had greater adiposity than the WT
mice, but comparable lean and liver weights. Compared to WT mice in WT-WT pairs,
Brs3-/y and WT mice in Brs3-/y-WT pairs each had greater lean mass, and the Brs3
/y mice also had greater adiposity. These results contrast to those reported for
parabiotic pairs of leptin receptor null (Leprdb/db) and WT mice, where high
leptin levels in the Leprdb/db mice cause the WT parabiotic partners to lose
weight. Our data demonstrate that a circulating endogenous BRS-3 ligand, if
present, is not sufficient to reduce adiposity in parabiotic partners of Brs3-/y
mice.
PMID- 26562314
TI - Abnormal short-latency synaptic plasticity in the motor cortex of subjects with
Becker muscular dystrophy: a rTMS study.
AB - We used repetitive transcranial magnetic stimulation (rTMS) to further
investigate motor cortex excitability in 13 patients with Becker muscular
dystrophy (BMD), six of them with slight mental retardation. RTMS delivered at
5Hz frequency and suprathreshold intensity progressively increases the size of
motor evoked potentials (MEPs) in healthy subjects; the rTMS-induced facilitation
of MEPs was significantly reduced in the BMD patients mentally retarded or
classified as borderline when compared with age-matched control subjects and the
BMD patients with normal intelligence. The increase in the duration of the
cortical silent period was similar in both patient groups and controls. These
findings suggest an altered cortical short-term synaptic plasticity in glutamate
dependent excitatory circuits within the motor cortex in BMD patients with
intellectual disabilities. RTMS studies may shed new light on the physiological
mechanisms of cortical involvement in dystrophinopathies.
PMID- 26562315
TI - Notch1 Pathway Activity Determines the Regulatory Role of Cancer-Associated
Fibroblasts in Melanoma Growth and Invasion.
AB - Cancer-associated fibroblasts (CAF) play a crucial role in regulating cancer
progression, yet the molecular determinant that governs the tumor regulatory role
of CAF remains unknown. Using a mouse melanoma model in which exogenous melanoma
cells were grafted on the skin of two lines of mice where the genetic activation
or inactivation of Notch1 signaling specifically occurs in natural host stromal
fibroblasts, we demonstrated that Notch1 pathway activity could determine the
tumor-promoting or tumor-suppressing phenotype in CAF. CAF carrying elevated
Notch1 activity significantly inhibited melanoma growth and invasion, while those
with a null Notch1 promoted melanoma invasion. These findings identify the Notch1
pathway as a molecular determinant that controls the regulatory role of CAF in
melanoma skin growth and invasion, unveiling Notch1 signaling as a potential
therapeutic target for melanoma and potentially other solid tumors.
PMID- 26562316
TI - Recombinant measles AIK-C vaccine strain expressing heterologous virus antigens.
AB - Further attenuated measles vaccines were developed more than 50 years ago and
have been used throughout the world. Recombinant measles vaccine candidates have
been developed and express several heterologous virus protective antigens.
Immunogenicity and protective actions were confirmed using experimental animals:
transgenic mice, cotton rats, and primates. The recent development of measles
vaccine-based vectored vaccine candidates has been reviewed and some information
on recombinant measles vaccines expressing respiratory syncytial virus proteins
has been shown and discussed.
PMID- 26562317
TI - A sera-epidemiological study on pertussis immunity levels among community
populations and an analysis of the underlying factors in Tianjin China.
AB - BACKGROUND: The aim of this study is to characterize the sera-epidemiology of
pertussis immunity levels among community populations and to identify the
underlying factors. Moreover, our study will help resolve new issues encountered
during the control and prevention of pertussis reemergence. METHODS: The anti
pertussis antibody levels among community populations were examined using enzyme
linked immunosorbent assays (ELISA) over three years. Comparative studies were
carried out to assess the efficacy of different types of vaccines. Meanwhile, the
duration of protection provided by DTaP within the under-7 age group was
subjected to further analysis. RESULTS: The average positive rate for anti
pertussis antibody was 49.15% across all community populations, among which the 4
12 age group showed a rate substantially lower than those of other groups
(P<0.001). There was no statistically significant difference in anti-pertussis
antibody levels (P=0.977) between people receiving three and four doses of the
vaccine. The surveillance results showed that the positive antibody response rate
elicited by component pertussis combo (DTcP) vaccines (84.44%) was strikingly
higher than that elicited by acellular pertussis combo (DTaP) vaccines (37.22%,
P<0.001). More specifically, when given 4 doses of DTcP vaccines, 66.67% of the
people showed positive anti-pertussis toxin (PT) antibody levels, which was
higher than the ratio of 9.87% (P<0.001) in the case of DTaP vaccines. The
positive anti-pertussis antibody levels peaked at 73% within the first five
months following vaccination and then gradually decreased to below 20% in four
years. The positive rate was inversely correlated with the length of time after
vaccination (r=-0.929, P=0.003). CONCLUSIONS: The anti-pertussis antibody levels
were not only relatively low among community populations, but also dropped
excessively rapidly among vaccinated populations. Natural infection is an
important contributor to the high pertussis immunity levels seen in adolescents
and adults. The efficacy of DTaP remains to be improved.
PMID- 26562318
TI - Pertussis vaccines: WHO position paper, August 2015--Recommendations.
AB - This article presents the World Health Organization's (WHO) recommendations for
the use of vaccines against Bordetella pertussis from the WHO position paper on
Pertussis vaccines: WHO position paper--August 2015, recently published in the
Weekly Epidemiological Record (Pertussis vaccines: WHO position paper. Wkly
Epidemiol Rec 2015;90(August(35)):433-60). This position paper summarizes the
most recent developments in the field of pertussis disease and its prevention by
vaccination. It includes the WHO position on the choice of Pertussis vaccine as
well as on the use of additional strategies, particularly vaccination during
pregnancy, for prevention of early infant mortality. This document replaces the
first WHO position paper on vaccines against disease caused by Pertussis
published in 2010 (Pertussis vaccines: WHO position paper. Wkly Epidemiol Rec
2010;85(October(40)):385-400) and incorporates the revised guidance on the choice
of pertussis vaccines published in July 2014 (Pertussis vaccines: WHO position
paper. Wkly Epidemiol Rec 2014;89(July(30)):337-44). Footnotes to this paper
provide a number of core references. In accordance with its mandate to provide
guidance to Member States on health policy matters, WHO issues a series of
regularly updated position papers on vaccines and combinations of vaccines
against diseases that have an international public health impact. These papers
are concerned primarily with the use of vaccines in large-scale immunization
programmes; they summarize essential background information on diseases and
vaccines, and conclude with WHO's current position on the use of vaccines in the
global context. This paper reflects the recommendations of WHO's Strategic
Advisory Group of Experts (SAGE) on immunization. These recommendations were
discussed by SAGE at its April 2014 and April 2015 meetings. The evidence
presented at the meetings can be accessed at
http://www.who.int/immunization/sage/previous/en/index.html.
PMID- 26562319
TI - Identification of DLD, by immunoproteomic analysis and evaluation as a potential
vaccine antigen against three Vibrio species in Epinephelus coioides.
AB - Vibrio spp. represent a serious threat to the culture of Epinephelus coioides
(Orange-spotted Grouper) in Southeast Asia. In this study we used two-dimensional
electrophoresis (2-DE) and Western blotting to identify common immunogenic
proteins of Vibrio alginolyticus, Vibrio harveyi and Vibrio parahaemolyticus.
Membranes were probed with orange-spotted grouper anti-V. alginolyticus sera and
accordingly 60, 58 and 48 immunogenic protein spots were detected. By matching
analysis for the three Western blotting membranes, 6 cross immunogenic spots for
the three Vibrio species were identified. They were Outer membrane protein W
(OmpW), dihydrolipoamide dehydrogenase (DLD), succinate dehydrogenase
flavoprotein subunit(SDHA), elongation factor Ts(Ts), peptide ABC transporter
periplasmic peptide-binding protein and phosphoenolpyruvate carboxykinase(PEPCK).
One of the proteins, DLD, was used to evaluate the cross protective function for
E. coioides with a bacterial immunization and challenge method. The relative
percent survival rate of E. coioides against V. alginolyticus, V. harveyi and V.
parahaemolyticus was 90%, 86% and 80%, respectively. This work may provide
potential cross protective vaccine candidate antigens for three Vibrio species,
and DLD may be considered as an effective cross-protective immunogen against
three Vibrio species.
PMID- 26562320
TI - Effect of complement Factor H on anti-FHbp serum bactericidal antibody responses
of infant rhesus macaques boosted with a licensed meningococcal serogroup B
vaccine.
AB - FHbp is a major serogroup B meningococcal vaccine antigen. Binding of complement
Factor H (FH) to FHbp is specific for human and some non-human primate FH. In
previous studies, FH binding to FHbp vaccines impaired protective anti-FHbp
antibody responses. In this study we investigated anti-FHbp antibody responses to
a third dose of a licensed serogroup B vaccine (MenB-4C) in infant macaques
vaccinated in a previous study with MenB-4C. Six macaques with high binding of FH
to FHbp (FH(high)), and six with FH(low) baseline phenotypes, were immunized
three months after dose 2. After dose 2, macaques with the FH(low) baseline
phenotype had serum anti-FHbp antibodies that enhanced FH binding to FHbp
(functionally converting them to a FH(high) phenotype). In this group, activation
of the classical complement pathway (C4b deposition) by serum anti-FHbp antibody,
and anti-FHbp serum bactericidal titers were lower after dose 3 than after dose 2
(p<0.02). In macaques with the FH(high) baseline phenotype, the respective anti
FHbp C4b deposition and bactericidal titers were similar after doses 2 and 3. Two
macaques developed serum anti-FH autoantibodies after dose 2, which were not
detected after dose 3. In conclusion, in macaques with the FH(low) baseline
phenotype whose post-dose 2 serum anti-FHbp antibodies had converted them to
FH(high), the anti-FHbp antibody repertoire to dose 3 was skewed to less
protective epitopes than after dose 2. Mutant FHbp vaccines that eliminate FH
binding may avoid eliciting anti-FHbp antibodies that enhance FH binding, and
confer greater protection with less risk of inducing anti-FH autoantibodies than
FHbp vaccines that bind FH.
PMID- 26562322
TI - Combination therapies with daclatasvir and asunaprevir on NS3-D168 mutated HCV in
human hepatocyte chimeric mice.
AB - BACKGROUND: Although the frequency of emergent drug-resistant strains of HCV in
patients who failed to respond to simeprevir plus pegylated interferon (PEG-IFN)
and ribavirin (RBV) decreased after cessation of the treatment, it is not clear
whether or not the NS3-D168 variants affect the outcome of NS5A and NS3 inhibitor
combination therapy. In this study, we investigated the relationship between the
effect of daclatasvir plus asunaprevir treatment and the frequencies of NS3-D168
variants. METHODS: HCV genotype-1b-infected human hepatocyte chimeric mice with
various frequencies of NS3-D168 amino acid substitutions were treated with
asunaprevir alone or in combination with daclatasvir for 4 weeks. Frequencies of
NS3-D168 substitutions at baseline were analysed by ultra-deep sequencing. Some
mice with NS3-D168 substitutions were treated with PEG-IFN or telaprevir for 4
weeks. RESULTS: Mice with high frequencies of NS3-D168 showed low susceptibility
to asunaprevir treatment and failed to respond to daclatasvir plus asunaprevir
therapy. In contrast, mice with a low frequency (less than approximately 14%) of
NS3-D168 showed a similar susceptibility to wild-type HCV-infected mice and
achieved viral eradication with daclatasvir plus asunaprevir therapy. Although
treatment with either telaprevir or PEG-IFN resulted in reduction of serum HCV
RNA levels, no significant decrease in the frequency of NS3-D168 substitutions
was achieved. CONCLUSIONS: Daclatasvir and asunaprevir treatment could eliminate
NS3-D168 variant HCV if the frequency was low. It is necessary to confirm that
the frequency of NS3-D168 variants has decreased sufficiently before adopting
daclatasvir plus asunaprevir therapy in patients with simeprevir plus PEG-IFN/RBV
treatment failure.
PMID- 26562321
TI - Vaccine-associated reduction in symptom severity among patients with influenza
A/H3N2 disease.
AB - BACKGROUND: The moderate level of protection conferred by influenza vaccines is
well-known, but the vaccine's ability to attenuate symptom severity among
vaccinated individuals (i.e., vaccine failures) has not been established.
METHODS: We enrolled otherwise healthy adults who presented with influenza-like
illness (ILI) at five US military hospitals between 2009 and 2014. Influenza was
diagnosed and subtyped by PCR. Individual and composite severity scores were
compared between those who had vs. had not received the seasonal influenza
vaccine >14 days prior to enrollment. RESULTS: A total of 155 cases of influenza
(A/H1N1, n=69; A/H3N2, n=66; A/untyped, n=3; B, n=17) were identified, of whom
111 (72%; A/H1N1, n=44; A/H3N2, n=52; A/untyped, n=3; B, n=12) had been
vaccinated. Women were significantly less likely to be vaccinated than men (49%
vs. 89%; p<0.01). In multivariate analysis, vaccinated individuals were
significantly less likely to report a fever >101 degrees F (OR 0.24; 95% CI
[0.10, 0.62]) and more likely to report myalgias (OR 3.31; 95% CI [1.22, 8.97])
than vaccinated individuals. Among patients with A/H3N2 infection, upper
respiratory and total symptom severity scores were significantly lower for
vaccinated patients during the first 2 days of illness, and differences in total
symptom severity persisted over 7 days (p<0.05 for all comparisons). Differences
across additional symptom categories (lower respiratory and systemic) were also
observed throughout 7 days of illness in bivariate analyses. Differences in
symptom severity were not observed between vaccinated and unvaccinated
participants with A/H1N1 infection. CONCLUSIONS: Among patients with A/H3N2
infection, receipt of seasonal influenza vaccine was associated with reduced
symptom severity. Patient-centered discussion about the benefits of influenza
vaccination should be expanded to include the possibility that the vaccine could
attenuate symptoms.
PMID- 26562323
TI - Three-Dimensional Platelet-Rich Plasma Hydrogel Model to Study Early Tendon
Healing.
AB - Since the experimental conditions of cell cultures may bias results, it is
critical to use suitable models. This is also true in the context of tendon cell
biology and the study of platelet-rich plasma (PRP) therapies and PRP-augmented
cell-based therapies. We compared the culture of human tendon cells in 2
dimensions (2D) with PRP-supplemented media to culture in matching 3-dimensional
(3D) PRP hydrogels. Cell proliferation, cell shape, and the pattern of gene and
protein expression were examined. Our data revealed modifications in cell shape
and enhanced expression of tenomodulin and scleraxis in 3D hydrogels.
Additionally, protein secretion analysis using glass-based arrays specific for
angiogenesis revealed differences in interleukin (IL)-6 and IL-8 protein
expression between 2D cultures and 3D hydrogels, while the secretion of other
angiogenic or inflammatory cytokines was unaffected. Our study suggests that 3D
hydrogels are physiologically more relevant than 2D cultures in the study of
tendon cells, based on cell shape, support of tenocyte proliferation, phenotype,
and the pattern of gene and protein expression.
PMID- 26562324
TI - Using nonlinear least squares to assess relative expression and its uncertainty
in real-time qPCR studies.
AB - Relative expression ratios are commonly estimated in real-time qPCR studies by
comparing the quantification cycle for the target gene with that for a reference
gene in the treatment samples, normalized to the same quantities determined for a
control sample. For the "standard curve" design, where data are obtained for all
four of these at several dilutions, nonlinear least squares can be used to assess
the amplification efficiencies (AE) and the adjusted DeltaDeltaCq and its
uncertainty, with automatic inclusion of the effect of uncertainty in the AEs. An
algorithm is illustrated for the KaleidaGraph program.
PMID- 26562325
TI - Cadmium affects mitotically inherited histone modification pathways in mouse
embryonic stem cells.
AB - The fetal basis of adult disease (FeBAD) theorizes that embryonic challenges
initiate pathologies in adult life through epigenetic modification of gene
expression. In addition, inheritance of H3K27 methylation marks, especially in
vitro, is still controversial. Metals, such as Cd, are known to affect
differentiation, DNA repair and epigenetic status in mES cells. We tested the
premise that Cd exerts differential toxicity in mouse embryonic stem (mES) cells
by targeting total histone protein (THP) production early in stem cell
development, while affecting H3K27-mono-methylation (H3K27me(1)) in latter stages
of differentiation. The inability of mES cells to recover from Cd insult at
concentrations greater than IC50 indicates that maximum cytotoxicity occurs
during initial hours of exposure. Moreover, as a measure of chromatin stability,
low dose acute Cd exposure lowers THP production. The heritable effects of Cd
exposure on cell proliferation, chromatin stability and transcription observed
through several cell population doublings were detected only during alternate
passages on days 3, 7, and 11, presumably due to slower maturation of histone
methylation marks. These findings demonstrate a selective disruption of chromatin
structure following acute Cd exposure, an effect not seen in developmentally
mature cells. Hence, we present that acute Cd toxicity is cumulative and disrupts
DNA repair, while concurrently affecting cell cycle progression, chromatin
stability and transcriptional state in mES cells.
PMID- 26562326
TI - Combination of mTOR Inhibitors Augments Potency while Activating PI3K Signaling
in Pituitary Tumors.
AB - BACKGROUND: Despite the success in treating some cancers, the efficacy of the
mTOR inhibitors rapalogs as anti-cancer therapeutics has been limited. AIMS: We
undertook to examine the effects of Torin1, a second-generation selective ATP
competitive mTOR inhibitor, in non-functioning pituitary tumor cells. During
characterization of the molecular mechanisms that mediate Torin1 actions, there
seemed to be a rationale for combining it with rapalogs. METHODS: Proliferation
assays, flow cytometry and Western blotting were applied to assess the effects of
Torin1, RAD001 and their combination on an MtT/E pituitary cell line and human
derived non-functioning pituitary tumor cells. RESULTS: Combined long treatments
of Torin1 and RAD001 induced a pronounced reduction in cell growth and viability
of both MtT/E pituitary cells and human-derived non-functioning pituitary tumor
cells, superior to each drug alone. This was remarkable in the 10 nM combination
and was reflected in a triggered decrease of cyclin D3 and p21/CIP expression.
Interestingly, Akt-Thr308 and SIN1-Thr86 phosphorylations were robustly elevated
in the combined treatment, accompanied by a reduction in PTEN expression.
Phosphorylation of p70S6K was abolished in all individual and combined
treatments. Akt-Ser473 phosphorylation, induced by RAD001, was reduced by the
combined treatment to the same extent as when treated by Torin1 alone.
CONCLUSIONS: Our results suggest that the differential signaling mechanisms
induced by these compounds eventually converge to lead to an efficient blockade
of the PI3K/Akt/mTOR pathway in pituitary tumor cells and may facilitate a
reduction in treatment dosage.
PMID- 26562327
TI - Self-focused attention affects subsequent processing of positive (but not
negative) performance appraisals.
AB - BACKGROUND AND OBJECTIVES: Cognitive-behavioral models highlight the conjoint
roles of self-focused attention (SFA), post-event processing (PEP), and
performance appraisals in the maintenance of social anxiety. SFA, PEP, and biased
performance appraisals are related to social anxiety; however, limited research
has examined how SFA affects information-processing following social events. The
current study examined whether SFA affects the relationships between performance
appraisals and PEP following a social event.. METHODS: 137 participants with high
(n = 72) or low (n = 65) social anxiety were randomly assigned to conditions of
high SFA or low SFA while engaging in a standardized social performance.
Subsequent performance appraisals and PEP were measured. RESULTS: Immediate
performance appraisals were not affected by SFA. High levels of SFA led to a
stronger, inverse relationship between immediate positive performance appraisals
and subsequent negative PEP. High levels of SFA also led to a stronger, inverse
relationship between negative PEP and changes in positive performance
appraisals.. LIMITATIONS: Future research should examine whether the current
findings, which involved a standardized social performance event, extend to
interaction events as well as in a clinical sample. CONCLUSIONS: These findings
suggest that SFA affects the processing of positive information following a
social performance event. SFA is particularly important for understanding how
negative PEP undermines positive performance appraisals..
PMID- 26562328
TI - Treatment of Depression in Patients with Concomitant Cardiac Disease.
AB - Depressed patients are at increased risk of cardiovascular (CV) disease (CVD) and
those with concomitant depression and CVD are at increased risk of death. The
safety and efficacy of antidepressants in patients with CVD varies greatly
between the agent used and type of disease. This review will summarize the CV
adverse effect and drug interaction profile of antidepressants and discuss the
use of antidepressants in CVD patients. We searched MEDLINE, PubMed, CINAHL, Web
of Science, PsycINFO, and The Cochrane Library from inception to June 2014 to
identify studies relevant to antidepressant use in patients with CVD. Primary
references from the identified articles were also evaluated for inclusion.
Descriptive analysis was performed for the included studies in this review.
Orthostatic hypotension was more common with tricyclic antidepressants (TCAs),
trazodone and monoamine oxidase inhibitors (MAOIs). Hypertension can be
significant with serotonin norepinephrine reuptake inhibitors (SNRIs) and MAOIs.
The potential for QT prolongation is present with TCAs, certain selective
serotonin reuptake inhibitors (SSRIs), certain SNRIs and mirtazapine. Due to its
low risk of drug-drug interactions, adverse effect profile and potential for
beneficial antiplatelet activity, sertraline could be considered the choice
antidepressant for patients with ischemic heart disease. SSRIs and potentially
SNRIs are relatively safe and effective options for patients with heart failure.
In patients at high risk for ventricular arrhythmias, bupropion has the overall
lowest risk for QT prolongation. TCAs and MAOIs should be avoided in patients
with concomitant CVD. In conclusion, due to the increased morbidity and mortality
associated with comorbid CVD and depression, practitioners should readily assess
and initiate management of depression in such patients. The choice of
antidepressant should take into account the potential CV impact of the various
agents balancing safety and efficacy.
PMID- 26562329
TI - Electrochemical acetylcholinesterase biosensor based on ZnO nanocuboids modified
platinum electrode for the detection of carbosulfan in rice.
AB - The consumption of carbosulfan-contaminated rice affects the immune and
lymphocyte response, germinal centers in the spleen, plasma cells in popliteal
lymphoid nodes, bone marrow cells and granulocyte-macrophage progenitor cells.
Towards this, a highly sensitive acetylcholinesterase (AChE) cyclic voltammetric
biosensor based on zinc oxide (ZnO) nanocuboids modified platinum (Pt) electrode
has been successfully developed. The Pt/ZnO/AChE/Chitosan bio-electrode was
employed for the electrochemical detection of carbosulfan in rice sample. Under
optimum conditions, the Pt/ZnO/AChE/Chitosan bio-electrode detected carbosulfan
ranging from 5 to 30 nM with a detection limit (LOD) of 0.24 nM. The developed
Pt/ZnO/AChE/Chitosan bio-electrode showed good recovery (99.06-100.96%), thus
providing a promising tool for analysis of carbosulfan in rice sample.
PMID- 26562330
TI - Femtomolar level detection of RASSF1A tumor suppressor gene methylation by
electrochemical nano-genosensor based on Fe3O4/TMC/Au nanocomposite and PT
modified electrode.
AB - The alterations in DNA methylation pattern have been identified as one of the
most frequent molecular phenomenon in human cancers. The RASSF1A tumor suppressor
gene was shown to be often inactivated by hypermethylation of its promoter
region. In the present study, a novel chip format sandwich electrochemical
genosensor has been developed for the analysis of gene-specific methylation using
Fe3O4/N-trimethyl chitosan/gold (Fe3O4/TMC/Au) nanocomposite as tracing tag to
label DNA probe and polythiophene (PT) as immobilization platform of sensing
element. However, no attempt has yet been made to conjugate DNA probe to
Fe3O4/TMC/Au nanocomposite as electrochemical label for strip-based genosensing.
Cyclic voltammetric (CV) analysis indicated that modification procedure was well
performed. Differential pulse voltammetry (DPV) was employed for quantitative
assessment of RASSF1A DNA promoter methylation. The electrochemical measurements
accomplished using non-specific DNA fragments mixed with samples, revealed the
high specificity and selectivity in methylation analysis by means of this DNA
nanobiosensor. With the linear range of concentration from 1 * 10(-14)M to 5 *
10(-9)M and the detection limit of 2 * 10(-15)M, this new strategy has shown such
a promising application to be used for universal analysis of any DNA sequence.
PMID- 26562331
TI - Ultrasensitive non-enzymatic immunosensor for carcino-embryonic antigen based on
palladium hybrid vanadium pentoxide/multiwalled carbon nanotubes.
AB - A novel and sensitive sandwich-type non-enzymatic electrochemical immunosensor
was fabricated for quantitative monitoring of carcino-embryonic antigen (CEA).
Nanocomposite of stannic oxide/reduced graphene oxide was used as substrate
material to increase the specific surface area and enhance the conductivity of
the glassy carbon electrode. Gold nanoparticles (Au NPs) were introduced to link
substrate materials and primary antibodies (Ab1) and accelerate the electron
transfer in this system. At the same time, the palladium nanoparticles (Pd NPs)
vanadium pentoxide (V2O5)/multiwalled carbon nanotubes (MWCNTs) were used as the
label of secondary antibodies (Ab2). This composite label has shown excellent
catalytic activity towards the reduction of H2O2. The nanomaterial-based signal
amplification can improve the sensitivity and lower the limit of detection. The
proposed immunosensor showed wide linear range from 0.5 pgmL(-1) to 25 ngmL(-1)
with limit of detection of 0.17 pgmL(-1). This novel immunosensor was used to
analyze serum sample. The results indicated that this immunosensor may find huge
potential application for quantitative detection of CEA in the clinical
diagnosis.
PMID- 26562332
TI - The body and objects represented in the ventral stream of the parieto-premotor
network.
AB - The network between the parietal cortex and premotor cortex has a pivotal role in
sensory-motor control. Grasping-related neurons in the anterior intraparietal
area (AIP) and the ventral premotor cortex (F5) showed complementary properties
each other. The object information for grasping is sent from the parietal cortex
to the premotor cortex for sensory-motor transformation, and the backward signal
from the premotor cortex to parietal cortex can be considered an efference
copy/corollary discharge that is used to predict sensory outcome during motor
behavior. Mirror neurons that represent both own action and other's action are
involved in this system. This system also very well fits with body schema that
reflects online state of the body during motor execution. We speculate that the
parieto-premotor network, which includes the mirror neuron system, is key for
mapping one's own body and the bodies of others. This means that the neuronal
substrates that control one's own action and the mirror neuron system are shared
with the "who" system, which is related to the recognition of action
contribution, i.e., sense of agency. Representation of own and other's body in
the parieto-premotor network is key to link between sensory-motor control and
higher-order cognitive functions.
PMID- 26562333
TI - Body representations in the human brain revealed by kinesthetic illusions and
their essential contributions to motor control and corporeal awareness.
AB - The human brain can generate a continuously changing postural model of our body.
Somatic (proprioceptive) signals from skeletal muscles and joints contribute to
the formation of the body representation. Recent neuroimaging studies of
proprioceptive bodily illusions have elucidated the importance of three brain
systems (motor network, specialized parietal systems, right inferior fronto
parietal network) in the formation of the human body representation. The motor
network, especially the primary motor cortex, processes afferent input from
skeletal muscles. Such information may contribute to the formation of
kinematic/dynamic postural models of limbs, thereby enabling fast online feedback
control. Distinct parietal regions appear to play specialized roles in the
transformation/integration of information across different coordinate systems,
which may subserve the adaptability and flexibility of the body representation.
Finally, the right inferior fronto-parietal network, connected by the inferior
branch of the superior longitudinal fasciculus, is consistently recruited when an
individual experiences various types of bodily illusions and its possible roles
relate to corporeal awareness, which is likely elicited through a series of
neuronal processes of monitoring and accumulating bodily information and updating
the body representation. Because this network is also recruited when identifying
one's own features, the network activity could be a neuronal basis for self
consciousness.
PMID- 26562334
TI - Modeling the motor cortex: Optimality, recurrent neural networks, and spatial
dynamics.
AB - Specialization of motor function in the frontal lobe was first discovered in the
seminal experiments by Fritsch and Hitzig and subsequently by Ferrier in the 19th
century. It is, however, ironical that the functional and computational role of
the motor cortex still remains unresolved. A computational understanding of the
motor cortex equals to understanding what movement variables the motor neurons
represent (movement representation problem) and how such movement variables are
computed through the interaction with anatomically connected areas (neural
computation problem). Electrophysiological experiments in the 20th century
demonstrated that the neural activities in motor cortex correlated with a number
of motor-related and cognitive variables, thereby igniting the controversy over
movement representations in motor cortex. Despite substantial experimental
efforts, the overwhelming complexity found in neural activities has impeded our
understanding of how movements are represented in the motor cortex. Recent
progresses in computational modeling have rekindled this controversy in the 21st
century. Here, I review the recent developments in computational models of the
motor cortex, with a focus on optimality models, recurrent neural network models
and spatial dynamics models. Although individual models provide consistent
pictures within their domains, our current understanding about functions of the
motor cortex is still fragmented.
PMID- 26562335
TI - Hereditary sensory and autonomic neuropathy types 4 and 5: Review and proposal of
a new rehabilitation method.
AB - Although pain is unpleasant, it should serve as a reminder for individuals to
avoid similar damaging incidents in the future. Hereditary sensory and autonomic
neuropathy (HSAN) includes genetic disorders involving various sensory and
autonomic dysfunctions. They are classified by the mode of inheritance, clinical
features, and related genes. HSAN type 4 (HSAN-4) and type 5 (HSAN-5) are
characterized by insensitivity to pain and thermal sensation. Further, HSAN-4 is
accompanied by decreased sweating and intellectual disabilities. These
characteristics of HSAN-4 and -5 result in many clinical features, such as
pediatric, psychiatric, orthopedic, oral, dermatological, and ophthalmological
problems. Orthopedic problems include destructive injuries such as multiple
fractures and joint dislocation. Studies on gait have shown greater speed and
higher heel contact angular velocity in HSAN-4 and -5 patients compared with
controls. Studies on grasp-lift-holding tasks have shown higher grasp force and
fluctuations in acceleration of the object. We believe that these findings
represent outcomes of deficient motor learning. We propose a new rehabilitation
method for patients with HSAN-4 and -5, with the aim of decreasing their
destructive injuries.
PMID- 26562338
TI - Nitrate removal from polluted water by using a vegetated floating system.
AB - Nitrate (NO3(-)) water pollution is one of the most prevailing and relevant
ecological issues. For instance, the wide presence of this pollutant in the
environment is dramatically altering the quality of superficial and underground
waters. Therefore, we set up a floating bed vegetated with a terrestrial
herbaceous species (Italian ryegrass) with the aim to remediate hydroponic
solutions polluted with NO3(-). The floating bed allowed the plants to grow and
achieve an adequate development. Ryegrass was not affected by the treatments. On
the contrary, plant biomass production and total nitrogen content (N-K) increased
proportionally to the amount of NO3(-) applied. Regarding to the water cleaning
experiments, the vegetated floating beds permitted to remove almost completely
all the NO3(-) added from the hydroponic solutions with an initial concentration
of 50, 100 and 150 mg L(-1). Furthermore, the calculation of the bioconcentration
factor (BCF) indicated this species as successfully applicable for the
remediation of solutions polluted by NO3(-). In conclusion, the results highlight
that the combination of ryegrass and the floating bed system resulted to be
effective in the remediation of aqueous solutions polluted by NO3(-).
PMID- 26562339
TI - The leaching behavior of cyclophosphamide and ifosfamide from soil in the
presence of co-contaminant--Mixture sorption approach.
AB - Anticancer drugs (ACDs) exhibit high biological activity, they are cytotoxic,
genotoxic, and are constantly released into the environment as a result of
incomplete metabolism. Consequently they pose a serious threat to the environment
and human health due to their carcinogenic, mutagenic and/or reproductive
toxicity properties. Knowledge of their bioavailability, including their sorption
to soils and their impact on the soil-groundwater pathway, is crucial for their
risk assessment. Laboratory batch and column leaching tests are important tools
for determining the release potential of contaminants from soil or waste
material. Batch and column tests were carried out with soils differing in
physicochemical properties, each spiked with cyclophosphamide (CK) or ifosfamide
(IF). Moreover, due to the fact that environmental pollutants may occur as
coexisting compounds in the soil the mobility evaluation for ACDs in the mixture
with metoprolol (MET; beta-blocker) as a co-contaminant was performed. In order
to assess appropriateness, the batch and column tests were compared. The release
depended on the properties of both the soil and the presence of co-contaminants.
The faster release was observed for coarse-grained soil with the smallest organic
matter content (MS soil: 90% decrease in concentration until liquid-to-solid
ratio (L/S) of 0.3 L kg(-1) for all tests' layout) than for loamy sand (LS soil:
90% decrease in concentration until ratio L/S of 0.75 L kg(-1)). ACDs are highly
mobile in soil systems. Furthermore, the decrease of mobility of ifosfamide was
observed with the presence of a co-contaminant (metoprolol) in both of the soils
(in MS soil a decrease of 29%; in LS soil a decrease of 26%). The mobility of
cyclophosphamide does not depend on the presence of a contaminant for MS soil,
but also exhibits a decrease of 21% in LS soil.
PMID- 26562340
TI - The seasonal dynamics of the stream sources and input flow paths of water and
nitrogen of an Austrian headwater agricultural catchment.
AB - Our study examines the source aquifers and stream inputs of the seasonal water
and nitrogen dynamics of a headwater agricultural catchment to determine the
dominant driving forces for the seasonal dynamics in the surface water nitrogen
loads and concentrations. We found that the alternating aquifer contributions
throughout the year of the deep and shallow aquifers were the main cause for the
seasonality of the nitrate concentration. The deep aquifer water typically
contributed 75% of the total outlet discharge in the summer and 50% in the winter
when the shallow aquifer recharges due to low crop evapotranspiration. The
shallow aquifer supplied the vast majority of the nitrogen load to the stream due
to the significantly higher total nitrogen concentration (11 mg-N/l) compared to
the deep aquifer (0.50 mg-N/l). The main stream input pathway for the shallow
aquifer nitrogen load was from the perennial tile drainages providing 60% of the
total load to the stream outlet, while only providing 26% of the total flow
volume. The diffuse groundwater input to the stream was the largest input to the
stream (39%), but only supplied 27% to the total nitrogen load as the diffuse
water was mostly composed of deep aquifer water.
PMID- 26562337
TI - Vascular endothelial growth factor A: just one of multiple mechanisms for sex
specific vascular development within the testis?
AB - Testis development from an indifferent gonad is a critical step in embryogenesis.
A hallmark of testis differentiation is sex-specific vascularization that occurs
as endothelial cells migrate from the adjacent mesonephros into the testis to
surround Sertoli-germ cell aggregates and induce seminiferous cord formation.
Many in vitro experiments have demonstrated that vascular endothelial growth
factor A (VEGFA) is a critical regulator of this process. Both inhibitors to
VEGFA signal transduction and excess VEGFA isoforms in testis organ cultures
impaired vascular development and seminiferous cord formation. However, in vivo
models using mice which selectively eliminated all VEGFA isoforms: in Sertoli and
germ cells (pDmrt1-Cre;Vegfa(-/-)); Sertoli and Leydig cells (Amhr2-Cre;Vegfa(-/
)) or Sertoli cells (Amh-Cre;Vegfa(-/-) and Sry-Cre;Vegfa(-/-)) displayed testes
with observably normal cords and vasculature at postnatal day 0 and onwards.
Embryonic testis development may be delayed in these mice; however, the postnatal
data indicate that VEGFA isoforms secreted from Sertoli, Leydig or germ cells are
not required for testis morphogenesis within the mouse. A Vegfa signal
transduction array was employed on postnatal testes from Sry-Cre;Vegfa(-/-)
versus controls. Ptgs1 (Cox1) was the only upregulated gene (fivefold). COX1
stimulates angiogenesis and upregulates, VEGFA, Prostaglandin E2 (PGE2) and PGD2.
Thus, other gene pathways may compensate for VEGFA loss, similar to multiple
independent mechanisms to maintain SOX9 expression. Multiple independent
mechanism that induce vascular development in the testis may contribute to and
safeguard the sex-specific vasculature development responsible for inducing
seminiferous cord formation, thus ensuring appropriate testis morphogenesis in
the male.
PMID- 26562341
TI - Dispersion of TSP and PM(10) emissions from quarries in complex terrain.
AB - This study evaluates AERMOD and CALPUFF dispersion calculations of particulate
matter emissions from stone quarries in two mountainous regions against TSP and
PM10 measurements, using both observational and WRF-modeled meteorological data.
Due to different model parameterization, AERMOD dispersion predictions were in
better agreement with the measured concentrations than those obtained by CALPUFF.
As expected, the smaller the distance between the meteorological station, the
source (quarry) and the receptors, the better the predictions of both AERMOD and
CALPUFF. In contrast, using in-situ wind field obtained by runs of the WRF
meteorological model for the complex terrain study area provided, in general,
less accurate dispersion estimates than when using (even remote) meteorological
observations. In particular, using the three-dimensional WRF-modeled wind field
within CALPUFF did not provide any advantage over using the two-dimensional wind
field, which is the common procedure of AERMOD and CALPUFF. Dry deposition was
more significant for ambient concentration estimation in AERMOD than in CALPUFF.
PMID- 26562342
TI - Influence of the water quality improvement on fish population in the Seine River
(Paris, France) over the 1990-2013 period.
AB - Over the past 20 years, rules concerning wastewater treatment and quality of
water discharged into the environment have changed considerably. Huge investments
have been made in Paris conurbation to improve waste water treatment processes in
accordance with the European Water Framework Directive. The interdepartmental
association for sewage disposal in Paris conurbation (SIAAP) carried out a
monitoring of both fish assemblages and water quality in the Seine River around
the Paris conurbation (France) since the early 90's. The main goal of this study
was to estimate the influence of the water quality improvement on fish. On one
hand, the study confirmed the improvement of the water quality (dissolved oxygen,
ammonia nitrogen, organic matter) in the Seine River, mostly focused downstream
of Paris conurbation. On the other hand, an increase of the number of species
occurred from 1990 (14) to 2013 (21). Moreover, changes in the river Seine
assemblages happened over that 23-year period with emergence of sensitive species
(ruffe, scalpin and pike-perch). The improvement of the water quality was also
reported with respect to the Index of Biotic Integrity (IBI). However, no
variation of pollutant concentrations in roach, eel and chub muscles has been
observed. An exceedance of the environmental quality standards have even been
reported all over this period as regards mercury and organochlorine.
PMID- 26562343
TI - Separate treatment of hospital and urban wastewaters: A real scale comparison of
effluents and their effect on microbial communities.
AB - Hospital wastewaters (HWW) contain wider spectrum and higher quantity of
pharmaceuticals than urban wastewaters (UWW), but they are generally discharged
in sewers without pretreatment. Since traditional urban wastewater treatment
plants (WWTP) are not designed to treat HWWs, treated effluents may still contain
pollutants that could impair receiving aquatic environments. Hence, a better
understanding of the effect of pharmaceuticals in the environment is required.
Biofilms are effective "biological sensors" for assessing the environmental
effects of pharmaceuticals due to their ability to respond rapidly to physical,
chemical and biological fluctuations by changes in their structure and
composition. This study evaluated the efficiency of biological treatment with
conventional activated sludge system performed parallel on HWW and UWW.
Furthermore, six successive monthly colonizations of biofilms were done on
autoclaved stones, placed in grid-baskets in the hospital treated effluents (HTE)
and urban treated effluents (UTE). The biomass of these biofilms as well as the
structure and diversity of their bacterial communities were investigated. Results
showed better treatment efficiency for phosphate and nitrite/nitrate during the
treatment of UWW. Pharmaceuticals from all investigated therapeutic classes (beta
blockers, nonsteroidal anti-inflammatory drugs, antibiotics, analgesics and
anticonvulsants) were efficiently removed, except for carbamazepine. The removal
efficiency of the antibiotics, NSAIDs and beta-blockers was higher during the
treatment of HWW. HTE and UTE shaped the bacterial communities in different ways.
Higher concentrations of pharmaceuticals in the HTE caused adapted development of
the microbial community, leading to less developed biomass and lower bacterial
diversity. Seasonal changes in solar irradiance and temperature, caused changes
in the community composition of biofilms in both effluents. According to the
removal efficiency of pharmaceuticals, the separate treatment was beneficial.
However, their high concentrations in the HTE and the following adaptations of
biofilm communities identify the importance of adapting wastewater treatment to
specific hospital pollutants.
PMID- 26562344
TI - Enteric and indicator virus removal by surface flow wetlands.
AB - We investigated the occurrence and attenuation of several human enteric viruses
(i.e., norovirus, adenovirus, Aichi virus 1, polyomaviruses, and enterovirus) as
well as a plant virus, pepper mild mottle virus (PMMoV), at two surface flow
wetlands in Arizona. The retention time in one of the wetlands was seven days,
whereas in the other wetland it could not be defined. Water samples were
collected at the inlet and outlet from the wetlands over nine months, and
concentration of viral genomes was determined by quantitative polymerase chain
reaction (qPCR). Of the human enteric viruses tested, adenovirus and Aichi virus
1 were found in the greatest prevalence in treated wastewater (i.e., inlet of the
wetlands). Reduction efficiencies of enteric viruses by the wetlands ranged from
1 to 3 log10. Polyomaviruses were generally removed to below detection limit,
indicating at least 2 to 4 log10 removal. PMMoV was detected in a greater
concentration in the inlet of both wetlands for all the viruses tested (10(4) to
10(7) genome copies/L), but exhibited little or no removal (1 log10 or less). To
determine the factors associated with virus genome attenuation (as determined by
qPCR), the persistence of PMMoV and poliovirus type 1 (an enterovirus) was
studied in autoclaved and natural wetland water, and deionized water incubated
under three different temperatures for 21 days. A combination of elevated water
temperature and biological activities reduced poliovirus by 1 to 4 log10, while
PMMoV was not significantly reduced during this time period. Overall, PMMoV
showed much greater persistence than human viruses in the wetland treatment.
PMID- 26562345
TI - Predictors of ICU Admission in Patients With Cancer and the Related
Characteristics and Outcomes: A 5-Year Registry-Based Study.
AB - OBJECTIVE: To identify factors predictive of admission of patients with cancer to
an ICU. In addition, the study aimed to describe the characteristics and
outcomes, both short-term and long-term, of patients with cancer admitted to the
ICU. DESIGN: Retrospective case-control study, utilizing the institution's cancer
registry. SETTING: Comprehensive cancer center. PATIENTS: Patients with cancer.
The case group consisted of patients who required ICU admission during the study
period, whereas the control group consisted of patients who did not require ICU
admission. INTERVENTION: None. MEASUREMENTS AND MAIN RESULTS: The patient
characteristics and outcomes were recorded. Univariate and multivariate analyses
were conducted to determine factors associated with ICU admission. The registry
included 10,792 patients, and among those, 2,439 patients (22.6%) required ICU
admission after a median of 10.1 months (interquartile range, 3.28-25.2). The
following factors were associated with ICU admission: hematologic malignancy
(odds ratio, 1.51; 95% CI, 1.26-1.81), chemotherapy (odds ratio, 1.74; 95% CI,
1.48-2.03), advanced cancer (odds ratio, 2.57; 95% CI, 1.44-4.60), and smoking
(odds ratio, 1.38; 95% CI, 1.20-1.61). The most common ICU admission diagnoses
were sepsis (21.5%) and respiratory insufficiency/failure (25.7%). The ICU
mortality was 36.5%, whereas the 1-year and 5-year survival rates were 22.8% and
14.2%, respectively. CONCLUSION: In a comprehensive cancer center, about one
fourth of the patients required ICU admission. Addressing modifiable risk factors
associated with ICU admission is essential to potentially reduce ICU admissions
and improve long-term survival.
PMID- 26562346
TI - Urinary Output Predicts Survival in Patients Undergoing Extracorporeal Membrane
Oxygenation Following Cardiovascular Surgery.
AB - OBJECTIVES: Extracorporeal membrane oxygenation represents a valuable and rapidly
evolving therapeutic option in patients with severe heart or lung failure
following cardiovascular surgery. However, survival remains poor and accurate
risk stratification challenging. Therefore, we evaluated the predictive value of
urinary output within 24 hours after extracorporeal membrane oxygenation
initiation on mortality in patients undergoing venoarterial extracorporeal
membrane oxygenation support following cardiovascular surgery and aimed to
improve established risk prediction models. DESIGN: Single-center, observational
registry. SETTING: University-affiliated tertiary care center. PATIENTS: We
included 205 patients undergoing veno-arterial extracorporeal membrane
oxygenation therapy following cardiovascular surgery at a university-affiliated
tertiary-care center into our single-centre registry. INTERVENTIONS: None.
MEASUREMENTS AND MAIN RESULTS: During a median follow-up time of 35 months
(interquartile range, 19-69), 64% of patients died. Twenty-four-hour urinary
output was the strongest predictor of outcome among renal function variables with
an adjusted hazard ratio per 1 SD of 0.55 (95% CI, 0.40-0.76; p < 0.001) for 30
day mortality and of 0.65 (95% CI, 0.53-0.86; p = 0.002) for 2-year long-term
mortality. Most remarkably, 24-hour urinary output showed additional prognostic
value beyond that achievable with the simplified acute physiology score-3 and
sequential organ failure assessment score indicated by improvements in the
category-free net reclassification index for 30-day mortality (simplified acute
physiology score-3: 36%, p = 0.015; sequential organ failure assessment score:
36%, p = 0.02), as well as for 2-year mortality (simplified acute physiology
score-3: 33%, p = 0.02; sequential organ failure assessment score: 43%, p =
0.005). CONCLUSIONS: We identified 24-hour urinary output as a strong and easily
available predictor of mortality in patients undergoing extracorporeal membrane
oxygenation therapy following cardiovascular surgery. Implementation of 24-hour
urinary output leads to a substantial improvement of established risk prediction
models in this vulnerable patient population. These results are particularly
compelling because measurement of urinary output is inexpensive and routinely
performed in all critical care units.
PMID- 26562347
TI - Effectiveness and Clinical Outcomes of a Two-Step Implementation of Conservative
Oxygenation Targets in Critically Ill Patients: A Before and After Trial.
AB - OBJECTIVES: Conservative oxygen therapy is aimed at the prevention of harm by
iatrogenic hyperoxia while preserving adequate tissue oxygenation. Our aim was to
study the effectiveness and clinical outcomes of a two-step implementation of
conservative oxygenation targets in the ICU. DESIGN: This was a before and after
stepwise implementation study of conservative oxygenation targets, between July
2011 and July 2014. The primary endpoint was the proportion of PaO2 values within
the target range. Secondary outcomes included ventilator-free days at day 28,
length of stay, and mortality. SETTING: Three closed-format ICUs in the
Netherlands. PATIENTS: We analyzed data on 15,045 eligible admissions.
INTERVENTIONS: The first implementation phase consisted of providing training and
feedback on new guidelines instructing for explicit targets for arterial oxygen
tension (PaO2, 55-86 mm Hg) and oxyhemoglobin saturation (SpO2, 92-95%). In the
second phase, bedside clinicians were additionally assisted in guideline
adherence by a computerized decision-support system. MEASUREMENTS AND MAIN
RESULTS: The proportion of PaO2 in the target range increased from 47% at
baseline to 63% in phase 1 and to 68% in phase 2 (p < 0.0001). Episodes of
hyperoxia decreased (p < 0.0001), whereas hypoxic episodes remained unchanged (p
= 0.06) during the study. Mechanical ventilation time was significantly lower (p
< 0.01) during both study phases. After adjustment for potential confounders,
ventilator-free days in phase 1 and phase 2 were higher than baseline: adjusted
mean difference, 0.55 (95% CI, 0.25-0.84) and 0.48 (95% CI, 0.11-0.86),
respectively. Adjusted ICU mortality and ICU-free days did not significantly
differ between study phases. Hospital mortality decreased in reference to
baseline: adjusted odds ratio, 0.84 (95% CI, 0.74-0.96) for phase 1 and 0.82 (95%
CI, 0.69-0.96) for phase 2. CONCLUSIONS: Stepwise implementation of conservative
oxygenation targets was feasible, effective, and seemed safe in critically ill
patients. The implementation was associated with several changes in clinical
outcomes, but the causal impact of conservative oxygenation is still to be
determined.
PMID- 26562349
TI - Progress toward regional measles elimination - worldwide, 2000-2014.
AB - In 2000, the United Nations General Assembly adopted the Millennium Development
Goals (MDG), with MDG4 being a two-thirds reduction in child mortality by 2015,
and with measles vaccination coverage being one of the three indicators of
progress toward this goal.* In 2010, the World Health Assembly established three
milestones for measles control by 2015: 1) increase routine coverage with the
first dose of measles-containing vaccine (MCV1) for children aged 1 year to >=90%
nationally and >=80% in every district; 2) reduce global annual measles incidence
to fewer than five cases per million population; and 3) reduce global measles
mortality by 95% from the 2000 estimate (1).? In 2012, the World Health Assembly
endorsed the Global Vaccine Action PlanS with the objective to eliminate measles
in four World Health Organization (WHO) regions by 2015. WHO member states in all
six WHO regions have adopted measles elimination goals. This report updates the
2000-2013 report (2) and describes progress toward global control and regional
measles elimination during 2000-2014. During this period, annual reported measles
incidence declined 73% worldwide, from 146 to 40 cases per million population,
and annual estimated measles deaths declined 79%, from 546,800 to 114,900.
However, progress toward the 2015 milestones and elimination goals has slowed
markedly since 2010. To resume progress toward milestones and goals for measles
elimination, a review of current strategies and challenges to improving program
performance is needed, and countries and their partners need to raise the
visibility of measles elimination, address barriers to measles vaccination, and
make substantial and sustained additional investments in strengthening health
systems.
PMID- 26562350
TI - Hospital Characteristics and the Agency for Healthcare Research and Quality
Inpatient Quality Indicators: A Systematic Review.
AB - BACKGROUND: The Agency for Healthcare Research and Quality Inpatient Quality
Indicators (IQIs) include inpatient mortality for selected procedures and medical
conditions. They have assumed an increasingly prominent role in hospital
comparisons. Healthcare delivery and policy-related decisions need to be driven
by reliable research that shows associations between hospital characteristics and
quality of inpatient care delivered. OBJECTIVES: To systematically review the
literature on associations between hospital characteristics and IQIs. METHODS: We
systematically searched PubMed and gray literature (2000-2012) for studies
relevant to 14 hospital characteristics and 17 IQIs. We extracted data for study
characteristics, IQIs analyzed, and hospital characteristics (e.g., teaching
status, bed size, patient volume, rural vs. urban location, and nurse staffing).
RESULTS: We included 16 studies, which showed few significant associations. Four
hospital characteristics (higher hospital volume, higher nurse staffing, urban
vs. rural status, and higher hospital financial resources) had statistically
significant associations with lower mortality and selected IQIs in approximately
half of the studies. For example, there were no associations between nurse
staffing and four IQIs; however, approximately 50% of studies showed a
statistically significant relationship between nurse staffing and lower mortality
for six IQIs. For two hospital characteristics-higher bed size and
disproportionate share percentage-all statistically significant associations had
higher mortality. Five hospital characteristics (teaching status, system
affiliation, ownership, minority-serving hospitals, and electronic health record
status) had some studies with significantly positive and some with significantly
negative associations, and many studies with no association. CONCLUSIONS: We
found few associations between hospital characteristics and mortality IQIs.
Differences in study methodology, coding across hospitals, and hospital case-mix
adjustment may partly explain these results. Ongoing research will evaluate
potential mechanisms for the identified associations.
PMID- 26562351
TI - Reliability of Isometric and Eccentric Isokinetic Shoulder External Rotation.
AB - CONTEXT: Shoulder external rotators are challenged eccentrically throughout the
deceleration phase of throwing, which is thought to contribute to overuse
injuries. To evaluate the effectiveness of intervention programs, as well as
identifying deficits, reliable and responsive measures of isometric and eccentric
shoulder external rotation are necessary. Previously, isometric measures have
primarily tested a single position, and eccentric measures have not been found to
have high reliability. OBJECTIVE: To examine the between-days reliability of
multiple-angle isometric and dynamic eccentric isokinetic testing of shoulder
external rotation. DESIGN: Repeated measures. PARTICIPANTS: 10 healthy subjects
(age 30 +/- 12 y, height 166 +/- 13 cm, mass 72 +/- 10 kg). MAIN OUTCOME
MEASURES: Average isometric peak torque of shoulder external rotation at 7 angles
was measured. From these values, the angle of isometric peak torque was
calculated. Dynamic eccentric average peak torque, average total work, and
average angle of peak torque were measured. RESULTS: Between-days reliability was
high for average peak torque during isometric contractions at all angles (ICC >=
.85), as it was for dynamic eccentric average peak torque (ICC >= .97). The
estimated angle of isometric peak torque (ICC <= .65) was not highly reliable
between days. The average angle of peak torque from the eccentric testing
produced inconsistent results. Average total work of dynamic eccentric shoulder
external rotation was found to be highly reliable between days (ICC >= .97).
CONCLUSION: Aspects of force such as peak torque and total work in isometric and
eccentric testing of the shoulder external-rotator muscles can be measured
reliably between days and used to objectively evaluate shoulder strength and
identify changes when they occur. Angle measurements of peak torque could provide
insight into the mechanical properties of the posterior shoulder muscles but were
found to be inconsistent between days.
PMID- 26562352
TI - Nacre-nanomimetics: Strong, Stiff, and Plastic.
AB - The bricks and mortar in the classic structure of nacre have characteristic
geometry, aspect ratios and relative proportions; these key parameters can be
retained while scaling down the absolute length scale by more than 1 order of
magnitude. The results shed light on fundamental scaling behavior and provide new
opportunities for high performance, yet ductile, lightweight nanocomposites.
Reproducing the toughening mechanisms of nacre at smaller length scales allows a
greater volume of interface per unit volume while simultaneously increasing the
intrinsic properties of the inorganic constituents. Layer-by-layer (LbL) assembly
of poly(sodium 4-styrenesulfonate) (PSS) polyelectrolyte and well-defined
[Mg2Al(OH)6]CO3.nH2O layered double hydroxide (LDH) platelets produces a dense,
oriented, high inorganic content (~90 wt %) nanostructure resembling natural
nacre, but at a shorter length scale. The smaller building blocks enable the
(self-) assembly of a higher quality nanostructure than conventional mimics,
leading to improved mechanical properties, matching those of natural nacre, while
allowing for substantial plastic deformation. Both strain hardening and crack
deflection mechanisms were observed in situ by scanning electron microscopy (SEM)
during nanoindentation. The best properties emerge from an ordered nanostructure,
generated using regular platelets, with narrow size dispersion.
PMID- 26562353
TI - Structure and Thermal Expansion of Calcium-Thorium Apatite,
[Ca4]F[Ca2Th4]T[(SiO4)6]O2.
AB - Thorium silicate apatite with the formula [Ca3.84Th0.16]F[Ca2.79Th3.21]T(SiO4)6O2
. x(H) was synthesized by solid-state reaction, and its structure refined in
P63/m from powder X-ray diffraction (XRD) data using the Rietveld method (a =
9.50172(9) A, c = 6.98302(8) A, V = 545.98(1) A(3); R-Bragg = 2.102%). It was
found that thorium partitions strongly to the tunnel (T) 6h position rather than
the framework (F) 4f site. Fourier transform infrared spectroscopy revealed only
SiO4 tetrahedron, with SiO5 and SiO6 groups, sometimes observed in siliceous
apatites absent, at least to the limit of detection of this technique. Thermal
expansion of the thorium apatite determined by high-temperature XRD from 298-1173
K found Deltaa (0.87%) dilation to exceed Deltac (0.73%) with increasing
temperature consistent with other silicate apatites.
PMID- 26562354
TI - Promoting Photosensitivity and Detectivity of the Bi/Si Heterojunction
Photodetector by Inserting a WS2 Layer.
AB - Layered transition metal dichalcogenides (TMDs) have been proven to be essential
building blocks for the high-performance optoelectronic devices as a result of
their favorable bandgaps, extraordinary light absorption, and closed surface
electronic structures. However, the in-depth exploration of their operating
mechanism as insertion layers in heterojunction photodetectors is scarce. Here,
we demonstrate that a Bi/Si heterojunction photodetector can achieve a superior
performance by inserting a WS2 layer. A high photosensitivity of 1.4 * 10(8)
cm(2)/W and an outstanding detectivity of 1.36 * 10(13) cm Hz(1/2) W(-1) are
obtained, which are comparable or even surpass those of state-of-art commercial
photodetectors. The working mechanism of the Bi/WS2/Si sandwich-structured
photodetector is unveiled, including the efficient passivation of the interface,
enhancement of light absorption, and selective carrier blocking. Finally, a good
voltage tunability of the photoresponse is also demonstrated. These findings are
significant to the deep understanding on the integration of layered TMDs with
conventional semiconductors, and they provide an attractive methodology to
develop layered TMDs in a multi-junction system.
PMID- 26562355
TI - Dearomative Indole (3 + 2) Reactions with Azaoxyallyl Cations--New Method for the
Synthesis of Pyrroloindolines.
AB - Herein, we report the first examples of the synthesis of pyrroloindolines by
means of (3 + 2) dearomative annulation reactions between 3-substituted indoles
and highly reactive azaoxyallyl cations. Computational studies using density
functional theory (DFT) (B3LYP-D3/6-311G**++) support a stepwise reaction pathway
in which initial C-C bond formation takes place at C3 of indole, followed by ring
closure to give the observed products. Insights gleaned from these calculations
indicate that the solvent, either TFE or HFIP, can stabilize the transition state
through H-bonding interactions with oxygen of the azaoxyallyl cation and other
relevant intermediates, thereby increasing the rates of these reactions.
PMID- 26562356
TI - Copper-Mediated Remote C-H Bond Chalcogenation of Quinolines on the C5 Position.
AB - An efficient and convenient method is developed for the remote C-H bond
chalcogenation of 8-aminoquinoline scaffolds on the C5 position that is
geometrically inaccessible. The protocol makes use of inexpensive CuBr2 as
mediator and shows good tolerance toward numerous disulfides/diselenides and
aliphatic amides, giving the corresponding products in good to excellent yield.
PMID- 26562358
TI - Total Synthesis of Solandelactone I.
AB - Since the marine natural products solandelactones A-I were isolated from the
hydroid Solanderia secunda and investigated by Seo et al. in 1996, considerable
synthetic efforts toward these marine oxylipins followed. However, the structure
elucidation of solandelactone I remained incomplete, and no synthesis has been
reported. On the basis of our retrosynthetic analysis, the key building blocks
were combined in a Horner-Wadsworth-Emmons reaction to create two common
intermediates for the stereodivergent synthesis of all four diastereomers 1-4
matching the proposed structure of solandelactone I. Comparison of the published
analytical data of natural product solandelactone I and data obtained from the
synthetic endeavor toward diastereomers 1-4 enabled the structure assignment of
isomer 3; the proposed biosynthetic pathway for marine oxylipins also supports
the result.
PMID- 26562357
TI - A novel approach to inhibit bone resorption: exosite inhibitors against cathepsin
K.
AB - BACKGROUND AND PURPOSE: Cathepsin K (CatK) is a major drug target for the
treatment of osteoporosis. Potent active site-directed inhibitors have been
developed and showed variable success in clinical trials. These inhibitors block
the entire activity of CatK and thus may interfere with other pathways. The
present study investigates the antiresorptive effect of an exosite inhibitor that
selectively inhibits only the therapeutically relevant collagenase activity of
CatK. EXPERIMENTAL APPROACH: Human osteoclasts and fibroblasts were used to
analyse the effect of the exosite inhibitor, ortho-dihydrotanshinone (DHT1), and
the active site inhibitor, odanacatib (ODN), on bone resorption and TGF-beta1
degradation. Cell cultures, Western blot, light and scanning electron microscopy
as well as energy dispersive X-ray spectroscopy, molecular modelling and
enzymatic assays were used to evaluate the inhibitors. KEY RESULTS: DHT1
selectively inhibited the collagenase activity of CatK, without affecting the
viability of osteoclasts. Both inhibitors abolished the formation of resorption
trenches, with DHT1 having a slightly higher IC50 value than ODN. Maximal
reductions of other resorption parameters by DHT1 and ODN were comparable,
respectively 41% and 33% for total resorption surface, 46% and 48% for resorption
depths, and 83% and 61% for C-terminal telopetide fragment (CTX) release. DHT1
did not affect the turnover of fibrosis-associated TGF-beta1 in fibroblasts,
whereas 500 nM ODN was inhibitory. CONCLUSIONS AND IMPLICATIONS: Our study shows
that an exosite inhibitor of CatK can specifically block bone resorption without
interfering with other pathways.
PMID- 26562359
TI - Modeling calcium regulation of contraction, energetics, signaling, and
transcription in the cardiac myocyte.
AB - Calcium (Ca(2+)) plays many important regulatory roles in cardiac muscle cells.
In the initial phase of the action potential, influx of Ca(2+) through
sarcolemmal voltage-gated L-type Ca(2+) channels (LCCs) acts as a feed-forward
signal that triggers a large release of Ca(2+) from the junctional sarcoplasmic
reticulum (SR). This Ca(2+) drives heart muscle contraction and pumping of blood
in a process known as excitation-contraction coupling (ECC). Triggered and
released Ca(2+) also feed back to inactivate LCCs, attenuating the triggered
Ca(2+) signal once release has been achieved. The process of ECC consumes large
amounts of ATP. It is now clear that in a process known as excitation-energetics
coupling, Ca(2+) signals exert beat-to-beat regulation of mitochondrial ATP
production that closely couples energy production with demand. This occurs
through transport of Ca(2+) into mitochondria, where it regulates enzymes of the
tricarboxylic acid cycle. In excitation-signaling coupling, Ca(2+) activates a
number of signaling pathways in a feed-forward manner. Through effects on their
target proteins, these interconnected pathways regulate Ca(2+) signals in complex
ways to control electrical excitability and contractility of heart muscle. In a
process known as excitation-transcription coupling, Ca(2+) acting primarily
through signal transduction pathways also regulates the process of gene
transcription. Because of these diverse and complex roles, experimentally based
mechanistic computational models are proving to be very useful for understanding
Ca(2+) signaling in the cardiac myocyte.
PMID- 26562360
TI - Hyperckemia unmasking celiac disease in a patient with myasthenia gravis.
PMID- 26562361
TI - Targeted capture and resequencing of 1040 genes reveal environmentally driven
functional variation in grey wolves.
AB - In an era of ever-increasing amounts of whole-genome sequence data for
individuals and populations, the utility of traditional single nucleotide
polymorphisms (SNPs) array-based genome scans is uncertain. We previously
performed a SNP array-based genome scan to identify candidate genes under
selection in six distinct grey wolf (Canis lupus) ecotypes. Using this
information, we designed a targeted capture array for 1040 genes, including all
exons and flanking regions, as well as 5000 1-kb nongenic neutral regions, and
resequenced these regions in 107 wolves. Selection tests revealed striking
patterns of variation within candidate genes relative to noncandidate regions and
identified potentially functional variants related to local adaptation. We found
27% and 47% of candidate genes from the previous SNP array study had functional
changes that were outliers in sweed and bayenv analyses, respectively. This
result verifies the use of genomewide SNP surveys to tag genes that contain
functional variants between populations. We highlight nonsynonymous variants in
APOB, LIPG and USH2A that occur in functional domains of these proteins, and that
demonstrate high correlation with precipitation seasonality and vegetation. We
find Arctic and High Arctic wolf ecotypes have higher numbers of genes under
selection, which highlight their conservation value and heightened threat due to
climate change. This study demonstrates that combining genomewide genotyping
arrays with large-scale resequencing and environmental data provides a powerful
approach to discern candidate functional variants in natural populations.
PMID- 26562362
TI - Effect of the Hydrogen Bond on Photochemical Synthesis of Silver Nanoparticles.
AB - The effect of a hydrogen bond on the photochemical synthesis of silver
nanoparticles has been investigated via experimental and theoretical methods. In
a benzophenone system, the photochemical synthesis process includes two steps,
which are that hydrogen abstraction reaction and the following reduction
reaction. We found that for the first step, an intermolecular hydrogen bond
enhances the proton transfer. The efficiency of hydrogen abstraction increases
with the hydrogen bond strength. For the second step, the hydrogen-bonded ketyl
radical complex shows higher reducibility than the ketyl radical. The inductively
coupled plasma-optical emission spectroscopy (ICP-OES) measurement exhibits a
2.49 times higher yield of silver nanoparticles in the hydrogen bond ketyl
radical complex system than that for the ketyl radical system. Theoretical
calculations show that the hydrogen bond accelerates electron transfer from the
ketyl radical to the silver ion by raising the SOMO energy of the ketyl radical;
thus, the SOMO-LUMO interaction is more favorable.
PMID- 26562363
TI - Detecting a dexmedetomidine-evoked reduction of noradrenaline release in the
human brain with the alpha2C-adrenoceptor PET ligand [11C]ORM-13070.
AB - PET imaging can for some neurotransmitters be used to measure synaptic
neurotransmitter concentrations. The objective of this study was to test whether
the receptor binding of the alpha2C -AR antagonist PET tracer [(11)C]ORM-13070
would increase in response to reductions in synaptic noradrenaline, evoked by
dexmedetomidine as a sympatholytic drug challenge. Six subjects underwent a
control PET scan and two dexmedetomidine PET scans. Dexmedetomidine was infused
with target plasma concentrations of 0.6 and 0.2 ng/ml. Tracer binding was
measured by voxel-based analysis of bound per free (B/F) images. ROI-based
analysis was performed in the dorsal striatum and in the thalamus. Vital signs
and drug concentrations in plasma were measured and the sedative effect was
estimated with the visual analog scale. In the voxel-based analysis,
dexmedetomidine administration was associated with a tendency to increased B/F
tracer in the right thalamus (mean, +17%, P = 0.14, and +19%, P = 0.05, with the
low and high dose, respectively). Tracer binding in the dorsal striatum was
unaffected by dexmedetomidine. A cluster with significantly increased B/F tracer
(+42%, P = 0.01) was seen in the right superior temporal gyrus with low-dose
dexmedetomidine, but not after the high dose. Brain uptake of [(11)C]ORM-13070
has previously been shown to be reduced in conditions of increased synaptic
noradrenaline concentrations. In this study, tracer binding in the thalamus
tended to increase in accordance with reduced activity of noradrenergic
projections from the locus coeruleus, but statistical significance was not
reached.
PMID- 26562364
TI - Single-Cell Mechanics Provides an Effective Means To Probe in Vivo Interactions
between Alveolar Macrophages and Silver Nanoparticles.
AB - Single-cell mechanics, derived from atomic force microscopy-based technology,
provides a new and effective means to investigate nanomaterial-cell interactions
upon in vivo exposure. Lung macrophages represent initial and important responses
upon introducing nanoparticles into the respiratory tract, as well as particle
clearance with time. Cellular mechanics has previously proven effective to probe
in vitro nanomaterial-cell interactions. This study extends technology further to
probe the interactions between primary alveolar macrophages (AM) and silver
nanoparticles (AgNPs) upon in vivo exposure. Two types of AgNPs, 20 and 110 nm,
were instilled to rat lung at 0.5 mg AgNPs/kg body weight, and allowed 24 h
interaction. The consequences of these interactions were investigated by
harvesting the primary AMs while maintaining their biological status. Cellular
mechanics measurements revealed the diverse responses among AM cells, due to
variations in AgNP uptake and oxidative dissolving into Ag(+). Three major
responses are evident: zero to low uptake that does not alter cellular mechanics,
intracellular accumulation of AgNPs trigger cytoskeleton rearrangement resulting
in the stiffening of mechanics, and damage of cytoskeleton that softens the
mechanical profile. These effects were confirmed using confocal imaging of F
actin and measurements of reactive oxygen species production. More detailed
intracellular interactions will also be discussed on the basis of this study in
conjunction with prior knowledge of AgNP toxicity.
PMID- 26562365
TI - Using Scanning-Probe Block Copolymer Lithography and Electron Microscopy To Track
Shape Evolution in Multimetallic Nanoclusters.
AB - Here we describe a general method for synthesizing multimetallic core-shell
nanoclusters on surfaces. By patterning seeds at predesignated locations using
scanning-probe block copolymer lithography, we can track shape evolution in
nanoclusters and elucidate their growth pathways using electron microscopy. The
growth of core-shell nanostructures on surface-bound seeds is a highly
anisotropic process and often results in multimetallic anisotropic
nanostructures. The shell grows at specific edge and corner sites of the
patterned seeds and propagates predominately from the top hemisphere of the
seeds.
PMID- 26562366
TI - Time-Resolved Nucleic Acid Hybridization Beacons Utilizing Unimolecular and
Toehold-Mediated Strand Displacement Designs.
AB - Nucleic acid hybridization probes are sought after for numerous assay and imaging
applications. These probes are often limited by the properties of fluorescent
dyes, prompting the development of new probes where dyes are paired with novel or
nontraditional luminescent materials. Luminescent terbium complexes are an
example of such a material, and these complexes offer several unique
spectroscopic advantages. Here, we demonstrate two nonstem-loop designs for light
up nucleic acid hybridization beacons that utilize time-resolved Forster
resonance energy transfer (TR-FRET) between a luminescent Lumi4-Tb cryptate (Tb)
donor and a fluorescent reporter dye, where time-resolved emission from the dye
provides an analytical signal. Both designs are based on probe oligonucleotides
that are labeled at their opposite termini with Tb and a fluorescent reporter
dye. In one design, a probe is partially blocked with a quencher dye-labeled
oligonucleotide, and target hybridization is signaled through toehold-mediated
strand displacement and loss of a competitive FRET pathway. In the other design,
the intrinsic folding properties of an unblocked probe are utilized in
combination with a temporal mechanism for signaling target hybridization. This
temporal mechanism is based on a recently elucidated "sweet spot" for TR-FRET
measurements and exploits distance control over FRET efficiencies to shift the Tb
lifetime within or outside the time-gated detection window for measurements. Both
the blocked and unblocked beacons offer nanomolar (femtomole) detection limits,
response times on the order of minutes, multiplexing through the use of different
reporter dyes, and detection in complex matrices such as serum and blood. The
blocked beacons offer better mismatch selectivity, whereas the unblocked beacons
are simpler in design. The temporal mechanism of signaling utilized with the
unblocked beacons also plays a significant role with the blocked beacons and
represents a new and effective strategy for developing FRET probes for bioassays.
PMID- 26562367
TI - Apnea of prematurity and caffeine pharmacokinetics: potential impact on hospital
discharge.
AB - OBJECTIVE: To determine the half-life of serum caffeine concentrations and its
relation to apnea of prematurity (AOP) after caffeine is discontinued in
preparation for hospital discharge. STUDY DESIGN: Prospective cohort study
involving preterm infants with gestational ages ?33 weeks at birth. After
caffeine was discontinued, serum caffeine concentrations and electronic detection
of pathologic apnea, defined a priori, were obtained at 24 and 168 h,
respectively. RESULT: Caffeine levels decreased from 13.3+/-3.8 to 4.3+/-2 mg l(
1) (n=50, mean+/-s.d.) at 24 and 168 h, respectively (P<0.01). The mean caffeine
half-life was 87+/-25 h at 35+/-1 weeks postmenstrual age. Seven days after
discontinuation of caffeine, 64% of the infants had pathologic apnea. CONCLUSION:
Hospital discharge planning for preterm infants with a history of AOP should be
carefully considered after discontinuing caffeine. This study showed that
caffeine may not reach subtherapeutic levels until around 11-12 days.
PMID- 26562368
TI - C-reactive protein levels following hepatitis B vaccine in healthy newborns.
AB - OBJECTIVE: Evaluate the effect of Hepatitis B vaccine (HepB) on C-reactive
protein (CRP) levels in healthy term infants. STUDY DESIGN: A prospective
controlled study in an academic medical center serving an inner city community.
Subjects were singleton, term and late pre-term infants delivered vaginally with
normal physical examination and without risk factors for or signs of sepsis. CRP
levels were measured in cord blood and at the time of newborn genetic screening.
The early HepB group received HepB within a few hours after birth. The late HepB
group had HepB delayed until after the repeat CRP. RESULT: One hundred and eighty
two infants were enrolled (94 early HepB, 88 late HepB) with similar demographic
features in each group. Neither the mean cord CRP (early HepB, 0.24+/-0.23 vs
late HepB, 0.30+/-0.68 mg l(-1), P=0.37) nor the median of the repeat CRP (early
HepB, 2.6 (interquartile range, 1.18 to 7.03) vs late HepB, 1.7 (interquartile
range, 0.73 to 5.8) mg l(-1), P=0.14) showed significant differences between the
two groups. CONCLUSION: HepB does not affect CRP levels in healthy term infants.
PMID- 26562369
TI - The complete blood cell count in a refined cohort of preterm NEC: the importance
of gestational age and day of diagnosis when using the CBC to estimate mortality.
AB - OBJECTIVE: The value of the white blood cell count (WBC) in necrotizing
enterocolitis (NEC) is controversial. One reason for this confusion may be that
the various WBC lineages change substantially with increasing gestational age and
thereby age of NEC onset. This study postulated that if a data set was large
enough and the diagnosis of NEC clean enough, absolute WBC counts would
facilitate prediction of NEC mortality. The objective of this study was to
determine whether absolute WBC counts enhance the prediction of NEC mortality.
STUDY DESIGN: A de-identified data subset from the Pediatrix national data set
specific to the diagnoses of NEC in patients who had a CBC drawn on the day of
diagnosis (exclusive of the diagnoses of spontaneous intestinal perforations and
congenital anomalies) was the target for analysis. Values of primary interest
included: gestation, day of diagnosis, absolute WBC count, platelet count,
hematocrit, mortality and the day of diagnosis. Stepwise regression analysis was
used to predict mortality. RESULT: A total of 4059 (79%) survivors and 1107 (21%)
infants who died with a diagnosis of medical or surgical NEC were included in the
data set. Associations with mortality were found with low gestational age, low
platelet count, low hematocrit, high band/segmented neutrophil ratio, earlier day
of diagnosis, high birth weight z-score, non-white race, no antenatal steroids in
gestations above 24 weeks, absolute lymphocyte count adjusted for gestational
age, and absolute monocyte count high and low values. A stepwise regression
analysis yielded a receiver-operator curve of 0.819 with a sensitivity of 65% and
specificity of 84%. CONCLUSION: Absolute WBC values enhance prediction of NEC
survival when used in combination with readily available data on the day of NEC
diagnosis.
PMID- 26562371
TI - Identification of severe gestational diabetes mellitus after new criteria used in
China.
AB - OBJECTIVE: To evaluate the way to identify severe gestational diabetes mellitus
(GDM) according to the Ministry of Health (MOH) criteria associated with high
risk of adverse pregnancy outcomes. STUDY DESIGN: Medical records of 9803
pregnant women attending Peking University First Hospital were analyzed
retrospectively. Participants diagnosed as GDM were divided into different groups
according to the different number of oral glucose tolerance test (OGTT) results
and the prepregnancy body mass index (BMI). Participants without GDM were
included in group N. The incidence of adverse pregnancy outcomes was analyzed
according to incremental differences in prepregnancy BMI and the number of
abnormal OGTT result. RESULT: (1) There were 21.8% (2133/9803) women diagnosed as
GDM. (2) The frequency of large for gestational age in group 2 (GDM with 2 or
more abnormal OGTT value; 21.6%) was significantly higher than group 1 (GDM with
only 1 abnormal OGTT value; 16.8%) and group N (13.2%), and there was also
significant difference between group 1 and group N. (3) Risk of adverse pregnancy
outcomes in GDM would be increased in women with prepregnancy body mass index ?24
kg m(-2) in GDM. (4) Women with BMI <24 kg m(-2) in group 1 have low risk of
adverse pregnancy outcomes and seldom need insulin (1.3%) in pregnancy. (5) There
were 1142 cases (53.5%) of severe GDM in this study and 991 cases (46.5%) of mild
GDM. CONCLUSION: GDM women with ?2 abnormal OGTT values or only 1 abnormal OGTT
value but with prepregnancy BMI ?24 kg m(-2) should be recognized as severe GDM.
We should pay more attention to and treat selectively with the severe GDM,
especially in rural areas.
PMID- 26562370
TI - Decreased cost and improved feeding tolerance in VLBW infants fed an exclusive
human milk diet.
AB - OBJECTIVE: Human milk is the best form of nutrition for preterm infants and has
been associated with a lower incidence of necrotizing enterocolitis (NEC).
Infants that develop NEC have a higher incidence of feeding intolerance and
longer hospitalizations. The combination of a donor milk bank and donor milk
derived fortifier has changed feeding practices in neonatal intensive care units
(NICU). The purpose of this study is to assess the benefits and cost of an
exclusive human milk (EHM) diet in very low birth weight (VLBW) infants in a
community level III NICU. STUDY DESIGN: This is a retrospective study including
preterm infants ?28 weeks and/or VLBW (?1500 g) who were enrolled from March 2009
until March 2014. Infants were grouped as follows: group H (entirely human milk
based, born March 2012 to 2014), group B (bovine-based fortifier and maternal
milk, born March 2009 to 2012), group M (mixed combination of maternal milk,
bovine-based fortifier and formula, born March 2009 to 2012) and group F (formula
fed infants, born March 2009 to 2012). Baseline characteristics among the four
groups were similar. RESULT: The study included 293 infants between gestational
ages 23 to 34 weeks and birth weights between 490 and 1700 g. Feeding intolerance
occurred less often (P<0.0001), number of days to full feeds was lower (P<0.001),
incidence of NEC was lower (P<0.011), and total hospitalization costs were lower
by up to $106,968 per infant (P<0.004) in those fed an EHM diet compared with the
other groups. Average weight gain per day was similar among the four groups (18.5
to 20.6 g per day). CONCLUSIONS: Implementing an EHM diet in our VLBW infants has
led to a significant decrease in the incidence of NEC. Other benefits of this
diet include: decreased feeding intolerance, shorter time to full feeds, shorter
length of stay, and lower hospital and physician charges for extremely premature
and VLBW infants.
PMID- 26562372
TI - Successful primary use of VVDL+V ECMO with cephalic drain in neonatal respiratory
failure.
AB - OBJECTIVE: To describe the use of double-lumen venovenous (VVDL) extracorporeal
membrane oxygenation (ECMO) with cephalic draining cannula (VVDL+V) as a primary
approach for all neonatal respiratory diagnoses and to compare our single-center
experience with data as collected in the Extracorporeal Life Support Organization
(ELSO) database. STUDY DESIGN: We retrospectively reviewed all cases of ECMO for
neonatal respiratory failure performed in the neonatal intensive-care unit at a
large referral children's hospital, the Children's Healthcare of Atlanta at
Egleston (CHOA-E). Comparisons were then made to neonatal respiratory ECMO data
retrieved from the ELSO database. RESULTS: At CHOA-E 162 of 189 cases were
completed with the VVDL+V approach. Survival in the VVDL+V cohort was 89.1%
versus 68.7% from ELSO, P<0.001. For those complications considered, the overall
risk of complication favored the CHOA-E VVDL+V group as compared with ELSO (odds
ratio (OR) 0.71 (0.52-0.7)) as did the risk of neurologic complications (OR 0.29,
(0.15-0.58)), including intracranial hemorrhage (OR 0.39 (0.18-0.97), P=0.011).
CONCLUSION: The VVDL+V approach can be used successfully as the primary approach
for ECMO for neonatal respiratory failure of various etiologies and in this
single-center cohort this approach was associated with improved survival and
lower rates of complication as compared with the ELSO database.
PMID- 26562373
TI - Effects of hyperthyroidism on hand grip strength and function.
AB - Hyperthyroidism is a pathologic condition in which the body is exposed to
excessive amounts of circulating thyroid hormones. Skeletal muscle is one of the
major target organs of thyroid hormones. We evaluated hand grip strength and
function in patients with overt hyperthyroidism. Fifty-one patients newly
diagnosed with hyperthyroidism and 44 healthy controls participated in this
study. Age, height, weight, and dominant hand of all participants were recorded.
The diagnosis of hyperthyroidism was confirmed by clinical examination and
laboratory tests. Hand grip strength was tested at the dominant hand with a Jamar
hand dynamometer. The grooved pegboard test (PGT) was used to evaluate hand
dexterity. The Duruoz Hand Index (DHI) was used to assess hand function. No
significant differences were found in terms of clinical and demographic findings
between the patients with hyperthyroidism and healthy controls (p > 0.05).
Significant differences were found between the patients with hyperthyroidism and
healthy controls regarding PGT and DHI scores (p < 0.05). Hyperthyroidism seemed
to affect hand dexterity and function more than hand grip strength and seemed to
be associated with reduced physical function more than muscle strength. This may
also indicate that patients with hyperthyroidism should be evaluated by
multidisplinary modalities.
PMID- 26562374
TI - Pressure ulcer-related harm: beyond root cause analysis.
PMID- 26562375
TI - Pressure ulcer prevalence and prevention practices: a cross-sectional comparative
survey in Norway and Ireland.
AB - OBJECTIVE: This study explored whether the risk assessment method, structured
versus clinical judgment, influences pressure ulcer (PU) prevalence or prevention
strategies. METHOD: A cross section survey design was employed with use of a pre
designed data collection instrument. Following ethical approval and consent, data
was gathered from two acute care settings, one in Norway (clinical judgment) and
one in Ireland (structured risk assessment using the Maelor Score). RESULTS: Data
were obtained from 180 patients, 59 in Norway and 121 in Ireland. Of the patients
48% were male and 49% were female, gender was not recorded for 3%. The most
common age bracket was 70-99 years of age, 46% of the study population. PU
prevalence was 54% in the Norwegian site with the majority of PUs (69%) being
category 1, and 12% in the Irish site with the majority (50%) being category 2.
Only 8% of patients in the Norwegian site were risk assessed on admission
compared with 85% in the Irish site. No dynamic mattresses and four pressure
redistribution cushions were in use in the Norwegian site, whereas, in the Irish
site, 27 dynamic mattresses and 11 pressure redistribution cushions were used,
the majority (44%) for high-risk individuals. Of those at risk of PU development,
15% in the Norwegian site and 56% in the Irish site had a documented
repositioning care plan when in bed, and 0% in the Norwegian site and 13% in the
Irish site for when seated on a chair. CONCLUSION: There were inconsistencies in
approach to PU risk assessment and prevention across the two clinical settings.
However, prevalence rates differed, mainly relating to category 1 PU damage. Even
though formal risk assessment is well established in the Irish site, this is not
necessarily followed up with appropriated PU prevention. Thus, the method of risk
assessment does not seem to influence subsequent care planning, questioning the
role of formal risk assessment; however, despite this, risk assessment does put a
focus on an important clinical problem. In the Norwegian site, a lack of risk
assessment and appropriate equipment may be a confounding factor worthy of
further exploration.
PMID- 26562376
TI - Clinical effectiveness of a silicone foam dressing for the prevention of heel
pressure ulcers in critically ill patients: Border II Trial.
AB - OBJECTIVE: Critically ill patients are at high risk of developing pressure ulcers
(PU), with the sacrum and heels being highly susceptible to pressure injuries.
The objective of our study was to evaluate the clinical effectiveness of a new
multi-layer, self-adhesive soft silicone foam heel dressing to prevent PU
development in trauma and critically ill patients in the intensive care unit
(ICU). METHOD: A cohort of critically ill patients were enrolled at the Royal
Melbourne Hospital. Each patient had the multi-layer soft silicone foam dressing
applied to each heel on admission to the emergency department. The dressings were
retained with a tubular bandage for the duration of the patients' stay in the
ICU. The skin under the dressings was examined daily and the dressings were
replaced every three days. The comparator for our cohort study was the control
group from the recently completed Border Trial. RESULTS: Of the 191 patients in
the initial cohort, excluding deaths, loss to follow-up and transfers to another
ward, 150 patients were included in the final analysis. There was no difference
in key demographic or physiological variables between the cohorts, apart from a
longer ICU length of stay for our current cohort. No PUs developed in any of our
intervention cohort patients compared with 14 patients in the control cohort
(n=152; p<0.001) who developed a total of 19 heel PUs. CONCLUSION: We conclude,
based on our results, that the multi-layer soft silicone foam dressing under
investigation was clinically effective in reducing ICU-acquired heel PUs. The
findings also support previous research on the clinical effectiveness of multi
layer soft silicone foam dressings for PU prevention in the ICU.
PMID- 26562377
TI - Microcirculatory responses of sacral tissue in healthy individuals and inpatients
on different pressure-redistribution mattresses.
AB - OBJECTIVE: The aim of this study was to explore the interaction between interface
pressure, pressure-induced vasodilation, and reactive hyperaemia with different
pressure-redistribution mattresses. METHOD: A cross-sectional study was performed
with a convenience sample of healthy young individuals, and healthy older
individuals and inpatients, at a university hospital in Sweden. Blood flow was
measured at depths of 1mm, 2mm, and 10mm using laser Doppler flowmetry and
photoplethysmography. The blood flow, interface pressure and skin temperature
were measured in the sacral tissue before, during, and after load while lying on
one standard hospital mattress and three different pressure-redistribution
mattresses. RESULTS: There were significant differences between the average
sacral pressure, peak sacral pressure, and local probe pressure on the three
pressure-redistribution mattresses, the lowest values found were with the visco
elastic foam/air mattress (23.5 +/- 2.5mmHg, 49.3 +/- 11.1mmHg, 29.2 +/-
14.0mmHg, respectively). Blood flow, measured as pressure-induced vasodilation,
was most affected in the visco-elastic foam/air group compared to the alternating
pressure mattress group at tissue depths of 2mm (39.0% and 20.0%, respectively),
and 10mm (56.9 % and 35.1%, respectively). Subjects in all three groups,
including healthy 18-65 year olds, were identified with no pressure-induced
vasodilation or reactive hyperaemia on any mattress (n=11), which is considered a
high-risk blood flow response. CONCLUSION: Interface pressure magnitudes
considered not harmful during pressure-exposure on different pressure
redistribution mattresses can affect the microcirculation in different tissue
structures. Despite having the lowest pressure values compared with the other
mattresses, the visco-elastic foam/air mattress had the highest proportion of
subjects with decreased blood flow. Healthy young individuals were identified
with the high-risk blood flow response, suggesting an innate vulnerability to
pressure exposure. Furthermore, the evaluation of pressure-redistribution support
surfaces in terms of mean blood flow during and after tissue exposure is not
feasible, but assessment of pressure-induced vasodilation and reactive hyperaemia
could be a new way to assess individualised physiological measurements of
mechanisms known to be related to pressure ulcer development.
PMID- 26562378
TI - Pressure ulcer prevention and healing using alternating pressure mattress at
home: the PARESTRY project.
AB - OBJECTIVE: Specialised pressure-relieving supports reduce or relieve the
interface pressure between the skin and the support surface. The comparative
effectiveness of dynamic support surfaces is debated. The aim of this study is to
examine the impact of using an alternating pressure air mattress (APAM) on
pressure ulcer (PU) incidence in patients receiving home-based care. A second aim
was to determine the level of patient/family satisfaction with comfort and gain
the views of the care team that used the APAM. METHOD: The PARESTRY study was a
prospective observational study conducted in patients with a high risk of PUs
(Braden score <15), discharged to hospital-care at home. The primary prevention
groups consisted of patients with no PU at baseline who were in bed for at least
20 hours a day. Patients at baseline with a category 3 or 4 PU or a category 1 or
2 PU in association with poor general health or end-of-life status were included
in the secondary prevention group. All patients were laid on an APAM. The primary
end point was the % of patients with a worsening skin condition in the pressure
area (heel, sacrum, ischium) at day 90 or at the end of the study. The primary
analysis was done on the full analysis set (patients included with at least a
second assessment), using the last observation carried forward technique to
handle missing data, at day 90. A 95% confidence interval was calculated.
RESULTS: Analysis was performed on 92 patients (30 in primary prevention and 62
in secondary prevention). The average time spent in bed was 22.7 (SD 2.7) hours a
day and 22.6 (SD 2.2) hours in the primary and secondary prevention groups,
respectively. At baseline, in the secondary group, 77% of patients had a sacral
PU, 63% a heel PU, 8% an ischial tuberosity PU and 45% a PU in another area, a
number of patients having multiple PUs. In the primary prevention group, 63%
(19/30) of patients dropped out of the study (5 were hospitalised, 9 died, 5
other causes). In the secondary prevention group, 61% (38/62) dropped out (7 were
hospitalised, 23 died, 8 others causes). In the primary prevention group, only
one patient had worsening skin condition. In the secondary prevention group,
17.7% (11/62: 95% CI: 8.3-27.2) of patients had worsening skin condition. The
number of PUs decreased regardless of location. At the end of follow-up, 49%
(45/92) of patients had a PU versus 67% (62/92) at baseline CONCLUSION: This work
provides data on the incidence of PUs in patients at high risk, who are using
APAMs, and, following inpatient hospitalisation, are taken into home health-care
centres. The results of the study highlight the importance of continuity of care
across transitions between care settings.
PMID- 26562379
TI - Disrupting the biofilm matrix improves wound healing outcomes.
AB - OBJECTIVE: The most unyielding molecular component of biofilm communities is the
matrix structure that it can create around the individual microbes that
constitute the biofilm. The type of polymeric substances (polymeric sugars,
bacterial proteins, bacterial DNA and even co-opted host substances) are
dependent on the microbial species present within the biofilm. The extracellular
polymeric substances that make up the matrix give the wound biofilm incredible
colony defences against host immunity, host healing and wound care treatments.
This polymeric slime layer, which is secreted by bacteria, encases the population
of microbes, creating a physical barrier that limits the ingress of treatment
agents to the bacteria. The aim of this study was to determine if degrading the
wound biofilm matrix would improve wound healing outcomes and if so, if there was
a synergy between treating agents that disrupted biofilm defenses with Next
Science Wound Gel (wound gel) and cidal agents (topical antibiotics). METHOD: A
three-armed randomised controlled trial was designed to determine if standard of
care (SOC) was superior to SOC plus wound gel (SOC + gel) and wound gel alone.
The wound gel used in this study contains components that directly attack the
biofilm extracellular polymeric substance. The gel was applied directly to the
wound bed on a Monday-Wednesday-Friday interval, either alone or with SOC topical
antibiotics. RESULTS: Using a surrogate endpoint of 50% reduction in wound
volume, the results showed that SOC healed at 53%, wound gel healed at 80%, while
SOC plus wound gel showed 93% of wounds being successfully treated. CONCLUSION:
By directly targeting the wound biofilm matrix, wound healing outcomes are
improved.
PMID- 26562380
TI - Lateral malleolar region defects with exposed implants: proximally based peroneus
brevis muscle flap.
AB - OBJECTIVE: Reconstruction of lateral malleolar region defects with exposed
implants is a problematic situation for the reconstructive surgeon because there
are limited options. The objective of our study was to revise the management of
these defects and point out the role of proximally based peroneus brevis muscle
flap. METHOD: Patients with an implant exposed tissue defects of the lateral
malleolar region were evaluated retrospectively. All defects reconstructed with
proximally based peroneus brevis muscle flap were included in this study.
RESULTS: Of the 17 patients identified the mean age was 37.1 years (range 16-58
years). The size of the defects were 2-9cm in length (mean: 4.9cm) and 2-4cm in
width (mean: 2.6cm). All defects were successfully covered with proximally based
peroneus brevis muscle flaps combined with split-thickness skin grafts. All flaps
healed with no complication or need for secondary surgery. The mean follow-up
was18.8 months (range 12-32 months). CONCLUSION: Proximally based peroneus brevis
muscle flap is a good alternative for reconstruction of lateral malleolar region
defects with reliable blood supply, short surgical time, and minimal donor site
morbidity.
PMID- 26562381
TI - The challenge of objective scar colour assessment in a clinical setting: using
digital photography.
AB - OBJECTIVE: Scar assessment in the clinical setting is typically impeded by a lack
of quantitative data and most systems rely on subjective rating scales which are
user dependant and show considerable variability between raters. The growing use
of digital photography in medicine suggests a more objective approach to scar
evaluation. Our objective was to determine if cameras could be of practical use
for measuring colour in a clinical setting. METHOD: The measurement of colour and
reflectance spectra in photographs faces two difficulties: firstly the effects of
variable illumination spectra, and secondly to recover accurate colour and
spectral information from the sparse red, green and blue (RGB) camera signals. As
a result the colour rendition is often inaccurate, and spectral information is
lost. To deal with variable illumination and other factors that systematically
affect all reflectance spectra ColourWorker (a method for image-based colour
measurement implemented in software) calibrates the spectral responses of the
camera's RGB sensors using a colour standard in the image. To make best use of
the calibrated signals, it takes advantage of the fact that although a given RGB
signal can be caused by an infinite number of spectra, most natural reflectance
spectra vary smoothly and have predictable forms. This means given a set of
examples of spectra produced by the materials of interest, it is possible to
estimate the specific spectrum that produced a given RGB signal once corrected
for the illumination. We describe a method for recovering spectral and chromatic
information relating to surface reflectance from ordinary digital images and
apply this to analyse photographs of surgical scars, taken as part of a clinical
trial, in an attempt to better quantify clinical scar assessment. It should be
noted the pre-existing trial protocol did not allow for a comprehensive
evaluation of the accuracy of the method which would require the
spectrophotometric measurement of skin regions corresponding to those in the
photographs. RESULTS: Scar colour was estimated reliably, and with simple image
analysis we were able to record the change in colour across the skin.
Furthermore, we describe a simple automated assessment procedure that enables
scar severity to be quantified and defined using a single scalar value easily.
CONCLUSION: Such image-based colour measurement and assessment offers
considerable advantages over other current methods, including spectrometers,
which measure only a single point, or printed charts.
PMID- 26562382
TI - Danish translation and validation of the International Skin Tear Advisory Panel
Skin Tear Classification System.
AB - OBJECTIVE: The aim of this study was to translate, validate and establish
reliability of the International Skin Tear Classification System in Danish.
METHOD: Phase 1 of the project involved the translation of the International Skin
Tear Advisory Panel (ISTAP) Skin Tear Classification System into Danish, using
the forward-back translation method described by the principles of good practice
for the translation process for patient-reported outcomes. In Phase 2, the Danish
group sought to replicate the ISTAP validation study and validate the
classification system with registered nurses (RN) and social and health-care
assistants (non-RN) from both primary health care and a Danish university
hospital in Copenhagen. Thirty photographs, with equal representation of the
three types of skin tears, were selected to test validity. The photographs chosen
were those originally used for internal and external validation by the ISTAP
group. The subjects were approached in their place of work and invited to
participate in the study and to attend an educational session related to skin
tears. RESULTS: The Danish translation of the ISTAP classification system was
tested on 270 non-wound specialists. The ISTAP classification system was
validated by 241 RNs, and 29 non-RN. The results indicated a moderate level of
agreement on classification of skin tears by type (Fleiss' Kappa=0.460). A
moderate level of agreement was demonstrated for both the RN group and the non-RN
group (Fleiss' Kappa=0.464 and 0.443, respectively). CONCLUSION: The ISTAP Skin
Tear Classification System was developed with the goal of establishing a global
language for describing and documenting skin tears and to raise the health-care
community's awareness of skin tears. The Danish translation of the ISTAP
classification system supports the earlier ISTAP study and further validates the
classification system. The Danish translation of the classification system is
vital to the promotion of skin tears in both research and the clinical settings
in Denmark.
PMID- 26562383
TI - MicroRNAs Come of Age in Diagnostics.
PMID- 26562384
TI - Origin of the Enigmatic Stepwise Tight-Binding Inhibition of Cyclooxygenase-1.
AB - Nonsteroidal anti-inflammatory drugs (NSAIDs) are widely used for the treatment
of pain, fever, inflammation, and some types of cancers. Their mechanism of
action is the inhibition of isoforms 1 and 2 of the enzyme cyclooxygenase (COX-1
and COX-2, respectively). However, both nonselective and selective NSAIDs may
have side effects that include gastric intestinal bleeding, peptic ulcer
formation, kidney problems, and occurrences of myocardial infarction. The search
for selective high-affinity COX inhibitors resulted in a number of compounds
characterized by a slow, tight-binding inhibition that occurs in a two-step
manner. It has been suggested that the final, only very slowly reversible, tight
binding event is the result of conformational changes in the enzyme. However, the
nature of these conformational changes has remained elusive. Here we explore the
structural determinants of the tight-binding phenomenon in COX-1 with molecular
dynamics and free energy simulations. The calculations reveal how different
classes of inhibitors affect the equilibrium between two conformational substates
of the enzyme in distinctly different ways. The class of tight-binding inhibitors
is found to exclusively stabilize an otherwise unfavorable enzyme conformation
and bind significantly stronger to this state than to that normally observed in
crystal structures. By also computing free energies of binding to the two enzyme
conformations for 16 different NSAIDs, we identify an induced-fit mechanism and
the key structural features associated with high-affinity tight binding. These
results may facilitate the rational development of new COX inhibitors with
improved selectivity profiles.
PMID- 26562390
TI - A Fitting Memorial.
PMID- 26562389
TI - Bronchoscopic Lung Cryobiopsy Increases Diagnostic Confidence in the
Multidisciplinary Diagnosis of Idiopathic Pulmonary Fibrosis.
AB - RATIONALE: Surgical lung biopsy is often required for a confident
multidisciplinary diagnosis of idiopathic pulmonary fibrosis (IPF). Alternative,
less-invasive biopsy methods, such as bronchoscopic lung cryobiopsy (BLC), are
highly desirable. OBJECTIVES: To address the impact of BLC on diagnostic
confidence in the multidisciplinary diagnosis of IPF. METHODS: In this cross
sectional study we selected 117 patients with fibrotic interstitial lung disease
without a typical usual interstitial pneumonia pattern on high-resolution
computed tomography. All cases underwent lung biopsies: 58 were BLC, and 59 were
surgical lung biopsy (SLB). Two clinicians, two radiologists, and two
pathologists sequentially reviewed clinical-radiologic findings and biopsy
results, recording at each step in the process their diagnostic impressions and
confidence levels. MEASUREMENTS AND MAIN RESULTS: We observed a major increase in
diagnostic confidence after the addition of BLC, similar to SLB (from 29 to 63%,
P = 0.0003 and from 30 to 65%, P = 0.0016 of high confidence IPF diagnosis, in
the BLC group and SLB group, respectively). The overall interobserver agreement
in IPF diagnosis was similar for both approaches (BLC overall kappa, 0.96; SLB
overall kappa, 0.93). IPF was the most frequent diagnosis (50 and 39% in the BLC
and SLB group, respectively; P = 0.23). After the addition of histopathologic
information, 17% of cases in the BLC group and 19% of cases in the SLB group,
mostly idiopathic nonspecific interstitial pneumonia and hypersensitivity
pneumonitis, were reclassified as IPF. CONCLUSIONS: BLC is a new biopsy method
that has a meaningful impact on diagnostic confidence in the multidisciplinary
diagnosis of interstitial lung disease and may prove useful in the diagnosis of
IPF. This study provides a robust rationale for future studies investigating the
diagnostic accuracy of BLC compared with SLB.
PMID- 26562391
TI - 2015 JCO Orthodontic Practice Study, Part 1 Trends.
PMID- 26562392
TI - Accuracy of 3D Facial Models Obtained from CBCT Volume Wrapping.
PMID- 26562393
TI - Intrusion of Supraerupted Second Molars with the Palatal Intrusion Fork.
PMID- 26562394
TI - An Efficient Way to Document Aligner Appointments.
PMID- 26562395
TI - Distal Movement of Lower Molars with Miniplate Anchorage.
PMID- 26562396
TI - Retreatment of a Class II Patient with Short-Root Anomaly.
PMID- 26562397
TI - Testing the transferability of a coarse-grained model to intrinsically disordered
proteins.
AB - The intermediate-resolution coarse-grained protein model PLUM [T. Bereau and M.
Deserno, J. Chem. Phys., 2009, 130, 235106] is used to simulate small systems of
intrinsically disordered proteins involved in biomineralisation. With minor
adjustments to reduce bias toward stable secondary structure, the model generates
conformational ensembles conforming to structural predictions from atomistic
simulation. Without additional structural information as input, the model
distinguishes regions of the chain by predicted degree of disorder, manifestation
of structure, and involvement in chain dimerisation. The model is also able to
distinguish dimerisation behaviour between one intrinsically disordered peptide
and a closely related mutant. We contrast this against the poor ability of PLUM
to model the S1 quartz-binding peptide.
PMID- 26562398
TI - Equal detection rate of cervical heterotopic gastric mucosa in standard white
light, high definition and narrow band imaging endoscopy.
AB - BACKGROUND AND AIM: The prevalence of cervical heterotopic gastric mucosa (HGM)
of the proximal oesophagus differs widely between studies, perhaps due to
examination conditions during endoscopy. In this study we aimed to determine
whether narrow band imaging (NBI) or high definition (HD) imaging improves
detection of HGM. Possible factors of influence for HGM detection, in particular
setting (position, timing, in-/out-patient), examination time and sedation
parameters, were analysed. METHODS: Retrospective analysis of 641 consecutive
patients who underwent an oesophagogastroduodenoscopy (EGD) by the same,
substantially experienced endoscopist between June 2011 and August 2013. The type
of endoscope was randomly assigned to patients. RESULTS: A total of 85 patients
showed HGM with an overall prevalence of 13.3 %. The detection rate in the HD-NBI
group was 18/127 (14.2 %) and in the HD white light (HDWL) group, 15/104 (14.4 %,
p = 0.957). The detection rate between standard definition white light (SDWL)
endoscopy (52/410, 12.7 %) and HD endoscopy did not differ significantly (33/231,
14.3 %, p = 0.566). Setting, sedation dosage and examination times were equally
distributed between study groups. The indication of dysphagia (11.8 % vs. 2.4 %
with p = 0.000, respectively) and dyspepsia (19.1 % vs. 10.8 %, p = 0.047,
respectively) occurred significantly more often in HGM patients than in the
control group. There was no difference in the detection rate depending on HGM
size. CONCLUSIONS: The prevalence of HGM in the upper EGD is high and does not
differ significantly between the study groups of SDWL, HDWL and HD-NBI under
equivalent conditions.
PMID- 26562399
TI - Color Doppler ultrasound-guided PTBD with and without metal stent implantation by
endoscopic control: prospective success and early adverse event rates.
AB - OBJECTIVE: There are just a few reports on Color Doppler Ultrasound-guided PTBD
with and without metal stent implantation by endoscopic control. Ultrasound
guidance facilitates percutaneous bile duct access and avoids severe adverse
events. Internal biliary drainage rate in PTBD should be as high as possible as
endoscopic ultrasound-guided cholangiodrainage (EUCD) offers internal drainage
regularly. We report our prospective study analyzing success, internal drainage
and adverse event rates. MATERIALS AND METHODS: Between June 2009 and November
2014 overall 63 PTBDs were performed prospectively in 37 patients (18 m, 19f; age
on average: 72 years) with benign (9 %) and malignant (91 %) bile duct
obstruction. Ultrasound was used in combination with fluoroscopic guidance.
Whenever possible, primary or early secondary metal stent implantation via PTBD
by endoscopic control was performed as a one step-procedure. RESULTS: 38 of 41
(93 %) initial PTBDs (in four patients PTBD was performed twice) were successful.
22 of 63 PTBDs were follow-up examinations with different interventions. In 34 of
38 successful (89 %) PTBDs, an internal drainage (or metal stent) was implanted.
12 metal stent implantations via PTBD were performed under endoscopic control.
Just 2 (5 %) permanent external drainages were inserted. In 63 performed PTBDs 5
(7.9 %) early major adverse events (no severe intrahepatic bleeding) were
documented and treated without any procedure related death. When metal stent
implantation was performed via PTBD no adverse event was documented. CONCLUSION:
Color Doppler guided PTBD is an effective and safe method for biliary drainage
avoiding severe adverse events. Primary or early secondary metal stent
implantation via PTBD reduces complication risks additionally. Endoscopic control
of stent implantation via PTBD is helpful for optimal stent placement.
PMID- 26562400
TI - [Jejunal ulcerations - a diagnostic challenge in a patient with coeliac disease].
AB - A subset of patients with coeliac disease (CD) suffers persistent or recurrent
complaints despite a strict adherence to a gluten-free diet (GFD) that can be
caused by refractory coeliac disease (RCD). We present a patient with weight loss
and signs of malassimilation secondary to villous atrophy and jejunal ulcerations
complicating known CD. We demonstrate a stepwise approach to the diagnosis and
subtyping of RCD and to rule out important alternative causes of jejunal
ulcerations. RCD can be classified as type I based on the absence or as type II
based on the presence of an aberrant intestinal mucosal lymphocyte population.
RCD type I shows a more benign course as these patients usually improve on a
treatment consisting of nutritional support and immunosuppressive therapies such
as budesonide or azathioprine. In contrast, clinical response to standard
therapies in RCD type II is less certain and the prognosis is poor. Several
groups suggest that RCD type II should be regarded as low-grade intraepithelial
lymphoma which frequently transforms into an aggressive enteropathy associated T
cell lymphoma with a high mortality rate. Therefore, a rapid differentiation of
RCD type I and RCD type II is a major clinical challenge to early initiate
appropriate treatment modalities.
PMID- 26562401
TI - [Primary liver tumours in childhood].
AB - The reported prevalence of focal liver lesions in adult patients and children is
different. The article discusses pediatric liver tumors under the criteria of
histopathology and contrast enhanced ultrasound (CEUS) features. Aim of this
article is also to support the already established Pediatric Registry of the
European Federation of Societies for Ultrasound in Medicine and Biology (EFSUMB)
to collect data on safety and applications of ultrasound contrast agents in
children (www.efsumb.org).
PMID- 26562402
TI - [Clinical impact of infections with carbapenem-resistant enterobacteriaceae in
liver transplant recipients].
AB - Infections with carbapenem-resistant Enterobacteriaceae (CRE) are an emerging
cause of morbidity and mortality among liver transplant recipients (LTR)
worldwide, particularly Klebsiella pneumoniae carbapenemase (KPC)-producing
organisms. Approximately 3 - 13 % of solid organ transplant recipients in CRE
endemic areas develop CRE infections, and the infection site correlates with the
transplanted organ. The cumulative 30-day mortality rate of LTR infected with
carbapenem-resistant K. pneumoniae is 36 %, and the 180-day mortality rate is 58
%. Awareness of the high vulnerability of LTR to fatal bacterial infection leads
to the more frequent use of ultrabroad-spectrum empirical antibiotic therapy,
which further contributes to the selection of extreme drug resistance. Moreover,
it comprises a relevant risk of failure to initiate adequate empirical treatment
due to the fact that culture-based techniques used to identify CRE imply a 48- to
72-hour delay from blood culture collection until administration of the targeted
therapy. This vicious circle is difficult to avoid and leads to increased
clinical intricacy and narrowed antimicrobial therapeutic options. Because
available options are extremely limited, infection prevention measures have
gained outstanding importance, particularly in the phase after liver transplant
requiring intense immunosuppression early on. Improving clinical outcomes is a
major challenge and involves a multi-targeted approach combining strictly applied
hygiene measures, active surveillance tests, the use of modern, time-saving
methods of molecular biology, and enforced antibiotic stewardship. This article
reviews the current literature regarding the incidence and outcome of CRE
infections in LTR, and it summarises current preventive and therapeutic
recommendations to minimise the threat by CRE in real-life clinical transplant
settings.
PMID- 26562404
TI - [Risk of pancreatic cancer in chronic pancreatitis - lower than previously
supposed?].
PMID- 26562403
TI - [Not Available].
PMID- 26562405
TI - [The INCA trial (impact of NOD2 genotype-guided antibiotic prevention on survival
in patients with liver cirrhosis and ascites): precision medicine for patients
with liver cirrhosis and ascites].
PMID- 26562407
TI - The existence of 5-hydroxymethylcytosine and 5-formylcytosine in both DNA and RNA
in mammals.
AB - We developed a novel strategy by oxidation-derivatization combined mass
spectrometry analysis for the determination of 5-hydroxymethylcytosine and 5
formylcytosine in both DNA and RNA. We reported the presence of 5-formylcytosine
in RNA of mammals and found that ascorbic acid and hydroquinone can increase the
oxidation of 5-methylcytosine to 5-hydroxymethylcytosine in DNA and RNA.
PMID- 26562406
TI - Regulation of Spatiotemporal Patterns by Biological Variability: General
Principles and Applications to Dictyostelium discoideum.
AB - Spatiotemporal patterns often emerge from local interactions in a self-organizing
fashion. In biology, the resulting patterns are also subject to the influence of
the systematic differences between the system's constituents (biological
variability). This regulation of spatiotemporal patterns by biological
variability is the topic of our review. We discuss several examples of
correlations between cell properties and the self-organized spatiotemporal
patterns, together with their relevance for biology. Our guiding, illustrative
example will be spiral waves of cAMP in a colony of Dictyostelium discoideum
cells. Analogous processes take place in diverse situations (such as cardiac
tissue, where spiral waves occur in potentially fatal ventricular fibrillation)
so a deeper understanding of this additional layer of self-organized pattern
formation would be beneficial to a wide range of applications. One of the most
striking differences between pattern-forming systems in physics or chemistry and
those in biology is the potential importance of variability. In the former,
system components are essentially identical with random fluctuations determining
the details of the self-organization process and the resulting patterns. In
biology, due to variability, the properties of potentially very few cells can
have a driving influence on the resulting asymptotic collective state of the
colony. Variability is one means of implementing a few-element control on the
collective mode. Regulatory architectures, parameters of signaling cascades, and
properties of structure formation processes can be "reverse-engineered" from
observed spatiotemporal patterns, as different types of regulation and forms of
interactions between the constituents can lead to markedly different
correlations. The power of this biology-inspired view of pattern formation lies
in building a bridge between two scales: the patterns as a collective state of a
very large number of cells on the one hand, and the internal parameters of the
single cells on the other.
PMID- 26562408
TI - Association Between Graft Storage Time and Donor Age With Endothelial Cell
Density and Graft Adherence After Descemet Membrane Endothelial Keratoplasty.
AB - IMPORTANCE: After retrospectively evaluating the clinical outcome of 500
consecutive cases after Descemet membrane endothelial keratoplasty (DMEK), we
extended the analysis in this study by assessing the effect of donor-related
parameters on endothelial cell density (ECD) decline and detachment rate in this
group. OBSERVATIONS: This retrospective case series included 500 cases who had
undergone DMEK from October 2007 to September 2012 at the Netherlands Institute
for Innovative Ocular Surgery (NIIOS), Rotterdam, the Netherlands. Logistic
regression analysis (n = 332 eyes) showed that donor age might be associated with
a 3% increase in the risk for a detachment (odds ratio, 0.97; 95% CI, 0.94-1.00;
P = .049) (ie, higher donor age seems to be associated with lower chances of a
detachment). In addition, linear regression analysis indicated that graft storage
time in medium was associated with ECD decrease (ie, the longer the storage time,
the larger the decrease at 6 months after DMEK) (P = .01). CONCLUSIONS AND
RELEVANCE: We showed an association between graft storage time and ECD decline
after DMEK and possibly between donor age and graft detachment. Therefore, donor
storage times should be kept as short as possible to improve short-term ECDs.
More research is needed to draw definite conclusions on the possible effect of
donor age on the chance of a detachment after DMEK.
PMID- 26562409
TI - Cognitive Changes During Chemotherapy.
PMID- 26562411
TI - Correction: Exploration of Novel Inhibitors for Class I Histone Deacetylase
Isoforms by QSAR Modeling and Molecular Dynamics Simulation Assays.
PMID- 26562412
TI - Expression Patterns of miRNA-423-5p in the Serum and Pericardial Fluid in
Patients Undergoing Cardiac Surgery.
AB - BACKGROUND: Recently, it has been reported that specific microRNA (miRNA) levels
are elevated in serum and can be used as biomarkers in patients with
cardiovascular diseases. However, miRNAs expression profiles and their sources in
pericardial fluid (PF) are unclear. METHODS AND RESULTS: The purpose of this
study was to identify the levels of miRNAs in PF in relation to those in the
serum in patients undergoing cardiac surgery. Serum (S) and PF from patients
undergoing coronary artery bypass graft (CABG) due to stable angina pectoris
(sAP) and unstable AP (uAP) and aortic valve replacement due to aortic stenosis
(AS) were analyzed for the detection of miRNAs. We named these samples S-sAP, S
uAP, S-AS, PF-sAP, PF-uAP, and PF-AS, respectively. We first measured the levels
of miR-423-5p, which was recognized previously as a biomarker for heart failure.
miR-423-5p levels were significantly higher in PF than serum. Although there was
no difference in miR-423-5p levels among the PF-AS, PF-sAP, and PF-uAP, its
levels were significantly elevated in S-uAP compared with those in S-AS and S
sAP. In order to clarify the source of miR-423-5p in PF, we measured the levels
of muscle-enriched miR-133a and vascular-enriched miR-126 and miR-92a in the same
samples. miR-133a levels were significantly higher in serum than in PF, and it
was elevated in S-uAP compared with S-AS. miR-126 level was significantly
increased in serum compared with PF, and the level of miR-92a the similar
tendency. miR-423-5p is located in the first intron of NSRP1. There is another
miRNA, miR-3184, encoded in the opposite direction in the same region. In vitro
experiments indicated that the duplex of miR-423-5p and miR-3184-3p was more
resistant to RNase than the duplex of miR-423-5p and miR-133-3p, which may help
to stabilize miR-423-5p in the PF. CONCLUSIONS: Our results suggested that miR
423-5p is enriched in PF, and serum miR-423-5p may be associate with uAP. Its
expression pattern was different to that of muscle- and vascular-enriched miRNAs,
miR-133a, miR-126, and miR-92a.
PMID- 26562413
TI - An ab initio molecular dynamics analysis of lignin as a potential antioxidant for
hydrocarbons.
AB - Lignins are complex phenolic polymers with limited industrial uses. To identify
new applications of lignins, this study aims to evaluate the conifer alcohol
lignin as a potential antioxidant for hydrocarbons, using the petroleum asphalt
as an example. Using the ab initio molecular dynamics (AIMD) method, the
evaluation is accomplished by tracking the generation of critical species in a
lignin-asphalt mixture under a simulated oxidative condition. The generation of
new species was detected using nuclear magnetic resonance and four analytical
methods including density of states analysis, highest occupied molecular orbital
and lowest unoccupied molecular orbital analyses, bonding and energy level
analysis, and electrostatic potential energy analysis. Results of the analyses
show that the chemical radicals of carbon, nitrogen and sulfur generated in the
oxidation process could enhance the agglomeration and/or decomposition tendency
of asphalt. The effectiveness of lignins as an antioxidant depends on their
chemical compositions. Lignins with a HOMO-LUMO gap larger than the HOMO-LUMO gap
of the hydrocarbon system to be protected, such as the conifer alcohol lignin to
protect petroleum asphalt as was studied in this work, do not demonstrate
beneficial anti-oxidation capacity. Lignins, however, may be effective oxidants
for hydrocarbon systems with a larger HOMO-LUMO gap. In addition, lignins may
contain more polar sites than the hydrocarbons to be protected; thus the lignins'
hydrophobicity and compatibility with the host hydrocarbons need to be well
evaluated. The developed AIMD model provides a useful tool for developing
antioxidants for generic hydrocarbons.
PMID- 26562410
TI - Cross-Species Transmission and Differential Fate of an Endogenous Retrovirus in
Three Mammal Lineages.
AB - Endogenous retroviruses (ERVs) arise from retroviruses chromosomally integrated
in the host germline. ERVs are common in vertebrate genomes and provide a
valuable fossil record of past retroviral infections to investigate the biology
and evolution of retroviruses over a deep time scale, including cross-species
transmission events. Here we took advantage of a catalog of ERVs we recently
produced for the bat Myotis lucifugus to seek evidence for infiltration of these
retroviruses in other mammalian species (>100) currently represented in the
genome sequence database. We provide multiple lines of evidence for the cross
ordinal transmission of a gammaretrovirus endogenized independently in the
lineages of vespertilionid bats, felid cats and pangolin ~13-25 million years
ago. Following its initial introduction, the ERV amplified extensively in
parallel in both bat and cat lineages, generating hundreds of species-specific
insertions throughout evolution. However, despite being derived from the same
viral species, phylogenetic and selection analyses suggest that the ERV
experienced different amplification dynamics in the two mammalian lineages. In
the cat lineage, the ERV appears to have expanded primarily by retrotransposition
of a single proviral progenitor that lost infectious capacity shortly after
endogenization. In the bat lineage, the ERV followed a more complex path of
germline invasion characterized by both retrotransposition and multiple infection
events. The results also suggest that some of the bat ERVs have maintained
infectious capacity for extended period of time and may be still infectious
today. This study provides one of the most rigorously documented cases of cross
ordinal transmission of a mammalian retrovirus. It also illustrates how the same
retrovirus species has transitioned multiple times from an infectious pathogen to
a genomic parasite (i.e. retrotransposon), yet experiencing different invasion
dynamics in different mammalian hosts.
PMID- 26562415
TI - Development of Lentivirus-Based Reference Materials for Ebola Virus Nucleic Acid
Amplification Technology-Based Assays.
AB - The 2013-present Ebola virus outbreak in Western Africa has prompted the
production of many diagnostic assays, mostly based on nucleic acid amplification
technologies (NAT). The calibration and performance assessment of established
assays and those under evaluation requires reference materials that can be used
in parallel with the clinical sample to standardise or control for every step of
the procedure, from extraction to the final qualitative/quantitative result. We
have developed safe and stable Ebola virus RNA reference materials by
encapsidating anti sense viral RNA into HIV-1-like particles. The lentiviral
particles are replication-deficient and non-infectious due to the lack of HIV-1
genes and Envelope protein. Ebola virus genes were subcloned for encapsidation
into two lentiviral preparations, one containing NP-VP35-GP and the other VP40
and L RNA. Each reference material was formulated as a high-titre standard for
use as a calibrator for secondary or internal standards, and a 10,000-fold lower
titre preparation to serve as an in-run control. The preparations have been
freeze-dried to maximise stability. These HIV-Ebola virus RNA reference materials
were suitable for use with in-house and commercial quantitative RT-PCR assays and
with digital RT-PCR. The HIV-Ebola virus RNA reference materials are stable at up
to 37 degrees C for two weeks, allowing the shipment of the material worldwide at
ambient temperature. These results support further evaluation of the HIV-Ebola
virus RNA reference materials as part of an International collaborative study for
the establishment of the 1st International Standard for Ebola virus RNA.
PMID- 26562416
TI - Effective Suppression of Methane Emission by 2-Bromoethanesulfonate during Rice
Cultivation.
AB - 2-bromoethanesulfonate (BES) is a structural analogue of coenzyme M (Co-M) and
potent inhibitor of methanogenesis. Several studies confirmed, BES can inhibit
CH4 prodcution in rice soil, but the suppressing effectiveness of BES application
on CH4 emission under rice cultivation has not been studied. In this pot
experiment, different levels of BES (0, 20, 40 and 80 mg kg-1) were applied to
study its effect on CH4 emission and plant growth during rice cultivation.
Application of BES effectively suppressed CH4 emission when compared with control
soil during rice cultivation. The CH4 emission rates were significantly (P<0.001)
decreased by BES application possibly due to significant (P<0.001) reduction of
methnaogenic biomarkers like Co-M concentration and mcrA gene copy number (i.e.
methanogenic abunadance). BES significantly (P<0.001) reduced methanogen
activity, while it did not affect soil dehydrogenase activity during rice
cultivation. A rice plant growth and yield parameters were not affected by BES
application. The maximum CH4 reduction (49% reduction over control) was found at
80 mg kg-1 BES application during rice cultivation. It is, therefore, concluded
that BES could be a suitable soil amendment for reducing CH4 emission without
affecting rice plant growth and productivity during rice cultivation.
PMID- 26562414
TI - Integrins and integrin-related proteins in cardiac fibrosis.
AB - Cardiac fibrosis is one of the major components of the healing mechanism
following any injury of the heart and as such may contribute to both systolic and
diastolic dysfunction in a range of pathophysiologic conditions. Canonically, it
can occur as part of the remodeling process that occurs following myocardial
infarction or that follows as a response to pressure overload. Integrins are cell
surface receptors which act in both cellular adhesion and signaling. Most
importantly, in the context of the continuously contracting myocardium, they are
recognized as mechanotransducers. They have been implicated in the development of
fibrosis in several organs, including the heart. This review will focus on the
involvement of integrins and integrin-related proteins, in cardiac fibrosis,
outlining the roles of these proteins in the fibrotic responses in specific
cardiac pathologies, discuss some of the common end effectors (angiotensin II,
transforming growth factor beta 1 and mechanical stress) through which integrins
function and finally discuss how manipulation of this set of proteins may lead to
new treatments which could prove useful to alter the deleterious effects of
cardiac fibrosis.
PMID- 26562417
TI - Early Life Exposure to Fructose Alters Maternal, Fetal and Neonatal Hepatic Gene
Expression and Leads to Sex-Dependent Changes in Lipid Metabolism in Rat
Offspring.
AB - AIM: Fructose consumption is associated with altered hepatic function and
metabolic compromise and not surprisingly has become a focus for perinatal
studies. We have previously shown that maternal fructose intake results in sex
specific changes in fetal, placental and neonatal outcomes. In this follow-up
study we investigated effects on maternal, fetal and neonatal hepatic fatty acid
metabolism and immune modulation. METHODS: Pregnant rats were randomised to
either control (CON) or high-fructose (FR) diets. Fructose was given in solution
and comprised 20% of total caloric intake. Blood and liver samples were collected
at embryonic day 21 (E21) and postnatal day (P)10. Maternal liver samples were
also collected at E21 and P10. Liver triglyceride and glycogen content was
measured with standard assays. Hepatic gene expression was measured with qPCR.
RESULTS: Maternal fructose intake during pregnancy resulted in maternal hepatic
ER stress, hepatocellular injury and increased levels of genes that favour
lipogenesis. These changes were associated with a reduction in the NLRP3
inflammasome. Fetuses of mothers fed a high fructose diet displayed increased
hepatic fructose transporter and reduced fructokinase mRNA levels and by 10 days
of postnatal age, also have hepatic ER stress, and elevated IL1beta mRNA levels.
At P10, FR neonates demonstrated increased hepatic triglyceride content and
particularly in males, associated changes in the expression of genes regulating
beta oxidation and the NLRP3 inflammasome. Further, prenatal fructose results in
sex-dependant changes in levels of key clock genes. CONCLUSIONS: Maternal
fructose intake results in age and sex-specific alterations in maternal fetal and
neonatal free fatty acid metabolism, which may be associated in disruptions in
core clock gene machinery. How these changes are associated with hepatic
inflammatory processes is still unclear, although suppression of the hepatic
inflammasome, as least in mothers and male neonates may point to impaired immune
sensing.
PMID- 26562421
TI - Decision Making About Treatment for Advanced Cancer: Influencing Wisely?
PMID- 26562418
TI - Vibrio cholerae Serogroup O139: Isolation from Cholera Patients and Asymptomatic
Household Family Members in Bangladesh between 2013 and 2014.
AB - BACKGROUND: Cholera is endemic in Bangladesh, with outbreaks reported annually.
Currently, the majority of epidemic cholera reported globally is El Tor biotype
Vibrio cholerae isolates of the serogroup O1. However, in Bangladesh, outbreaks
attributed to V. cholerae serogroup O139 isolates, which fall within the same
phylogenetic lineage as the O1 serogroup isolates, were seen between 1992 and
1993 and in 2002 to 2005. Since then, V. cholerae serogroup O139 has only been
sporadically isolated in Bangladesh and is now rarely isolated elsewhere.
METHODS: Here, we present case histories of four cholera patients infected with
V. cholerae serogroup O139 in 2013 and 2014 in Bangladesh. We comprehensively
typed these isolates using conventional approaches, as well as by whole genome
sequencing. Phenotypic typing and PCR confirmed all four isolates belonging to
the O139 serogroup. FINDINGS: Whole genome sequencing revealed that three of the
isolates were phylogenetically closely related to previously sequenced El Tor
biotype, pandemic 7, toxigenic V. cholerae O139 isolates originating from
Bangladesh and elsewhere. The fourth isolate was a non-toxigenic V. cholerae
that, by conventional approaches, typed as O139 serogroup but was genetically
divergent from previously sequenced pandemic 7 V. cholerae lineages belonging to
the O139 or O1 serogroups. CONCLUSION: These results suggest that previously
observed lineages of V. cholerae O139 persist in Bangladesh and can cause
clinical disease and that a novel disease-causing non-toxigenic O139 isolate also
occurs.
PMID- 26562422
TI - Decision Making About Treatment for Advanced Cancer-Reply.
PMID- 26562424
TI - Incorrect Author Academic Degree and Incomplete Name.
PMID- 26562423
TI - Association Between Obesity and Postmenopausal Breast Cancer Risk: Modification
by Hormone Therapy Use.
PMID- 26562425
TI - Association Between Obesity and Postmenopausal Breast Cancer Risk-Reply.
PMID- 26562426
TI - Misspelled Author Name.
PMID- 26562427
TI - Addition to Reference List.
PMID- 26562428
TI - Error in Byline.
PMID- 26562429
TI - An Agent-Based Model of Private Woodland Owner Management Behavior Using Social
Interactions, Information Flow, and Peer-To-Peer Networks.
AB - Privately owned woodlands are an important source of timber and ecosystem
services in North America and worldwide. Impacts of management on these
ecosystems and timber supply from these woodlands are difficult to estimate
because complex behavioral theory informs the owner's management decisions. The
decision-making environment consists of exogenous market factors, internal
cognitive processes, and social interactions with fellow landowners, foresters,
and other rural community members. This study seeks to understand how social
interactions, information flow, and peer-to-peer networks influence timber
harvesting behavior using an agent-based model. This theoretical model includes
forested polygons in various states of 'harvest readiness' and three types of
agents: forest landowners, foresters, and peer leaders (individuals trained in
conservation who use peer-to-peer networking). Agent rules, interactions, and
characteristics were parameterized with values from existing literature and an
empirical survey of forest landowner attitudes, intentions, and demographics. The
model demonstrates that as trust in foresters and peer leaders increases, the
percentage of the forest that is harvested sustainably increases. Furthermore,
peer leaders can serve to increase landowner trust in foresters. Model output and
equations will inform forest policy and extension/outreach efforts. The model
also serves as an important testing ground for new theories of landowner decision
making and behavior.
PMID- 26562430
TI - From System-Wide Differential Gene Expression to Perturbed Regulatory Factors: A
Combinatorial Approach.
AB - High-throughput experiments such as microarrays and deep sequencing provide large
scale information on the pattern of gene expression, which undergoes extensive
remodeling as the cell dynamically responds to varying environmental cues or has
its function disrupted under pathological conditions. An important initial step
in the systematic analysis and interpretation of genome-scale expression
alteration involves identification of a set of perturbed transcriptional
regulators whose differential activity can provide a proximate hypothesis to
account for these transcriptomic changes. In the present work, we propose an
unbiased and logically natural approach to transcription factor enrichment. It
involves overlaying a list of experimentally determined differentially expressed
genes on a background regulatory network coming from e.g. literature curation or
computational motif scanning, and identifying that subset of regulators whose
aggregated target set best discriminates between the altered and the unaffected
genes. In other words, our methodology entails testing of all possible regulatory
subnetworks, rather than just the target sets of individual regulators as is
followed in most standard approaches. We have proposed an iterative search method
to efficiently find such a combination, and benchmarked it on E. coli microarray
and regulatory network data available in the public domain. Comparative analysis
carried out on artificially generated differential expression profiles, as well
as empirical factor overexpression data for M. tuberculosis, shows that our
methodology provides marked improvement in accuracy of regulatory inference
relative to the standard method that involves evaluating factor enrichment in an
individual manner.
PMID- 26562432
TI - Pioglitazone significantly prevented decreased rate of neural differentiation of
mouse embryonic stem cells which was reduced by Pex11beta knock-down.
AB - Peroxisomes constitute special cellular organelles which display a variety of
metabolic functions including fatty acid oxidation and free radical elimination.
Abundance of these flexible organelles varies in response to different
environmental stimuli. It has been demonstrated that PEX11beta, a peroxisomal
membrane elongation factor, is involved in the regulation of size, shape and
number of peroxisomes. To investigate the role of PEX11beta in neural
differentiation of mouse embryonic stem cells (mESCs), we generated a stably
transduced mESCs line that derives the expression of a short hairpin RNA against
Pex11beta gene following doxycycline (Dox) induction. Knock-down of Pex11beta,
during neural differentiation, significantly reduced the expression of neural
progenitor cells and mature neuronal markers (p<0.05) indicating that decreased
expression of PEX11beta suppresses neuronal maturation. Additionally, mRNA levels
of other peroxisome-related genes such as PMP70, Pex11alpha, Catalase, Pex19 and
Pex5 were also significantly reduced by Pex11beta knock-down (p<0.05).
Interestingly, pretreatment of transduced mESCs with peroxisome proliferator
activated receptor gamma agonist (pioglitazone (Pio)) ameliorated the inhibitory
effects of Pex11beta knock down on neural differentiation. Pio also significantly
(p<0.05) increased the expression of neural progenitor and mature neuronal
markers besides the expression of peroxisomal genes in transduced mESC. Results
elucidated the importance of Pex11beta expression in neural differentiation of
mESCs, thereby highlighting the essential role of peroxisomes in mammalian neural
differentiation. The observation that Pio recovered peroxisomal function and
improved neural differentiation of Pex11beta knocked-down mESCs, proposes a
potential new pharmacological implication of Pio for neurogenesis in patients
with peroxisomal defects.
PMID- 26562433
TI - Proteomic analysis of cortical brain tissue from the BTBR mouse model of autism:
Evidence for changes in STOP and myelin-related proteins.
AB - Autism is a neurodevelopmental disorder characterized by abnormal reciprocal
social interactions, communication deficits, and repetitive behaviors with
restricted interests. However, the widely accepted biomarkers for autism are
still lacking. In this study, we carried out a quantitative proteomic profiling
study of cortical brain tissue from BTBR T(+)Itpr3(tf) (BTBR) mice, a mouse model
that displays an autism-like phenotype. Using isobaric tag for relative and
absolute quantification (iTRAQ) coupled with LC-MS/MS, a total of 3611 proteins
were quantitated in mouse cortices. As compared to C57BL/6J (B6) mice, 126
differentially expressed proteins were found in the brain from BTBR mice. The
functional annotation and categories of differentially expressed proteins were
analyzed. Especially, the stable tubule only polypeptide (STOP) protein and
myelin-related proteins down-regulated significantly in BTBR mice were confirmed
by Western blotting. Furthermore, the BTBR mice displayed reduced levels of
staining with ferric alum in comparison to B6 controls, indicative of myelin
disruption. Finally, we propose that reduced STOP expression in the brain could
be involved in the mediation of autism-like behaviors through impairments of
myelination in oligodendrocytes and synaptic function in neurons. Manipulation of
STOP protein could be a promising avenue for therapeutic interventions to autism.
PMID- 26562431
TI - Using mice to model Obsessive Compulsive Disorder: From genes to circuits.
AB - Obsessive Compulsive Disorder (OCD) is a severe, chronic, and highly prevalent
psychiatric disorder that affects between 1.5% and 3% of people worldwide.
Despite its severity, high prevalence, and clear societal cost, current OCD
therapies are only partially effective. In order to ultimately develop improved
treatments for this severe mental illness, we need further research to gain an
improved understanding of the pathophysiology that underlies obsessions and
compulsions. Though studies in OCD patients can provide some insight into the
disease process, studies in humans are inherently limited in their ability to
dissect pathologic processes because of their non-invasive nature. The recent
development of strategies for genetic and circuit-specific manipulation in rodent
models finally allows us to identify the molecular, cellular, and circuit events
that lead to abnormal repetitive behaviors and affect dysregulation relevant to
OCD. This review will highlight recent studies in mouse model systems that have
used transgenic and optogenetic tools in combination with classic pharmacology
and behavioral techniques to advance our understanding of these pathologic
processes.
PMID- 26562434
TI - HIV Incidence Prior to, during, and after Violent Conflict in 36 Sub-Saharan
African Nations, 1990-2012: An Ecological Study.
AB - OBJECTIVES: The aim of this study was to determine the association between
violent conflict and HIV incidence within and across 36 sub-Saharan Africa
countries between 1990 and 2012. METHODS: We used generalized linear mixed effect
modeling to estimate the effect of conflict periods on country-level HIV
incidence. We specified random intercepts and slopes to account for across and
within country variation over time. We also conducted a sub-analysis of countries
who experienced conflict to assess the effect of conflict intensity on country
level HIV incidence. All models controlled for level of economic development,
number of refugees present in the country, and year. RESULTS: We found that,
compared to times of peace, the HIV incidence rate increased by 2.1 per 1000
infections per year (95%CI: 0.39, 3.87) in the 5 years prior to conflict.
Additionally, we found a decrease of 0.7 new infections per 1000 people per year
(95%CI: -1.44, -0.01) in conflicts with 25 to 1000 battle-related deaths and a
decrease of 1.5 new infections per 1000 people per year (95%CI:-2.50, -0.52) for
conflict with more than 1000 battle-related deaths, compared to conflicts with
less than 25 battle-related deaths. CONCLUSIONS: Our results demonstrate that HIV
infection rates increase in the years immediately prior to times of conflict;
however, we did not identify a significant increase during and immediately
following periods of violent conflict. Further investigation, including more
rigorous data collection, is needed, as is increased aid to nations at risk of
violent conflict to help in the fight against HIV/AIDS in sub-Saharan Africa.
PMID- 26562435
TI - Leptospira and Bats: Story of an Emerging Friendship.
PMID- 26562437
TI - Autophagy activation attenuates angiotensin II-induced cardiac fibrosis.
AB - Autophagy has been involved in numerous diseases processes. However, little is
known about the role of autophagy in cardiac fibrosis. Thus, whether or not
angiotensin II (Ang II)-induced autophagy has a regulatory function on cardiac
fibrosis was detected in vitro and in vivo. In rat cardiac fibroblasts (CFs)
stimulated with Ang II, activated autophagy was observed using transmission
electron microscopic analysis (TEM), immunofluorescence and Western blot. In Ang
II-infused mice, increased co-localization of LC3 puncta with vimentin was
observed. In rat CFs, co-treated with rapamycin (Rapa), an autophagy inducer, Ang
II-induced the upregulation of type I collagen (Col-I), fibronectin (FN) was
decreased. Conversely, inhibition of autophagy by chloroquine (CQ), an autophagy
inhibitor, or knockdown of ATG5, a key component of the autophagy pathway by
specific siRNA, aggravated Ang II-mediated the accumulation of Col-I and FN.
Furthermore, in C57 BL/6 mice with Ang II infusion, intraperitoneal
administration of Rapa ameliorated Ang II-induced cardiac fibrosis and cardiac
dysfunction, while CQ treatment not only exacerbated Ang II-mediated cardiac
fibrosis and cardiac dysfunction, but also impaired cardiac function. These
findings suggest that autophagy may exert a protective role to attenuate excess
extracellular matrix (ECM) accumulation in the heart.
PMID- 26562436
TI - Efficient Identification of HIV Serodiscordant Couples by Existing HIV Testing
Programs in South Brazil.
AB - OBJECTIVE: To examine the feasibility of identifying HIV negative at risk
individuals in HIV serodiscordant couples, during voluntary HIV testing in South
Brazil. METHODS: We surveyed HIV testers at 4 public testing sites in Rio Grande
do Sul. We obtained information on risk behaviors and sexual partnerships. HIV
testing and testing for recent infection were performed; HIV prevalence and risk
behaviors were assessed among subjects who reported having a steady partner who
was HIV positive (serodiscordant group) and compared with the general testing
population. RESULTS: Among 3100 patients, 490 (15.8%) reported being in a steady
relationship with an HIV positive partner. New HIV infections were diagnosed in
23% of the serodiscordant group (vs. 13% in the general population, p = 0.01);
among newly positive subjects, recent HIV infections were more frequent (23/86,
26.7%) among testers with positive partners than among the general testing group
(52/334; 15.6%; p = 0.016). Less than half of the serodiscordant testers reported
having used a condom during the last sexual intercourse with their HIV-positive
partner. Participants with inconsistent condom use with steady partner were four
times more likely to test positive for HIV compared to those who reported always
using condoms with the steady partner (OR: 4.2; 95% CI: 2.3 to 7.5). CONCLUSION:
It is highly feasible to identify large numbers of HIV susceptible individuals
who are in HIV serodiscordant relationships in South Brazil testing sites. Condom
use within HIV serodiscordant couples is low in this setting, suggesting urgent
need for biomedical prevention strategies to reduce HIV transmission.
PMID- 26562438
TI - Deletion of Dual Specificity Phosphatase 1 Does Not Predispose Mice to Increased
Spontaneous Osteoarthritis.
AB - BACKGROUND: Osteoarthritis (OA) is a degenerative joint disease with poorly
understood etiology and pathobiology. Mitogen activated protein kinases (MAPKs)
including ERK and p38 play important roles in the mediation of downstream
pathways involved in cartilage degenerative processes. Dual specificity
phosphatase 1 (DUSP1) dephosphorylates the threonine/serine and tyrosine sites on
ERK and p38, causing deactivation of downstream signalling. In this study we
examined the role of DUSP1 in spontaneous OA development at 21 months of age
using a genetically modified mouse model deficient in Dusp1 (DUSP1 knockout
mouse). RESULTS: Utilizing histochemical stains of paraffin embedded knee joint
sections in DUSP1 knockout and wild type female and male mice, we showed similar
structural progression of cartilage degeneration associated with OA at 21 months
of age. A semi-quantitative cartilage degeneration scoring system also
demonstrated similar scores in the various aspects of the knee joint articular
cartilage in DUSP1 knockout and control mice. Examination of overall articular
cartilage thickness in the knee joint demonstrated similar results between DUSP1
knockout and wild type mice. Immunostaining for cartilage neoepitopes DIPEN, TEGE
and C1,2C was similar in the cartilage lesion sites and chondrocyte pericellular
matrix of both experimental groups. Likewise, immunostaining for phosphoERK and
MMP13 showed similar intensity and localization between groups. SOX9
immunostaining demonstrated a decreased number of positive cells in DUSP1
knockout mice, with correspondingly decreased staining intensity. Analysis of
animal walking patterns (gait) did not show a discernable difference between
groups. CONCLUSION: Loss of DUSP1 does not cause changes in cartilage
degeneration and gait in a mouse model of spontaneous OA at 21 months of age.
Altered staining was observed in SOX9 immunostaining which may prove promising
for future studies examining the role of DUSPs in cartilage and OA, as well as
models of post-traumatic OA.
PMID- 26562439
TI - Exploring the evolutionary rate differences between human disease and non-disease
genes.
AB - Comparisons of evolutionary features between human disease and non-disease genes
have a wide implication to understand the genetic basis of human disease genes.
However, it has not yet been resolved whether disease genes evolve at slower or
faster rate than the non-disease genes. To resolve this controversy, here we
integrated human disease genes from several databases and compared their protein
evolutionary rates with non-disease genes in both housekeeping and tissue
specific group. We noticed that in tissue specific group, disease genes evolve
significantly at a slower rate than non-disease genes. However, we found no
significant difference in evolutionary rates between disease and non-disease
genes in housekeeping group. Tissue specific disease genes have a higher protein
complex number, elevated gene expression level and are also associated with
conserve biological processes. Finally, our regression analysis suggested that
protein complex number followed by protein multifunctionality independently
modulates the evolutionary rate of human disease genes.
PMID- 26562442
TI - Global Mittag-Leffler synchronization of fractional-order neural networks with
discontinuous activations.
AB - This paper is concerned with the global Mittag-Leffler synchronization for a
class of fractional-order neural networks with discontinuous activations
(FNNDAs). We give the concept of Filippov solution for FNNDAs in the sense of
Caputo's fractional derivation. By using a singular Gronwall inequality and the
properties of fractional calculus, the existence of global solution under the
framework of Filippov for FNNDAs is proved. Based on the nonsmooth analysis and
control theory, some sufficient criteria for the global Mittag-Leffler
synchronization of FNNDAs are derived by designing a suitable controller. The
proposed results enrich and enhance the previous reports. Finally, one numerical
example is given to demonstrate the effectiveness of the theoretical results.
PMID- 26562440
TI - Gene expression profiling in stroke: relevance of blood-brain interaction.
AB - Biomarker profiling is utilized to identify diagnostic and prognostic candidates
for stroke. Clinical and preclinical biomarker data suggest altered circulating
immune responses may illuminate the mechanisms of stroke recovery. However, the
relationship between peripheral blood biomarker profile(s) and brain profiles
following stroke remains elusive. Data show that neutrophil lymphocyte ratio
(NLR) predicts stroke outcome. Neutrophils release Arginase 1 (ARG1) resulting in
T lymphocyte suppression in peripheral blood. Interestingly, the cellular
response to stroke may have implications for known biomarker profiles.
Conversely, preclinical evidence suggests that upregulation of ARG1 in microglia
is a marker of M2 macrophages and may influence neuroprotection. Comparing
clinical and preclinical studies creates opportunities to explore the molecular
mechanisms of blood and brain biomarker interactions in stroke.
PMID- 26562443
TI - GABAergic Neurotransmission in the Premammillary Nucleus of the Turkey
Hypothalamus Regulates Reproductive Seasonality and the Onset of
Photorefractoriness.
AB - BACKGROUND/AIMS: Photoperiod is a major environmental cue in temperate-zone birds
which synchronizes breeding with the time of year that offers the optimal
environment for offspring survival. Despite continued long photoperiods, these
birds eventually become refractory to the stimulating photoperiod and their
reproductive systems regress. In this study, we characterized the role of gamma
aminobutyric acid (GABA)ergic neurotransmission in modulating the response of the
premammillary nucleus (PMM) to a gonad stimulatory photoperiod and the onset of
photorefractoriness. METHODS AND RESULTS: Bilateral ablation of the PMM blocked
the light-induced neuroendocrine response from occurring in photosensitive
turkeys. Microarray analyses revealed an increase in GABAergic activity in the
PMM of photorefractory birds as opposed to photosensitive ones, and this enhanced
GABAergic activity appeared to inhibit the photoperiodic signal. Additionally,
GABAA and GABAB receptors were expressed by dopamine-melatonin neurons in the
PMM, and the administration of the GABA receptor agonist baclofen blocked the
photoperiodic reproductive neuroendocrine responses. CONCLUSIONS: Consistent with
the present findings, we propose that the long-sought-after mechanism underlying
photorefractoriness is linked to the inhibitory actions of GABA. We suggest that
(1) GABAergic interference with photoperiodic entrainment in the PMM initiates
the photorefractory state and terminates the annual breeding season in temperate
zone birds, and (2) the PMM is a site of photoreception and photorefractoriness
that controls the initiation and termination of avian reproductive seasonality.
PMID- 26562444
TI - Droplet evaporation on a horizontal substrate under gravity field by mesoscopic
modeling.
AB - The evaporation of water drop deposited on a horizontal substrate is investigated
using a lattice Boltzmann method (LBM) for multiphase flows with a large-density
ratio. To account for the variation of evaporation flux distribution along the
drop interface, a novel evaporation scheme is introduced into the LBM framework,
and validated by comparison with experimental data. We aim at discovering the
effect of gravity on the evaporating drop in detail, and various evaporation
conditions are considered as well as different wetting properties of the
substrates. An effective diameter is introduced as an indicator of the critical
drop size under which gravity is negligible. Our results show that such critical
diameter is much smaller than the capillary length, which has been widely
accepted as the critical size in previous and current works. The critical
diameter is found to be almost independent of the evaporation conditions and the
surface wettability. A correlation between this critical diameter and the
capillary length is also proposed for easy use in applications.
PMID- 26562445
TI - Release of neuropeptides from a neuro-cutaneous co-culture model: A novel in
vitro model for studying sensory effects of ciguatoxins.
AB - Ciguatoxins are the major toxins responsible for ciguatera fish poisoning, a
disease dominated by muco-cutaneous sensory disorders including paresthesiae,
cold dysesthesia and pruritus. While the ciguatoxins are well known to target
voltage-gated sodium channels (VGSCs), the ensuing molecular mechanisms
underlying these sensory disorders remain poorly understood. In this study, we
propose a primary sensory neuron-keratinocyte co-culture as an appropriate model
to study the neuro-cutaneous effects of ciguatoxins. Using this model, we show
for the first time that nanomolar concentrations of Pacific ciguatoxin-2 (P-CTX
2) induced a VGSC-dependent release of substance P (SP) and calcitonin gene
related peptide (CGRP). As these neuropeptides are known mediators of pain and
itch sensations, the ciguatoxin-induced sensory disturbances in ciguatera fish
poisoning may involve the release of these neuropeptides. We further determined
time- and P-CTX-2 concentration-dependence of the release of SP and CGRP from the
co-culture model. Moreover, we highlighted the influence of extracellular calcium
on the release of neuropeptides elicited by P-CTX-2. These findings underline the
usefulness of this novel in vitro model for studying the cellular and molecular
mechanisms of the neuro-cutaneous effects of ciguatoxins, which may assist with
identifying potential therapeutics for ciguatera fish poisoning.
PMID- 26562446
TI - Enhancement of yellow pigment production by intraspecific protoplast fusion of
Monascus spp. yellow mutant (ade(-)) and white mutant (prototroph).
AB - To breed industrially useful strains of a slow-growing, yellow pigment producing
strain of Monascus sp., protoplasts of Monascus purpureus yellow mutant (ade(-))
and rapid-growing M. purpureus white mutant (prototroph) were fused and fusants
were selected on minimal medium (MM). Preliminary conventional protoplast fusion
of the two strains was performed and the result showed that only white colonies
were detected on MM. It was not able to differentiate the fusants from the white
parental prototroph. To solve this problem, the white parental prototroph was
thus pretreated with 20mM iodoacetamide (IOA) for cytoplasm inactivation and
subsequently taken into protoplast fusion with slow-growing Monascus yellow
mutant. Under this development technique, only the fusants, with viable cytoplasm
from Monascus yellow mutant (ade(-)), could thus grow on MM, whereas neither IOA
pretreated white parental prototroph nor yellow auxotroph (ade(-)) could survive.
Fifty-three fusants isolated from yellow colonies obtained through this developed
technique were subsequently inoculated on complete medium (MY agar). Fifteen
distinguished yellow colonies from their parental yellow mutant were then
selected for biochemical, morphological and fermentative properties in cassava
starch and soybean flour (SS) broth. Finally, three most stable fusants (F7, F10
and F43) were then selected and compared in rice solid culture. Enhancement of
yellow pigment production over the parental yellow auxotroph was found in F7 and
F10, while enhanced glucoamylase activity was found in F43. The formation of
fusants was further confirmed by monacolin K content, which was intermediate
between the two parents (monacolin K-producing yellow auxotroph and non-monacolin
K producing white prototroph).
PMID- 26562447
TI - Measurements of radiation exposure of dentistry students during their
radiological training using thermoluminescent dosimetry.
AB - Exposure among dentistry students has not been assessed or regulated in Mexico.
This work assessed the average exposure of 35 dentistry students during their
training with the aid of LiF:Mg,Cu,P+PTFE thermoluminescent dosimeters. For the
students in the roles of dentist and observers, maximum accumulated equivalent
dose obtained was 2.59+/-0.11 and 4.64+/-0.39 mSv, respectively. Students in the
role as patients received a maximum accumulated effective dose of 28.41+/-0.31
mSv. If compared to occupational dose limits, this latter value is 56% of the
recommended value of 50 mSv in any year. It was found that in all cases, values
of equivalent dose to the women breasts were equal to the background dose.
Results are discussed and compared to previous published work. Suggested
recommendations were given to authorities in order to minimize exposure of the
students in the role as patients.
PMID- 26562448
TI - Neutron energy spectrum adjustment using deposited metal films on Teflon in the
miniature neutron source reactor.
AB - The focus of this article was on the experimental estimation of the neutron
energy spectrum in the inner irradiation site of the miniature neutron source
reactor (MNSR), using, for the first time, a selected set of deposited metal
films on Teflon (DMFTs) neutron detectors. Gold, copper, zinc, titanium,
aluminum, nickel, silver, and chromium were selected because of the dependence of
their neutron cross-sections on neutron energy. Emphasis was placed on the
usability of this new type of neutron detectors in the total neutron energy
spectrum adjustment. The measured saturation activities per target nucleus values
of the DMFTs, and the calculated neutron spectrum in the inner irradiation site
using the MCNP-4C code were used as an input for the STAY'SL computer code during
the adjustment procedure. The agreement between the numerically calculated and
experimentally adjusted spectra results was discussed.
PMID- 26562449
TI - Preliminary study of the applicability of the thin gap method on alpha emitters.
AB - The thin gap method as an in-situ radiotracer technique is widely used. This
study investigated the applicability of alpha emitters. PIPS and CsI alpha
spectrometers were applied in a thin gap cell. A suitable (210)Po source was
prepared by spontaneous deposition, Mylar foil was used to simulate water. A
maximum intensity decrement of 7% within 25 MUm was observed. Even though this
method is suitable for the study of surface phenomena, further investigation is
necessary e.g. into water and heat sensitivity.
PMID- 26562450
TI - An analytical approach of thermodynamic behavior in a gas target system on a
medical cyclotron.
AB - An analytical model has been developed to study the thermo-mechanical behavior of
gas targets used to produce medical isotopes, assuming that the system reaches
steady-state. It is based on an integral analysis of the mass and energy balance
of the gas-target system, the ideal gas law, and the deformation of the foil. The
heat transfer coefficients for different target bodies and gases have been
calculated. Excellent agreement is observed between experiments performed at
TRIUMF's 13 MeV cyclotron and the model.
PMID- 26562451
TI - Trophic status and meiofauna biodiversity in the Northern Adriatic Sea: Insights
for the assessment of good environmental status.
AB - The Descriptor 5 (Eutrophication) of the EU Marine Strategy Framework Directive
aims at preventing the negative effects of eutrophication. However, in coastal
systems all indicators based on water column parameters fail in identifying the
trophic status and its effects on biodiversity and ecosystem functioning. We
investigated benthic trophic status, in terms of sedimentary organic matter
quantity, composition and quality, along with meiofaunal abundance, richness of
taxa and community composition in three coastal sites (N Adriatic Sea) affected
by different levels of anthropogenic stressors. We show that, on the basis of
organic matter quantity and composition, the investigated areas can be classified
from oligo-to mesotrophic, whereas using meiofauna as a descriptor, their
environmental quality ranged from sufficient to moderately impacted. Our results
show that the benthic trophic status based on organic matter variables, is not
sufficient to provide a sound assessment of the environmental quality in marine
coastal ecosystems. However, data reported here indicate that the integration of
the meiofaunal variable allows providing robust assessments of the marine
environmental status.
PMID- 26562453
TI - AMSSM Scientific Statement Concerning Viscosupplementation Injections for Knee
Osteoarthritis: Importance for Individual Patient Outcomes.
AB - OBJECTIVE: Osteoarthritis (OA) is a disabling disease that produces severe
morbidity reducing physical activity. Our position statement on treatment of knee
OA with viscosupplementation injection [hyaluronic acid (HA)] versus steroid
[intra-articular corticosteroid (IAS)] and placebo [intra-articular placebo
(IAP)] is based on the evaluation of treatment effect by examining the number of
subjects within a treatment arm that met the Outcome Measures in Rheumatoid
Arthritis Clinical Trials-Osteoarthritis Research Society International (OMERACT
OARSI) criteria, which is different and more relevant than methods used in other
reviews which examined if the average change across the treatment groups was
clinically different. DATA SOURCES: We performed a systematic literature search
for all relevant articles from 1960 to August 2014 in the MEDLINE, EMBASE, and
Cochrane CENTRAL. We performed a network meta-analysis (NMA) of the relevant
literature to determine if there is a benefit from HA as compared with IAS and
IAP. MAIN RESULTS: Eleven articles met the inclusion criteria from the search
strategy. On NMA, those subjects receiving HA were 15% and 11% more likely to
respond to treatment by the OMERACT-OARSI criteria than those receiving IAS or
IAP, respectively (P < 0.05 for both). CONCLUSIONS: In light of the
aforementioned results of our NMA, the American Medical Society for Sport
Medicine recommends the use of HA for the appropriate patients with knee OA.
PMID- 26562454
TI - Global routine vaccination coverage, 2014.
AB - The year 2014 marked the 40th anniversary of the World Health Organization's
(WHO) Expanded Program on Immunization, which was established to ensure equitable
access to routine immunization services (1). Since 1974, global coverage with the
four core vaccines (Bacille Calmette- Guerin vaccine [BCG; for protection against
tuberculosis], diphtheria-tetanus-pertussis [DTP] vaccine, poliovirus vaccine,
and measles vaccine) has increased from <5% to >=85%, and additional vaccines
have been added to the recommended schedule. Coverage with the 3rd dose of DTP
vaccine (DTP3) by age 12 months is an indicator of immunization program
performance because it reflects completion of the basic infant immunization
schedule; coverage with other vaccines, including the 3rd dose of poliovirus
vaccine (polio3); the 1st dose of measles-containing vaccine (MCV1) is also
assessed. Estimated global DTP3 coverage has remained at 84%-86% since 2009, with
estimated 2014 coverage at 86%. Estimated global coverage for the 2nd routine
dose of measles-containing vaccine (MCV2) was 38% by age 24 months and 56% when
older age groups were included, similar to levels reported in 2013 (36% and 55%,
respectively). To reach and sustain high immunization coverage in all countries,
adequate vaccine stock management and additional opportunities for immunization,
such as through routine visits in the second year of life, are integral
components to strengthening immunization programs and reducing morbidity and
mortality from vaccine preventable diseases.
PMID- 26562455
TI - Development and Psychometric Testing of the Turkish-Version Oral Chemotherapy
Adherence Scale.
AB - BACKGROUND: To ensure the quality of patient care, the bioavailability of drugs,
and the success of the treatment, it is imperative that nurses evaluate the
adherence of patients to pharmaceutical treatments using standard measurement
tools that are integrated into the treatment process. No scale that uses
psychometric analyses to evaluate this adherence in patients who are on oral
chemotherapy is currently available. PURPOSE: This study developed and tested the
validity and reliability of a Turkish version of the standardized Oral
Chemotherapy AdherenceScale (OCAS), a tool that may be used by healthcare
personnel to better evaluate patient adherence to their therapies. METHODS: We
developed and examined the validity and reliability of the OCAS using a sample of
306 patients with cancer who were receiving oral chemotherapy. A literature
review was conducted to generate the items. An expert panel evaluated content
validity; preimplementation was used to evaluate face validity, factor analysis
was used to evaluate construct validity, and criterion validity was evaluated
using the Medication Adherence Self-Efficacy Scale. RESULTS: The Cronbach's alpha
calculated for OCAS (19 items) was .738. A highly significant and positive
correlation was observed between the test-retest scores of the participants. A
positive significant correlation was observed between the total scores of the
participants obtained from OCAS and Medication Adherence Self-Efficacy Scale. As
a result of the factor analysis performed for the construct validity of the
scale, three factors were defined that accounted for approximately 43% of the
total variance. CONCLUSIONS/IMPLICATIONS FOR PRACTICE: The OCAS has acceptable
psychometric properties and is appropriate for use in research and clinical
practice settings to evaluate patient adherence to their therapies.
PMID- 26562456
TI - Cultural Competence and Related Factors Among Taiwanese Nurses.
AB - BACKGROUND: Taiwan is a multicultural and multiethnic society with a growing
number of immigrants who have diverse ethnic, racial, and cultural needs.
Although this diversity highlights the pressing need for culturally competent
healthcare providers, cultural competence is a concept that is little understood
and implemented only sporadically in Taiwan. PURPOSE: This study investigates the
cultural competence of Taiwanese nurses and the related factors of influence.
METHODS: An online self-report survey was used to collect data from 221 Taiwanese
nurses from December 2012 through January 2013. Data from the demographic
questionnaire, the Nurses' Cultural Competence Scale, and the Perceived Nurses'
Cultural Competence Rating were analyzed using descriptive statistics, Pearson
correlation, independent sample t tests, and multiple regressions. RESULTS: The
cultural competence of the participants was in the "low to moderate" range, with
relatively higher mean scores for the subscales of cultural awareness and
cultural sensitivity and relatively lower scores for the subscales of cultural
knowledge and cultural skills. Participants generally perceived themselves as
being "not culturally competent." Variables found to predict cultural competence
included years of work experience, hours of continuing education related to
cultural nursing care, and frequency of caring for clients from culturally and
ethnically diverse backgrounds. CONCLUSIONS/IMPLICATIONS FOR PRACTICE:
Participating Taiwanese nurses rated their level of cultural competence as in the
low-to-moderate range and self-perceived as being not culturally competent. These
findings support the need to further expand and enhance cultural-competence
related continuing education and to address the topic of cultural care in the
nursing curricula.
PMID- 26562457
TI - Predictors of Sedentary Behavior in Elderly Koreans With Hypertension.
AB - BACKGROUND: The older adults are the most sedentary of all age groups. Sedentary
behavior is associated with the onset and exacerbation of hypertension and the
deterioration of health in hypertensive patients. PURPOSE: The aims of this study
were to examine the level of sedentary behavior and to identify the factors
predicting sedentary behavior in elderly Koreans with hypertension. METHODS: A
cross-sectional descriptive design was used. Three hundred six hypertensive
elderly subjects who visited three public health centers in Korea for regular
medical checkups completed self-report questionnaires on sedentary behavior,
physical activity, empowerment, perceived health, depression, self-efficacy for
physical activity, and social support for physical activity. RESULTS: The
participants spent an average of 8.59 hours a day in sedentary behavior. The
stepwise multiple regression analysis showed that sedentary behavior was
significantly predicted by empowerment level, perceived health, time since
diagnosis of hypertension, vigorous-intensity physical activity, and depression.
These variables explained 42.6% of the variance in sedentary behavior.
CONCLUSIONS/IMPLICATIONS FOR PRACTICE: To reduce sedentary behavior in this
population, future interventions should improve empowerment and perceived health,
increase the intensity of physical activity, and alleviate depression while
considering the duration of disease.
PMID- 26562458
TI - Predictors of Glycemic Control in Adolescents of Various Age Groups With Type 1
Diabetes.
AB - BACKGROUND: Understanding the predictors of glycemic control in adolescents of
various age groups with type 1 diabetes (T1D) is crucial for nurses to cultivate
developmental-specific interventions to improve glycemic control in this age
group. However, research has rarely addressed this issue, particularly in the
context of Asian populations. PURPOSE: We explored the predictive influence of
demographic characteristics, self-care behaviors, family conflict, and parental
involvement on glycosylated hemoglobin (HbA1C) levels 6 months after the baseline
measurement in adolescents of various age groups with T1D in Taiwan. METHODS: A
prospective survey design was applied. At baseline, adolescents with T1D
completed a self-care behavior scale. Parents or guardians finished scales of
parental involvement and family conflict. The HbA1C levels 6 months after
baseline measurement were collected from medical records. Two hundred ten
adolescent-parent/guardian pairs were enrolled as participants. Multiple stepwise
regressions examined the significant predictors of HbA1C levels 6 months after
the baseline measurement in the three adolescent age groups: 10-12, 13-15, and 16
18 years. RESULTS: Family conflict was a significant predictor of HbA1C level
within the 10-12 years of age group 6 months after the baseline measurement. Self
care behaviors were a significant predictor of HbA1C level within the 13-15 years
of age group 6 months after the baseline measurement. Being female and self-care
behaviors were each significant predictors of HbA1C level in the 16-18 years of
age group 6 months after the baseline measurement. CONCLUSIONS/IMPLICATIONS FOR
PRACTICE: Nurses should design specific interventions to improve glycemic control
in adolescents of various age groups with T1D that are tailored to their
developmental needs. For adolescents with T1D aged 10-12 years, nurses should
actively assess family conflict and provide necessary interventions. For
adolescents with T1D aged 13-18 years, nurses should exert special efforts to
improve their self-care behaviors. In addition, female adolescents aged 16-18
years should be considered an at-risk group.
PMID- 26562459
TI - Comparison of Pregnancy Stress Between In Vitro Fertilization/Embryo Transfer and
Spontaneous Pregnancy in Women During Early Pregnancy.
AB - BACKGROUND: Women who undergo in vitro fertilization/embryo transfer (IVF/ET)
face complicated psychological stress and negative emotions, which may affect
health during pregnancy and the development of the fetus. The current literature
does not address the question of whether women who become pregnant spontaneously
and women who undergo IVF face similar levels of pregnancy stress. PURPOSE: This
study investigates the differences in pregnancy stress between women with
spontaneous pregnancy and women with IVF/ET pregnancy living in central Taiwan
during their first 20 weeks of pregnancy. METHODS: A prospective, longitudinal
design with repeated measures, generalized estimated equations model, Wilks'
lambda, and Bonferroni test was used. Purposive samples of 163 women who had
undergone IVF/ET and of 94 women who had undergone spontaneous pregnancy were
enrolled as participants. Pregnancy stress was measured using the Chinese version
of the self-administered Pregnancy Stress Scale at the 9th, 12th, and 20th weeks
of pregnancy. RESULTS: The psychological stress experienced by IVF participants
significantly increased with gestational week during the first 20 weeks of
pregnancy (p < .01) but did not significantly increase in spontaneous-pregnancy
participants. Gestational week was the main factor found to influence stress
ratings for "identifying maternal role." "Altering body structure and body
function" was the main factor found to influence pregnancy stress (p < .00). The
method of becoming pregnant had no significant influence on pregnancy stress
during the first 20 weeks of pregnancy (p > .05). CONCLUSIONS/IMPLICATIONS FOR
PRACTICE: The results of this study provide clinical evidence that IVF/ET does
not cause more stress for women than spontaneous pregnancy. However, the
intensity and trend of stresses differed between these two groups. These findings
suggest that nurses should consider method of pregnancy when assessing the risk
of stress in expectant mothers for each gestational week and when providing
appropriate care and support.
PMID- 26562460
TI - The Meaning of Disease and Spiritual Responses to Stressors in Adults With Acute
Leukemia Undergoing Hematopoietic Stem Cell Transplantation.
AB - BACKGROUND: Some studies have shown that patients with cancer may experience
significant spiritual distress as well as spiritual growth, that there is a
positive association between spirituality and coping, and that positive religious
coping predicts enhanced health outcomes. PURPOSE: This study was designed to
help explain how the meaning of disease and spiritual responses to threatening
stressors influence the final experiential outcomes of adults with leukemia
undergoing hematopoietic stem cell transplantation in Iran. METHODS: This
grounded theory study conducted in-depth interviews between 2009 and 2011 on 10
adults in Iran with leukemia undergoing hematopoietic stem cell transplantation.
Recorded audio interviews were transcribed verbatim in Persian and coded and
analyzed using Corbin and Strauss (2008)'s approach. RESULTS: Main categories
that emerged from data included "experiencing the meaning of cancer"; "changing
perceptions of death, life and health"; and "moving toward perfection and
sublimity." "Finding meaning" was the main concept that defined the final outcome
of the experience of participants. CONCLUSIONS/IMPLICATIONS FOR PRACTICE:
Understanding the meaning to patients of disease and treatments may help
healthcare providers better appreciate the patients' perspective and improve the
physician-patient relationship. Nurses are well positioned to play a decisive
role in helping patients cope effectively with their treatment process and in
helping ensure positive outcomes for treatments through their helping patients
find the unique meaning of their experience.
PMID- 26562461
TI - The Stress of Caring for Children With Asthma: A Qualitative Study of Primary
Caregivers.
AB - BACKGROUND: Asthma is one of the most common chronic diseases in children. The
unpredictability, frequency, and health risks associated with acute asthma
attacks have a profound impact on the daily lives of affected children and their
families. Understanding the experiences of primary caregivers may help nurses
provide better care to children with asthma. PURPOSE: This study explores the
experience of primary caregivers in providing care to children with asthma.
METHODS: The study used exploratory and descriptive research methods and
collected data using a qualitative research interview approach. Seventeen primary
caregivers of children with asthma who visited the allergy clinics of two medical
centers and one regional hospital in northern Taiwan participated in the study.
An interview guide with a semistructured questionnaire was used, and verbatim
transcripts of the audiotape-recorded interviews were analyzed using content
analysis. RESULTS: The findings are described in three themes covering 10
categories. These themes and categories include feelings of uncertainty during
illness (disease as perception related, lack of information), feelings of chaos
and instability (worry, fear, frustration, helplessness, physical distress), and
social tension and family conflict (disorientation of daily activity and burden
of care, economic burden, family tensions and disagreements). CONCLUSIONS:
Negative experiences with asthma care and the unpredictability of the disease
outcomes impair the ability of caregivers to adapt successfully to their
caregiving role and encourage perceptions that they cannot cope with this
illness. Better understanding the caregiver experience may assist healthcare
providers to better target support to these caregivers so that they are better
able to care for children with asthma.
PMID- 26562462
TI - Perceptions of Pediatric Critical Care Nurses on the Initiation of a Nursing-Led
Feeding Protocol.
AB - BACKGROUND: Critically ill children frequently receive inadequate nutritional
support. Feeding protocols have been shown to facilitate optimal nutritional
care. PURPOSE: We aim to determine the perceptions of critical care nurses with
regard to the implementation of a feeding protocol as well as to their preferred
teaching methods before introducing this protocol in our pediatric intensive care
unit (PICU). We hypothesize that nursing experience and educational level are
factors that predict readiness to adopt this protocol. METHODS: All PICU nurses
were invited to participate in an online survey to investigate their perceptions
on protocol implementation and on preferred teaching methods. Statistical
analysis was performed using simple logistic regression and the Fisher exact
test. Statistical significance was taken as p < .05. RESULTS: Seventy-four nurses
completed the survey. Fifty-four (73%) had nursing degrees. Mean duration of PICU
experience was 6.2 years (5th, 95th percentile: 1, 15). Three quarters of
participants (74%, n = 55) felt that they did not have sufficient knowledge
regarding feeding protocols, and 86% (n = 64) expressed that they were keen to
implement a feeding protocol. There was no association between readiness to adopt
the feeding protocol with years of ICU experience (OR = 0.99, 95% CI [0.84,
1.18]) and educational level (OR = 1.43, 95% CI [0.31, 6.68]). The preferred
teaching methods were bedside teaching (61%), didactic lectures (51%), and the
distribution of protocol manuals (50%). PICU nurses felt that the advantages of a
feeding protocol included standardization of practice, optimization of patient's
nutritional intake, earlier initiation of feeding, increased patient safety, and
the extension of nursing roles. Perceived disadvantages included inapplicability
of the feeding protocol to all patients, lack of flexibility in feeding
management, increased confusion, and doctors placing little value on the feeding
protocol. CONCLUSIONS: This study found that nursing experience and level of
education do not significantly affect the readiness of nurses to adopt a feeding
protocol. Medical and nursing teams should not shy away from introducing a new
protocol although their nurses have little experience or prior knowledge of that
protocol. Future studies to investigate the impact of tailoring of educational
needs before introduction of a new protocol are necessary to study the overall
effectiveness of this teaching before introducing a new protocol in the ICU.
PMID- 26562463
TI - The Perspectives of Iranian Women on Delayed Childbearing: A Qualitative Study.
AB - BACKGROUND: Delayed childbearing is a growing trend in Iran. PURPOSE: This study
explores the perspectives of women on delayed childbearing. METHODS: A
qualitative study using a content analysis approach was conducted on data
acquired using private semistructured interviews with 23 women aged >=30 years
who were childless or pregnant for the first time in an urban area of Iran.
RESULTS: Three main themes were developed using conventional content analysis:
"personal inclination," "perceived beliefs about delayed childbearing," and
"social support." CONCLUSIONS: Although women in Iran hold favorable attitudes
toward childbearing, a wide range of sociocultural and economic factors encourage
these women to postpone their first pregnancy. The findings of this study are
relevant and important for nursing and midwifery policy making in countries with
cultural and contextual backgrounds similar to Iran.
PMID- 26562464
TI - Factors Associated With the Development of Delirium in Elderly Patients in
Intensive Care Units.
AB - BACKGROUND: High prevalence rates of delirium have been found in intensive care
units (ICUs), ranging from 20% to 80%. The development of delirium may prolong
length of stay, impair cognition, and result in placement in a nursing home for
the patient. PURPOSE: There is a lack of research focused on the aging
population, so the purpose of this study was to establish the incidence rate of
delirium among ICU elderly patients and to identify its risk factors. METHODS: An
observational design with repeated measures was used. Subjects older than 65
years who had been admitted to the ICU within 24 hours were recruited. The
Confusion Assessment Method for the Intensive Care Unit and Richmond Agitation
Sedation Scale were used twice a day to identify subjects experiencing delirium
by RA. The demographic data, history of illness, Acute Physiology and Chronic
Health Evaluation II scores, and laboratory data of the participants were
recorded. RESULTS: Ninety participants were included from a medical ICU. The
incidence rate of delirium was 75.6% (n = 68). Average age was 78.28 +/- 7.6
years; Acute Physiology and Chronic Health Evaluation II scores ranged from 7 to
35. Most participants were diagnosed with respiratory failure (73.3%), and 86.76%
of participants developed delirium within 24 hours. The most frequently
experienced type of delirium was the mixed subtype (47.05%); the second most
frequently experienced was hypoactive next. The risk factors were analyzed using
logistic regression. The number of anesthetic analgesics used, total number of
medications prescribed, duration of dehydration, use of corticosteroids before
admissions, and shock were identified and explained 31.3% of variance.
CONCLUSIONS/IMPLICATIONS FOR PRACTICE: Delirium is a severe problem among elderly
patients in the ICU. Healthcare professionals should pay more attention to
elderly patients at greater risk for experiencing delirium.
PMID- 26562466
TI - Covalent Immobilization of Caged Liquid Crystal Microdroplets on Surfaces.
AB - Microscale droplets of thermotropic liquid crystals (LCs) suspended in aqueous
media (e.g., LC-in-water emulsions) respond sensitively to the presence of
contaminating amphiphiles and, thus, provide promising platforms for the
development of new classes of droplet-based environmental sensors. Here, we
report polymer-based approaches to the immobilization of LC droplets on surfaces;
these approaches introduce several new properties and droplet behaviors and thus
also expand the potential utility of LC droplet-based sensors. Our approach
exploits the properties of microscale droplets of LCs contained within polymer
based microcapsule cages (so-called "caged" LCs). We demonstrate that caged LCs
functionalized with primary amine groups can be immobilized on model surfaces
through both weak/reversible ionic interactions and stronger reactive/covalent
interactions. We demonstrate using polarized light microscopy that caged LCs that
are covalently immobilized on surfaces can undergo rapid and diagnostic changes
in shape, rotational mobility, and optical appearance upon the addition of
amphiphiles to surrounding aqueous media, including many useful changes in these
features that cannot be attained using freely suspended or surface-adsorbed LC
droplets. Our results reveal these amphiphile-triggered orientational transitions
to be reversible and that arrays of immobilized caged LCs can be used (and
reused) to detect both increases and decreases in the concentrations of model
contaminants. Finally, we report changes in the shapes and optical appearances of
LC droplets that occur when immobilized caged LCs are removed from aqueous
environments and dried, and we demonstrate that dried arrays can be stored for
months without losing the ability to respond to the presence of analytes upon
rehydration. Our results address practical issues associated with the
preparation, characterization, storage, and point-of-use application of
conventional LC-in-water emulsions and provide a basis for approaches that could
enable the development of new "off-the-shelf" LC droplet-based sensing platforms.
PMID- 26562467
TI - Regioselective ortho Amination of Coordinated 2-(Arylazo)pyridine. Isolation of
Monoradical Palladium Complexes of a New Series of Azo-Aromatic Pincer Ligands.
AB - In an unusual reaction of [Pd(L(1))Cl2] (L(1) = 2-(arylazo)pyridine) with amines,
a new series of palladium complexes [Pd(L(2*-))Cl] (L(2) = 2-((2
amino)arylazo)pyridine) (1a-1h) were isolated. The complexes were formed via N-H
and N-C bond cleavage reactions of 1 degrees /2 degrees and 3 degrees amines,
respectively, followed by regioselective aromatic ortho-C-N bond formation
reaction and are associated with ortho-C-H/ortho-C-Cl bond activation. A large
variety of amines including both aromatic and aliphatic were found to be
effective in producing air-stable complexes. Identity of the resultant complexes
was confirmed by their X-ray structure determination. Efforts were also made to
understand the mechanism of the reaction. A series of experiments were performed,
which point toward initial ligand reduction followed by intraligand electron
transfer. Examination of the structural parameters of these complexes (1)
indicates that the in situ generated ligand coordinated to the Pd(II) center
serves as the backbone of these air-stable monoradical complexes. Molecular and
electronic structures of the isolated complexes were further scrutinized by
various spectroscopic techniques including cyclic voltammetry, variable
temperature magnetic susceptibility measurements, electron paramagnetic
resonance, and UV-vis spectroscopy. Finally the electronic structure was
confirmed by density functional theory calculations. The isolated monoradical
complexes adopt an unusual pi-stacked array, which leads to a relatively strong
antiferromagnetic interaction (J = -40 cm(-1) for the representative complex 1c).
PMID- 26562468
TI - Enhancing Cellular Uptake and Doxorubicin Delivery of Mesoporous Silica
Nanoparticles via Surface Functionalization: Effects of Serum.
AB - In this study, we demonstrate how functional groups on the surface of mesoporous
silica nanoparticles (MSNPs) can influence the encapsulation and release of the
anticancer drug doxorubicin, as well as cancer cell response in the absence or
presence of serum proteins. To this end, we synthesized four differently
functionalized MSNPs with amine, sulfonate, polyethylene glycol, or polyethylene
imine functional surface groups, as well as one type of antibody-conjugated MSNP
for specific cellular targeting, and we characterized these MSNPs regarding their
physicochemical properties, colloidal stability in physiological media, and
uptake and release of doxorubicin in vitro. Then, the MSNPs were investigated for
their cytotoxic potential on cancer cells. Cationic MSNPs could not be loaded
with doxorubicin and did therefore not show any cytotoxic and antiproliferative
potential on osteosarcoma cells, although they were efficiently taken up into the
cells in the presence or absence of serum. In contrast, substantial amounts of
doxorubicin were loaded into negatively charged and unfunctionalized MSNPs.
Especially, sulfonate-functionalized doxorubicin-loaded MSNPs were efficiently
taken up into the cells in the presence of serum and showed an accelerated toxic
and antiproliferative potential compared to unfunctionalized MSNPs, antibody
conjugated MSNPs, and even free doxorubicin. These findings stress the high
importance of the surface charge as well as of the protein corona for designing
and applying nanoparticles for targeted drug delivery.
PMID- 26562469
TI - Immunologic advances reveal new targets in psoriasis and psoriatic arthritis.
AB - Psoriatic arthritis (PsA) is a chronic inflammatory joint disorder with
heterogeneous clinical features that may include plaque psoriasis, joint
inflammation, enthesitis, dactylitis, and abnormal bone turn over. This disease
is common, affecting up to 0.5% of the population with equal male and female
prevalence. Until recently, few treatment options were available for PsA and
patients suffered immense physical and social burden. Traditional disease
modifying agents show limited efficacy in the treatment of PsA. Anti-tumor
necrosis factor (TNF) drugs are effective for all the manifestations, yet recent
studies show that up to 50% of patients either do not tolerate these medications
or do not maintain a clinical response. The evolution in the treatment of PsA
emerged from improved understanding of the pathophysiology of the disease with
Th1 and Th17 cells taking center stage. Targeting TNF along with cytokines in the
IL-23/TH17 pathway (IL-23, IL-17, and IL-22) holds great promise for improved
treatment outcomes in PsA.
PMID- 26562471
TI - CD19 chimeric antigen receptor T cell therapy for the treatment of B cell lineage
acute lymphoblastic leukemia.
AB - Relapsed and refractory acute lymphoblastic leukemia (ALL) remains difficult to
treat, with minimal improvement in outcomes despite advances in upfront therapy
and improved survival for de novo ALL. Targeted immunotherapy for cancer
represents a promising new treatment and utilizing the immune system to target
and eradicate malignant cells in the body has emerged as a potent therapy.
Administration of cytotoxic T cells genetically engineered to express a chimeric
antigen receptor (CAR) recognizing CD19 have been shown to induce complete
responses in patients with B-cell lineage ALL. So far, six clinical trials
including 79 ALL patients treated with CD19-CAR T cells have been published, and
the results from these trials are exciting with impressive clinical responses.
Thus, CAR T cell therapy represents a potential useful tool to ALL. However, the
majority of CAR cell studies have observed severe therapy associated toxicities,
which needs attention. In this review, we mainly focus on CD19-CAR T cells,
clinical trials for ALL as well as toxicities and challenges for CD19-CAR T
therapy.
PMID- 26562470
TI - Discovery of the drivers of inflammation induced chronic low back pain: from
bacteria to diabetes.
AB - The intervertebral disc is a unique avascular organ that supports axial skeleton
flexion and rotation. The high proteoglycan content of the nucleus pulposus
tissue, present at the center of the disc, is pivotal for its mechanical
function, distribution of compressive loads. Chronic low back pain, a prevalent
and costly condition, is strongly associated with disc degeneration. Degenerated
discs exhibit high levels of inflammatory cytokines, matrix catabolizing enzymes,
and an overall reduction in proteoglycan content. Although the cytokine profile
of diseased discs has been widely studied, little is known of what initiates and
drives inflammation and subsequent low back pain. Recent studies have shown that
anaerobic bacteria are present in a high percentage of painful, herniated discs
and long-term treatment with antibiotics resolves symptoms associated with
chronic low back pain. It is thought that these anaerobic bacteria in the disc
may stimulate inflammation through toll-like receptors to further exacerbate disc
degeneration. Despite the promise and novelty of this theory, there are other
possible inflammatory mediators that need careful consideration. The metabolic
environment associated with diabetes and atypical matrix degradation products
also have the ability to activate many of the same inflammatory pathways as seen
during microbial infection. It is therefore imperative that the research
community must investigate the contribution of all possible drivers of
inflammation to address the wide spread problem of discogenic chronic low back
pain.
PMID- 26562473
TI - Sonodynamic treatment as an innovative bimodal anticancer approach: shock wave
mediated tumor growth inhibition in a syngeneic breast cancer model.
AB - Despite the great advances in fighting cancer, many therapies still have serious
side effects, thus urging the development of highly selective and safe treatments
with a wide range of applicability. Sonodynamic therapy (SDT) is an innovative
bimodal anticancer approach in which two normally non-toxic components -- one
chemical, a sonosensitizer, and one physical, ultrasound -- selectively combine
to cause oxidative damage and subsequent cancer cell death. In this study, we
investigate the anticancer effect of SDT using shock waves (SWs) to activate
protoporphyrin IX (PpIX) cytotoxicity on a Mat B-III syngeneic rat breast cancer
model. The SDT-treated group saw a significant decrease (p<0.001) in magnetic
resonance imaging (MRI) tumor size measurements 72 hours after treatment with
PpIX precursor 5-aminolevulinic acid (ALA) and SWs. This occurred together with
significant increase (p<0.01) in apparent diffusion coefficients between pre- and
post-treatment MR tumor maps and strong increase in necrotic and apoptotic
histological features 72 hours post-treatment. Moreover, significant HIF1A mRNA
expression up-regulation was observed along with the prominent selective cleavage
of poly (ADP-ribose) polymerase (PARP) and increased autophagy related protein
LC3A/B expression in SDT-treated tumors, as compared to untreated tumors 72 hours
post-treatment. Thus, the anticancer effect of SDT can be boosted by SWs, making
them a valid technology for furthering investigations into this innovative
anticancer approach.
PMID- 26562472
TI - Continuation of gradual weight gain necessary for the onset of puberty may be
responsible for obesity later in life.
AB - A continuation of the gradual weight gain necessary for the onset of puberty may
be responsible for obesity later in life. Hypothetically, a group of brain nuclei
form components of a single pubertal clock mechanism that drives pre-pubertal
weight gain and governs the onset of puberty and fertility. No mechanism evolved
to shut off pre-pubertal and pubertal weight and body fat gain after puberty. The
weight gain continues unabated throughout life. A better understanding of the
mechanism of puberty and pre-pubertal weight gain could provide new insights into
obesity and diseases associated with obesity such as type 2 diabetes,
dyslipidemia, hypertension, heart disease, depression, etc.
PMID- 26562474
TI - Mechanisms of epithelial-mesenchymal transition in proliferative
vitreoretinopathy.
AB - Proliferative vitreoretinopathy (PVR) is a blinding disease characterized by the
formation of epiretinal membranes through the wound repair process. Though the
mechanisms of PVR development are still not fully understood, retinal pigment
epithelial (RPE) cells are indicated to play the primary role in the pathogenesis
of PVR. In the setting of PVR, RPE cells undergo a process named epithelial
mesenchymal transition (EMT), by which differentiated epithelial cells go through
a phenotypic conversion that gives rise to the matrix-producing fibroblasts and
myofibroblasts. Recent studies indicated that EMT in RPE cells is a main
contributor of PVR and involves various growth factors/cytokines, transcriptional
factors, and microRNAs. Targeting these factors/microRNAs suppresses the
progression of EMT and thus may provide novel ideas for the treatment of PVR.
This review highlights the current understandings of EMT in the pathogenesis of
PVR and the underlying mechanisms of EMT in RPE cells.
PMID- 26562475
TI - Oct4 is a reliable marker of liver tumor propagating cells in hepatocellular
carcinoma.
AB - Hepatocellular carcinoma (HCC) is the 6th most common cancer worldwide and the
2nd most common cause of cancer related mortality. The poor prognosis is largely
due to the difficulty in early diagnoses and eradication of stem-like cells
within HCC, which are termed liver tumor propagating cells (LTPCs). These LTPCs
are involved in all stages of tumorigenesis including tumor initiation,
progression, and treatment failure. The greatest challenge in understanding these
LTPCs is finding effective ways in isolating and characterizing these cells with
current methods showing large inter-tumor variability in isolating these cells.
Oct4 is a stem cell gene associated with LTPCs and has been shown to be involved
in regulating a range of functions in HCC cells associated with LTPC features. In
this study we determined the efficacy and reliability in utilizing Oct4 to
isolate and characterize LTPCs. We have shown that Oct4 is ubiquitously expressed
in all HCC tumors tested whereas other traditional LTPC markers had high
intratumor variability in their expression. We then utilized a human Oct4
promoter driving an enhanced green fluorescent protein (EGFP) reporter which
showed that Oct4+ cells had all the classic features of LTPCs including increased
sphere formation in vitro, tumor forming potential in immunocompromised mice,
expression of stemness associated genes, and resistance to Sorafenib which is the
major drug used to treat advanced HCC. Based on our findings we have identified
Oct4 as a reliable marker of LTPCs and discovered a novel way to isolate and
characterize LTPCs.
PMID- 26562476
TI - Disease kinetics but not disease burden is relevant for survival in melanoma of
unknown primary tumor.
AB - Melanoma of unknown primary (MUP) is a type of metastatic melanoma with no
evidence of a primary tumor. Recent evidence suggested better survival in MUP as
compared to melanoma with a known primary site (MKP). However, prognostic markers
that reliably predict overall survival in MUP are lacking. The primary objective
of this study was to analyze the mutational status of the BRAF, NRAS, and KIT
oncogenes and to investigate if the genotype or other clinical parameters were
associated with overall survival. We retrospectively analyzed the genotype and
the clinical course of 40 patients with MUP. Mutations of BRAF and NRAS were
determined with pyrosequencing. Mutations of KIT were investigated with a nested
PCR approach followed by Sanger sequencing. Survival fractions were calculated
applying the Kaplan-Meier model. Mutations in the BRAF (50.0%), NRAS (17.5%), and
KIT genes (5.0%) were found frequently, but had no major impact on overall
survival (p=0.62). The AJCC stage was a strong prognostic factor with a hazard
ratio for death of 0.17 (stage III vs. IV; p=0.04). All patients diagnosed with
stage III disease survived the median follow-up period of 23 months (p=0.03). The
survival rates of patients with stage IV were significantly associated with rapid
disease progression but not with metastatic tumor load at primary diagnosis
(p=0.01). Altogether, AJCC stage and time to disease progression were important
prognostic parameters. We propose that the kinetics of the disease but not the
initial metastatic burden nor the mutational status is relevant for survival in
advanced MUP.
PMID- 26562477
TI - Study of distinct serum proteomics for the biomarkers discovery in colorectal
cancer.
AB - To utilize surface-enhanced laser desorption/ionization time-of-flight mass
spectrometry (SELDI-TOF-MS) to identify potential biomarkers for diagnosis,
preoperative pathological classification, staging, and postoperative prognosis in
patients with colorectal cancer (CRC), a total of 152 samples were analyzed in
this study, including 53 untreated CRC, 12 colorectal adenoma, 15 healthy
volunteers, 30 post-treatment CRC patients with stable disease, and 42 post
treatment CRC with progressive disease. The samples were all analyzed by SELDI
TOF-MS and CM10 ProteinChip technology. The proteomic profiles were validated
using a bioinformatics tool based on support vector machine (SVM) and undecimated
discrete wavelet transform (UDWT) methods. Seven protein peaks were selected as
potential biomarkers for CRC, with a specificity of 85.19% and a sensitivity of
96.23%. Four protein peaks were selected as potential markers for colorectal
mucinous adenocarcinoma and non-mucinous adenocarcinoma, with a specificity of
95.12% and sensitivity of 83.33%. In addition, SELDI-based serum profiling
discriminated between patients with locally advanced (stage I-II) and regionally
advanced (stage III) CRC, and between patients with locoregional (stage I-III)
and systemic (stage IV) CRC, with high specificity and sensitivity. A protein
peak at 5909 Da was identified as a potential marker for tumor progression and
prognosis in CRC. In conclusion, we have demonstrated that ProteinChip technology
with SELDI-TOF-MS could provide a novel, non-invasive tool for diagnosis,
potential preoperative biomarkers for pathological classification, staging, and
postoperative prognostic markers for patients with CRC.
PMID- 26562478
TI - Reactions of Phenylhydrosilanes with Pincer-Nickel Complexes: Evidence for New Si
O and Si-C Bond Formation Pathways.
AB - This contribution presents evidence for new pathways manifested in the reactions
of the phenylhydrosilanes PhnSiH4-n with the pincer complexes
(POCsp(2)OP)Ni(OSiMe3), 1-OSiMe3, and (POCsp(3)OP)Ni(OSiMe3), 2-OSiMe3
(POCsp(2)OP = 2,6-(i-Pr2PO)2C6H3; POCsp(3)OP = (i-Pr2POCH2)2CH). Excess PhSiH3 or
Ph2SiH2 reacted with 1-OSiMe3 to eliminate the disilyl ethers Ph(n)H(3-n)SiOSiMe3
(n = 1 or 2) and generate the nickel hydride species 1-H. Subsequent reaction of
the latter with more substrate formed corresponding nickel silyl species 1-SiPhH2
or 1-SiPh2H and generated multiple Si-containing products, including disilanes
and redistribution products. The reaction of 1-OSiMe3 with excess Ph2SiH2/Ph2SiD2
revealed a net KIE of ca. 1.3-1.4 at room temperature. Treating 1-OSiMe3 with
excess Ph3SiH also gave 1-H and the corresponding disilyl ether Ph3SiOSiMe3, but
this reaction also generated the new siloxide 1-OSiPh3 apparently via an
unconventional sigma-bond metathesis pathway in which the Ni center is not
involved directly. The reaction of excess PhSiH3 and 2-OSiMe3 gave polysilanes of
varying solubilities and molecular weights; NMR investigations showed that these
polymers arise from Ni(0) species generated in situ from the reductive
elimination of the highly reactive hydride intermediate, 2-H. The stoichiometric
reactions of 2-OSiMe3 with Ph2SiH2 and Ph3SiH gave, respectively, siloxides 2
OSiPh2(OSiMe3) and 2-OSiPh3. Together, these results demonstrate the strong
influence of pincer backbone and hydrosilane sterics on the different
reactivities of 1-OSiMe3 and 2-OSiMe3 toward Ph(n)SiH(4-n) (dimerization,
polymerization, and redistribution vs formation of new siloxides). The mechanisms
of the reactions that lead to the observed Si-O, Si-C, and Si-Si bond formations
are discussed in terms of classical and unconventional sigma-bond metathesis
pathways.
PMID- 26562479
TI - Metal-Free Approach for the Synthesis of N-Aryl Sulfoximines via Aryne
Intermediate.
AB - A metal-free and operationally simple N-arylation of NH-sulfoximines with aryne
precursors is reported. Transition metal-free reaction conditions and shorter
reaction times are the highlights of the present method. The mild optimized
condition was also found to be suitable with enantiopure substrates.
PMID- 26562480
TI - Threonine532 phosphorylation in ClC-3 channels is required for angiotensin II
induced Cl(-) current and migration in cultured vascular smooth muscle cells.
AB - BACKGROUND AND PURPOSE: Angiotensin II (AngII) induces migration and growth of
vascular smooth muscle cell (VSMC), which is responsible for vascular remodelling
in some cardiovascular diseases. Ang II also activates a Cl(-) current, but the
underlying mechanism is not clear. EXPERIMENTAL APPROACH: The A10 cell line and
primary cultures of VSMC from control, ClC-3 channel null mice and WT mice made
hypertensive with AngII infusions were used. Techniques employed included whole
cell patch clamp, co-immunoprecipitation, site-specific mutagenesis and Western
blotting, KEY RESULTS: In VSMC, AngII induced Cl(-) currents was carried by the
chloride ion channel ClC-3. This current was absent in VSMC from ClC-3 channel
null mice. The AngII-induced Cl(-) current involved interactions between ClC-3
channels and Rho-kinase 2 (ROCK2), shown by N- or C-terminal truncation of ClC-3
protein, ROCK2 siRNA and co-immunoprecipitation assays. Phosphorylation of ClC-3
channels at Thr(532) by ROCK2 was critical for AngII-induced Cl(-) current and
VSMC migration. The ClC-3 T532D mutant (mutation of Thr(532) to aspartate),
mimicking phosphorylated ClC-3 protein, significantly potentiated AngII-induced
Cl(-) current and VSMC migration, while ClC-3 T532A (mutation of Thr(532) to
alanine) had the opposite effects. AngII-induced cell migration was markedly
decreased in VSMC from ClC-3 channel null mice that was insensitive to Y27632, an
inhibitor of ROCK2. In addition, AngII-induced cerebrovascular remodelling was
decreased in ClC-3 null mice, possibly by the ROCK2 pathway. CONCLUSIONS AND
IMPLICATIONS: ClC-3 protein phosphorylation at Thr(532) by ROCK2 is required for
AngII-induced Cl(-) current and VSMC migration that are involved in AngII-induced
vascular remodelling in hypertension.
PMID- 26562481
TI - Dimeric Octaketide Spiroketals from the Jellyfish-Derived Fungus Paecilomyces
variotii J08NF-1.
AB - Paeciloketals (1-3), new benzannulated spiroketal derivatives, were isolated from
the marine fungus Paecilomyces variotii derived from the giant jellyfish
Nemopilema nomurai. Compound 1 was present as a racemate and was resolved into
enantiopure 1a and 1b by chiral-phase separation on a cellulose column. Compounds
2 and 3, possessing a novel benzannulated spiroketal skeleton, were rapidly
interconvertible and yielded an equilibrium mixture on standing at room
temperature. The relative and absolute configurations of compounds 2 and 3 were
determined by NOESY analysis and ECD calculations. Compound 1 showed modest
antibacterial activity against the marine pathogen Vibrio ichthyoenteri.
PMID- 26562483
TI - When and how should patients with multiple endocrine neoplasia type 1 be screened
for thymic and bronchial carcinoid tumours?
AB - Patients with multiple endocrine neoplasia type 1 (MEN1) are commonly evaluated
for clinical manifestations of this syndrome with the rationale that early
diagnosis and adequate treatment will result in improved survival and quality of
life. Thymic and bronchial carcinoid tumours are uncommon but important
manifestations of MEN1. Current practice guidelines recommend evaluation with
computed tomography or magnetic resonance imaging scan of the chest every 1-2
years to detect these neoplasms. However, the certainty that patients will be
better off (increased survival or quality of life) as a result of this case
detection strategy is based on evidence at moderate-high risk of bias that yields
only imprecise results of indirect relevance to these patients. In order to
improve the care that patients with MEN1 receive, co-ordinated efforts from
different stakeholders are required so that large, prospective, multicentre
studies evaluating patient important outcomes are carried out.
PMID- 26562484
TI - Health care utilization and costs for children and adults with duchenne muscular
dystrophy.
AB - INTRODUCTION: Duchenne muscular dystrophy (DMD) is an incurable neuromuscular
disorder of childhood. Healthcare, caregiving, and other resource needs of
affected individuals are thought to be substantial; however, the economic burden
associated with DMD has not yet been assessed specifically in Australia. METHODS:
Australian households with a child with DMD were asked to complete a cross
sectional survey. Data were collected on annual resource utilization including
hospital and medical services, equipment, home modifications, informal care, and
working days lost. RESULTS: Mean healthcare costs were found to be $10,046
Australian dollars per affected individual and were markedly higher than average
Australian health expenditures at each age group. The mean total cost was $46,700
(median $32,300), with healthcare costs contributing 22% of total costs.
CONCLUSIONS: The annual economic cost of DMD was found to be high, reflecting a
significant socioeconomic burden, especially in boys who reach adulthood, where
household resource use and caregiving burden is highest. Muscle Nerve 53: 877
884, 2016.
PMID- 26562482
TI - The virtual intestine: in silico modeling of small intestinal electrophysiology
and motility and the applications.
AB - The intestine comprises a long hollow muscular tube organized in anatomically and
functionally discrete compartments, which digest and absorb nutrients and water
from ingested food. The intestine also plays key roles in the elimination of
waste and protection from infection. Critical to all of these functions is the
intricate, highly coordinated motion of the intestinal tract, known as motility,
which is coregulated by hormonal, neural, electrophysiological and other factors.
The Virtual Intestine encapsulates a series of mathematical models of intestinal
function in health and disease, with a current focus on motility, and
particularly electrophysiology. The Virtual Intestine is being cohesively
established across multiple physiological scales, from sub/cellular functions to
whole organ levels, facilitating quantitative evaluations that present an
integrative in silico framework. The models are also now finding broad
physiological applications, including in evaluating hypotheses of slow wave
pacemaker mechanisms, smooth muscle electrophysiology, structure-function
relationships, and electromechanical coupling. Clinical applications are also
beginning to follow, including in the pathophysiology of motility disorders,
diagnosing intestinal ischemia, and visualizing colonic dysfunction. These
advances illustrate the emerging potential of the Virtual Intestine to
effectively address multiscale research challenges in interdisciplinary
gastrointestinal sciences.
PMID- 26562485
TI - Genomic resources and their influence on the detection of the signal of positive
selection in genome scans.
AB - Genome scans represent powerful approaches to investigate the action of natural
selection on the genetic variation of natural populations and to better
understand local adaptation. This is very useful, for example, in the field of
conservation biology and evolutionary biology. Thanks to Next Generation
Sequencing, genomic resources are growing exponentially, improving genome scan
analyses in non-model species. Thousands of SNPs called using Reduced
Representation Sequencing are increasingly used in genome scans. Besides, genome
sequences are also becoming increasingly available, allowing better processing of
short-read data, offering physical localization of variants, and improving
haplotype reconstruction and data imputation. Ultimately, genome sequences are
also becoming the raw material for selection inferences. Here, we discuss how the
increasing availability of such genomic resources, notably genome sequences,
influences the detection of signals of selection. Mainly, increasing data density
and having the information of physical linkage data expand genome scans by (i)
improving the overall quality of the data, (ii) helping the reconstruction of
demographic history for the population studied to decrease false-positive rates
and (iii) improving the statistical power of methods to detect the signal of
selection. Of particular importance, the availability of a high-quality reference
genome can improve the detection of the signal of selection by (i) allowing
matching the potential candidate loci to linked coding regions under selection,
(ii) rapidly moving the investigation to the gene and function and (iii) ensuring
that the highly variable regions of the genomes that include functional genes are
also investigated. For all those reasons, using reference genomes in genome scan
analyses is highly recommended.
PMID- 26562486
TI - Theoretical Investigation of the Radical-Radical Reaction of O((3)P) + C2H3 and
Comparison with Gas-Phase Crossed-Beam Experiments.
AB - Herein, we present an ab initio study of the prototypal radical-radical reactions
of ground-state atomic oxygen [O((3)P)] with the vinyl (C2H3) radical using
density functional theory and a complete basis set model. Two distinctive
pathways on the lowest doublet potential energy surfaces (PESs) were predicted to
be in competition: addition and abstraction. The barrierless addition of O((3)P)
to the hydrocarbon radicals leads to energy-rich intermediate formation followed
by subsequent isomerization and decomposition to yield various products: CH2CO
(ketene) + H, CO + CH3, C2HOH (acetylenol) + H, (3,1)CCHOH + H, H2O + C2H,
(3,1)CH2 + HCO, H2CO (formaldehyde) + CH, C2H2 (acetylene) + OH, and (3,1)CCH2 +
OH. The competing but minor H-atom abstraction mechanisms produce C2H2 + OH and
(1,3)CCH2 + OH. The optimized structures of the reactants, products,
intermediates, and transition states and the reaction mechanisms were obtained on
the lowest doublet PESs. The major pathway was predicted to be the formation of
CH2CO + H through the low-barrier, single-step cleavages of the addition
intermediates. The Levine-Bernstein prior method, statistical surprisal approach,
and microcanonical Rice-Ramsperger-Kassel-Marcus theory were applied to deduce
the energy distributions of H atoms and OH products and quantitative rate
constants. On the basis of the statistical theory and the population analysis,
the predicted energy distributions were compared to the kinetic energy release of
H and the preferential population of the Pi(A') component of OH products reported
in recent gas-phase crossed-beam investigations (Park, M. J.; Jang, S. C.; Choi,
J. H. J. Chem. Phys. 2012, 137, 204311), and their kinetic and dynamic
characteristics were discussed.
PMID- 26562487
TI - I + (H2O)2 -> HI + (H2O)OH Forward and Reverse Reactions. CCSD(T) Studies
Including Spin-Orbit Coupling.
AB - The potential energy profile for the atomic iodine plus water dimer reaction I +
(H2O)2 -> HI + (H2O)OH has been explored using the "Gold Standard" CCSD(T) method
with quadruple-zeta correlation-consistent basis sets. The corresponding
information for the reverse reaction HI + (H2O)OH -> I + (H2O)2 is also derived.
Both zero-point vibrational energies (ZPVEs) and spin-orbit (SO) coupling are
considered, and these notably alter the classical energetics. On the basis of the
CCSD(T)/cc-pVQZ-PP results, including ZPVE and SO coupling, the forward reaction
is found to be endothermic by 47.4 kcal/mol, implying a significant exothermicity
for the reverse reaction. The entrance complex I...(H2O)2 is bound by 1.8
kcal/mol, and this dissociation energy is significantly affected by SO coupling.
The reaction barrier lies 45.1 kcal/mol higher than the reactants. The exit
complex HI...(H2O)OH is bound by 3.0 kcal/mol relative to the asymptotic limit.
At every level of theory, the reverse reaction HI + (H2O)OH -> I + (H2O)2
proceeds without a barrier. Compared with the analogous water monomer reaction I
+ H2O -> HI + OH, the additional water molecule reduces the relative energies of
the entrance stationary point, transition state, and exit complex by 3-5
kcal/mol. The I + (H2O)2 reaction is related to the valence isoelectronic bromine
and chlorine reactions but is distinctly different from the F + (H2O)2 system.
PMID- 26562488
TI - Effects of lead exposure on dendrite and spine development in hippocampal dentate
gyrus areas of rats.
AB - Lead exposure has been implicated in the impairment of synaptic plasticity in the
hippocampal dentate gyrus (DG) areas of rats. However, whether the degradation of
physiological properties is based on the morphological alteration of granule
neurons in DG areas remains elusive. Here, we examined the dendritic branch
extension and spine formation of granule neurons after lead exposure during
development in rats. Dendritic morphology was studied using Golgi-Cox stain
method, which was followed by Sholl analysis at postnatal days 14 and 21. Our
results indicated that, for both ages, lead exposure significantly decreased the
total dendritic length and spine density of granule neurons in the DG of the rat
hippocampus. Further branch order analysis revealed that the decrease of
dendritic length was observed only at the second branch order. Moreover, there
were obvious deficits in the proportion and size of mushroom-type spines. These
deficits in spine formation and maturity were accompanied by a decrease in
Arc/Arg3.1 expression. Our present findings are the first to show that
developmental lead exposure disturbs branch and spine formation in hippocampal DG
areas. Arc/Arg3.1 may have a critical role in the disruption of neuronal
morphology and synaptic plasticity in lead-exposed rats.
PMID- 26562490
TI - Infrared Thermal Imaging: A Tool for Simple, Simultaneous, and High-Throughput
Enthalpimetric Analysis.
AB - In this work, the feasibility of infrared thermal imaging (ITI) is demonstrated
to show its potential application in analytical chemistry. A system of ITI was
combined with disposable microplates to perform enthalpimetric analysis, which
was selected as an example in order to show the reliability of this method. In
this way, the novel thermal infrared enthalpimetry (TIE) method was evaluated in
neutralization, precipitation, redox, and complexation reactions, with a
multichannel pipet for adding the reagent and an infrared camera to monitor the
temperature of multiple reactions (up to 24 simultaneous reactions) in a
contactless way. Analytical signals were obtained in only 10 s, and the
difference in temperature (DeltaT) before and after the reaction was used for the
construction of calibration curves by use of reference solutions. More than
10,000 values were considered for the temperature determination for each
reaction. The proposed method was applied for determination of the total acidity
of vinegar as well as the chloride, iron, and calcium content of pharmaceuticals.
The results were compared with those from conventional techniques (titration),
and agreement between 96% and 101% was obtained. Sample throughput could even
reach thousands of samples analyzed in 1 h. These preliminary results demonstrate
the important features of TIE and possible application for other matrices and
analytical parameters. The proposed TIE could be spread to cover other
enthalpimetric techniques, different reactors (e.g., microfluidic and paper
analytical devices), and portable devices, thus reaching other fields of
chemistry.
PMID- 26562489
TI - UV Action Spectroscopy of Gas-Phase Peptide Radicals.
AB - UV photodissociation (UVPD) action spectroscopy is reported to provide a
sensitive tool for the detection of radical sites in gas-phase peptide ions. UVPD
action spectra of peptide cation radicals of the z-type generated by electron
transfer dissociation point to the presence of multiple structures formed as a
result of spontaneous isomerizations by hydrogen atom migration. N-terminal
Calpha radicals are identified as the dominant components, but the content of
isomers differing in the radical defect position in the backbone or side chain
depends on the nature of the aromatic residue with phenylalanine being more prone
to isomerization than tryptophan. These results illustrate that spontaneous
hydrogen atom migrations can occur in peptide cation-radicals upon electron
transfer dissociation.
PMID- 26562491
TI - Preventing and Lessening Exacerbations of Asthma in School-aged children
Associated with a New Term (PLEASANT): Recruiting Primary Care Research Sites-the
PLEASANT experience.
AB - BACKGROUND: Recruitment of general practices and their patients into research
studies is frequently reported as a challenge. The Preventing and Lessening
Exacerbations of Asthma in School-aged children Associated with a New Term
(PLEASANT) trial recruited 142 general practices, across England and Wales and
delivered the study intervention to time and target. AIMS: To describe the
process of recruitment used within the cluster randomised PLEASANT trial and
present results on factors that influenced recruitment. METHODS: Data were
collected on the number of and types of contact used to gain expression of
interest and subsequent randomisation into the PLEASANT trial. Practice size and
previous research experience were also collected. RESULTS: The mean number of
contacts required to gain expression of interest were m=3.01 (s.d. 1.6) and total
number of contacts from initial invitation to randomisation m=6.8 (s.d. 3.5).
Previous randomised controlled trial involvement (hazard ratio (HR)=1.81
(confidence interval (CI) 95%, 1.55-2.11) P<0.001) and number of studies a
practice had previously engaged in (odds ratio (OR) 1.91 (CI 95%, (1.52-2.42))
P<0.001), significantly influenced whether a practice would participate in
PLEASANT. Practice size was not a significant deciding factor (OR=1.04 (95% CI
0.99-1.08) P=0.137). CONCLUSIONS: Recruitment to time and target can be achieved
in general practice. The amount of resource required for site recruitment should
not, however, be underestimated and multiple strategies for contacting practices
should be considered. General practitioners with more research experience are
more likely to participate in studies.
PMID- 26562492
TI - Participatory design and validation of mobility enhancement robotic wheelchair.
AB - The design of the mobility enhancement robotic wheelchair (MEBot) was based on
input from electric powered wheelchair (EPW) users regarding the conditions they
encounter when driving in both indoor and outdoor environments that may affect
their safety and result in them becoming immobilized, tipping over, or falling
out of their wheelchair. Phase I involved conducting a participatory design study
to understand the conditions and barriers EPW users found to be difficult to
drive in/over. Phase II consisted of creating a computer-aided design (CAD)
prototype EPW to provide indoor and outdoor mobility that addressed these
conditions with advanced applications. Phase III involved demonstrating the
advanced applications and gathering feedback from end users about the likelihood
they would use the advanced applications. The CAD prototype incorporated advanced
applications, including self-leveling, curb climbing, and traction control, that
addressed the challenging conditions and barriers discussed with EPW users (n =
31) during the participatory design study. Feedback of the CAD design and
applications in phase III from end users (n = 12) showed a majority would use
self-leveling (83%), traction control (83%), and curb climbing (75%). The overall
design of MEBot received positive feedback from EPW users. However, these
opinions will need to be reevaluated through user trials as the design advances.
PMID- 26562493
TI - Feasibility Study Evaluating Therapeutic Hypothermia for Refractory Status
Epilepticus in Children.
AB - Pediatric refractory status epilepticus (RSE) is a neurological emergency with
significant morbidity and mortality, which lacks consensus regarding diagnosis
and treatment(s). Therapeutic hypothermia (TH) is an effective treatment for RSE
in preclinical models and small series. In addition, TH is a standard care for
adults after cardiac arrest and neonates with hypoxic-ischemic encephalopathy.
The purpose of this study was to identify the feasibility of a study of pediatric
RSE within a research group (Pediatric Neurocritical Care Research Group
[PNCRG]). Pediatric intensive care unit (PICU) admissions at seven centers were
prospectively screened from October 2012 to July 2013 for RSE. Experts within the
PNCRG estimated that clinicians would be unwilling to enroll a child, unless the
child required at least two different antiepileptic medications and a continuous
infusion of another antiepileptic medication with ongoing electrographic seizure
activity for >=2 hours after continuous infusion initiation. Data for children
meeting the above inclusion criteria were collected, including the etiology of
RSE, history of epilepsy, and maximum dose of continuous antiepileptic infusions.
There were 8113 PICU admissions over a cumulative 52 months (October 2012-July
2013) at seven centers. Of these, 69 (0.85%) children met inclusion criteria.
Twenty children were excluded due to acute diagnoses affected by TH,
contraindications to TH, or lack of commitment to aggressive therapies. Sixteen
patients had seizure cessation within 2 hours, resulting in 33 patients who had
inadequate seizure control after 2 hours and a continuous antiepileptic infusion.
Midazolam (21/33, 64%) and pentobarbital (5/33, 15%) were the most common
infusions with a wide maximum dose range. More than one infusion was required for
seizure control in four patients. There are substantial numbers of subjects at
clinical sites within the PNCRG with RSE that would meet the proposed inclusion
criteria for a study of TH. The true feasibility of such a study depends on the
sample size necessary to achieve therapeutic effects on justifiable clinical
outcomes.
PMID- 26562494
TI - Utility of Pretest Probability and Exercise Treadmill Test in Korean Women with
Suspected Coronary Artery Disease.
AB - BACKGROUND: Pretest probability (PTP) and an exercise treadmill test (ETT) are
recommended for the initial evaluation of possible coronary artery disease (CAD),
but the applicability of these tests in Korean women has not been evaluated.
METHODS: Korean women with PTP, ETT, and invasive coronary angiography results
were enrolled. Across all PTP levels, PTP and ETT statistics were evaluated and
independent CAD predictors obtained. RESULTS: Of the 335 patients (mean age 58.0
+/- 10.2 years), 99 and 236 were in the low (LPTP) and intermediate PTP (IPTP)
groups, respectively. The observed prevalence of CAD was significantly lower than
the PTP. (7.1% vs. 9.1 +/- 4.9% in LPTP, p < 0.001; 23.3% vs. 33.0 +/- 15.1% in
IPTP, p < 0.001) The ETT's sensitivity and positive predictive values (PPVs)
appeared lower than previously reported (LPTP: 42.9% and 16.7%; IPTP: 61.8% and
37.0%), whereas the negative predictive values (NPVs) were higher (LPTP: 95.1%;
IPTP: 85.4%). After multivariate adjustments, positive ETT (odds ratio 3.276, 95%
confidence interval 1.643-6.532, p = 0.001) independently predicted the presence
of CAD, but the PTP showed only marginal predictability (odds ratio 1.019, 95%
confidence interval 0.998-1.041, p = 0.069). CONCLUSIONS: In Korean women, the
observed prevalence of CAD was lower than the PTP, and PTP showed only marginal
CAD predictability. Although a positive ETT independently predicted CAD, the ETT
showed lower sensitivity and PPVs than previously reported. Despite the limited
value of PTP and ETT, the high NPVs of ETT appear useful for saving patients from
unnecessary further examinations.
PMID- 26562495
TI - Identification of a CYP19 Gene Single-Nucleotide Polymorphism Associated with a
Reduced Risk of Coronary Heart Disease.
AB - OBJECTIVE: An imbalance in sex hormone ratios has been identified in coronary
heart disease (CHD), and as a key enzyme in the conversion of androgen to
estrogen, aromatase plays an important role in the balance of sex hormone levels.
However, there is a paucity of research into the potential roles of aromatase in
CHD. In this study, we investigated associations between single-nucleotide
polymorphisms (SNPs) in the CYP19 gene, which encodes aromatase, and CHD.
METHODS: We collected 1706 blood samples from CHD patients and control
participants and used propensity score matching techniques to match case and
control groups with respect to confounding factors. In a final study population,
including 596 individuals, we conducted a case-control study to identify
associations between three SNPs in CYP19 and CHD using chi(2) or Fisher exact
tests, and binary logistic regression analysis. Differences in lipid levels and
parameters of echocardiography among individuals with different genotypes were
assessed by one-way analysis of variance. RESULTS: The distributions of rs2289105
alleles in the CYP19 gene differed significantly between the CHD and control
groups (p = 0.014), and the heterozygote CT genotype was associated with a
significantly lower risk of CHD compared to the homozygous wild-type CC genotype
(p = 0.0063 and odds ratio = 0.575). However, blood lipid levels and
echocardiographic parameters among individuals with different genotypes did not
differ between the CHD and control groups. CONCLUSIONS: The CT genotype of the
rs2289105 polymorphism in the CYP19 gene is associated with a decreased risk of
CHD and may be a genetic marker of protection from CHD.
PMID- 26562497
TI - Proteorhodopsin Activation Is Modulated by Dynamic Changes in Internal Hydration.
AB - Proteorhodopsin, a member of the microbial rhodopsin family, is a seven
transmembrane alpha-helical protein that functions as a light-driven proton pump.
Understanding the proton-pumping mechanism of proteorhodopsin requires intimate
knowledge of the proton transfer pathway via complex hydrogen-bonding networks
formed by amino acid residues and internal water molecules. Here we conducted a
series of microsecond time scale molecular dynamics simulations on both the dark
state and the initial photoactivated state of blue proteorhodopsin to reveal the
structural basis for proton transfer with respect to protein internal hydration.
A complex series of dynamic hydrogen-bonding networks involving water molecules
exists, facilitated by water channels and hydration sites within proteorhodopsin.
High levels of hydration were discovered at each proton transfer site-the retinal
binding pocket and proton uptake and release sites-underscoring the critical
participation of water molecules in the proton-pumping mechanism. Water-bridged
interactions and local water channels were also observed and can potentially
mediate long-distance proton transfer between each site. The most significant
phenomenon is after isomerization of retinal, an increase in water flux occurs
that connects the proton release group, a conserved arginine residue, and the
retinal binding pocket. Our results provide a detailed description of the
internal hydration of the early photointermediates in the proteorhodopsin
photocycle under alkaline pH conditions. These results lay the fundamental
groundwork for understanding the intimate role that hydration plays in the
structure-function relationship underlying the proteorhodopsin proton-pumping
mechanism, as well as providing context for the relationship of hydration in
proteorhodopsin to other microbial retinal proteins.
PMID- 26562496
TI - MRI reporting standard for chronic pelvic pain: consensus development.
AB - AIM: To identify radiological parameters that should be reported on
gynaecological MRI in order to create a standardized assessment pro forma for
reporting CPP, which may be used in clinical practice. METHODS: Chronic pelvic
pain (CPP) in females is a common problem presenting a major challenge to
healthcare providers. The complex multifactorial aetiology requires a
multidisciplinary approach and often necessitates diagnostic laparoscopy for
assessment. MRI is emerging as a potential non-invasive alternative for
evaluation of CPP; however, standardization of reporting is required for it to be
used in routine clinical practice. A two-generational Delphi survey with an
expert panel of 28 radiologists specializing in gynaecological MRI from across
the UK was used to refine a proposed reporting template for CPP. RESULTS: 75%
response rate for the first round and 79% for the second. Following the second
round, agreement was reached on the structure of the pro forma and the way in
which information was sought, with overall consistency of agreement between
experts deemed as fair (intraclass correlation coefficient = 0.394). This was
accepted as the final version by consensus. CONCLUSION: The standardized pro
forma developed in this study will form the basis for future prospective
evaluation of MRI in CPP. This template could be modified for the assessment of
other benign gynaecological conditions. ADVANCES IN KNOWLEDGE: Female CPP is a
significant problem presenting challenges for clinicians. MRI is often used for
evaluation and standardization of techniques, and reporting is required. The pro
forma developed in this study will form the basis for future prospective MRI
evaluation.
PMID- 26562498
TI - Could omega-3 fatty acids improve quality of life in cancer patients?
PMID- 26562500
TI - From cellulose fibrils to single chains: understanding cellulose dissolution in
ionic liquids.
AB - Cellulose is the most abundant and renewable organic compound on Earth, it is
however not soluble in common organic solvents and aqueous solutions. Cellulose
dissolution is a key aspect to promote its value-added applications. Ionic
liquids (ILs) have been shown to solubilize cellulose under relatively mild
conditions. The easy processability of cellulose with ILs and their environmental
friendly nature prompted research in various fields such as biomass pretreatment
and conversion, cellulose fiber and composite production, and chemical conversion
of cellulose in ILs. Progress has been made on understanding the mechanism of
cellulose dissolution in ILs, including the structural characteristics of ILs
that are cellulose solvents, however many details remain unknown. In light of
rapid development and importance of cellulose dissolution in the field of IL
based cellulose and biomass processing, it is necessary to provide an overview of
current understanding of cellulose dissolution in ILs and outline possible future
research trends. Recent literature studies suggest that synergistic effects
between the anions and the cations of ILs need to be revealed, which requires
refining the structure of cellulose elementary fibrils, simulation of more
realistic cellulose fibrils and detailed studies on the solution structure of
cellulose in ILs. After analyzing literature studies, three interacting modules
are identified, which are crucial to understand the process of cellulose
dissolution in ILs: (1) the structure of elementary fibrils; (2) solvation of
cellulose in ILs; and (3) solution structure of cellulose solubilized in ILs. A
coherent analysis of these modules will aid in better design of more efficient
ILs and processes.
PMID- 26562499
TI - A Rhesus Macaque Model of Pulmonary Nontuberculous Mycobacterial Disease.
AB - In this study, we sought to develop a nonhuman primate model of pulmonary
Mycobacterium avium complex (MAC) disease. Blood and bronchoalveolar lavage fluid
were collected from three female rhesus macaques infected intrabronchially with
escalating doses of M. avium subsp. hominissuis. Immunity was determined by
measuring cytokine levels, lymphocyte proliferation, and antigen-specific
responses. Disease progression was monitored clinically and microbiologically
with serial thoracic radiographs, computed tomography scans, and quantitative
mycobacterial cultures. The animal subjected to the highest inoculum showed
evidence of chronic pulmonary MAC disease. Therefore, rhesus macaques could
provide a robust model in which to investigate host-pathogen interactions during
MAC infection.
PMID- 26562501
TI - [Transplant Surgeon Meets Nephrologist: Important Nephrological Aspects Before
and After Kidney or Liver Transplantation].
AB - In cases of chronic renal insufficiency, successful kidney transplantation is the
method of choice to restore patients' health, well-being and physical fitness.
The interdisciplinary collaboration of nephrologists and transplant surgeons has
always been a prerequisite for the successful pre-, peri- and post-transplant
care of renal transplant patients. The same holds true for liver transplant
patients. Here the nephrologist is often involved in cases requiring pre- or post
transplant dialysis as well as in decision making for combined liver-kidney
transplantation. This review focuses on nephrological aspects in patient care
before and after kidney and liver transplantation.
PMID- 26562502
TI - Evaluation of Frequency-Doubling Technology Perimetry as a Means of Screening for
Glaucoma and Other Eye Diseases Using the National Health and Nutrition
Examination Survey.
AB - IMPORTANCE: Glaucoma is a significant cause of global blindness and there are, as
yet, no effective means of screening. OBJECTIVE: To assess the potential role of
frequency-doubling technology (FDT) perimetry in screening for glaucoma using
data collected as part of the National Health and Nutrition Examination Survey
(NHANES). DESIGN, SETTING, AND PARTICIPANTS: Reanalysis of cross-sectional data
of 6797 participants in the 2005-2008 cycles of the NHANES, which evaluated a
sample of the noninstitutionalized US population with at least light-perception
vision. A subset of optic nerve photographs were regraded by 3 glaucoma
specialists in December 2012. Each participant underwent visual field testing,
including FDT perimetry screening, and had fundus photographs taken. MAIN
OUTCOMES AND MEASURES: Sensitivity and specificity of FDT perimetry to detect
glaucoma, macular disease, or decreased visual acuity. RESULTS: A total of 5746
NHANES participants had optic images originally graded. We regraded 1201 images
of 1073 eyes of 548 participants with initial cup-disc ratio (CDR) of 0.6 or
greater and 423 images of 360 eyes of 180 randomly selected participants with
initial CDR less than 0.6. Diagnoses of glaucoma by disc photograph were 1.6% (3
of 180) in the CDR less than 0.6 group and 31.4% (172 of 548) in the CDR of 0.6
or greater group. The sensitivity of FDT was 33% (95% CI, 0%-87%) and specificity
was 77% (95% CI, 71%-84%). For the group with at least 1 CDR of 0.6 or greater,
sensitivity of FDT was 66% (95% CI, 59%-73%) and specificity was 70% (95% CI, 66%
75%). When analyzed to give FDT credit for identifying glaucoma, macular disease,
or decreased visual acuity, the sensitivity of the test was 80% (95% CI, 77%-83%)
and the specificity was 83% (95% CI, 82%-84%). Approximately 25% of the NHANES
population was not able to successfully complete FDT testing, representing
screening failures and decreasing specificity. CONCLUSIONS AND RELEVANCE: Using
the 2005-2008 waves of the NHANES as a model of population-based screening for
eye disease, FDT perimetry lacks both sensitivity and specificity as a means of
screening for glaucoma, the presence of retinal disease, or decreased acuity in a
population-based setting. Given that no single test of glaucoma has yet been
shown to be appropriate in a screening setting, to our knowledge, investigators
should consider novel methods of detecting glaucoma or combinations of tests that
might work better in a screening setting.
PMID- 26562503
TI - PD-L1 Testing in Cancer: Challenges in Companion Diagnostic Development.
PMID- 26562504
TI - Multidrug-Resistant Escherichia coli Strains Isolated from Patients Are
Associated with Class 1 and 2 Integrons.
AB - BACKGROUND: Escherichia coli isolates displaying multidrug-resistance (MDR) are a
major health care problem that results in mortality and morbidity. Integrons are
DNA elements in E.coli that are related to antibiotic resistance. The aim of this
study was to determine class 1 and 2 integrons and MDR in E. coli isolates
obtained from patients in two Sanandaj hospitals, located in Iran. MATERIALS AND
METHODS: 120 isolates of E. coli were obtained from clinical specimens (from
November 2013 to April 2014), and the susceptibility of E. coli antimicrobial
agents was determined using the Kirby-Bauer disk diffusion method according to
the CLSI. PCR were applied for detection of class 1 and 2 integrons in E. coli
isolates. SPSS software v16 and the x03C7;2 test were used for statistical
analysis in order to calculate the association between antibiotic resistance and
the presence of integrons (p < 0.05). RESULTS: In a total of 120 E. coli
isolates, 42.5% had MDR. Integrons were found in 50.9% of the MDR isolates, and
included 47.05% class 1 and 3.92% class 2 integrons. The strains did not have
both classes of integrons simultaneously. An association between resistance to
antibiotics and integrons was found. CONCLUSION: Our results showed that int1 and
int2 genes present in E. coli isolates obtained from patients cause MDR in this
isolates. Since such bacteria are a reservoir for the transmission of MDR
bacteria, appropriate programs are necessary to reduce this problem.
PMID- 26562505
TI - A Substrate Mimic Allows High-Throughput Assay of the FabA Protein and
Consequently the Identification of a Novel Inhibitor of Pseudomonas aeruginosa
FabA.
AB - Eukaryotes and prokaryotes possess fatty acid synthase (FAS) biosynthetic
pathways that comprise iterative chain elongation, reduction, and dehydration
reactions. The bacterial FASII pathway differs significantly from human FAS
pathways and is a long-standing target for antibiotic development against Gram
negative bacteria due to differences from the human FAS, and several existing
antibacterial agents are known to inhibit FASII enzymes. N-Acetylcysteamine (NAC)
fatty acid thioesters have been used as mimics of the natural acyl carrier
protein pathway intermediates to assay FASII enzymes, and we now report an assay
of FabV from Pseudomonas aeruginosa using (E)-2-decenoyl-NAC. In addition, we
have converted an existing UV absorbance assay for FabA, the bifunctional
dehydration/epimerization enzyme and key target in the FASII pathway, into a high
throughput enzyme coupled fluorescence assay that has been employed to screen a
library of diverse small molecules. With this approach, N-(4-chlorobenzyl)-3-(2
furyl)-1H-1,2,4-triazol-5-amine (N42FTA) was found to competitively inhibit
(pIC50=5.7+/-0.2) the processing of 3-hydroxydecanoyl-NAC by P. aeruginosa FabA.
N42FTA was shown to be potent in blocking crosslinking of Escherichia coli acyl
carrier protein and FabA, a direct mimic of the biological process. The co
complex structure of N42FTA with P. aeruginosa FabA protein rationalises affinity
and suggests future design opportunities. Employing NAC fatty acid mimics to
develop further high-throughput assays for individual enzymes in the FASII
pathway should aid in the discovery of new antimicrobials.
PMID- 26562506
TI - Structural Stability and Local Dynamics in Disease-Causing Mutants of Human
Apolipoprotein A-I: What Makes the Protein Amyloidogenic?
AB - ApoA-I, the major protein of plasma high-density lipoprotein, removes cellular
cholesterol and protects against atherosclerosis. ApoA-I mutations can cause
familial amyloidosis, a life-threatening disease wherein N-terminal protein
fragments form fibrils in vital organs. To unveil the protein misfolding
mechanism and to understand why some mutations cause amyloidosis while others do
not, we analyzed the structure, stability, and lipid-binding properties of
naturally occurring mutants of full-length human apoA-I causing either
amyloidosis (G26R, W50R, F71Y, and L170P) or aberrant lipid metabolism (L159R).
Global and local protein conformation and dynamics in solution were assessed by
circular dichroism, fluorescence, and hydrogen-deuterium exchange mass
spectrometry. All mutants showed increased deuteration in residues 14-22,
supporting our hypothesis that decreased protection of this major amyloid "hot
spot" can trigger protein misfolding. In addition, L159R showed local helical
unfolding near the mutation site, consistent with cleavage of this mutant in
plasma to generate the labile 1-159 fragment. Together, the results suggest that
reduced protection of the major amyloid "hot spot", combined with the structural
integrity of the native helix bundle conformation, shifts the balance from
protein clearance to beta-aggregation. A delicate balance between the overall
structural integrity of a globular protein and the local destabilization of its
amyloidogenic segments may be a fundamental determinant of this and other amyloid
diseases. Furthermore, mutation-induced conformational changes observed in the
helix bundle, which comprises the N-terminal 75% of apoA-I, and its flexible C
terminal tail suggest the propagation of structural perturbations to distant
sites via an unexpected template-induced ensemble-based mechanism, challenging
the classical structure-based view.
PMID- 26562508
TI - Correction: SOX9 Regulates Multiple Genes in Chondrocytes, Including Genes
Encoding ECM Proteins, ECM Modification Enzymes, Receptors, and Transporters.
PMID- 26562507
TI - Neuromechanistic Model of Auditory Bistability.
AB - Sequences of higher frequency A and lower frequency B tones repeating in an ABA-
triplet pattern are widely used to study auditory streaming. One may experience
either an integrated percept, a single ABA-ABA- stream, or a segregated percept,
separate but simultaneous streams A-A-A-A- and -B---B--. During minutes-long
presentations, subjects may report irregular alternations between these
interpretations. We combine neuromechanistic modeling and psychoacoustic
experiments to study these persistent alternations and to characterize the
effects of manipulating stimulus parameters. Unlike many phenomenological models
with abstract, percept-specific competition and fixed inputs, our network model
comprises neuronal units with sensory feature dependent inputs that mimic the
pulsatile-like A1 responses to tones in the ABA- triplets. It embodies a neuronal
computation for percept competition thought to occur beyond primary auditory
cortex (A1). Mutual inhibition, adaptation and noise are implemented. We include
slow NDMA recurrent excitation for local temporal memory that enables linkage
across sound gaps from one triplet to the next. Percepts in our model are
identified in the firing patterns of the neuronal units. We predict with the
model that manipulations of the frequency difference between tones A and B should
affect the dominance durations of the stronger percept, the one dominant a larger
fraction of time, more than those of the weaker percept-a property that has been
previously established and generalized across several visual bistable paradigms.
We confirm the qualitative prediction with our psychoacoustic experiments and use
the behavioral data to further constrain and improve the model, achieving
quantitative agreement between experimental and modeling results. Our work and
model provide a platform that can be extended to consider other stimulus
conditions, including the effects of context and volition.
PMID- 26562512
TI - Hemispheric Retinal Arteriovenous Anastomoses: (Wyburn-Mason Syndrome).
PMID- 26562513
TI - Polypoidal Choroidal Vasculopathy: Imaging by Indocyanine Green Angiography and
En Face Optical Coherence Tomography.
PMID- 26562509
TI - Axial Diffusivity of the Corona Radiata at 24 Hours Post-Stroke: A New Biomarker
for Motor and Global Outcome.
AB - Fractional anisotropy (FA) is an effective marker of motor outcome at the chronic
stage of stroke yet proves to be less efficient at early time points. This study
aims to determine which diffusion metric in which location is the best marker of
long-term stroke outcome after thrombolysis with diffusion tensor imaging (DTI)
at 24 hours post-stroke. Twenty-eight thrombolyzed patients underwent DTI at 24
hours post-stroke onset. Ipsilesional and contralesional FA, mean (MD), axial
(AD), and radial (RD) diffusivities values were calculated in different Regions
of-Interest (ROIs): (1) the white matter underlying the precentral gyrus (M1),
(2) the corona radiata (CoRad), (3) the posterior limb of the internal capsule
(PLIC) and (4) the cerebral peduncles (CP). NIHSS scores were acquired at
admission, day 1, and day 7; modified Rankin Scores (mRS) at 3 months.
Significant decreases were found in FA, MD, and AD of the ipsilesional CoRad and
M1. MD and AD were also significantly lower in the PLIC. The ratio of ipsi and
contralesional AD of the CoRad (CoRad-rAD) was the strongest diffusion parameter
correlated with motor NIHSS scores on day 7 and with the mRS at 3 months. A
Receiver-Operator Curve analysis yielded a model for the CoRad-rAD to predict
good outcome based on upper limb NIHSS motor scores and mRS with high specificity
and sensitivity. FA values were not correlated with clinical outcome. In
conclusion, axial diffusivity of the CoRad from clinical DTI at 24 hours post
stroke is the most appropriate diffusion metric for quantifying stroke damage to
predict outcome, suggesting the importance of early axonal damage.
PMID- 26562514
TI - Enhanced Depth Imaging Optical Coherence Tomography of Endogenous Fungal
Chorioretinitis.
PMID- 26562515
TI - Myopic Cleavage of Retinal Nerve Fiber Layer Assessed by Split-Spectrum Amplitude
Decorrelation Angiography Optical Coherence Tomography.
PMID- 26562516
TI - The Cascade of Care for an Australian Community-Based Hepatitis C Treatment
Service.
AB - BACKGROUND: Hepatitis C treatment uptake in Australia is low. To increase access
to hepatitis C virus treatment for people who inject drugs, we developed a
community-based, nurse-led service that linked a viral hepatitis service in a
tertiary hospital to primary care clinics, and resulted in hepatitis C treatment
provision in the community. METHODS: A retrospective cohort study of patients
referred to the community hepatitis service was undertaken to determine the
cascade of care. Logistic regression analyses were used to identify predictors of
hepatitis C treatment uptake. RESULTS: Four hundred and sixty-two patients were
referred to the community hepatitis service; 344 attended. Among the 279
attendees with confirmed chronic hepatitis C, 257 (99%) reported ever injecting
drugs, and 124 (48%) injected in the last month. Of 201 (72%) patients who had
their fibrosis staged, 63 (31%) had F3-F4 fibrosis. Fifty-five patients commenced
hepatitis C treatment; 26 (47%) were current injectors and 25 (45%) had F3-F4
fibrosis. Nineteen of the 27 (70%) genotype 1 patients and 14 of the 26 (54%)
genotype 3 patients eligible for assessment achieved a sustained virologic
response. Advanced fibrosis was a significant predictor of treatment uptake in
adjusted analysis (AOR 2.56, CI 1.30-5.00, p = 0.006). CONCLUSIONS: Our community
hepatitis service produced relatively high rates of fibrosis assessment,
hepatitis C treatment uptake and cure, among people who inject drugs. These
findings highlight the potential benefits of providing community-based hepatitis
C care to people who inject drugs in Australia-benefits that should be realised
as direct-acting antiviral agents become available.
PMID- 26562517
TI - Performance of Droplet Digital PCR in Non-Invasive Fetal RHD Genotyping -
Comparison with a Routine Real-Time PCR Based Approach.
AB - Detection and characterization of circulating cell-free fetal DNA (cffDNA) from
maternal circulation requires an extremely sensitive and precise method due to
very low cffDNA concentration. In our study, droplet digital PCR (ddPCR) was
implemented for fetal RHD genotyping from maternal plasma to compare this new
quantification alternative with real-time PCR (qPCR) as a golden standard for
quantitative analysis of cffDNA. In the first stage of study, a DNA
quantification standard was used. Clinical samples, including 10 non-pregnant and
35 pregnant women, were analyzed as a next step. Both methods' performance
parameters-standard curve linearity, detection limit and measurement precision
were evaluated. ddPCR in comparison with qPCR has demonstrated sufficient
sensitivity for analysing of cffDNA and determination of fetal RhD status from
maternal circulation, results of both methods strongly correlated. Despite the
more demanding workflow, ddPCR was found to be slightly more precise technology,
as evaluated using quantitative standard. Regarding the clinical samples, the
precision of both methods equalized with decreasing concentrations of tested DNA
samples. In case of cffDNA with very low concentrations, variance parameters of
both techniques were comparable. Detected levels of fetal cfDNA in maternal
plasma were slightly higher than expected and correlated significantly with
gestational age as measured by both methods (ddPCR r = 0.459; qPCR r = 0.438).
PMID- 26562518
TI - Seeing the Big Picture: Size Perception Is More Context Sensitive in the Presence
of Others.
AB - This paper tests the hypothesis that social presence influences size perception
by increasing context sensitivity. Consistent with Allport's prediction, we
expected to find greater context sensitivity in participants who perform a visual
task in the presence of other people (i.e., in co-action) than in participants
who perform the task in isolation. Supporting this hypothesis, participants
performing an Ebbinghaus illusion-based task in co-action showed greater size
illusions than those performing the task in isolation. Specifically, participants
in a social context had greater difficulty perceiving the correct size of a
target circle and ignoring its surroundings. Analyses of delta plot functions
suggest a mechanism of interference monitoring, since that when individuals take
longer to respond, they are better able to ignore the surrounding circles.
However, this type of monitoring interference was not moderated by social
presence. We discuss how this lack of moderation might be the reason why the
impact of social presence on context sensitivity is able to be detected in tasks
such as the Ebbinghaus illusion.
PMID- 26562519
TI - Protective mechanisms of CA074-me (other than cathepsin-B inhibition) against
programmed necrosis induced by global cerebral ischemia/reperfusion injury in
rats.
AB - Many studies have demonstrated the key role of lysosomes in ischemic cell death
in the brain and have led to the "lysosomocentric" hypothesis. In this
hypothesis, the release of cathepsin-B due to a change of lysosomal membrane
permeabilization (LMP) or rupture is critical, and this can be prevented by its
inhibitors CA074 and CA074-me. However, the role of CA074-me in neuronal death
and its effect on the change of lysosomal membrane integrity after global
cerebral ischemia/reperfusion (I/R) injury is not clear, so we investigated this
here. Rat hippocampal CA1 neuronal death was evaluated after 20-min global
cerebral I/R injury. CA074-me (1 MUg, 10 MUg) were given
intracerebroventricularly 1h before ischemia or 1h post reperfusion. The changes
of heat shock protein 70 (Hsp70), cathepsin-B, lysosomal-associated membrane
protein 1 (LAMP-1), receptor-interacting protein 3 (RIP3), and the change of
lysosomal pH were evaluated respectively. Hippocampal CA1 neuronal programmed
necrosis induced by global cerebral I/R injury was prevented by CA074-me both pre
treatment and post-treatment. Diffuse cytoplasmic cathepsin-B and LAMP-1
immunostaining synchronized with the pyknotic nuclear changes 2 days post
reperfusion, and a rise of lysosomal pH with the leakage of DND-153, a dye of
lysosomes, after oxygen-glucose deprivation (OGD) was detected. Both of these
changes demonstrated the rupture of lysosomal membrane and the leakage of
cathepsin-B, and this was strongly inhibited by CA074-me pre-treatment. The
overexpression and nuclear translocation of RIP3 and the reduction of NAD(+)
level after I/R injury were also inhibited, while the upregulation of Hsp70 was
strengthened by CA074-me pre-treatment. Delayed fulminant leakage of cathepsin-B
due to lysosomal rupture is a critical harmful factor in neuronal programmed
necrosis induced by 20-min global I/R injury. In addition to being an inhibitor
of cathepsin-B, CA074-me may have an indirect neuroprotective effect by
maintaining lysosomal membrane integrity and protecting against lysosomal
rupture.
PMID- 26562522
TI - System model enabling fast tomographic phase microscopy with total variation
regularisation.
AB - Tomographic phase microscopy (TPM) facilitates three-dimensional imaging of live
cells based on quantitative measurement of the distribution of the refractive
index, but without the need for specific staining. However, the limited imaging
speed and the anisotropic resolution of the reconstructed refractive index map
remain major obstacles to the extension and further application of TPM. To
address these obstacles, we first formulate a general measurement model that
linearises the relationship between the measurement data and refractive index map
based on a system matrix. In this way, the measurement system is interpreted as a
linear system in a complete manner. Then we propose a reconstruction framework
for retrieving the refractive index map from the measurement data with reduced
angular sample frequency and limited angular coverage of illumination. The
framework aims to transform the reconstruction task into an optimisation scheme
based on total variation norm regularisation, followed by an efficient solution
using the accelerated alternating direction method of multipliers algorithm.
Using this method, only sparse angular illuminations need to be collected, thus
speeding up the imaging process. We obtained experimental results from both cell
mimic phantom data and real measurement data, which showed that the proposed
method can improve the imaging speed while still providing refractive index
images with better quality compared with a conventional reconstruction method.
PMID- 26562520
TI - Transplantation of human retinal pigment epithelial cells in the nucleus
accumbens of cocaine self-administering rats provides protection from seeking.
AB - Chronic exposure to drugs and alcohol leads to damage to dopaminergic neurons and
their projections in the 'reward pathway' that originate in the ventral tegmental
area (VTA) and terminate in the nucleus accumbens (NAc). This damage is thought
to contribute to the signature symptom of addiction: chronic relapse. In this
study we show that bilateral transplants of human retinal pigment epithelial
cells (RPECs), a cell mediated dopaminergic and trophic neuromodulator, into the
medial shell of the NAc, rescue rats with a history of high rates of cocaine self
administration from drug-seeking when returned, after 2 weeks of abstinence, to
the drug-associated chamber under extinction conditions (i.e., with no drug
available). Excellent survival was noted for the transplant of RPECs in the shell
and/or the core of the NAc bilaterally in all rats that showed behavioral
recovery from cocaine seeking. Design based unbiased stereology of tyrosine
hydroxylase (TH) positive cell bodies in the VTA showed better preservation
(p<0.035) in transplanted animals compared to control animals. This experiment
shows that the RPEC graft provides beneficial effects to prevent drug seeking in
drug addiction via its effects directly on the NAc and its neural network with
the VTA.
PMID- 26562521
TI - Quality of Sterile Male Tsetse after Long Distance Transport as Chilled,
Irradiated Pupae.
AB - BACKGROUND: Tsetse flies transmit trypanosomes that cause human and African
animal trypanosomosis, a debilitating disease of humans (sleeping sickness) and
livestock (nagana). An area-wide integrated pest management campaign against
Glossina palpalis gambiensis has been implemented in Senegal since 2010 that
includes a sterile insect technique (SIT) component. The SIT can only be
successful when the sterile males that are destined for release have a flight
ability, survival and competitiveness that are as close as possible to that of
their wild male counterparts. METHODOLOGY/PRINCIPAL FINDINGS: Tests were
developed to assess the quality of G. p. gambiensis males that emerged from pupae
that were produced and irradiated in Burkina Faso and Slovakia (irradiation done
in Seibersdorf, Austria) and transported weekly under chilled conditions to
Dakar, Senegal. For each consignment a sample of 50 pupae was used for a quality
control test (QC group). To assess flight ability, the pupae were put in a
cylinder filtering emerged flies that were able to escape the cylinder. The
survival of these flyers was thereafter monitored under stress conditions
(without feeding). Remaining pupae were emerged and released in the target area
of the eradication programme (RF group). The following parameter values were
obtained for the QC flies: average emergence rate more than 69%, median survival
of 6 days, and average flight ability of more than 35%. The quality protocol was
a good proxy of fly quality, explaining a large part of the variances of the
examined parameters. CONCLUSIONS/SIGNIFICANCE: The quality protocol described
here will allow the accurate monitoring of the quality of shipped sterile male
tsetse used in operational eradication programmes in the framework of the Pan
African Tsetse and Trypanosomosis Eradication Campaign.
PMID- 26562523
TI - Atomic Force Microscopy Characterization of Protein Fibrils Formed by the
Amyloidogenic Region of the Bacterial Protein MinE on Mica and a Supported Lipid
Bilayer.
AB - Amyloid fibrils play a crucial role in many human diseases and are found to
function in a range of physiological processes from bacteria to human. They have
also been gaining importance in nanotechnology applications. Understanding the
mechanisms behind amyloid formation can help develop strategies towards the
prevention of fibrillation processes or create new technological applications. It
is thus essential to observe the structures of amyloids and their self-assembly
processes at the nanometer-scale resolution under physiological conditions. In
this work, we used highly force-sensitive frequency-modulation atomic force
microscopy (FM-AFM) to characterize the fibril structures formed by the N
terminal domain of a bacterial division protein MinE in solution. The approach
enables us to investigate the fibril morphology and protofibril organization over
time progression and in response to changes in ionic strength, molecular
crowding, and upon association with different substrate surfaces. In addition to
comparison of the fibril structure and behavior of MinE1-31 under varying
conditions, the study also broadens our understanding of the versatile behavior
of amyloid-substrate surface interactions.
PMID- 26562524
TI - Comparative Study of SSVEP- and P300-Based Models for the Telepresence Control of
Humanoid Robots.
AB - In this paper, we evaluate the control performance of SSVEP (steady-state visual
evoked potential)- and P300-based models using Cerebot-a mind-controlled humanoid
robot platform. Seven subjects with diverse experience participated in
experiments concerning the open-loop and closed-loop control of a humanoid robot
via brain signals. The visual stimuli of both the SSVEP- and P300- based models
were implemented on a LCD computer monitor with a refresh frequency of 60 Hz.
Considering the operation safety, we set the classification accuracy of a model
over 90.0% as the most important mandatory for the telepresence control of the
humanoid robot. The open-loop experiments demonstrated that the SSVEP model with
at most four stimulus targets achieved the average accurate rate about 90%,
whereas the P300 model with the six or more stimulus targets under five
repetitions per trial was able to achieve the accurate rates over 90.0%.
Therefore, the four SSVEP stimuli were used to control four types of robot
behavior; while the six P300 stimuli were chosen to control six types of robot
behavior. Both of the 4-class SSVEP and 6-class P300 models achieved the average
success rates of 90.3% and 91.3%, the average response times of 3.65 s and 6.6 s,
and the average information transfer rates (ITR) of 24.7 bits/min 18.8 bits/min,
respectively. The closed-loop experiments addressed the telepresence control of
the robot; the objective was to cause the robot to walk along a white lane marked
in an office environment using live video feedback. Comparative studies reveal
that the SSVEP model yielded faster response to the subject's mental activity
with less reliance on channel selection, whereas the P300 model was found to be
suitable for more classifiable targets and required less training. To conclude,
we discuss the existing SSVEP and P300 models for the control of humanoid robots,
including the models proposed in this paper.
PMID- 26562525
TI - Generation of a human antibody that inhibits TSPAN8-mediated invasion of
metastatic colorectal cancer cells.
AB - Tetraspanin 8 (TSPAN8) is a tumor-associated antigen implicated in tumor
progression and metastasis. However, the validation of TSPAN8 as a potential
therapeutic target in metastatic colorectal cancer (mCRC) has not yet been
studied. In this study, through several in vitro methodologies, we identified a
large extracellular loop of TSPAN8 (TSPAN8-LEL) as a key domain for regulating
mCRC invasion. Using phage display technology, we developed a novel anti-TSPAN8
LEL human antibody with subnanomolar affinity that specifically recognizes amino
acids 140-205 of TSPAN8-LEL in a conformation-dependent manner. Finally, we
demonstrated that the antibody specifically reduces invasion in the HCT116 and
LoVo mCRC cell lines more potently than in the HCT-8 and SW480 non-mCRC cell
lines. Our data suggest that TSPAN8-LEL may play an important role in mCRC cell
invasion, and that the antibody we have developed could be a useful tool for
inhibiting the invasion of TSPAN8-expressing mCRCs.
PMID- 26562526
TI - A novel JAK-STAT inhibitor, 2-[(3-Carbamoyl-2-thienyl)amino]-2-oxoethyl(2,6
dichlorophenyl)acetate, suppresses helper T cell differentiation in vitro and
collagen-induced arthritis in vivo.
AB - Th17 cells, which have been implicated in autoimmune diseases including
rheumatoid arthritis (RA), require the JAK-STAT3 pathway for their
differentiation and functions. Recently, JAK inhibitors have been developed as a
therapeutic drug for RA. However, the current JAK inhibitors are not optimized to
STAT3 compared with other STATs. In this study, we found a new lead compound of a
small molecule JAK-STAT inhibitor, 2-[(3-Carbamoyl-2-thienyl)amino]-2-oxoethyl
(2,6-dichlorophenyl)acetate, which inhibits STAT3 as efficiently as other STATs.
This compound, named JI069, was selected by STAT3 reporter assay in combination
with an in silico docking model. JI069 inhibited gp130 signaling by inducing
dissociation between gp130 and JAK1. In HEK293T cells and primary T cells, JI069
suppressed STAT3 activation as efficiently as other STATs, including STAT1,
STAT5, and STAT6. JI069 effectively suppressed Th1, Th2, and Th17 differentiation
while strongly promoted iTreg differentiation. JI069 suppressed symptoms of the
collagen-induced arthritis (CIA) model in mice, and inhibited the cytokine
production from T cells as well as the STAT3 phosphorylation of synovial cells.
These data suggest that JI069 is a new type of JAK inhibitor which has potential
for the treatment of immunological disorders.
PMID- 26562527
TI - Effect of acid-sensing ion channel 1a on the process of liver fibrosis under
hyperglycemia.
AB - Metabolic syndrome characterized by hyperglycemia contributes to nonalcoholic
steatohepatitis-associated liver fibrosis. This study was to investigate the
effects of Acid-sensing ion Channel 1a (ASIC1a) on the process of liver fibrosis
under hyperglycemia. Results showed that high glucose significantly worsen the
pathology of liver fibrosis in vivo. In vitro, high glucose stimulated
proliferation, activation and extracellular matrix (ECM) production in HSCs, and
enhanced the effect of PDGF-BB on the activation and proliferation of HSCs. These
effects could be attenuated by ASIC1a specific inhibitor Psalmotoxin-1(PcTx1) or
specific ShRNA for ASIC1a through Notch1/Hes-1 pathways. These data indicate that
ASIC1a plays an important role in diabetes complication liver fibrosis.
PMID- 26562528
TI - Identification of Ligustrum lucidum pollen allergens using a proteomics approach.
AB - BACKGROUND: Ligustrum spp. are members of the Oleaceae family, one of the most
prominent allergic families worldwide. The genus Ligustrum contains approximately
fifty species, including Ligustrum lucidum, which have been widely cultivated as
ornamental plants, and its pollen is a source of inhalant allergens associated
with respiratory allergic diseases. Little is known about the presence of
allergenic proteins in L. lucidum. METHODS: The L. lucidum pollen proteins were
extracted by a modified phenolic extraction method. A pool of four sera from mono
sensitive patients was analyzed by 2DE immunoblotting and mass spectrometric
analysis was performed on 6 immunoreactive protein spots. RESULTS: SDS-PAGE of L.
lucidum pollen extract revealed proteins in ranges of 15-150 kDa. The 2DE gel
profile of the L. lucidum pollen protein extract showed approximately 180 spots,
and the 2DE immunoblots obtained using sera from Ligustrum monosensitive patients
as the source of IgE antibodies revealed six allergen protein spots,
corresponding to Profilin, Enolase, Fra e 9.01 (beta-1,3-glucanase), Pollen
specific Polygalacturonases, Alanine aminotransferase, and two ATP synthase beta
subunits. CONCLUSION: We report for the first time the identification of IgE
reactive proteins from L. lucidum.
PMID- 26562529
TI - Down-regulation of the miR-543 alleviates insulin resistance through targeting
the SIRT1.
AB - Insulin resistance plays an important role in the development of hypertension,
which is seriously detrimental to human health. Recently, Sirtuin-1 (SIRT1) has
been found to participate in regulation of insulin resistance. Therefore, further
studies focused on the SIRT1 regulators might provide a potential approach for
combating insulin resistance and hypertension. Interestingly, in this study, we
found that SIRT1 was the target gene of the miR-543 by the Dual-Luciferase
Reporter Assay. Moreover, the miR-543 expression notably increased in the insulin
resistant HepG2 cells induced by TNF-alpha. Further analysis showed that the
overexpression of the miR-543 lowered the SIRT1 mRNA and protein levels,
resulting in the insulin resistance in the HepG2 cells; the inhibition of miR
543, however, enhanced the mRNA and protein expression of the SIRT1, and
alleviated the insulin resistance. Furthermore, the SIRT1 overexpression
abrogated the effect of miR-543 on insulin resistance. In addition, the
overexpression of the miR-543 by the lentivirus-mediated gene transfer markedly
impaired the insulin signaling assessed by the Western blot analysis of the
glycogen synthesis and the phosphorylation of Akt and GSK3beta. In summary, our
study suggested that the downregulation of the miR-543 could alleviate the
insulin resistance via the modulation of the SIRT1 expression, which might be a
potential new strategy for treating insulin resistance and a promising
therapeutic method for hypertension.
PMID- 26562532
TI - Effect of Antibiotics on Gut Microbiota, Gut Hormones and Glucose Metabolism.
AB - OBJECTIVE: The gut microbiota has been designated as an active regulator of
glucose metabolism and metabolic phenotype in a number of animal and human
observational studies. We evaluated the effect of removing as many bacteria as
possible by antibiotics on postprandial physiology in healthy humans. METHODS:
Meal tests with measurements of postprandial glucose tolerance and postprandial
release of insulin and gut hormones were performed before, immediately after and
6 weeks after a 4-day, broad-spectrum, per oral antibiotic cocktail (vancomycin
500 mg, gentamycin 40 mg and meropenem 500 mg once-daily) in a group of 12 lean
and glucose tolerant males. Faecal samples were collected for culture-based
assessment of changes in gut microbiota composition. RESULTS: Acute and dramatic
reductions in the abundance of a representative set of gut bacteria was seen
immediately following the antibiotic course, but no changes in postprandial
glucose tolerance, insulin secretion or plasma lipid concentrations were found.
Apart from an acute and reversible increase in peptide YY secretion, no changes
were observed in postprandial gut hormone release. CONCLUSION: As evaluated by
selective cultivation of gut bacteria, a broad-spectrum 4-day antibiotics course
with vancomycin, gentamycin and meropenem induced shifts in gut microbiota
composition that had no clinically relevant short or long-term effects on
metabolic variables in healthy glucose-tolerant males. TRIAL REGISTRATION:
clinicaltrials.gov NCT01633762.
PMID- 26562533
TI - Perivascular Arrest of CD8+ T Cells Is a Signature of Experimental Cerebral
Malaria.
AB - There is significant evidence that brain-infiltrating CD8+ T cells play a central
role in the development of experimental cerebral malaria (ECM) during Plasmodium
berghei ANKA infection of C57BL/6 mice. However, the mechanisms through which
they mediate their pathogenic activity during malaria infection remain poorly
understood. Utilizing intravital two-photon microscopy combined with detailed ex
vivo flow cytometric analysis, we show that brain-infiltrating T cells accumulate
within the perivascular spaces of brains of mice infected with both ECM-inducing
(P. berghei ANKA) and non-inducing (P. berghei NK65) infections. However,
perivascular T cells displayed an arrested behavior specifically during P.
berghei ANKA infection, despite the brain-accumulating CD8+ T cells exhibiting
comparable activation phenotypes during both infections. We observed T cells
forming long-term cognate interactions with CX3CR1-bearing antigen presenting
cells within the brains during P. berghei ANKA infection, but abrogation of this
interaction by targeted depletion of the APC cells failed to prevent ECM
development. Pathogenic CD8+ T cells were found to colocalize with rare apoptotic
cells expressing CD31, a marker of endothelial cells, within the brain during
ECM. However, cellular apoptosis was a rare event and did not result in loss of
cerebral vasculature or correspond with the extensive disruption to its integrity
observed during ECM. In summary, our data show that the arrest of T cells in the
perivascular compartments of the brain is a unique signature of ECM-inducing
malaria infection and implies an important role for this event in the development
of the ECM-syndrome.
PMID- 26562535
TI - Left persistent superior vena cava as a source of focal atrial arrhythmias: A
late arrhythmia recurrence due to a latent proximal focus.
PMID- 26562534
TI - High PD-L1 Expression Correlates with Metastasis and Poor Prognosis in Oral
Squamous Cell Carcinoma.
AB - PD-L1 has been widely demonstrated to contribute to failed antitumor immunity.
Blockade of PD-L1 with monoclonal antibody could modulate the tumor immune
environment to augment immunotherapy. PD-L1 expression is also detected in
several types of cancer and is associated with poor prognosis. However, the
prognostic role of PD-L1 in oral squamous cell carcinoma (OSCC) is still
controversial. Our aim was to determine the role of PD-L1 in the prognosis of
OSCC patients to identify its potential therapeutic relevance. PD-L1
immunoreactivity was analyzed by immunohistochemistry in 305 cancer specimens
from primary OSCC patients. The medium follow-up time after surgery was 3.8 years
(range from 0.1 to 11.1 years). The prognostic value of PD-L1 on overall survival
was determined by Kaplan-Meier analysis and Cox proportional hazard models.
Higher PD-L1 expression is more likely in tumor tissues of female than male OSCC
patients (P = 0.0062). Patients with distant metastasis also had high PD-L1
expression (P = 0.0103). Multivariate analysis identified high PD-L1 expression
as an independent risk factor in males and smokers (males: hazard ratio = 1.556,
P = 0.0077; smokers: hazard ratio = 2.058, P = 0.0004). We suggest that PD-L1
expression, determined by IHC staining, could be an independent prognostic marker
for OSCC patients who are male or who have a smoking habit.
PMID- 26562536
TI - Mild anemia as a possible cause of false positive stress echocardiography in non
obstructive coronary artery disease: A pathophysiologic hypothesis.
PMID- 26562538
TI - Ni2+-Dependent and PsaR-Mediated Regulation of the Virulence Genes pcpA, psaBCA,
and prtA in Streptococcus pneumoniae.
AB - Previous studies have shown that the transcriptional regulator PsaR regulates the
expression of the PsaR regulon consisting of genes encoding choline binding
protein (PcpA), the extracellular serine protease (PrtA), and the Mn2+-uptake
system (PsaBCA), in the presence of manganese (Mn2+), zinc (Zn2+), and cobalt
(Co2+). In this study, we explore the Ni2+-dependent regulation of the PsaR
regulon. We have demonstrated by qRT-PCR analysis, metal accumulation assays,
beta-galactosidase assays, and electrophoretic mobility shift assays that an
elevated concentration of Ni2+ leads to strong induction of the PsaR regulon. Our
ICP-MS data show that the Ni2+-dependent expression of the PsaR regulon is
directly linked to high, cell-associated, concentration of Ni2+, which reduces
the cell-associated concentration of Mn2+. In vitro studies with the purified
PsaR protein showed that Ni2+ diminishes the Mn2+-dependent interaction of PsaR
to the promoter regions of its target genes, confirming an opposite effect of
Mn2+ and Ni2+ in the regulation of the PsaR regulon. Additionally, the Ni2+
dependent role of PsaR in the regulation of the PsaR regulon was studied by
transcriptome analysis.
PMID- 26562539
TI - Allosteric sites: remote control in regulation of protein activity.
AB - The presence of multiple allosteric sites in proteins motivates development of
allosteric drugs-modulators of protein activity with potentially higher
specificity and less toxicity than traditional orthosteric compounds. A quest for
allosteric control of any protein starts from the identification and
characterization of allosteric sites. Protein dynamics is the basis for
allosteric communication. Binding of effector molecules to allosteric sites
modulates structural dynamics, thus affecting activity of remote functional
sites. We review here theoretical concepts and experimental approaches for
exploring allosteric sites, their role in allosteric regulation, and ways to
assess their druggability. Key steps of the design procedure aimed at obtaining
allosteric drugs with required agonistic/antagonistic effect are proposed, and
their computational and experimental elements are discussed.
PMID- 26562537
TI - Intracranial delivery of interleukin-17A via adeno-associated virus fails to
induce physical and learning disabilities and neuroinflammation in mice but
improves glucose metabolism through AKT signaling pathway.
AB - Interleukin-17A (IL-17A) is generally considered as one of the pathogenic factors
involved in multiple sclerosis (MS). Indirect evidence for this is that IL-17A
producing T helper 17 (Th17) cells preferentially accumulate in lesions of MS and
experimental autoimmune encephalomyelitis (EAE). However, a direct involvement of
IL-17A in MS pathogenesis is still an open question. In this study, we
overexpressed IL-17A in the brains of mice (IL-17A-in-Brain mice) via recombinant
adeno-associated virus serotype 5 (rAAV5)-mediated gene delivery. In spite of
high levels of IL-17A expression in the brain and blood, IL-17A-in-Brain mice
exhibit no inflammatory responses and no abnormalities in motor coordination and
spatial orientation. Unexpectedly, IL-17A-in-Brain mice show decreases in body
weight and adipose tissue mass and an improvement in glucose tolerance and
insulin sensitivity. IL-17A enhances glucose uptake in PC12 cells by activation
of AKT. Our results provide direct evidence for the first time that IL-17A
overexpression in the central nervous system does not cause physical and learning
disabilities and neuroinflammation and suggest that IL-17A may regulate glucose
metabolism through the AKT signaling pathway.
PMID- 26562541
TI - Hybrid triazoles: Design and synthesis as potential dual inhibitor of growth and
efflux inhibition in tuberculosis.
AB - Efflux inhibition is proven bacterial machinery responsible for removal of
bacterial wastage including antibiotics. Recently, efflux inhibitors (EI) have
been tested with encouraging results as an adjuvant therapy for treatment of
tuberculosis (TB). Although, EI have emerged as innovative approach of treatment
for multi drug resistant (MDR) & extensively drug resistant tuberculosis (XDR
TB), toxicity profile limits their wider use. To address this issue, we have
attempted synthesizing hybrid molecules those results by combining known EI and
triazole. This synthesis was aimed to arrive at structure that possesses
pharmacophore from known EI. Synthesized molecules were evaluated as growth
inhibitors (GI) and Efflux inhibitor of TB initially against Mycobacterium
smegmatis mc(2)155. Pharmacologically active compounds were then tested for their
cytotoxicity to further narrow down search. Most active compounds 144, 145, 154
and 163 were then tested for their GEI action against Mycobacterium tuberculosis
(Mtb). Synthesized compounds were also tested for their synergistic action with
first line and second line anti-TB drugs and ethidium bromide (EtBr). We arrived
at compound 135 as most potent dual inhibitor of tuberculosis.
PMID- 26562540
TI - Fetal Stomach Position Predicts Neonatal Outcomes in Isolated Left-Sided
Congenital Diaphragmatic Hernia.
AB - INTRODUCTION: We sought to determine the relationship between the degree of
stomach herniation by antenatal sonography and neonatal outcomes in fetuses with
isolated left-sided congenital diaphragmatic hernia (CDH). MATERIALS AND METHODS:
We retrospectively reviewed neonatal medical records and antenatal sonography of
fetuses with isolated left CDH cared for at a single institution (2000-2012).
Fetal stomach position was classified on sonography as follows: intra-abdominal,
anterior left chest, mid-to-posterior left chest, or retrocardiac (right chest).
RESULTS: Ninety fetuses were included with 70% surviving to neonatal discharge.
Stomach position was intra-abdominal in 14% (n = 13), anterior left chest in 19%
(n = 17), mid-to-posterior left chest in 41% (n = 37), and retrocardiac in 26% (n
= 23). Increasingly abnormal stomach position was linearly associated with an
increased odds of death (OR 4.8, 95% CI 2.1-10.9), extracorporeal membrane
oxygenation (ECMO; OR 5.6, 95% CI 1.9-16.7), nonprimary diaphragmatic repair (OR
2.7, 95% CI 1.4-5.5), prolonged mechanical ventilation (OR 5.9, 95% CI 2.3-15.6),
and prolonged respiratory support (OR 4.0, 95% CI 1.6-9.9). All fetuses with
intra-abdominal stomach position survived without substantial respiratory
morbidity or need for ECMO. DISCUSSION: Fetal stomach position is strongly
associated with neonatal outcomes in isolated left CDH. This objective tool may
allow for accurate prognostication in a variety of clinical settings.
PMID- 26562542
TI - Novel nonsecosteroidal VDR ligands with phenyl-pyrrolyl pentane skeleton for
cancer therapy.
AB - A series of nonsecosteroidal vitamin D3 receptor (VDR) ligands with phenyl
pyrrolyl pentane skeleton were synthesized for cancer therapy. In contrast to
1alpha,25-dihydroxyvitamin D3 (Calcitriol), these VDR ligands exhibited anti
proliferative activity without inducing hypercalcemia. These compounds were
evaluated for vitamin D3-agonistic ability and anti-proliferative activity in
vitro. Among them, compounds 5k and 5i exhibited equivalent vitamin D3-agonistic
activity compared with Calcitriol. Meanwhile, compound 5k displayed promising
inhibiting profile against MCF-7, HepG-2 and Caco-2 with IC50 values of 0.00586
MUM, 0.176 MUM, and 1.01 MUM (Calcitriol: 5.58 MUM, 80.83 MUM and 4.46 MUM)
respectively. Compound 5i inhibited proliferation of PC-3 with IC50 value of
0.00798 MUM (Calcitriol: 17.25 MUM). Additionally, neither of these compounds
significantly elevated serum calcium in rats.
PMID- 26562543
TI - Pivotal role of glycogen synthase kinase-3: A therapeutic target for Alzheimer's
disease.
AB - Neurodegenerative diseases are among the most challenging diseases with poorly
known mechanism of cause and paucity of complete cure. Out of all the
neurodegenerative diseases, Alzheimer's disease is the most devastating and
loosening of thinking and judging ability disease that occurs in the old age
people. Many hypotheses came forth in order to explain its causes. In this
review, we have enlightened Glycogen Synthase Kinase-3 which has been considered
as a concrete cause for Alzheimer's disease. Plaques and Tangles (abnormal
structures) are the basic suspects in damaging and killing of nerve cells wherein
Glycogen Synthase Kinase-3 has a key role in the formation of these fatal
accumulations. Various Glycogen Synthase Kinase-3 inhibitors have been reported
to reduce the amount of amyloid-beta as well as the tau hyperphosphorylation in
both neuronal and nonneuronal cells. Additionally, Glycogen Synthase Kinase-3
inhibitors have been reported to enhance the adult hippocampal neurogenesis in
vivo as well as in vitro. Keeping the chemotype of the reported Glycogen Synthase
Kinase-3 inhibitors in consideration, they may be grouped into natural
inhibitors, inorganic metal ions, organo-synthetic, and peptide like inhibitors.
On the basis of their mode of binding to the constituent enzyme, they may also be
grouped as ATP, nonATP, and allosteric binding sites competitive inhibitors. ATP
competitive inhibitors were known earlier inhibitors but they lack efficient
selectivity. This led to find the new ways for the enzyme inhibition.
PMID- 26562544
TI - Anti-Candida activity and cytotoxicity of a large library of new N-substituted
1,3-thiazolidin-4-one derivatives.
AB - On the basis of the recent findings about the biological properties of
thiazolidinones and taking into account the encouraging results about the
antifungal activity of some (thiazol-2-yl)hydrazines, new N-substituted
heterocyclic derivatives were designed combining the thiazolidinone nucleus with
the hydrazonic portion. In details, 1,3-thiazolidin-4-ones bearing
(cyclo)aliphatic or (hetero)aromatic moieties linked to the N1-hydrazine at C2
were synthesized and classified into three series according to the aromatic or
bicyclic rings connected to the lactam nitrogen of the thiazolidinone. These
molecules were assayed for their anti-Candida effects in reference to the
biological activity of the conventional topic (clotrimazole, miconazole,
tioconazole) and systemic drugs (fluconazole, ketoconazole, amphotericin B).
Finally, we investigated the selectivity against fungal cells by testing the
compounds endowed with the best MICs on Hep2 cells in order to assess their cell
toxicity (CC50) and we noticed that two derivatives were less cytotoxic than the
reference drug clotrimazole. Moreover, a preliminary molecular modelling approach
has been performed against lanosterol 14-alpha demethylase (CYP51A1) to
rationalize the activity of the tested compounds and to specify the target
protein or enzyme.
PMID- 26562545
TI - Development of highly potent phosphodiesterase 10A (PDE10A) inhibitors: Synthesis
and in vitro evaluation of 1,8-dipyridinyl- and 1-pyridinyl-substituted
imidazo[1,5-a]quinoxalines.
AB - Herein we report the synthesis of fluorinated inhibitors of phosphodiesterase 10A
(PDE10A) which can be used potentially as lead structure for the development of a
(18)F-labeled PDE10A imaging agent for positron emission tomography. The use of
ortho-fluoropyridines as residues could potentially enable the introduction of
(18)F through nucleophilic substitution for radiolabeling purposes. 2
Fluoropyridines are introduced by a Suzuki coupling at different positions of the
molecule. The reference compounds, 1,8-dipyridinylimidazo[1,5-a]quinoxalines and
1-pyridinylimidazo[1,5-a]quinoxalines, show inhibitory potencies at best in the
subnanomolar range and selectivity factors greater than 38 against other PDE's.
1,8-Dipyridinylimidazo[1,5-a]quinoxalines are more potent inhibitors than 1
pyridinylimidazo[1,5-a]quinoxalines. Using 2-fluoro-3-pyridinyl as residue
provided the most potent inhibitors 16 (IC50 = 0.12 nM), 17 (IC50 = 0.048 nM) and
32 (IC50 = 0.037 nM).
PMID- 26562546
TI - Comparative study of structural models of Leishmania donovani and human GDP
mannose pyrophosphorylases.
AB - Leishmania is the parasite responsible for the neglected disease leishmaniasis.
Its virulence and survival require biosynthesis of glycoconjugates, whose
guanosine diphospho-d-mannose pyrophosphorylase (GDP-MP) is a key player.
However, experimentally resolved structures of this enzyme are still lacking. We
herein propose structural models of the GDP-MP from human and Leishmania
donovani. Based on a multiple sequences alignment, the models were built with
MODELLER and then carefully refined with all atom molecular dynamics simulations
in explicit solvent. Their quality was evaluated against several standard
criteria, including their ability to bind GDP-mannose assessed by redocking
calculations. Special attention was given in this study to interactions of the
catalytic site residues with the enzyme substrate and competitive inhibitors,
opening the perspective of medicinal chemistry developments.
PMID- 26562548
TI - Controlled synthesis of N,N,N-trimethyl chitosan for modulated bioadhesion and
nasal membrane permeability.
AB - In an experiment to explore the bioadhesion, biocompatibility, and membrane
permeation properties, the controlled synthesis of N,N,N-trimethyl chitosan (TMC)
was carried out by two-step reductive methylation of chitosan (CHT). Methylation
was confirmed by (1)H NMR (delta=3.1 ppm) and FTIR analysis (CH stretch at 1,485
cm(-1)). The TMC was further characterized by DSC, TGA, XRD, HR-TEM, SEM, and
elemental analysis. Findings revealed improved solubility, enhanced viscosity,
increased swelling index and higher molecular weight of TMC over CHT. Comparative
evaluation validated increased bioadhesion potential, and improved ex vivo
biocompatibility of TMC compared to CHT. Increased bioadhesion of TMC NPs over
CHT NPs can be attributed to the strong electrostatic interactions between
cationic amino groups with anionic sialic and sulfonic acid moieties contained in
the mucin of the nasal mucus. Ex vivo biocompatibility studies suggested that the
NP formulations of both biopolymers were biocompatible and could be applied
safely on the nasal epithelium. Ex vivo permeation studies executed on excised
cattle nasal mucosa illustrated improved permeability of TMC NPs over CHT NPs. In
the author's opinion, two-step reductive methylation of CHT could be an
attractive strategy to improve its solubility, bioadhesion, and permeation
characteristics without affecting biocompatibility across the mucosal surfaces.
PMID- 26562547
TI - The role of glycerol and water in flexible silk sericin film.
AB - Silk sericin (SS) can be obtained as a byproduct during the silk fiber process,
but its application has been limited due to the brittleness of the SS film. To
enhance the flexibility of the SS film, glycerol (Glc) has been added as a
plasticizer. The addition of Glc enhanced the elongation property of the SS film
when the Glc content was 50-70 wt% of SS. Glc also induced the structural
transition of SS from a random coil structure to a beta-sheet structure. The
inconsistent increase of elongation and beta-sheet structure of the SS/Glc film
were explained by the content of moisture in the SS/Glc film. The moisture
content of the SS/Glc film increased proportionally when the Glc content was
higher than 50 wt% of SS, which was the same Glc content range that exhibited the
plasticizing effect. Therefore, the plasticizing effect on the SS film may occur
not only because of Glc but also because of water. Furthermore, water also
contributed to the increase in the beta-sheet structure development. Our results
suggest that the moisture content in the plasticized protein film may play an
important role when the plasticizer has hygroscopic properties.
PMID- 26562549
TI - Polygonatum odoratum lectin induces apoptosis and autophagy by regulation of
microRNA-1290 and microRNA-15a-3p in human lung adenocarcinoma A549 cells.
AB - Polygonatum odoratum lectin (POL), a mannose-binding specific Galanthus nivalis
agglutinin (GNA)-related lectin has been reported with remarkable anti
proliferative and apoptosis-inducing effects against several tumor cells. Our
previous research revealed that POL can induce apoptosis and autophagy in A549
cells. However, whether microRNAs (miRNAs) are involved in POL-induced apoptosis
and autophagy in A549 cells has not been investigated. The aim of this study was
to evaluate whether miRNAs were involved in POL-induced apoptosis and autophagy
in A549 cells. In the present study, we performed microarray analysis on A549
cells to identify altered miRNAs after POL treatment. We found that miR-1290 was
down-regulated after POL treatment and down-regulated miR-1290 amplifies POL
induced apoptosis in A549 cells. Moreover, we revealed that glycogen synthase
kinase-3beta (GSK3beta) was a direct target of miR-1290 and POL treatment could
result in Wnt pathway down regulation. We also found that miR-15a-3p was up
regulated after POL treatment and over-expression of miR-15a-3p resulted in A549
cells apoptosis and autophagy. In addition, we confirmed that a miR-15a-3p
mediated ROS-p53 pathway was involved in POL-induced apoptosis and autophagy in
A549 cells. Taken together, these data provide evidence that POL induces A549
cells apoptosis and autophagy by regulation of miR-1290 and miR-15a-3p.
PMID- 26562550
TI - Crystallinity, thermal and pasting properties of starches from different potato
cultivars grown in Brazil.
AB - Starches from different potato cultivars were characterized as amylose and
phosphorus content, crystallinity, thermal and paste properties. Statistical
analysis of amylose content showed difference between starches samples and the
cultivars Asterix and BRS Clara showed higher contents than others. Phosphorus
content ranged from 633 to 966.7 mg kg(-1). The X-ray diffraction analysis showed
that the crystallization of potato starches can be classified as B-type X-ray
pattern, presenting crystallinity index ranging from 20.02% to 21.59%. Regarding
thermal properties, the onset temperature did not show statistical difference
between starch samples, in gelatinization and retrogradation analysis. As
expected there was a significant decrease in peak temperature and enthalpy after
the retrogradation of starches. For the pasting properties results showed
significant difference in all cultivars. Pearson correlation coefficients showed
that amylose content was negatively correlated to the onset, peak and final
temperature of gelatinization, final temperature of retrogradation and pasting
temperature. Phosphorus content was positively correlated to crystallinity index,
peak viscosity and breakdown. Starches extracted from potato varieties showed
different characteristics which can be useful to food and related industries that
make use of potato starch, allowing wide options of use in various sectors of
industrial application in Brazil.
PMID- 26562551
TI - Synthesis, characterization and antibacterial studies of ruthenium(III) complexes
derived from chitosan schiff base.
AB - Chitosan can be modified chemically by condensation reaction of deacetylated
chitosan with aldehyde in homogeneous phase. This condensation is carried by
primary amine (NH2) with aldehyde (CHO) to form corresponding schiff base. The
chitosan biopolymer schiff base derivatives are synthesized with substituted
aldehydes namely 4-hydroxy-3-methoxy benzaldehyde, 2-hydroxy benzaldehyde, and 2
hydroxy-3-methoxy benzaldehyde, becomes a complexing agent or ligand. The
Ruthenium(III) complexes were obtained by complexation of Ruthenium with schiff
base ligands and this product exhibits as an excellent solubility and more
biocompatibility. The novel series of schiff base Ruthenium(III) complexes are
characterized by Elemental analysis, FT-IR spectroscopy, and Thermo-gravimetric
analysis (TGA). The synthesized complexes have been subjected to antibacterial
study. The antibacterial results indicated that the antibacterial activity of the
complexes were more effective against Gram positive and Gram negative pathogenic
bacteria. These findings are giving suitable support for developing new
antibacterial agent and expand our scope for applications.
PMID- 26562552
TI - Healing of skin wounds with a chitosan-gelatin sponge loaded with tannins and
platelet-rich plasma.
AB - A chitosan-gelatin sponge (CSGT) was prepared using a chitosan/ascorbic acid
solution blend containing gelatin, followed by crosslinking with tannin acid and
freeze-drying, thereby combining the chitosan sponge and gelatin sponge. The
structure of the CSGT was observed by scanning electron microscopy and was shown
to have uniform and abundant pores measuring about 145-240MUm in size. We also
characterized the sponges by infrared spectroscopy, thermogravimetric analysis,
mechanical property tests, swelling behavior analysis, water retention capacity
tests, antibacterial property analysis, and cytotoxicity tests. Our data showed
that the CSGT had good thermostability and mechanical properties as well as
efficient water absorption and retention capacities. Moreover, the CSGT could
effectively inhibit the growth of Escherichia coli and Staphylococcus aureus with
low toxicity. In animal experiments, macroscopic observations and histological
examinations showed that the wound covered by the CSGT healed quickly.
Additionally, loading of the CSGT with platelet-rich plasma resulted in further
acceleration of wound healing. Therefore, the CSGT and the CSGT with platelet
rich plasma were suitable for application as a wound dressing and may have
potential for use in various biomedical applications.
PMID- 26562553
TI - Extraction, purification and elicitor activities of polysaccharides from
Chrysanthemum indicum.
AB - Polysaccharides isolated from Chrysanthemum indicum were studied for their
pathogen-derived resistance against Sclerotium rolfsii sacc in Atractylodis
maceocephalae koidz. The total sugar content and monosaccharide analysis were
determined by phenol-sulfuric acid method and gas chromatography, and infrared
spectroscopy performed for simple structure information. The activities of CAT
and POD as protective enzymes in A. maceocephalae leaves were evaluated. The
purified polysaccharides exhibited strong CAT and POD activities in inoculated
with S. rolfsii in A. macrocephala leaves, attained the maximum value 568.3 Ug(
1)min(-1) and 604.4 Ug(-1)min(-1)respectively. Whereas, when compared with the
control plants, 20mg/ml purified polysaccharides exhibited the strongest CAT and
POD activities. Notably, the treatments of A. macepcephalae seedlings with C.
indicum polysaccharides (CIP) decreased disease index development caused by S.
rolfsii. The disease index after 10 days was significantly reduced when the
seedlings treated with 20mg/ml CIP, 4.41 compared to the control plants 32.00.
Given together, these results indicated that purified polysaccharides derived
from C. indicum may be useful as a natural inducer.
PMID- 26562554
TI - Micelle induced dissociation of DNA-ligand complexes: The effect of ligand
binding specificity.
AB - We investigate the SDS micelle induced dissociation of a small fluorescent ligand
4',6-diamidino-2-phenylindole (DAPI) bound to DNAs of varying sequences. Steady
state and time resolved fluorescence measurements affirm minor groove binding of
DAPI to poly(dA).poly(dT) and calf thymus DNA while it intercalates in
poly(dG).poly(dC). Calorimetric measurements identify the former mode to be
entropy driven and the intercalation to be enthalpy driven. Addition of SDS
micelles extracts the ligand out of the DNA and relocates it into the micelle
independent of the DNA-ligand binding mode. This process is found to be
endothermic which is compensated by a huge gain in the entropy. Circular
dichroism measurements indicate that the micelles do not affect the structure of
DNAs, however, binding and un-binding of DAPI can introduce noticeable alteration
in the DNA structure and consequently on the associated hydration which is
reflected in solvation measurement. Consideration of a simple two step
equilibrium model seems inadequate to account for the observed thermodynamic
costs in the dissociation process. The results have been discussed on the basis
of an intricate enthalpy-entropy balance.
PMID- 26562555
TI - Polymeric hollow spheres assembled from ALG-g-PNIPAM and beta-cyclodextrin for
controlled drug release.
AB - In this paper, thermo-sensitive polymeric hollow spheres assembled from sodium
alginate-graft-poly(N-isopropylacrylamide) (ALG-g-PNIPAM) and beta-cyclodextrin
(beta-CD) were prepared for controlled release of 5-fluorouracil (5-FU). In
aqueous solutions, beta-CD and PNIPAM formed rod-like segments through inclusion
complexation interactions and sodium alginate acted as coil segments, which
resulted in the formation of hollow structures. The size and wall thickness of
assemblies increased with the increase of beta-CD in mixtures. The lower critical
solution temperature (LCST) of hollow spheres varied in the range of 35-37
degrees C. The hollow spheres exhibited high drug loading efficiency for 5-FU due
to the hydrophilic cavities. The initial composition of mixtures, temperature and
pH had a significant effect on the inclusion ability and drug release. Increasing
temperatures above the LCST or decreasing pH to acidic conditions, a more rapid
release rate was observed.
PMID- 26562556
TI - beta-Glucan as an encapsulating agent: Effect on probiotic survival in simulated
gastrointestinal tract.
AB - Three strains of probiotics Lactobacillus casei, Lactobacillus brevis, and
Lactobacillus plantarum were encapsulated in beta-glucan matrix using emulsion
technique. Further the encapsulated cells were studied for their tolerance in
simulated gastrointestinal conditions and its storage stability. The average
encapsulation efficiency of beta-glucan-probiotic beads was found to be 74.01%.
The surface morphology of beta-glucan containing bacteria was studied using SEM.
The noteworthy absorptions in the FT-IR spectra between 1300-900 cm(-1) and 2918
2925 cm(-1) corresponds to the presence of bacteria into the glucan matrix. Also,
the thermal stability of beta-glucan was evaluated using Differential Scanning
Calorimeter. The efficiency of beta-glucan in protecting the surviability of
probiotic cells under simulated gastrointestinal conditions was studied. Results
revealed significant (p<0.05) improvement to tolerance when the encapsulated
cells were subjected to stresses like low pH, heat treatment, simulated
intestinal conditions and storage.
PMID- 26562557
TI - Feasibility study of the natural derived chitosan dialdehyde for chemical
modification of collagen.
AB - The aim of this study is to evaluate the chemical crosslinking effects of the
natural derived chitosan dialdehyde (OCS) on collagen. Fourier transform infrared
(FTIR) spectroscopy, differential scanning calorimetry (DSC) and circular
dichroism (CD) measurements suggest that introducing OCS might not destroy the
natural triple helix conformation of collagen but enhance the thermal-stability
of collagen. Meanwhile, a denser fibrous network of cross-linked collagen is
observed by atomic force microscopy. Further, scanning electron microscopy (SEM)
and aggregation kinetics analysis confirm that the fibrillation process of
collagen advances successfully and OCS could lengthen the completion time of
collagen fibrillogenesis but raise the reconstitution rate of collagen fibrils or
microfibrils. Besides, the cytocompatibility analysis implies that when the
dosage of OCS is less than 15%, introducing OCS into collagen might be favorable
for the cell's adhesion, growth and proliferation. Taken as a whole, the present
study demonstrates that OCS might be an ideal crosslinker for the chemical
fixation of collagen.
PMID- 26562558
TI - Trends in Incidence of Neuroendocrine Neoplasms in Norway: A Report of 16,075
Cases from 1993 through 2010.
AB - BACKGROUND: Epidemiological studies show an increasing trend in the incidence of
neuroendocrine neoplasms (NENs). A significant number of NENs occur in less
common primary sites, but they are often excluded from the population-based
studies. We studied the incidence trends of all NENs in Norway according to
different primary sites. MATERIALS AND METHODS: Our analyses were based on cancer
cases diagnosed between 1993 and 2010 and reported to the national population
based Cancer Registry of Norway. A total of 65 morphological codes were
identified as neuroendocrine and stratified into 3 different groups of
aggressiveness: low, intermediate and high. RESULTS: We identified 16,075 NENs of
which 49.5% were in women. The median age at diagnosis was 65 years. The most
common primary sites were the lung (48.1%) and the gastroenteropancreatic system
(18.0%). Stage at diagnosis was local in 40.4% of the cases, regional in 17.5%
and distant in 42.1%. The stage distribution was stable throughout the study
period. The age-standardized (European) incidence rate (per 100,000 person-years)
increased from 13.3 in 1993 to 21.3 in 2010 with an estimated annual increase of
5.1% in women and 2.1% in men. The increase was most pronounced for tumors of
intermediate aggressiveness from 3.3 in 1993 to 7.3 in 2010. The largest annual
increases were estimated for the adrenal gland (8.8%), the pancreas (6.9%) and
the lungs (6.1%). CONCLUSION: The incidence of NENs increased. Most primary
tumors were found in the lungs or in the gastroenteropancreatic system. The
increase in the incidence differed according to the primary site, gender and
tumor aggressiveness.
PMID- 26562559
TI - A single amino acid substitution in movement protein of tomato torrado virus
influences ToTV infectivity in Solanum lycopersicum.
AB - Tomato torrado virus (ToTV), which is a tomato-infecting member of the genus
Torradovirus, induces severe systemic necrosis in Solanum lycopersicum cv. Beta
Lux as well as leaf malformation and chlorosis in Nicotiana benthamiana. To date,
neither the tomato gene conferring resistance to the pathogen nor the ToTV
encoded necrosis determinant have been characterized. We herein revealed that the
phenylalanine 210 residue in the movement protein domain encoded by ToTV RNA2 is
a necrosis-inducing pathogenicity determinant during tomato infection. Using a
ToTV infectious RNA2 clone, we performed site-directed mutagenesis of the
phenylalanine 210 residue, confirming its importance during ToTV infection and
symptom manifestation in S. lycopersicum cv. Beta Lux, but not in N. benthamiana.
PMID- 26562561
TI - Diagnostic and Therapeutic Challenges.
PMID- 26562560
TI - Annual and diurnal variations of gaseous and particulate pollutants in 31
provincial capital cities based on in situ air quality monitoring data from China
National Environmental Monitoring Center.
AB - Long-term air quality data with high temporal and spatial resolutions are needed
to understand some important processes affecting the air quality and
corresponding environmental and health effects. The annual and diurnal variations
of each criteria pollutant including PM2.5 and PM10 (particulate matter with
aerodynamic diameter less than 2.5 MUm and 10 MUm, respectively), CO (carbon
monoxide), NO2 (nitrogen dioxide), SO2 (sulfur dioxide) and O3 (ozone) in 31
provincial capital cities between April 2014 and March 2015 were investigated by
cluster analysis to evaluate current air pollution situations in China, and the
cities were classified as severely, moderately, and slightly polluted cities
according to the variations. The concentrations of air pollutants in winter
months were significantly higher than those in other months with the exception of
O3, and the cities with the highest CO and SO2 concentrations were located in
northern China. The annual variation of PM2.5 concentrations in northern cities
was bimodal with comparable peaks in October 2014 and January 2015, while that in
southern China was unobvious with slightly high PM2.5 concentrations in winter
months. The concentrations of particulate matter and trace gases from primary
emissions (SO2 and CO) and NO2 were low in the afternoon (~16:00), while diurnal
variation of O3 concentrations was opposite to that of other pollutants with the
highest values in the afternoon. The most polluted cities were mainly located in
North China Plain, while slightly polluted cities mostly focus on southern China
and the cities with high altitude such as Lasa. This study provides a basis for
the formulation of future urban air pollution control measures in China.
PMID- 26562562
TI - LONG-TERM RESULTS OF COMBINED AB INTERNO TRABECULOTOMY (TRABECTOME) AND SMALL
GAUGE PARS PLANA VITRECTOMY.
AB - PURPOSE: To report outcomes of patients who have undergone combined Trabectome
and pars plana vitrectomy. METHODS: Institutional Review Board-approved
retrospective chart review of patients seen at the Cincinnati Eye Institute
before January 2014 undergoing combined Trabectome and pars plana vitrectomy for
uncontrolled glaucoma and visually significant retina pathology. Charts were
reviewed to identify changes in intraocular pressure, visual acuity, and change
in glaucoma medication requirement up to 1 year after surgery. RESULTS: Four
patients met the inclusion criteria with 12-month follow-up, and two of the
patients were male. All patients underwent 25-gauge pars plana vitrectomy and
Trabectome surgery. Mean preoperative LogMAR visual acuity was 0.39 (20/49) and
12-month LogMAR visual acuity was 0.21 (20/32) (P = 0.06). Mean preoperative
intraocular pressure was 17 mmHg and mean preoperative glaucoma medication
requirement was 2.5 topical medications. Twelve-month mean intraocular pressure
was 12.8 mmHg (P = 0.07), and mean topical glaucoma medication requirement was
2.3 medications (P = 0.39). All patients were off steroids and anti
inflammatories at the final visit. One patient developed a hyphema requiring
anterior chamber washout at 1 week. No other complications occurred. CONCLUSION:
The results suggest that combined Trabectome and pars plana vitrectomy seems
effective in the management of glaucoma in patients with visually significant
retina pathology.
PMID- 26562563
TI - REAL-TIME FULL-DEPTH VISUALIZATION OF POSTERIOR OCULAR STRUCTURES: Comparison
Between Full-Depth Imaging Spectral Domain Optical Coherence Tomography and Swept
Source Optical Coherence Tomography.
AB - PURPOSE: To compare the real-time visualization of vitreoretino-choroidal
structures using full-depth imaging (FDI) spectral domain optical coherence
tomography (SD-OCT) and swept-source (SS)-OCT. METHODS: Foveal scans using both
FDI SD-OCT (Heidelberg Spectralis) and SS-OCT (Topcon Deep Range Imaging-OCT-1)
were obtained in 40 normal eyes, 40 eyes with macular pathologies, and 40 eyes
with glaucoma. Full-depth imaging SD-OCT images were obtained by manually
enhancing the vitreoretinal interface first and then the choroid while averaging
each OCT B-scan 100 times. Swept-source-OCT images were obtained by averaging
each B-scan 96 times. After masking and randomly mixing the original OCT images,
two independent physicians graded visualization of the premacular bursa,
interdigitation zone line, and chorioscleral boundary, and also sharpness of
choroidal structures. RESULTS: A real-time full-depth image of vitreoretino
choroidal structures was successfully achieved with FDI SD-OCT in 118 cases
(98.3%) and with SS-OCT in 45 cases (37.5%, P < 0.001). Full-depth imaging SD-OCT
imaging was superior to SS-OCT imaging in visualizing the anterior border of the
premacular bursa in 109 eyes (90.8%), with average grading of 1.63 +/- 0.53 for
the FDI SD-OCT and 0.39 +/- 0.52 for the SS-OCT (P < 0.001). Swept-source-OCT was
similar to FDI SD-OCT in visualizing the chorioscleral boundary in 108 eyes
(90.0%), with average grading of 1.81 +/- 0.39 for the SS-OCT and 1.78 +/- 0.38
for the FDI-OCT (P = 0.566). The visualization of the interdigitation zone line
was identical in the 2 imaging instruments (P = 1.000). The sharpness of the
choroidal structures was greater with SS-OCT than with FDI-OCT (P < 0.001).
CONCLUSION: Manual double-enhancing FDI technique using SD-OCT provided a good
compromise between vitreous and retinochoroidal structures visualization in real
time during scanning procedure. In contrast, SS-OCT imaged well details of
choroidal sublayers. Appropriate OCT technology and software should be selected
according to its application in clinical settings.
PMID- 26562564
TI - ENDOSCOPY-ASSISTED VITRECTOMY AND MEMBRANE DISSECTION OF ANTERIOR PROLIFERATIVE
VITREORETINOPATHY FOR CHRONIC HYPOTONY AFTER PREVIOUS RETINAL DETACHMENT REPAIR.
AB - PURPOSE: To review 6-month outcomes for patients with hypotony secondary to
anterior proliferative vitreoretinopathy after previous retinal detachment repair
who were treated with endoscopic vitrectomy and anterior membrane dissection.
METHODS: Retrospective review. All individuals underwent endoscopic vitrectomy
with removal of anterior proliferative vitreoretinopathy involving the ciliary
body. Outcome measurements included intraocular pressure (IOP), visual acuity,
and development of phthisis bulbi. RESULTS: Fifteen eyes of 15 patients had an
average of 4.5 previous intraocular surgeries (range 1-8). Forty Percent of eyes
had silicone oil at the time of endoscopic surgery. Six months postoperatively, 4
eyes had IOP >11 mmHg while 11 had IOP <6 mmHg. The nonresponder group was older
in age, had more previous intraocular surgeries, and a lower preoperative IOP.
There were no differences in visual acuity or the development of prephthisis at
any point. No eyes underwent enucleation or evisceration. CONCLUSION: Endoscopy
assisted vitrectomy with removal of anterior proliferative vitreoretinopathy from
the ciliary body is an effective treatment for chronic hypotony after previous
retinal detachment repair in a minority of cases. The IOP improved in patients
who tended to be younger and who had fewer previous intraocular surgeries.
Further study is indicated to evaluate long-term outcomes and predictors of
surgical success.
PMID- 26562565
TI - RETINAL MORPHOMETRY CHANGES MEASURED WITH SPECTRAL DOMAIN-OPTICAL COHERENCE
TOMOGRAPHY AFTER PAN-RETINAL PHOTOCOAGULATION IN PATIENTS WITH PROLIFERATIVE
DIABETIC RETINOPATHY.
AB - PURPOSE: To identify the effects of pan-retinal laser treatment on the integrity
of neurosensory retinal layers. METHODS: Patients were examined with fluorescence
angiography after a standardized examination for diabetic retinopathy and a
peripapillary ring scan with spectral domain optical coherence tomography. A
single-session pan-retinal photocoagulation was performed using the PASCAL
pattern scanning argon laser applying a minimum of 1,500 spots. Optical coherence
tomography was evaluated more than 6 months. RESULTS: Eighteen eyes of 12
consecutive patients with new onset, treatment-naive proliferative diabetic
retinopathy secondary to diabetes Type 2 were treated and retinal optical
coherence tomography morphology evaluated. Retinal nerve fiber layer thickness
increased statistically significantly from baseline to week 1, when it reached
its peak. The combined thickness of the outer plexiform and the inner nuclear
layers and the combined thickness of the inner plexiform and the ganglion cell
layers showed no relevant changes. The combined thickness of the retinal pigment
epithelium and the photoreceptor cell layers decreased at month 1 followed by a
steady increase in thickness, which remained below baseline values over time.
CONCLUSION: Pan-retinal photocoagulation in proliferative diabetic retinopathy
leads to a slowly reversible, marked biological response with statistically
significant morphometric changes detected by spectral domain optical coherence
tomography. Swelling of the retinal nerve fiber and outer nuclear layers induce
an increase in peripapillary total retinal thickness. Simultaneously, the
photoreceptor and retinal pigment epithelium layers decrease in thickness. These
changes indicate diffuse retinal inflammation after pan-retinal laser therapy.
PMID- 26562566
TI - SILICONE OIL BARRIER SUTURES IN APHAKIC EYES WITH IRIS DEFECTS.
AB - PURPOSE: To evaluate the efficacy and safety of silicone oil barrier sutures in
aphakic eyes with iris defects. METHODS: Sixteen aphakic and iris-defective eyes
of 16 patients who underwent a pars plana vitrectomy procedure with silicone oil
tamponade because of retinal detachment were included in this retrospective
study. Silicone oil barrier sutures were placed as a grid pattern within the
plane of the previous iris after vitrectomy and before silicone oil injection.
RESULTS: The mean follow-up time after silicone oil barrier suture operations was
12.0 +/- 6.8 months. Silicone oil was present in the anterior chamber in five
eyes (31%) at the last visit. These eyes also had hypotony, band keratopathy, and
anterior proliferative vitreoretinopathy. CONCLUSION: In this study, silicone oil
barrier sutures were proven to be safe and effective in preventing silicone oil
corneal endothelium touch in aphakic eyes with iris defects, unless hypotony was
present because of anterior proliferative vitreoretinopathy.
PMID- 26562567
TI - Video Angiography of Cilioretinal Artery Infarction in Central Retinal Vein
Occlusion.
PMID- 26562568
TI - POSTOPERATIVE POSITIONING IN MACULAR HOLE SURGERY: An Objective Evaluation of
Nonsupine Positioning and the Effect of the "Tennis Ball Technique".
AB - PURPOSE: To objectively evaluate patients' compliance with a nonsupine
positioning (NSP) regimen after macular hole surgery and to investigate whether
supine positioning time during the first postoperative nights is reduced when a
tennis ball is mounted onto the back of the nightshirt. METHODS: A "position
monitoring device" capable of recording the time the head is kept in a supine
position was attached to the patient's forehead. In a randomized, controlled,
crossover study, the accumulated time each patient spent in a supine position was
recorded during two consecutive postoperative nights, both when the "tennis ball
technique" (TBT) was used and when it was not, respectively. RESULTS: The study
included 40 participants. A mean supine time of 14 minutes and 47 seconds was
registered with the NSP regimen. When applying the TBT, the mean supine time was
significantly reduced to 4 minutes and 24 seconds (P = 0.01). Seven
"noncompliant" participants with >30 minutes supine time without TBT had the most
marked reduction in supine time from a mean of 63 minutes and 2 seconds, to 3
minutes and 46 seconds, with TBT (P = 0.02). CONCLUSION: During an NSP regimen,
patients generally maintain a high level of compliance after macular hole
surgery. The TBT further improves their compliance significantly.
PMID- 26562570
TI - Meningococcal disease among men who have sex with men - United States, January
2012-June 2015.
AB - Since 2012, three clusters of serogroup C meningococcal disease among men who
have sex with men (MSM) have been reported in the United States. During 2012, 13
cases of meningococcal disease among MSM were reported by the New York City
Department of Health and Mental Hygiene (1); over a 5-month period during 2012
2013, the Los Angeles County Department of Public Health reported four cases
among MSM; and during May-June 2015, the Chicago Department of Public Health
reported seven cases of meningococcal disease among MSM in the greater Chicago
area. MSM have not previously been considered at increased risk for meningococcal
disease. Determining outbreak thresholds* for special populations of unknown size
(such as MSM) can be difficult. The New York City health department declared an
outbreak based on an estimated increased risk for meningococcal infection in 2012
among MSM and human immunodeficiency virus (HIV)-infected MSM compared with city
residents who were not MSM or for whom MSM status was unknown (1). The Chicago
Department of Public Health also declared an outbreak based on an increase in
case counts and thresholds calculated using population estimates of MSM and HIV
infected MSM. Local public health response included increasing awareness among
MSM, conducting contact tracing and providing chemoprophylaxis to close contacts,
and offering vaccination to the population at risk (1-3). To better understand
the epidemiology and burden of meningococcal disease in MSM populations in the
United States and to inform recommendations, CDC analyzed data from a
retrospective review of reported cases from January 2012 through June 2015.
PMID- 26562569
TI - REDUCTION OF VITREOUS PROSTAGLANDIN E2 LEVELS AFTER TOPICAL ADMINISTRATION OF
INDOMETHACIN 0.5%, BROMFENAC 0.09%, AND NEPAFENAC 0.1.
AB - PURPOSE: To assess vitreous concentrations of nonsteroidal anti-inflammatory
drugs (NSAIDs) and prostaglandin E2 (PGE2) in patients treated with NSAIDs before
vitrectomy for macular pucker. METHODS: A prospective, investigator-masked,
randomized study was performed in 64 patients scheduled to undergo vitrectomy.
The patients were randomized 1:1:1:1 to receive indomethacin 0.5%, bromfenac
0.09%, nepafenac 0.1%, or placebo three times a day. NSAIDs and PGE2 levels were
evaluated in vitreous samples collected at the beginning of surgery. RESULTS:
Mean (SD) vitreous concentrations of the study drugs were 503.13 (241.1) pg/mL
for indomethacin, 302.5 (91.03) pg/mL for bromfenac, and 284.38 (128.2) pg/mL for
nepafenac. Mean (SD) vitreous PGE2 levels were 247.9 (140.9) pg/mL for
indomethacin, 322.12 (228.1) pg/mL for bromfenac, 448.8 (261.1) pg/mL for
nepafenac, and 1,133 (323.9) pg/mL for placebo. All three NSAIDs reduced vitreous
PGE2 levels to a statistically significant extent, without a significant
difference among them. CONCLUSION: All assessed NSAIDs penetrated the vitreous
and lowered basal PGE2 levels. A greater penetration was associated with
pseudophakic eyes. The important inhibition of prostaglandins in the retina may
have a clinical effect on the management of inflammatory retina diseases.
PMID- 26562571
TI - PET/CT Helps Downgrade an Aggressive-Appearing Rib Mass to a Probable Benign
Lesion in a 9-Year-Old Girl.
AB - We present a case of a 9-year-old girl with no significant medical history who
developed acute onset of shortness of breath and upper chest pain during
cheerleading practice. Laboratory results and physical examination were
unremarkable. Chest radiograph and chest CT showed an expansile lytic aggressive
appearing mass within the left sixth rib. Subsequent F-FDG PET/CT showed a left
sixth rib lesion that was not hypermetabolic and appeared benign. Biopsy yielded
a diagnosis of enchondroma, a benign intramedullary tumor that accounts for 24%
of all bone tumors in children as well as adolescents.
PMID- 26562572
TI - Do the Symptoms of Kleine-Levin Syndrome Correlate With the Hypometabolism of the
Thalamus on FDG PET?
AB - A 15-year-old adolescent boy had experienced intermittent, recurrent hypersomnia
lasting for 1 week to 3 weeks for more than 3 years. He was diagnosed with Kleine
Levin Syndrome clinically. The brain MRI, video EEG, and serum and cerebrospinal
fluid analysis did not show any abnormality. An FDG PET/CT scanning was acquired
when the patient was symptomatic, showing marked symmetric hypometabolism in the
thalamus and hypothalamus in the symptomatic phase, as well as mild homogeneous
decreased glucose metabolism in the cortex. Interestingly, another FDG PET/CT
scan acquired when the patient was asymptomatic found much less severe
hypometabolism in the thalamus and hypothalamus.
PMID- 26562573
TI - 18F-FDG PET/CT Demonstrated the Multiple Myeloma From Prostate.
AB - Prostatic plasmacytoma is an exceptionally rare type of multiple myeloma. The
present study reports a patient with multiple myeloma arising from the prostate,
with normal serum and urine monoclonal protein. The final diagnosis of multiple
myeloma from prostate was based on pathologic and immunohistochemical findings.
PMID- 26562574
TI - A Case of a Man With Isolated Breast Metastasis From Lung Adenocarcinoma
Incidentally Detected by FDG PET/CT.
AB - Breast metastases from an extramammary primary tumor are very rare, particularly
in men. In this study, we present a case of a 74-year-old man with isolated
breast metastasis from lung adenocarcinoma as an incidental finding on PET/CT and
diagnosed concomitantly with the primary tumor. Detection of isolated incidental
metastatic lesions in the breast on PET/CT imaging has a significant clinical
impact on patients with known malignant disease due to change of disease stage,
management, and also treatment method.
PMID- 26562575
TI - 18F-FDG PET/CT Imaging of Bilateral Renal Metastasis of Breast Adenoid Cystic
Carcinoma.
AB - We report the case of a 65-year-old woman with a history of adenoid cystic
carcinoma (ACC) of the breast. Fifteen years after mastectomy, the patient
underwent a right upper lobectomy for a lung mass, and biopsy indicated ACC
metastasis. Ten years after lobectomy, an F-FDG PET/CT was performed for
restaging to rule out further metastases. We observed intense FDG uptake in
enlarged polylobulated kidneys, which was biopsy proven as ACC metastasis.
PMID- 26562576
TI - Increased 99mTc-MDP Activity in a Partially Calcified Malignant Mediastinal
Teratoma.
AB - A 41-year-old woman presented with cough and shortness of breath for 3 weeks.
Chest x-ray and CT showed a large, partially calcified soft tissue mass adjacent
to the right side of the heart. Whole-body bone was acquired to evaluate possible
metastases, which showed abnormal accumulation of Tc-MDP in the right chest.
Further SPECT/CT imaging that demonstrated intense Tc-MDP activity was mainly in
the calcification portion of mass. Histopathological examination from biopsy
specimen of the lesion was consistent with malignant teratoma.
PMID- 26562577
TI - Isolated Calcaneal Metastasis: An Unusual Presentation of Lung Carcinoma as Heel
Pain.
AB - A 63-year-old woman initially presented with progressive left foot pain for 3
months, not responding to conservative management. MRI of the left foot showed a
suspicious lesion in calcaneus. An open biopsy was consistent with metastatic
lung adenocarcinoma. Tc-MDP total-body bone scintigraphy was ordered for possible
other bony lesions, and only left calcaneus lesion was identified on bone scan.
CT scan of the chest revealed a soft tissue mass in the superior aspect of the
right lower lobe. Staging FDG PET/CT showed hypermetabolic right lung mass and
left calcaneus lesion. She received chemotherapy and local radiation to the left
calcaneus metastatic lesion.
PMID- 26562578
TI - FDG PET/CT Appearance of Radiation Nephritis.
AB - It is often challenging to assess renal activity on FDG-PET/CT due to intense
physiological activity in the collecting system, thus any unusual intrarenal
activity should be evaluated carefully. While increased bone tracer uptake in the
irradiated region of the kidneys has been reported in the literature, altered
biodistribution of FDG in irradiated renal tissue is not well described. We
report a case of FDG PET/CT showing increased FDG activity in small portions of
the kidneys that were previously irradiated.
PMID- 26562579
TI - Metastatic Insulinoma Pancreatic Neuroendocrine Tumor Treated With 177Lu-DOTATATE
Induction and Maintenance Peptide Receptor Radionuclide Therapy: A Suggested
Protocol.
AB - A 70-year-old woman presented with frequent episodes of hypoglycemia. Imaging
revealed a 6-cm pancreatic mass with several liver lesions. The pancreatic mass
was resected and confirmed to be a well-differentiated insulinoma. Surgery
improved but did not resolve her hypoglycemic episodes, and she was referred for
peptide receptor radionuclide therapy with 177Lu-DOTATATE to treat her residual
disease. A modified protocol with a continuous IV dextrose infusion was used, and
the treatments were well tolerated. After 4 induction and 2 maintenance
treatments, her hypoglycemic symptoms resolved completely and her disease
stabilized. She has been progression free for 24 months.
PMID- 26562580
TI - Schmorl Nodes Can Cause Increased 68Ga DOTATATE Activity on PET/CT, Mimicking
Metastasis in Patients With Neuroendocrine Malignancy.
AB - Schmorl node (SN) is the herniation of the nucleus pulposus through the
cartilaginous and bony endplate into the adjacent vertebral body. It is
documented that SNs produce areas of moderately increased F-FDG uptake. We
present a case of a patient with history of neuroendocrine tumor, who underwent
Ga DOTATATE PET/CT for follow-up, showing increased focal vertebral uptake
suggestive of bone metastasis. CT revealed typical findings of an SN. The
presented case indicates that SNs should be considered when encountering focally
increased skeletal uptake in Ga DOTATATE PET/CT studies, which can mimic
metastasis in patients with history of neuroendocrine tumors.
PMID- 26562581
TI - High Incidence of Undiagnosed Cervical Myelopathy in Patients With Hip Fracture
Compared With Controls.
AB - OBJECTIVES: To identify the incidence of undiagnosed cervical myelopathy in
patients who fall and develop hip fractures compared with age-matched controls.
DESIGN: Prospective, case-control study. SETTING: University level 1 Trauma
Center. PATIENTS/PARTICIPANTS: Consecutive patients who presented with hip
fractures after a fall. A total of 159 patients were screened; 66 patients (38
arthroplasty, 28 fracture) were eligible for enrollment in the study. Exclusion
criteria included cognitive impairment, known diagnosis of cervical myelopathy,
previous cervical spine surgery, inability to comply with examination, or refusal
to participate. The control group was age-matched elderly patients who underwent
total hip arthroplasty (THA). INTERVENTION: Patient interview and physical
examination for cervical myelopathy. MAIN OUTCOME MEASUREMENTS: Myelopathy was
diagnosed by clinical history elements (Japanese Orthopaedic Association score
<=15) and pathologic reflexes. Comparison of the incidence of myelopathy in the
study population with the control population was performed using Fisher exact
test. RESULTS: There were no statistically significant differences between the
fracture and THA groups in mean patient age or male/female ratio. There was a
statistically significant increased incidence of myelopathy in hip fracture
patients (18%) compared with the THA group (0%, P = 0.01). CONCLUSIONS: Hip
fracture is a complex multifactorial process, and most patients (60%) were
excluded due to known cognitive impairment. However, 18% of previously
undiagnosed patients who were cognitively intact manifested clinical findings
consistent with cervical spondylotic myelopathy. Consideration should be given to
screening for undiagnosed myelopathy among patients with hip fracture to reduce
the risk of subsequent fractures. LEVEL OF EVIDENCE: Prognostic Level III. See
Instructions for Authors for a complete description of levels of evidence.
PMID- 26562582
TI - Toward a More Robust Prediction of Pulmonary Embolism in Trauma Patients: A Risk
Assessment Model Based on 38,000 Patients.
AB - OBJECTIVES: Pulmonary embolism (PE) is a rare but sometimes fatal complication of
trauma. Risk stratification models identify patients at increased risk of PE;
however, they are often complex and difficult to use. This research aims to
develop a model, based on a large sample of trauma patients, which can be easily
and quickly used at the time of admission to predict PE. METHODS: This study used
trauma registry data from 38,597 trauma patients. Of these, 239 (0.619%)
developed a PE. We targeted demographic and injury data, prehospital information,
and data on treatments and events during hospitalization. A multivariate binary
logistic regression model was developed to predict the odds of developing a PE
during hospitalization. The model was developed using a 50% randomly selected
development subsample and then tested for accuracy using the remaining 50%
validation sample. RESULTS: We found 7 statistically significant predictors of
PE, including (1) age [odds ratio (OR) = 1.01; 95% CI, 1.00-1.02; P = 0.05], (2)
obesity (OR = 2.54; 95% CI, 1.29-4.99; P < 0.01), (3) injury from motorcycle
accident (OR = 2.01; 95% CI, 1.25-3.22; P < 0.01), (4) arrival by helicopter (OR
= 2.91; 95% CI, 1.16-7.27; P = 0.02), (5) emergency department admission pulse
rate (OR = 1.01; 95% CI, 1.0-1.02; P = 0.06), (6) admission to intensive care
unit (OR = 5.03; 95% CI, 3.12-8.12; P < 0.01), and (7) injury location, including
thorax (OR = 1.57; 95% CI, 1.04-2.37; P = 0.03), abdomen (OR = 1.56; 95% CI, 1.04
2.33; P = 0.03), and lower extremity injuries (OR = 2.85; 95% CI, 3.12-8.12; P <
0.01). Our model was able to discriminate between predicted and actual PE events
with a receiver operating characteristic area under the curve of 0.87. By
identifying the top 25% high-risk patients, we were able to predict 80%-84% of
pulmonary emboli. CONCLUSIONS: This knowledge allows us to focus stronger
thromboprophylactic efforts on patients at highest risk. This model can be used
to rapidly identify trauma patients at high risk for PE. LEVEL OF EVIDENCE:
Prognostic Level II. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 26562583
TI - A Comparison of Exposure Between the Classic and Modified Judet Approaches to the
Scapula.
AB - OBJECTIVES: A debate exists over the optimal approach for addressing fractures of
the scapula and glenoid. The purpose of this study is to (1) quantify and compare
osseous exposure using modified Judet (MJ) and classic Judet (CJ) approaches and
(2) assess the change in scapular exposure after triceps release from the
inferior glenoid. METHODS: Ten arms on 5 fresh-frozen torsos underwent MJ and CJ
approaches. A triceps release was performed following the CJ approach in all
specimens. Visual and/or palpable access to relevant surgical landmarks was
recorded. Calibrated digital photographs were taken of each approach and analyzed
using Image J (NIH, Bethesda, MD) to calculate the surface area of exposed bone.
RESULTS: The MJ and CJ approaches exposed 16.8 (+/-7.58) cm(2) and 98.6 (+/
25.39) cm(2) of bone, respectively (P < 0.001). The full medial and lateral
borders of the scapula were visualized in all approaches with mobilization of the
teres minor. Palpable access to the full scapular spine was possible in all
cadavers. Although the MJ and CJ approaches only allowed the inferior gleniod
neck to be visualized in 1 and 2 specimens, respectively, performing a triceps
release provided access to this structure. It also increased the CJ exposure by
12.6 cm(2) (P < 0.001) and allowed palpation of the anterior glenoid margin in
100% of specimens. CONCLUSIONS: In conclusion, the MJ approach allows similar
access to landmarks important for reduction and fixation while exposing only 20%
of the surface area typically visualized with the CJ approach.
PMID- 26562585
TI - Structure-Specific Liquid Crystal Anchoring Induced by the Molecular Combing of
Short Oligonucleotides.
AB - Surface-immobilized oligonucleotides were "combed" by meniscus motion and exposed
to a nematic liquid crystal (LC). Although the oligonucleotides were as short as
16 bases, they were apparently oriented by this process and, in turn,
successfully biased the orientation of the adjacent LC material. Single-stranded
DNA (ssDNA) induced LC orientation in the combing direction, while hybridized
double-stranded DNA (dsDNA) rotated the azimuthal LC orientation by ~30 degrees
from the combing direction. The sensitivity of the chiral response to mixed
ssDNA/dsDNA surfaces was characterized by employing complementary DNA that was
longer than the immobilized DNA, resulting in single-stranded overhangs of
various lengths. A rotated LC orientation was observed even when more than 70% of
the DNA was single-stranded, and the transition from the rotated to nonrotated
response was apparently discontinuous as a function of ssDNA surface coverage.
These phenomena represent a sensitive DNA hybridization detection strategy that
can potentially comprise a multiplexed assay.
PMID- 26562586
TI - Spontaneous Partitioning of Californium from Curium: Curious Cases from the
Crystallization of Curium Coordination Complexes.
AB - The reaction of (248)CmCl3 with excess 2,6-pyridinedicarboxylic acid (DPA) under
mild solvothermal conditions results in crystallization of the tris-chelate
complex Cm(HDPA)3 . H2O. Approximately half of the curium remains in solution at
the end of this process, and evaporation of the mother liquor results in
crystallization of the bis-chelate complex [Cm(HDPA)(H2DPA)(H2O)2Cl]Cl.2H2O.
(248)Cm is the daughter of the alpha decay of (252)Cf and is extracted in high
purity from this parent. However, trace amounts of (249,250,251)Cf are still
present in all samples of (248)Cm. During the crystallization of Cm(HDPA)3 . H2O
and [Cm(HDPA)(H2DPA)(H2O)2Cl]Cl . 2H2O, californium(III) spontaneously separates
itself from the curium complexes and is found doped within crystals of DPA in the
form of Cf(HDPA)3. These results add to the growing body of evidence that the
chemistry of californium is fundamentally different from that of earlier
actinides.
PMID- 26562588
TI - Co-occurrence of Photochemical and Microbiological Transformation Processes in
Open-Water Unit Process Wetlands.
AB - The fate of anthropogenic trace organic contaminants in surface waters can be
complex due to the occurrence of multiple parallel and consecutive transformation
processes. In this study, the removal of five antiviral drugs (abacavir,
acyclovir, emtricitabine, lamivudine and zidovudine) via both bio- and
phototransformation processes, was investigated in laboratory microcosm
experiments simulating an open-water unit process wetland receiving municipal
wastewater effluent. Phototransformation was the main removal mechanism for
abacavir, zidovudine, and emtricitabine, with half-lives (t1/2,photo) in wetland
water of 1.6, 7.6, and 25 h, respectively. In contrast, removal of acyclovir and
lamivudine was mainly attributable to slower microbial processes (t1/2,bio = 74
and 120 h, respectively). Identification of transformation products revealed that
bio- and phototransformation reactions took place at different moieties. For
abacavir and zidovudine, rapid transformation was attributable to high reactivity
of the cyclopropylamine and azido moieties, respectively. Despite substantial
differences in kinetics of different antiviral drugs, biotransformation reactions
mainly involved oxidation of hydroxyl groups to the corresponding carboxylic
acids. Phototransformation rates of parent antiviral drugs and their
biotransformation products were similar, indicating that prior exposure to
microorganisms (e.g., in a wastewater treatment plant or a vegetated wetland)
would not affect the rate of transformation of the part of the molecule
susceptible to phototransformation. However, phototransformation strongly
affected the rates of biotransformation of the hydroxyl groups, which in some
cases resulted in greater persistence of phototransformation products.
PMID- 26562587
TI - Comparative Molecular Dynamics Studies of Human DNA Polymerase eta.
AB - High-energy ultraviolet radiation damages DNA through the formation of
cyclobutane pyrimidine dimers, which stall replication. When the lesion is a
thymine-thymine dimer (TTD), human DNA polymerase eta (Pol eta) assists in
resuming the replication process by inserting nucleotides opposite the damaged
site. We performed extensive molecular dynamics (MD) simulations to investigate
the structural and dynamical effects of four different Pol eta complexes with or
without a TTD and with either dATP or dGTP as the incoming base. No major
differences in the overall structures and equilibrium dynamics were detected
among the four systems, suggesting that the specificity of this enzyme is due
predominantly to differences in local interactions in the binding regions.
Analysis of the hydrogen-bonding interactions between the enzyme and the DNA and
dNTP provided molecular-level insights. Specifically, the TTD was observed to
engage in more hydrogen-bonding interactions with the enzyme than its undamaged
counterpart of two normal thymines. The resulting greater rigidity and specific
orientation of the TTD are consistent with the experimental observation of higher
processivity and overall efficiency at TTD sites than at analogous sites with two
normal thymines. The similarities between the systems containing dATP and dGTP
are consistent with the experimental observation of relatively low fidelity with
respect to the incoming base. Moreover, Q38 and R61, two strictly conserved amino
acids across the Pol eta family, were found to exhibit persistent hydrogen
bonding interactions with the TTD and cation-pi interactions with the free base,
respectively. Thus, these simulations provide molecular level insights into the
basis for the selectivity and efficiency of this enzyme, as well as the roles of
the two most strictly conserved residues.
PMID- 26562589
TI - Sustainable Rejuvenation of Electrochromic WO3 Films.
AB - Devices relying on ion transport normally suffer from a decline of their long
term performance due to irreversible ion accumulation in the host material, and
this effect may severely curtail the operational lifetime of the device. In this
work, we demonstrate that degraded electrochromic WO3 films can sustainably
regain their initial performance through galvanostatic detrapping of Li(+) ions.
The rejuvenated films displayed degradation features similar to those of the as
prepared films, thus indicating that the detrapping process is effectively
reversible so that long-term performance degradation can be successfully avoided.
Detrapping did not occur in the absence of an electric current.
PMID- 26562590
TI - Precollege Predictors of Incapacitated Rape Among Female Students in Their First
Year of College.
AB - OBJECTIVE: The first year of college is an important transitional period for
young adults; it is also a period associated with elevated risk of incapacitated
rape (IR) for female students. The goal of this study was to identify prospective
risk factors associated with experiencing attempted or completed IR during the
first year of college. METHOD: Using a prospective cohort design, we recruited
483 incoming first-year female students. Participants completed a baseline survey
and three follow-up surveys over the next year. At baseline, we assessed
precollege alcohol use, marijuana use, sexual behavior, and, for the subset of
sexually experienced participants, sex-related alcohol expectancies. At the
baseline and all follow-ups, we assessed sexual victimization. RESULTS:
Approximately 1 in 6 women (18%) reported IR before entering college, and 15%
reported IR during their first year of college. In bivariate analyses, precollege
IR history, precollege heavy episodic drinking, number of precollege sexual
partners, and sex-related alcohol expectancies (enhancement and disinhibition)
predicted first-year IR. In multivariate analyses with the entire sample, only
precollege IR (odds ratio = 4.98, p < .001) remained a significant predictor.
However, among the subset of sexually experienced participants, both enhancement
expectancies and precollege IR predicted IR during the study year. CONCLUSIONS:
IR during the first year of college is independently associated with a history of
IR and with expectancies about alcohol's enhancement of sexual experience.
Alcohol expectancies are a modifiable risk factor that may be a promising target
for prevention efforts.
PMID- 26562591
TI - Effectiveness of Alcohol Brief Intervention in a General Hospital: A Randomized
Controlled Trial.
AB - OBJECTIVE: The purpose of this study was to examine the effectiveness of an
alcohol brief intervention (ABI) on alcohol consumption in hazardous or harmful
drinkers compared with screening alone within a general hospital setting. METHOD:
Following screening, 124 hazardous or harmful drinkers (103 men, ages 18-80
years, score of 3-12 on the Fast Alcohol Screening Test [FAST]) admitted to
medical and orthopedic wards during the 13-month recruitment period were
randomized to receive an ABI or control. The intervention group received an ABI
where they were supported to set their own personalized alcohol reduction goals,
and both groups received a health information leaflet. Retrospective alcohol
consumption for 7 days was reported for the week, before hospital admission and 6
months after it. RESULTS: Demographics and clinical characteristics at baseline
showed no statistical differences between the two groups on all variables except
FAST score, which was higher in the intervention group (p <= .05). A reduction of
85 grams of alcohol per week (95% CI [162.46, 7.54]) was observed between groups
in favor of the intervention group based on changes from baseline. However, there
was no significant difference between groups for absolute grams of alcohol per
week at 6 months. A significant mean difference in favor of the intervention
group (U = 1,537, p = .043) was observed for weekly heavy drinking episodes.
CONCLUSIONS: Our results suggest screening with delivery of ABI for
harmful/hazardous drinkers in a general hospital is beneficial in reducing
alcohol consumption compared with screening alone.
PMID- 26562592
TI - Childhood Physical and Sexual Abuse and Social Network Patterns on Social Media:
Associations With Alcohol Use and Problems Among Young Adult Women.
AB - OBJECTIVE: The aim of the present study was to examine the links between
severities of child abuse (physical vs. sexual), and alcohol use versus problems
via social media (Facebook) peer connection structures. METHOD: A total of 318
undergraduate female students at a public university in the United States
reported severity of child abuse experiences and current alcohol use and
problems. Social network data were obtained directly from the individuals'
Facebook network. RESULTS: Severity of childhood physical abuse was positively
linked to alcohol use and problems via eigenvector centrality, whereas severity
of childhood sexual abuse was negatively linked to alcohol use and problems via
clustering coefficient. CONCLUSIONS: Childhood physical and sexual abuse were
linked positively and negatively, respectively, to online social network patterns
associated with alcohol use and problems. The study suggests the potential
utility of these online network patterns as risk indices and ultimately using
social media as a platform for targeted preventive interventions.
PMID- 26562594
TI - A Dual-Process Examination of Alcohol-Related Consequences Among First-Year
College Students.
AB - OBJECTIVE: Despite showing reductions in college student drinking, interventions
have shown some inconsistency in their ability to successfully decrease
consequences. With the goal of improving prevention efforts, the purpose of this
study was to examine the role of consequence-specific constructs, in addition to
drinking, that influence students' experiences with alcohol-related problems. The
study examined how drinking and protective behaviors mediated the relationships
between students' willingness to experience consequences, intentions to avoid
them, and four categories of alcohol-related problems (physiological, social,
sexual, and academic). METHOD: First-year college student drinkers (n = 2,024) at
a large northeastern university completed surveys during the fall and spring of
their freshman year. RESULTS: As expected, different patterns of associations
emerged for physiological and nonphysiological consequences. When physiological
consequences (e.g., hangover, vomiting) were examined, drinking significantly
mediated the effect of willingness on the consequences. Drinking-specific
protective behaviors indirectly influenced consequences through drinking
behaviors whereas general protective behaviors did not. When nonphysiological
(e.g., social, sexual, academic) consequences were examined, drinking and general
protective behaviors emerged as significant mediators of the effects of
willingness and intentions on the consequences, whereas drinking-specific
protective behaviors did not. CONCLUSIONS: The results suggest that prevention
efforts (e.g., personalized feedback) could be tailored to address specific types
of protective behaviors as well as specific types of consequences frequently
experienced by college students.
PMID- 26562593
TI - The Association of Low Parental Monitoring With Early Substance Use in European
American and African American Adolescent Girls.
AB - OBJECTIVE: Research indicates that low parental monitoring increases the risk for
early substance use. Because low parental monitoring tends to co-occur with other
familial and neighborhood factors, the specificity of the association is
challenging to establish. Using logistic regression and propensity score
analyses, we examined associations between low parental monitoring and early
substance use in European American (EA) and African American (AA) girls,
controlling for risk factors associated with low parental monitoring. METHOD:
Participants were 3,133 EA and 523 AA girls from the Missouri Adolescent Female
Twin Study with data on parental monitoring assessed via self-report
questionnaire, and with ages at first use of alcohol, tobacco, and cannabis
queried in at least one of three diagnostic interviews (median ages = 15, 22, and
24 years). RESULTS: The rate of early alcohol use was greater in EA than AA
girls, whereas the proportion of AA girls reporting low parental monitoring was
higher than in EA girls. EA girls who experienced low parental monitoring were at
elevated risk for early alcohol, tobacco, and cannabis use, findings supported in
both logistic regression and propensity score analyses. Evidence regarding
associations between low parental monitoring and risk for early substance use was
less definitive for AA girls. CONCLUSIONS: Findings highlight the role of
parental monitoring in modifying risk for early substance use in EA girls.
However, we know little regarding the unique effects, if any, of low parental
monitoring on the timing of first substance use in AA girls.
PMID- 26562595
TI - Does the How Mediate the Why? A Multiple Replication Examination of Drinking
Motives, Alcohol Protective Behavioral Strategies, and Alcohol Outcomes.
AB - OBJECTIVE: The present study attempted to assess the evidence of use of
protective behavioral strategies (PBS) as a mediator in the relationship between
drinking motives and alcohol outcomes. Specifically, to understand various
statistical approaches in modeling this proposed mediation model (e.g., drinking
motives to PBS use to alcohol outcomes), we tried to replicate models based on
earlier research. METHOD: To maximize the robustness of our replication attempts,
we conducted each replication attempt across two distinct data sets whenever
possible. Participants were recruited from psychology department research pools
at a large southeastern U.S. university (Sample 1; n = 774) and a large
southwestern U.S. university (Sample 2; n = 594). We matched the original
articles' analytic procedures as closely as possible including overall analysis
approach, measurement of variables, and inclusion/exclusion criteria. RESULTS:
Consistent with previous studies, we found that PBS use may be a mechanism
through which both positively reinforcing (i.e., social and enhancement) motives
and coping motives relate to alcohol outcomes (e.g., alcohol-related
consequences). Specifically, students who tend to drink for these specific
motives appear to use fewer PBS, which may place them at risk for heavier, more
problematic drinking. CONCLUSIONS: Our results suggest that when drinking motives
are examined separately, they demonstrate differential relationships with PBS use
and alcohol outcomes. Overall, it is clear that PBS use plays a role in the
drinking motives-alcohol outcomes relationship, but this role varies by type of
motive.
PMID- 26562596
TI - The Prospective Joint Effects of Self-Regulation and Impulsive Processes on Early
Adolescence Alcohol Use.
AB - OBJECTIVE: Dual-process models propose that behavior is influenced by the
interactive effect of impulsive (automatic) and self-regulatory (controlled)
processes. Elaborations of this model posit that the effect of impulsive
processes on alcohol use is influenced by capacity and motivation to self
regulate. The interactive effect of these three processes on drinking has not
previously been tested. The goal of this study was to provide a developmental
extension of this model to early adolescent alcohol use and to test the three-way
interaction between impulsive processes (implicit alcohol cognition), self
regulatory capacity (inhibitory and activation control), and self-regulatory
motivation (negative alcohol outcome expectancies [AOE]) in a 1-year prospective
prediction of adolescent alcohol use. METHOD: Adolescents (N = 325; 54% girls,
mean age = 13.6 years at baseline) completed the Single Category Implicit
Association Test and self-reports of alcohol expectancies and use. Inhibitory and
activation control were based on parental report. RESULTS: Negative AOE and
inhibitory/activation control were supported as moderators of the effect of
implicit alcohol cognition on 1-year prospective alcohol use. As expected, weak
implicit negative alcohol cognition was associated with elevated alcohol use when
both negative AOE and inhibitory control were low. Contrary to hypothesis, when
activation control was high, weak implicit negative alcohol cognition was
unrelated to alcohol use if negative AOE were high (p = .72) (vs. low, p <.01).
Activation control may reflect the ability to plan ahead and act pro-socially.
CONCLUSIONS: Our study supports current theory suggesting alcohol use is
influenced by a complex interplay of impulsive and self-regulatory processes.
PMID- 26562598
TI - Patterns and Correlates of Sustained Heroin Abstinence: Findings From the 11-Year
Follow-Up of the Australian Treatment Outcome Study.
AB - OBJECTIVE: We report on patterns and correlates of sustained abstinence at the 11
year follow-up of the Australian Treatment Outcome Study cohort. METHOD: This
report is a longitudinal cohort analysis of patterns of recent and sustained
abstinence. RESULTS: A total of 431 (70.1%) of the original 615 participants were
interviewed, and 10.2% were deceased. The mean elapsed time since heroin
initiation was 20.4 years (SD = 7.2). At the 11-year follow-up, heroin abstinence
over the preceding month was reported by 75.2%. A period of at least 1 month's
abstinence across the follow-up was reported by 97.7% and at least 1 year by
89.9%, whereas 52.2% reported an abstinence period of 5 or more consecutive
years. Sustained abstinence across the entire follow-up period was reported by
5.6%. Independent correlates of 5 or more consecutive years of heroin abstinence
were female gender (odds ratio [OR] = 1.73), not being currently enrolled in a
drug treatment program (OR = 2.16), and fewer treatment episodes across the
follow-up (OR = 0.90, 95% CI [0.85, 0.96]). CONCLUSIONS: The clinical profile of
the cohort at the 11-year follow-up was encouraging, with the majority currently
heroin abstinent, a proportion that has increased across time. Although only a
small minority maintained abstinence over the entire period, half had sustained
abstinence for at least 5 consecutive years. With the exception of gender,
baseline characteristics made poor predictors of long-term abstinence. Treatment
stability, however, appears crucial in maintaining abstinence.
PMID- 26562597
TI - The National Consortium on Alcohol and NeuroDevelopment in Adolescence (NCANDA):
A Multisite Study of Adolescent Development and Substance Use.
AB - OBJECTIVE: During adolescence, neurobiological maturation occurs concurrently
with social and interpersonal changes, including the initiation of alcohol and
other substance use. The National Consortium on Alcohol and NeuroDevelopment in
Adolescence (NCANDA) is designed to disentangle the complex relationships between
onset, escalation, and desistance of alcohol use and changes in neurocognitive
functioning and neuromaturation. METHOD: A sample of 831 youth, ages 12-21 years,
was recruited at five sites across the United States, oversampling those at risk
for alcohol use problems. Most (83%) had limited or no history of alcohol or
other drug use, and a smaller portion (17%) exceeded drinking thresholds. A
comprehensive assessment of biological development, family background,
psychiatric symptomatology, and neuropsychological functioning-in addition to
anatomical, diffusion, and functional brain magnetic resonance imaging-was
completed at baseline. RESULTS: The NCANDA sample of youth is nationally
representative of sex and racial/ethnic groups. More than 50% have at least one
risk characteristic for subsequent heavy drinking (e.g., family history,
internalizing or externalizing symptoms). As expected, those who exceeded
drinking thresholds (n = 139) differ from those who did not (n = 692) on
identified factors associated with early alcohol use and problems. CONCLUSIONS:
NCANDA successfully recruited a large sample of adolescents and comprehensively
assessed psychosocial functioning across multiple domains. Based on the sample's
risk profile, NCANDA is well positioned to capture the transition into drinking
and alcohol problems in a large portion of the cohort, as well as to help
disentangle the associations between alcohol use, neurobiological maturation, and
neurocognitive development and functioning.
PMID- 26562599
TI - Marijuana and Other Substance Use Among Motor Vehicle Operators: A Latent Class
Analysis.
AB - OBJECTIVE: The deleterious effect of multiple-substance use on driving
performance is well established, but relatively little research has examined the
patterns of drug use among multiple-substance users and its relationship to both
alcohol use and adverse driving outcomes. METHOD: The current study used latent
class analysis to examine subgroups of substance users among a population of
drivers who screened positively for 2 or more of 13 substances other than alcohol
(N = 250). A series of logistic regression analyses was conducted to examine
demographic predictors of latent class assignment and class association with
adverse driving outcomes. RESULTS: Four distinct subclasses of users were
identified among multiple-substance-using drivers: Class 1 consisted of
individuals who demonstrated high levels of all substances indicators (5%). The
second class demonstrated high levels of marijuana and cocaine use and lower
levels of all other substances (27%). The third class screened high for marijuana
and nonmedical prescription opiate analgesics use (36%), whereas the last class
demonstrated high nonmedical prescription opiate analgesics and benzodiazepine
use (32%). Drivers in Class 2 (marijuana and cocaine users) were more likely to
be younger and have a positive breath alcohol concentration than drivers in any
other class. CONCLUSIONS: Because multidrug users show dissimilar
characteristics, the propensity of researchers to lump all multiple-substance
users together may either erroneously attribute the potentially profound impact
of those in the marijuana and cocaine use class to all multiple-substance users
or dilute their specific contribution to crash risk.
PMID- 26562600
TI - Clubbing With Familiar Social Groups: Relaxed Vigilance and Implications for
Risk.
AB - OBJECTIVE: This research examined the relationship between the intragroup
familiarity among peers visiting nightclubs and the likelihood of experiencing
negative outcomes. We hypothesized, based on our prior work, that members who are
more familiar with their group would be more likely to experience sexual and
physical aggression while at the club. METHOD: The study involved 1,765 young
adults (within 654 natural groups) sampled at nightclubs in the San Francisco Bay
area. Participants were interviewed about their clubbing history and expectations
before entering the club and about their experiences in the club as they exited.
Breath samples were collected at both entry and exit to obtain objective measures
of alcohol use. RESULTS: Using generalized linear mixed modeling to accommodate
correlated data, we found that, to the extent that club patrons were familiar
with more of their peer group, the more likely they were to experience sexual and
physical aggression, although this was moderated by participant gender.
CONCLUSIONS: Although in many circumstances group cohesion can be a protective
factor, the results of this study suggest that greater group familiarity might
sometimes be associated with less concern for safety, reduced vigilance, and an
increase in negative experiences.
PMID- 26562601
TI - Sex Differences in the Personality and Cognitive Characteristics of First-Time
DWI Offenders.
AB - OBJECTIVE: Driving while impaired by alcohol (DWI) is a significant yet
preventable public health problem. The overrepresentation of males among DWI
offenders has been attributed in part to greater sensation seeking and
impulsivity features in males, although recent evidence points to increasing
female DWI events. Studies exploring sex differences in DWI to better understand
and intervene in these trends are rare and often methodologically lacking. This
study examined sex differences among first-time DWI offenders by testing the
hypotheses that, compared with non-DWI drivers, male offending is primarily
associated with greater impulsive and sensation-seeking personality features and
response disinhibition, whereas female offending is primarily associated with
greater alcohol misuse. METHOD: Male and female drivers ages 18-44 years
convicted of a first DWI offense (n = 217) and non-DWI driver controls (n = 79)
were recruited and compared on measures of psychosocial characteristics,
substance use, personality, and response inhibition. RESULTS: In partial support
of our hypotheses, greater alcohol misuse severity was found in female DWI
offenders compared with female non-DWI drivers but an equivalent effect was not
detected in males. Counter to hypothesis, greater impulsivity, sensation seeking,
and response disinhibition were not found in male non-DWI drivers compared with
male non-DWI drivers. Unexpectedly, greater impulsivity was found in female DWI
offenders compared with female DWI drivers. CONCLUSIONS: Little evidence was
found for impulsivity, sensation seeking, and response disinhibition being
factors that directly explain higher rates of DWI offending in males. In
contrast, more marked alcohol misuse and trait impulsivity in female DWI drivers
suggest a greater vulnerability to uncontrolled drinking leading to dangerous
driving. These findings represent a basis for examining sex-related responsivity
to distinct approaches to DWI prevention.
PMID- 26562603
TI - Implementation of Electronic Health Records and Entrepreneurial Strategic
Orientation in Substance Use Disorder Treatment Organizations.
AB - OBJECTIVE: This research studied the relationships of the components of
entrepreneurial strategic orientation (ESO) with implementation of electronic
health records (EHRs) within organizations that treat patients with substance use
disorders (SUDs). METHOD: A national sample of 317 SUD treatment providers were
studied in a period after the Health Information Technology for Economic and
Clinical Health (HITECH) Act was enacted (2009) and meaningful use EHR
requirements were established (2010), but before implementation of the Affordable
Care Act. The study sample was selected using stratified random sampling and was
part of a longitudinal study of treatment providers across the United States.
RESULTS: After we controlled for potentially confounding variables, four
components of ESO had a significant relationship with EHR implementation. Levels
of slack resources in an organization moderated the relationship of ESO with
meaningful use of EHRs, increasing the strength of the relationship for some
components but reducing the strength of others. CONCLUSIONS: From a policy and
practice perspective, the results suggest that training and education to develop
higher levels of ESO within SUD treatment organizations are likely to increase
their level of meaningful use of EHRs, which in turn may enhance the integration
of SUD treatment with primary medical providers, better preparing SUD treatment
providers for the environmental changes of the Affordable Care Act.
PMID- 26562602
TI - Predictors of Abstinence From Heavy Drinking During Follow-Up in COMBINE.
AB - OBJECTIVE: Although the primary focus of clinical trials is on between-group
comparisons during treatment, these studies can also yield insights into which
patient characteristics predict longer term outcomes. Our goal was to identify
predictors of good outcome during the 1-year follow-up in the Combined
Pharmacotherapies and Behavioral Interventions for Alcohol Dependence (COMBINE)
Study. METHOD: We constructed classification trees and a deterministic forest to
predict no heavy drinking days during the last 8 weeks of the 1-year follow-up in
COMBINE, based on more than 100 baseline predictors and drinking outcomes during
the treatment phase of the study. The COMBINE sample was randomly split into a
training and a validation data set. Logistic regression models were fit to
compare the predictive performance of tree-based methods and classical methods.
RESULTS: A small tree with only two splits and four nodes based on abstinence and
good clinical outcome during treatment had fair classification accuracy in the
training and the validation samples: area under the curve (AUC) of 71% and 70%,
respectively. Drinking outcomes during treatment were the strongest predictors in
the deterministic forest. Logistic regression analyses based on four main effects
(good clinical outcome, level of drinking during treatment, age at onset of
alcohol dependence, and feeling more energetic) had slightly better
classification accuracy (AUC = 74%). CONCLUSIONS: End-of-treatment outcomes were
the strongest predictors of long-term outcome in all analyses. The results
emphasize the importance of optimizing outcomes during treatment and identify
potential subgroups of individuals who require additional or alternative
interventions to achieve good long-term outcome.
PMID- 26562604
TI - Alcohol Doesn't Always Compromise Cognitive Function: Exploring Moderate Doses in
Young Adults.
AB - OBJECTIVE: The purpose of this study was to clarify inconsistent findings
regarding the acute cognitive effects of subintoxicating alcohol doses (i.e., <80
mg/dl) by controlling for and evaluating variables that might modulate dose
related outcomes. METHOD: The current study examined the effects of sex/gender
and alcohol concentration on select cognitive functions in 94 individuals (49
men) between 25 and 35 years of age. Participants were randomly assigned to one
of three dose conditions: target peak breath alcohol concentration of 0 mg/dl
(placebo), 40 mg/dl (low), or 65 mg/dl (moderate). After beverage consumption,
they completed tasks assessing psychomotor, set-shifting, and working memory
ability. RESULTS: Analyses revealed no significant effect of dose for any
cognitive domain. A trend-level effect of dose on psychomotor performance was
observed, with the low-dose group performing somewhat better than the moderate
dose and placebo groups. No sex main effects or interactions were revealed.
CONCLUSIONS: Consistent with our previous studies, these data suggest that low
and moderate doses of alcohol may not compromise cognitive ability in non-problem
drinkers under certain task conditions. Given the outcomes, sex differences
cannot be meaningfully addressed. Future consideration of potentially influential
variables and assessment of similarly well-defined cohorts might yield a clearer
interpretation of alcohol's behavioral consequences.
PMID- 26562605
TI - Decomposing the Relationship Between Anxiety Sensitivity and Alcohol Use.
AB - OBJECTIVE: The misuse of alcohol is related to numerous detrimental health
effects. Research has determined anxiety sensitivity (AS) to be a risk factor for
problematic alcohol use. To date, no studies have investigated this relationship
using a bifactor model of AS. This study used a bifactor model to determine the
effects of the general AS factor and the cognitive, physical, and social concerns
subfactors on alcohol-related outcomes. METHOD: The sample consisted of 329
participants selected from a larger sample of individuals in a brief smoking
cessation intervention. Latent factor models were used to determine the effects
of the bifactor model of AS on alcohol use behavior. RESULTS: The general AS
factor was significantly associated with alcohol use problems but not alcohol
consumption. The AS subfactors of cognitive, physical, and social concerns were
not significantly related to either alcohol variable. CONCLUSIONS: The findings
are inconsistent with previous research that has found associations between the
AS subfactors and alcohol-related outcomes. The use of a bifactor model of AS
allowed the variance associated with AS to be parceled out of the subfactors,
indicating that general AS accounts for the relationship between AS and alcohol
misuse.
PMID- 26562607
TI - Drinking Motives Moderate the Effect of the Social Environment on Alcohol Use: An
Event-Level Study Among Young Adults.
AB - OBJECTIVE: The purpose of this study was to test (a) whether drinking motives
predict event-level drinking on weekend evenings; (b) whether the number of
friends present in social situations was associated with drinking on weekend
evenings; and (c) whether drinking motives moderate the association between
friends present and drinking. METHOD: We linked individual-level drinking motives
(measured at baseline) to event-level data assessed every Thursday, Friday, and
Saturday evening over 5 weeks. The number of drinks and male and female friends
present in the situation were assessed at 9 p.m., 10 p.m., 11 p.m., midnight, and
1 a.m. In total, 197 young adults (51.3% male) completed 11,516 event-level
assessments. RESULTS: Multilevel models by gender revealed that higher
enhancement motives predicted a greater number of drinks consumed in a given
moment, but only among women. The higher the number of male and female friends
present in a situation, the more drinks consumed by both genders. Last, drinking
motives moderated the association between the number of friends present and the
number of drinks. For women, higher enhancement motives predicted more drinks in
situations with more male friends. For men, higher coping motives predicted more
drinks in situations with no friends and in situations with more female friends.
Lower coping motives predicted more drinks with more male friends. Higher
conformity motives predicted fewer drinks with more female friends. CONCLUSIONS:
Drinking motives appear to moderate event-level factors rather than directly
predict drinking on weekend evenings. Depending on the motives for drinking,
event-level factors (e.g., friends present in a situation) have a strong effect
on an individual's drinking.
PMID- 26562606
TI - Dynamic Patterns of Adolescent Substance Use: Results From a Nationally
Representative Sample of High School Students.
AB - OBJECTIVE: Use of tobacco, alcohol, marijuana, and other drugs increases during
the high school years, yet little is known about individual patterns over time,
particularly patterns of contemporaneous multiple-substance use. This study
examined trajectories of contemporaneous substance use and how individual and
social factors differentially predict patterns of substance use. METHOD:
Longitudinal trajectories of substance use were examined in a nationally
representative sample of students (N = 2,512) over a 3-year period (10th through
12th grades) using latent class analysis. Individual, parental, and peer risk
factors in 10th grade were examined in relation to membership in trajectory
classes. RESULT: A five-class model was identified: nonusers (45.5%); tobacco,
alcohol, and other drug users (9.2%); alcohol and other drug users (9.2%);
increasing multiple-substance users (16.7%); and decreasing multiple-substance
users (19.4%). Depressive symptoms at baseline were associated with a higher
likelihood of membership in all classes except the increasing multiple-substance
user class, but the association becomes insignificant when social influence
factors were adjusted. Parental-monitoring knowledge was associated with a lower
likelihood of membership in all classes except increasing multiple-substance-user
class, whereas perceived parental disapproval was associated with a lower
likelihood of membership in the tobacco, alcohol, and other drug user class. Peer
substance use was associated with a higher likelihood of membership in each of
the substance use classes. CONCLUSIONS: The identified longitudinal profiles
highlight the pervasiveness and dynamic patterns of contemporaneous multiple
substance use during 10th through 12th grades. Negative peer influence increased
risk, whereas positive parenting behaviors decreased risk. The findings are
consistent with the need to foster social influences and protective factors
against adolescent substance use.
PMID- 26562608
TI - The Hidden Role of the Alcohol Industry in Youth Drinking in Brazil.
PMID- 26562609
TI - Fine-Tuning Nickel Phenoxyimine Olefin Polymerization Catalysts: Performance
Boosting by Alkali Cations.
AB - To gain a better understanding of the influence of cationic additives on
coordination-insertion polymerization and to leverage this knowledge in the
construction of enhanced olefin polymerization catalysts, we have synthesized a
new family of nickel phenoxyimine-polyethylene glycol complexes (NiL0, NiL2-NiL4)
that form discrete molecular species with alkali metal ions (M(+) = Li(+), Na(+),
K(+)). Metal binding titration studies and structural characterization by X-ray
crystallography provide evidence for the self-assembly of both 1:1 and 2:1
NiL:M(+) species in solution, except for NiL4/Na(+) which form only the 1:1
complex. It was found that upon treatment with a phosphine scavenger, these NiL
complexes are active catalysts for ethylene polymerization. We demonstrate that
the addition of M(+) to NiL can result in up to a 20-fold increase in catalytic
efficiency as well as enhancement in polymer molecular weight and branching
frequency compared to the use of NiL without coadditives. To the best of our
knowledge, this work provides the first systematic study of the effect of
secondary metal ions on metal-catalyzed polymerization processes and offers a new
general design strategy for developing the next generation of high performance
olefin polymerization catalysts.
PMID- 26562610
TI - Direct C-H Trifluoromethylation of Glycals by Photoredox Catalysis.
AB - A mild, efficient, and practical transformation for the direct C-H
trifluoromethylation of glycals under visible light has been reported for the
first time. This reaction employed fac-Ir(3+)(ppy)3 as the photocatalyst,
Umemoto's reagent as the CF3 source, and a household blue LED or sunlight as the
light source. Glycals bearing both electron-withdrawing and -donating protective
groups performed this reaction smoothly. This visible light-mediated
trifluoromethylation reaction was highlighted by the trifluoromethylation of the
biologically important Neu2en moiety.
PMID- 26562611
TI - Plantadeprate A, a Tricyclic Monoterpene Zwitterionic Guanidium, and Related
Derivatives from the Seeds of Plantago depressa.
AB - Two new alkaloids, plantadeprate A (1) and 1'-(4"-hydroxybutyl)plantagoguanidinic
acid (2), along with three known compounds, were isolated from the seeds of
Plantago depressa. Their structures were elucidated by physical data analyses
including NMR, MS, and electronic circular dichroism (ECD) methods. Plantadeprate
A (1), a monoterpene zwitterionic guanidium, possesses a unique 5/5/6-tricyclic
ring system. Its absolute configuration was determined by X-ray crystallography
and computational methods. Compound 1, plumbagine D (3), and plantagoguanidinic
acid (4) exhibited potential antihyperglycemic properties attributed to
suppression of hepatic gluconeogenesis with inhibitory rates of 8.2%, 18.5%, and
12.5% at 40 MUM, respectively.
PMID- 26562613
TI - Application of Ga(68) -DOTA-exendin-4 PET/CT to localize an occult insulinoma.
PMID- 26562612
TI - TAS2R38 genotype predicts surgical outcome in nonpolypoid chronic rhinosinusitis.
AB - BACKGROUND: Over 550,000 sinus surgeries are performed annually in the United
States on patients with chronic rhinosinusitis (CRS). Although the results of
sinus surgery vary widely, no known genetic factor has been identified to predict
surgical outcomes. The bitter taste receptor T2R38 has recently been demonstrated
to regulate upper airway innate defense and may affect patient responses to
therapy. Our goal was to determine whether TAS2R38 genetics predicts outcomes in
CRS patients following sinus surgery. METHODS: A prospective study of patients
undergoing sinus surgery evaluating postoperative outcomes through the 22-item
Sino-Nasal Outcome Test (SNOT-22). Patients were genotyped for TAS2R38. RESULTS:
A total of 123 patients with CRS were initially analyzed; 82 patients showed
nasal polyps (CRSwNP) and 41 patients were without nasal polyps (CRSsNP). Six
months after surgery, the overall SNOT-22 improvement was 25 +/- 23 points. The
TAS2R38 genotype was found to significantly correlate with surgical outcomes in
patients without polyps; homozygotes for the functional receptor had a mean
improvement of 38 +/- 21, whereas heterozygotes or homozygotes for the
nonfunctional receptor had a mean improvement of 12 +/- 22 (p = 0.006). This
result was confirmed with a multivariate regression that incorporated further
patients with 1-month and 3-month scores (n = 207). CONCLUSION: In patients
undergoing sinus surgery for CRS, we have identified a genetic polymorphism that
predicts variability in quality of life improvement following surgery at 6 months
in nonpolypoid CRS. This is the first genetic polymorphism identified that has
demonstrated to predict surgical outcome for a select group of CRS patients.
PMID- 26562614
TI - NEB-related core-rod myopathy with distinct clinical and pathological features.
AB - INTRODUCTION: Mutations in the gene encoding nebulin (NEB) are known to cause
several types of congenital myopathy including recessive nemaline myopathy and
distal nebulin myopathy. Core-rod myopathy has recently been reported to be
another type of NEB-related myopathy, and is pathologically characterized by the
coexistence of cores and nemaline rods within muscle fibers. METHODS: We describe
2 patients with core-rod myopathy who were analyzed genetically by whole exome
sequencing and evaluated clinically and pathologically. Findings were compared
with those of patients with the disease of other genetic causes. RESULTS: Three
NEB mutations were identified, 2 of which were novel. Mild clinical features,
unusual patterns of muscle involvement, and atypical pathological findings were
observed. CONCLUSIONS: We propose that the clinical and pathological spectrum of
core-rod myopathy should be widened. A significant amount of residual nebulin
expression is believed to contribute to the much milder phenotype exhibited by
the patients we describe here.
PMID- 26562616
TI - NMR Investigations of Noncovalent Carbon Tetrel Bonds. Computational Assessment
and Initial Experimental Observation.
AB - Group IV tetrel elements may act as tetrel bond donors, whereby a region of
positive electrostatic potential (sigma-hole) interacts with a Lewis base. The
results of calculations of NMR parameters are reported for a series of model
compounds exhibiting tetrel bonding from a methyl carbon to the oxygen or
nitrogen atoms in various functional groups. The (13)C chemical shift (deltaiso)
and the (1c)J((13)C,Y) coupling (Y = (17)O, (15)N) across the tetrel bond are
recorded as a function of geometry. The sensitivity of the NMR parameters to the
noncovalent interaction is demonstrated via an increase in deltaiso and in
|(1c)J((13)C,Y)| as the tetrel bond shortens. Gauge-including projector-augmented
wave density functional theory (DFT) calculations of deltaiso are reported for
crystals that exhibit tetrel bonding in the solid state. Experimental deltaiso
values for solid sarcosine and its tetrel-bonded salts corroborate the
computational findings. This work offers new insights into tetrel bonding and
facilitates the incorporation of tetrel bonds as restraints in NMR
crystallographic structure refinement.
PMID- 26562617
TI - Assessment of DFT for Computing Sum Frequency Generation Spectra of an Epoxydiol
and a Deuterated Isotopologue at Fused Silica/Vapor Interfaces.
AB - We assess the capabilities of eight popular density functional theory (DFT)
functionals, in combination with several basis sets, as applied to calculations
of vibrational sum frequency generation (SFG) spectra of the atmospherically
relevant isoprene oxidation product trans-beta-isoprene epoxydiol (IEPOX) and one
of its deuterated isotopologues at the fused silica/vapor interface. We use sum
of squared differences (SSD) and total absolute error (TAE) calculations to
estimate the performance of each functional/basis set combination in producing
SFG spectra that match experimentally obtained spectra from trans-beta-IEPOX and
one of its isotopologues. Our joined SSD/TAE analysis shows that while the twist
angle of the methyl C3v symmetry axis of trans-beta-IEPOX relative to the surface
is sensitive to the choice of DFT functional, the calculated tilt angle relative
to the surface normal is largely independent of the functional and basis set.
Moreover, we report that hybrid functionals such as B3LYP, omegaB97X-D, PBE0, and
B97-1 in combination with a modest basis set, such as 6-311G(d,p), provides good
agreement with experimental data and much better performance than pure
functionals such as PBE and BP86. However, improving the quality of the basis set
only improves agreement with experimental data for calculations based on pure
functionals. A conformational analysis, based on comparisons of calculated and
experimental SFG spectra, suggests that trans-beta-IEPOX points all of its oxygen
atoms toward the silica/vapor interface.
PMID- 26562618
TI - The 'impurity' of indoor air.
PMID- 26562615
TI - Molecular mechanisms of midfacial developmental defects.
AB - The morphogenesis of midfacial processes requires the coordination of a variety
of cellular functions of both mesenchymal and epithelial cells to develop complex
structures. Any failure or delay in midfacial development as well as any abnormal
fusion of the medial and lateral nasal and maxillary prominences will result in
developmental defects in the midface with a varying degree of severity, including
cleft, hypoplasia, and midline expansion. Despite the advances in human genome
sequencing technology, the causes of nearly 70% of all birth defects, which
include midfacial development defects, remain unknown. Recent studies in animal
models have highlighted the importance of specific signaling cascades and genetic
environmental interactions in the development of the midfacial region. This
review will summarize the current understanding of the morphogenetic processes
and molecular mechanisms underlying midfacial birth defects based on mouse models
with midfacial developmental abnormalities.
PMID- 26562619
TI - Quantum Confined Stark Effect in a GaAs/AlGaAs Nanowire Quantum Well Tube Device:
Probing Exciton Localization.
AB - In this Letter, we explore the nature of exciton localization in single
GaAs/AlGaAs nanowire quantum well tube (QWT) devices using photocurrent (PC)
spectroscopy combined with simultaneous photoluminescence (PL) and
photoluminescence excitation (PLE) measurements. Excitons confined to GaAs
quantum well tubes of 8 and 4 nm widths embedded into an AlGaAs barrier are seen
to ionize at high bias levels. Spectroscopic signatures of the ground and excited
states confined to the QWT seen in PL, PLE, and PC data are consistent with
simple numerical calculations. The demonstration of good electrical contact with
the QWTs enables the study of Stark effect shifts in the sharp emission lines of
excitons localized to quantum dot-like states within the QWT. Atomic resolution
cross-sectional TEM measurements and an analysis of the quantum confined Stark
effect of these dots provide insights into the nature of the exciton localization
in these nanostructures.
PMID- 26562620
TI - Electron Transfer and Associative Detachment in Low-Temperature Collisions of D(
) with H.
AB - The interaction of D(-) with H was studied experimentally and theoretically at
low temperatures. The rate coefficients of associative detachment and electron
transfer reactions were measured in the temperature range 10-160 K using a
combination of a cryogenic 22-pole trap with a cold effusive beam of atomic
hydrogen. Results from quantum-mechanical calculations are in good agreement with
the experimental data. The rate coefficient obtained for electron transfer is
increasing monotonically with temperature from 1 * 10(-9) cm(3) s(-1) at 10 K to
5 * 10(-9) cm(3) s(-1) at 160 K. The rate coefficient for associative detachment
has a flat maximum of 3 * 10(-9) cm(3) s(-1) between 30 and 100 K.
PMID- 26562622
TI - Measuring antigen presentation in mouse brain endothelial cells ex vivo and in
vitro.
AB - We have recently demonstrated that brain endothelial cells cross-present parasite
antigen during mouse experimental cerebral malaria (ECM). Here we describe a 2-d
protocol to detect cross-presentation by isolating the brain microvessels and
incubating them with a reporter cell line that expresses lacZ upon detection of
the relevant peptide-major histocompatibility complex. After X-gal staining, a
typical positive result consists of hundreds of blue spots, compared with fewer
than 20 spots from a naive brain. The assay is generalizable to other disease
contexts by using reporter cells that express appropriate specific T cell
receptors. Also described is the protocol for culturing endothelial cells from
brain microvessels isolated from naive mice. After 7-10 d, an in vitro cross
presentation assay can be performed by adding interferon-gamma, antigen (e.g.,
Plasmodium berghei-infected red blood cells) and reporter cells in sequence over
3 d. This is useful for comparing different antigen forms or for probing the
effects of various interventions.
PMID- 26562621
TI - Next-generation diagnostics and disease-gene discovery with the Exomiser.
AB - Exomiser is an application that prioritizes genes and variants in next-generation
sequencing (NGS) projects for novel disease-gene discovery or differential
diagnostics of Mendelian disease. Exomiser comprises a suite of algorithms for
prioritizing exome sequences using random-walk analysis of protein interaction
networks, clinical relevance and cross-species phenotype comparisons, as well as
a wide range of other computational filters for variant frequency, predicted
pathogenicity and pedigree analysis. In this protocol, we provide a detailed
explanation of how to install Exomiser and use it to prioritize exome sequences
in a number of scenarios. Exomiser requires ~3 GB of RAM and roughly 15-90 s of
computing time on a standard desktop computer to analyze a variant call format
(VCF) file. Exomiser is freely available for academic use from
http://www.sanger.ac.uk/science/tools/exomiser.
PMID- 26562623
TI - Traumatic brain injury in U.S. Veterans with traumatic spinal cord injury.
AB - Patients with both a spinal cord injury (SCI) and traumatic brain injury (TBI)
are often very difficult to manage and can strain the resources of clinical units
specialized in treating either diagnosis. However, a wide range of estimates
exists on the extent of this problem. The aim of this study was to describe the
scope of the problem in a well-defined population attending a comprehensive SCI
unit. Electronic medical records of all patients with SCI being followed by the
SCI unit in a U.S. Veterans' hospital were searched to identify those with
concurrent TBI. The data were analyzed for age, sex, cause of injury, level and
completeness of SCI, cognitive impairment, relationship with Active Duty
military, and date of injury. Of 409 Veterans with a traumatic SCI, 99 (24.2%)
were identified as having had a concurrent TBI. The occurrence did not appear to
be closely related to military conflict. Reports of TBI were much more common in
the last 20 yr than in previous decades. Documentation of TBI in patients with
SCI was inconsistent. Improved screening and documentation could identify all
patients with this dual diagnosis and facilitate appropriate management.
PMID- 26562624
TI - Gender Differences in Posttraumatic Stress Disorder and Help Seeking in the U.S.
Army.
AB - BACKGROUND: Inconsistent findings between studies of gender differences in mental
health outcomes in military samples have left open questions of differential
prevalence in posttraumatic stress disorder (PTSD) among all United States Army
soldiers and in differential psychosocial and comorbid risk and protective factor
profiles and their association with receipt of treatment. METHODS: This study
assesses the prevalence and risk factors of screening positive for PTSD for men
and women based on two large, population-based Army samples obtained as part of
the 2005 and 2008 U.S. Department of Defense Surveys of Health Related Behaviors
among Active Duty Military Personnel. RESULTS: The study showed that overall
rates of PTSD, as measured by several cutoffs of the PTSD Checklist, are similar
between active duty men and women, with rates increasing in both men and women
between the two study time points. Depression and problem alcohol use were
strongly associated with a positive PTSD screen in both genders, and combat
exposure was significantly associated with a positive PTSD screen in men.
Overall, active duty men and women who met criteria for PTSD were equally likely
to receive mental health counseling or treatment, though gender differences in
treatment receipt varied by age, race, social support (presence of spouse at duty
station), history of sexual abuse, illness, depression, alcohol use, and combat
exposure. CONCLUSIONS: The study demonstrates that the prevalence of PTSD as well
as the overall utilization of mental health services is similar for active duty
men compared with women. However, there are significant gender differences in
predictors of positive PTSD screens and receipt of PTSD treatment.
PMID- 26562625
TI - Assessment of Muscle Contractile Properties at Acute Moderate Altitude Through
Tensiomyography.
AB - Under hypoxia, alterations in muscle contractile properties and faster fatigue
development have been reported. This study investigated the efficacy of
tensiomyography (TMG) in assessing muscle contractile function at acute moderate
altitude. Biceps femoris (BF) and vastus lateralis (VL) muscles of 18 athletes
(age 20.1 +/- 6.1 years; body mass 65.4 +/- 13.9 kg; height 174.6 +/- 9.5 cm)
were assessed at sea level and moderate altitude using electrically evoked
contractions on two consecutive days. Maximum radial displacement (Dm), time of
contraction (Tc), reaction time (Td), sustained contraction time (Ts), and
relaxation time (Tr) were recorded at 40, 60, 80, and 100 mA. At altitude, VL
showed lower Dm values at 40 mA (p = 0.008; ES = -0.237). Biceps femoris showed
Dm decrements in all electrical stimulations (p < 0.001, ES > 0.61). In VL, Tc
was longer at altitude at 40 (p = 0.031, ES = 0.56), and 100 mA (p = 0.03, ES =
0.51). Regarding Td, VL showed significant increases in all electrical
intensities under hypoxia (p <= 0.03, ES >= 0.33). TMG appears effective at
detecting slight changes in the muscle contractile properties at moderate
altitude. Further research involving TMG along with other muscle function
assessment methods is needed to provide additional insight into peripheral
neuromuscular alterations at moderate altitude.
PMID- 26562626
TI - Understanding the Molecular Basis of Heterogeneity in Induced Pluripotent Stem
Cells.
AB - Reprogramming of somatic cells to generate induced pluripotent stem cells (iPSCs)
has considerable latency and generates epigenetically distinct partially and
fully reprogrammed clones. To understand the molecular basis of reprogramming and
to distinguish the partially reprogrammed iPSC clones (pre-iPSCs), we analyzed
several of these clones for their molecular signatures. Using a combination of
markers that are expressed at different stages of reprogramming, we found that
the partially reprogrammed stable clones have significant morphological and
molecular heterogeneity in their response to transition to the fully pluripotent
state. The pre-iPSCs had significant levels of OCT4 expression but exhibited
variable levels of mesenchymal-to-epithelial transition. These novel molecular
signatures that we identified would help in using these cells to understand the
molecular mechanisms in the late of stages of reprogramming. Although
morphologically similar mouse iPSC clones showed significant heterogeneity, the
human iPSC clones isolated initially on the basis of morphology were highly
homogeneous with respect to the levels of pluripotency.
PMID- 26562627
TI - A Phosphotyrosine Switch Controls the Association of Histone Mark Readers with
Methylated Proteins.
AB - Although histone post-translational modifications play a paramount role in
controlling access to genetic information, our understanding of the precise
mechanisms regulating chromatin signaling remains superficial. For instance,
histone H3 trimethylated on lysine 9 (H3K9(me3)) favors the association of
chromodomain proteins such as heterochromatin protein 1alpha (HP1alpha) with
chromatin. However, HP1alpha and other such chromatin proteins are not covering
all specific histone marks at all times. Thus, how are these reader-histone
interactions regulated? We propose tyrosine phosphorylation within the aromatic
cage of histone mark readers as a molecular switch that can either turn ON or OFF
and even alter the specificity of reader-histone interactions. We have identified
tyrosine phosphorylation events on the chromatin proteins HP1alpha and M-phase
phosphoprotein 8 that regulate their association with methylated histones in
vitro (synthetic peptides, calf thymus purified histones, and nucleosomes), but
also in cells, thus controlling access to genetic information.
PMID- 26562628
TI - Is there a role for targeted medical therapies in patients with
craniopharyngiomas?
PMID- 26562629
TI - Site of Fluid Secretion in Small Airways.
AB - The secretion and management of readily transportable airway surface liquid (ASL)
along the respiratory tract is crucial for the clearance of debris and pathogens
from the lungs. In proximal large airways, submucosal glands (SMGs) can produce
ASL. However, in distal small airways, SMGs are absent, although the lumens of
these airways are, uniquely, highly plicated. Little is known about the
production and maintenance of ASL in small airways, but using electrophysiology,
we recently found that native porcine small airways simultaneously secrete and
absorb. How these airways can concurrently transport ASL in opposite directions
is puzzling. Using high expression of the Na-K-2Cl cotransport (NKCC) 1 protein
(SLC12a2) as a phenotypic marker for fluid secretory cells, immunofluorescence
microscopy of porcine small airways revealed two morphologically separated sets
of luminal epithelial cells. NKCC1 was abundantly expressed by most cells in the
contraluminal regions of the pleats but highly expressed very infrequently by
cells in the luminal folds of the epithelial plications. In larger proximal
airways, the acini of SMGs expressed NKCC1 prominently, but cells expressing
NKCC1 in the surface epithelium were sparse. Our findings indicate that, in the
small airway, cells in the pleats of the epithelium secrete ASL, whereas, in the
larger proximal airways, SMGs mainly secrete ASL. We propose a mechanism in which
the locations of secretory cells in the base of pleats and of absorptive cells in
luminal folds physically help maintain a constant volume of ASL in small airways.
PMID- 26562630
TI - Self-powered switch-controlled nucleic acid extraction system.
AB - Over the past few decades, lab-on-a-chip (LOC) technologies have played a great
role in revolutionizing the way in vitro medical diagnostics are conducted and
transforming bulky and expensive laboratory instruments and labour-intensive
tests into easy to use, cost-effective miniaturized systems with faster analysis
time, which can be used for near-patient or point-of-care (POC) tests. Fluidic
pumps and valves are among the key components for LOC systems; however, they
often require on-line electrical power or batteries and make the whole system
bulky and complex, therefore limiting its application to POC testing especially
in low-resource setting. This is particularly problematic for molecular
diagnostics where multi-step sample processing (e.g. lysing, washing, elution) is
necessary. In this work, we have developed a self-powered switch-controlled
nucleic acid extraction system (SSNES). The main components of SSNES are a
powerless vacuum actuator using two disposable syringes and a switchgear made of
PMMA blocks and an O-ring. In the vacuum actuator, an opened syringe and a
blocked syringe are bound together and act as a working syringe and an actuating
syringe, respectively. The negative pressure in the opened syringe is generated
by a restoring force of the compressed air inside the blocked syringe and
utilized as the vacuum source. The Venus symbol shape of the switchgear provides
multiple functions including being a reagent reservoir, a push-button for the
vacuum actuator, and an on-off valve. The SSNES consists of three sets of vacuum
actuators, switchgears and microfluidic components. The entire system can be
easily fabricated and is fully disposable. We have successfully demonstrated DNA
extraction from a urine sample using a dimethyl adipimidate (DMA)-based
extraction method and the performance of the DNA extraction has been confirmed by
genetic (HRAS) analysis of DNA biomarkers from the extracted DNAs using the
SSNES. Therefore, the SSNES can be widely used as a powerless and disposable
system for DNA extraction and the syringe-based vacuum actuator would be easily
utilized for diverse applications with various microchannels as a powerless
fluidic pump.
PMID- 26562631
TI - Stem cell-based approaches in dentistry.
AB - Repair of dental pulp and periodontal lesions remains a major clinical challenge.
Classical dental treatments require the use of specialised tissue-adapted
materials with still questionable efficacy and durability. Stem cell-based
therapeutic approaches could offer an attractive alternative in dentistry since
they can promise physiologically improved structural and functional outcomes.
These therapies necessitate a sufficient number of specific stem cell populations
for implantation. Dental mesenchymal stem cells can be easily isolated and are
amenable to in vitro expansion while retaining their stemness. In vivo studies
realised in small and large animals have evidenced the potential of dental
mesenchymal stem cells to promote pulp and periodontal regeneration, but have
also underlined new important challenges. The homogeneity of stem cell
populations and their quality control, the delivery method, the quality of the
regenerated dental tissues and their integration to the host tissue are some of
the key challenges. The use of bioactive scaffolds that can elicit effective
tissue repair response, through activation and mobilisation of endogenous stem
cell populations, constitutes another emerging therapeutic strategy. Finally, the
use of stem cells and induced pluripotent cells for the regeneration of entire
teeth represents a novel promising alternative to dental implant treatment after
tooth loss. In this mini-review, we present the currently applied techniques in
restorative dentistry and the various attempts that are made to bridge gaps in
knowledge regarding treatment strategies by translating basic stem cell research
into the dental practice.
PMID- 26562633
TI - Bilateral Mucopyocele of the Torus Tubarius Presenting as Headache.
PMID- 26562632
TI - Variability of Ocular Deviation in Strabismus.
AB - IMPORTANCE: In strabismus, the fixating eye conveys the direction of gaze while
the fellow eye points at a peripheral location in space. The stability of the
eyes may be reduced by the absence of a common target. OBJECTIVE: To quantify the
stability of eye position in strabismus and to measure variability in the ocular
deviation. DESIGN, SETTING, AND PARTICIPANTS: From 2010 to 2014, a prospective
comparative case study of 25 patients with alternating exotropia with normal
visual acuity in each eye and 25 control individuals was conducted in a
laboratory at a tertiary eye center. A video eye tracker was used to measure the
position of each eye while participants alternated fixation on the center of a
cross under dichoptic conditions or scanned pictures of natural scenes. MAIN
OUTCOMES AND MEASURES: Spatial and temporal variability in the position of the
fixating eye and the nonfixating eye in patients with strabismus and control
individuals, quantified by the log area of ellipses containing 95% of eye
positions or mean SDs of eye position. RESULTS: In the 25 patients with
strabismus, the mean (SD) age was 28 (14) years (range, 8-55 years) and the mean
(SD) ocular deviation was 14.2 degrees (5.9 degrees ) (range, 4.4 degrees -22.4
degrees ). In the patients with strabismus, the mean position variability (1.80
log units; 95% CI, 1.66-1.93) for the deviating eye was greater than for the
fixating eye (1.26 log units; 95% CI, 1.17-1.35) (P < .001). The fixating eye of
patients with strabismus was more variable in position than the fixating eye of
individuals without strabismus (0.98 log units; 95% CI, 0.88-1.08) (P < .005).
CONCLUSIONS AND RELEVANCE: In patients with strabismus, even without amblyopia,
the deviated eye is more variable in position than the fixating eye. Both eyes
are less stable in position than the eyes of control individuals, which indicates
that strabismus impairs the ability to fixate targets steadily. Saccades
contribute to variability of the deviation angle because they are less conjugate
in patients with strabismus.
PMID- 26562634
TI - The timing of life history events in the presence of soft disturbances.
AB - We study a model for the evolutionarily stable strategy (ESS) used by biological
populations for choosing the time of life-history events, such as arrival from
migration and breeding. In our model we account for both intra-species
competition (early individuals have a competitive advantage) and a disturbance
which strikes at a random time, killing a fraction 1-p of the population.
Disturbances include spells of bad weather, such as freezing or heavily raining
days. It has been shown by Iwasa and Levin (1995) that when the disturbance is so
strong that it kills any individual present when it strikes (hard disturbance,
p=0), then the ESS is a mixed strategy (individuals choose their arrival date in
an interval of possible dates, according to a certain probability distribution).
In this case, individuals wait for a certain time and afterwards start arriving
(or breeding) every day. In this paper we explore a biologically more realistic
situation whereby the disturbance kills only a fraction of the individuals (soft
disturbance, p>0). We also remove some technical assumptions which Iwasa and
Levin made on the distribution of the disturbance. We prove that the ESS is still
a mixed choice of times, however with respect to the case of hard disturbance, a
new phenomenon arises: whenever the disturbance is soft, if the competition is
sufficiently strong, the waiting time disappears and a fraction of the population
arrives at the earliest day possible, while the rest will arrive throughout the
whole period during which the disturbance may occur. This means that under strong
competition, the payoff of early arrival balances the increased risk of being
killed by the disturbance. We study the behaviour of the ESS and of the average
fitness of the population, depending on the parameters involved. We also
investigate how the population may be affected by climate change: namely the
occurrence of more extreme weather events, which may kill a larger fraction of
the population, and time shifts of the distribution of the disturbance. We show
how the ESS and the average fitness change under the new climate and discuss
which is the impact of the new climate on a population that still follows the old
strategy. In particular, we show that, at least under some conditions, extreme
weather events imply a temporary decrease of the average fitness of the
population due to an increased mortality. In addition, if the population adapts
to the new climate, the population may have a larger fitness.
PMID- 26562635
TI - Maximal dinucleotide comma-free codes.
AB - The problem of retrieval and maintenance of the correct reading frame plays a
significant role in RNA transcription. Circular codes, and especially comma-free
codes, can help to understand the underlying mechanisms of error-detection in
this process. In recent years much attention has been paid to the investigation
of trinucleotide circular codes (see, for instance, Fimmel et al., 2014; Fimmel
and Strungmann, 2015a; Michel and Pirillo, 2012; Michel et al., 2012, 2008),
while dinucleotide codes had been touched on only marginally, even though
dinucleotides are associated to important biological functions. Recently, all
maximal dinucleotide circular codes were classified (Fimmel et al., 2015; Michel
and Pirillo, 2013). The present paper studies maximal dinucleotide comma-free
codes and their close connection to maximal dinucleotide circular codes. We give
a construction principle for such codes and provide a graphical representation
that allows them to be visualized geometrically. Moreover, we compare the results
for dinucleotide codes with the corresponding situation for trinucleotide maximal
self-complementary C(3)-codes. Finally, the results obtained are discussed with
respect to Crick's hypothesis about frame-shift-detecting codes without commas.
PMID- 26562636
TI - Integrating Behavioral Health and Primary Care.
PMID- 26562637
TI - Primary Care Physicians' Selection of Low-Intensity Treatments for Patients With
Depression.
AB - BACKGROUND AND OBJECTIVES: Most outpatient treatment for depression is delivered
by primary care physicians (PCPs), yet little is known about which patient
variables affect PCPs' selection of high-intensity interventions, namely
antidepressant medications or psychotherapy, as opposed to less-intensive
treatment regimens (eg, watchful waiting, exercise). Our objective was to
ascertain whether the patient's symptom severity, presenting psychosocial stress,
and lifestyle habits influenced treatment recommendations. METHODS: Forty-two
PCPs from six Northeastern US primary care practices provided recommendations in
response to vignettes depicting patients with major depressive disorder who
varied in symptom severity, psychosocial stressors, and lifestyle habits.
RESULTS: Low-intensity-only interventions were recommended less than 25% of the
time. Lower symptom severity and higher psychosocial stressors were associated
with a greater likelihood of "low-intensity interventions only" recommendations.
Less-intensive treatments were rarely recommended without more intensive
treatments when the vignettes featured severe depression, whereas they were
recommended 39% of the time with vignettes featuring mild/moderate symptoms. In
response to the mild/moderate vignettes, the presence of psychosocial stressors
led to a decreased likelihood of low-intensity-only recommendations. CONCLUSIONS:
Although vignettes depicting depressed patients with mild/moderate symptoms were
more likely to elicit low-intensity treatment recommendations, the frequency was
still low. Given the evidence that antidepressants and psychotherapy for
mild/moderate depression may be no more effective, and likely less cost
effective, than low-intensity treatments, the findings suggest a need to
disseminate knowledge of less intensive treatment options to primary care
physicians.
PMID- 26562638
TI - Counseling by Family Physicians: Implications for Training.
AB - BACKGROUND AND OBJECTIVES: This study explored family physicians' practice of
providing psychosocial interventions in the form of counseling, their beliefs
about the efficacy of their counseling, their preferences of who should provide
counseling, the skills involved in counseling, and their training experiences in
learning how to counsel. METHODS: A total of 230 faculty and residents completed
written surveys at 11 family medicine residency programs in Florida. RESULTS:
Eighty-one percent of study participants regularly offered counseling to their
patients, 85% did so for psychosocial problems, and 94% did so for health
behavior change. Compared to residents, faculty reported greater use of
counseling and a greater willingness to counsel patients for depression or
anxiety. Fifty-six percent of the sample stated that their counseling for mental
health problems was effective. Sixty percent reported that their training
provided them with a basic knowledge of counseling skills; training involved
primarily observing a counselor or acting as a co-counselor with an identified
counselor. Cognitive Behavioral Therapy, Motivational Interviewing, and the
Stages-of-Change Model were the most widely taught counseling approaches.
CONCLUSIONS: Family physicians regularly provide counseling for psychosocial
problems and health behavior change, and a modest number believe counseling is
effective. Residents counsel less regularly and are less confident in the
effectiveness of their counseling compared to faculty. Training in counseling
skills generally involves direct observation and learning several counseling
techniques. Future research should explore whether models of family physician
counseling are practical, which techniques should be taught and how.
PMID- 26562639
TI - A Review of Contraception and Abortion Content in Family Medicine Textbooks.
AB - BACKGROUND AND OBJECTIVES: Family physicians are critical providers of
reproductive health care in the United States, and family physicians and trainees
refer to textbooks as a source of clinical information. This study evaluates the
coverage of reproductive health topics in current family medicine textbooks.
METHODS: We identified 12 common family medicine textbooks through a computerized
literature search and through the recommendations of a local family medicine
clerkship and evaluated 24 areas of reproductive health content (comprising
contraceptive care, management of early pregnancy loss, and provision of induced
abortion) for accuracy and thoroughness using criteria that we created based on
the latest guidelines. RESULTS: All contraceptive methods evaluated were
addressed in more than half of the textbooks, though discrepancies existed by
method, with intrauterine devices (IUDs), external (male) condoms, and diaphragms
addressed most frequently (10/12 texts) and male and female sterilization
addressed least frequently (8/12 texts). While most contraceptive methods, when
addressed, were usually addressed accurately, IUDs were often addressed
inaccurately. Coverage of early pregnancy loss management was limited to 7/12
texts, and coverage of early abortion methods was even more limited, with only
4/12 texts addressing the topic. CONCLUSIONS: Family medicine textbooks do not
uniformly provide correct and thorough information on reproductive health topics
relevant to family medicine, and attention is needed to ensure that family
physicians are receiving appropriate information and training to meet the
reproductive health needs of US women.
PMID- 26562640
TI - Directors Recognize Difficulties in Providing Medical Care to Residents But Few
Implement Policies to Address Them.
AB - BACKGROUND AND OBJECTIVES: Residents find it difficult to access medical care.
Some seek care within their own program. Our objectives were to (1) determine
whether family medicine program directors see their own resident physicians as
patients, (2) describe whether they perceive the residency culture as actively
encouraging of this practice, and (3) assess perceptions about reasons to
encourage or discourage this. METHODS: We used a paper-based self-administered
survey November 2013--January 2014. A stratified random sample of family medicine
residencies based on administrative type was used. Participants were directors of
sampled programs. The main outcome measure was provision of medical care to
resident physicians. RESULTS: A total of 137/250 directors (55%) responded.
Thirty (22%) reported seeing residents as patients in their family medicine
clinic while 107 did not (78%). Some directors who do see resident patients
expressed discomfort in doing so (24%). Participants reported that other faculty
physicians were significantly more likely to see residents (56%). Eighty-eight
percent (114/129) agreed that "Having a doctor-patient relationship with a
resident makes a supervisory relationship more difficult." Significant
differences in attitudes were noted between directors who do and do not provide
resident medical care. Few directors (10 %) agreed that their residency culture
actively encouraged residents to establish doctor-patient relationships with
faculty physicians. Only 16 (12%) had created written policies. CONCLUSIONS: It
is uncommon for directors to see residents as patients, but most who do feel
comfortable with it. Other faculty physicians provide care more frequently.
Directors acknowledge potential difficulties with this practice, but few have
addressed these issues by creating specific policies.
PMID- 26562641
TI - Program Director Participation in a Leadership and Management Skills Fellowship
and Characteristics of Program Quality.
AB - BACKGROUND AND OBJECTIVES: The association between a residency program director
completing a leadership and management skills fellowship and characteristics of
quality and innovation of his/her residency program has not been studied.
Therefore, the aim of this study is to examine the association between a
residency program director's completion of a specific fellowship addressing these
skills (National Institute for Program Director Development or NIPDD) and
characteristics of quality and innovation of the program they direct. METHODS:
Using information from the American Academy of Family Physicians (AAFP), National
Resident Matching Program (NRMP) and FREIDA(r) program characteristics were
obtained. Descriptive statistics were used to summarize the data. The
relationship between programs with a NIPDD graduate as director and program
quality measures and indicators of innovation was analyzed using both chi square
and logistic regression. RESULTS: Initial analyses showed significant
associations between the NIPDD graduate status of a program director and regional
location, mean years of program director tenure, and the program's 5-year
aggregate ABFM board pass rate from 2007--2011. After grouping the programs into
tertiles, the regression model showed significant positive associations with
programs offering international experiences and being a NIPDD graduate.
CONCLUSIONS: Program director participation in a fellowship addressing leadership
and management skills (ie, NIPDD) was found to be associated with higher pass
rates of new graduates on a Board certification examination and predictive of
programs being in the upper tertile of programs in terms of Board pass rates.
PMID- 26562642
TI - STFM Behavioral Science/Family Systems Educator Fellowship: Evaluation of the
First 4 Years.
AB - BACKGROUND: The discipline of family medicine has long valued the behavioral
sciences. Most residency training programs employ a clinical psychologist, social
worker, or family therapist to deliver behavioral science curriculum to their
residents. However, the cultures and content of training for behavioral sciences
and medical professions are quite different, leaving the lone behavioral
scientist feeling professionally isolated and unprepared to translate knowledge
and skills into tools for the family physician. In response to this need, a group
of family medicine educators developed an STFM-sponsored fellowship for
behavioral science faculty. The goals of the program were to improve fellows'
understanding of the culture of family medicine, provide a curricular toolbox for
the behavioral sciences, promote scholarship, and develop a supportive
professional network. METHODS: Senior behavioral science faculty at STFM
developed a 1-year fellowship program, featuring "classroom learning" at relevant
conferences, mentored small-group interactions, and scholarly project
requirements. Achievement of program goals was evaluated annually with pre- and
post-fellowship surveys. RESULTS: From 2010 to 2014, 59 fellows completed the
program; most were psychologists or social workers; two thirds were women. One
month after graduation, fellows reported significant increases in understanding
the culture of medicine, improved confidence in their curricula and scholarship,
and expanded professional networks, compared to pre-fellowship levels. The
program required many hours of volunteer time by leaders, faculty, and mentors
plus modest support from STFM staff. CONCLUSIONS: Leaders in family medicine
education, confronted by the need for inter-professional development, designed
and implemented a successful training program for behavioral science faculty.
PMID- 26562643
TI - A Medical Student-Driven "Vaccine Blitz" at a School-Based Health Center as an
Effective Way to Improve Adolescent Vaccination Rates.
AB - BACKGROUND AND OBJECTIVES: Adolescent vaccine rates are below goal in the United
States. We sought to assess a medical student driven "vaccine blitz" at a middle
school with a school-based health center (SBHC) as a means to increase
vaccination. METHODS: Written and/or verbal consent was obtained for specific
vaccines needed. Vaccines were given at the SBHC by a team of medical students,
public health students, and SBHC staff. Students who received vaccines at the
SBHC or primary care physician's (PCP's) office in the 3 weeks after consent was
attempted were included as participating in the intervention. RESULTS: Of 184
potential participants, 183 lacked at least one vaccine. On the day of the
vaccine blitz, 48 students were given 94 vaccines. During the entire intervention
time, an additional 14 students received 38 vaccines at the SBHC, and 23 students
received 34 vaccines from their PCP. In sum, 85 students received 166 vaccines
from this intervention. Immunization rates increased above the state average for
all recommended vaccines; rates of HPV, hepatitis A, and influenza vaccination
were most affected. CONCLUSIONS: Medical student-driven vaccine blitzes within an
SBHC are a feasible, replicable, and effective way to increase adolescent
vaccination rates. In addition, the blitz provided preclinical medical students'
exposure to underserved populations, adolescent health as part of the breadth of
family medicine, SBHCs, and community medicine and allowed for multidisciplinary
work between medical students, public health students, physicians, and nurse
practitioners.
PMID- 26562644
TI - Socializing Identity Through Practice: A Mixed Methods Approach to Family
Medicine Resident Perspectives on Uncertainty.
AB - OBJECTIVE: Uncertainty is a central theme in the practice of medicine and
particularly primary care. This study explored how family medicine resident
physicians react to uncertainty in their practice. METHODS: This study
incorporated a two-phase mixed methods approach, including semi-structured
personal interviews (n=21) and longitudinal self-report surveys (n=21) with
family medicine residents. RESULTS: Qualitative analysis showed that though
residents described uncertainty as an implicit part of their identity, they still
developed tactics to minimize or manage uncertainty in their practice. Residents
described increasing comfort with uncertainty the longer they practiced and
anticipated that growth continuing throughout their careers. Quantitative surveys
showed that reactions to uncertainty were more positive over time; however, the
difference was not statistically significant. DISCUSSION: Qualitative and
quantitative results show that as family medicine residents practice medicine
their perception of uncertainty changes. To reduce uncertainty, residents use
relational information-seeking strategies. From a broader view of practice,
residents describe uncertainty neutrally, asserting that uncertainty is simply
part of the practice of family medicine.
PMID- 26562645
TI - Respiratory Care Training for Safety-Net Primary Care Practices.
AB - BACKGROUND AND OBJECTIVES: Programs designed to enhance the diagnosis and
management of asthma and chronic obstructive pulmonary disease (COPD) in primary
care settings have had variable success and have not been broadly implemented.
The Respiratory Toolkit was created to bridge this gap. METHODS: The 2-year
program providing primary care training in both asthma and COPD was conducted in
an urban federally qualified health center with 13 clinics and 87 staff. The
program included interactive training with multidisciplinary teams, in-clinic
follow-up trainings, electronic medical record (EMR) tools, and patient-centered
educational resources. RESULTS: For asthma patients, use of spirometry increased
from 7% of visits before to 43% after training, severity assessment from 13% to
29%, asthma action plans from 2% to 8%, and prescription of inhaled
corticosteroids from 33% to 42%. For COPD patients, spirometry use increased from
21% to 35% of visits, and long-acting beta2-agonists from 19% to 26%. Among
undiagnosed smokers, use of the COPD screener increased from 0 to 11% of visits,
of spirometry from 4% to 36%, and of advice to quit from 74% to 79%. CONCLUSIONS:
The Respiratory Toolkit produced significant changes in guideline-based care for
patients with asthma or COPD; however, time constraints and other barriers
prevented full adoption.
PMID- 26562646
TI - Impact of an Interprofessional Teaching Clinic on Preventive Care Services.
AB - BACKGROUND AND OBJECTIVES: Recent legislative and regulatory initiatives have
emphasized preventive medicine and team-based health care delivery and education.
Influenced by these initiatives, the investigators created an interprofessional
teaching clinic to provide preventive care services (PCS) structured around
Medicare's Annual Wellness Visit (AWV). The primary objective of this pilot study
was to determine if PCS status improved for participating patients. METHODS: AWV
naive Medicare beneficiaries aged 66--74 years were recruited for the
interprofessional teaching clinic, which involved physicians, pharmacists, and
nurses. Patients were screened for 11 PCS variables, underwent medication review,
and received recommendations to address identified PCS deficiencies prior to
completing a satisfaction survey. Follow-up telephone visits were completed to
determine recommendation outcomes and final PCS status for each variable.
Descriptive statistics were used to characterize patients, the medication review,
PCS status, and satisfaction scores. McNemar tests were used to assess the PCS
status of patients before and after participation, and Fisher's Exact tests were
used to compare baseline PCS status between the pilot cohort and a comparator
group. RESULTS: Thirty-four patients were enrolled in the pilot intervention, and
one patient was lost to follow-up. Ninety-one percent (10/11) of PCS variables
improved following participation. Significant improvements were observed for
pneumococcal vaccination, mammography screening, fecal occult blood testing, and
bone mineral density scanning. Patient satisfaction was high (mean scores for all
items ?4.7). CONCLUSIONS: This interprofessional teaching clinic provides a
promising mechanism to improve patients' PCS status, medication utilization, and
satisfaction while training students to function effectively as a team.
PMID- 26562647
TI - Teams, Gratitude, and Goodbyes.
PMID- 26562650
TI - Evidence-Based Medicine Tests.
PMID- 26562651
TI - Authors' Reply to "Evidence-Based Medicine Tests".
PMID- 26562653
TI - Correction to Electroless Plating of Thin Gold Films Directly onto Silicon
Nitride Thin Films and into Micropores.
PMID- 26562652
TI - Dynamic Redox Regulation of IL-4 Signaling.
AB - Quantifying the magnitude and dynamics of protein oxidation during cell signaling
is technically challenging. Computational modeling provides tractable,
quantitative methods to test hypotheses of redox mechanisms that may be
simultaneously operative during signal transduction. The interleukin-4 (IL-4)
pathway, which has previously been reported to induce reactive oxygen species and
oxidation of PTP1B, may be controlled by several other putative mechanisms of
redox regulation; widespread proteomic thiol oxidation observed via 2D redox
differential gel electrophoresis upon IL-4 treatment suggests more than one redox
sensitive protein implicated in this pathway. Through computational modeling and
a model selection strategy that relied on characteristic STAT6 phosphorylation
dynamics of IL-4 signaling, we identified reversible protein tyrosine phosphatase
(PTP) oxidation as the primary redox regulatory mechanism in the pathway. A
systems-level model of IL-4 signaling was developed that integrates synchronous
pan-PTP oxidation with ROS-independent mechanisms. The model quantitatively
predicts the dynamics of IL-4 signaling over a broad range of new redox
conditions, offers novel hypotheses about regulation of JAK/STAT signaling, and
provides a framework for interrogating putative mechanisms involving receptor
initiated oxidation.
PMID- 26562654
TI - Correction: Low Levels of Dehydroepiandrosterone Sulfate in Younger Burnout
Patients.
PMID- 26562655
TI - Multiracial Facial Golden Ratio and Evaluation of Facial Appearance.
AB - This study aimed to investigate the association of facial proportion and its
relation to the golden ratio with the evaluation of facial appearance among
Malaysian population. This was a cross-sectional study with 286 randomly selected
from Universiti Sains Malaysia (USM) Health Campus students (150 females and 136
males; 100 Malaysian Chinese, 100 Malaysian Malay and 86 Malaysian Indian), with
the mean age of 21.54 +/- 1.56 (Age range, 18-25). Facial indices obtained from
direct facial measurements were used for the classification of facial shape into
short, ideal and long. A validated structured questionnaire was used to assess
subjects' evaluation of their own facial appearance. The mean facial indices of
Malaysian Indian (MI), Malaysian Chinese (MC) and Malaysian Malay (MM) were 1.59
+/- 0.19, 1.57 +/- 0.25 and 1.54 +/- 0.23 respectively. Only MC showed
significant sexual dimorphism in facial index (P = 0.047; P<0.05) but no
significant difference was found between races. Out of the 286 subjects, 49
(17.1%) were of ideal facial shape, 156 (54.5%) short and 81 (28.3%) long. The
facial evaluation questionnaire showed that MC had the lowest satisfaction with
mean score of 2.18 +/- 0.97 for overall impression and 2.15 +/- 1.04 for facial
parts, compared to MM and MI, with mean score of 1.80 +/- 0.97 and 1.64 +/- 0.74
respectively for overall impression; 1.75 +/- 0.95 and 1.70 +/- 0.83 respectively
for facial parts. IN CONCLUSION: 1) Only 17.1% of Malaysian facial proportion
conformed to the golden ratio, with majority of the population having short face
(54.5%); 2) Facial index did not depend significantly on races; 3) Significant
sexual dimorphism was shown among Malaysian Chinese; 4) All three races are
generally satisfied with their own facial appearance; 5) No significant
association was found between golden ratio and facial evaluation score among
Malaysian population.
PMID- 26562656
TI - The effect of the amount of blocking cue training on blocking of appetitive
conditioning in mice.
AB - Conditioning of a target cue is blocked when it occurs in compound with another
cue (blocking cue) that has already received conditioning. Although blocking of
appetitive conditioning is commonly used in rodents as a test of selective
learning, it has been demonstrated rarely in mice. In order to investigate the
conditions that result in blocking in mice two studies tested the effect of the
extent of prior blocking cue training on blocking of appetitive conditioning.
Mice received either 80 or 200 trials of blocking cue training prior to compound
conditioning. A control group received only compound training. Experiment 1
assessed the ability of a visual cue to block conditioning to an auditory target
cue. Exposure to the context and the unconditioned stimulus, sucrose pellets, was
equated across groups. Blocking was evident in mice that received 200, but not 80
training trials with the visual blocking cue. Responding to the blocking cue was
similar across groups. Experiment 2 assessed the ability of an auditory cue to
block conditioning to a visual target cue. Blocking was evident in mice trained
with 80 and 200 auditory blocking cue trials. The results demonstrate that the
strength of blocking in mice is dependent on the modality and experience of the
blocking cue. Furthermore, prolonged training of the blocking cue after
asymptotic levels of conditioned responding have been reached is necessary for
blocking to occur under certain conditions suggesting that the strength of
conditioned responding is a limited measure of learning.
PMID- 26562657
TI - The impact of early postnatal environmental enrichment on maternal care and
offspring behaviour following weaning.
AB - The early postnatal period is a sensitive period in rodents as behavioural
systems are developing and maturing during this time. However, relatively little
information is available about the impact of environmental enrichment on
offspring behaviour if enrichment is implemented only during this period. Here,
environmental enrichment was provided from postnatal day 1 until weaning. On post
natal day 9, maternal behaviour and nonmaternal behaviour of the dam was
observed. Nursing time in the enriched group was reduced but dams showed more non
maternal appetitive behaviours. Offspring were exposed to either the open field
or the elevated plus maze (EPM) after weaning. In the open field, rats from the
enriched group approached the more aversive inner zone of the open field later
than control rats. Offspring from the enriched group made fewer entries into the
inner zone and spent less time in this part of the arena. Enrichment had no
impact on behaviour in the EPM. The present study provides evidence that
postnatal enrichment can interfere with maternal behaviour in rats and can
possibly lead to increased anxiety in the offspring. The findings suggest that
enrichment procedures can have potentially unintended effects, interfering with
the development of emotional behaviours in rats.
PMID- 26562658
TI - Perceptions of Health Communication, Water Treatment and Sanitation in Artibonite
Department, Haiti, March-April 2012.
AB - The international response to Haiti's ongoing cholera outbreak has been
multifaceted, including health education efforts by community health workers and
the distribution of free water treatment products. Artibonite Department was the
first region affected by the outbreak. Numerous organizations have been involved
in cholera response efforts in Haiti with many focusing on efforts to improve
water, sanitation, and hygiene (WASH). Multiple types of water treatment products
have been distributed, creating the potential for confusion over correct dosage
and water treatment methods. We utilized qualitative methods in Artibonite to
determine the population's response to WASH messages, use and acceptability of
water treatment products, and water treatment and sanitation knowledge, attitudes
and practices at the household level. We conducted eighteen focus group
discussions (FGDs): 17 FGDs were held with community members (nine among females,
eight among males); one FGD was held with community health workers. Health
messages related to WASH were well-retained, with reported improvements in hand
washing. Community health workers were identified as valued sources of health
information. Most participants noted a paucity of water-treatment products.
Sanitation, specifically the construction of latrines, was the most commonly
identified need. Lack of funds was the primary reason given for not constructing
a latrine. The construction and maintenance of potable water and sanitation
services is needed to ensure a sustainable change.
PMID- 26562659
TI - The Effects of Hsp90alpha1 Mutations on Myosin Thick Filament Organization.
AB - Heat shock protein 90alpha plays a key role in myosin folding and thick filament
assembly in muscle cells. To assess the structure and function of Hsp90alpha and
its potential regulation by post-translational modification, we developed a
combined knockdown and rescue assay in zebrafish embryos to systematically
analyze the effects of various mutations on Hsp90alpha function in myosin thick
filament organization. DNA constructs expressing the Hsp90alpha1 mutants with
altered putative ATP binding, phosphorylation, acetylation or methylation sites
were co-injected with Hsp90alpha1 specific morpholino into zebrafish embryos.
Myosin thick filament organization was analyzed in skeletal muscles of the
injected embryos by immunostaining. The results showed that mutating the
conserved D90 residue in the Hsp90alpha1 ATP binding domain abolished its
function in thick filament organization. In addition, phosphorylation mimicking
mutations of T33D, T33E and T87E compromised Hsp90alpha1 function in myosin thick
filament organization. Similarly, K287Q acetylation mimicking mutation repressed
Hsp90alpha1 function in myosin thick filament organization. In contrast, K206R
and K608R hypomethylation mimicking mutations had not effect on Hsp90alpha1
function in thick filament organization. Given that T33 and T87 are highly
conserved residues involved post-translational modification (PTM) in yeast, mouse
and human Hsp90 proteins, data from this study could indicate that Hsp90alpha1
function in myosin thick filament organization is potentially regulated by PTMs
involving phosphorylation and acetylation.
PMID- 26562660
TI - Physiotherapy Post Lumbar Discectomy: Prospective Feasibility and Pilot
Randomised Controlled Trial.
AB - OBJECTIVES: To evaluate: acceptability and feasibility of trial procedures;
distribution of scores on the Roland Morris Disability Questionnaire (RMDQ,
planned primary outcome); and efficient working of trial components. DESIGN AND
SETTING: A feasibility and external pilot randomised controlled trial
(ISRCTN33808269, assigned 10/12/2012) was conducted across 2 UK secondary care
outpatient physiotherapy departments associated with regional spinal surgery
centres. PARTICIPANTS: Consecutive consenting patients aged >18 years; post
primary, single level, lumbar discectomy. INTERVENTIONS: Participants were
randomised to either 1:1 physiotherapy outpatient management including patient
leaflet, or patient leaflet alone. MAIN OUTCOME MEASURES: Blinded assessments
were made at 4 weeks post surgery (baseline) and 12 weeks post baseline (proposed
primary end point). Secondary outcomes included: Global Perceived Effect,
back/leg pain, straight leg raise, return to work/function, quality of life, fear
avoidance, range of movement, medication, re-operation. RESULTS: At discharge,
110 (44%) eligible patients gave consent to be contacted. 59 (54%) patients were
recruited. Loss to follow up was 39% at 12 weeks, with one site contributing 83%
losses. Mean (SD) RMDQ was 10.07 (5.58) leaflet and 10.52 (5.94)
physiotherapy/leaflet at baseline; and 5.37 (4.91) leaflet and 5.53 (4.49)
physiotherapy/leaflet at 12 weeks. 5.1% zero scores at 12 weeks illustrated no
floor effect. Sensitivity to change was assessed at 12 weeks with mean (SD)
change -4.53 (6.41), 95%CI -7.61 to -1.44 for leaflet; and -6.18 (5.59), 95%CI
9.01 to -3.30 for physiotherapy/leaflet. RMDQ mean difference (95%CI) between
change from baseline to twelve weeks was 1.65(-2.46 to 5.75). Mean difference
(95%CI) between groups at 12 weeks was -0.16 (-3.36 to 3.04). Participant
adherence with treatment was good. No adverse events were reported. CONCLUSIONS:
Both interventions were acceptable, and it is promising that they both
demonstrated a trend in reducing disability in this population. A randomised
controlled trial, using a different trial design, is needed to ascertain the
effectiveness of combining the interventions into a stepped care intervention and
comparing to a no intervention arm. Findings will guide design changes for an
adequately powered randomised controlled trial, using RMDQ as the primary
outcome. TRIAL REGISTRATION: ISRCTN registry 33808269.
PMID- 26562661
TI - Acoustic cavitation-based monitoring of the reversibility and permeability of
ultrasound-induced blood-brain barrier opening.
AB - Cavitation events seeded by microbubbles have been previously reported to be
associated with MR- or fluorescent-contrast enhancement after focused ultrasound
(FUS)-induced blood-brain barrier (BBB) opening. However, it is still unknown
whether bubble activity can be correlated with the reversibility (the duration of
opening and the likelihood of safe reinstatement) and the permeability of opened
BBB, which is critical for the clinical translation of using passive cavitation
detection to monitor, predict and control the opening. In this study, the
dependence of acoustic cavitation on the BBB opening duration, permeability
coefficient and histological damage occurrence were thus investigated.
Transcranial pulsed FUS at 1.5 MHz in the presence of systemically circulating
microbubbles was applied in the mouse hippocampi (n = 60). The stable and
inertial cavitation activities were monitored during sonication. Contrast
enhanced MRI was performed immediately after sonication and every 24 h up to 6 d
thereafter, to assess BBB opening, brain tissue permeability and potential edema.
Histological evaluations were used to assess the occurrence of neurovascular
damages. It was found that stable cavitation was well correlated with: (1) the
duration of the BBB opening (r(2) = 0.77); (2) the permeability of the opened
BBB (r(2) = 0.82); (3) the likelihood of safe opening (P < 0.05, safe opening
compared to cases of damage; P < 0.0001, no opening compared to safe opening).
The inertial cavitation dose was correlated with the resulting BBB permeability
(r(2) = 0.72). Stable cavitation was found to be more reliable than inertial
cavitation at assessing the BBB opening within the pressure range used in this
study. This study demonstrates that the stable cavitation response during BBB
opening holds promise for predicting and controlling the restoration and
pharmacokinetics of FUS-opened BBB. The stable cavitation response therefore
showed great promise in predicting the BBB opening duration, enabling thus
control of opening according to the drug circulation time. In addition, avoiding
adverse effects in the brain and assessing the pharmacokinetics of the compounds
delivered can also be achieved by monitoring and controlling the stable
cavitation emissions.
PMID- 26562662
TI - Interleukin (IL)-1beta Is a Strong Inducer of IL-36gamma Expression in Human
Colonic Myofibroblasts.
AB - BACKGROUNDS AND AIMS: Interleukin (IL)-36 cytokines are members of the IL-1
cytokine family. In this study, we investigated the expression of IL-36gamma in
human colonic myofibroblasts to explore the molecular mechanisms underlying IL
36gamma induction. MATERIALS AND METHODS: IL-36 mRNA was analyzed by real-time
PCR method. Secretion of IL-36gamma protein was evaluated by Western blot and
ELISA analyses. Molecular mechanism of IL-36gamma induction was evaluated by
siRNA analyses and immunofluorescence experiments. RESULTS: IL-36gamma mRNA
expression was scarcely detected in the cells without stimulation. IL-1beta
induced a marked increase of IL-36gamma mRNA expression. TNF-alpha markedly
enhanced IL-1beta-induced IL-36gamma mRNA expression. These responses were
confirmed at the protein levels. The inhibitors for ERK1/2 (PD98059 and U0216)
and a p38 MAPK (SB203580) significantly reduced the IL-1beta-induced IL-36gamma
mRNA expression. In addition, the siRNAs specific for NF-kappaB p65 and AP-1 (c
Jun) significantly reduced the expression of IL-1beta-induced IL-36gamma mRNA.
CONCLUSIONS: Colonic myofibroblasts are cellular source of IL-36gamma in the
intestine. IL-36gamma expression was induced by the combination of IL-1beta and
TNF-alpha via activation of MAPKs and transcription factors, NF-kappaB and AP-1.
PMID- 26562663
TI - Activation of hippocampal BDNF signaling is involved in the antidepressant-like
effect of the NMDA receptor antagonist 7-chlorokynurenic acid.
AB - Previous studies showed that acute 7-chlorokynurenic acid treatment produced a
rapid antidepressant-like action in depression-like animal models. However, the
underlying mechanism involved in neurotrophin system about 7-chlorokynurenic acid
is unclear. Our present study aimed to verify whether chronic 7-chlorokynurenic
acid treatment produced an antidepressant-like effect through the activation of
brain-derived neurotrophic factor (BDNF) signaling in mice exposed to chronic
unpredictable mild stress (CUMS). In addition, we performed an oral toxicological
evaluation of chronic 7-chlorokynurenic acid administration in mice. The results
showed that a two-week administration with 7-chlorokynurenic acid reversed the
decreased sucrose preference and prolonged first feeding latency. In addition, 7
chlorokynurenic acid significantly reversed the CUMS-induced down-regulation of
BDNF, p-ERK, p-Akt, PSD-95, synapsin I and cell proliferation in the hippocampus.
In contrast, K252a, an inhibitor of BDNF receptor tropomyosin-related kinase
receptor B (TrkB), blocked the antidepressant-like effect and the improvement of
7-chlorokynurenic acid. Furthermore, we found that 7-chlorokynurenic acid did not
produce any toxicological effect in mice. In conclusion, our findings suggest
that the antidepressant-like effect of 7-chlorokynurenic acid may be mediated, at
least in part, by activating BDNF signaling in the hippocampus.
PMID- 26562664
TI - Brain regional differences in social encounter-induced Fos expression in male and
female rats after post-weaning social isolation.
AB - Early life adversity has been related to a number of psychological disorders
including mood and other disorders that can manifest as inappropriate or
aggressive responses to social challenges. The present study used post-weaning
social isolation (PSI) in rats, a model of early life adversity, to examine its
effects on Fos protein expression produced by exposure to a novel social
encounter. We have previously reported that the social encounter-induced increase
in Fos expression in the medial prefrontal cortex observed in group-housed
controls (GRP) was attenuated in rats that had experienced PSI. Here we assessed
Fos expression in other brain regions thought to be involved in emotion
regulation and social behavior. Male and female rats were housed in same-sex
groups or in isolation (ISO) for 4 weeks beginning on postnatal day (P) 21 and
were exposed to a single 15 min social encounter with a novel same-sex
conspecific on P49. Fos positive cells were assessed using immunohistochemistry
in 16 regions within the forebrain. Exposure to a novel conspecific increased Fos
expression in the forebrain of GRP rats in a region- and sex-specific fashion.
This increase was blunted or absent in ISO rats within many regions including
cortical regions, thalamus, habenula, dentate gyrus, lateral septum, and
basolateral amygdala. In several regions, the increase in Fos was greater in male
than in female group housed rats. Negative relationships were observed between
social interactions and Fos in some regions. Forebrain hypofunction produced by
early-life adversity may be involved in socially inappropriate behavior.
PMID- 26562665
TI - Intrastriatal injection of botulinum neurotoxin-A is not cytotoxic in rat brain -
A histological and stereological analysis.
AB - Parkinson's disease (PD) is caused by progressive degeneration of dopaminergic
neurons in the substantia nigra pars compacta, resulting in a deficiency of
dopamine in the striatum and an increased release of acetylcholine by tonically
active interneurons. Botulinum neurotoxin-A (BoNT-A) is well known for blocking
transmitter release by cholinergic presynaptic terminals. Treating striatal
hypercholinism by local application of BoNT-A could be a possible new local
therapy option of PD. In previous studies of our group, we analyzed the effect of
BoNT-A injection into the CPu of 6-OHDA lesioned hemiparkinsonian rats. Our
studies showed that BoNT-A application in hemiparkinson rat model is capable of
abolishing apomorphine induced rotations for approximately 3 months. Regularly
occurring axonal swellings in the BoNT-A infiltrated striata were also
discovered, which we named BoNT-A induced varicosities (BiVs). Resume: Here we
investigated the long-term effect of the injection of 1ng BoNT-A into the right
CPu of naive Wistar rats on the number of ChAT-ir interneurons as well as on the
numeric density and the volumetric size of the BiVs in the CPu. Significant
differences in the number of ChAT-ir neurons between the right BoNT-A treated CPu
and the left untreated CPu were not detected up to 12 month post BoNT-A
injection. The numeric density of BiVs in the treated CPu reached a maximum 3
months after BoNT-A treatment and decreased afterwards, whereas the volume of
single BiVs increased steadily throughout the whole time course of the
experiment.
PMID- 26562666
TI - Tolerance to repeated rewarding electrical stimulation of the insular cortex.
AB - The insular cortex (IC) has been related to various reinforcing behavioral
processes. This study examined the effect of electrical stimulation of the
posterior agranular IC on concurrent place preferences. Two groups of animals and
their respective controls underwent rewarding brain stimulation every day or on
alternate days. While the rats stimulated every other day maintained their
preference for the place associated with brain stimulation, those stimulated
every day evidenced a reduction in their place preference, suggesting tolerance
to the stimulation's rewarding effect. A 15% increase in the current intensity
produced a recovery of the preferences of the daily-stimulated rats but had no
effect on those stimulated on alternate days. These results are discussed in
terms of the rewarding effects induced by different electrical and chemical
rewarding agents.
PMID- 26562667
TI - Correction: Orally Administrated Lactobacillus pentosus var. plantarum C29
Ameliorates Age-Dependent Colitis by Inhibiting the Nuclear Factor-Kappa B
Signaling Pathway via the Regulation of Lipopolysaccharide Production by Gut
Microbiota.
PMID- 26562669
TI - Association between perfluorinated compound concentrations in cord serum and
birth weight using multiple regression models.
AB - The effects of exposure to the perfluoroalkyl and polyfluoroalkyl substances
(PFASs) perfluorooctane sulfonate (PFOS) and perfluorooctanoic acid (PFOA) on
birth weight have been examined in several studies, but other PFASs have not been
considered. We conducted a cross-sectional survey of newborns in Seoul, South
Korea, collecting 118 serum samples, for 85 of which we had a full range of
information. We conducted multiple regression analyses to examine the association
between nine PFAS concentrations in cord serum and birth weight. Seven PFASs were
found in cord serum, PFOA and PFOS being dominant, with mean concentrations of
1.11 and 0.87ng/mL, respectively. The adjusted birth weight changes (natural log)
were -0.14 (95% confidence interval -0.33-0.03) for PFOS and -0.03 (95%
confidence interval -0.25-0.18) for PFOA. None of the PFASs were statistically
associated with birth weight in this population.
PMID- 26562668
TI - Strain Interactions as a Mechanism for Dominant Strain Alternation and Incidence
Oscillation in Infectious Diseases: Seasonal Influenza as a Case Study.
AB - BACKGROUND: Many human infectious diseases are caused by pathogens that have
multiple strains and show oscillation in infection incidence and alternation of
dominant strains which together are referred to as epidemic cycling.
Understanding the underlying mechanisms of epidemic cycling is essential for
forecasting outbreaks of epidemics and therefore important for public health
planning. Current theoretical effort is mainly focused on the factors that are
extrinsic to the pathogens themselves ("extrinsic factors") such as environmental
variation and seasonal change in human behaviours and susceptibility.
Nevertheless, co-circulation of different strains of a pathogen was usually
observed and thus strains interact with one another within concurrent infection
and during sequential infection. The existence of these intrinsic factors is
common and may be involved in the generation of epidemic cycling of multi-strain
pathogens. METHODS AND FINDINGS: To explore the mechanisms that are intrinsic to
the pathogens themselves ("intrinsic factors") for epidemic cycling, we consider
a multi-strain SIRS model including cross-immunity and infectivity enhancement
and use seasonal influenza as an example to parameterize the model. The Kullback
Leibler information distance was calculated to measure the match between the
model outputs and the typical features of seasonal flu (an outbreak duration of
11 weeks and an annual attack rate of 15%). Results show that interactions among
strains can generate seasonal influenza with these characteristic features,
provided that: the infectivity of a single strain within concurrent infection is
enhanced 2-7 times that within a single infection; cross-immunity as a result of
past infection is 0.5-0.8 and lasts 2-9 years; while other parameters are within
their widely accepted ranges (such as a 2-3 day infectious period and the basic
reproductive number of 1.8-3.0). Moreover, the observed alternation of the
dominant strain among epidemics emerges naturally from the best fit model.
Alternative modelling that also includes seasonal forcing in transmissibility
shows that both external mechanisms (i.e. seasonal forcing) and the intrinsic
mechanisms (i.e., strain interactions) are equally able to generate the observed
time-series in seasonal flu. CONCLUSIONS: The intrinsic mechanism of strain
interactions alone can generate the observed patterns of seasonal flu epidemics,
but according to Kullback-Leibler information distance the importance of
extrinsic mechanisms cannot be excluded. The intrinsic mechanism illustrated here
to explain seasonal flu may also apply to other infectious diseases caused by
polymorphic pathogens.
PMID- 26562670
TI - Pituitary Stalk Interruption Syndrome from Infancy to Adulthood: Clinical,
Hormonal, and Radiological Assessment According to the Initial Presentation.
AB - BACKGROUND: Patients with pituitary stalk interruption syndrome (PSIS) are
initially referred for hypoglycemia during the neonatal period or growth
retardation during childhood. PSIS is either isolated (nonsyndromic) or
associated with extra-pituitary malformations (syndromic). OBJECTIVE: To compare
baseline characteristics and long-term evolution in patients with PSIS according
to the initial presentation. STUDY DESIGN: Sixty-seven patients with PSIS were
included. Data from subgroups were compared: neonates (n = 10) versus growth
retardation patients (n = 47), and syndromic (n = 32) versus nonsyndromic
patients (n = 35). RESULTS: Neonates displayed a more severe hormonal and
radiological phenotype than children referred for growth retardation, with a
higher incidence of multiple hormonal deficiencies (100% versus 34%; P = 0.0005)
and a nonvisible anterior pituitary lobe (33% versus 2%; P = 0.0017). Regular
follow-up of growth might have allowed earlier diagnosis in the children with
growth retardation, as decreased growth velocity and growth retardation were
present respectively 3 and 2 years before referral. We documented a progressive
worsening of endocrine impairment throughout childhood in these patients.
Presence of extra-pituitary malformations (found in 48%) was not associated with
more severe hormonal and radiological characteristics. Growth under GH treatment
was similar in the patient groups and did not vary according to the pituitary MRI
findings. CONCLUSIONS: PSIS diagnosed in the neonatal period has a particularly
severe hormonal and radiological phenotype. The progressive worsening of
endocrine impairment throughout childhood justifies periodic follow-up to check
for additional hormonal deficiencies.
PMID- 26562672
TI - Preliminary research developing a theory of cell phone distraction and social
relationships.
AB - Motor vehicle crashes remain the leading cause of death and injury for people
aged 5-34, accounting annually for over 3000 deaths, and 100 times as many
injuries. It is well established that distracted driving, and cell phone use
while driving in particular, pose significant crash risk to drivers. Research has
demonstrated that drivers are well aware of this danger but over 90% of drivers
report using a cell phone while driving. Given the likely role that social
influence plays in how people use cell phones while driving surprisingly little
research has been conducted investigating to whom drivers are talking or texting.
We report the results of a national survey to determine who drivers are most
likely to call or text when behind the wheel and compared these results with
general cell phone calling and texting patterns as well as previous findings on
the prevalence of calling and texting while driving. The results suggest that
social distance is a key factor in cell phone use while driving: Teens are more
likely to talk with parents, and adults are more likely to talk with spouses than
general calling patterns would suggest. We discuss whether the purpose of calls
made while driving, such as coordination, could help explain these patterns. We
propose next steps for further examining the role social relationships play in
cell phone use while driving to potentially reduce teen driver cell phone use by
lowering the number of calls from parents.
PMID- 26562671
TI - Ebolavirus Evolution: Past and Present.
AB - The past year has marked the most devastating Ebola outbreak the world has ever
witnessed, with over 28,000 cases and over 11,000 deaths. Ebola virus (EBOV) has
now been around for almost 50 years. In this review, we discuss past and present
outbreaks of EBOV and how those variants evolved over time. We explore and
discuss selective pressures that drive the evolution of different Ebola variants,
and how they may modify the efficacy of therapeutic treatments and vaccines
currently being developed. Finally, given the unprecedented size and spread of
the outbreak, as well as the extended period of replication in human hosts,
specific attention is given to the 2014-2015 West African outbreak variant
(Makona).
PMID- 26562673
TI - Are signalized intersections with cycle tracks safer? A case-control study based
on automated surrogate safety analysis using video data.
AB - Cities in North America have been building bicycle infrastructure, in particular
cycle tracks, with the intention of promoting urban cycling and improving cyclist
safety. These facilities have been built and expanded but very little research
has been done to investigate the safety impacts of cycle tracks, in particular at
intersections, where cyclists interact with turning motor-vehicles. Some safety
research has looked at injury data and most have reached the conclusion that
cycle tracks have positive effects of cyclist safety. The objective of this work
is to investigate the safety effects of cycle tracks at signalized intersections
using a case-control study. For this purpose, a video-based method is proposed
for analyzing the post-encroachment time as a surrogate measure of the severity
of the interactions between cyclists and turning vehicles travelling in the same
direction. Using the city of Montreal as the case study, a sample of
intersections with and without cycle tracks on the right and left sides of the
road were carefully selected accounting for intersection geometry and traffic
volumes. More than 90h of video were collected from 23 intersections and
processed to obtain cyclist and motor-vehicle trajectories and interactions.
After cyclist and motor-vehicle interactions were defined, ordered logit models
with random effects were developed to evaluate the safety effects of cycle tracks
at intersections. Based on the extracted data from the recorded videos, it was
found that intersection approaches with cycle tracks on the right are safer than
intersection approaches with no cycle track. However, intersections with cycle
tracks on the left compared to no cycle tracks seem to be significantly safer.
Results also identify that the likelihood of a cyclist being involved in a
dangerous interaction increases with increasing turning vehicle flow and
decreases as the size of the cyclist group arriving at the intersection
increases. The results highlight the important role of cycle tracks and the
factors that increase or decrease cyclist safety. Results need however to be
confirmed using longer periods of video data.
PMID- 26562674
TI - Hadrosauroid Dinosaurs from the Late Cretaceous of the Sultanate of Oman.
AB - Fragmentary post-cranial remains (femora, tibia, vertebrae) of ornithischian
dinosaurs from the Late Cretaceous of the Sultanate of Oman are described and
referred to hadrosauroids. The specimens come from the Al-Khod Conglomerate, of
latest Campanian to Maastrichtian age, in the north-eastern part of the country.
Although the fragmentary condition of the fossils precludes a precise
identification, various characters, including the shape of the fourth trochanter
of the femur and the morphology of its distal end, support an attribution to
hadrosauroids. With the possible exception of a possible phalanx from Angola,
this group of ornithopod dinosaurs, which apparently originated in Laurasia, was
hitherto unreported from the Afro-Arabian plate. From a paleobiogeographical
point of view, the presence of hadrosauroids in Oman in all likelihood is a
result of trans-Tethys dispersal from Asia or Europe, probably by way of islands
in the Tethys shown on all recent paleogeographical maps of that area. Whether
hadrosauroids were widespread on the Afro-Arabian landmass in the latest
Cretaceous, or where restricted to the " Oman island " shown on some
paleogeographical maps, remains to be determined.
PMID- 26562675
TI - The defence elicitor AsES causes a rapid and transient membrane depolarization, a
triphasic oxidative burst and the accumulation of nitric oxide.
AB - The newly characterized elicitor AsES obtained from Acremonium strictum induces a
strong defence response in strawberry plants and confers plants resistance
against the fungal pathogen Colletotricum acutatum the casual agent of
anthracnose disease. Previous studies showed that AsES causes the accumulation of
reactive oxygen species (ROS) that peaked 4 h post treatment (hpt), but due to
the experimental approach used it was not clear whether the accumulation of ROS
observed was intracellular or extracellular or took place as a single peak. By
using a different experimental setup, a more complex early events associated to
the activation of the innate immunity were observed. In this paper we report that
strawberry plant cells treated with AsES exhibits a triphasic production of H2O2
and a rapid intracellular accumulation of NO. The first phase consists in a
progressive extracellular accumulation of H2O2 that starts immediately after the
treatment with AsES and is preceded by a rapid and transient cell membrane
depolarization. During this phase takes place also a rapid intracellular
accumulation of NO. Microscopic observations of mesophyll cells treated with AsES
reveals that NO accumulates at the chloroplast. After the first extracellular
H2O2 production phase, two intracellular H2O2 accumulation events occur, the
first 2 hpt, and the second 7 hpt. Cells treated with AsES also show a transient
increase of ion leakage, and a progressive alkalinization of the extracellular
medium.
PMID- 26562676
TI - A Keystone Ant Species Provides Robust Biological Control of the Coffee Berry
Borer Under Varying Pest Densities.
AB - Species' functional traits are an important part of the ecological complexity
that determines the provisioning of ecosystem services. In biological pest
control, predator response to pest density variation is a dynamic trait that
impacts the provision of this service in agroecosystems. When pest populations
fluctuate, farmers relying on biocontrol services need to know how natural
enemies respond to these changes. Here we test the effect of variation in coffee
berry borer (CBB) density on the biocontrol efficiency of a keystone ant species
(Azteca sericeasur) in a coffee agroecosystem. We performed exclosure experiments
to measure the infestation rate of CBB released on coffee branches in the
presence and absence of ants at four different CBB density levels. We measured
infestation rate as the number of CBB bored into fruits after 24 hours,
quantified biocontrol efficiency (BCE) as the proportion of infesting CBB removed
by ants, and estimated functional response from ant attack rates, measured as the
difference in CBB infestation between branches. Infestation rates of CBB on
branches with ants were significantly lower (71%-82%) than on those without ants
across all density levels. Additionally, biocontrol efficiency was generally high
and did not significantly vary across pest density treatments. Furthermore, ant
attack rates increased linearly with increasing CBB density, suggesting a Type I
functional response. These results demonstrate that ants can provide robust
biological control of CBB, despite variation in pest density, and that the
response of predators to pest density variation is an important factor in the
provision of biocontrol services. Considering how natural enemies respond to
changes in pest densities will allow for more accurate biocontrol predictions and
better-informed management of this ecosystem service in agroecosystems.
PMID- 26562677
TI - The indirect effect of emotion dysregulation in terms of negative affect and
smoking-related cognitive processes.
AB - INTRODUCTION: Although negative affect is associated with a number of smoking
related cognitive processes, the mechanisms underlying these associations have
yet to be examined. The current study sought to examine the indirect effect of
emotion regulation difficulties in terms of the association between negative
affect and smoking-related cognitive processes (internal barriers to cessation,
negative affect reduction smoking motives, negative affect reduction smoking
outcome expectancies). METHOD: Participants were 126 daily cigarette smokers
(70.4% male, Mage=36.5years, SD=13.0; 69.8% Caucasian) who smoked an average of
18.5 (SD=8.7) cigarettes per day and reported moderate nicotine dependence.
RESULTS: Formal mediation analyses were conducted using PROCESS to examine the
indirect effect of negative affect on internal barriers to cessation and negative
affect reduction smoking motives and outcome expectancies through emotion
regulation difficulties. After accounting for the effects of gender, daily
smoking rate, and anxiety sensitivity, negative affect was indirectly related to
internal barriers to cessation and negative affect reduction smoking motives
through emotion regulation difficulties. There was no significant indirect effect
for negative affect reduction smoking outcome expectancies. CONCLUSIONS: These
findings suggest that greater negative affect is associated with a desire to
smoke to reduce this negative affect and perceptions that quitting smoking will
be difficult due to negative emotions because of greater difficulties managing
these negative emotions. Thus, emotion regulation difficulties may be an
important target for smoking cessation interventions.
PMID- 26562678
TI - The evolution of Internet addiction: A global perspective.
AB - Kimberly Young's initial work on Internet addiction (IA) was pioneering and her
early writings on the topic inspired many others to carry out research in the
area. Young's (2015) recent paper on the 'evolution of Internet addiction'
featured very little European research, and did not consider the main
international evidence that has contributed to our current knowledge about the
conceptualization, epidemiology, etiology, and course of Internet-related
disorders. This short commentary paper elaborates on important literature omitted
by Young that the present authors believe may be of use to researchers. We also
address statements made in Young's (2015) commentary that are incorrect (and
therefore misleading) and not systematically substantiated by empirical evidence.
PMID- 26562679
TI - The relationship between general causality orientation and treatment outcome
among marijuana-dependent adults.
AB - General causality orientations are motivational styles that are indicative of a
person's belief about personal change and their motivation to change. The purpose
of the current study was to investigate whether causality orientations were
associated with marijuana treatment outcomes in a sample of marijuana-dependent
individuals. A total of 74 participants (66% male) were recruited from the
Seattle, Washington area and randomly assigned to receive a combination of
motivational enhancement and cognitive behavioral therapy or the combination
treatment plus additional "check-up" sessions. Follow-up assessments evaluated
frequency of use, use-related problems, and marijuana use disorder symptoms
through 9months. Causality orientations were relatively stable over time.
Posttreatment Autonomy orientations were associated with lower frequency of use
and Controlled orientations were associated with a reduction in use, problems,
and marijuana use disorder symptoms. Autonomy and Controlled orientations were
associated with readiness to change. Results suggest that both autonomous and
controlled orientations have implications for response to treatment; perhaps for
different reasons. Causality orientations may be a promising avenue of research
to predict treatment response and outcome.
PMID- 26562680
TI - Social cohesion and the smoking behaviors of adults living with children.
AB - INTRODUCTION: The smoking behavior of adults can negatively impact children
through exposure to environmental tobacco smoke and by modeling this unhealthy
behavior. Little research has examined the role of the social environment in
smoking behaviors of adults living with children. The present study specifically
analyzed the relationship between social cohesion and smoking behaviors of adults
living with children. METHODS: Data from the 2009 California Health Interview
Survey, a random-digit dial cross-sectional survey of California Adults, were
used. Adults living with children reported their levels of social cohesion and
smoking behaviors (N=13,978). Logistic regression models were used to predict
odds of being a current smoker or living in a household in which smoking was
allowed, from social cohesion. RESULTS: Overall, 13% of the sample was current
smokers and 3.74% lived in households in which smoking was allowed. Logistic
regression models showed that each one-unit increase in social cohesion is
associated with reduced odds of being a current smoker (AOR=0.92; 95% CI=0.85
0.99) and reduced odds of living in a household in which smoking is allowed
(AOR=0.84; 95% CI=0.75-0.93), after controlling for sociodemographic
characteristics. CONCLUSIONS: Among adults living with children, higher social
cohesion is associated with a lower likelihood of both being and smoker and
living in a home where smoking is allowed. Thus, future research is needed to
better understand mechanisms that explain the relationship between social
cohesion and smoking-related behavior in order to prevent smoking-related health
consequences and smoking initiation among children and adults.
PMID- 26562681
TI - Cognition-related brain networks underpin the symptoms of unipolar depression:
Evidence from a systematic review.
AB - This systematic review sources the latest neuroimaging evidence for the role of
cognition-related brain networks in depression, and relates their abnormal
functioning to symptoms of the disorder. Using theoretically informed and
rigorous inclusion criteria, we integrate findings from 59 functional
neuroimaging studies of adults with unipolar depression using a narrative
approach. Results demonstrate that two distinct neurocognitive networks, the
autobiographic memory network (AMN) and the cognitive control network (CCN), are
central to the symptomatology of depression. Specifically, hyperactivity of the
introspective AMN is linked to pathological brooding, self-blame, rumination.
Anticorrelated under-engagement of the CCN is associated with indecisiveness,
negative automatic thoughts, poor concentration, distorted cognitive processing.
Downstream effects of this imbalance include reduced regulation of networks
linked to the vegetative and affective symptoms of depression. The configurations
of these networks can change between individuals and over time, plausibly
accounting for both the variable presentation of depressive disorders and their
fluctuating course. Framing depression as a disorder of neurocognitive networks
directly links neurobiology to psychiatric practice, aiding researchers and
clinicians alike.
PMID- 26562682
TI - Molecular regulation of dendritic spine dynamics and their potential impact on
synaptic plasticity and neurological diseases.
AB - The structure and dynamics of dendritic spines reflect the strength of synapses,
which are severely affected in different brain diseases. Therefore, understanding
the ultra-structure, molecular signaling mechanism(s) regulating dendritic spine
dynamics is crucial. Although, since last century, dynamics of spine have been
explored by several investigators in different neurological diseases, but despite
countless efforts, a comprehensive understanding of the fundamental etiology and
molecular signaling pathways involved in spine pathology is lacking. The purpose
of this review is to provide a contextual framework of our current understanding
of the molecular mechanisms of dendritic spine signaling, as well as their
potential impact on different neurodegenerative and psychiatric diseases, as a
format for highlighting some commonalities in function, as well as providing a
format for new insights and perspectives into this critical area of research.
Additionally, the potential strategies to restore spine structure-function in
different diseases are also pointed out. Overall, these informations should help
researchers to design new drugs to restore the structure-function of dendritic
spine, a "hot site" of synaptic plasticity.
PMID- 26562683
TI - Correlation between Clinical, Placental Histology and Microbiological Findings in
Spontaneous Preterm Births.
AB - AIMS: To examine the occurrence of chorioamnionitis and abruption among women who
had a spontaneous preterm birth (SPTB), the correlation between clinical and
placental findings, and the impact of these complications on neonatal outcome
after delivery. METHODS: This was a retrospective case-control study conducted
between 2008 and 2012 at a single teaching hospital. The study group included all
women who had an SPTB (23-36 weeks). Placentas were cultured and underwent
histological examination. RESULTS: A total of 478 women were included. The mean
gestational age at delivery was 32.6 +/- 3.1 weeks. Overall, 260 (54.4%) women
had either clinical and/or histological abruption or chorioamnionitis. Clinical
chorioamnionitis was diagnosed before birth in 14 (2.9%) women, while
histological chorioamnionitis (HCA) in 84 (17.4%). Overall, 38 neonates had
infection. Placental cultures were negative in 65.8% (25/38) of these neonates,
and in 77.1% (27/38), HCA was ruled out. Logistic regression analysis revealed
that neonatal morbidity and mortality were correlated with gestational age at
delivery (p = 0.02), not with placental pathology (p = 0.08). CONCLUSIONS: Half
of the women with PTB had clinical or histological abruption, chorioamnionitis or
both. A partial correlation was found between clinical and placental findings.
The main determinant of neonatal outcome was gestational age at delivery and not
placental findings.
PMID- 26562684
TI - Co-delivery of doxorubicin and (131)I by thermosensitive micellar-hydrogel for
enhanced in situ synergetic chemoradiotherapy.
AB - Combined chemoradiotherapy is potent to defeat malignant tumor. Concurrent
delivery of radioisotope with chemotherapeutic drugs, which also act as the
radiosensitizer, to tumor tissues by a single vehicle is essential to achieve
this objective. To this end, a macroscale injectable and thermosensitive micellar
hydrogel (MHg) depot was constructed by thermo-induced self-aggregation of
poly(epsilon-caprolactone-co-1,4,8-trioxa[4.6]spiro-9-undecanone)
poly(ethyleneglycol)-poly(epsilon-caprolactone-co-1,4,8-trioxa[4.6]spiro-9
undecanone) (PECT) triblock copolymer micelles (Ms), which could not only serve
as a micellar drug reservoir to locally deliver concentrated nano
chemotherapeutic drugs, but also immobilize radioisotopes at the internal
irradiation hot focus. Doxorubicin (DOX) and iodine-131 labeled hyaluronic acid
((131)I-HA) were used as the model therapeutic agents. The aqueous mixture of
drug-loaded PECT micelles and (131)I-HA exhibited sol-to-gel transition around
body temperature. In vitro drug release study indicated that PECT/DOX Ms were
sustainedly shed from the native PECT/DOX MHg formulation, which could be
internalized by tumor cells with rapid intracellular DOX release. This hydrogel
formulation demonstrated considerable in vitro antitumor effect as well as
remarkable radiosensitization. In vivo subcutaneous injection of PECT MHg
demonstrated that (131)I isotope was immobilized stably at the injection location
and no obvious indication of damage to major organs were observed as indicated by
the histopathological analysis. Furthermore, the peritumoral injection of chemo
radiation therapeutic agents-encapsulated MHg formulation on tumor-bearing nude
mice resulted in the desired combined treatment effect, which significantly
improved the tumor growth inhibition efficiency with minimized drug-associated
side effects to major organs. Consequently, such a thermosensitive MHg
formulation, which enabled the precise control over the dosage and ratio of
combination therapeutic agents to obtain the desired therapeutic effect with a
single drug administration and reduced side effects, holds great potential for
spatiotemporally delivery of multiple bioactive agents for sustained combination
therapy.
PMID- 26562685
TI - Immunization with antigenic peptides complexed with beta-glucan induces potent
cytotoxic T-lymphocyte activity in combination with CpG-ODNs.
AB - The induction of antigen-specific immune responses requires immunization with not
only antigens, but also adjuvants. CpG oligonucleotides (CpG-ODNs) are well-known
ligands for Toll-like receptor 9 and a potent adjuvant that induces both Th1-type
humoral and cellular immune responses including cytotoxic T-lymphocyte responses.
We previously demonstrated that beta-glucan schizophyllan (SPG) can form
complexes with CpG-ODNs with attached dA40 (CpG-dA/SPG), which can accumulate in
macrophages in the draining inguinal lymph nodes and induce strong immune
responses by co-administration of antigenic proteins, namely ovalbumin (OVA).
Immunization with antigenic peptides, OVA257-264, did not induce these antigen
specific immune responses even in combination with CpG-dA/SPG, indicating that
peptides require a carrier to antigen presenting cells. In this study, we
prepared conjugates comprising OVA257-264 and dA40, and made complexes with SPG.
Immunization with OVA257-264-dA/SPG induced peptide-specific immune responses in
combination with CpG-dA regardless of complexation with SPG both in vitro and in
vivo. When splenocytes from immunized mice were incubated with E.G7-OVA tumor
model cells presenting OVA peptides, the number of cells drastically decreased
after 24h. Furthermore, mice pre-immunized with OVA257-264-dA/SPG and CpG-ODNs
exhibited a long delay in tumor growth after tumor inoculation. Therefore, these
peptide-dA/SPG and CpG-dA/SPG complexes could be used as a potent vaccine for the
treatment of cancers and infectious diseases.
PMID- 26562686
TI - Episodic future thinking reduces eating in a food court.
AB - Episodic future thinking (EFT) is the psychological process of vividly imagining
a future event, and this process has been shown to reduce overeating in the
laboratory. To assess the efficacy of EFT in the natural environment, twenty-nine
overweight or obese women who wanted to improve their eating habits were randomly
assigned to one of two smartphone-implemented interventions--EFT or control
episodic recent thinking (ERT)--while they ate dinner in a public food court.
Results showed a reduction in consumption of total calories, a reduction in
percent calories from fat, and an increase in percent calories from protein for
EFT versus ERT. These data suggest EFT may be used to modify eating habits in
natural eating environments, and may show potential as a component of behavioral
obesity interventions.
PMID- 26562687
TI - Acetone-butanol-ethanol production from Kraft paper mill sludge by simultaneous
saccharification and fermentation.
AB - Paper mill sludge (PS), a solid waste from pulp and paper industry, was
investigated as a feedstock for acetone-butanol-ethanol (ABE) production by
simultaneous saccharification and fermentation (SSF). ABE fermentation of paper
sludge by Clostridium acetobutylicum required partial removal of ash in PS to
enhance its enzymatic digestibility. Enzymatic hydrolysis was found to be a rate
limiting step in the SSF. A total of 16.4-18.0g/L of ABE solvents were produced
in the SSF of de-ashed PS with solid loading of 6.3-7.4% and enzyme loading of 10
15FPU/g-glucan, and the final solvent yield reached 0.27g/g sugars. No
pretreatment and pH control were needed in ABE fermentation of paper sludge,
which makes it an attractive feedstock for butanol production. The results
suggested utilization of paper sludge should not only consider the benefits of
buffering effect of CaCO3 in fermentation, but also take into account its
inhibitory effect on enzymatic hydrolysis.
PMID- 26562688
TI - A new activated primary tank developed for recovering carbon source and its
application.
AB - A novel activated primary tank process (APT) was developed for recovering carbon
source by fermentation and elutriation of primary sludge. The effects of solids
retention time (SRT), elutriation intensity (G) and return sludge ratio (RSR) on
this recovery were evaluated in a pilot scale reactor. Results indicated that SRT
significantly influenced carbon source recovery, and mechanical elutriation could
promote soluble COD (SCOD) and VFA yields. The optimal conditions of APT were
SRT=5d, G=152s(-1) and RSR=10%, SCOD and VFA production were 57.0mg/L and
21.7mg/L. Particulate organic matter in sludge was converted into SCOD and VFAs
as fermentative bacteria were significantly enriched in APT. Moreover, the APT
process was applied in a wastewater treatment plant to solve the problem of
insufficient carbon source. The outcomes demonstrated that influent SCOD of
biological tank increased by 31.1%, which improved the efficiency of removing
nitrogen and phosphorus.
PMID- 26562689
TI - A simple kinetic analysis of syngas during steam hydrogasification of biomass
using a novel inverted batch reactor with instant high pressure feeding.
AB - A newly designed inverted batch reactor equipped with a pressure-driven feeding
system was built for investigating the kinetics of syngas during the steam
hydrogasification (SHR) of biomass. The system could instantly load the feedstock
into the reactor at high temperature and pressure, which simulated the way to
transport the feedstock into a hot and pressurized gasifier. Experiments were
conducted from 600 degrees C to 700 degrees C. The inverted reactor showed very
high heating rate by enhancing the carbon conversion and syngas production. The
kinetic study showed that the rates of CH4, CO and CO2 formation during SHR were
increased when the gasification temperature went up. SHR had comparatively lower
activation energy for CH4 production. The activation energies of CH4, CO and CO2
during SHR were 42.8, 51.8 and 14kJ/mol, respectively.
PMID- 26562690
TI - Effects of cytoplasm and reactant polarities on acid-catalyzed lipid
transesterification in wet microalgal cells subjected to microwave irradiation.
AB - The polarities of the cytoplasm and reactants were measured through dielectric
spectroscopy, contact angle test, NMR, and FTIR to investigate the mechanisms
underlying acid-catalyzed lipid transesterification in wet microalgal cells
subjected to microwave irradiation. Organics with apolar functional groups in the
cytoplasm decreased the contact angle of methanol against triglyceride by 13.92
degrees , which subsequently increased transesterification efficiency by 2.4
times. The microalgal biomass, given its higher hydrophilicity index of 1.96 than
lipids, was more accessible to hydrophilic alcohols, which subsequently promoted
transesterification. Water in the cytoplasm promoted the dielectric constant of
methanol and increased the contact angle of methanol against triglyceride by
20.51 degrees , which subsequently decreased transesterification efficiency by
72.6%. The inhibitory effect of water on transesterification weakened with the
prolonged carbon lengths of the alcohols because of decreased polarity. Microwave
decreased the electric constants of alcohols and reduced the polarity difference
between alcohols and lipids, thereby improving transesterification efficiency.
PMID- 26562691
TI - Molecular Characterization of Plasmids Encoding CTX-M beta-Lactamases and their
Associated Addiction Systems Circulating Among Escherichia coli from Retail
Chickens, Chicken Farms, and Slaughterhouses in Korea.
AB - Extended-spectrum beta-lactamases (ESBLs), particularly those of the CTX-M types,
are the predominant resistance determinants of Escherichia coli that are rapidly
spreading worldwide. To determine CTX-M types, E. coli isolates were collected
from retail chickens (n = 390) and environmental samples from chicken farms (n =
32) and slaughterhouses (n = 67) in Korea. Fifteen strains harboring blaCTX-M
genes were isolated from 358 E. coli isolates. The most common CTX-M type was
eight of CTX-M-15, followed by six of CTX-M-1 and one of CTX-M- 14. The blaCTX-M
genes were identified in the isolates from retail chickens (n = 9), followed by
feces, water pipes, floors, and walls. Conjugations confirmed the transferability
of the plasmids carrying blaCTX-M genes to the recipient E. coli J53 strain.
Furthermore, eight addiction systems carried by the replicons in CTX-M types were
confirmed. The dominant system was identified as ccdAB, vagCD, and pndAC in donor
strains and transconjugants. The clonal relationship between the two strains
carrying blaCTX-M genes indicates that E. coli may transmit from the farm to
retail chickens, suggesting a possible public health risk. Our findings
demonstrate that the detection of CTX-M types in E. coli isolates is important
for tracking ESBL production in animals, and suggest linkage of multiple
addiction systems in plasmids bearing blaCTX-M genes.
PMID- 26562692
TI - Phage Conversion for beta-Lactam Antibiotic Resistance of Staphylococcus aureus
from Foods.
AB - Temperate phages have been suggested to carry virulence factors and other
lysogenic conversion genes that play important roles in pathogenicity. In this
study, phage TEM123 in wild-type Staphylococcus aureus from food sources was
analyzed with respect to its morphology, genome sequence, and antibiotic
resistance conversion ability. Phage TEM123 from a mitomycin C-induced lysate of
S. aureus was isolated from foods. Morphological analysis under a transmission
electron microscope revealed that it belonged to the family Siphoviridae. The
genome of phage TEM123 consisted of a double-stranded DNA of 43,786 bp with a G+C
content of 34.06%. A bioinformatics analysis of the phage genome identified 43
putative open reading frames (ORFs). ORF1 encoded a protein that was nearly
identical to the metallo-beta-lactamase enzymes that degrade beta-lactam
antibiotics. After transduction to S. aureus with phage TEM123, the metallo-beta
lactamase gene was confirmed in the transductant by PCR and sequencing analyses.
In a beta-lactam antibiotic susceptibility test, the transductant was more highly
resistant to beta-lactam antibiotics than S. aureus S133. Phage TEM123 might play
a role in the transfer of beta-lactam antibiotic resistance determinants in S.
aureus. Therefore, we suggest that the prophage of S. aureus with its exotoxin is
a risk factor for food safety in the food chain through lateral gene transfer.
PMID- 26562693
TI - Overproduction of the Escherichia coli Chaperones GroEL-GroES in Rhodococcus
ruber Improves the Activity and Stability of Cell Catalysts Harboring a Nitrile
Hydratase.
AB - Three combinations of molecular chaperones from Escherichia coli (i.e., DnaK-DnaJ
GrpEGroEL- GroES, GroEL-GroES, and DnaK-DnaJ-GrpE) were overproduced in E. coli
BL21, and their in vitro stabilizing effects on a nitrile hydratase (NHase) were
assessed. The optimal gene combination, E. coli groEL-groES (ecgroEL-ES), was
introduced into Rhodococcus ruber TH3. A novel engineered strain, R. ruber TH3G
was constructed with the native NHase gene on its chromosome and the heterologous
ecgroEL-ES genes in a shuttle plasmid. In R. ruber TH3G, NHase activity was
enhanced 37.3% compared with the control, TH3. The in vivo stabilizing effect of
ecGroEL-ES on the NHase was assessed using both acrylamide immersion and heat
shock experiments. The inactivation behavior of the in vivo NHase after immersion
in a solution of dynamically increased concentrations of acrylamide was
particularly evident. When the acrylamide concentration was increased to 500 g/l
(50%), the remaining NHase activity in TH3G was 38%, but in TH3, activity was
reduced to 10%. Reactivation of the in vivo NHases after varying degrees of
inactivation was further assessed. The activity of the reactivated NHase was more
than 2-fold greater in TH3G than in TH3. The hydration synthesis of acrylamide
catalyzed by the in vivo NHase was performed with continuous acrylonitrile
feeding. The final concentration of acrylamide was 640 g/l when catalyzed by
TH3G, compared with 490 g/l acrylamide by TH3. This study is the first to show
that the chaperones ecGroEL-ES work well in Rhodococcus and simultaneously
possess protein-folding assistance functions and the ability to stabilize and
reactivate the native NHases.
PMID- 26562694
TI - Trends in Male and Female Genital Warts Among Adolescents in a Safety-Net Health
Care System 2004-2013: Correlation With Introduction of Female and Male Human
Papillomavirus Vaccination.
AB - BACKGROUND: Human papillomavirus (HPV) vaccination remains underused in the
United States, and few population-level studies on effectiveness exist. METHODS:
We examined trends in rates of genital warts diagnoses and HPV vaccination rates
(defined as receipt of 1 or more vaccine doses) among low-income and minority
adolescents between 2004 and 2013. Data were obtained from a database containing
de-identified medical record information including all outpatient visits to an
urban medical center and 6 affiliated community health centers. International
Classification of Diseases, Ninth Revision codes were used to determine genital
warts diagnoses. We estimated annual rates of genital warts for each period for
females and males using an interrupted time-series Poisson regression model.
RESULTS: As HPV vaccination rates in low-income, minority adolescents rose from
0% to 59% (females) and 0 to 41% (males) between 2004 and 2013, genital warts
rates decreased from 3.5% (females) and 3.6% (males) to 1.5% (females) and 2.9%
(males). Rates of genital warts decreased significantly for both females and
males from the prevaccination to the postvaccination periods (P < 0.05 for both
comparisons). Genital warts rates for males began to decrease after the
introduction of female vaccination and continued to decrease after male
vaccination was introduced. CONCLUSIONS: Introduction of HPV vaccination
correlated with lower rates of genital warts among a cohort of low-income and
minority adolescents. Rates of genital warts began to decrease in females and
males following the introduction of female vaccination and continued to fall
after the introduction of male vaccination, indicating that male vaccination may
confer additional benefit to both males and females over herd immunity alone,
especially when vaccination rates are suboptimal.
PMID- 26562695
TI - Prevalence of Anal Human Papillomavirus Vaccine Types in the Bangkok Men Who Have
Sex With Men Cohort Study.
AB - BACKGROUND: The quadrivalent human papillomavirus (qHPV) and 9 valent (nHPV)
vaccine are licensed for males to prevent anal HPV-associated dysplasia and
cancer caused by HPV types 6, 11, 16, and 18 (qHPV) and additional types 33, 35,
45, 52, and 58 (nHPV), respectively. Both conditions are common in HIV-infected
and HIV-uninfected men who have sex with men (MSM). It is not well documented
which anal HPV vaccine types are most prevalent in Southeast Asia. METHODS: A
convenience sample of 400 anal swabs were obtained from 200 HIV-infected and 200
HIV-uninfected sexually active Bangkok MSM Cohort Study participants. After swab
collection in PreservCyt (Cytyc Corp, Marlborough, MA), the media was stored at
80 degrees C until processing. DNA was extracted, amplified by polymerase chain
reaction, denatured, and then hybridized to probes for 37 HPV types and beta
globin. RESULTS: The mean participant age was 25.6 years (range, 18-55 years);
the mean CD4 T-cell count was 410 cells/mm in the HIV-infected participants.
Among all swab samples, 386 (192 HIV-positive and 194 HIV-negative) had adequate
beta-globin for HPV genotype testing. Anal HPV type was detected in 44.3% of
participants whose samples underwent genotype testing. Both qHPV and nHPV types
were more frequently detected in HIV-infected compared with HIV-uninfected (42.2%
vs. 23.2% [P < 0.01], 50.0% vs. 24.2% [P < 0.01]), respectively). There were no
significant relationships between social behaviors (alcohol use, drug use) or
sexual behaviors (number of partners, condom usage, sexual positioning) and anal
HPV prevalence. CONCLUSIONS: The prevalence of anal vaccine HPV types in Thai MSM
was similar to that reported in MSM from Western populations and has a similar
distribution by HIV status. Targeting young MSM with vaccination could offer
protection against HPV vaccine types.
PMID- 26562696
TI - Epidemiology of Human Papillomavirus Detected in the Oral Cavity and Fingernails
of Mid-Adult Women.
AB - BACKGROUND: Oral and fingernail human papillomavirus (HPV) detection may be
associated with HPV-related carcinoma risk at these nongenital sites and foster
transmission to the genitals. We describe the epidemiology of oral and fingernail
HPV among mid-adult women. METHODS: Between 2011 and 2012, 409 women aged 30 to
50 years were followed up for 6 months. Women completed health and behavior
surveys and provided self-collected oral, fingernail, and vaginal specimens at
enrollment and exit for type-specific HPV DNA testing. Concordance of type
specific HPV detection across anatomical sites was described with kappa
statistics. Using generalized estimating equations or exact logistic regression,
we measured the univariate associations of various risk factors with type
specific oral and fingernail HPV detection. RESULTS: Prevalence of detecting HPV
in the oral cavity (2.4%) and fingernails (3.8%) was low compared with the vagina
(33.1%). Concordance across anatomical sites was poor (kappa < 0.20 for all
comparisons). However, concurrent vaginal infection with the same HPV type (odds
ratio [OR], 101.0; 95% confidence interval [CI], 31.4-748.6) and vaginal HPV
viral load (OR per 1 log10 viral load increase, 2.2; 95% CI, 1.5-5.5) were each
associated with fingernail HPV detection. Abnormal Papanicolaou history (OR,
11.1; 95% CI, 2.8-infinity), lifetime number of male vaginal sex partners at
least 10 (OR vs. 0-3 partners, 5.0; 95% CI, 1.2-infinity), and lifetime number of
open-mouth kissing partners at least 16 (OR vs. 0-15 partners, infinity; 95% CI,
2.6-infinity, by exact logistic regression) were each associated with oral HPV
detection. CONCLUSIONS: Although our findings support HPV DNA deposition or
autoinoculation between anatomical sites in mid-adult women, the rarity of HPV in
the oral cavity and fingernails suggests that oral/fingernail HPV does not
account for a significant fraction of HPV in genital sites.
PMID- 26562697
TI - Adaptation of the HIV Care Continuum as a Method for Evaluating Syphilis and
Gonorrhea Disease Control Activities in Los Angeles County.
AB - BACKGROUND: Treatment verification and contact elicitation are core approaches
used to control the spread of sexually transmitted diseases (STDs). Methodology
adapted from the HIV care continuum is presented as an evaluation and
communication tool for STD control activities. METHODS: Sexually transmitted
disease surveillance and program data for Los Angeles County in 2013 were used to
construct a 2-part continuum to examine syphilis (all stages) and gonorrhea
outcomes among index patients and elicited contacts. The Index Case Continuum
(Part 1) assesses the proportion of patients who were treated, assigned for
interview, interviewed, and provided name and locating information for at least 1
contact. The Elicited Contact Continuum (Part 2) assesses the proportion of
contacts who were located, interviewed, and treated. RESULTS: Among 3668 patients
with syphilis, 97% (n = 3556) were treated, 72% (n = 2633) were interviewed, and
25% (n = 920) provided name and locating information for at least 1 contact. The
corresponding numbers for 12,541 gonorrhea cases were 95% (n = 11,936), 45% (n =
5633), and 16% (1944), respectively. Among the 1392 contacts elicited from
syphilis cases, 53% (n = 735) were either interviewed or determined to not need
an interview and 43% (n = 595) were treated. The corresponding numbers for the
2323 contacts elicited from gonorrhea cases were 53% (n = 1221) and 46% (n =
1075), respectively. CONCLUSIONS: Adaptation of the HIV continuum is a useful
tool for evaluating treatment verification and contact elicitation activities. In
Los Angeles County, this approach revealed significant drop-offs in the
proportion of index cases naming contacts and in the proportion of contacts who
are interviewed and treated.
PMID- 26562698
TI - Effects of Brief Messaging About Undiagnosed Infections Detected through HIV
Testing Among Black and Latino Men Who Have Sex With Men in the United States.
AB - We examined intent to get tested for HIV infection and use condoms among n = 604
uninfected black and Latino men who have sex with men after receiving brief
information messaging that 1 in 10 minority men who have sex with men had HIV
infection and did not know it. Information awareness, newness, believability, HIV
testing cost willingness, and associated demographic variables were also
assessed.
PMID- 26562699
TI - Relationship of Estimated SHIV Acquisition Time Points During the Menstrual Cycle
and Thinning of Vaginal Epithelial Layers in Pigtail Macaques.
AB - BACKGROUND: HIV acquisition in the female genital tract remains incompletely
understood. Quantitative data on biological HIV risk factors, the influence of
reproductive hormones, and infection risk are lacking. We evaluated vaginal
epithelial thickness during the menstrual cycle in pigtail macaques (Macaca
nemestrina). This model previously revealed increased susceptibility to vaginal
infection during and after progesterone-dominated periods in the menstrual cycle.
METHODS: Nucleated and nonnucleated (superficial) epithelial layers were
quantitated throughout the menstrual cycle of 16 macaques. We examined the
relationship with previously estimated vaginal SHIVSF162P3 acquisition time
points in the cycle of 43 different animals repeatedly exposed to low virus
doses. RESULTS: In the luteal phase (days 17 to cycle end), the mean vaginal
epithelium thinned to 66% of mean follicular thickness (days 1-16; P = 0.007,
Mann-Whitney test). Analyzing 4-day segments, the epithelium was thickest on days
9 to 12 and thinned to 31% thereof on days 29 to 32, with reductions of nucleated
and nonnucleated layers to 36% and 15% of their previous thickness, respectively.
The proportion of animals with estimated SHIV acquisition in each cycle segment
correlated with nonnucleated layer thinning (Pearson r = 0.7, P < 0.05, linear
regression analysis), but not nucleated layer thinning (Pearson r = 0.6, P =
0.15). CONCLUSIONS: These data provide a detailed picture of dynamic cycle
related changes in the vaginal epithelium of pigtail macaques. Substantial
thinning occurred in the superficial, nonnucleated layer, which maintains the
vaginal microbiome. The findings support vaginal tissue architecture as
susceptibility factor for infection and contribute to our understanding of innate
resistance to SHIV infection.
PMID- 26562700
TI - Prevalence Estimates of Complicated Syphilis.
AB - We reviewed 68 cases of possible neurosyphilis among 573 syphilis cases in King
County, WA, from 3rd January 2012 to 30th September 2013; 7.9% (95% confidence
interval, 5.8%-10.5%) had vision or hearing changes, and 3.5% (95% confidence
interval, 2.2%-5.4%) had both symptoms and objective confirmation of complicated
syphilis with either abnormal cerebrospinal fluid or an abnormal ophthalmologic
examination.
PMID- 26562701
TI - High Rate of beta-Globin DNA Detection Validates Self-Sampling in Herpes Simplex
Virus Shedding Studies.
AB - BACKGROUND: Self-sampling is a convenient, feasible, and acceptable way of
collecting genital specimens, but the veracity of reported self-collection is
difficult to verify. We investigated whether a host gene, beta-globin, can be
used to confirm adequacy of self-collected mucosal and skin genital specimens in
studies of genital herpes simplex virus type 2 (HSV-2) infection. METHODS: Herpes
simplex virus type 2-seropositive adults self-collected daily anogenital and oral
swabs. Mucosal samples were tested for HSV DNA using a real-time quantitative
polymerase chain reaction assay. A real-time Taqman polymerase chain reaction
detecting the beta-globin gene was used to quantify host cells. RESULTS: One
hundred twelve participants collected 5559 genital and 2002 oral swabs. Sixty
(54%) were women, 65% were HSV-2 seropositive, and 35% were HSV-1 and HSV-2
seropositive by Western blot. beta-globin DNA was detected in 99% and 93% of
swabs obtained from women and men, respectively. The quantity of beta-globin DNA
detected was significantly higher when HSV was present in genital swabs in women
(0.1 log10 copies/mL; P = 0.001) and in men (0.6 log10 copies/mL; P < 0.001), but
not in oral swabs in women (0.2 log10 copies/mL; P = 0.08) or men (0.0 log10
copies/mL; P = 0.70). CONCLUSIONS: Human beta-globin DNA detection rate was high,
and the quantity obtained significantly increased with genital, but not oral HSV
shedding. The high rate of beta-globin DNA detection is consistent with high
adherence to study procedures in longitudinal studies of genital herpes shedding.
PMID- 26562702
TI - Epidemiology of Sexually Transmitted Infections in Visitors for the London 2012
Olympic Games: A Review of Attendees at Sexual Health Services.
AB - BACKGROUND: Mass gatherings and large sporting events, such as the Olympics, may
potentially pose a risk of increased sexually transmitted infection (STI)
transmission and increase burden on local STI services. The objectives of this
analysis were to assess whether the STI profile of Olympic visitors differed from
that of the local STI clinic population and to investigate what impact these
visitors had on local STI services. METHODS: Self-administered questionnaires
(completed by 29,292 patients) were used to determine the visitor status of
patients attending 20 STI clinics, between July 20, 2012, and September 16, 2012,
in the host cities, London and Weymouth. Using routine surveillance data from the
Genitourinary Medicine Clinic Activity Dataset version 2, Olympic visitors were
compared with usual attendees (local residents and non-Olympic visitors) in terms
of their demographic characteristics, services utilized, and STIs diagnosed using
univariate and multivariate methods. RESULTS: Compared with usual attendees,
Olympic visitors were more likely to be heterosexual males (56.0% vs. 34.9%, P =
0.001), aged between 15 and 24 years of age (47.1% vs. 34.0%, P = 0.001), of
white ethnicity (81.9% vs. 66.4%, P = 0.001), and born in Australasia, Asia,
North America, or South America (18.8% vs. 12.0%, P = 0.006). Olympic visitors
constituted 1% of new clinic attendances and were less likely to be diagnosed as
having a new STI (adjusted odds ratio, 0.69; 95% confidence interval, 0.48-0.98;
P = 0.040). CONCLUSIONS: In this first multisite study to examine the effect of
Olympic visitors on local sexual health services, the 2012 Olympic Games was
found to have minimal impact. This suggests that a "business as usual" approach
would have been sufficient.
PMID- 26562703
TI - Evaluating Quality of Care for Sexually Transmitted Infections in Different
Clinical Settings.
AB - BACKGROUND: We examined quality of care across different clinical settings within
a large safety-net hospital in Massachusetts for patients presenting with penile
discharge/dysuria or vaginal discharge. METHODS: Using a modified Delphi
approach, a list of sex-specific sexually transmitted infection (STI) quality
measures, covering 7 domains of clinical care (history, examination, laboratory
testing, assessment, treatment, additional screening, counseling), was selected
as standard of care by a panel of 5 STI experts representing emergency department
(ED), obstetrics/gynecology (Ob/Gyn), family medicine (FM), primary care (PC),
and infectious disease. Final measures were piloted with 50 charts per sex from
the STI Clinic and age, sex, and visit date-matched charts from PC, FM, ED, and
Ob/Gyn. Performance was scored as compliance among individual measures within 7
domains, standardized to add up to one to adjust for variable number of measures
per domain, with an overall score of 7 indicating complete adherence to
standards. RESULTS: Expert review process took 2 weeks and resulted in 24 and 34
final measures for male and female patients, respectively. Performance on 7
clinical domains ranged from 3.16 to 4.36 for male patients and 3.17 to 4.33 for
female patients. Sexually transmitted infection clinic seemed to score higher on
laboratory testing, additional screening, and counseling, but lower on
examination and assessment, and ED seemed to score higher on examination and
treatment, PC and FM on laboratory testing for male patients and on examination
and treatment for female patients, and Ob/Gyn on treatment. CONCLUSIONS: An
instrument to discern standard of care and identify strengths and weaknesses in
specific domains of clinical documentation for patients presenting with STI
complaints can be developed and implemented for quality evaluation across care
settings. Further research is needed on whether these findings can be integrated
into site-specific quality improvement processes and linked to cost analyses.
PMID- 26562704
TI - Assessing the Changing Landscape of Sexual Health Clinical Service After the
Implementation of the Affordable Care Act.
AB - INTRODUCTION: Federal health reform has the potential to impact many public
health services, especially sexual health clinics. To assess the impact of such
reform within the Denver Sexual Health Clinic (DSHC), we conducted a survey of
patients to better understand our client population and their care-seeking
behavior. METHODS: Survey data were collected from patients attending the DSHC at
3 different points in time to ascertain insurance status, reasons for not having
insurance, reasons for choosing care at the DSHC, and health care use over the
past 12 months. RESULTS: A total of 1603 surveys were completed. Forty-two
percent of participants were enrolled in health insurance at the time of visit.
The percentage of patients with Medicaid increased more than 200% across the
survey cycles. Cost was the main reason cited for not having insurance.
Participants identified confidentiality and convenience among the top reasons for
seeking care at the DSHC regardless of sex or insurance. Although there was no
difference in health care use for sexual health services, individuals with health
insurance were more likely to have used nonsexual health services in the past 12
months than those without insurance. CONCLUSIONS: Patients continue to visit the
DSHC despite having health insurance. Sexual health clinics must work to
understand what drives people to seek care so that they can better prepare for
the future.
PMID- 26562705
TI - The Effectiveness of Psychoeducational Intervention on Managing Symptom Clusters
in Patients With Cancer: A Systematic Review of Randomized Controlled Trials.
AB - BACKGROUND: Managing symptom clusters instead of single symptoms could be more
beneficial in cancer care. Psychoeducational intervention (PEI) has been
highlighted as a promising symptom intervention, but the effect of PEI in the
management of cancer symptom clusters remains unknown. OBJECTIVE: The aim of this
study was to evaluate the effectiveness of PEI on managing symptom clusters in
patients with cancer. METHODS: Six databases were searched, together with hand
searching, to identify eligible randomized controlled trials from the year 2001
to July 2014. Data selection, quality appraisal of studies, and data extraction
were conducted independently by 2 reviewers. Data were synthesized by use of a
systematic narrative approach, and meta-analysis was conducted when this was
possible. RESULTS: Four eligible studies involving 343 cancer patients were
included in the review. The methodological quality of the studies was generally
fair. Symptom clusters seemed to improve with the provision of PEI. Meta-analysis
shows significant improvement in functional performance. CONCLUSIONS: The
evidence that PEI could alleviate cancer symptom clusters is encouraging but
inconclusive in this review. Future well-designed investigations testing some
commonly used PEI such as progressive muscle relaxation and patient education on
various types of cancer symptom clusters are warranted. IMPLICATIONS FOR
PRACTICE: This review suggests a promising role of PEI in managing cancer symptom
clusters. Healthcare providers should increase their awareness of managing
symptom clusters using PEI to yield more effective and efficient cancer care.
PMID- 26562706
TI - World Day of Remembrance for Road Traffic Victims - November 15, 2015.
PMID- 26562707
TI - Acute Effects of Loaded Half-Squat Jumps on Sprint Running Speed in Track and
Field Athletes and Soccer Players.
AB - The purpose of the study was to determine the acute responses to a jump squat
protocol designed to induce postactivation potentiation on sprint running
performance in experienced track and field athletes and soccer players. Twenty
five regional level athletes (12 track and field: ~17 years; ~177 cm; ~73 kg and
13 soccer: ~18 years; ~175 cm; ~72 kg) performed 2 test sessions assessing 40-m
sprint running performance in a balanced, crossover design. Dual-beam light
timing gates measured 0-20 and 20-40 m sprint times before and after either 9
minutes of sitting (control) or 2 sets of 6 repetition half-squat jump with the
load eliciting maximum power (experimental) conditions. Sprint performance was
significantly enhanced over both 0-20 m (3.09 +/- 0.07 to 3.04 +/- 0.08 seconds;
Delta ~1.5%; p <= 0.05) and 20-40 m (2.42 +/- 0.09 to 2.39 +/- 0.09 seconds;
Delta ~1%; p <= 0.05) in track and field athletes only. Also, the magnitude of
enhanced sprint performance was related to baseline 0-20 m sprint performance (r
= 0.44; p = 0.028; n = 25). It seems that using loaded half-squat jumps to
enhance sprint performance could be used in training of high-level young
athletes.
PMID- 26562708
TI - Effect of Preexercise Creatine Ingestion on Muscle Performance in Healthy Aging
Males.
AB - Preexercise creatine supplementation may have a beneficial effect on aging muscle
performance. Using a double-blind, repeated measures, crossover design, healthy
males (N = 9, 54.8 +/- 4.3 years; 92.9 +/- 11.5 kg; 179.2 +/- 11.1 cm) were
randomized to consume creatine (20 g) and placebo (20 g corn starch
maltodextrin), on 2 separate occasions (7 days apart), 3 hours before performing
leg press and chest press repetitions to muscle fatigue (3 sets at 70% 1
repetition maximum; 1 minute rest between sets). There was a set main effect (p
<= 0.05) for the leg press and chest press with the number of repetitions
performed decreasing similarly for creatine and placebo. These results suggest
that a bolus ingestion of creatine consumed 3 hours before resistance exercise
has no effect on upper or lower-body muscle performance in healthy aging males.
PMID- 26562709
TI - The Effect of Resistance Training and Different Sources of Postexercise Protein
Supplementation on Muscle Mass and Physical Capacity in Sarcopenic Elderly Men.
AB - The loss of muscle mass (sarcopenia) with aging is related to a progressive loss
of muscle strength and physical capacity. Resistance exercise and milk-based
protein supplementation have been demonstrated as significant countermeasures for
sarcopenia and the loss of muscle strength. However, using high doses of proteins
can act as a meal replacement in the elderly. Therefore, we sought to determine
whether a standard supplementation (12 g per serving) of protein and resistance
training could be an efficient strategy to promote muscle strength and physical
capacity in sarcopenic men. Twenty-six participants were randomized in 3 groups
in a double-blind control study. All the groups performed exercise and consumed a
protein-rich supplement 12 g of protein, 7 g of essential amino acids from milk
(n = 8), soy (n = 8), or rice milk (nonprotein control, n = 10). Body composition
was measured using dual-energy x-ray absorptiometry. Strength was measured by 1
repetition maximum with different exercises. Different physical capacity
measurements were assessed (timed up and go test, chair stand, and walking
speed). The results indicated a significant increase in fat-free mass in all
groups and changes in muscle strength, with no differences between groups. This
study indicates that resistance training is an effective way to increase muscle
mass and strength, regardless of protein supplementation. Higher doses of protein
rich foods may have to be recommended to promote muscle mass gains when executing
resistance exercise in elderly sarcopenic individuals.
PMID- 26562710
TI - The Effect of Exertion and Sex on Vertical Ground Reaction Force Variables and
Landing Mechanics.
AB - The purpose of this investigation was to determine how exertion and sex affected
a variety of vertical ground reaction force (VGRF) parameters during a jump
landing task, including peak VGRF, peak VGRF asymmetry, loading rate, and loading
rate asymmetry. Additionally, we wanted to determine whether landing mechanics
changed after exertion as measured by the Landing Error Scoring System (LESS).
Forty recreationally active participants (20 men and 20 women) completed jump
landings from a 30-cm-high box onto force plates before and after repeated bouts
of an exercise circuit until a specific rating of perceived exertion was
achieved. Three-way (sex * time * limb) analyses of variance were used to analyze
variables pre-exertion to postexertion. No significant 3-way interactions were
observed for peak VGRF (p = 0.31) or loading rate (p = 0.14). Time by sex
interactions were observed for peak VGRF (p = 0.02) and loading rate (p = 0.008).
Post hoc analysis revealed that men increased landing force and loading rate
after exertion while women did not. Landing mechanics, as assessed by total LESS
score, were worse after exertion (p < 0.001) with increased frequency of errors
for knee flexion <30 degrees at initial contact, lateral trunk flexion, and not
flexing the hip during landing. Women may be more resistant to exertion compared
with men and use different joint controls' strategies to cope with VGRF after
exertion. However, VGRF asymmetry is not affected by sex and exertion. Limiting
peak VGRF and addressing landing postures, especially after exertion, should be
components of injury prevention strategies.
PMID- 26562711
TI - Special Judo Fitness Test Level and Anthropometric Profile of Elite Spanish Judo
Athletes.
AB - Casals, C, Huertas, JR, Franchini, E, Sterkowicz-Przybycien, K, Sterkowicz, S,
Gutierrez-Garcia, C, and Escobar-Molina, R. Special judo fitness test level and
anthropometric profile of elite spanish judo athletes. J Strength Cond Res 31(5):
1229-1235, 2017-The aim of this study was to determine the anthropometric
variables that best predict Special Judo Fitness Test (SJFT) performance. In
addition, anthropometric profiles of elite Spanish judo athletes were compared by
sex and age category (seniors and juniors). In this cross-sectional study, a
total of 51 (29 females) athletes from the Spanish National Judo Team were
evaluated during a competitive period. All athletes performed the SJFT and
underwent an anthropometric assessment through skinfold thickness measurements.
Mann-Whitney comparisons by sex and age category showed that males had
significantly higher muscle mass and lower fat mass than females (p < 0.001),
whereas juniors and seniors exhibited few differences in body composition. Linear
regression analyses (stepwise method) were performed to explore the relationships
between anthropometric characteristics and SJFT variables. Model 1 included sex,
age category, and body mass as predictors. Body mass and sex significantly
predicted the SJFT index (R = 0.27, p < 0.001); thus, both criteria should be
considered before interpreting the test. The predictors of model 2 were quick
assessment variables, including skinfolds, breadths, girths, and height. This
regression model showed that the biceps skinfold significantly predicted the SJFT
index in elite athletes (R = 0.31, p < 0.001). Model 3 included body compositions
and somatotypes as predictors. Higher muscle and bone masses and lower ectomorphy
were associated with better SJFT performance (R = 0.44, p < 0.001). Hence,
training programs should attempt to increase the muscle mass percentage and
reduce the upper arm fat, whereas the bone percentage could be considered in the
selection of talented athletes in conjunction with other factors.
PMID- 26562712
TI - Effects of Elastic Band Resistance Training on Glucose Control, Body Composition,
and Physical Function in Women With Short- vs. Long-Duration Type-2 Diabetes.
AB - This study examined whether the existing duration of type-2 diabetes influenced
patient responses to progressive resistance training. Twenty-six women with type
2 diabetes were stratified into short- (3 +/- 2 years; n = 12) or long-standing
(10 +/- 3 years; n = 14) disease groups. Patients participated in a high daily or
high weekly frequency elastic band resistance training program that consisted of
2 daily sessions, 5 d.wk for 12 weeks. Glucose control, body composition, and
physical function were evaluated pre- and posttraining. No significant diabetes
duration * training interactions were detected for blood markers of glucose
control (p > 0.05); however, there were significant main effects of training
driven by comparable improvements in both cohorts (hemoglobin A1c, -13 to 18%;
fasting glucose, -23 to 31%; postprandial glucose, -36 to 40%; insulin, -34 to
40%; C-peptide, -38 to 51%; p <= 0.05). Anthropometrics and body composition were
also favorably modified in both the groups after training (weight, -5 to 9%; body
mass index, -6 to 9%; waist-to-hip ratio, -3 to 5%; percent fat, -14 to 20%; p <=
0.05). Likewise, indices of physical function improved in both the groups after
training (bicep curl repetitions, +15-33%; sit-and-stand repetitions, +45-47%; p
<= 0.05). A few exceptions were noted in which patients with long-standing
disease demonstrated greater pre-to-post gains (p <= 0.05) in grip strength (+11
13%) and peak exercise time (+19%) and load (+21%) during graded exercise,
whereas those with shorter disease duration did not. Overall, these data suggest
that patients with a long history of diabetes respond positively to resistance
training and in a manner comparable to their recently diagnosed counterparts.
Therefore, current inactivity in patients with long-standing disease should not
deter from beginning an exercise program.
PMID- 26562713
TI - Relationship Between Reactive Agility and Change of Direction Speed in Amateur
Soccer Players.
AB - The aim of the study was to assess the relationship between reactive agility and
change of direction speed (CODS) among amateur soccer players using running tests
with four directional changes. Sixteen amateur soccer players (24.1 +/- 3.3
years; 72.4 +/- 7.3 kg; 178.7 +/- 6 cm) completed CODS and reactive agility tests
with four changes of direction using the SpeedCourtTM system (Globalspeed GmbH,
Hemsbach, Germany). Countermovement jump (CMJ) height and maximal foot tapping
count (completed in 3 seconds) were also measured with the same device. In the
reactive agility test, participants had to react to a series of light stimuli
projected onto a screen. Total time was shorter in the CODS test than in the
reactive agility test (p < 0.001). Nonsignificant correlations were found among
variables measured in the CODS, reactive agility, and CMJ tests. Low common
variance (r = 0.03-0.18) was found between CODS and reactive agility test
variables. The results of this study underscore the importance of cognitive
factors in reactive agility performance and suggest that specific methods may be
required for training and testing reactive agility and CODS.
PMID- 26562714
TI - Heart Rate Responses of High School Students Participating in Surfing Physical
Education.
AB - Despite the nation's rising epidemic of childhood obesity and diabetes, schools
struggle to promote physical activities that help reduce risks for cardiovascular
disease. Emerging data suggest that adopting novel activities into physical
education (PE) curriculum may serve as an effective strategy for increasing
physical activity in children. The purpose of this investigation was to
characterize activity in the water and heart rates (HRs) of high school students
participating in surf PE courses. Twenty-four male (n = 20) and female (n = 4)
high school students (mean age = 16.7 +/- 1.0 years) who were enrolled in surf PE
courses at 2 high schools participated in this investigation. Daily measurements
of surfing durations, average HR, and maximum HR were made on the students with
HR monitors (PolarFT1) over an 8-week period. In addition, HR and activity in the
water was evaluated during a single session in a subset of students (n = 11)
using a HR monitor (PolarRCX5) and a video camera (Canon HD). Activity and HR
were synchronized and evaluated in 5-second intervals during data analyses. The
average duration that PE students participated in surfing during class was 61.7
+/- 1.0 minutes. Stationary, paddling, wave riding, and miscellaneous activities
comprised 42.7 +/- 9.5, 36.7 +/- 7.9, 2.9 +/- 1.4, and 17.8 +/- 11.4 percent of
the surf session, respectively. The average and maximum HRs during these
activities were 131.1 +/- 0.9 and 177.2 +/- 1.0 b.min, respectively. These data
suggest that high school students participating in surf PE attained HRs and
durations that are consistent with recommendations with cardiovascular fitness
and health. In the future, PE programs should consider incorporating other action
sports into their curriculum to enhance cardiovascular health.
PMID- 26562715
TI - Reliability of the Tuck Jump Injury Risk Screening Assessment in Elite Male Youth
Soccer Players.
AB - Read, PJ, Oliver, JL, de Ste Croix, MBA, Myer, GD, and Lloyd, RS. Reliability of
the tuck jump injury risk screening assessment in elite male youth soccer
players. J Strength Cond Res 30(6): 1510-1516, 2016-Altered neuromuscular control
has been suggested as a mechanism for injury in soccer players. Ligamentous
injuries most often occur during dynamic movements, such as decelerations from
jump-landing maneuvers where high-risk movement patterns are present. The
assessment of kinematic variables during jump-landing tasks as part of a
preparticipation screen is useful in the identification of injury risk. An
example of a field-based screening tool is the repeated tuck jump assessment. The
purpose of this study was to analyze the within-subject variation of the tuck
jump screening assessment in elite male youth soccer players. Twenty-five pre
peak height velocity (PHV) and 25 post-PHV elite male youth soccer players from
the academy of a professional English soccer club completed the assessment. A
test-retest design was used to explore the within-subject intersession
reliability. Technique was graded retrospectively against the 10-point criteria
set out in the screening protocol using two-dimensional video cameras. The
typical error range reported for tuck jump total score (0.90-1.01 in pre-PHV and
post-PHV players respectively) was considered acceptable. When each criteria was
analyzed individually, kappa coefficient determined that knee valgus was the only
criterion to reach substantial agreement across the two test sessions for both
groups. The results of this study suggest that although tuck jump total score may
be reliably assessed in elite male youth soccer players, caution should be
applied in solely interpreting the composite score due to the high within-subject
variation in a number of the individual criteria. Knee valgus may be reliably
used to screen elite youth male soccer players for this plyometric technique
error and for test-retest comparison.
PMID- 26562716
TI - Effect of Intermittent Hypoxic Training Followed by Intermittent Hypoxic Exposure
on Aerobic Capacity of Long Distance Runners.
AB - Effects of intermittent hypoxic training (IHT) are still controversial and
detraining effects remain uninvestigated. Therefore, we investigated (a) whether
IHT improves aerobic capacity; (b) whether aerobic detraining occurs post-IHT;
and (c) whether intermittent hypoxic exposure (IHE) at rest reduces a possible
aerobic detraining post-IHT. Twenty eight runners (21 men/7 women; 36 +/- 2
years; maximal oxygen uptake [V[Combining Dot Above]O2max] 55.4 +/- 1.3
ml.kg.min) participated in a single-blinded placebo-controlled trial. Twice a
week, 1 group performed 6 weeks of IHT (n = 11), followed by 4 weeks of IHE (n =
11) at rest (IHT+IHE group). Another group performed 6 weeks of IHT (n = 10),
followed by 4 weeks of normoxic exposure (NE, n = 9) at rest (IHT+NE group). A
control group performed 6 weeks of normoxic training (NT, n = 7), followed by 4
weeks of NE (n = 6) at rest (NT+NE group). Hematological and submaximal/maximal
aerobic measurements were conducted in normoxia at pretraining, posttraining, and
postexposure. Hemoglobin concentration did not change, but lactate threshold and
running economy improved in all groups at posttraining (p <= 0.05 vs.
pretraining). Ventilatory threshold, respiratory compensation point, and
V[Combining Dot Above]O2max increased after IHT (IHT+IHE group: 7.3, 5.4, and
9.2%, respectively; IHT+NE group: 10.7, 7.5, and 4.8%; p <= 0.05 vs.
pretraining), but not after NT (-1.1, -1.0, and -3.8%; p > 0.05 vs. pretraining).
Such IHT-induced adaptations were maintained at postexposure (p > 0.05 vs.
postexposure). In conclusion, IHT induced further aerobic improvements than NT.
These additional IHT adaptations were maintained for 4 weeks post-IHT, regardless
of IHE.
PMID- 26562717
TI - Faster Futsal Players Perceive Higher Training Loads and Present Greater
Decreases in Sprinting Speed During the Preseason.
AB - The aims of this study were to assess the speed-power characteristics of
professional futsal players before and after a 9-week preseason and to explore
possible relationships with internal training loads. Ten under-20 professional
Brazilian futsal players performed unloaded (squat jump [SJ] and countermovement
jump [CMJ]) and loaded (jump squat [JS]) jumps and a 20-m sprint test before and
after the preseason. Weekly training loads as measured by session rating of
perceived exertion (s-RPE) varied between 2,179 and 5,519 a.u. The magnitude
based inference statistics revealed that performance in the SJ, CMJ, and 20-m
sprint very likely decreased (effect size [ES] = -0.64, -0.49, and -0.92,
respectively), whereas mean propulsive power in the JS likely increased (ES =
0.42) in response to the preseason. The Pearson coefficient of correlation
between velocity in the 20 m sprint test and s-RPE during the first 2 weeks of
training was 0.66 (p <= 0.05) while no significant correlation was detected
between total s-RPE (i.e., 9 weeks) and changes in the power-speed tests. The
baseline 20-m sprint velocity was very largely and inversely (r = -0.90)
correlated with the change in the 20-m sprint performance. In conclusion, futsal
preseason training leads to impaired unloaded vertical jump and sprint test
performance, with speed decreasing more in faster than slower players. In
addition, because of the large correlation between baseline sprint ability and s
RPE, coaches are advised to assess sprinting performance at the beginning of the
preseason to finely adjust the training stimuli to each athlete.
PMID- 26562719
TI - Tin Disulfide Nanoplates on Graphene Nanoribbons for Full Lithium Ion Batteries.
AB - A nanocomposite material made of layered tin disulfide (SnS2) nanoplates
vertically grown on reduced graphene oxide nanoribbons (rGONRs) has been
successfully developed as an anode in lithium ion batteries by a facile method.
At a rate of 0.4 A/g, the material exhibits a high discharge capacity of 823
mAh/g even after 800 cycles. It shows excellent rate stability when the current
density varies from 0.1 to 3.0 A/g with a Coulombic efficiency larger than 99%.
In order to demonstrate the anode material for practical applications, SnS2
rGONR/LiCoO2 full cells were constructed. To the best of our knowledge, this is
the first time that a full cell has been successfully developed using metal
chalcogenides as an anode. The full cell delivers a high capacity of 642 mAh/g at
0.2 A/g, superior rate, and cycling stability after long-term cycling. Moreover,
the full cell has a high output working voltage of 3.4 V. These excellent lithium
storage performances in half and full cells can be mainly attributed to the
synergistic effect between the highly conductive network of rGONRs and the high
lithium-ion storage capability of layered SnS2 nanoplates.
PMID- 26562720
TI - Molecular Mechanism underlying PRMT1 Dimerization for SAM Binding and Methylase
Activity.
AB - Protein arginine methyltransferases (PRMTs) catalyze the posttranslational
methylation of arginine, which is important in a range of biological processes,
including epigenetic regulation, signal transduction, and cancer progression.
Although previous studies of PRMT1 mutants suggest that the dimerization arm and
the N-terminal region of PRMT1 are important for activity, the contributions of
these regions to the structural architecture of the protein and its catalytic
methylation activity remain elusive. Molecular dynamics (MD) simulations
performed in this study showed that both the dimerization arm and the N-terminal
region undergo conformational changes upon dimerization. Because a correlation
was found between the two regions despite their physical distance, an allosteric
pathway mechanism was proposed based on a network topological analysis. The
mutation of residues along the allosteric pathways markedly reduced the
methylation activity of PRMT1, which may be attributable to the destruction of
dimer formation and accordingly reduced S-adenosyl-L-methionine (SAM) binding.
This study provides the first demonstration of the use of a combination of MD
simulations, network topological analysis, and biochemical assays for the
exploration of allosteric regulation upon PRMT1 dimerization. These findings
illuminate the results of mechanistic studies of PRMT1, which have revealed that
dimer formation facilitates SAM binding and catalytic methylation, and provided
direction for further allosteric studies of the PRMT family.
PMID- 26562721
TI - Uniting Ruthenium(II) and Platinum(II) Polypyridine Centers in Heteropolymetallic
Complexes Giving Strong Two-Photon Absorption.
AB - New trinuclear RuPt2 and heptanuclear RuPt6 complex salts are prepared by
attaching Pt(II) 2,2':6',2"-terpyridine (tpy) moieties to Ru(II)
4,4':2',2":4",4"'-quaterpyridine (qpy) complexes. Characterization includes
single crystal X-ray structures for both polymetallic species. The visible
absorption bands are primarily due to Ru(II) -> qpy metal-to-ligand charge
transfer (MLCT) transitions, according to time-dependent density functional
theory (TD-DFT) calculations. These spectra change only slightly on Pt
coordination, while the orange-red emission from the complexes shows
corresponding small red-shifts, accompanied by decreases in intensity. Cubic
molecular nonlinear optical behavior has been assessed by using Z-scan
measurements. These reveal relatively high two-photon absorption (2PA) cross
sections sigma2, with maximal values of 301 GM at 834 nm (RuPt2) and 523 GM at
850 nm (RuPt6) when dissolved in methanol or acetone, respectively. Attaching
Pt(II)(tpy) moieties triples or quadruples the 2PA activities when compared with
the Ru(II)-based cores.
PMID- 26562722
TI - Domino Strategy for the Stereoselective Construction of Angularly Fused Tricyclic
Ethers.
AB - A stereoselective synthesis of decahydrofuro[3,2-d]isochromene derivatives has
been achieved by the condensation of 2-cyclohexenylbutane-1,4-diol with aldehydes
in the presence of a stochiometric amount of BF3.OEt2 in dichloromethane at -78
degrees C. Similarly, the condensation of 2-cyclopentenylbutan-1,4-diol with
aldehydes provides the corresponding octahydro-2H-cyclopenta[c]furo[2,3-d]pyran
derivatives in good yields with high diastereoselectivity. It is an elegant
strategy for the quick construction of tricyclic architectures with four
contiguous stereogenic centers in a single step. These tricyclic frameworks are
the integral part of numerous natural products.
PMID- 26562723
TI - Amino Acid Residues in the Putative Transmembrane Domain 11 of Human Organic
Anion Transporting Polypeptide 1B1 Dictate Transporter Substrate Binding,
Stability, and Trafficking.
AB - Organic anion transporting polypeptides (OATPs, gene symbol SLCO) are membrane
proteins that mediate the sodium-independent transport of a wide range of
endogenous and exogenous compounds. Due to their broad substrate specificity,
wide tissue distribution, and involvement in drug-drug interactions, OATPs have
been considered as key players in drug absorption, distribution, and excretion.
Transmembrane domains (TMs) are crucial structural features involved in proper
functions of many transporters. According to computer-based modeling and previous
studies of our laboratory and others, TM11 of OATP1B1 may face the substrate
interaction pocket and thus play an important role in the transport function of
the protein. Alanine-scanning of the transmembrane domain identified seven
critical amino acid residues within the region. Further analysis revealed that
alanine substitution of these residues resulted in reduced protein stability,
which led to significantly decreased protein expression on the plasma membrane.
In addition, all mutants exhibited an altered Km for ES uptake (either high
affinity or low affinity component, or both), though Km for taurocholate
transport only changed in R580A, G584A, and F591A. These results suggested that
critical residues in TM11 not only affect protein stability of the transporter,
but its interaction with substrates as well. The identification of seven
essential residues out of 21 TM amino acids highlighted the importance of this
transmembrane domain in the proper function of OATP1B1.
PMID- 26562724
TI - Controllable Drug Release System in Living Cells Triggered by Enzyme-Substrate
Recognition.
AB - Vehicles can deliver the drug molecules into cells, yet immunoreaction of the
commonly used capping agents and release triggers limit their biomedical use.
This shortcoming might be circumvented through replacing these chemicals with
certain biomolecules. Here, we show a new and facile way to encapsulate the drug
delivery vehicles and release the cargos in a highly controllable manner via
modulating supramolecular interactions between enzyme, substrate, and vehicle.
The cargo release from the vehicles within cells can be achieved upon substrate
treatment. Yeast cells were used, allowing for a fast and cost-effective way for
imaging and morphological analysis. We believe this new platform can be readily
extended to various carrier systems for different purposes based on shifting the
recognition pattern of enzyme-substrate pairs.
PMID- 26562725
TI - A retrospective study of 51,781 adult oral and maxillofacial biopsies.
AB - BACKGROUND: Few studies have compared patient and anatomic characteristics across
the broad scope of oral and maxillofacial disease seen in dental clinics. The
authors conducted a study to make these comparisons by surveying a large sample
of histologically diagnosed oral and maxillofacial lesions in a US adult
population. METHODS: A total of 51,781 specimens biopsied from 51,781 adult
patients were received by an oral pathology service over 13 years (2001-2015) and
analyzed. A description of patients' sex and age at diagnosis, as well as the
anatomic site of biopsy was given for diagnoses of 10 oral disease types,
including malignant neoplasm, benign neoplasm, infectious, reactive, potentially
malignant, developmental, healthy tissue, immune dysfunction, physical trauma,
and other. RESULTS: The authors reported reactive lesions were the most prevalent
disease type found in the sample (74.9%). Malignant diagnoses comprised 1.97% of
all biopsies. The 3 most prevalent diagnoses in this study included benign
keratosis, chronic apical periodontitis, and radicular cyst. Different anatomic
sites, patient age groups, and sexes show different distributions of disease.
CONCLUSIONS: Certain disease types and diagnoses were found to have a higher
prevalence by sex, among particular age groups, and in certain anatomic sites.
PRACTICAL IMPLICATIONS: This information provides clinicians with a detailed and
broad scope of the variety of oral and maxillofacial lesions processed at an oral
pathology service and may assist practitioners in forming clinical impressions
and differential diagnoses.
PMID- 26562726
TI - Techniques and materials used by general dentists during endodontic treatment
procedures: Findings from The National Dental Practice-Based Research Network.
AB - BACKGROUND: Little is known about which materials and techniques general dentists
(GDs) use during endodontic procedures. The objectives were to quantify GDs' use
of specific endodontic tools, quantify inappropriate use, and ascertain whether
inappropriate use is associated with GDs' practice characteristics. METHODS: GDs
in The National Dental Practice-Based Research Network reported in a
questionnaire materials and techniques they use during endodontic procedures.
RESULTS: Among eligible GDs, 1,490 (87%) participated. Most (93%; n = 1,383) used
sodium hypochlorite to irrigate. The most commonly used sealers were zinc oxide
eugenol (43%) and resin (40%), followed by calcium hydroxide (26%). Most (62%; n
= 920) used a compaction obturation technique; 36% (n = 534) used a carrier-based
method. Most (96%; n = 1,423) used gutta-percha as a filler; 5% used paste
fillers. Few used irrigants (n = 46), techniques (n = 49), or fillers (n = 10)
that investigators classified as inappropriate. CONCLUSIONS: GDs use a broad
range of endodontic techniques and materials, often adapting to newer
technologies as they become available. Few GDs use tools that the investigators
classified as inappropriate. PRACTICAL IMPLICATIONS: GDs use many types of
endodontic techniques and materials, but only a small percentage of them are
inappropriate.
PMID- 26562727
TI - Triangular radiolucent lesion of the mandible.
PMID- 26562728
TI - Massive oral bleeding after full-mouth extraction in a patient with B-cell
lymphocytic leukemia/small lymphocytic lymphoma reversed with recombinant
activated factor VII.
AB - BACKGROUND AND OVERVIEW: Full-mouth extraction can be associated with intraoral
bleeding, which usually is controlled with local hemostatic measures. Recombinant
activated factor VII (rFVIIa) occasionally is used to stop bleeding in a variety
of off-label indications, with the main argument curtailing its use being
thrombotic events. The authors describe the use of rFVIIa for bleeding after full
mouth extraction in a patient with undiagnosed B-cell lymphocytic leukemia/small
lymphocytic lymphoma. CASE DESCRIPTION: A 72-year-old man underwent full-mouth
extraction (18 teeth). The next day, the patient experienced massive oral
bleeding. The authors administered tranexamic acid, aminocaproic acid, and a
total of 12 units of packed red blood cells in addition to local hemostatic
measures without control of bleeding. On postoperative day 10, the authors
administered 5,000 micrograms of rFVIIa, and within 2 hours oral the bleeding
ceased. The authors performed flow cytometry and diagnosed B-cell lymphocytic
leukemia/small lymphocytic lymphoma. CONCLUSIONS AND PRACTICAL IMPLICATIONS:
Unexplained massive oral bleeding despite adequate local hemostatic measures
should prompt further investigations for underlying bleeding or coagulation
disorders. The authors describe the successful use of rFVIIa in massive oral
bleeding. Further studies are mandatory to study the effectiveness of this drug
for this off-label indication.
PMID- 26562729
TI - Dental care after an emergency department visit for dental problems among adults
enrolled in Medicaid.
AB - BACKGROUND: Dental emergency department (ED) visits are increasing nationally,
but EDs provide only palliative care. The authors examine time to subsequent
dentist visit within 6 months after the ED visit, as well as the effect of having
a dentist visit in the prior year. METHODS: Using 2010-2012 Iowa Medicaid claims
data, the authors identified adults with an index dental ED visit. The authors
examined the claims data for a subsequent dentist visit within the next 6 months.
The authors used Kaplan-Meier curves and log-rank tests for bivariate analyses.
The authors included a dentist visit in the year before the index ED visit,
subsequent ED visits, and sociodemographic characteristics in a Cox multivariable
regression model. RESULTS: A total of 2,430 adults enrolled in Medicaid satisfied
the study inclusion criteria. Within 6 months, 52.4% had a subsequent dentist
visit, 12.0% lost Medicaid eligibility, and 35.6% did not have subsequent dentist
visit. Bivariate and multivariable analyses revealed that nonwhites, those
without a dentist visit in the prior year, and those with subsequent ED visits
had a significantly lower rate of subsequent dentist visits. CONCLUSIONS: Almost
one-half of adults with a dental ED visit did not visit a dentist in the next 6
months. Adults who did not visit a dentist in the past year and those with
repeated ED visits may be living with unresolved dental problems that can affect
their quality of life. PRACTICAL IMPLICATIONS: Adults without a dentist visit in
the past year and those who visit ED repeatedly can be targeted by ED diversion
programs because they are at higher risk of not receiving follow-up dental care.
PMID- 26562730
TI - Perspectives on evolving dental care payment and delivery models.
AB - BACKGROUND: Health care reform is well under way in the United States as
reflected in evolving delivery, financing, and payment approaches that are
affecting medicine ahead of dentistry. METHODS: The authors explored health
systems changes under way, distinguished historical and organizational
differences between medicine and dentistry, and developed alternative models to
characterize the relationships between these professions. The authors explored a
range of medical payment approaches, including those tied to objective
performance metrics, and their potential application to dentistry. RESULTS:
Advances in understanding the essential role of oral health in general health
have pulled dentistry into the broader discussion of care integration and payment
reform. Dentistry's fit with primary and specialty medical care may take a
variety of forms. Common provider payment approaches in dentistry-fee-for
service, capitation, and salary-are tied insufficiently to performance when
measured as either health processes or health outcomes. CONCLUSIONS: Dentistry
can anticipate potential payment reforms by observing changes already under way
in medicine and by understanding alternative payment approaches that are tied to
performance metrics, such as those now in development by the Dental Quality
Alliance and others. PRACTICAL IMPLICATIONS: Novel forms of dental practice may
be expected to evolve continuously as medical-dental integration and payment
reforms that promote accountability evolve.
PMID- 26562731
TI - Use of dental services by immigration status in the United States.
AB - BACKGROUND: There is limited research with mixed findings comparing differences
in oral health outcomes and the use of dental services by immigration status. The
authors conducted a study by reviewing nationally representative data to describe
differences in dental care among noncitizens, naturalized citizens, and US-born
citizens in the United States. METHODS: The authors used nationally
representative data from the 2008-2012 Medical Expenditure Panel Survey to
examine dental care for US-born citizens, naturalized citizens, and noncitizens
18 years and older. Total analytical sample size was 98,107 adults. They used
multivariate logistic regression to model dental service use adjusting for
confounding factors. RESULTS: Naturalized citizens and noncitizens were
significantly less likely to have at least 1 dental visit within 12 months (39.5%
and 23.1%, respectively) compared with US-born citizens (43.6%; P < .001). Among
users, a smaller proportion of comprehensive examination visits were for
naturalized citizens and noncitizens (75.9% and 71.4%, respectively) compared
with US-born citizens (82.8%; P < .01). Noncitizen visits to dentists were also
more likely to involve tooth extraction compared with those of US-born citizens
(11.3% versus 8.8%; P < .01). Multivariate logistic regression suggests both non-
and naturalized citizens had lower adjusted odds of having a comprehensive
examination compared with US-born citizens during a visit (P < .01). CONCLUSIONS:
Noncitizens and naturalized citizens had a lower rate of dental service use, and
noncitizens were more likely to have had tooth extraction compared with US-born
citizens. PRACTICAL IMPLICATIONS: Increased outreach efforts tailored to
noncitizens and naturalized citizens who are at high risk of experiencing dental
problems are needed, particularly to address misperceptions on the necessity of
preventive dental visits.
PMID- 26562732
TI - Short-term use of nonsteroidal anti-inflammatory drugs and adverse effects: An
updated systematic review.
AB - BACKGROUND: In this article, the authors examine the available scientific
evidence regarding adverse effects of short-term use of nonsteroidal anti
inflammatory drugs (NSAIDs). Short-term use was defined as 10 days or fewer.
METHODS: The authors reviewed randomized controlled clinical trials and cohort
and case-controlled clinical studies published between 2001 and June 2015 in
which the investigators reported on the safety of nonselective cyclooxygenase
inhibitors and of cyclooxygenase-2 selective inhibitor NSAIDs. RESULTS: The
systematic review process according to the Preferred Reporting Items for
Systematic Reviews and Meta-Analyses guidelines allowed the authors to identify
40 studies that met the inclusion criteria. CONCLUSIONS: On the basis of the
available scientific evidence, NSAIDs may be considered relatively safe drugs
when prescribed at the most effective dose and for the shortest duration of time,
which was defined to be 10 days or fewer. PRACTICAL IMPLICATIONS: Although the US
Food and Drug Administration recommends the use of NSAIDs beyond 10 days to be
accompanied by a consultation with a health care provider, the use of NSAIDs may
be considered relatively safe when prescribed at the most effective dose and for
the shortest duration of time, which was defined as 10 days or fewer. Exceptions
would be for patients at risk of developing NSAID-exacerbated respiratory
disease, patients with prior myocardial infarction who are receiving
antithrombotic therapy, patients with asthma, and patients with a history of
renal disease.
PMID- 26562733
TI - Prevalence of erosive tooth wear and associated factors in a group of Mexican
adolescents.
AB - BACKGROUND: Erosive tooth wear is the irreversible loss of dental hard tissue as
a result of chemical processes. When the surface of a tooth is attacked by acids,
the resulting loss of structural integrity leaves a softened layer on the tooth's
surface, which renders it vulnerable to abrasive forces. The authors' objective
was to estimate the prevalence of erosive tooth wear and to identify associated
factors in a sample of 14- to 19-year-old adolescents in Mexico. METHODS: The
authors performed a cross-sectional study on a convenience sample (N = 417) of
adolescents in a school in Mexico City, Mexico. The authors used a questionnaire
and an oral examination performed according to the Lussi index. RESULTS: The
prevalence of erosive tooth wear was 31.7% (10.8% with exposed dentin). The final
logistic regression model included age (P < .01; odds ratio [OR], 1.64; 95%
confidence interval [CI], 1.26-2.13), high intake of sweet carbonated drinks (P =
.03; OR, 1.81; 95% CI, 1.06-3.07), and xerostomia (P = .04; OR, 2.31; 95% CI,
1.05-5.09). CONCLUSIONS: Erosive tooth wear, mainly on the mandibular first
molars, was associated with age, high intake of sweet carbonated drinks, and
xerostomia. PRACTICAL IMPLICATIONS: Knowledge regarding erosive tooth wear in
adolescents with relatively few years of exposure to causal factors will increase
the focus on effective preventive measures, the identification of people at high
risk, and early treatment.
PMID- 26562734
TI - A rapidly enlarging gingival mass in an 11-year-old boy.
PMID- 26562735
TI - Pre- and postoperative dexamethasone does not reduce bleaching-induced tooth
sensitivity: A randomized, triple-masked clinical trial.
AB - BACKGROUND: Tooth sensitivity (TS) is the most common side effect of dental
bleaching therapies. Dexamethasone has been used with tooth bleaching to reduce
TS. The efficacy of dexamethasone for this purpose has not been well studied.
METHODS: The authors conducted a triple-masked, randomized, clinical trial with a
parallel design involving 63 healthy participants who received either a placebo
or dexamethasone. The placebo or dexamethasone (8 milligrams) was administered 1
hour before the in-office bleaching (35% hydrogen peroxide) and extra doses of 4
mg were administered every 6 hours for a total of 48 hours. TS was recorded on 2
scales: visual analog scale (0-10) and numeric rating scale (0-4) in different
periods. The color evaluations were performed before and 1 month after dental
bleaching with visual shade guides VITA Classical (VITA Zahnfabrik) and VITA
Bleachedguide 3D-MASTER (VITA Zahnfabrik), and for a shade guide evaluation, the
authors used a digital spectrophotometer, VITA Easyshade (VITA Zahnfabrik). The
absolute risk of TS was evaluated by a Fisher exact test. Data of TS intensity
using the NRS scale for the 2 groups were compared with Mann-Whitney and Friedman
tests, whereas data from the visual analog scale were evaluated by 2-way repeated
measures analysis of variance. The color changes between groups were compared
using a t test (alpha = .05). RESULTS: In both groups, the authors detected a
high risk of TS, which was approximately 90%. No significant difference was
observed in terms of TS intensity. A whitening of approximately 3 shade guide
units of the VITA Classical was detected in both groups, which were statistically
similar. CONCLUSIONS: The use of dexamethasone before bleaching did not reduce
the risk and intensity of bleaching-induced TS. PRACTICAL IMPLICATIONS: The use
of the steroidal anti-inflammatory agent dexamethasone was not capable of
preventing TS arising from in-office dental bleaching.
PMID- 26562736
TI - Measuring up: Implementing a dental quality measure in the electronic health
record context.
AB - BACKGROUND: Quality improvement requires using quality measures that can be
implemented in a valid manner. Using guidelines set forth by the Meaningful Use
portion of the Health Information Technology for Economic and Clinical Health
Act, the authors assessed the feasibility and performance of an automated
electronic Meaningful Use dental clinical quality measure to determine the
percentage of children who received fluoride varnish. METHODS: The authors
defined how to implement the automated measure queries in a dental electronic
health record. Within records identified through automated query, the authors
manually reviewed a subsample to assess the performance of the query. RESULTS:
The automated query results revealed that 71.0% of patients had fluoride varnish
compared with the manual chart review results that indicated 77.6% of patients
had fluoride varnish. The automated quality measure performance results indicated
90.5% sensitivity, 90.8% specificity, 96.9% positive predictive value, and 75.2%
negative predictive value. CONCLUSIONS: The authors' findings support the
feasibility of using automated dental quality measure queries in the context of
sufficient structured data. Information noted only in free text rather than in
structured data would require using natural language processing approaches to
effectively query electronic health records. PRACTICAL IMPLICATIONS: To
participate in self-directed quality improvement, dental clinicians must embrace
the accountability era. Commitment to quality will require enhanced documentation
to support near-term automated calculation of quality measures.
PMID- 26562737
TI - Are topical fluorides effective for treating incipient carious lesions?: A
systematic review and meta-analysis.
AB - BACKGROUND: This systematic review and meta-analysis evaluated the effectiveness
of professional topical fluoride application (gels or varnishes) on the reversal
treatment of incipient enamel carious lesions in primary or permanent dentition.
METHODS: Literature searching was carried out by the authors in PubMed (MEDLINE),
Cochrane Central Register of Controlled Trials, Turning Research Into Practice,
and ClinicalTrials.gov to verify the clinical trials available about the outcome.
From 754 potentially eligible studies, 21 were selected for full-text analysis, 5
were included for review, and 3 for meta-analysis. The statistical analysis was
performed only for studies assessing fluoride varnish; there were insufficient
data to perform it for fluoride gel studies. Two reviewers independently selected
the studies, extracted the data, and assessed the risk of bias. Pooled-effect
estimates were expressed as the weighted mean difference between groups. RESULTS:
The therapeutic methods ranged considerably regarding the fluoride application
protocols. There was a significant trend of effectiveness of fluoride varnish on
the reversal of incipient enamel carious lesions (P < .05). High heterogeneity
was found in the meta-analysis. CONCLUSIONS: Fluoride varnish seems to be an
effective treatment for the reversal of incipient carious lesions in primary and
permanent dentition; however, further clinical trials concerning efficacy of
topical fluorides for treating those lesions are still required, mainly regarding
the fluoride gel. PRACTICAL IMPLICATIONS: Considering the scientific evidence on
topical fluorides, pediatric dentists can use fluoride varnishes as an adjuvant
for the treatment of active white-spot lesions in primary or permanent dentition.
PMID- 26562738
TI - Open contacts adjacent to dental implant restorations: Etiology, incidence,
consequences, and correction.
AB - BACKGROUND: The aim of this investigation was to evaluate the potential causes,
clinical significance, and treatment of open contacts between dental implant
restorations and adjacent natural teeth. TYPES OF STUDIES REVIEWED: The authors
searched the dental literature for clinical trials in humans that addressed the
incidence of open contacts that develop after implant restorations are placed
next to teeth. RESULTS: The authors found 5 studies in which the investigators
addressed the incidence of open contacts after implant restorations are inserted
next to teeth. Results from these studies indicated that an interproximal gap
developed 34% to 66% of the time after an implant restoration was inserted next
to a natural tooth. This event occurred as early as 3 months after prosthetic
rehabilitation, usually on the mesial aspect of a restoration. CONCLUSIONS: The
occurrence of an interproximal separation next to an implant restoration was
greater than anticipated. It appears that force vectors cause tooth movement and
an implant functions like an ankylosed tooth. PRACTICAL IMPLICATIONS: Clinicians
should inform patients of the potential to develop interproximal gaps adjacent to
implant restorations, which may require repair or replacement of implant crowns
or rehabilitation of adjacent teeth. Furthermore, steps should be taken to check
the continuity of the arch periodically. If the clinician detects an open
contact, it is prudent to monitor for signs or symptoms of pathosis so that
prosthetic repair of the gap can be initiated, if needed. These problems could
add to treatment costs and decrease overall patient satisfaction related to
implant treatment.
PMID- 26562739
TI - Ionic Functionalization of Hydrophobic Colloidal Nanoparticles To Form Ionic
Nanoparticles with Enzymelike Properties.
AB - Inorganic colloidal nanoparticles (NPs) stabilized by a layer of hydrophobic
surfactant on their surfaces have poor solubility in the aqueous phase, thus
limiting their application as biosensors under physiological conditions. Here we
report a simple model to ionize various types of hydrophobic colloidal NPs,
including FePt, cubic Fe3O4, Pd, CdSe, and NaYF4 (Yb 30%, Er 2%, Nd 1%) NPs, to
multicharged (positive and negative) NPs via ligand exchange. Surfaces of neutral
hydrophobic NPs were converted to multicharged ions, thus making them soluble in
water. Furthermore, peroxidase-like activity was observed for ionic FePt, Fe3O4,
Pd, and CdSe NPs, of which FePt and CdSe catalyzed the oxidation of the colorless
substrate 3,3',5,5'-tetramethylbenzidine (TMB) to the blue-colored product in the
absence of H2O2, while Pd and Fe3O4 catalyzed the oxidization of TMB in the
presence of H2O2. With the benefit of the ionic functionalization protocols
described herein, colloidal NPs should gain wider use as biomarkers, nanozymes,
and biosensors.
PMID- 26562740
TI - Organocatalytic Nonclassical Trienamine Activation in the Remote Alkylation of
Furan Derivatives.
AB - A new approach for the stereoselective remote alkylation of furan derivatives is
reported. The reaction of 5-alkylfurfurals with nitroolefins as electrophilic
counterparts occurs at their exocyclic epsilon-position and proceeds through the
intermediacy of a nonclassical catalytic trienamine intermediate. The
aminocatalyst bearing a H-bonding unit is used to control the stereochemical
reaction outcome confirming the usefulness of such catalytic systems for the
remote functionalizations of carbonyl compounds. Target products with two
adjacent stereogenic centers are obtained in excellent yields and with good to
moderate stereoselectivities.
PMID- 26562741
TI - Indoline Amide Glucosides from Portulaca oleracea: Isolation, Structure, and DPPH
Radical Scavenging Activity.
AB - A polyamide column chromatography method using an aqueous ammonia mobile phase
was developed for large-scale accumulation of water-soluble indoline amide
glucosides from a medicinal plant, Portulaca oleracea. Ten new [oleraceins H, I,
K, L, N, O, P, Q, R, S (1-10)] and four known [oleraceins A-D (11-14)] indoline
amide glucosides were further purified and structurally characterized by various
chromatographic and spectroscopic methods. The DPPH radical scavenging activities
of oleraceins K (5) and L (6), with EC50 values of 15.30 and 16.13 MUM,
respectively, were twice that of a natural antioxidant, vitamin C; the EC50
values of the 12 other indoline amides, which ranged from 29.05 to 43.52 MUM,
were similar to that of vitamin C. Structure-activity relationships indicated
that the DPPH radical scavenging activities of these indoline amides correlate
with the numbers and positions of the phenolic hydroxy groups.
PMID- 26562742
TI - International long-term trends and recent patterns in the incidence of leukemias
and lymphomas among children and adolescents ages 0-19 years.
AB - To enhance understanding of etiology, we examined international population-based
cancer incidence data for lymphoid leukemia, non-Hodgkin lymphoma, Hodgkin
lymphoma and myeloid leukemia among children aged 0-19. Based on temporal trends
during 1978-2007 in 24 populations, lymphoid leukemia and myeloid leukemia
incidence rates generally have not changed greatly and differences in rates for
non-Hodgkin and for Hodgkin lymphoma have diminished in some regions. Lymphoid
leukemia rates during 2003-2007 in 54 populations varied about 10-fold, with
rates highest in US white Hispanics (50.2 per million person-years) and Ecuador
(48.3) and lowest in US blacks (20.4), Tunisia (17.7) and Uganda (6.9). Non
Hodgkin lymphoma rates varied 30-fold, with very high rates in sub-Saharan Africa
(146.0 in Malawi and 54.3 in Uganda) and low rates (<= 10) in some Asian
populations (China, Japan, India, the Philippines and Thailand) and U.S. Asian
Pacific Islanders, eastern and northern European populations and Puerto Rico.
Hodgkin lymphoma rates varied 15-fold, with rates highest in Italy (21.3) and
lowest in China (1.7). Myeloid leukemia rates varied only about fivefold, with
rates highest in the Philippines and Korea (exceeding 14.0) and lowest in Eastern
Europe (5.9 in Serbia and 5.3 in the Czech Republic) and Uganda (2.7). The
boy/girl average incidence rate ratios were 2.00 or lower. Age-specific patterns
differed among the four hematopoietic malignancies, but were generally consistent
within major categories world-wide, except for non-Hodgkin lymphoma. A systematic
world-wide approach comparing postulated etiologic factors in low- versus high
risk populations may help clarify the etiology of these childhood malignancies.
PMID- 26562743
TI - Cutinase promotes dry esterification of cotton cellulose.
AB - Cutinase from Thermobifida fusca was used to esterify the hydroxyl groups of
cellulose with the fatty acids from triolein. Cutinase and triolein were pre
adsorbed on cotton and the reaction proceeded in a dry state during 48 h at 35
degrees C. The cutinase-catalyzed esterification of the surface of cotton fabric
resulted in the linkage of the oleate groups to the glycoside units of cotton
cellulose. The superficial modification was confirmed by performing ATR-FTIR on
treated cotton samples and by MALDI-TOF analysis of the liquors from the
treatment of the esterified cotton with a crude cellulase mixture. Modified
cotton fabric also showed a significant increase of hydrophobicity. This work
proposes a novel bio-based approach to obtain hydrophobic cotton.
PMID- 26562745
TI - Continuous noninvasive ventilatory support as an alternative to invasive TMV.
PMID- 26562744
TI - Increasing maternal obesity is associated with alterations in both maternal and
neonatal thyroid hormone levels.
AB - OBJECTIVE: Obesity is associated with alterations in thyroid hormone (TH) levels
in obese, pregnant individuals. The maintenance of TH levels throughout gestation
is important for proper foetal development. The aim of this study was to measure
levels of fT3, fT4 and TSH in maternal and matched cord blood serum from normal
weight, overweight and obese gravidae to determine alterations in maternal and
neonatal TH levels by virtue of maternal obesity. DESIGN, SETTING, SUBJECTS,
OUTCOME MEASURES: ELISA was utilized to measure fT3, fT4 and TSH levels from
banked, matched maternal and neonatal (cord blood) serum (N = 205 matched pairs).
Data were stratified according to prepregnancy or first trimester BMI. RESULTS:
Both maternal and neonatal fT3 levels consistently increased with increasing
maternal obesity, and maternal and neonatal fT3 were significantly correlated (r
= 0.422, P < 0.001). Maternal and neonatal fT3 were also significantly associated
with birthweight (beta = 0.155, P = 0.027 and beta = 0.171, P = 0.018,
respectively). Both the maternal and neonatal fT3 to fT4 ratio significantly
increased with increasing maternal obesity. We further found that excess
gestational weight gain was associated with a decrease in maternal fT4 compared
with gravidae who had insufficient gestational weight gain (0.86 +/- 0.17 vs 0.95
+/- 0.22, P < 0.01). CONCLUSION: Maternal obesity is not only associated with
maternal alterations in TH, but with accompanying neonatal changes. Because both
maternal obesity and alterations in TH levels are associated with childhood
obesity, based on these findings and our prior analyses in a nonhuman primate
model, we propose that changes in fT3 levels in the offspring of obese mothers
may be a potential molecular mediator of foetal overgrowth and childhood obesity.
PMID- 26562746
TI - Exacerbated and prolonged inflammation impairs wound healing and increases
scarring.
AB - Altered inflammation in the early stage has long been assumed to affect
subsequent steps of the repair process that could influence proper wound healing
and remodeling. However, the lack of explicit experimental data makes the
connection between dysregulated wound inflammation and poor wound healing
elusive. To bridge this gap, we used the established rabbit ear hypertrophic scar
model for studying the causal effect of dysregulated inflammation. We induced an
exacerbated and prolonged inflammatory state in these wounds with the combination
of trauma-related stimulators of pathogen-associated molecular patterns from heat
killed Pseudomonas aeruginosa and damage-associated molecular patterns from a
dermal homogenate. In stimulated wounds, a heightened and lengthened inflammation
was observed based on quantitative measurements of IL-6 expression, tissue
polymorphonuclear leukocytes infiltration, and tissue myeloperoxidase activity.
Along with the high level of inflammation, wound healing parameters (epithelial
gap and others) at postoperative day 7 and 16 were significantly altered in
stimulated wounds compared to unstimulated controls. By postoperative day 35,
scar elevation of stimulated wounds was higher than that of control wounds (scar
elevation index: 1.90 vs. 1.39, p < 0.01). Moreover, treatment of these inflamed
wounds with Indomethacin (at concentrations of 0.01, 0.1, and 0.4%) reduced scar
elevation but with adverse effects of delayed wound closure and increased
cartilage hypertrophy. In summary, successful establishment of this inflamed
wound model provides a platform to understand these detrimental aspects of
unchecked inflammation and to further test agents that can modulate local
inflammation to improve wound outcomes.
PMID- 26562747
TI - Characterization of Abeta Monomers through the Convergence of Ensemble Properties
among Simulations with Multiple Force Fields.
AB - Amyloid beta (Abeta) monomers represent a base state in the pathways of
aggregation that result in the fibrils and oligomers implicated in the
pathogenesis of Alzheimer's disease (AD). The structural properties of these
intrinsically disordered peptides remain unclear despite extensive experimental
and computational investigations. Further, there are mutations within Abeta that
change the way the peptide aggregates and are known to cause familial AD (FAD).
Here, we analyze the ensembles of different isoforms (Abeta42 and Abeta40) and
mutants (E22Delta, D23N, E22K, E22G, and A2T in Abeta40) of Abeta generated with
all-atom replica exchange molecular dynamics (REMD) simulations on the
MUs/replica time scale. These were run using three different force field/water
model combinations: OPLS-AA/L and TIP3P ("OPLS"), AMBER99sb-ILDN and TIP4P-Ew
("ILDN"), as well as CHARMM22* and TIP3SP ("CHARMM"). Despite fundamental changes
in simulation parameters, we find that the resulting ensembles demonstrate a
strong convergence in structural properties. In particular, antiparallel contacts
between L17-A21 and A30-L34 are prevalent in ensembles of Abeta40, directly
forming beta sheets in the OPLS and ILDN combinations. A21-A30 commonly forms an
interceding region that rarely interacts with the rest of the peptide. Further,
Abeta42 contributes new beta hairpin motifs involving V40-I41 in both OPLS and
ILDN. However, the structural flexibility of the central region and the
electrostatic interactions that characterize it are notably different between the
different conditions. Further, for OPLS, each of the FAD mutations disrupts
central bend character and increases the polymorphism of antiparallel contacts
across the central region. However, the studied mutations in the ILDN set
primarily encourage more global contacts involving the N-terminus and the central
region, and promote the formation of new beta topologies that may seed different
aggregates involved in disease phenotypes. These differences aside, the large
degree of agreement between simulation sets across multiple force fields provides
a generalizable characterization of Abeta that is also consistent with
experimental data and models.
PMID- 26562749
TI - Electrically Tunable van der Waals Interaction in Graphene-Molecule Complex.
AB - van der Waals (vdW) interactions play a central role in the surface-related
physics and chemistry. Tuning of the correlated charge fluctuation in a vdW
complex is a plausible way of modulating the molecules interaction at the atomic
surface. Here, we report the vdW interaction tunability of the graphene-CO2
complex by combining the first-principles calculations with the vdW density
functionals and the time evaluation measurements of CO2 molecules
adsorption/desorption on graphene under an external electric field. The field
dependent charge transfer within the complex unveils the controllable tuning of
CO2 from acceptor to donor. Meanwhile, the configuration of the adsorbed
molecule, the equilibrium distance from graphene and O-C-O bonding angle, is
modified accordingly. The range of electrical tunability is a unique feature for
each type of molecule.
PMID- 26562748
TI - Role of mechanical ventilation in the airborne transmission of infectious agents
in buildings.
AB - Infectious disease outbreaks and epidemics such as those due to SARS, influenza,
measles, tuberculosis, and Middle East respiratory syndrome coronavirus have
raised concern about the airborne transmission of pathogens in indoor
environments. Significant gaps in knowledge still exist regarding the role of
mechanical ventilation in airborne pathogen transmission. This review, prepared
by a multidisciplinary group of researchers, focuses on summarizing the strengths
and limitations of epidemiologic studies that specifically addressed the
association of at least one heating, ventilating and/or air-conditioning (HVAC)
system-related parameter with airborne disease transmission in buildings. The
purpose of this literature review was to assess the quality and quantity of
available data and to identify research needs. This review suggests that there is
a need for well-designed observational and intervention studies in buildings with
better HVAC system characterization and measurements of both airborne exposures
and disease outcomes. Studies should also be designed so that they may be used in
future quantitative meta-analyses.
PMID- 26562750
TI - Free-Energy Barriers and Reaction Mechanisms for the Electrochemical Reduction of
CO on the Cu(100) Surface, Including Multiple Layers of Explicit Solvent at pH 0.
AB - The great interest in the photochemical reduction from CO2 to fuels and chemicals
has focused attention on Cu because of its unique ability to catalyze formation
of carbon-containing fuels and chemicals. A particular goal is to learn how to
modify the Cu catalysts to enhance the production selectivity while reducing the
energy requirements (overpotential). To enable such developments, we report here
the free-energy reaction barriers and mechanistic pathways on the Cu(100)
surface, which produces only CH4 (not C2H4 or CH3OH) in acid (pH 0). We predict a
threshold potential for CH4 formation of -0.52 V, which compares well to
experiments at low pH, -0.45 to -0.50 V. These quantum molecular dynamics
simulations included ~5 layers of explicit water at the water/electrode interface
using enhanced sampling methodology to obtain the free energies. We find that
that chemisorbed hydroxyl-methylene (CH-OH) is the key intermediate determining
the selectivity for methane over methanol.
PMID- 26562751
TI - Development of an Unnatural Amino Acid Incorporation System in the
Actinobacterial Natural Product Producer Streptomyces venezuelae ATCC 15439.
AB - Many Actinobacteria, most notably Streptomyces, produce structurally diverse
bioactive natural products, including ribosomally synthesized peptides, by
multistep enzymatic pathways. The use of site-specific genetic incorporation of
unnatural amino acids to investigate and manipulate the functions of natural
product biosynthetic enzymes, enzyme complexes, and ribosomally derived peptides
in these organisms would have important implications for drug discovery and
development efforts. Here, we have designed, constructed, and optimized unnatural
amino acid systems capable of incorporating p-iodo-l-phenylalanine and p-azido-l
phenylalanine site-specifically into proteins in the model natural product
producer Streptomyces venezuelae ATCC 15439. We observed notable differences in
the fidelity and efficiency of these systems between S. venezuelae and previously
used hosts. Our findings serve as a foundation for using an expanded genetic code
in Streptomyces to address questions related to natural product biosynthesis and
mechanism of action that are relevant to drug discovery and development.
PMID- 26562753
TI - Core muscle characteristics during walking of patients with multiple sclerosis.
AB - The purpose of this study was to investigate core muscle characteristics during
walking in patients with multiple sclerosis (MS). Eight patients (4 men) with
relapsing-remitting MS (aged 44.9 +/- 8.6 yr) and sex-matched controls (37.9 +/-
8.4 yr) walked on a treadmill for 15 min at a self-selected speed. Positron
emission tomography/computed tomography imaging was used to measure core muscle
activity immediately after walking based on glucose uptake. Activity was not
different between the MS and control group for any of the identified muscles (p >
0.28). Within the MS group, side differences in activity were identified in the
lateral flexor group, the external and internal obliques, and the rectus
abdominis (p < 0.05), with the less-affected side being activated more.
Furthermore, greater muscle volume was found on the more-affected side of the
transversus abdominis, quadratus lumborum, and the low-back extensor group (p <
0.03). These muscle characteristics suggest patients with MS utilize compensatory
mechanisms during walking to maintain balance and posture. These strategies
likely result in increased muscle energy cost and early fatigability.
PMID- 26562754
TI - New Challenges.
PMID- 26562752
TI - Control of microtubule organization and dynamics: two ends in the limelight.
AB - Microtubules have fundamental roles in many essential biological processes,
including cell division and intracellular transport. They assemble and
disassemble from their two ends, denoted the plus end and the minus end.
Significant advances have been made in our understanding of microtubule plus-end
tracking proteins (+TIPs) such as end-binding protein 1 (EB1), XMAP215, selected
kinesins and dynein. By contrast, information on microtubule minus-end-targeting
proteins (-TIPs), such as the calmodulin-regulated spectrin-associated proteins
(CAMSAPs) and Patronin, has only recently started to emerge. Here, we review our
current knowledge of factors, including microtubule-targeting agents, that
associate with microtubule ends to control the dynamics and function of
microtubules during the cell cycle and development.
PMID- 26562755
TI - Endurance Exercise Reduces Hepatic Fat Content and Serum Fibroblast Growth Factor
21 Levels in Elderly Men.
AB - CONTEXT: Age-related hepatic fat accumulation increases the risk of
cardiometabolic diseases, and the fibroblast growth factor (FGF) 21-resistant
state caused by fatty liver underlies the pathogenesis of these diseases.
OBJECTIVE: Previous studies suggested that a higher level of cardiorespiratory
fitness was associated with both lower hepatic fat content and serum FGF21
levels; however, the effect of endurance exercise on hepatic fat content and
serum FGF21 concentration has not been studied. Therefore, we aimed to elucidate
whether endurance exercise reduced hepatic fat content and serum FGF21 levels.
DESIGN: This is a randomized crossover trial. SETTING: The study setting was an
institutional practice. PATIENTS: Thirty-three elderly Japanese men participated
in the study. INTERVENTION: The intervention was a 5-week endurance exercise
program comprising three cycle ergometer sessions per week. MAIN OUTCOME
MEASURES: Hepatic fat content was assessed by proton magnetic resonance
spectroscopy, and serum FGF21 level was determined by ELISA. RESULTS: A 5-week
endurance exercise program decreased the hepatic fat content and serum FGF21
levels without weight loss, and the changes were higher in the exercise period
than in the control period (P = .021 and P = .026, respectively). Correlation
analysis demonstrated that only the change in hepatic fat content was
significantly and positively correlated with change in serum FGF21 levels (r =
0.366, P = .006). CONCLUSIONS: A 5-week endurance exercise program decreased
hepatic fat content and serum FGF21 levels without weight loss in elderly men,
and exercise-induced hepatic fat reduction mediated the reduction in serum FGF21
levels. These findings suggest that endurance exercise modulates hepatic fat
content and FGF21 resistance, regardless of obesity status.
PMID- 26562756
TI - Corrigenda.
PMID- 26562757
TI - Corrigenda.
PMID- 26562758
TI - The Relationship between Childhood Obesity, Low Socioeconomic Status, and
Race/Ethnicity: Lessons from Massachusetts.
AB - BACKGROUND: Previous studies have shown race/ethnicity, particularly African
American and/or Hispanic status, to be a predictor of overweight/obese status in
children. However, these studies have failed to adjust for low socioeconomic
status (SES). This study assessed whether race/ethnicity remained an independent
predictor of childhood obesity when accounting for variations in SES (low-income)
among communities in Massachusetts. METHODS: This study was based on 2009
summarized data from 68 Massachusetts school districts with 111,799 students in
grades 1, 4, 7, and 10. We studied the relationship between the rate of
overweight/obese students (mean = 0.32; range = 0.10-0.46), the rate of African
American and Hispanic students (mean = 0.17; range = 0.00-0.90), and the rate of
low-income students (mean = 0.27; range = 0.02-0.87) in two and three dimensions.
The main effect of the race/ethnicity rate, the low-income rate, and their
interaction on the overweight and obese rate was investigated by multiple
regression modeling. RESULTS: Low-income was highly associated with
overweight/obese status (p < 0.0001), whereas the effect of race/ethnicity (p =
0.27) and its interaction (p = 0.23) with low-income were not statistically
significant. For every 1% increase in low-income, there was a 1.17% increase in
overweight/obese status. This pattern was observed across all African American
and Hispanic rates in the communities studied. CONCLUSIONS: Overweight/obese
status was highly prevalent among Massachusetts students, varying from 10% to 46%
across communities. Although there were higher rates of overweight/obese status
among African American and Hispanic students, the relationship disappeared when
controlling for family income. Our findings suggest low SES plays a more
significant role in the nation's childhood obesity epidemic than race/ethnicity.
PMID- 26562759
TI - Long-Range Communication Network in the Type 1B Bone Morphogenetic Protein
Receptor.
AB - Protein-protein interactions are recognized as a fundamental phenomenon that is
intimately associated with biological functions and thus are ideal targets for
developing modulators for regulating biological functions. A challenge is to
identify a site that is situated away from but functionally connected to the
protein-protein interface. We employed bone morphogenetic proteins (BMPs) and
their receptors as a model system to develop a strategy for identifying such a
network of communication. Accordingly, using computational analyses with the
COREX/BEST algorithm, we uncovered an overall pattern connecting various regions
of BMPR-1B ectodomain, including the four conserved residues in the protein
protein interface. In preparation for testing the long-range effects of mutations
of distal residues for future studies, we examined the extent of measurable
perturbation of the four conserved residues by determination of the conformation
and relative affinities of these BMPR-1B mutants for ligands BMP-2, -6, and -7
and GDF-5. Results suggest no significant structural changes in the receptor but
do suggest that the four residues play different roles in defining ligand
affinity and both intra- and intermolecular interactions play a role in defining
ligand affinity. Thus, these results established two primary but necessary goals:
(1) the baseline knowledge of perturbation of conserved interfacial residues for
future reference and (2) the ability of the computational approach to identify
the distal residues connecting to the interfacial residues. The data presented
here provide the foundation for future experiments to identify the effects of
distal residues that affect the specificity and affinity of BMP recognition.
Protein-protein interactions are integral reactions in essentially all biological
activities such as gene regulation and age-related development. Often, diseases
are consequences of the alteration of these intermacromolecular interactions,
which are thus recognized as a legitimate target for developing modulators for
regulating biological functions. One approach is to design ligands that bind to
the protein-protein interface. Another is to identify an allosteric site, an
advantage of which is bypassing the potential challenge in competing for high
affinity interfacial interactions or a specific interface in a superassembly of
multiple macromolecules. However, a challenge of this approach is identifying a
site that is situated away from but functionally connected to the protein-protein
interface.
PMID- 26562760
TI - Panitumumab as a possible cause of hematospermia: what is the mechanism?
PMID- 26562761
TI - Computed Tomographic Airway Morphology in Chronic Obstructive Pulmonary Disease.
Remodeling or Innate Anatomy?
AB - Computed tomographic measures of central airway morphology have been used in
clinical, epidemiologic, and genetic investigation as an inference of the
presence and severity of small-airway disease in smokers. Although several
association studies have brought us to believe that these computed tomographic
measures reflect airway remodeling, a careful review of such data and more recent
evidence may reveal underappreciated complexity to these measures and limitations
that prompt us to question that belief. This Perspective offers a review of
seminal papers and alternative explanations of their data in the light of more
recent evidence. The relationships between airway morphology and lung function
are observed in subjects who never smoked, implying that native airway structure
indeed contributes to lung function; computed tomographic measures of central
airways such as wall area, lumen area, and total bronchial area are smaller in
smokers with chronic obstructive pulmonary disease versus those without chronic
obstructive pulmonary disease; and the airways are smaller as disease severity
increases. The observations suggest that (1) native airway morphology likely
contributes to the relationships between computed tomographic measures of airways
and lung function; and (2) the presence of smaller airways in those with chronic
obstructive pulmonary disease versus those without chronic obstructive pulmonary
disease as well as their decrease with disease severity suggests that smokers
with chronic obstructive pulmonary disease may simply have smaller airways to
begin with, which put them at greater risk for the development of smoking-related
disease.
PMID- 26562762
TI - A deterministic oscillatory model of microtubule growth and shrinkage for
differential actions of short chain fatty acids.
AB - Short chain fatty acids (SCFA), principally acetate, propionate, butyrate and
valerate, are produced in pharmacologically relevant concentrations by the gut
microbiome. Investigations indicate that they exert beneficial effects on colon
epithelia. There is increasing interest in whether different SCFAs have distinct
functions which may be exploited for prevention or treatment of colonic diseases
including colorectal cancer (CRC), inflammatory bowel disease and obesity. Based
on experimental evidence, we hypothesised that odd-chain SCFAs may possess anti
mitotic capabilities in colon cancer cells by disrupting microtubule (MT)
structural integrity via dysregulation of beta-tubulin isotypes. MT dynamic
instability is an essential characteristic of MT cellular activity. We report a
minimal deterministic model that takes a novel approach to explore the
hypothesised pathway by triggering spontaneous oscillations to represent MT
dynamic behaviour. The dynamicity parameters in silico were compared to those
reported in vitro. Simulations of untreated and butyrate (even-chain length)
treated cells reflected MT behaviour in interphase or untreated control cells.
The propionate and valerate (odd-chain length) simulations displayed increased
catastrophe frequencies and longer periods of MT-fibre shrinkage. Their enhanced
dynamicity was dissimilar to that observed in mitotic cells, but parallel to that
induced by MT-destabilisation treatments. Antimicrotubule drugs act through
upward or downward modulation of MT dynamic instability. Our computational
modelling suggests that metabolic engineering of the microbiome may facilitate
managing CRC risk by predicting outcomes of SCFA treatments in combination with
AMDs.
PMID- 26562763
TI - Corneal Nerve Regeneration After Collagen Cross-Linking Treatment of Keratoconus:
A 5-Year Longitudinal Study.
AB - IMPORTANCE: It is unknown whether a neurotrophic deficit or pathologic nerve
morphology persists in keratoconus in the long term after corneal collagen cross
linking (CXL) treatment. Nerve pathology could impact long-term corneal status in
patients with keratoconus. OBJECTIVE: To determine whether CXL treatment of
keratoconus results in normalization of subbasal nerve density and architecture
up to 5 years after treatment. DESIGN, SETTING, AND PARTICIPANTS: Observational
study of 19 patients with early-stage keratoconus indicated for a first CXL
treatment with longitudinal follow-up to 5 years postoperatively (examinations
were performed from 2009 to 2015; analysis was performed from February to May
2015) and 19 age-matched healthy volunteers at a primary care center and a
university hospital ophthalmology department. EXPOSURE: The patients with
keratoconus underwent standard epithelial-off UV-A/riboflavin CXL treatment with
30-minute UV-A exposure at 3 mW/cm2 irradiance. MAIN OUTCOMES AND MEASURES:
Central corneal subbasal nerve density and subbasal nerve architecture by use of
laser-scanning in vivo confocal microscopy; subbasal nerve analysis by 2 masked
observers and by use of a fully automated method; wide-field mosaics of subbasal
nerve architecture by use of an automated method; and ocular surface touch
sensitivity by use of contact esthesiometry. RESULTS: Mean (SD) age of the 19
patients with keratoconus was 27.5 (7.1) years (range, 19-44 years), and minimal
corneal thickness was 428 (36) MUm (range, 372-497 MUm). Compared with the mean
(SD) preoperative subbasal nerve density of 21.0 (4.2) mm/mm2 in healthy corneas,
the mean (SD) preoperative subbasal nerve density of 10.3 (5.6) mm/mm2 in the
corneas of patients with stage 1 or 2 keratoconus was reduced 51% (mean
difference, 10.7 mm/mm2 [95% CI, 6.8-14.6 mm/mm2]; P < .001). After CXL, nerves
continued to regenerate for up to 5 years, but nerve density remained reduced
relative to healthy corneas at final follow-up (mean reduction, 8.5 mm/mm2 [95%
CI, 4.7-12.4 mm/mm2]; P < .001) despite recovery of touch sensitivity to normal
levels by 6 months. Preoperatively, more frequent nerve loops, crossings, and
greater crossing angles were observed in the corneas of patients with keratoconus
compared with healthy corneas. Postoperatively, the frequency of nerve looping
increased, crossings were more frequent, and nerve tortuosity increased. Wide
field mosaics indicated persistent disrupted orientation of the regenerating
subbasal nerves 5 years after CXL. CONCLUSIONS AND RELEVANCE: Keratoconus is
characterized by a neurotrophic deficit and altered nerve morphology that CXL
treatment does not address, despite providing a positive biomechanical effect in
the stroma. Given the widespread use of CXL in the management of patients with
keratoconus, the progression of abnormal innervation after CXL should be
recognized.
PMID- 26562764
TI - Incidence of Suicide in Patients With Head and Neck Cancer.
AB - IMPORTANCE: Suicide rates among patients with cancer in the US are significantly
higher than those of the general population. To our knowledge, large cohort
studies examining suicide rates among patients with head and neck cancer have not
been performed. OBJECTIVE: To identify incidence rate, trends, and risk factors
of suicide in patients with cancer of the head and neck. DESIGN, SETTING, AND
PARTICIPANTS: This was a retrospective cohort study of geographic areas served by
the Surveillance, Epidemiology, and End Results (SEER) program. In total, 350,413
cases of patients with head and neck cancer were recorded within the SEER
registry between 1973 and 2011. Data analyses were performed in 2014. Incidence
data were calculated from the subset of that population that had the cause of
death category coded as "suicide and self-inflicted injury." EXPOSURES: Patients
diagnosed as having a primary cancer of the head and neck region. MAIN OUTCOMES
AND MEASURES: Influence of demographic factors, anatomic site of tumor, disease
stage, and time since diagnosis on risk for suicide. RESULTS: Among 350,413 SEER
registry patients with head and neck cancer, observed for 2,263,376 person-years,
857 suicides were identified with an age-, sex-, and race-adjusted suicide rate
of 37.9/100,000 person-years. In contrast, the US general population suicide rate
was 11.8 per 100,000 person-years. Suicide rates were higher in those treated
with radiation alone (standardized mortality ratio [SMR], 5.12; 95% CI, 3.83
6.41) compared with those treated with surgery alone (SMR, 2.57; 95% CI, 1.66
3.49). The highest suicide risk was seen in patients with cancers of the
hypopharynx (SMR, 13.91; 95% CI, 11.78-16.03) and larynx (SMR, 5.48; 95% CI, 4.14
6.81). CONCLUSIONS AND RELEVANCE: Patients with head and neck cancer have more
than 3 times the incidence of suicide compared with the general US population.
Furthermore, suicide rates were highest among those with cancers of the larynx
and hypopharynx.
PMID- 26562765
TI - Downregulation of the sodium channel Nav1.6 by potential transcriptomic
deregulation may explain sensory deficits in critical illness neuropathy.
AB - AIMS: Sepsis patients and other patients in the critical care settings are at
very high risk of mortality due to the primary illness. However, a fraction of
patients, even after showing initial clinical improvement, deteriorates
relentlessly at later stages. Increasingly, it is being identified that this is
mostly due to dysfunction of the neurological system. MAIN METHODS: We obtained
peripheral nerve biopsies from the sural nerve from ICU patients. Nav1.6
expression was significantly diminished. The expression of cellular membrane
anchoring protein for Nav1.6, ankyrin, remained unaffected, suggesting that
genomic repression may be responsible for the diminished expression of the sodium
channels. We examined the expression of two regulatory transcription factors: (a)
a positive regulator YY1 that binds to the promoter region of sodium channels and
(b) an upstream negative neuronal regulator REST. KEY FINDINGS: REST expression
was significantly elevated, while YY1 expression was diminished. Finally, we also
observed that the cholinergic synthetic enzyme acyltransferase was also
significantly diminished in sensory nerve lysates. Finally, circulating
antibodies was detected in the peripheral blood against all the major sodium
channels Nav1.6, 1.8 and 1.9, which contribute to the development and propagation
of action potentials. SIGNIFICANCE: This may potentially explain why its
dysfunction affects neurological functions across all systems of the body during
critical illness. The underlying mechanism of why the expression of the REST
transcriptional factor is affected in critical illnesses remains our future goals
of investigation.
PMID- 26562766
TI - Characterization of silver nanoparticle-infused tissue adhesive for ophthalmic
use.
AB - In this work, we demonstrate the successful enhancement of breaking strength,
adhesive strength, and antibacterial efficacy of ophthalmic tissue adhesive (2
octyl cyanoacrylate) by doping with silver nanoparticles, and investigate the
effects of nanoparticle size and concentration. Recent work has shown that silver
nanoparticles are a viable antibacterial additive to many compounds, but their
efficacy in tissue adhesives was heretofore untested. Our results indicate that
doping the adhesive with silver nanoparticles reduced bacterial growth by an
order of magnitude or more; nanoparticle size and concentration had minimal
influence in the range tested. Tensile breaking strength of polymerized adhesive
samples and adhesive strength between a T-shaped support and excised porcine
sclera were measured using a universal testing machine according to ASTM
(formerly American Society for Testing and Materials) standard techniques. Both
tests showed significant improvement with the addition of silver nanoparticles.
The enhanced mechanical strength and antibacterial efficacy of the doped adhesive
supports the use of tissue adhesives as a viable supplement or alternative to
sutures.
PMID- 26562767
TI - Case-case studies: an innovative tool in the field of outbreak investigation.
PMID- 26562769
TI - Transient receptor potential (TRP) channels as a therapeutic target for
intervention of respiratory effects and lethality from phosgene.
AB - Phosgene (CG), a toxic inhalation and industrial hazard, causes
bronchoconstriction, vasoconstriction and associated pathological effects that
could be life threatening. Ion channels of the transient receptor potential (TRP)
family have been identified to act as specific chemosensory molecules in the
respiratory tract in the detection, control of adaptive responses and initiation
of detrimental signaling cascades upon exposure to various toxic inhalation
hazards (TIH); their activation due to TIH exposure may result in broncho- and
vasoconstriction. We studied changes in the regulation of intracellular free
Ca(2+) concentration ([Ca(2+)]i) in cultures of human bronchial smooth muscle
cells (BSMC) and human pulmonary microvascular endothelial cells (HPMEC) exposed
to CG (16ppm, 8min), using an air/liquid interface exposure system. CG increased
[Ca(2+)]i (p<0.05) in both cell types, The CG-induced [Ca(2+)]i was blocked
(p<0.05) by two types of TRP channel blockers, SKF-96365, a general TRP channel
blocker, and RR, a general TRPV (vanilloid type) blocker, in both BSMC and HPMEC.
These effects correlate with the in vivo efficacies of these compounds to protect
against lung injury and 24h lethality from whole body CG inhalation exposure in
mice (8-10ppm*20min). Thus the TRP channel mechanism appears to be a potential
target for intervention in CG toxicity.
PMID- 26562768
TI - Amine modification of nonporous silica nanoparticles reduces inflammatory
response following intratracheal instillation in murine lungs.
AB - Amorphous silica nanoparticles (NPs) possess unique material properties that make
them ideal for many different applications. However, the impact of these
materials on human and environmental health needs to be established. We
investigated nonporous silica NPs both bare and modified with amine functional
groups (3-aminopropyltriethoxysilane (APTES)) in order to evaluate the effect of
surface chemistry on biocompatibility. In vitro data showed there to be little to
no cytotoxicity in a human lung cancer epithelial cell line (A549) for bare
silica NPs and amine-functionalized NPs using doses based on both mass
concentration (below 200MUg/mL) and exposed total surface area (below 14m(2)/L).
To assess lung inflammation, C57BL/6 mice were administered bare or amine
functionalized silica NPs via intra-tracheal instillation. Two doses (0.1 and
0.5mg NPs/mouse) were tested using the in vivo model. At the higher dose used,
bare silica NPs elicited a significantly higher inflammatory response, as
evidence by increased neutrophils and total protein in bronchoalveolar lavage
(BAL) fluid compared to amine-functionalized NPs. From this study, we conclude
that functionalization of nonporous silica NPs with APTES molecules reduces
murine lung inflammation and improves the overall biocompatibility of the
nanomaterial.
PMID- 26562771
TI - Toxicokinetics of perfluorooctane sulfonate in rabbits under environmentally
realistic exposure conditions and comparative assessment between mammals and
birds.
AB - This article describes the toxicokinetics of perfluorooctane sulfonate (PFOS) in
rabbits under low repeated dosing, equivalent to 0.085MUg/kg per day, and the
observed differences between rabbits and chickens. The best fitting for both
species was provided by a simple pseudo monocompartmental first-order kinetics
model, regulated by two rates, and accounting for real elimination as well as
binding of PFOS to non-exchangeable structures. Elimination was more rapid in
rabbits, with a pseudo first-order dissipation half-life of 88 days compared to
the 230 days observed for chickens. By contrast, the calculated assimilation
efficiency for rabbits was almost 1, very close to full absorption, significantly
higher than the 0.66 with confidence intervals of 0.64 and 0.68 observed for
chickens. The results confirm a very different kinetics than that observed in
single-dose experiments confirming clear dose-related differences in apparent
elimination rates in rabbits, as previously described for humans and other
mammals; suggesting the role of a capacity-limited saturable process resulting in
different kinetic behaviours for PFOS in high dose versus environmentally
relevant low dose exposure conditions. The model calculations confirmed that the
measured maximum concentrations were still far from the steady state situation,
and that the different kinetics between birds and mammals should may play a
significant role in the biomagnifications assessment and potential exposure for
humans and predators. For the same dose regime, the steady state concentration
was estimated at about 36MUg PFOS/L serum for rabbits, slightly above one-half of
the 65MUg PFOS/L serum estimated for chickens. The toxicokinetic parameters
presented here can be used for higher-tier bioaccumulation estimations of PFOS in
rabbits and chickens as starting point for human health exposure assessments and
as surrogate values for modeling PFOS kinetics in wild mammals and bird in
exposure assessment of predatory species.
PMID- 26562770
TI - Conceptual approaches for treatment of phosgene inhalation-induced lung injury.
AB - Toxic industrial chemicals are used throughout the world to produce everyday
products such as household and commercial cleaners, disinfectants, pesticides,
pharmaceuticals, plastics, paper, and fertilizers. These chemicals are produced,
stored, and transported in large quantities, which poses a threat to the local
civilian population in cases of accidental or intentional release. Several of
these chemicals have no known medical countermeasures for their toxic effects.
Phosgene is a highly toxic industrial chemical which was used as a chemical
warfare agent in WWI. Exposure to phosgene causes latent, non-cardiogenic
pulmonary edema which can result in respiratory failure and death. The mechanisms
of phosgene-induced pulmonary injury are not fully identified, and currently
there is no efficacious countermeasure. Here, we provide a proposed mechanism of
phosgene-induced lung injury based on the literature and from studies conducted
in our lab, as well as provide results from studies designed to evaluate survival
efficacy of potential therapies following whole-body phosgene exposure in mice.
Several therapies were able to significantly increase 24h survival following an
LCt50-70 exposure to phosgene; however, no treatment was able to fully protect
against phosgene-induced mortality. These studies provide evidence that mortality
following phosgene toxicity can be mitigated by neuro- and calcium-regulators,
antioxidants, phosphodiesterase and endothelin receptor antagonists, angiotensin
converting enzymes, and transient receptor potential cation channel inhibitors.
However, because the mechanism of phosgene toxicity is multifaceted, we conclude
that a single therapeutic is unlikely to be sufficient to ameliorate the
multitude of direct and secondary toxic effects caused by phosgene inhalation.
PMID- 26562772
TI - Grouping chemicals for health risk assessment: A text mining-based case study of
polychlorinated biphenyls (PCBs).
AB - As many chemicals act as carcinogens, chemical health risk assessment is
critically important. A notoriously time consuming process, risk assessment could
be greatly supported by classifying chemicals with similar toxicological profiles
so that they can be assessed in groups rather than individually. We have
previously developed a text mining (TM)-based tool that can automatically
identify the mode of action (MOA) of a carcinogen based on the scientific
evidence in literature, and it can measure the MOA similarity between chemicals
on the basis of their literature profiles (Korhonen et al., 2009, 2012). A new
version of the tool (2.0) was recently released and here we apply this tool for
the first time to investigate and identify meaningful groups of chemicals for
risk assessment. We used published literature on polychlorinated biphenyls (PCBs)
persistent, widely spread toxic organic compounds comprising of 209 different
congeners. Although chemically similar, these compounds are heterogeneous in
terms of MOA. We show that our TM tool, when applied to 1648 PubMed abstracts,
produces a MOA profile for a subgroup of dioxin-like PCBs (DL-PCBs) which differs
clearly from that for the rest of PCBs. This suggests that the tool could be used
to effectively identify homogenous groups of chemicals and, when integrated in
real-life risk assessment, could help and significantly improve the efficiency of
the process.
PMID- 26562773
TI - Arterial Decellularized Scaffolds Produced Using an Innovative Automatic System.
AB - There is still an unmet clinical need for small-caliber artery substitution.
Decellularized scaffolds in tissue engineering represent a promising solution. We
have developed an innovative system for the automatic decellularization of blood
vessels, used to process pig arteries. The system is able to automatically drive
a decellularization process in a safe and reliable environment, with complex time
patterns, using up to three different decellularization solutions, and providing
at the same time a physical stress to improve the decellularization. The
decellularization of pig arteries was evaluated by means of histology, DNA
quantification and mechanical testing. Outcomes showed scaffolds with no cellular
or nuclear remnants and a well-preserved tissue structure, corroborated by
mechanical properties similar to native tissue. Decellularized scaffolds were
seeded on the inner layer with human endothelial cells and implanted as iliac
artery replacement in 4 pharmacologically immune-compromised pigs. This chimeric
model was performed as a very preliminary evaluation to investigate the
performances of these scaffolds in vivo, and to investigate the fate of seeded
cells. Recipients were sacrificed on day 14 and day 70 after surgery, and vessels
were found to be patent and with no evidence of thrombi formation. The inner
layer was covered by endothelial cells, and the migration of cells positive for
alpha-smooth-muscle actin was observed from the outer layer towards the tunica
media. Intriguingly, the endothelial cells on explanted vessels were entirely
derived from the host while the seeded cells were lost. In conclusion, this work
presents a novel tool for a safe and controlled production of arterial scaffolds,
with good decellularization outcomes and a good performance in a short-term,
large-animal implantation.
PMID- 26562775
TI - Correction: Base Station Placement Algorithm for Large-Scale LTE Heterogeneous
Networks.
PMID- 26562774
TI - Identification of High-Impact cis-Regulatory Mutations Using Transcription Factor
Specific Random Forest Models.
AB - Cancer genomes contain vast amounts of somatic mutations, many of which are
passenger mutations not involved in oncogenesis. Whereas driver mutations in
protein-coding genes can be distinguished from passenger mutations based on their
recurrence, non-coding mutations are usually not recurrent at the same position.
Therefore, it is still unclear how to identify cis-regulatory driver mutations,
particularly when chromatin data from the same patient is not available, thus
relying only on sequence and expression information. Here we use machine-learning
methods to predict functional regulatory regions using sequence information
alone, and compare the predicted activity of the mutated region with the
reference sequence. This way we define the Predicted Regulatory Impact of a
Mutation in an Enhancer (PRIME). We find that the recently identified driver
mutation in the TAL1 enhancer has a high PRIME score, representing a "gain-of
target" for MYB, whereas the highly recurrent TERT promoter mutation has a
surprisingly low PRIME score. We trained Random Forest models for 45 cancer
related transcription factors, and used these to score variations in the HeLa
genome and somatic mutations across more than five hundred cancer genomes. Each
model predicts only a small fraction of non-coding mutations with a potential
impact on the function of the encompassing regulatory region. Nevertheless, as
these few candidate driver mutations are often linked to gains in chromatin
activity and gene expression, they may contribute to the oncogenic program by
altering the expression levels of specific oncogenes and tumor suppressor genes.
PMID- 26562776
TI - Expression of PprI from Deinococcus radiodurans Improves Lactic Acid Production
and Stress Tolerance in Lactococcus lactis.
AB - PprI is a general switch protein that regulates the expression of certain
proteins involved in pathways of cellular resistance in the extremophilic
bacterium Deinococcus radiodurans. In this study, we transformed pprI into
Lactococcus lactis strain MG1363 using the lactococcal shuttle vector pMG36e and
investigated its effects on the tolerance and lactic acid production of L. lactis
while under stress. PprI was stably expressed in L. lactis as confirmed by
western blot assays. L. lactis expressing PprI exhibited significantly improved
resistance to oxidative stress and high osmotic pressure. This enhanced cellular
tolerance to stressors might be due to the regulation of resistance-related genes
(e.g., recA, recO, sodA, and nah) by pprI. Moreover, transformed L. lactis
demonstrated increased lactic acid production, attributed to enhanced lactate
dehydrogenase activity. These results suggest that pprI can improve the tolerance
of L. lactis to environmental stresses, and this transformed bacterial strain is
a promising candidate for industrial applications of lactic acid production.
PMID- 26562777
TI - Social Network Analysis Reveals the Negative Effects of Attention
Deficit/Hyperactivity Disorder (ADHD) Symptoms on Friend-Based Student Networks.
AB - INTRODUCTION: Social network analysis has emerged as a promising tool in modern
social psychology. This method can be used to examine friend-based social
relationships in terms of network theory, with nodes representing individual
students and ties representing relationships between students (e.g., friendships
and kinships). Using social network analysis, we investigated whether greater
severity of ADHD symptoms is correlated with weaker peer relationships among
elementary school students. METHODS: A total of 562 sixth-graders from two
elementary schools (300 males) provided the names of their best friends (maximum
10 names). Their teachers rated each student's ADHD symptoms using an ADHD rating
scale. RESULTS: The results showed that 10.2% of the students were at high risk
for ADHD. Significant group differences were observed between the high-risk
students and other students in two of the three network parameters (degree,
centrality and closeness) used to assess friendship quality, with the high-risk
group showing significantly lower values of degree and closeness compared to the
other students. Moreover, negative correlations were found between the ADHD
rating and two social network analysis parameters. CONCLUSION: Our findings
suggest that the severity of ADHD symptoms is strongly correlated with the
quality of social and interpersonal relationships in students with ADHD symptoms.
PMID- 26562778
TI - Activity of Herbal Medicines on Plasmodium falciparum Gametocytes: Implications
for Malaria Transmission in Ghana.
AB - BACKGROUND: Malaria still remains a major health issue in Ghana despite the
introduction of Artemisinin-based combination therapy (ACT) coupled with other
preventative measures such as the use of insecticide treated nets (ITNs). The
global quest for eradication of malaria has heightened the interest of
identifying drugs that target the sexual stage of the parasite, referred to as
transmission-blocking drugs. This study aimed at assessing the efficacy and
gametocydal effects of some commonly used herbal malaria products in Ghana.
METHODOLOGY/PRINCIPAL FINDINGS: After identifying herbal anti-malarial products
frequently purchased on the Ghanaian market, ten of them were selected and
lyophilized. In vitro drug sensitivity testing of different concentrations of the
herbal products was carried out on asexual and in vitro generated gametocytes of
the 3D7 strain of Plasmodium falciparum. The efficacies of the products were
assessed by microscopy. Cultures containing low dose of RT also produced the
least number of late stage gametocytes. Two of the herbal products CM and RT
inhibited the growth of late stage gametocytes by > 80% at 100 MUg/ml whilst KG
was the most inhibitory to early stage gametocytes at that same concentration.
However at 1 MUg/ml, only YF significantly inhibited the survival of late stage
gametocytes although at that same concentration YF barely inhibited the survival
of early stage gametocytes. CONCLUSIONS/SIGNIFICANCE: Herbal product RT (Aloe
schweinfurthii, Khaya senegalensis, Piliostigma thonningii and Cassia siamea)
demonstrated properties of a highly efficacious gametocydal product. Low dose of
herbal product RT exhibited the highest gametocydal activity and at 100 MUg/ml,
RT exhibited >80% inhibition of late stage gametocytes. However inhibition of
asexual stage parasite by RT was not optimal. Improving the asexual inhibition of
RT could convert RT into an ideal antimalarial herbal product. We also found that
generally C. sanguinolenta containing herbal products exhibited gametocydal
activity in addition to high asexual efficacy. Herbal products with high
gametocydal activity can help in the fight to reduce malaria transmission.
PMID- 26562779
TI - Cytotoxic and apoptotic effects of leptocarpin, a plant-derived sesquiterpene
lactone, on human cancer cell lines.
AB - Sesquiterpene lactones have attracted much attention in drug research because
they present a series of biological activities such as anticancer, antifungal,
anti-inflammatory, antimicrobial and antioxidant. Leptocarpin (LTC) is a
sesquiterpene lactone isolated from a native Chilean plant, Leptocarpha
rivularis, which has been widely used in traditional medicine by Mapuche people.
Previous work has demonstrated that LTC decreases cell viability of cancer cell
lines. In this contribution, we analyze the mechanism of LTC cytotoxicity on
different cancer cell lines. The results show that in all cases LTC induces an
apoptotic process and inhibition of NF-kappaB. Apoptosis has been confirmed by
observing condensation of chromatin, nuclear fragmentation, release of cytochrome
c into the cytosol, and increasing of caspase-3 activity. It has also been found
that LTC is an effective inhibitor of NF-kappaB, which suggests that leptocarpin
induced cytotoxicity involves in some degree the inhibition of NF-kappaB
signaling pathway. The concentration at which LTC inhibits NF-kappaB activity to
the control level is similar or even lower than that found for parthenolide and
others sesquiterpene lactones. These results indicate that leptocarpine is a very
interesting molecule that could be considered as therapeutic agent for cancer
treatment.
PMID- 26562780
TI - Time Interval from Symptom Onset to Hospital Care in Patients with Acute Heart
Failure: A Report from the Tokyo Cardiac Care Unit Network Emergency Medical
Service Database.
AB - AIMS: There seems to be two distinct patterns in the presentation of acute heart
failure (AHF) patients; early- vs. gradual-onset. However, whether time-dependent
relationship exists in outcomes of patients with AHF remains unclear. METHODS:
The Tokyo Cardiac Care Unit Network Database prospectively collects information
of emergency admissions via EMS service to acute cardiac care facilities from 67
participating hospitals in the Tokyo metropolitan area. Between 2009 and 2011, a
total of 3811 AHF patients were registered. The documentation of symptom onset
time was mandated by the on-site ambulance team. We divided the patients into two
groups according to the median onset-to-hospitalization (OH) time for those
patients (2h); early- (presenting <=2h after symptom onset) vs. gradual-onset
(late) group (>2h). The primary outcome was in-hospital mortality. RESULTS: The
early OH group had more urgent presentation, as demonstrated by a higher systolic
blood pressure (SBP), respiratory rate, and higher incidence of pulmonary
congestion (48.6% vs. 41.6%; P<0.001); whereas medical comorbidities such as
stroke (10.8% vs. 7.9%; P<0.001) and atrial fibrillation (30.0% vs. 26.0%;
P<0.001) were more frequently seen in the late OH group. Overall, 242 (6.5%)
patients died during hospitalization. Notably, a shorter OH time was associated
with a better in-hospital mortality rate (odds ratio, 0.71; 95% confidence
interval, 0.51-0.99; P = 0.043). CONCLUSIONS: Early-onset patients had rather
typical AHF presentations (e.g., higher SBP or pulmonary congestion) but had a
better in-hospital outcome compared to gradual-onset patients.
PMID- 26562781
TI - Insights into the adsorption capacity and breakthrough properties of a synthetic
zeolite against a mixture of various sulfur species at low ppb levels.
AB - The sorptive removal properties of a synthetic A4 zeolite were evaluated against
sulfur dioxide (SO2) and four reference reduced sulfur compounds (RSC: hydrogen
sulfide (H2S), methanethiol (CH3SH), dimethyl sulfide (DMS, (CH3)2S), and
dimethyl disulfide (DMDS, CH3SSCH3). To this end, a sorbent bed of untreated (as
received) A4 zeolite was loaded with gaseous standards at four concentration
levels (10-100 part-per-billion (ppb (v/v)) at four different volumes (0.1, 0.2,
0.5, and 1 L increments) in both increasing (IO: 0.1-1.0 L) and decreasing volume
order (DO: 1.0 to 0.1 L). Morphological properties were characterized by PXRD,
FTIR, and BET analysis. The removal efficiency of SO2 decreased from 100% for all
concentrations at 0.1 L (initial sample volume) to ~82% (100 ppb) or ~96% (10
ppb) at 3.6 L. In contrast, removal efficiency of RSC was near 100% at small
loading volumes but then fell sharply, irrespective of concentration (10-100 ppb)
(e.g., 32% (DMS) to 52% (H2S) at 100 ppb). The adsorption capacity of zeolite, if
expressed in terms of solid-gas partition coefficient (e.g., similar to the
Henry's law constant (mmol kg(-1) Pa(-1))), showed moderate variabilities with
the standard concentration levels and S compound types such as the minimum of
2.03 for CH3SH (at 20 ppb) to the maximum of 13.9 for SO2 (at 10 ppb). It clearly
demonstrated a notable distinction in the removal efficiency of A4 zeolite among
the different S species in a mixture with enhanced removal efficiency of SO2
compared to the RSCs.
PMID- 26562782
TI - Organization of cholinergic, catecholaminergic, serotonergic and orexinergic
nuclei in three strepsirrhine primates: Galago demidoff, Perodicticus potto and
Lemur catta.
AB - The nuclear organization of the cholinergic, catecholaminergic, serotonergic and
orexinergic systems in the brains of three species of strepsirrhine primates is
presented. We aimed to investigate the nuclear complement of these neural systems
in comparison to those of simian primates, megachiropterans and other mammalian
species. The brains were coronally sectioned and immunohistochemically stained
with antibodies against choline acetyltransferase, tyrosine hydroxylase,
serotonin and orexin-A. The nuclei identified were identical among the
strepsirrhine species investigated and identical to previous reports in simian
primates. Moreover, a general similarity to other mammals was found, but specific
differences in the nuclear complement highlighted potential phylogenetic
interrelationships. The central feature of interest was the structure of the
locus coeruleus complex in the primates, where a central compactly packed core
(A6c) of tyrosine hydroxylase immunopositive neurons was surrounded by a shell of
less densely packed (A6d) tyrosine hydroxylase immunopositive neurons. This
combination of compact and diffuse divisions of the locus coeruleus complex is
only found in primates and megachiropterans of all the mammalian species studied
to date. This neural character, along with variances in a range of other neural
characters, supports the phylogenetic grouping of primates with megachiropterans
as a sister group.
PMID- 26562783
TI - Investigation of tyrosine hydroxylase and BDNF in a low-dose rotenone model of
Parkinson's disease.
AB - Tyrosine hydroxylase (TH, the rate limiting-enzyme in catecholamine synthesis) is
regulated acutely via phosphorylation of 3 serine residues--Ser19, 31 and 40, and
chronically via changes in TH protein levels. In this study, we aimed to
investigate how TH is regulated in the brain, gut and adrenal gland as well as
changes in mature brain-derived neurotrophic factor (mBDNF) and proBDNF levels in
a low-dose (2 mg/kg, 5 days/week for 4 weeks) rotenone model of Parkinson's
disease (PD). Rearing behaviour decreased by week 3 in the rotenone group
(p<0.01), with further decreases in rearing by week 4 (p<0.001); however, TH
remained unchanged in the substantia nigra (SN) and striatum; TH levels were also
unaltered in other catecholaminergic cell groups of the brainstem such as A1C1
neurons or locus coeruleus. In the olfactory bulb, TH protein decreased (2.5
fold, p<0.01) while Ser31 phosphorylation increased (1.4-fold, p<0.05) in the
rotenone group. In contrast, TH protein was increased in the adrenal gland (2
fold, p<0.05) and colon (5-fold, p<0.05) of rotenone rats. mBDNF levels were not
changed in the SN but were significantly reduced in plasma and significantly
increased in the colon (2-fold, p<0.01) of rotenone-treated rats. This is the
first study to assess TH and BDNF in the brain and periphery in the rotenone
model before SN/striatum degeneration is evident. Together these results suggest
that low-dose rotenone may have some potential to model the early stages of PD.
PMID- 26562784
TI - Diffusion-Weighted MRI in the Assessment of Early Treatment Response in Patients
with Squamous-Cell Carcinoma of the Head and Neck: Comparison with Morphological
and PET/CT Findings.
AB - OBJECTIVE: To evaluate changes in apparent diffusion coefficients (ADC) as
measured by magnetic resonance imaging (MRI) before and after the treatment of
primary tumors and cervical metastases in patients with squamous-cell carcinoma
(SCC) of the head and neck, and to compare these values to the results of widely
used morphological criteria and [18F]-FDG PET/CT findings. MATERIAL AND METHOD:
This was a longitudinal, prospective, single-center nonrandomized trial involving
patients with head and neck SCC treated with chemotherapy alone or in combination
with radiotherapy. Imaging examinations ([18F]-FDG PET/CT and diffusion-weighted
MRI) were performed on the same day, up to one day prior to the beginning of the
first treatment cycle, and on the 14th day of the first chemotherapy cycle.
Treatment response was evaluated based on the Response Evaluation Criteria in
Solid Tumors (RECIST) and World Health Organization (WHO) morphological criteria,
as well as PET Response Criteria in Solid Tumors (PERCIST) metabolic criteria.
RESULTS: Seventy-five lesions were examined in 23 patients. Pre- and post
treatment comparisons of data pertaining to all target lesions revealed
reductions in tumor size and SUV, as well as increases in ADC values, all of
which were statistically significant. The increase in ADC following treatment was
significantly higher in patients classified as complete responders by both
morphological criteria than that observed in any of the other patient groups of
response. Patients with a complete metabolic response also showed greater
increases in ADC values as compared to the remaining groups. CONCLUSION: The
assessment of tumor response based on diffusion-weighted MRI showed an increase
in the ADC of cervical lesions following treatment, which was corroborated by
morphological and metabolic findings. Associations between changes in ADC values
and treatment response categories using morphologic criteria and [18F]-FDG PET/CT
were only identified in complete responders.
PMID- 26562785
TI - Imaging Sites of Inhibition of Proteolysis in Pathomimetic Human Breast Cancer
Cultures by Light-Activated Ruthenium Compound.
AB - The cysteine protease cathepsin B has been causally linked to progression and
metastasis of breast cancers. We demonstrate inhibition by a dipeptidyl nitrile
inhibitor (compound 1) of cathepsin B activity and also of pericellular
degradation of dye-quenched collagen IV by living breast cancer cells. To image,
localize and quantify collagen IV degradation in real-time we used 3D
pathomimetic breast cancer models designed to mimic the in vivo microenvironment
of breast cancers. We further report the synthesis and characterization of a
caged version of compound 1, [Ru(bpy)2(1)2](BF4)2 (compound 2), which can be
photoactivated with visible light. Upon light activation, compound 2, like
compound 1, inhibited cathepsin B activity and pericellular collagen IV
degradation by the 3D pathomimetic models of living breast cancer cells, without
causing toxicity. We suggest that caged inhibitor 2 is a prototype for cathepsin
B inhibitors that can control both the site and timing of inhibition in cancer.
PMID- 26562786
TI - Analytical Performance Characteristics of the Cepheid GeneXpert Ebola Assay for
the Detection of Ebola Virus.
AB - BACKGROUND: The recently developed Xpert(r) Ebola Assay is a novel nucleic acid
amplification test for simplified detection of Ebola virus (EBOV) in whole blood
and buccal swab samples. The assay targets sequences in two EBOV genes, lowering
the risk for new variants to escape detection in the test. The objective of this
report is to present analytical characteristics of the Xpert(r) Ebola Assay on
whole blood samples. METHODS AND FINDINGS: This study evaluated the assay's
analytical sensitivity, analytical specificity, inclusivity and exclusivity
performance in whole blood specimens. EBOV RNA, inactivated EBOV, and infectious
EBOV were used as targets. The dynamic range of the assay, the inactivation of
virus, and specimen stability were also evaluated. The lower limit of detection
(LoD) for the assay using inactivated virus was estimated to be 73 copies/mL (95%
CI: 51-97 copies/mL). The LoD for infectious virus was estimated to be 1 plaque
forming unit/mL, and for RNA to be 232 copies/mL (95% CI 163-302 copies/mL). The
assay correctly identified five different Ebola viruses, Yambuku-Mayinga, Makona
C07, Yambuku-Ecran, Gabon-Ilembe, and Kikwit-956210, and correctly excluded all
non-EBOV isolates tested. The conditions used by Xpert(r) Ebola for inactivation
of infectious virus reduced EBOV titer by >=6 logs. CONCLUSION: In summary, we
found the Xpert(r) Ebola Assay to have high analytical sensitivity and
specificity for the detection of EBOV in whole blood. It offers ease of use, fast
turnaround time, and remote monitoring. The test has an efficient viral
inactivation protocol, fulfills inclusivity and exclusivity criteria, and has
specimen stability characteristics consistent with the need for decentralized
testing. The simplicity of the assay should enable testing in a wide variety of
laboratory settings, including remote laboratories that are not capable of
performing highly complex nucleic acid amplification tests, and during outbreaks
where time to detection is critical.
PMID- 26562788
TI - Validation of pedestrian throw equations by video footage of real life
pedestrian/vehicle collisions.
AB - A total of 11 real life vehicle/pedestrian collisions in 2012-2014 were captured
by CCTV cameras/car cameras in Hong Kong. Some of the footage was recorded in HD
format at 30 frames per second, enabling accurate determinations of impact speeds
with pedestrians, exact points of impacts and final rest positions of pedestrians
as well as kinematics of the collisions. The calculated impact speeds from
footage analysis were used to validate the published empirical and semi-empirical
pedestrian throw equations. The applicability of these equations to collisions on
sloped carriageways was discussed. The presented results, including 6 forward
projection trajectory cases, enrich the existing limited real life data from
footage analysis for further validation of the published methodologies.
PMID- 26562787
TI - Determinants of Default from Tuberculosis Treatment among Patients with Drug
Susceptible Tuberculosis in Karachi, Pakistan: A Mixed Methods Study.
AB - PURPOSE: Non-adherence to tuberculosis therapy can lead to drug resistance,
prolonged infectiousness, and death; therefore, understanding what causes
treatment default is important. Pakistan has one of the highest burdens of
tuberculosis in the world, yet there have been no qualitative studies in Pakistan
that have specifically examined why default occurs. We conducted a mixed methods
study at a tuberculosis clinic in Karachi to understand why patients with drug
susceptible tuberculosis default from treatment, and to identify factors
associated with default. Patients attending this clinic pick up medications
weekly and undergo family-supported directly observed therapy. METHODS: In-depth
interviews were administered to 21 patients who had defaulted. We also compared
patients who defaulted with those who were cured, had completed, or had failed
treatment in 2013. RESULTS: Qualitative analyses showed the most common reasons
for default were the financial burden of treatment, and medication side effects
and beliefs. The influence of finances on other causes of default was also
prominent, as was concern about the effect of treatment on family members. In
quantitative analysis, of 2120 patients, 301 (14.2%) defaulted. Univariate
analysis found that male gender (OR: 1.34, 95% CI: 1.04-1.71), being 35-59 years
of age (OR: 1.54, 95% CI: 1.14-2.08), or being 60 years of age or older (OR:
1.84, 95% CI: 1.17-2.88) were associated with default. After adjusting for
gender, disease site, and patient category, being 35-59 years of age (aOR: 1.49,
95% CI: 1.10-2.03) or 60 years of age or older (aOR: 1.76, 95% CI: 1.12-2.77)
were associated with default. CONCLUSIONS: In multivariate analysis age was the
only variable associated with default. This lack of identifiable risk factors and
our qualitative findings imply that default is complex and often due to extrinsic
and medication-related factors. More tolerable medications, improved side effect
management, and innovative cost-reduction measures are needed to reduce default
from tuberculosis treatment.
PMID- 26562789
TI - Child homicide victims in forensic autopsy in Taiwan: A 10-year retrospective
study.
AB - BACKGROUND: Child homicides are critical medico-legal issues worldwide. Data on
the characteristics of these cases in Asia are limited. This study aimed to
describe the characteristics of child homicides in Taiwan. METHODS: A
retrospective analysis of forensic autopsy records of child homicide victims
(aged 0-17 years) in Taiwan, during a 10-year period between 2001 and 2010, was
carried out. The age, sex, relationship with the perpetrator(s), injury patterns
of the victims, and causes of death were analyzed. RESULTS: In all, 193 child
homicide autopsies were identified. There were 38 (19.7%), 82 (42.5%), 25
(13.0%), and 48 (24.9%) homicide victims aged under 1, 1-5, 6-12, and 13-17
years, respectively. One-hundred boys (mean age: 8.4+/-7.0) and 93 girls (mean
age: 3.7+/-4.3) were included. A female predominance was noted among the victims
aged 0-5. Blunt force (53.4%) was the most frequent method of injury, followed by
suffocation/strangulation (20.2%) and sharp force (13.0%). Bruise (64.8%) and
brain injury (45.1%) were the most common types of injuries. The cranium (62.2%)
and face (60.6%) were the most frequently injured body regions. The distribution
of fatal injuries varied among victims in different age groups. Neurogenic shock,
asphyxia, and hemorrhagic shocks were most common in victims aged 0-5, 6-12, and
13-17, respectively. The most frequent causes of death included blunt force head
injury (40.4%), suffocation/strangulation (20.2%), and sharp force lung trauma
(7.3%). The type of offenders, injury methods, types of injuries, distribution of
injuries, mechanism of death, and causes of death were significantly different
among victims of different age groups. Eighteen (9.33%) victims displayed no
external evidence of trauma. CONCLUSIONS: The patterns of injuries, mechanism of
death, and causes of death were different among victims of different age groups.
A female predominance was noted among the victims aged 0-5. Complete forensic
autopsy is necessary to identify child homicide. This report will help forensic
examiners and forensic pathologists recognize the signs of child homicide and
serve as a working basis for these professionals.
PMID- 26562790
TI - Cryptosporidium Lactate Dehydrogenase Is Associated with the Parasitophorous
Vacuole Membrane and Is a Potential Target for Developing Therapeutics.
AB - The apicomplexan, Cryptosporidium parvum, possesses a bacterial-type lactate
dehydrogenase (CpLDH). This is considered to be an essential enzyme, as this
parasite lacks the Krebs cycle and cytochrome-based respiration, and mainly-if
not solely, relies on glycolysis to produce ATP. Here, we provide evidence that
in extracellular parasites (e.g., sporozoites and merozoites), CpLDH is localized
in the cytosol. However, it becomes associated with the parasitophorous vacuole
membrane (PVM) during the intracellular developmental stages, suggesting
involvement of the PVM in parasite energy metabolism. We characterized the
biochemical features of CpLDH and observed that, at lower micromolar levels, the
LDH inhibitors gossypol and FX11 could inhibit both CpLDH activity (Ki = 14.8 MUM
and 55.6 MUM, respectively), as well as parasite growth in vitro (IC50 = 11.8 MUM
and 39.5 MUM, respectively). These observations not only reveal a new function
for the poorly understood PVM structure in hosting the intracellular development
of C. parvum, but also suggest LDH as a potential target for developing
therapeutics against this opportunistic pathogen, for which fully effective
treatments are not yet available.
PMID- 26562791
TI - Diverse mechanisms for inflammasome sensing of cytosolic bacteria and bacterial
virulence.
AB - The inflammasomes are emerging cytosolic defenses against bacterial infections.
The inflammasomes converge on inflammatory caspases activation that triggers
pyroptosis, and interleukin-1beta/18 maturation in the case of caspase-1
activation. The inflammasomes not only detect major bacterial molecules but also
sense bacterial virulence activity. Among the canonical caspase-1-activating
inflammasomes, the NAIP subfamily of NLR proteins serves as the receptors for
bacterial flagellin and type III secretion apparatus; Pyrin indirectly senses Rho
modification/inactivation by various bacterial agents; NLRP1 in mice/rats detects
the protease activity of anthrax lethal toxin by serving as its substrate.
Caspase-11 and caspase-4/5 directly recognize bacterial LPS and then become
activated. Inflammasome sensing of cytosolic bacteria employs much more
diversified biochemical mechanisms, compared with Toll-like receptors-mediated
recognition on the membrane.
PMID- 26562792
TI - Effects of pineapple byproduct and canola oil as fat replacers on physicochemical
and sensory qualities of low-fat beef burger.
AB - Pineapple byproduct and canola oil were evaluated as fat replacers on
physicochemical and sensory characteristics of low-fat burgers. Five treatments
were performed: conventional (CN, 20% fat) and four low-fat formulations (10%
fat): control (CT), pineapple byproduct (PA), canola oil (CO), pineapple
byproduct and canola oil (PC). Higher water and fat retention and lower cooking
loss and diameter reduction were found in burgers with byproduct addition. In raw
burgers, byproduct incorporation reduced L*, a*, and C* values, but these
alterations were masked after cooking, leading to products similar to CN. Low-fat
treatments were harder, chewier, and more cohesive than full-fat burgers.
However, in Warner Bratzler shear measurements, PA and PC were as tender as CN.
In QDA, no difference was found between CN and PC. Pineapple byproducts along
with canola oil are promising fat replacers in beef burgers. In order to increase
the feasibility of use of pineapple byproduct in the meat industry, alternative
processes of byproduct preparation should be evaluated in future studies.
PMID- 26562793
TI - Association between Diabetes and Risk of Aortic Dissection: A Case-Control Study
in a Chinese Population.
AB - BACKGROUND: It is well-recognized that diabetes represents a powerful independent
risk factor for cardiovascular diseases. However, very few studies have
investigated the relationship between diabetes and risk of aortic dissection
(AD). AIM: The aim of this case-control study was to evaluate the association
between diabetes and risk of AD in Chinese population. METHODS: A hospital-based
case-control study, consisting of 2160 AD patients and 4320 controls, was
conducted in a Chinese population. Demographic, clinical characteristics and risk
factors were collected. Diabetes rate of patients with overall AD, Stanford type
A AD and type B AD group was compared with that of corresponding matched control
groups. Logistic regression analysis was used to estimate the odds ratios (OR)
and 95% confidence intervals (95% CI) for relationship between diabetes and AD
risk. RESULTS: The prevalence of diabetes was lower in AD cases than that of
control subjects, whether it is the overall AD, type A AD or type B AD group
(4.7% vs. 10.0%, 2.9% vs. 8.8%, 5.9% vs. 10.9%, all P<0.001). Furthermore, in
multivariate model, diabetes was found to be associated with lower AD risk, which
not only applies to the overall AD (OR = 0.2, 95%CI: 0.15-0.26), but also type A
AD (OR = 0.12, 95% CI: 0.07-0.20) and type B AD (OR = 0.25, 95%CI: 0.18-0.33).
CONCLUSIONS: We observed the paradoxical inverse relationship between DM and risk
of AD in the Chinese population. These results suggest diabetes may play a
protective role in the development of AD. However, further studies are needed to
enrich related evidence, especially with regard to underlying mechanisms for
these trends.
PMID- 26562794
TI - Spatial attention and reading ability: ERP correlates of flanker and cue-size
effects in good and poor adult phonological decoders.
AB - To investigate facilitatory and inhibitory processes during selective attention
among adults with good (n=17) and poor (n=14) phonological decoding skills, a
go/nogo flanker task was completed while EEG was recorded. Participants responded
to a middle target letter flanked by compatible or incompatible flankers. The
target was surrounded by a small or large circular cue which was presented
simultaneously or 500ms prior. Poor decoders showed a greater RT cost for
incompatible stimuli preceded by large cues and less RT benefit for compatible
stimuli. Poor decoders also showed reduced modulation of ERPs by cue-size at left
hemisphere posterior sites (N1) and by flanker compatibility at right hemisphere
posterior sites (N1) and frontal sites (N2), consistent with processing
differences in fronto-parietal attention networks. These findings have potential
implications for understanding the relationship between spatial attention and
phonological decoding in dyslexia.
PMID- 26562795
TI - Cue Recognition and Integration - Eye Tracking Evidence of Processing Differences
in Sentence Comprehension in Aphasia.
AB - PURPOSE: We aimed at further elucidating whether aphasic patients' difficulties
in understanding non-canonical sentence structures, such as Passive or Object
Verb-Subject sentences, can be attributed to impaired morphosyntactic cue
recognition, and to problems in integrating competing interpretations. METHODS: A
sentence-picture matching task with canonical and non-canonical spoken sentences
was performed using concurrent eye tracking. Accuracy, reaction time, and eye
tracking data (fixations) of 50 healthy subjects and 12 aphasic patients were
analysed. RESULTS: Patients showed increased error rates and reaction times, as
well as delayed fixation preferences for target pictures in non-canonical
sentences. Patients' fixation patterns differed from healthy controls and
revealed deficits in recognizing and immediately integrating morphosyntactic
cues. CONCLUSION: Our study corroborates the notion that difficulties in
understanding syntactically complex sentences are attributable to a processing
deficit encompassing delayed and therefore impaired recognition and integration
of cues, as well as increased competition between interpretations.
PMID- 26562796
TI - Augmentation of protein-derived acetic acid production by heat-alkaline-induced
changes in protein structure and conformation.
AB - Waste-derived acetic acid (HAc) is an attractive feedstock for microbe-mediated
biofuel production. However, fermentative conversion of HAc from waste-activated
sludge (WAS) has low yield because of the high concentration of proteins not
readily utilizable by microorganisms without prior hydrolysis. We investigated a
combined technology for HAc augmentation during sludge protein fermentation. The
maximal HAc yield increased over two-fold, reaching 0.502 +/- 0.021 g/g protein
(0.36 +/- 0.01 g COD/g COD, ~52% of the total volatile fatty acids) when
synthetic sludge protein was heated at 120 degrees C for 30 min, treated at pH
12 for 24 h, and fermented at pH 9 for 72 h. Comprehensive analysis illustrated
that the heat-alkaline pretreatment significantly induced protein fragmentation,
simultaneously increasing the efficiency of protein biohydrolysis (from 35.5% to
85.9%) by inducing conformational changes indicative of protein unfolding.
Consequently, the native alpha-helix content was decreased from 67.3% to 32.5% by
conversion to an unordered shape, whose content increased from 27.5% to 45.5%;
disulfide bonds were cleaved, whereas the main S-S stretching pattern was altered
from gauche-gauche-gauche to gauche-gauche-trans, consequently causing increased
protein susceptibility to proteolytic hydrolysis (76.3% vs. 47.0%). Economic
analysis indicated that anaerobic fermentation with appropriate heat-alkaline
pretreatment is a cost-effective approach for waste conversion to energy sources
such as HAc.
PMID- 26562797
TI - Nutrient removal, microalgal biomass growth, harvesting and lipid yield in
response to centrate wastewater loadings.
AB - The effects of wastewater, with four different nutrient loadings, from synthetic
centrate on biomass production, nutrient removal, microalgal settling, and lipid
production were investigated in photobioreactors under both batch and,
subsequently, semi-continuous operations. At higher centrate concentration
factors (17.2% and 36.2%), hydraulic retention time and pH adjustments could be
employed to sustain acceptable microalgal growth rates and wastewater treatment.
Similar nutrient removals efficiencies (>95%) and biomass production (0.42-0.51
g/L) were observed for the four centrate concentrations. Both the lipid
productivity and lipid content decreased with increasing nutrient loading in the
wastewater. The results also demonstrated that the mass ratio of carbohydrate to
protein could provide a good indication of microalgal settling performance,
rather than sole component composition or total extracellular polymeric
substances. The highest settling efficiency (42.3 +/- 0.04% after 24 h) and
lowest lipid content (10.2 +/- 1.6%) were observed for the lowest mass ratio of
carbohydrate to protein (0.74 +/- 0.15) noted in the microalgae cultivated in the
wastewater with the highest centrate concentration factor (36.2%).
PMID- 26562798
TI - Utility of Helicobacter spp. associated GFD markers for detecting avian fecal
pollution in natural waters of two continents.
AB - Avian fecal droppings may negatively impact environmental water quality due to
the presence of high concentrations of fecal indicator bacteria (FIB) and
zoonotic pathogens. This study was aimed at evaluating the performance
characteristics and utility of a Helicobacter spp. associated GFD marker by
screening 265 fecal and wastewater samples from a range of avian and non-avian
host groups from two continents (Brisbane, Australia and Florida, USA). The host
prevalence and -specificity of this marker among fecal and wastewater samples
tested from Brisbane were 0.58 and 0.94 (maximum value of 1.00). These values for
the Florida fecal samples were 0.30 (host-prevalence) and 1.00 (host
specificity). The concentrations of the GFD markers in avian and non-avian fecal
nucleic acid samples were measured at a test concentration of 10 ng of nucleic
acid at Brisbane and Florida laboratories using the quantitative PCR (qPCR)
assay. The mean concentrations of the GFD marker in avian fecal nucleic acid
samples (5.2 * 10(3) gene copies) were two orders of magnitude higher than non
avian fecal nucleic acid samples (8.6 * 10(1) gene copies). The utility of this
marker was evaluated by testing water samples from the Brisbane River, Brisbane
and a freshwater creek in Florida. Among the 18 water samples tested from the
Brisbane River, 83% (n = 18) were positive for the GFD marker, and the
concentrations ranged from 6.0 * 10(1)-3.2 * 10(2) gene copies per 100 mL water.
In all, 92% (n = 25) water samples from the freshwater creek in Florida were also
positive for the GFD marker with concentrations ranging from 2.8 * 10(1)-1.3 *
10(4) gene copies per 100 mL water. Based on the results, it can be concluded
that the GFD marker is highly specific to avian host groups, and could be used as
a reliable marker to detect the presence and amount of avian fecal pollution in
environmental waters.
PMID- 26562799
TI - A multi-stable isotope framework to understand eutrophication in aquatic
ecosystems.
AB - Eutrophication is a globally significant challenge facing aquatic ecosystems,
associated with human induced enrichment of these ecosystems with nitrogen (N)
and phosphorus (P). However, the limited availability of inherent labels for P
and N has constrained understanding of the triggers for eutrophication in natural
ecosystems and appropriate targeting of management responses. This paper proposes
and evaluates a new multi-stable isotope framework that offers inherent labels to
track biogeochemical reactions governing both P and N in natural ecosystems. The
framework couples highly novel analysis of the oxygen isotope composition of
phosphate (delta(18)OPO4) with dual isotope analysis of oxygen and N within
nitrate (delta(15)NNO3, delta(18)ONO3) and with stable N isotope analysis in
ammonium (delta(15)NNH4). The River Beult in England is used as an exemplar
system for initial evaluation of this framework. Our data demonstrate the
potential to use stable isotope labels to track the input and downstream fate of
nutrients from point sources, on the basis of isotopic differentiation for both P
and N between river water and waste water treatment work effluent (mean
difference = +1.70/00 for delta(18)OPO4; +15.50/00 for delta(15)NNH4 (under high
flow); +7.30/00 for delta(18)ONO3 and +4.40/00 for delta(15)NNO3). Stable isotope
data reveal nutrient inputs to the river upstream of the waste water treatment
works that are consistent with partially denitrified sewage or livestock sources
of nitrate (delta(15)NNO3 range = +11.5 to +13.10/00) and with agricultural
sources of phosphate (delta(18)OPO4 range = +16.6 to +19.00/00). The importance
of abiotic and metabolic processes for the in-river fate of N and P are also
explored through the stable isotope framework. Microbial uptake of ammonium to
meet metabolic demand for N is suggested by substantial enrichment of
delta(15)NNH4 (by 10.20/00 over a 100 m reach) under summer low flow conditions.
Whilst the concentration of both nitrate and phosphate decreased substantially
along the same reach, the stable isotope composition of these ions did not vary
significantly, indicating that concentration changes are likely driven by abiotic
processes of dilution or sorption. The in-river stable isotope composition and
the concentration of P and N were also largely constant downstream of the waste
water treatment works, indicating that effluent-derived nutrients were not
strongly coupled to metabolism along this in-river transect. Combined with in
situ and laboratory hydrochemical data, we believe that a multi-stable isotope
framework represents a powerful approach for understanding and managing
eutrophication in natural aquatic ecosystems.
PMID- 26562800
TI - Methamphetamine-induced neuronal necrosis: the role of electrographic seizure
discharges.
AB - We have evidence that methamphetamine (METH)-induced neuronal death is
morphologically necrotic, not apoptotic, as is currently believed, and that
electrographic seizures may be responsible. We administered 40mg/kg i.p. to 12
male C57BL/6 mice and monitored EEGs continuously and rectal temperatures every
15min, keeping rectal temperatures <41.0 degrees C. Seven of the 12 mice had
repetitive electrographic seizure discharges (RESDs) and 5 did not. The RESDs
were often not accompanied by behavioral signs of seizures-i.e., they were often
not accompanied by clonic forelimb movements. The 7 mice with RESDs had
acidophilic neurons (the H&E light-microscopic equivalent of necrotic neurons by
ultrastructural examination) in all of 7 brain regions (hippocampal CA1, CA2, CA3
and hilus, amygdala, piriform cortex and entorhinal cortex), the same brain
regions damaged following generalized seizures, 24h after METH administration.
The 5 mice without RESDs had a few acidophilic neurons in 4 of the 7 brain
regions, but those with RESDs had significantly more in 6 of the 7 brain regions.
Maximum rectal temperatures were comparable in mice with and without RESDs, so
that cannot explain the difference between the two groups with respect to METH
induced neuronal death. Our data show that METH-induced neuronal death is
morphologically necrotic, that EEGs must be recorded to detect electrographic
seizure activity in rodents without behavioral evidence of seizures, and that
RESDs may be responsible for METH-induced neuronal death.
PMID- 26562801
TI - Extracellular matrix structure.
AB - Extracellular matrix (ECM) is a non-cellular three-dimensional macromolecular
network composed of collagens, proteoglycans/glycosaminoglycans, elastin,
fibronectin, laminins, and several other glycoproteins. Matrix components bind
each other as well as cell adhesion receptors forming a complex network into
which cells reside in all tissues and organs. Cell surface receptors transduce
signals into cells from ECM, which regulate diverse cellular functions, such as
survival, growth, migration, and differentiation, and are vital for maintaining
normal homeostasis. ECM is a highly dynamic structural network that continuously
undergoes remodeling mediated by several matrix-degrading enzymes during normal
and pathological conditions. Deregulation of ECM composition and structure is
associated with the development and progression of several pathologic conditions.
This article emphasizes in the complex ECM structure as to provide a better
understanding of its dynamic structural and functional multipotency. Where
relevant, the implication of the various families of ECM macromolecules in health
and disease is also presented.
PMID- 26562802
TI - Enrichment and purification of total flavonoids from Cortex Juglandis
Mandshuricae extracts and their suppressive effect on carbon tetrachloride
induced hepatic injury in Mice.
AB - In the present work, a simple and efficient chromatographic separation method was
developed for preparative separation and enrichment of total flavonoids (TFs)
from Cortex Juglandis Mandshuricae (CJM) extracts and then the protective effect
of TFs against CCl4-induced acute liver injury in mice was investigated.
Enrichment and purification of TFs from CJM extracts were studied using six
macroporous resins and HPD-750 resin was selected as the best resin according to
its adsorption and desorption properties. The operating parameters of resin
column chromatography were optimized. Under the optimal conditions, TFs from CJM
with purity larger than 50% were produced and their antioxidant activity was
further evaluated in vitro. The mice were orally administrated with the purified
TFs for seven days and then given CCl4 (0.3%, 10mL/kg i.p.). The results showed
that TFs of CJM significantly attenuated the activities of serum aspartate
transaminase (AST) and alanine transaminase (ALT) compared with model group, as
well as the relative liver weight. Histopathological observation also revealed
that TFs reduced the incidence of liver lesions and improved hepatocyte
abnormality. Moreover, oral administration of TFs significantly enhanced
antioxidant enzyme activities (superoxide dismutase (SOD) and glutathione
peroxidase (GSH-Px)) and decreased the content of malondialdehyde (MDA).
Histopathological and biochemical results elicited that TFs of CJM had
significant hepatoprotective activity comparable to the standard silymarin. This
is the first time to reveal the protective actions of the TFs from CJM against
CCl4-induced liver damage in mice and this natural product should be developed as
a new drug for treatment of live injury in future.
PMID- 26562803
TI - Quantitative subcellular study of doxorubicin in MCF-7/Adr cells using liquid
chromatography-tandem mass spectrometry.
AB - A rapid, sensitive and selective high-performance liquid chromatography-tandem
mass spectrometric (LC-MS/MS) method has been developed and validated for the
determination of doxorubicin in intracellular compartments using glibenclamide as
internal standard (IS). MCF-7/Adr cancer cells (1*10(6)) were incubated with
doxorubicin (8MUg/mL) for 0.5, 1, 2 and 4h and then subjected to sequential
extraction of cytosolic, membrane/organelle, nuclear and cytoskeleton soluble
protein. Samples were extracted using protein precipitation with methanol.
Chromatographic separation was carried out on a C18 column with acetonitrile and
0.1% formic acid water as mobile phase and with gradient elution at a flow rate
of 0.2mL/min. The method was linear over the range of 1-300ng/mL with a lower
limit of quantification (LLOQ) of 1ng/mL. The distribution of doxorubicin in
subcellular components of MCF-7/Adr cancer cells was mainly in nucleic protein
fraction.
PMID- 26562804
TI - Separation of vitexin-4"-O-glucoside and vitexin-2"-O-rhamnoside from hawthorn
leaves extracts using macroporous resins.
AB - Vitexin-4"-O-glucoside and vitexin-2"-O-rhamnoside are the major flavonoids of
hawthorn leaves. In this work, the adsorption and desorption characteristics of
vitexin-4"-O-glucoside and vitexin-2"-O-rhamnoside on seven macroporous resins
were evaluated. Among the tested resins, the HPD-400 resin showed the best
adsorption and desorption capacities. Adsorption isotherms were constructed for
the HPD-400 resin and well fitted to Langmuir and Freundlich models. Dynamic
adsorption and desorption tests were performed on column packed with the HPD-400
resin to optimize the chromatographic parameters. After one run treatment with
the HPD-400 resin, the contents of vitexin-4"-O-glucoside and vitexin-2"-O
rhamnoside in the product were increased 8.44-fold and 8.43-fold from 0.720% and
2.63% to 6.08% and 22.2% with recovery yields of 79.1% and 81.2%, respectively.
These results show that the developed method is a promising basis for the large
scale purification of vitexin-4"-O-glucoside and vitexin-2"-O-rhamnoside from
hawthorn leaves and other plant materials.
PMID- 26562805
TI - A versatile effect of chitosan-silver nanocomposite for surface plasmonic
photocatalytic and antibacterial activity.
AB - Chitosan-silver (CS-Ag) nanocomposite was green synthesised without the aid of
any external chemical-reducing agents. The synthesised nanocomposite was
characterised by UV-visible spectroscopy, X-ray diffraction (XRD), Fourier
transform infrared spectroscopy (FT-IR), thermo-gravimetric analysis (TGA),
differential scanning calorimetry (DSC), field emission scanning electron
microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDX), atomic force
microscopy (AFM), high-resolution transmission electron microscopy (HR-TEM) with
selected area electron diffraction (SAED), X-ray photoelectron spectroscopy
(XPS), and zeta potential analyser. The particle size of the synthesised CS-Ag
nanocomposite was around 20 nm and was found to be thermally stable in comparison
with pure chitosan. The prepared nanocomposite acts as a photocatalyst for dye
decolourisation, with a maximum of 81% of methyl orange (MO) decolourisation that
occurred under visible light irradiation. The kinetics was found to follow pseudo
first-order according to Langmuir-Hinshelwood (L-H) model. The nanocomposite also
proved to be an excellent antimicrobial agent against both Gram-positive and Gram
negative bacteria, possessing a broad spectrum of antimicrobial activity. The
zone of inhibition ranged between 16.000 +/- 1.000 and 19.333 +/- 1.155 (mm),
proving its high susceptibility than chitosan itself. The minimum inhibitory
concentration (MIC) values were from 8 to 64 MUg/mL, whereas the minimum
bactericidal concentration (MBC) values ranged from 16 to 128 MUg/mL, with the
highest antibacterial activity shown against Gram-positive Staphlococcus aureus.
This report illustrates the eco-friendly approach for the reduction of silver
using chitosan as a reducing agent, and its potential to dye decay and microbial
contaminants.
PMID- 26562806
TI - Excitation energy transfer and charge separation are affected in Arabidopsis
thaliana mutants lacking light-harvesting chlorophyll a/b binding protein Lhcb3.
AB - The composition of LHCII trimers as well as excitation energy transfer and charge
separation in grana cores of Arabidopsis thaliana mutant lacking chlorophyll a/b
binding protein Lhcb3 have been investigated and compared to those in wild-type
plants. In grana cores of lhcb3 plants we observed increased amounts of Lhcb1 and
Lhcb2 apoproteins per PSII core. The additional copies of Lhcb1 and Lhcb2 are
expected to substitute for Lhcb3 in LHCII trimers M as well as in the LHCII
"extra" pool, which was found to be modestly enlarged as a result of the absence
of Lhcb3. Time-resolved fluorescence measurements reveal a deceleration of the
fast phase of excitation dynamics in grana cores of the mutant by ~15 ps, whereas
the average fluorescence lifetime is not significantly altered. Monte Carlo
modeling predicts a slowing down of the mean hopping time and an increased
stabilization of the primary charge separation in the mutant. Thus our data imply
that absence of apoprotein Lhcb3 results in detectable differences in excitation
energy transfer and charge separation.
PMID- 26562807
TI - Effect of 5-ALA-mediated photodynamic therapy on mast cell and microvessels
densities present in oral premalignant lesions induced in rats.
AB - Acute inflammatory response after photodynamic therapy is frequently described,
and increase on mast cell degranulation is also present during this process. The
mast cell activation may improve angiogenesis, and this fact has been associated
with progression of oral premalignant lesions (OPL). The aim of this study was to
evaluate whether photodynamic therapy (PDT) increases mast cell density (MCD) and
microvessels density (MVD) in 4-nitroquinoline-1-oxide(4NQO)-induced OPL in rats.
4NQO-induced OPL were treated or not with 5-ALA followed by laser irradiation
(PDT group and 4NQO groups, respectively). Mast cells and CD34+ microvessels were
counted. Both PDT and 4NQO groups had MCD and MVD that were higher than normal
mucosa (p b 0.05). The 4NQO group had the lowest number of non-degranulated MCD
in comparison to experimental periods of PDT (PDT 6 h - p=0.020; 24 h - p=0.016;
48 h - p=0.003; 72 h - p=0.033). Only in the PDT group did MCD and MVD have a
significant correlation (r= 0.6219, p = 0.010). 5-ALA-mediated PDT modified the
MCD and MVD in the induced OPL, leading to degranulation of mast cells and
angiogenesis. A PDT protocol with an efficient eradication of the OPL must be
adopted considering the angiogenesis potential associated with the mast cell
activation after the therapy.
PMID- 26562808
TI - Interactive effects of UV radiation and reduced precipitation on the seasonal
leaf phenolic content/composition and the antioxidant activity of naturally
growing Arbutus unedo plants.
AB - The effects of UV radiation and rainfall reduction on the seasonal leaf phenolic
content/composition and antioxidant activity of the Mediterranean shrub Arbutus
unedo were studied. Naturally growing plants of A. unedo were submitted to 97% UV
B reduction (UVA), 95% UV-A+UV-B reduction (UV0) or near-ambient UV levels (UVBA)
under two precipitation regimes (natural rainfall or 10-30% rainfall reduction).
Total phenol, flavonol and flavanol contents, levels of eight phenols and
antioxidant activity [DPPH(?) radical scavenging and Cu (II) reducing capacity]
were measured in sun-exposed leaves at the end of four consecutive seasons.
Results showed a significant seasonal variation in the leaf content of phenols of
A. unedo, with the lowest values found in spring and the highest in autumn and/or
winter. Leaf ontogenetic development and/or a possible effect of low temperatures
in autumn/winter may account for such findings. Regardless of the watering regime
and the sampling date, plant exposure to UV-B radiation decreased the total
flavanol content of leaves, while it increased the leaf content in quercitrin
(the most abundant quercetin derivative identified). By contrast, UV-A radiation
increased the leaf content of theogallin, a gallic acid derivative. Other
phenolic compounds (two quercetin derivatives, one of them being avicularin, and
one kaempferol derivative, juglanin), as well as the antioxidant activity of the
leaves, showed different responses to UV radiation depending on the precipitation
regime. Surprisingly, reduced rainfall significantly decreased the total amount
of quantified quercetin derivatives as well as the DPPH scavenging activity in A.
unedo leaves. To conclude, present findings indicate that leaves of A. unedo can
be a good source of antioxidants throughout the year, but especially in autumn
and winter.
PMID- 26562809
TI - Kenyan MSM: no longer a hidden population.
PMID- 26562810
TI - Changes in sexual risk behavior among MSM participating in a research cohort in
coastal Kenya.
AB - OBJECTIVE: To describe changes in sexual risk behavior among Kenyan MSM who
received regular risk reduction counseling (RRC). DESIGN: Data were derived from
two cohorts of HIV-1-negative and HIV-1-positive MSM in Kenya. Behavioral data
were collected at enrollment and at monthly or quarterly scheduled follow-up
visits. At each visit, RRC was provided to all men and HIV-1 testing to
seronegative men. METHODS: Random effects logistic and Poisson regression models
with time since study entry as main variable of interest were used to evaluate
changes in number of sex partners and unprotected sex in the past week, and
insertive, receptive, and unprotected anal intercourse in the past 3 months.
Analyses were adjusted for HIV-1-status, calendar year of follow-up, and several
baseline characteristics. Trends over follow-up time were allowed to differ by
HIV-1-status. Men were censored when they seroconverted for HIV. RESULTS: Number
of regular and casual sex partners and unprotected anal intercourse decreased in
both HIV-1-negative and HIV-1-positive men. Unprotected sex with both regular and
casual sex partners decreased more strongly early in follow-up in HIV-1-positive
men than in HIV-1-negative men. Decreases in insertive anal intercourse were
found for HIV-1-positive men only, whereas decreases in receptive anal
intercourse were found for HIV-1-negative men only. CONCLUSION: MSM who were
regularly exposed to RRC showed some reductions in sexual risk behavior, but it
is uncertain if these reductions are sustained over time. As HIV-1 incidences in
Kenyan MSM are very high, RRC should be supported by comprehensive biomedical
interventions.
PMID- 26562811
TI - Targeted screening of at-risk adults for acute HIV-1 infection in sub-Saharan
Africa.
AB - BACKGROUND: Patients with acute HIV-1 infection (AHI) have elevated infectivity,
but cannot be diagnosed using antibody-based testing. Approaches to screen
patients for AHI are urgently needed to enable counselling and treatment to
reduce onward transmission. METHODS: We pooled data from four African studies of
high-risk adults that evaluated symptoms and signs compatible with acute
retroviral syndrome and tested for HIV-1 at each visit. AHI was defined as
detectable plasma viral load or p24 antigen in an HIV-1-antibody-negative patient
who subsequently seroconverted. Using generalized estimating equation, we
identified symptoms, signs, and demographic factors predictive of AHI, adjusting
for study site. We assigned a predictor score to each statistically significant
predictor based on its beta coefficient, summing predictor scores to calculate a
risk score for each participant. We evaluated the performance of this algorithm
overall and at each site. RESULTS: We compared 122 AHI visits with 45 961 visits
by uninfected patients. Younger age (18-29 years), fever, fatigue, body pains,
diarrhoea, sore throat, and genital ulcer disease were independent predictors of
AHI. The overall area under the receiver operating characteristics curve (AUC)
for the algorithm was 0.78, with site-specific AUCs ranging from 0.61 to 0.89. A
risk score of at least 2 would indicate AHI testing for 5-50% of participants,
substantially decreasing the number needing testing. CONCLUSION: Our targeted
risk score algorithm based on seven characteristics reduced the number of
patients needing AHI testing and had good performance overall. We recommend this
risk score algorithm for use by HIV programs in sub-Saharan Africa with capacity
to test high-risk patients for AHI.
PMID- 26562812
TI - Risk of sexual, physical and verbal assaults on men who have sex with men and
female sex workers in coastal Kenya.
AB - BACKGROUND: Violence toward MSM and female sex workers (FSW) is associated with
HIV risk, and its prevention is prioritized in international HIV/AIDS policy.
METHODS: Sociodemographic and behavioural data derived from HIV risk and follow
up cohorts including MSM and FSW in coastal Kenya between 2005 and 2014 was used
to estimate the risk of rape, physical assault and verbal abuse, and to assess
associations between first occurrence of assault with individual and recent
behavioural factors. RESULTS: Incidence of first reported rape was similar for
MSM [3.9, confidence interval (CI) 3.1-5.0 per 100 person-years (pyrs)] and FSW
(4.8 CI 3.5-6.4 per 100 pyrs), P = 0.22. Incidence of first reported physical and
verbal assault was higher for FSW than MSM (21.1 versus 12.9 per 100 pyrs, P =
0.14 and 51.3 versus 30.9 per 100 pyrs, P = 0.03 respectively). Recent alcohol
use was associated with reporting of all forms of assault by MSM [adjusted odds
ratio (AOR) 1.8, CI 0.9-3.5] and FSW (AOR 4.4, CI 1.41-14.0), as was recent sale
of sex for MSM (AOR 2.0, CI 1.1-3.8). Exclusive sex with men, active sex work,
and group sex were also specifically associated with reporting rape for MSM.
Perpetrators of sexual and verbal assault were usually unknown, whilst
perpetrators of physical violence toward FSW were usually regular sexual
partners. CONCLUSION: MSM and FSW experienced a similarly high incidence of
sexual assault in coastal Kenya, in addition to physical and verbal assault.
Current national policies focus heavily on gender-based violence against women
and young girls, but need to be inclusive of MSM and FSW.
PMID- 26562813
TI - Development and pilot testing of an intervention to promote care engagement and
adherence among HIV-positive Kenyan MSM.
AB - OBJECTIVES: In many African settings, MSM are a stigmatized group whose access to
and engagement in HIV care may be challenging. Our aim was to design a targeted,
culturally appropriate intervention to promote care engagement and antiretroviral
therapy (ART) adherence for MSM in coastal Kenya, and describe intervention
safety, feasibility, and acceptability based upon a small pilot study. DESIGN:
Based on qualitative work including in-depth interviews with HIV-positive MSM and
focus groups with providers, we developed a tailored intervention and conducted a
pilot test to refine intervention materials and procedures. METHODS: The
Shikamana intervention combines modified Next-Step Counseling by trained
providers, support from a trained peer navigator, and tailored use of SMS
messaging, phone calls, and discrete pill carriers. Providers, including
counselors and clinicians, work together with peer navigators as a case
management team. RESULTS: Forty HIV-positive MSM aged 19-51 participated in
intervention development and testing. Six counselors, three clinical officers,
and four MSM peers were trained in intervention procedures. Of 10 ART-naive
participants who enrolled in the pilot, eight completed follow-up with no adverse
events reported. One participant was lost to follow-up after 2 months and another
failed to initiate ART despite ongoing counseling. No adverse events were
reported. Staff feedback and exit interviews rated the intervention as feasible
and acceptable. CONCLUSION: This adherence support intervention tailored for
Kenyan MSM was well tolerated, feasible, and acceptable in the pilot phase. A
randomized controlled trial of a scaled-up programme to estimate intervention
efficacy is ongoing.
PMID- 26562815
TI - Resilience among gay/bisexual young men in Western Kenya: psychosocial and sexual
health outcomes.
AB - OBJECTIVE: To explore associations between intrapersonal and interpersonal
factors and both sexual and psychosocial resilient outcomes among young gay,
bisexual, and other men who have sex with men (GBMSM) in Western Kenya. DESIGN:
Cross-sectional observational study. METHODS: Five hundred and eleven GBMSM ages
18-29 were recruited from nine communities in Western Kenya using community-based
mobilization strategies. Participants completed an audio computer-assisted self
interview survey in English or Duhluo. We estimated four three-step hierarchical
linear regression models to examine associations between predictors
(intrapersonal and interpersonal factors) and four resilient outcomes
(psychological well-being, self-esteem, condom use, HIV testing). RESULTS:
Psychosocial well-being model (modeled conversely as depression/anxiety) was
significant (F(13,424) = 106.41, P < 0.001, R = 0.765) with loneliness,
lesbian/gay/bisexual (LGB) difficult process, LGB identity superiority, and
reactions to trauma as predictors. Self-esteem model was significant (F(12,425) =
6.40, P < 0.001, R = 0.153) with known HIV-seropositivity, perceived social
support, internalized homonegativity, and LGB difficult process as predictors.
Condom use model was significant (F(13,379) = 4.30, P < 0.001, R = 0.128) with
perceived social support, self-esteem, and reactions to trauma as predictors. HIV
testing model was significant (F(12,377) = 4.75, P < 0.001, R = 0.131) with
loneliness, LGB identity uncertainty, LGB difficult process, and LGB identity
superiority as predictors. CONCLUSION: This study demonstrates the variety of
ways in which intrapersonal and interpersonal factors are associated with HIV
related resilient outcomes for young GBMSM in Western Kenya. HIV prevention
programs for this population should be developed in collaboration with GBMSM and
include intervention components that promote resilience.
PMID- 26562814
TI - Depression, substance abuse and stigma among men who have sex with men in coastal
Kenya.
AB - OBJECTIVES: Mental health conditions can erode quality of life and interfere with
health-related behaviours such as medication adherence. We aimed to determine the
prevalence and correlates of depression and other psychosocial factors among self
identified men who have sex with men (MSM) in coastal Kenya. DESIGN: A cross
sectional survey. METHODS: Psychosocial and mental health characteristics were
assessed in an audio computer-assisted self-interview (ACASI) survey among 112
MSM participating in two ongoing HIV-positive and HIV-negative cohorts in Mtwapa,
Kenya. RESULTS: One-third of participants met criteria for major depressive
disorder [16.1%, 95% confidence interval (95% CI) 9.8-24.2] or other depressive
disorder (15.2%, 95% CI 9.1-23.2). Alcohol abuse was reported by 45% of
respondents (95% CI 35.2-54.3) and other substance abuse by 59.8% (95% CI 50.1
69.0). Sexual and HIV stigma were moderate, with median scores of 11
[interquartile range (IQR) 6-17, potential range 0-33] and 25 (IQR 23-29,
potential range 11-44), respectively. There were significant bivariate
correlations between alcohol abuse, other substance abuse, sexual stigma and
childhood and recent abuse. In a multivariable linear regression model, sexual
stigma (beta = 0.17, 95% CI 0.03-0.32) and marriage to a woman (beta = -2.41 95%
CI -4.74 to -0.09) were each associated with depression score. CONCLUSION: We
found moderate to high levels of depression and substance abuse, and moderate
levels of sexual stigma. These variables were highly inter-correlated and
associated with an experience of trauma or abuse. Comprehensive mental health
services are needed in this population to address these issues.
PMID- 26562816
TI - Effects of Congenital Visual Deprivation on the Auditory Perception of
Anticipatory Labial Coarticulation.
AB - OBJECTIVE: It has been shown previously that congenitally blind francophone
adults had higher auditory discrimination scores than sighted adults. It is
unclear, however, if, compared to their sighted peers, blind speakers display an
increased ability to detect anticipatory acoustic cues. In this paper, this
ability is investigated in both speaker groups. METHODS: Using the gating
paradigm, /izi/ and /izy/ sequences were truncated to include a variable duration
of the vowel. The sequences were used as stimuli in an auditory identification
test. Seventeen congenitally blind adults (9 females and 8 males) and 17 sighted
controls were recruited. Their task was to identify the second vowel of the
sequence. RESULTS: Results show that all participants could reliably identify the
rounded vowel prior to its acoustic onset, but steeper identification slopes were
found for sighted listeners than for blind listeners. CONCLUSION: The difference
in identification slopes likely suggests that sighted speakers display finer
abilities to perceptually follow the decreasing values of the frication noise,
compared to blind speakers.
PMID- 26562818
TI - Scanning Electron Microscopic Analysis of Biofilm Formation in Explanted Human
Boston Type I Keratoprostheses.
AB - PURPOSE: To describe the morphological distribution of host tissue and microbial
biofilms on the intraocular surfaces of Boston type I keratoprostheses (KPros)
explanted because of corneal melt. METHODS: Retrospective study of scanning
electron microscopy (SEM) images from 4 explanted Boston type I KPros composed of
polymethylmethacrylate and titanium. SEM images of KPro-associated ocular
surfaces were reviewed for the presence of inflammatory cells, microbes, and/or
biofilm formation. One sterile type I KPro was also imaged to serve as a (device
only) control. RESULTS: All 4 KPros were explanted because of culture-negative,
clinically "sterile" donor corneal melt with impending KPro extrusion. In all
cases, the rough, irregular surfaces of the device harbored more adherent corneal
epithelium and stromacytes, inflammatory cells, and bacteria than the smooth,
polished surface of the KPro optic. Two KPros showed not only evidence of prior
bacterial colonization but marked biofilm formation. CONCLUSIONS: SEM images of
explanted KPros explanted for "sterile" corneal melt demonstrated evidence of
biofilm formation despite negative donor corneal cultures and the absence of
clinical suspicion for infection. These results suggest that "sterile" corneal
melt may be due to inflammatory host responses to low microbial burdens as seen
in biofilms and/or released antigens after antibiotic-induced lysis. There was
increased adherence of host tissue cells and microbial biofilms on the
nonpolished surfaces of the KPro. Polishing the intraocular
polymethylmethacrylate and titanium KPro surfaces may decrease microbial adhesion
and biofilm formation in human subjects with KPros, but what impact this will
have on rates of postoperative endophthalmitis is unknown.
PMID- 26562817
TI - Evaluation of Vancomycin Use in Late-Onset Neonatal Sepsis Using the Area Under
the Concentration-Time Curve to the Minimum Inhibitory Concentration >=400
Target.
AB - AIM: To develop a vancomycin population pharmacokinetic model and assess the
probability of attaining a pharmacodynamic target associated with clinical and
microbiological success, a ratio of the 24-hour area under the concentration-time
curve to the minimum inhibitory concentration (MIC) >= 400, in a 5-year clinical
cohort of preterm and term neonatal patients with late-onset staphylococcal
sepsis. METHODS: Therapeutic drug monitoring data were obtained from septic
neonates with >=1 vancomycin concentration(s) from January 2006 to September
2011. Only neonates with a postnatal age of >72 hours and a positive
microbiological culture were included. Population pharmacokinetic model was
developed using nonlinear mixed effects modeling (NONMEM 7.2). Eleven demographic
characteristics were evaluated as covariates. Probabilities of achieving the
pharmacodynamic target were evaluated. RESULTS: A 1-compartment model with first
order elimination was constructed from 528 vancomycin concentrations collected
from 152 preterm and term neonates. Body weight, creatinine clearance (CL), and
postmenstrual age were identified as significant covariates. Estimated vancomycin
CL and volume of distribution for typical neonates were 0.068 +/- 0.03 L.h.kg and
0.62 +/- 0.13 L/kg, respectively. Coagulase-negative staphylococci (85.5%) and
Staphylococcus aureus (14.5%) were the common pathogenic organisms. The
distribution of vancomycin MIC breakpoints was composed of approximately 70% MIC
breakpoint of <=2 mcg/mL. Approximately 54% of neonates, with a median serum
creatinine concentration of 0.44 mg/dL, achieved the target ratio of 24-hour area
under the concentration-time curve to the MIC >= 400 with a median daily dose of
30 (interquartile range, 21-42) mg/kg. CONCLUSIONS: Body weight, creatinine CL,
and postmenstrual age significantly influenced vancomycin CL. The current
vancomycin doses are acceptable at MICs <=1 mcg/mL because they are likely to
achieve the pharmacodynamic target in the majority of neonatal patients, although
higher doses may be considered for more resistant staphylococcal infections.
PMID- 26562819
TI - Early Deep Anterior Lamellar Keratoplasty (DALK) for Acanthamoeba Keratitis
Poorly Responsive to Medical Treatment.
AB - PURPOSE: To evaluate the success (eradication of infection) or failure
(recurrence of infection in the cornea or sclera, or endophthalmitis) of early
therapeutic deep anterior lamellar keratoplasty (DALK) for active Acanthamoeba
keratitis (AK) poorly responsive to medical treatment. METHODS: Retrospective,
noncomparative case series of 11 patients (11 eyes) affected by active AK poorly
responsive to medical treatment who underwent early therapeutic DALK. Surgery was
performed in all cases within 30 to 60 days from the onset of symptoms. Corneal
ulcer depth was less than 300 MUm in all cases. A 3-drug combination
(chlorhexidine gluconate, propamidine isethionate, and neomycin sulfate) was the
antiamoebic protocol used preoperatively and postoperatively. Cannula big bubble
and "layer-by-layer" manual dissection techniques were performed. Eradication of
infection, episodes of rejection, postoperative endothelial cell density, and the
best spectacle-corrected visual acuity were evaluated. Histologic examination of
surgical margins was performed, and margin clearance was assessed. Mean follow-up
was approximately 2 years. RESULTS: Four descemetic DALK and 7 predescemetic DALK
were performed. One small Descemet membrane rupture occurred. Peripheral surgical
margins were free of infection in all cases. Deep surgical margins not free from
infection were found in 2 cases. However, no episode of infection recurrence was
observed. The postoperative average best spectacle-corrected visual acuity was
0.8 (range, 0.6-1.0). No case of rejection was recorded. CONCLUSIONS: Early
therapeutic DALK could be considered a new approach to eradicate active infection
in AK cases poorly responsive to medical treatment, with significant ulcer in the
optical zone. Further studies are needed to validate this new indication for
DALK.
PMID- 26562821
TI - Causes and Timing of Unplanned Early Readmission After Neurosurgery.
AB - BACKGROUND: Reducing the rate of 30-day hospital readmission has become a
priority in healthcare quality improvement policy, with a focus on better
characterizing the reasons for unplanned readmission. In neurosurgery, however,
peer-reviewed analyses describing the patterns of readmission have been limited
in their number and generalizability. OBJECTIVE: To determine the incidence,
timing, and causes of 30-day readmission after neurosurgical procedures. METHODS:
We conducted a retrospective longitudinal study from 2009 to 2012 using the
Statewide Planning And Research Cooperative System, which collects patient-level
details for all admissions and discharges within New York. We identified patients
readmitted within 30 days of initial discharge. The rate of, reasons for, and
time to readmission were determined overall and within 4 subgroups: craniotomies,
cranial surgery without craniotomy, spine, and neuroendovascular procedures.
RESULTS: There were 163 743 index admissions, of whom 14 791 (9.03%) were
readmitted. The most common reasons for unplanned readmission were infection
(29.52%) and medical complications (19.22%). Median time to readmission was 11
days, with hemorrhagic strokes and seizures occurring earlier, and medical
complications and infections occurring later. Readmission rates were highest
among patients undergoing cerebrospinal fluid shunt revision and malignant tumor
resection (15.57%-22.60%). Spinal decompressions, however, accounted for the
largest volume of readmissions (33.13%). CONCLUSION: Many readmissions may be
preventable and occur at predictable time intervals. The causes and timing of
readmission vary significantly across neurosurgical subgroups. Future studies
should focus on detecting specific complications in select cohorts at predefined
time points, which may allow for interventions to lower costs and reduce patient
morbidity. ABBREVIATIONS: CSF, cerebrospinal fluidIQR, interquartile rangeSPARCS,
Statewide Planning And Research Cooperative System.
PMID- 26562823
TI - Early Moderate Hyperoxemia Does Not Predict Outcome After Aneurysmal Subarachnoid
Hemorrhage.
AB - BACKGROUND: Targeting hyperoxemia is common practice in neurocritical care
settings, but the safety of hyperoxemia has been questioned. OBJECTIVE: To
investigate the independent effect of hyperoxemia on outcome in patients with
aneurysmal subarachnoid hemorrhage (SAH). METHODS: We included 432 patients with
aneurysmal SAH on mechanical ventilation for at least 24 hours after intensive
care unit (ICU) admission. Arterial blood gas levels were calculated as time
weighted averages (TWAs) of all blood gas measurements during the first 24 hours
in the ICU. Patients were categorized into 3 TWA-PaO2 bands (low, <97.5 mm Hg;
intermediate, 97.5-150 mm Hg; high, >=150 mm Hg). Outcome measures were
unfavorable outcome at 3 months (Glasgow Outcome Scale score 1-3) and mortality.
Multivariate logistic regression analysis was used to assess the independent
effect of oxygen on outcome. RESULTS: Overall, 28% of patients died, and a total
of 53% had an unfavorable outcome at 3 months. Patients with an unfavorable
outcome had significantly higher TWA-PaO2 levels compared with patients with a
favorable outcome (137 mm Hg vs 118 mm Hg, P < .001). Multivariate analysis
demonstrated no significant association between TWA-PaO2 bands and unfavorable
outcome (with intermediate PaO2 as a reference, odds ratio [OR] for low PaO2
1.05, 95% confidence interval [CI]: 0.52-2.12, P = .89; OR for high PaO2: 1.09,
95% CI: 0.61-1.97, P = .77) or mortality (with intermediate PaO2 as reference,
the OR for low PaO2 was 0.67 (95% CI: 0.30-1.46, P = .31), and the OR for high
PaO2 was 0.73 (95% CI: 0.38-1.40, P = .34). CONCLUSION: Early moderate
hyperoxemia may not increase or decrease the risk of a poor outcome in
mechanically ventilated aneurysmal SAH patients.
PMID- 26562824
TI - Complication-Effectiveness Analysis for Unruptured Intracranial Aneurysm Surgery:
A Prospective Cohort Study.
AB - BACKGROUND: The aim of intervention for unruptured intracranial aneurysms (UIAs)
is safe, effective treatment. OBJECTIVE: To analyze a prospective database for
variables influencing the risk of surgery to produce a risk model adjusting this
risk for effectively treated aneurysms. METHODS: First, we identified variables
to create a model from multiple logistic regression for complications of surgery
leading to a 12-month modified Rankin Scale score >1. Second, we established the
long-term cumulative incidence of freedom from retreatment or rupture (treated
aneurysm) from Kaplan-Meier analysis. Third, we combined these analyses to
establish a model of risk of surgery per effective treatment. RESULTS: One
thousand twelve patients with 1440 UIA underwent 1080 craniotomies. We found that
10.1% (95% confidence interval [CI], 8.4-12.0) of craniotomies resulted in a
complication leading to a modified Rankin Scale score >1 at 12 months. Logistic
regression found age (odds ratio, 1.04; 95% CI, 1.02-1.06), size (odds ratio,
1.12; 95% CI, 1.09-1.15), and posterior circulation location (odds ratio, 2.95;
95% CI, 1.82-4.78) to be significant. Cumulative 10-year risk of retreatment or
rupture was 3.0% (95% CI, 1.3-7.0). The complication-effectiveness model was
derived by dividing the complication risk by the 10-year cumulative freedom from
retreatment or rupture proportion. Risk per effective treatment ranged from 1%
for a 5-mm anterior circulation UIA in a 20-year-old patient to 70% for a giant
posterior circulation UIA in a 70-year-old patient. CONCLUSION: Complication
effectiveness analyses increase the information available with regard to outcome
for the management of UIAs.
PMID- 26562826
TI - Impact of screening mammography on breast cancer mortality.
AB - The degree to which observed reductions in breast cancer mortality is
attributable to screening mammography has become increasingly controversial. We
examined this issue with three fundamentally different approaches: (i) Chronology
-the temporal relationship of the onset of breast cancer mortality decline and
the national implementation of screening mammography; (ii) Magnitude--the degree
to which breast cancer mortality declined relative to the amount (penetration) of
screening mammography; (iii) Analogy--the pattern of mortality rate reductions of
other cancers for which population screening is not conducted. Chronology and
magnitude were assessed with data from Europe and North America, with three
methods applied to magnitude. A comparison of eight countries in Europe and North
America does not demonstrate a correlation between the penetration of national
screening and either the chronology or magnitude of national breast cancer
mortality reduction. In the United States, the magnitude of the mortality decline
is greater in the unscreened, younger women than in the screened population and
regional variation in the rate of breast cancer mortality reduction is not
correlated with screening penetrance, either as self-reported or by the magnitude
of screening-induced increase in early-stage disease. Analogy analysis of United
States data identifies 14 other cancers with a similar distinct onset of
mortality reduction for which screening is not performed. These five lines of
evidence from three different approaches and additional observations discussed do
not support the hypothesis that mammography screening is a primary reason for the
breast cancer mortality reduction in Europe and North America.
PMID- 26562827
TI - Multifocal motor neuropathy: 30 years from onset to diagnosis.
PMID- 26562828
TI - Diagnostic accuracy of thyroid nodule growth to predict malignancy in thyroid
nodules with benign cytology: systematic review and meta-analysis.
AB - BACKGROUND: Thyroid ultrasound to assess for nodular growth is commonly performed
during the follow-up of patients with benign thyroid nodules, with the goal of
identifying patients with a missed diagnosis of thyroid cancer. The objective of
this study was to summarize the evidence regarding the diagnostic accuracy of
growth during follow-up of benign thyroid nodules for thyroid cancer. METHODS: We
searched multiple electronic databases using a search strategy designed by an
experienced medical librarian from inception to March 2015. Eligible studies
included patients with benign thyroid nodules assessed for growth during follow
up and evaluated for thyroid cancer either by surgical histology or a repeat fine
needle aspiration biopsy. Reviewers working independently and in duplicate
recorded data and assessed each study. RESULTS: The seven eligible studies lacked
safeguards against bias and generated results that were imprecise with wide
confidence intervals and inconsistent across studies. This warrants very low
confidence in these results. The odds of nodule growth in patients with thyroid
cancer on histopathology over these odds in patients without thyroid cancer
(diagnostic odds ratio) was 0.58 (95% CI: 0.26-1.3); the diagnostic odds ratio
was 2.2 (95% CI: 0.26-18) when an abnormal repeat biopsy was the reference
standard. CONCLUSION: The body of evidence linking nodule growth with thyroid
cancer during the follow-up of benign nodules warrants very low confidence. In
the era of high-value health care, the commonplace practice of following benign
thyroid nodules with serial ultrasound assessment of growth to diagnose cancer
can be questioned, calling for imminent evaluation.
PMID- 26562829
TI - Indoor inhalation intake fractions of fine particulate matter: review of
influencing factors.
AB - Exposure to fine particulate matter (PM2.5 ) is a major contributor to the global
human disease burden. The indoor environment is of particular importance when
considering the health effects associated with PM2.5 exposures because people
spend the majority of their time indoors and PM2.5 exposures per unit mass
emitted indoors are two to three orders of magnitude larger than exposures to
outdoor emissions. Variability in indoor PM2.5 intake fraction (iFin,total ),
which is defined as the integrated cumulative intake of PM2.5 per unit of
emission, is driven by a combination of building-specific, human-specific, and
pollutant-specific factors. Due to a limited availability of data characterizing
these factors, however, indoor emissions and intake of PM2.5 are not commonly
considered when evaluating the environmental performance of product life cycles.
With the aim of addressing this barrier, a literature review was conducted and
data characterizing factors influencing iFin,total were compiled. In addition to
providing data for the calculation of iFin,total in various indoor environments
and for a range of geographic regions, this paper discusses remaining limitations
to the incorporation of PM2.5 -derived health impacts into life cycle assessments
and makes recommendations regarding future research.
PMID- 26562830
TI - Corrigendum: Combination of mild hypothermia with neuroprotectants has greater
neuroprotective effects during oxygen-glucose deprivation and reoxygenation
mediated neuronal injury.
PMID- 26562832
TI - Correction: Regulation of GABA Equilibrium Potential by mGluRs in Rat Hippocampal
CA1 Neurons.
PMID- 26562831
TI - Calibrating the Human Mutation Rate via Ancestral Recombination Density in
Diploid Genomes.
AB - The human mutation rate is an essential parameter for studying the evolution of
our species, interpreting present-day genetic variation, and understanding the
incidence of genetic disease. Nevertheless, our current estimates of the rate are
uncertain. Most notably, recent approaches based on counting de novo mutations in
family pedigrees have yielded significantly smaller values than classical methods
based on sequence divergence. Here, we propose a new method that uses the fine
scale human recombination map to calibrate the rate of accumulation of mutations.
By comparing local heterozygosity levels in diploid genomes to the genetic
distance scale over which these levels change, we are able to estimate a long
term mutation rate averaged over hundreds or thousands of generations. We infer a
rate of 1.61 +/- 0.13 * 10-8 mutations per base per generation, which falls in
between phylogenetic and pedigree-based estimates, and we suggest possible
mechanisms to reconcile our estimate with previous studies. Our results support
intermediate-age divergences among human populations and between humans and other
great apes.
PMID- 26562833
TI - Genomic Pathogen Typing Using Solid-State Nanopores.
AB - In clinical settings, rapid and accurate characterization of pathogens is
essential for effective treatment of patients; however, subtle genetic changes in
pathogens which elude traditional phenotypic typing may confer dangerous
pathogenic properties such as toxicity, antibiotic resistance, or virulence.
Existing options for molecular typing techniques characterize the critical
genomic changes that distinguish harmful and benign strains, yet the well
established approaches, in particular those that rely on electrophoretic
separation of nucleic acid fragments on a gel, have room for only incremental
future improvements in speed, cost, and complexity. Solid-state nanopores are an
emerging class of single-molecule sensors that can electrophoretically
characterize charged biopolymers, and which offer significant advantages in terms
of sample and reagent requirements, readout speed, parallelization, and
automation. We present here the first application of nanopores for single
molecule molecular typing using length based "fingerprints" of critical sites in
bacterial genomes. This technique is highly adaptable for detection of different
types of genetic variation; as we illustrate using prototypical examples
including Mycobacterium tuberculosis and methicillin-resistant Streptococcus
aureus, the solid-state nanopore diagnostic platform may be used to detect large
insertions or deletions, small insertions or deletions, and even single
nucleotide variations in bacterial DNA. We further show that Bayesian
classification of test samples can provide highly confident pathogen typing
results based on only a few tens of independent single-molecule events, making
this method extremely sensitive and statistically robust.
PMID- 26562834
TI - Histological and Ultrastructural Studies on the Conjunctiva of the Barred Owl
(Strix varia).
AB - This report is the first characterization of the histology and ultrastructure of
the barred owl conjunctiva. The inferior eyelid was dominated by a large disk
shaped plate covered by a non-keratinized stratified squamous or cuboidal
epithelium of variable thickness. The apical surface of the plate epithelium
varied from flat to long microvilli or even short cytoplasmic extensions similar
to those seen in the third eyelid. All specimens had a few goblet cells filled
with mucous secretory granules in the plate region. The underlying connective
tissue was a dense fibroelastic stroma. Eosinophils were surprisingly common in
the epithelial layer and underlying connective tissue in the plate and more
distal orbital mucosal region. The orbital mucosa contained goblet cells with
heterogeneous glycosylation patterns. The leading edge and marginal plait of the
third eyelid are designed to collect fluid and particulate matter as they sweep
across the surface of the eye. The palpebral conjunctival surface of the third
eyelid was covered by an approximately five-cell-deep stratified squamous
epithelium without goblet cells. The bulbar surface of the third eyelid was a
bilayer of epithelial cells whose superficial cells have elaborate cytoplasmic
tapering extensions reaching out 25 MUm. Narrow cytofilia radiated outwards up to
an additional 15-20 MUm from the cytoplasmic extensions. Lectin labeling
demonstrated heterogeneous glycosylation of the apical membrane specializations
but only small amounts of glycoprotein-filled secretory granules in the third
eyelid.
PMID- 26562835
TI - Prolonged Subdural Infusion of Kynurenic Acid Is Associated with Dose-Dependent
Myelin Damage in the Rat Spinal Cord.
AB - BACKGROUND: Kynurenic acid (KYNA) is the end stage metabolite of tryptophan
produced mainly by astrocytes in the central nervous system (CNS). It has
neuroprotective activities but can be elevated in the neuropsychiatric disorders.
Toxic effects of KYNA in the CNS are unknown. The aim of this study was to assess
the effect of the subdural KYNA infusion on the spinal cord in adult rats.
METHODS: A total of 42 healthy adult rats were randomly assigned into six groups
and were infused for 7 days with PBS (control) or 0.0002 pmol/min, 0.01 nmol/min,
0.1 nmol/min, 1 nmol/min, and 10 nmol/min of KYNA per 7 days. The effect of KYNA
on spinal cord was determined using histological and electron microscopy
examination. Myelin oligodendrocyte glycoprotein (MOG) was measured in the blood
serum to assess a degree of myelin damage. RESULT: In all rats continuous long
lasting subdural KYNA infusion was associated with myelin damage and myelin loss
that was increasingly widespread in a dose-depended fashion in peripheral, sub
pial areas. Damage to myelin sheaths was uniquely related to the separation of
lamellae at the intraperiod line. The damaged myelin sheaths and areas with
complete loss of myelin were associated with limited loss of scattered axons
while vast majority of axons in affected areas were morphologically intact. The
myelin loss-causing effect of KYNA occurred with no necrosis of oligodendrocytes,
with locally severe astrogliosis and no cellular inflammatory response.
Additionally, subdural KYNA infusion increased blood MOG concentration. Moreover,
the rats infused with the highest doses of KYNA (1 and 10 nmol/min) demonstrated
adverse neurological signs including weakness and quadriplegia. CONCLUSIONS: We
suggest, that subdural infusion of high dose of KYNA can be used as an
experimental tool for the study of mechanisms of myelin damage and regeneration.
On the other hand, the administration of low, physiologically relevant doses of
KYNA may help to discover the role of KYNA in control of physiological
myelination process.
PMID- 26562837
TI - Prognostic Role of Adjuvant Chemotherapy in Node-Negative (N0), Triple-Negative
(TN), Medullary Breast Cancer (MBC) in the Korean Population.
AB - BACKGROUND: Despite the favorable prognosis for medullary breast cancer (MBC),
the guidelines for the use of adjuvant chemotherapy for MBC have not been clearly
established. This study investigated the prognostic role of adjuvant chemotherapy
in Korean patients with node-negative (N0), triple-negative (TN) MBC patients.
METHODS: We included data from 252 patients with N0 TN MBC, obtained from the
Korean Breast Cancer Registry database. Patients were categorized as those who
did not undergo adjuvant chemotherapy (group I) or those who did (group II).
Clinicopathological characteristics, breast cancer-specific survival (BCSS), and
overall survival (OS) were compared between the groups. In addition, a subgroup
analysis for survival based on tumor size was conducted. RESULTS: A total of 252
N0 TN MBC patients with tumor sizes >1 cm who were diagnosed between April 1997
and March 2011 were enrolled. The median age was 44.95 years (range, 25-72
years), and the median follow-up period was 93.94 months (range, 23-195 months).
Overall, the BCSS and OS in group II (97.3% and 97.3%, respectively) were
significantly better compared with those in group I (89.2% and 86.2%,
respectively). In the subgroup analysis, in patients with tumors >2 cm in size,
those in group II had significant better BCSS and OS (97.5% and 97.5%,
respectively) compared with those in group I (78.3% and 73.9%, respectively). In
contrast in those with tumors 1-2 cm in size, there were no significant
differences in BCSS and OS between the groups (both 97.1% for group I, and 95.2%
and 92.9%, respectively for group II). Multivariate analysis revealed that
adjuvant chemotherapy significantly improved BCSS (P = 0.009) and OS (P = 0.007),
but only for patients with larger tumors (>2 cm). CONCLUSIONS: In patients with
N0 TN MBC, adjuvant chemotherapy had a significant clinical survival benefit, but
only in those with tumors >2 cm.
PMID- 26562836
TI - Synthetic ACTH in High Risk Patients with Idiopathic Membranous Nephropathy: A
Prospective, Open Label Cohort Study.
AB - New therapeutic agents are warranted in idiopathic membranous nephropathy.
Synthetic ACTH may be advantageous with reported remission rates up to 85% and
few side effects. We conducted a prospective open label cohort study from 2008
till 2010 (NCT00694863). We prospectively selected patients with idiopathic
membranous nephropathy and high risk for progression (defined as betaeta-2
microglobulin (beta2m) excretion of >500 ng/min). For comparison, we selected
matched historical controls treated with cyclophosphamide. The prospectively
selected patients received intramuscular injections of synthetic ACTH during 9
months (maximal dose 1 mg twice a week). The primary endpoints concerned the
feasibility and incidence of remissions as a primary event. Secondary endpoints
included side effects of treatment and the incidence of remissions and relapses
at long-term follow-up. Twenty patients (15 men) were included (age 54+/-14
years, serum creatinine 104 MUmol/l [IQR 90-113], urine protein:creatinine ratio
8.7 g/10 mmol creatinine [IQR 4.3-11.1]). Seventeen patients (85%) completed
treatment. 97% of injections were administered correctly. Cumulative remission
rate was 55% (complete remission in 4 patients, partial remission 7 patients). In
a group of historical controls treated with cyclophosphamide and steroids, 19 of
20 patients (95%) developed a remission (complete remission in 13 patients,
partial remission in 6 patients) (p<0.01). The main limitation of our study is
its small size and the use of a historical control group. We show that treatment
with intramuscular injections of synthetic ACTH is feasible. Our data suggest
that synthetic ACTH is less effective than cyclophosphamide in inducing a
remission in high risk patients with idiopathic membranous nephropathy. The use
of synthetic ACTH was also associated with many adverse events. Therefore, we
advise against synthetic ACTH as standard treatment in membranous nephropathy.
PMID- 26562838
TI - Candida albicans Targets a Lipid Raft/Dectin-1 Platform to Enter Human Monocytes
and Induce Antigen Specific T Cell Responses.
AB - Several pathogens have been described to enter host cells via cholesterol
enriched membrane lipid raft microdomains. We found that disruption of lipid
rafts by the cholesterol-extracting agent methyl-beta-cyclodextrin or by the
cholesterol-binding antifungal drug Amphotericin B strongly impairs the uptake of
the fungal pathogen Candida albicans by human monocytes, suggesting a role of
raft microdomains in the phagocytosis of the fungus. Time lapse confocal imaging
indicated that Dectin-1, the C-type lectin receptor that recognizes Candida
albicans cell wall-associated beta-glucan, is recruited to lipid rafts upon
Candida albicans uptake by monocytes, supporting the notion that lipid rafts act
as an entry platform. Interestingly disruption of lipid raft integrity and
interference with fungus uptake do not alter cytokine production by monocytes in
response to Candida albicans but drastically dampen fungus specific T cell
response. In conclusion, these data suggest that monocyte lipid rafts play a
crucial role in the innate and adaptive immune responses to Candida albicans in
humans and highlight a new and unexpected immunomodulatory function of the
antifungal drug Amphotericin B.
PMID- 26562839
TI - The Influence of Chronic Ego Depletion on Goal Adherence: An Experience Sampling
Study.
AB - Although ego depletion effects have been widely observed in experiments in which
participants perform consecutive self-control tasks, the process of ego depletion
remains poorly understood. Using the strength model of self-control, we
hypothesized that chronic ego depletion adversely affects goal adherence and that
mental effort and motivation are involved in the process of ego depletion. In
this study, 203 students reported their daily performance, mental effort, and
motivation with respect to goal directed behavior across a 3-week time period.
People with high levels of chronic ego depletion were less successful in goal
adherence than those with less chronic ego depletion. Although daily effort
devoted to goal adherence increased with chronic ego depletion, motivation to
adhere to goals was not affected. Participants with high levels of chronic ego
depletion showed a stronger positive association between mental effort and
performance, but chronic ego depletion did not play a regulatory role in the
effect of motivation on performance. Chronic ego depletion increased the
likelihood of behavior regulation failure, suggesting that it is difficult for
people in an ego-depletion state to adhere to goals. We integrate our results
with the findings of previous studies and discuss possible theoretical
implications.
PMID- 26562840
TI - Processing Demands Impact 3-Year-Olds' Performance in a Spontaneous-Response
Task: New Evidence for the Processing-Load Account of Early False-Belief
Understanding.
AB - Prior to age four, children succeed in non-elicited-response false-belief tasks
but fail elicited-response false-belief tasks. To explain this discrepancy, the
processing-load account argues that the capacity to represent beliefs emerges in
infancy, as indicated by early success on non-elicited-response tasks, but that
children's ability to demonstrate this capacity depends on the processing demands
of the task and children's processing skills. When processing demands exceed
young children's processing abilities, such as in standard elicited-response
tasks, children fail despite their capacity to represent beliefs. Support for
this account comes from recent evidence that reducing processing demands improves
young children's performance: when demands are sufficiently reduced, 2.5-year
olds succeed in elicited-response tasks. Here we sought complementary evidence
for the processing-load account by examining whether increasing processing
demands impeded children's performance in a non-elicited-response task. 3-year
olds were tested in a preferential-looking task in which they heard a change-of
location false-belief story accompanied by a picture book; across children, we
manipulated the amount of linguistic ambiguity in the story. The final page of
the book showed two images: one that was consistent with the main character's
false belief and one that was consistent with reality. When the story was
relatively unambiguous, children looked reliably longer at the false-belief
consistent image, successfully demonstrating their false-belief understanding.
When the story was ambiguous, however, this undermined children's performance:
looking times to the belief-consistent image were correlated with verbal ability,
and only children with verbal skills in the upper quartile of the sample
demonstrated a significant preference for the belief-consistent image. These
results support the processing-load account by demonstrating that regardless of
whether a task involves an elicited response, children's performance depends on
the processing demands of the task and their processing skills. These findings
also have implications for alternative, deflationary accounts of early false
belief understanding.
PMID- 26562842
TI - Identification of microRNAs in Macaca fascicularis (Cynomolgus Monkey) by
Homology Search and Experimental Validation by Small RNA-Seq and RT-qPCR Using
Kidney Cortex Tissues.
AB - MicroRNAs (miRNAs) present in tissues and biofluids are emerging as sensitive and
specific safety biomarkers. MiRNAs have not been thoroughly described in M.
fascicularis, an animal model used in pharmaceutical industry especially in drug
safety evaluation. Here we investigated the miRNAs in M. fascicularis. For Macaca
mulatta, a closely related species of M. fascicularis, 619 stem-loop precursor
miRNAs (pre-miRNAs) and 914 mature miRNAs are available in miRBase version 21.
Using M. mulatta miRNAs as a reference list and homology search tools, we
identified 604 pre-miRNAs and 913 mature miRNAs in the genome of M. fascicularis.
In order to validate the miRNAs identified by homology search we attempted to
sequence miRNAs expressed in kidney cortex from M. fascicularis. MiRNAs expressed
in kidney cortex may indeed be released in urine upon kidney cortex damage and be
potentially used to monitor drug induced kidney injury. Hence small RNA
sequencing libraries were prepared using kidney cortex tissues obtained from
three naive M. fascicularis and sequenced. Analysis of sequencing data indicated
that 432 out of 913 mature miRNAs were expressed in kidney cortex tissues.
Assigning these 432 miRNAs to pre-miRNAs revealed that 273 were expressed from
both the -5p and -3p arms of 150 pre-miRNAs and 159 miRNAs expressed from either
the -5p or -3p arm of 176 pre-miRNAs. Mapping sequencing reads to pre-miRNAs also
facilitated the detection of twenty-two new miRNAs. To substantiate miRNAs
identified by small RNA sequencing, 313 miRNAs were examined by RT-qPCR.
Expression of 262 miRNAs in kidney cortex tissues ware confirmed by TaqMan
microRNA RT-qPCR assays. Analysis of kidney cortex miRNA targeted genes suggested
that they play important role in kidney development and function. Data presented
in this study may serve as a valuable resource to assess the renal safety
biomarker potential of miRNAs in Cynomolgus monkeys.
PMID- 26562841
TI - Diversity of Mycobacterium tuberculosis across Evolutionary Scales.
AB - Tuberculosis (TB) is a global public health emergency. Increasingly drug
resistant strains of Mycobacterium tuberculosis (M.tb) continue to emerge and
spread, highlighting adaptability of this pathogen. Most studies of M.tb
evolution have relied on 'between-host' samples, in which each person with TB is
represented by a single M.tb isolate. However, individuals with TB commonly
harbor populations of M.tb numbering in the billions. Here, we use analyses of
M.tb genomic data from within and between hosts to gain insight into influences
shaping genetic diversity of this pathogen. We find that the amount of M.tb
genetic diversity harbored by individuals with TB can vary dramatically, likely
as a function of disease severity. Surprisingly, we did not find an appreciable
impact of TB treatment on M.tb diversity. In examining genomic data from M.tb
samples within and between hosts with TB, we find that genes involved in the
regulation, synthesis, and transportation of immunomodulatory cell envelope
lipids appear repeatedly in the extremes of various statistical measures of
diversity. Many of these genes have been identified as possible targets of
selection in other studies employing different methods and data sets. Taken
together, these observations suggest that M.tb cell envelope lipids are targets
of selection within hosts. Many of these lipids are specific to pathogenic
mycobacteria and, in some cases, human-pathogenic mycobacteria. We speculate that
rapid adaptation of cell envelope lipids is facilitated by functional redundancy,
flexibility in their metabolism, and their roles mediating interactions with the
host.
PMID- 26562843
TI - Advanced Glycation End Products Impair Voltage-Gated K+ Channels-Mediated
Coronary Vasodilation in Diabetic Rats.
AB - BACKGROUND: We have previously reported that high glucose impairs coronary
vasodilation by reducing voltage-gated K+ (Kv) channel activity. However, the
underlying mechanisms remain unknown. Advanced glycation end products (AGEs) are
potent factors that contribute to the development of diabetic vasculopathy. The
aim of this study was to investigate the role of AGEs in high glucose-induced
impairment of Kv channels-mediated coronary vasodilation. METHODS: Patch-clamp
recording and molecular biological techniques were used to assess the function
and expression of Kv channels. Vasodilation of isolated rat small coronary
arteries was measured using a pressurized myograph. Treatment of isolated
coronary vascular smooth muscle cells (VSMCs) and streptozotocin-induced diabetic
rats with aminoguanidine, the chemical inhibitor of AGEs formation, was performed
to determine the contribution of AGEs. RESULTS: Incubation of VSMCs with high
glucose reduced Kv current density by 60.4 +/- 4.8%, and decreased expression of
Kv1.2 and Kv1.5 both at the gene and protein level, whereas inhibiting AGEs
formation or blocking AGEs interacting with their receptors prevented high
glucose-induced impairment of Kv channels. In addition, diabetic rats manifested
reduced Kv channels-mediated coronary dilation (9.3 +/- 1.4% vs. 36.9 +/- 1.4%, P
< 0.05), which was partly corrected by the treatment with aminoguanidine (24.4 +/
2.2% vs. 9.3 +/- 1.4%, P < 0.05). CONCLUSIONS: Excessive formation of AGEs
impairs Kv channels in VSMCs, then leading to attenuation of Kv channels-mediated
coronary vasodilation.
PMID- 26562845
TI - Dramatic increase in preexposure prophylaxis use among MSM in Washington state.
AB - OBJECTIVE: HIV preexposure prophylaxis (PrEP) is efficacious, but uptake has been
slow. In Washington State, most insurance plans, including Medicaid, pay for
PrEP, and the state supports a PrEP drug assistance program. We assessed trends
in PrEP awareness and use among MSM in Washington. DESIGN AND SETTING: Serial
cross-sectional survey conducted annually at the Seattle Pride Parade between
2009 and 2015. METHODS: In a convenience sample of MSM who reside in Washington
State and deny ever testing HIV positive (n = 2168), we evaluated the association
between calendar year and self-report of PrEP uptake and awareness using
descriptive statistics and multivariable relative risk and logistic regression.
Regression models included HIV risk and demographic covariates. RESULTS: In 2015,
23% [95% confidence interval (CI): 16%, 31%] of high-risk MSM reported currently
taking PrEP. The percentage of high-risk MSM who reported ever taking PrEP
increased from 5% in 2012 to 31% in 2015. PrEP use among lower-risk MSM was low
and stable, between 1 and 3% in 2012-2015. In multivariable analyses, PrEP use
was associated with later calendar years (2015 vs. 2012: adjusted relative risk =
2.29, 95% CI: 1.16, 4.52) and elevated HIV risk (adjusted relative risk = 2.92,
95% CI: 2.00, 4.25). The percentage of high and lower-risk MSM who had heard of
PrEP increased from 13 to 86% and from 29 to 58%, respectively. CONCLUSION: PrEP
awareness is high and the use has rapidly increased over the last year among MSM
in Seattle, Washington, USA. These findings demonstrate that high levels of PrEP
use can be achieved among MSM at high-risk for HIV infection.
PMID- 26562846
TI - The Effect of Loudness Variation on Velopharyngeal Function in Children with
22q11.2 Deletion Syndrome: A Pilot Study.
AB - OBJECTIVE: Children with 22q11.2 deletion syndrome (22qDS) often require surgical
intervention to treat velopharyngeal dysfunction (VPD). Although some studies
have documented improved velopharyngeal (VP) closure under increased speaking
effort, currently no studies have examined the effect of similar behavioral
speech modifications on VP closure in children with 22qDS. The purpose of this
pilot study was to explore the effect of loudness on VP closure during speech in
children with 22qDS and persisting VPD. PATIENTS AND METHODS: Four children with
22qDS, posterior pharyngeal flap, and persisting mild VPD underwent pressure-flow
testing while repeating words at habitual and increased loudness levels. Using a
single-subject A-B design, descriptive statistics and graphical measures were
used to examine differences in VP orifice area (VPA) and timing of closure in the
habitual versus loud condition. RESULTS: Results were mixed. Median VPA decreased
during some stimuli for 3 participants, but increased for 1 subject when speaking
louder. Median duration of nasal airflow decreased for 3 participants in the loud
condition. CONCLUSION: This study presents preliminary aerodynamic data regarding
the plasticity of VP physiology in the 22qDS group. Further research is needed to
determine how loudness impacts VP function in children with 22qDS.
PMID- 26562847
TI - Numerical Context and Time Perception: Contrast Effects and the Perceived
Duration of Numbers.
AB - In the current study, we examined how the contextual repetition of magnitude
information presented in either symbolic (Arabic digits) or nonsymbolic
(numerosities) formats impacted on the perceived duration of a later occurring
target number. The results of the current study demonstrated a time-magnitude
bias in which, on average, large magnitude target numbers were judged to last for
longer durations relative to small magnitude target numbers, regardless of
notation (symbolic number and numerosity). Furthermore, context effects were
found, in which a greater discrepancy in the target's magnitude from the initial
context led to longer perceived duration ratings. However, this was found to be
asymmetrical, occurring only for large magnitude targets. Additionally, the type
of context effect was shown to be determined by whether the context was presented
in the same notation as the target or a different notation.
PMID- 26562848
TI - Finger Counting and (2D:4D) Digit Ratio in Spatial-Numerical Association.
AB - It is reported that a canonical and cultural finger counting habit influences the
spatial-numerical association. The digit ratio (the ratio between the lengths of
the index and ring fingers as a putative indicator of prenatal androgen exposure)
also plays an effect on space-number representation, reflecting a stronger left
to-right number representation in people with a short index finger and longer
ring finger (i.e., 2D:4D ratio). It is unknown whether the finger counting habit
and digit ratio have an effect on spatial-numerical association independently
from each other or whether they interact with each other. In Study 1, the digit
ratio and finger counting mapping were recorded in right handers. The
participants performed number-to-position, digit string bisection, and physical
line bisection tasks. In the number-to-position task, a finger counting effect
was found, as well as a significant interaction between factors. A digit ratio
effect was observed in the digit string bisection task. In Study 2, digit ratio
and finger counting mapping were recorded in right and left handers. The results
showed that the finger counting habit influenced the spatial biases in both
numerical tasks. A significant interaction between finger counting and digit
ratio was found in both numerical tasks when only the left hand was considered.
The results are discussed considering the embodied nature of the spatial
numerical association.
PMID- 26562844
TI - Mortality of treated HIV-1 positive individuals according to viral subtype in
Europe and Canada: collaborative cohort analysis.
AB - OBJECTIVES: To estimate prognosis by viral subtype in HIV-1-infected individuals
from start of antiretroviral therapy (ART) and after viral failure. DESIGN:
Collaborative analysis of data from eight European and three Canadian cohorts.
METHODS: Adults (N>20 000) who started triple ART between 1996 and 2012 and had
data on viral subtype were followed for mortality. We estimated crude and
adjusted (for age, sex, regimen, CD4 cell count, and AIDS at baseline, period of
starting ART, stratified by cohort, region of origin and risk group) mortality
hazard ratios (MHR) by subtype. We estimated MHR subsequent to viral failure
defined as two HIV-RNA measurements greater than 500 copies/ml after achieving
viral suppression. RESULTS: The most prevalent subtypes were B (15 419; 74%), C
(2091; 10%), CRF02AG (1057; 5%), A (873; 4%), CRF01AE (506; 2.4%), G (359; 1.7%),
and D (232; 1.1%). Subtypes were strongly patterned by region of origin and risk
group. During 104 649 person-years of observation, 1172/20 784 patients died.
Compared with subtype B, mortality was higher for subtype A, but similar for all
other subtypes. MHR for A versus B were 1.13 (95% confidence interval 0.85,1.50)
when stratified by cohort, increased to 1.78 (1.27,2.51) on stratification by
region and risk, and attenuated to 1.59 (1.14,2.23) on adjustment for covariates.
MHR for A versus B was 2.65 (1.64,4.28) and 0.95 (0.57,1.57) for patients who
started ART with CD4 cell count below, or more than, 100 cells/MUl, respectively.
There was no difference in mortality between subtypes A, B and C after viral
failure. CONCLUSION: Patients with subtype A had worse prognosis, an observation
which may be confounded by socio-demographic factors.
PMID- 26562849
TI - Galileo's Dagger.
AB - Galileo found that fine lines on a balance scale dazzled his eyes and were
unreadable. So he used a grid of fine wires instead and ran his dagger across it,
counting the number of auditory clicks. This is the first known experiment on
sensory substitution.
PMID- 26562850
TI - Perceptual Grouping Affects Haptic Enumeration Over the Fingers.
AB - Spatial arrangement is known to influence enumeration times in vision. In haptic
enumeration, it has been shown that dividing the total number of items over the
two hands can speed up enumeration. Here we investigated how spatial arrangement
of items and non-items presented to the individual fingers impacts enumeration
times. More specifically, we tested whether grouping by proximity facilitates
haptic serial enumeration (counting). Participants were asked to report the
number of tangible items, amongst non-items, presented to the finger pads of both
hands. In the first experiment, we divided the tangible items in one, two, or
three groups that were defined by proximity (i.e., one nonitem in between two
groups) and found that number of groups and not number of items were the critical
factor in enumeration times. In a second experiment, we found that this grouping
even takes place when groups extend across fingers of both hands. These results
suggest that grouping by proximity affects haptic serial enumeration and that
this grouping takes place on a spatial level possibly in addition to the
somatotopic level. Our results support the idea that grouping by proximity, a
principle introduced in vision, also greatly affects haptic processing of spatial
information.
PMID- 26562851
TI - Precision and Bias in Approximate Numerical Judgment in Auditory, Tactile, and
Cross-modal Presentation.
AB - Many studies have claimed that the numerosity of any set of discrete elements can
be depicted by a genuinely abstract number representation, irrespective of
whether they are presented in a visual, auditory, or tactile modality. However,
in behavioral studies, some inconsistencies have been observed in the performance
of number comparisons among different modalities. In this study, we have tested
whether numerical comparisons of auditory, tactile, and cross-modal presentations
would differ under adequate control of stimulus presentation, and, if so, how
they would differ. The unimodal and cross-modal stimuli pairs were presented in
sequential manner. We measured the Weber fractions (i.e., precision) and points
of subjective equality (i.e., accuracy) of numerical discriminations in auditory,
tactile, and crossmodal conditions. The results showed that the Weber fractions
are constant over standard stimuli, indicating that the Weber's law holds for the
range of numerical values that was tested. Furthermore, the Weber fractions are
consistent over unimodal and cross-modal comparisons, and this indicates that
there is no additional noise involved in the cross-modal comparisons.
Interestingly, the bias measure showed that the number of auditory stimuli is
systematically overestimated compared with that of tactile stimuli.
PMID- 26562852
TI - Deaf Individuals Show a Leftward Bias in Numerical Bisection.
AB - Consistent evidence suggests that deaf individuals conceive of numerical
magnitude as a left-to-right-oriented mental number line, as typically observed
in hearing individuals. When accessing this spatial representation of numbers,
normally hearing individuals typically show an attentional bias to the left
(pseudoneglect), resembling the attentional bias they show in physical space.
Deaf individuals do not show pseudoneglect in representing external space, as
assessed by a visual line bisection task. However, whether deaf individuals show
attentional biases in representing numerical space has never been investigated
before. Here we instructed groups of deaf and hearing individuals to quickly
estimate (without calculating) the midpoint of a series of numerical intervals
presented in ascending and descending order. Both hearing and deaf individuals
were significantly biased toward lower numbers (i.e., the leftward side of the
mental number line) in their estimations. Nonetheless, the underestimation bias
was smaller in deaf individuals than in the hearing when bisecting pairs of
numbers given in descending order. This result may depend on the use of different
strategies by deaf and hearing participants or a less pronounced lateralization
of deaf individuals in the control of spatial attention.
PMID- 26562853
TI - Metaphoric Car Drawings By a 12-Year-Old Congenitally Blind Girl.
AB - A 12-year-old congenitally-blind girl drew a car moving, stationary, and braking.
For stationary, she put the wheels inside the car and, for braking, drew the
wheels as rough rectangles. At the age verbal metaphor is understood (Winner,
1988), the girl invented metaphoric drawings. In these, what is shown is not what
is meant. In late childhood, metaphor may be understood similarly in pictures and
words and by the sighted and blind.
PMID- 26562854
TI - Numerical Priming Between Touch and Vision Depends on Tactile Discrimination.
AB - Although the interaction between vision and touch is of crucial importance for
perceptual and bodily self-consciousness, only little is known regarding the link
between conscious access and tactile processing. Here, we tested whether the
numerical encoding of tactile stimuli depends on conscious discrimination. On
each trial, participants received between zero and three taps at low, medium, or
high intensity and had to enumerate the number of visual items subsequently
presented as a visual target. We measured tactovisual numerical priming, that is,
the modulation of reaction times according to the numerical distance between the
visual target and tactile prime values. While numerical priming and repetition
priming were respectively elicited by high and medium intensity stimuli, no
effect was found for low intensity stimuli that were not discriminable. This
suggests that numerical priming between touch and vision depends on tactile
discrimination. We discuss our results considering recent advances in unconscious
visual numerical priming.
PMID- 26562855
TI - Robust Underestimation of Speed During Driving: A Field Study.
AB - Traffic reports consistently identify speeding as a substantial source of
accidents. Adequate driving speeds require reliable speed estimation; however,
there is still a lack of understanding how speed perception is biased during
driving. Therefore, we ran three experiments measuring speed estimation under
controlled driving and lighting conditions. In the first experiment, participants
had to produce target speeds as drivers or had to judge driven speed as
passengers. Measurements were performed at daylight and at night. In the second
experiment, participants were required to produce target speeds at dusk, under
rapidly changing lighting conditions. In the third experiment, we let two cars
approach and pass each other. Drivers were instructed to produce target speeds as
well as to judge the speed of the oncoming vehicle. Here measurements were
performed at daylight and at night, with full or dipped headlights. We found that
passengers underestimated driven speed by about 20% and drivers went over the
instructed speed by roughly the same amount. Interestingly, the underestimation
of speed extended to oncoming cars. All of these effects were independent of
lighting conditions. The consistent underestimation of speed could lead to
potentially fatal situations where drivers go faster than intended and judge
oncoming traffic to approach slower than it actually is.
PMID- 26562856
TI - Illusions within an Illusion.
AB - Effect of perceptual inversion on illusion figures seen monocularly was explored
using Ponzo and Muller-Lyer stimuli. It was found that inversion nullified the
Ponzo effect but not the Muller-Lyer effect. This suggests that the determinants
of the two illusory effects differ in their origin.
PMID- 26562857
TI - Interaction of Number Magnitude and Auditory Localization.
AB - The interplay of perception and memory is very evident when we perceive and then
recognize familiar stimuli. Conversely, information in long-term memory may also
influence how a stimulus is perceived. Prior work on number cognition in the
visual modality has shown that in Western number systems long-term memory for the
magnitude of smaller numbers can influence performance involving the left side of
space, while larger numbers have an influence toward the right. Here, we
investigated in the auditory modality whether a related effect may bias the
perception of sound location. Subjects (n = 28) used a swivel pointer to localize
noise bursts presented from various azimuth positions. The noise bursts were
preceded by a spoken number (1-9) or, as a nonsemantic control condition, numbers
that were played in reverse. The relative constant error in noise localization
(forward minus reversed speech) indicated a systematic shift in localization
toward more central locations when the number was smaller and toward more
peripheral positions when the preceding number magnitude was larger. These
findings do not support the traditional left-right number mapping. Instead, the
results may reflect an overlap between codes for number magnitude and codes for
sound location as implemented by two channel models of sound localization, or
possibly a categorical mapping stage of small versus large magnitudes.
PMID- 26562859
TI - Limitations of the Oriented Difference of Gaussian Filter in Special Cases of
Brightness Perception Illusions.
AB - The Oriented Difference of Gaussian (ODOG) filter of Blakeslee and McCourt has
been successfully employed to explain several brightness perception illusions
which include illusions of both brightness-contrast type, for example,
Simultaneous Brightness Contrast and Grating Induction and the brightness
assimilation type, for example, the White effect and the shifted White effect.
Here, we demonstrate some limitations of the ODOG filter in predicting perceived
brightness by comparing the ODOG responses to various stimuli (generated by
varying two parameters, namely, test patch length and spatial frequency) with
experimental observations of the same.
PMID- 26562858
TI - Number As a Primary Perceptual Attribute: A Review.
AB - Although humans are the only species to possess language-driven abstract
mathematical capacities, we share with many other animals a nonverbal capacity
for estimating quantities or numerosity. For some time, researchers have clearly
differentiated between small numbers of items--less than about four--referred to
as the subitizing range, and larger numbers, where counting or estimation is
required. In this review, we examine more recent evidence suggesting a further
division, between sets of items greater than the subitizing range, but sparse
enough to be individuated as single items; and densely packed stimuli, where they
crowd each other into what is better considered as a texture. These two different
regimes are psychophysically discriminable in that they follow distinct
psychophysical laws and show different dependencies on eccentricity and on
luminance levels. But provided the elements are not too crowded (less than about
two items per square degree in central vision, less in the periphery), there is
little evidence that estimation of numerosity depends on mechanisms responsive to
texture. The distinction is important, as the ability to discriminate numerosity,
but not texture, correlates with formal maths skills.
PMID- 26562860
TI - Attending at a Low Intensity Increases Impulsivity in an Auditory Sustained
Attention to Response Task.
AB - Why attention lapses during prolonged tasks is debated, specifically whether
errors are a consequence of under-arousal or exerted effort. To explore this, we
investigated whether increased impulsivity is associated with effortful
processing by modifying the demand of a task by presenting it at a quiet
intensity. Here, we consider whether attending at low but detectable levels
affects impulsivity in a population with intact hearing. A modification of the
Sustained Attention to Response Task was used with auditory stimuli at two
levels: the participants' personal "lowest detectable" level and a "normal
speaking" level. At the quiet intensity, we found that more impulsive responses
were made compared with listening at a normal speaking level. These errors were
not due to a failure in discrimination. The findings suggest an increase in
processing time for auditory stimuli at low levels that exceeds the time needed
to interrupt a planned habitual motor response. This leads to a more impulsive
and erroneous response style. These findings have important implications for
understanding the nature of impulsivity in relation to effortful processing. They
may explain why a high proportion of individuals with hearing loss are also
diagnosed with Attention Deficit Hyperactivity Disorder.
PMID- 26562861
TI - What Is the Role of Manual Preference in Hand-Digit Mapping During Finger
Counting? A Study in a Large Sample of Right- and Left-Handers.
AB - The goal of the present study was to test whether there is a relationship between
manual preference and hand-digit mapping in 369 French adults with similar
numbers of right- and left-handers. Manual laterality was evaluated with the
finger tapping test to evaluate hand motor asymmetry, and the Edinburgh
handedness inventory was used to assess manual preference strength (MPS) and
direction. Participants were asked to spontaneously "count on their fingers from
1 to 10" without indications concerning the hand(s) to be used. The results
indicated that both MPS and hand motor asymmetry affect the hand-starting
preference for counting. Left-handers with a strong left-hand preference (sLH) or
left-hand motor asymmetry largely started to count with their left hand (left
starter), while right-handers with a strong right-hand preference (sRH) or right
hand motor asymmetry largely started to count with their right hand (right
starter). Notably, individuals with weak MPS did not show a hand-starting
preference. These findings demonstrated that manual laterality contributes to
finger counting directionality. Lastly, the results showed a higher proportion of
sLH left-starter individuals compared with sRH right-starters, indicating an
asymmetric bias of MPS on hand-starting preference. We hypothesize that the
higher proportion of sLH left-starters could be explained by the congruence
between left-to-right hand-digit mapping and left-to-right mental number line
representation that has been largely reported in the literature. Taken together,
these results indicate that finger-counting habits integrate biological and
cultural information.
PMID- 26562862
TI - Analog Magnitudes Support Large Number Ordinal Judgments in Infancy.
AB - Few studies have explored the source of infants' ordinal knowledge, and those
that have are equivocal regarding the underlying representational system. The
present study sought clear evidence that the approximate number system, which
underlies children's cardinal knowledge, may also support ordinal knowledge in
infancy; 10 - to 12-month-old infants' were tested with large sets (>3) in an
ordinal choice task in which they were asked to choose between two hidden sets of
food items. The difficulty of the comparison varied as a function of the ratio
between the sets. Infants reliably chose the greater quantity when the sets
differed by a 2:3 ratio (4v6 and 6v9), but not when they differed by a 3:4 ratio
(6v8) or a 7:8 ratio (7v8). This discrimination function is consistent with
previous studies testing the precision of number and time representations in
infants of roughly this same age, thus providing evidence that the approximate
number system can support ordinal judgments in infancy. The findings are
discussed in light of recent proposals that different mechanisms underlie
infants' reasoning about small and large numbers.
PMID- 26562863
TI - Grouping Factors and the Reverse Contrast Illusion.
AB - In simultaneous lightness contrast, two identical gray target squares lying on
backgrounds of different intensities appear different in lightness.
Traditionally, this illusion was explained by lateral inhibitory mechanisms
operating retinotopically. More recently, spatial filtering models have been
preferred. We report tests of an anchoring theory account in which the illusion
is attributed to grouping rules used by the visual system to compute lightness.
We parametrically varied the belongingness of two gray target bars to their
respective backgrounds so that they either appeared to group with a set of bars
flanking them, or they appeared to group with their respective backgrounds. In
all variations, the retinal adjacency of the gray squares and their backgrounds
was essentially unchanged. We report data from seven experiments showing that
manipulation of the grouping rules governs the size and direction of the
simultaneous lightness contrast illusion. These results support the idea that
simultaneous lightness contrast is the product of anchoring within perceptual
groups.
PMID- 26562864
TI - Temporal Visual Mechanisms May Mediate Compensation for Macular Pigment.
AB - Macular pigment (MP) is a pre-receptoral filter that is diet derived and
deposited in relatively high optical density in the foveal region of the retina.
Due to its yellow coloration, MP absorbs light of relatively short wavelengths,
ranging from 400 nm to 520 nm. Despite the spectral and spatial nonuniformity
imposed upon the sensory retina by MP, perception appears to be relatively
uniform across the central visual field. MP therefore offers an opportunity to
determine experimentally potential mechanisms responsible for mediating this
uniformity. After assessing, in 14 subjects, MP's effects on the temporal
sensitivity of both the short-wavelength- and middle-/long-wavelength-sensitive
visual pathways, it appears that the visual system compensates for absorption of
short-wavelength light by MP by slowing the sampling rate of short-wavelength
cones and by increasing the processing speed of middle-/long-wavelength-sensitive
cones. This mechanism could work via temporal summation or a temporal neural
code, whereby slower response dynamics lead to amplification of relatively weak
signals.
PMID- 26562865
TI - Priming of Visual Search Facilitates Attention Shifts: Evidence From Object
Substitution Masking.
AB - Priming of visual search strongly affects visual function, releasing items from
crowding and during free-choice primed targets are chosen over unprimed ones. Two
accounts of priming have been proposed: attentional facilitation of primed
features and postperceptual episodic memory retrieval that involves mapping
responses to visual events. Here, well-known masking effects were used to assess
the two accounts. Object-substitution masking has been considered to reflect
attentional processing: It does not occur when a target is precued and is
strengthened when distractors are present. Conversely, metacontrast masking has
been connected to lower level processing where attention exerts little effect. If
priming facilitates attention shifts, it should mitigate object-substitution
masking, while lower level masking might not be similarly influenced. Observers
searched for an odd-colored target among distractors. Unpredictably (on 20% of
trials), object-substitution masks or metacontrast masks appeared around the
target. Object-substitution masking was strongly mitigated for primed target
colors, while metacontrast masking was mostly unaffected. This argues against
episodic retrieval accounts of priming, placing the priming locus firmly within
the realm of attentional processing. The results suggest that priming of visual
search facilitates attention shifts to the target, which allows better
spatiotemporal resolution that overcomes object-substitution masking.
PMID- 26562866
TI - Tactile Toe Agnosia and Percept of a "Missing Toe" in Healthy Humans.
AB - A disturbance of body representation is central to many neurological and
psychiatric conditions, but the mechanisms by which body representations are
constructed by the brain are not fully understood. We demonstrate a directional
disturbance in tactile identification of the toes in healthy humans. Nineteen
young adult participants underwent tactile stimulation of the digits with the
eyes closed and verbally reported the identity of the stimulated digit. In the
majority of individuals, responses to the second and third toes were
significantly biased toward the laterally neighboring digit. The directional bias
was greater for the nondominant foot and was affected by the identity of the
immediately preceding stimulated toe. Unexpectedly, 9/19 participants reported
the subjective experience of a "missing toe" or "missing space" during the
protocol. These findings challenge current models of somatosensory localization,
as they cannot be explained simply by a lack of distinct representations for toes
compared with fingers, or by overt toe-finger correspondences. We present a novel
theory of equal spatial representations of digit width combined with a "preceding
neighbor" effect to explain the observed phenomena. The diagnostic implications
for neurological disorders that involve "digit agnosia" are discussed.
PMID- 26562867
TI - Effects of Contextual Information on Seeing Pareidolic Religious Inscriptions on
an Artifact: Implications for the Shroud of Turin.
AB - Several reports suggest that images of the Shroud of Turin contain faint
religious inscriptions that support the view that the Shroud has special
religious significance. Against this background, we investigated effects of
contextual information on detecting religious inscriptions using an image of
plain modern linen with no religious provenance and containing no writing. The
image was viewed in three contexts: In the Neutral Context, participants were
told that the image was of a simple piece of linen; in the Religious Context,
participants were told that the image was of an important religious artifact; and
in the Religious Context + Options condition, participants were also given
plausible word options. Very few words were detected in the Neutral Context,
significantly more in the Religious Context, and most in the Religious
Context+Options condition. Some implications of these findings for reports of
inscriptions in the context-laden conditions surrounding the Shroud of Turin are
discussed.
PMID- 26562868
TI - Allocentric Spatial Performance Higher in Early-Blind and Sighted Adults Than in
Retinopathy-of-Prematurity Adults.
AB - The question as to whether people totally blind since infancy process allocentric
or external spatial information like the sighted has caused considerable debate
within the literature. Due to the extreme rarity of the population, researchers
have often included individuals with retinopathy of prematurity (RoP--over
oxygenation at birth) within the sample. However, RoP is inextricably confounded
with prematurity per se. Prematurity, without visual disability, has been
associated with spatial processing difficulties. In this experiment, blindfolded
sighted participants and two groups of functionally totally blind participants
heard text descriptions from a survey (allocentric) or route (egocentric)
perspective. One blind group lost their sight due to RoP and a second group
before 24 months of age. The accuracy of participants' mental representations
derived from the text descriptions was assessed via questions and maps. The RoP
participants had lower scores than the sighted and early blind, who performed
similarly. In other words, it was not visual impairment alone that resulted in
impaired allocentric spatial performance in this task but visual impairment
together with RoP. This finding may help explain the contradictions within the
existing literature on the role of vision in allocentric spatial processing.
PMID- 26562870
TI - Two Left Hands, Ten Interlaced Fingers: A New Rubber Hand Illusion.
AB - A variation on the rubber hand paradigm elicits an illusion in which the
participant's sense of body ownership can switch back and forth between two
viewed prosthetic hands. The interlaced fingers paradigm involves three
prosthetic left hands: Two are positioned in full view of the participant, with
their fingers interlaced, and the fingers of a third prosthetic hand are
interlaced with the fingers of the participant's left hand, which is hidden from
view. The examiner alternates brushstrokes to the two viewed prosthetic hands,
while administering synchronous brushstrokes to the participant's hidden hand.
Most participants experience ownership for the prosthetic hand that is being
stroked at a given moment.
PMID- 26562869
TI - Recognizing Dynamic Faces in Malaysian Chinese Participants.
AB - High performance level in face recognition studies does not seem to be replicable
in real-life situations possibly because of the artificial nature of laboratory
studies. Recognizing faces in natural social situations may be a more challenging
task, as it involves constant examination of dynamic facial motions that may
alter facial structure vital to the recognition of unfamiliar faces. Because of
the incongruences of recognition performance, the current study developed stimuli
that closely represent natural social situations to yield results that more
accurately reflect observers' performance in real-life settings. Naturalistic
stimuli of African, East Asian, and Western Caucasian actors introducing
themselves were presented to investigate Malaysian Chinese participants'
recognition sensitivity and looking strategies when performing a face recognition
task. When perceiving dynamic facial stimuli, participants fixated most on the
nose, followed by the mouth then the eyes. Focusing on the nose may have enabled
participants to gain a more holistic view of actors' facial and head movements,
which proved to be beneficial in recognizing identities. Participants recognized
all three races of faces equally well. The current results, which differed from a
previous static face recognition study, may be a more accurate reflection of
observers' recognition abilities and looking strategies.
PMID- 26562875
TI - Mouse Ability to Perceive Subjective Contours.
AB - In contrast to the previously held notion that mice have a weak visual system, it
is now generally accepted that mice can perceive rather complicated figures in
various contexts such as in cognitive experiments and in social settings. Here,
we show that mice could even be capable of perceiving a visual illusion-
subjective contours. This illusion requires the visual system to compensate for a
lack of visual information in compressed 2D images on the retina. In this
experiment, we trained mice to respond appropriately to a rectangle-shaped
rewarded figure of specific orientation in a two-choice visual discrimination
task with a touchscreen monitor. In Transfer Test 1, mice could discriminate
illusory rectangle-shaped figures significantly as compared with a figure, which
did not induce illusory figures. In Transfer Test 2, the choice rate of targets
decreased with imperfect illusory figures, which produced weak perception of
rotated or deficient inducers. Moreover, in Transfer Test 3, mice could not
discriminate the low-resolution illusory figure, which also induced weak
perception. These results demonstrated the possibility that mice might be useful
for investigating fundamental properties of the neural visual system.
PMID- 26562876
TI - Children's Approximate Number System in Haptic Modality.
AB - The approximate number system (ANS) is a primitive system used to estimate
quantities. It can process quantities in visual and auditory modalities. The aim
of the present study was to examine whether ANS can process quantities presented
haptically. Moreover, to assess age-related changes, two groups of children (5-
and 7-year-olds) were compared. In a newly designed haptic task, children
compared two arrays of dots by touching them simultaneously using both hands,
without seeing them, and for limited duration to prevent counting. Using
Panamath, a frequently used visual ANS task, we verified that our population
exhibited the typical pattern of approximation with visual arrays: Older children
outperformed younger children, and an increased ratio between the two quantities
to be compared led to more accurate responses. Performance in the haptic task
revealed that children, in both age-groups, were able to haptically compare two
quantities above chance level, with improved performance in older compared with
younger children. Moreover, our results revealed a ratio effect, a well-known
signature of the ANS. These findings suggest that haptic numerical discrimination
in children is dictated by the ANS, and that ANS acuity measured with a haptic
task improves with age, as commonly observed with the visual task.
PMID- 26562877
TI - SNARC Effect in Different Effectors.
AB - The SNARC (spatial numerical association of response codes) effect, indicating
that subjects react faster to the left for small numbers and to the right for
large numbers, is used as evidence for the idea that humans use space to organize
number representations. Previous studies compared the SNARC effect across sensory
modalities within participants and concluded modality independence. So far, it is
unknown what sensory-to-motor mappings are involved in generating the SNARC
effect and whether these mappings are identical for different effectors within
subjects. Hence, we tested whether the SNARC effect is effector specific.
Participants performed an auditory parity judgment task and responded with three
different effectors: finger (button release), eyes (saccades), and arm
(pointing). The SNARC effect occurred in each effector but varied in strength
across the effectors. Across subjects, we found a significant correlation of
SNARC strength for finger and arm responses suggesting the use of a shared
sensory-to-motor mapping. SNARC strength did not correlate, however, between
finger and eyes or arm and eyes. An additional statistical analysis based on
conditional probabilities provided further evidence for SNARC-effector
specificity. Taken together, our results suggest that the sensory-to-motor
mapping is not as tight as it would be expected if the SNARC effect was effector
independent.
PMID- 26562878
TI - Spatial Frequency Components of Images Modulate Neuronal Activity in Monkey
Amygdala.
AB - Processing the spatial frequency components of an image is a crucial feature for
visual perception, especially in recognition of faces. Here, we study the
correlation between spatial frequency components of images of faces and neuronal
activity in monkey amygdala while performing a visual recognition task. The
frequency components of the images were analyzed using a fast Fourier transform
for 40 spatial frequency ranges. We recorded 65 neurons showing statistically
significant responses to at least one of the images used as a stimulus. A total
of 37 of these neurons (n = 37) showed significant responses to at least three
images, and in eight of them (8/37, 22%), we found a statistically significant
correlation between neuron response and the modulus amplitude of at least one
frequency range present in the images. Our results indicate that high spatial
frequency and low spatial frequency components of images influence the activity
of amygdala neurons.
PMID- 26562879
TI - The Influence of Attention Set, Working Memory Capacity, and Expectations on
Inattentional Blindness.
AB - The probability of inattentional blindness, the failure to notice an unexpected
object when attention is engaged on some primary task, is influenced by
contextual factors like task demands, features of the unexpected object, and the
observer's attention set. However, predicting who will notice an unexpected
object and who will remain inattentionally blind has proven difficult, and the
evidence that individual differences in cognition affect noticing remains
ambiguous. We hypothesized that greater working memory capacity might modulate
the effect of attention sets on noticing because working memory is associated
with the ability to focus attention selectively. People with greater working
memory capacity might be better able to attend selectively to target items,
thereby increasing the chances of noticing unexpected objects that were similar
to the attended items while decreasing the odds of noticing unexpected objects
that differed from the attended items. Our study (N = 120 participants)
replicated evidence that task-induced attention sets modulate noticing but found
no link between noticing and working memory capacity. Our results are largely
consistent with the idea that individual differences in working memory capacity
do not predict noticing of unexpected objects in an inattentional blindness task.
PMID- 26562880
TI - Increased Vulnerability to Pattern-Related Visual Stress in Myalgic
Encephalomyelitis.
AB - The objective of this study was to determine vulnerability to pattern-related
visual stress in Myalgic Encephalomyelitis/Chronic Fatigue Syndrome (ME/CFS). A
total of 20 ME/CFS patients and 20 matched (age, gender) controls were recruited
to the study. Pattern-related visual stress was determined using the Pattern
Glare Test. Participants viewed three patterns, the spatial frequencies (SF) of
which were 0.3 (low-SF), 2.3 (mid-SF), and 9.4 (high-SF) cycles per degree
(c/deg). They reported the number of distortions they experienced when viewing
each pattern. ME/CFS patients exhibited significantly higher pattern glare scores
than controls for the mid-SF pattern. Mid-high SF differences were also
significantly higher in patients than controls. These findings provide evidence
of altered visual perception in ME/CFS. Pattern-related visual stress may
represent an identifiable clinical feature of ME/CFS that will prove useful in
its diagnosis. However, further research is required to establish if these
symptoms reflect ME/CFS-related changes in the functioning of sensory neural
pathways.
PMID- 26562882
TI - Effects of Numerosity Range on Tactile and Visual Enumeration.
AB - Our study explores tactile enumeration using both hands and investigates the
effects of numerosity range's (NR) on general enumeration. In Experiment 1, using
custom-made vibro-tactile apparatus, we replicated results of Cohen, Naparstek,
and Henik (2014, Acta Psychologica, 150C, 26-34) and again found a moderate
increase in RT up to four stimuli and then a decrease for five stimuli. In
Experiment 2, we used a within participants design and compared NR 1 to 5 and 1
to 10 in tactile and visual enumeration. The results showed that enumeration for
NR 5 to 1 was faster than for NR 1 to 10, especially for numerosities four and
five. Within NR 1 to 10, in the visual modality the subitizing range was 4, the
counting range was from 5 to 9, and there was an end effect of 10 dots. In the
tactile modality, when excluding one-hand arrangements, the subitizing range was
2, the counting range was from 3 to 5, there was an acceleration of counting from
5 and on, and there was an end effect for 10 stimuli that was stronger than for
10 visual stimuli. We suggest that NR influences enumeration and that number-hand
association (i.e. resulting from finger counting) influences enumeration,
resulting in faster counting.
PMID- 26562881
TI - Haptic Object Recognition is View-Independent in Early Blind but not Sighted
People.
AB - Object recognition, whether visual or haptic, is impaired in sighted people when
objects are rotated between learning and test, relative to an unrotated
condition, that is, recognition is view-dependent. Loss of vision early in life
results in greater reliance on haptic perception for object identification
compared with the sighted. Therefore, we hypothesized that early blind people may
be more adept at recognizing objects despite spatial transformations. To test
this hypothesis, we compared early blind and sighted control participants on a
haptic object recognition task. Participants studied pairs of unfamiliar three
dimensional objects and performed a two-alternative forced-choice identification
task, with the learned objects presented both unrotated and rotated 180 degrees
about they-axis. Rotation impaired the recognition accuracy of sighted, but not
blind, participants. We propose that, consistent with our hypothesis, haptic view
independence in the early blind reflects their greater experience with haptic
object perception.
PMID- 26562886
TI - First, believe your eyes.
PMID- 26562887
TI - Chromatic Dimensions Earthy, Watery, Airy, and Fiery.
AB - In our study, for a small number of antonyms, we investigate whether they are
cross-modally or ideaesthetically related to the space of colors. We analyze the
affinities of seven antonyms (cold-hot, dull-radiant, dead-vivid, soft-hard,
transparent-chalky, dry-wet, and acid-treacly) and their intermediate
connotations (cool-warm, matt-shiny, numb-lively, mellow-firm, semi-transparent
opaque, semi-dry-moist, and sour-sweet) as a function of color. We find that some
antonyms relate to chromatic dimensions, others to achromatic ones. The cold-hot
antonym proves to be the most salient dimension. The dry-wet dimension coincides
with the cold-hot dimension, with dry corresponding to hot and wet to cold. The
acid-treacly dimension proves to be transversal to the cold-hot dimension; hence,
the pairs mutually span the chromatic domain. The cold-hot and acid-treacly
antonyms perhaps recall Hering's opponent color system. The dull-radiant,
transparent-chalky, and dead-vivid pairs depend little upon chromaticity. Of all
seven antonyms, only the soft-hard one turns out to be independent of the
chromatic structure.
PMID- 26562888
TI - Migraine in Synesthetes and Nonsynesthetes: A Prevalence Study.
AB - Synesthesia is a neurological condition in which an inducer stimulus in one sense
leads to a concurrent percept in a second sense. The immune hypothesis of
synesthesia links synesthesia to immune-related conditions such as migraine. More
specifically, migraine with aura may be linked to grapheme-color synesthesia as
both involve cortical hyperexcitability. In this study, 161 female synesthetes,
and 92 female nonsynesthetes, completed an online questionnaire about synesthesia
and migraine. We found no general link between migraine and synesthesia nor
between migraine with aura and grapheme-color synesthesia. Exploratory analyses,
however, showed that certain types of synesthetic inducer (non-linguistic visual
experiences, scent, taste, emotion and personality) were associated with visual
disturbances in headache among female participants, and touch as a concurrent was
associated with migraine with aura. On the basis of our exploratory analyses, we
hypothesize that specific subtypes of synesthesia are related to migraine. The
relationship between these two conditions is likely to become clearer as research
on the underlying causes of synesthesia and migraine progresses.
PMID- 26562889
TI - Targets Need Their Own Personal Space: Effects of Clutter on Multiple-Target
Search Accuracy.
AB - Visual search is an essential task for many lifesaving professions; airport
security personnel search baggage X-ray images for dangerous items and
radiologists examine radiographs for tumors. Accuracy is critical for such
searches; however, there are potentially negative influences that can affect
performance; for example, the displays can be cluttered and can contain multiple
targets. Previous research has demonstrated that clutter can hurt search
performance and a second target is less likely to be detected in a multiple
target search after a first target has been found, which raises a concern-how
does clutter affect multiple-target search performance? The current study
explored clutter in a multiple-target search paradigm, where there could be one
or two targets present, and targets appeared in varying levels of clutter. There
was a significant interaction between clutter and target number: Increasing
levels of clutter did not affect single-target detection but did reduce detection
of a second target. Multiple-target search accuracy is known to be sensitive to
contextual influences, and the current results reveal a specific effect wherein
clutter disproportionally affected multiple-target search accuracy. These results
suggest that the detection and processing of a first target might enhance the
masking effects of clutter around a second target.
PMID- 26562890
TI - The Unilateral Mean Luminance Alters Additive Internal Noise in Normal Vision.
AB - Luminance has been found to play a modulating role in the processes of many
visual tasks. However, the mechanisms underlying the modulation role of luminance
have been little studied, and the conclusions have been controversial. Here,
using a dichoptic viewing paradigm by varying the luminance in one eye while
measuring the contrast-detection threshold in the other eye, we studied the
effect of different unilateral mean luminance values on the detectability of sine
wave gratings against backgrounds of various levels of white noise in normal
subjects. We found that unilateral luminance altered the additive internal noise
within a perceptual template model framework, with low luminance increasing the
additive internal noise and high luminance reducing it. This finding helps to
reveal how luminance modulates contrast detection and its relative mechanisms.
PMID- 26562891
TI - The Mirrored Hand Illusion: I Control, So I Possess?
AB - Certain situations may not only cause people to misjudge external information but
also distort people's perception of themselves. The present study is the first to
report the mirrored hand illusion which could be generated when the experimenter
imitated the fist-clenching movements of the subject synchronously. The subjects
formed the illusion that the experimenter's hand was "something I can control"
when being imitated synchronously. In addition, a sense of ownership over the
alien hand was established by integrating multisensory signals and comparing
these signals with preexisting body presentations. This method might represent a
new avenue for research on the formation of self-consciousness.
PMID- 26562894
TI - Bilateral Symmetry of Distortions of Tactile Size Perception.
AB - The perceived distance between touches on the limbs is generally bigger for
distances oriented across the width of the limb than for distances oriented along
the length of the limb. The present study aimed to investigate the coherence of
such distortions of tactile size perception across different skin surfaces. We
investigated distortions of tactile size perception on the dorsal and palmar
surfaces of both the left and right hands as well as the forehead. Participants
judged which of two tactile distances felt larger. One distance was aligned with
the proximodistal axis (along the body), the other with the mediolateral axis
(across the body). Clear distortions were found on all five skin surfaces, with
stimuli oriented across the width of the body being perceived as farther apart
than those oriented along the length of the body. Consistent with previous
results, distortions were smaller on the palmar than on the dorsal hand surface.
Distortion on the forehead was intermediate between the dorsal and palmar
surfaces. There were clear correlations between distortion on the left and right
hands, for both the dorsal and palmar skin surfaces. In contrast, within each
hand, there was no significant correlation between the two skin surfaces.
Distortion on the forehead was not significantly correlated with that on any of
the other skin surfaces. These results provide evidence for bilaterally symmetric
representations underlying tactile size perception.
PMID- 26562895
TI - Afterimages are biased by top-down information.
AB - The afterimage illusion refers to a complementary colored image continuing to
appear in the observer's vision after the exposure to the original image has
ceased. It is assumed to be a phenomenon of the primary visual pathway, caused by
overstimulation of photoreceptors of the retina. The aim of the present study was
to investigate the nature of afterimage perceptions; mainly whether it is a mere
physical, that is, low-level effect or whether it can be modulated by top-down
processes, that is, high-level processes. Participants were first exposed to five
either strongly female or male faces (Experiment 1), objects highly associated
with female or male gender (Experiment 2) or female versus male names (Experiment
3), followed by a negativated image of a gender-neutral face which had to be
fixated for 20s to elicit an afterimage. Participants had to rate their
afterimages according to sexual dimorphism, showing that the afterimage of the
gender-neutral face was perceived as significantly more female in the female
priming condition compared with the male priming condition, independently of the
priming quality (faces, objects, and names). Our results documented, in addition
to previously presumed bottom-up mechanisms, a prominent influence of top-down
processing on the perception of afterimages via priming mechanisms (female primes
led to more female afterimage perception).
PMID- 26562896
TI - Multiple Level Crowding: Crowding at the Object Parts Level and at the Object
Configural level.
AB - In crowding, identification of a peripheral target in the presence of nearby
flankers is worse than when the target appears alone. Prevailing theories hold
that crowding occurs because of integration or "pooling" of low-level features at
a single, relatively early stage of visual processing. Recent studies suggest
that crowding can occur also between high-level object representations. The most
relevant findings come from studies with faces and may be specific to faces. We
examined whether crowding can occur at the object configural level in addition to
part-level crowding, using nonface objects. Target (a disconnected square or
diamond made of four elements) identification was measured at varying
eccentricities. The flankers were similar either to the target parts or to the
target configuration. The results showed crowding in both cases: Flankers
interfered with target identification such that identification accuracy decreased
with an increase in eccentricity, and no interference was observed at the fovea.
Crowding by object parts, however, was weaker and had smaller spatial extent than
crowding by object configurations; we related this finding to the relationship
between crowding and perceptual organization. These results provide strong
evidence that crowding occurs not only between object parts but also between
configural representations of objects.
PMID- 26562897
TI - Influence of Perceived Height, Masculinity, and Age on Each Other and on
Perceptions of Dominance in Male Faces.
AB - Several studies have examined the individual effects of facial cues to height,
masculinity, and age on interpersonal interactions and partner preferences. We
know much less about the influence of these traits on each other. We, therefore,
examined how facial cues to height, masculinity, and age influence perceptions of
each other and found significant overlap. This suggests that studies
investigating the effects of one of these traits in isolation may need to account
for the influence of the other two traits. Additionally, there is inconsistent
evidence on how each of these three facial traits affects dominance. We,
therefore, investigated how varying such traits influences perceptions of
dominance in male faces. We found that increases in perceived height,
masculinity, and age (up to 35 years) all increased facial dominance. Our results
may reflect perceptual generalizations from sex differences as men are on average
taller, more dominant, and age faster than women. Furthermore, we found that the
influences of height and age on perceptions of dominance are mediated by
masculinity. These results give us a better understanding of the facial
characteristics that convey the appearance of dominance, a trait that is linked
to a wealth of real-world outcomes.
PMID- 26562898
TI - What Gaze Fixation and Pupil Dilation Can Tell Us About Perceived Differences
Between Abstract Art by Artists Versus by Children and Animals.
AB - People with no arts background often misunderstand abstract art as requiring no
skill. However, adults with no art background discriminate paintings by abstract
expressionists from superficially similar works by children and animals. We
tested whether participants show different visual exploration when looking at
paintings by artists' versus children or animals. Participants sat at an eye
tracker and viewed paintings by artists paired with "similar" paintings by
children or animals, and were asked which they preferred and which was better.
Mean duration of eye gaze fixations, total fixation time, and spatial extent of
visual exploration was greater to the artist than child or animal images in
response to quality but not preference. Pupil dilation was greater to the artist
images in response to both questions and greater in response to the quality than
preference question. Explicit selections of images paralleled total fixation
times: Participants selected at chance for preference, but selected the artist
images above chance in response to quality. Results show that lay adults respond
differently on both an implicit as well as explicit measure when thinking about
preference versus quality in art and discriminate abstract paintings by artists
from superficially similar works by children and animals, despite the popular
misconception by the average viewer that "my kid could have done that."
PMID- 26562902
TI - 38th European Conference on Visual Perception (ECVP) 2015 Liverpool.
PMID- 26562899
TI - Variation in Photos of the Same Face Drives Improvements in Identity
Verification.
AB - People are poor at matching the identity of unfamiliar faces, but very good at
identifying familiar faces. Theoretical accounts suggest that representations
derived from exposure to variation are instrumental in driving this familiarity
based improvement. In support of this, recent work shows that providing multiple
photographs of an unfamiliar face improves identity verification accuracy. Here,
we test whether the extent of variation is critical to this improvement, by
manipulating the degree of within-identity variation that participants are
exposed to in a sequential matching test. Participants were more accurate and
adopted more liberal response criteria, when matching high-variability pairs to
probe images, compared with either low-variability pairs or single images.
Importantly, benefits of variation are not explained by independent contributions
of single images, suggesting that people extrapolate information across images to
produce gains in identification accuracy. These results suggest that photo-ID can
be improved by incorporating broader ranges of variation in facial appearance.
PMID- 26562903
TI - The Vision of Alfred Yarbus.
PMID- 26562904
TI - About My Father Alfred Lukyanovich Yarbus.
PMID- 26562905
TI - Scientific Activity of Alfred Yarbus: The Stages of Research Work, Senior and
Younger Colleagues, Conditions of Investigations.
PMID- 26562906
TI - How Were Eye Movements Recorded Before Yarbus?
AB - Alfred Yarbus introduced a new dimension of precision in recording how the eyes
moved, either when attempts were made to keep them stationary or when scanning
pictures. Movements of the eyes had been remarked upon for millennia, but
recording how they move is a more recent preoccupation. Emphasis was initially
placed on abnormalities of oculomotor function (like strabismus) before normal
features were considered. The interest was in where the eyes moved to rather than
determining how they got there. The most venerable technique for examining ocular
stability involved comparing the relative motion between an afterimage and a real
image. In the late 18th century, Wells compared afterimages generated before body
rotation with real images observed following it when dizzy; he described both
lateral and torsional nystagmus, thereby demonstrating the directional
discontinuities in eye velocities. At around the same time Erasmus Darwin used
afterimages as a means of demonstrating ocular instability when attempting to
fixate steadily. However, the overriding concern in the 19th century was with eye
position rather than eye movements. Thus, the characteristics of nystagmus were
recorded before those of saccades and fixations. Eye movements during reading
were described by Hering and by Lamare (working in Javal's laboratory) in 1879;
both used similar techniques of listening (with tubes placed over the eyelids) to
the sounds made during contractions of the extraocular muscles. Photographic
records of eye movements during reading were made by Dodge early in the 20th
century, and this stimulated research using a wider array of patterns. Eye
movements over pictures were examined by Stratton and later by Buswell, who drew
attention to the effects of instructions on the pattern of eye movements. In
midcentury, attention shifted back to the stability of the eyes during fixation,
with the emphasis on involuntary movements. The suction cap methods developed by
Yarbus were applied with some success to recording the perceptual effects of
retinal image stabilization. It is an historical irony that the accuracy of image
stabilization with contact lenses was assessed by comparison with the oldest
method for examining eye movements--afterimages.
PMID- 26562907
TI - From Exploration to Fixation: An Integrative View of Yarbus's Vision.
AB - Alfred Lukyanovich Yarbus (1914-1986) pioneered the study of stabilized retinal
images, miniature eye movements, and the cognitive influences that act on visual
scanning. Yarbus's studies of these different topics have remained fundamentally
disconnected and independent of each other, however. In this review, we propose
that Yarbus's various research lines are instead deeply and intrinsically
interconnected, as are the small eye movements produced during visual fixation
and the large-scale scanning patterns associated with visual exploration of
objects and scenes. Such apparently disparate viewing behaviors may represent the
extremes of a single continuum of oculomotor performance that operates across
spatial scales when we search the visual world.
PMID- 26562908
TI - Attention in Active Vision: A Perspective on Perceptual Continuity Across
Saccades.
AB - Alfred L. Yarbus was among the first to demonstrate that eye movements actively
serve our perceptual and cognitive goals, a crucial recognition that is at the
heart of today's research on active vision. He realized that not the changes in
fixation stick in memory but the changes in shifts of attention. Indeed,
oculomotor control is tightly coupled to functions as fundamental as attention
and memory. This tight relationship offers an intriguing perspective on
transsaccadic perceptual continuity, which we experience despite the fact that
saccades cause rapid shifts of the image across the retina. Here, I elaborate
this perspective based on a series of psychophysical findings. First, saccade
preparation shapes the visual system's priorities; it enhances visual performance
and perceived stimulus intensity at the targets of the eye movement. Second,
before saccades, the deployment of visual attention is updated, predictively
facilitating perception at those retinal locations that will be relevant once the
eyes land. Third, saccadic eye movements strongly affect the contents of visual
memory, highlighting their crucial role for which parts of a scene we remember or
forget. Together, these results provide insights on how attentional processes
enable the visual system to cope with the retinal consequences of saccades.
PMID- 26562909
TI - Common Priority Map for Selection History, Reward and Emotion in the Oculomotor
System.
AB - In natural scenes, many objects compete for visual selection. However, it is not
always clear why certain objects win this competition. I will demonstrate that
the eye movement system lives in a constant state of competition among different
oculomotor programs. This competition is not limited to the competition between
the current goals of the observer and salient objects in the environment but
incorporates independent influences from memory, reward, and emotional systems.
These involuntary and automatic biases often overcome the goal-directed selection
and expose severe limits in goal-driven control. There is also a striking
similarity in the way that these very different sources of bias activate the
oculomotor system and compete for representation. The inputs from various
information sources are integrated in the common map in the oculomotor system for
the sole purpose of improving the efficiency of oculomotor selection.
PMID- 26562910
TI - Visual Percepts in the Cases of Binocular and Monocular Viewing Stabilized Test
Objects, Ganzfeld Stimuli, and Prolonged Afterimages.
AB - A thorough analysis of the literature on retinal image stabilization, as well as
our own experimental data, present evidence that Yarbus's concept, implying
inevitable and irreversible fading of a visible image evoked by stabilized
retinal stimulus of any size, color, and luminance in 1 to 3 s after its onset,
is not valid in a general case. It has been demonstrated that, even with Yarbus's
stabilization techniques, the lifetime of visible images varies from fractions of
a second to the whole stimulus duration-up to 30 min in our experiments-depending
on many factors: monocular or binocular viewing, stimulus parameters,
characteristics of subjects, and so forth. The dynamics of perceived images is
determined mainly by the processes at the higher levels of the visual system. In
the cases of such unusual visual stimuli as stabilized retinal images, it is
problematic for the visual brain to find their proper interpretations in terms of
everyday natural experience. Usually, the responses of retinal units are
determined by three types of coexisting images: (a) the optical projections of
external objects, (b) shadows of the blood vessels and other internal eye
structures, (c) virtual patterns caused by the traces of previous stimuli. A task
of the visual system is to recognize and visualize only external objects
separating their projections from all the entoptic images of the two remaining
types. To implement separation, visual brain employs a number of approaches--in
particular, the eye movements that cause sliding over the retina but only the
projection of the external objects. This means that the peculiar phenomena
observed in the cases of stabilized retinal images can be determined not by
invariability of such stimuli per se but rather by the fact that stabilization
eliminates a powerful cue helping to identify the retinal images belonging to the
external objects, thereby increasing the probability to treat them as the
entoptic ones which should be ignored or canceled rather than perceived. However,
the probability of canceling--image fading--can be essentially reduced in
conditions of concordant, large, bright, and sharp binocular stimuli.
PMID- 26562911
TI - Yarbus's Conceptions on the General Mechanisms of Color Perception.
AB - In the last series of papers published during 1975 to 1980, Alfred Yarbus tried
to formulate general conceptions concerning the basic principles of retinal image
processing in the human visual system. The original ideas of Yarbus were based on
the results of his numerous and various experiments carried out with
extraordinary inventiveness and great skill. Being concentrated primarily on the
problems of color vision, Alfred Yarbus dreamed of elaborating a comprehensive
model that would simulate visual information processing at the monocular
precognitive level in the visual system of humans with normal trichromatic color
perception. In this article, the most important of Yarbus' experimental
paradigms, findings, statements, and conclusions are systematized and considered
in relation to the classical theories of color perception and, in particular,
fundamental theses of the Nyberg school. The perceptual model developed by Alfred
Yarbus remained incomplete. Nevertheless, it is already evident that some
intrinsic contradictions make it inadequate in terms of comprehensive modeling.
However, certain partial advantages deserve more thorough appreciation and
further investigation.
PMID- 26562912
TI - Transformation of the Visual Afterimage Under Subject's Eye and Body Movements
and the Visual Field Constancy Mechanisms.
AB - Two types of positive afterimages differing in their structural complexity-
called poor and rich--were used to investigate the visual field constancy
mechanisms during eye and head movements. In the case of a poor afterimage,
consistent with Emmert's law, every eye and head movement caused the afterimage
to appear moving (in exactly the same way), unlike a real object, which appeared
to remain stationary during those same eye and head movements (although its
retinal image moved opposite to the eye movement). However, in the case of a rich
afterimage, the afterimage appeared stationary during eye movements, while a
small stationary test light in the real space appeared to move, violating
Emmert's law. It is suggested that, in these two cases, the different apparent
transformations reflected functioning of different constancy mechanisms. Both
mechanisms implement projection of retinal images upon a hypothetical constant
visual screen in strict accordance with the subject's movements but in two
different ways. The experiments have indicated that, during binocular fusion, the
visual afferent system is able to use information from the structural
organization of the visual flow to implement the visual field stability and to
calculate gaze direction independently of proprioceptive signals.
PMID- 26562913
TI - 1-D Vision: Encoding of Eye Movements by Simple Receptive Fields.
AB - Eye movements (eyeM) are an essential component of visual perception. They allow
the sampling and scanning of stationary scenes at various spatial scales,
primarily at the scene level, via saccades, and at the local level, via
fixational eyeM. Given the constant motion of visual images on the retina, a
crucial factor in resolving spatial ambiguities related to the external scene is
the exact trajectory of eyeM. We show here that the trajectory of eyeM can be
encoded at high resolution by simple retinal receptive fields of the symmetrical
type. We also show that such encoding can account for motion illusions such as
the Ouchi illusion. In addition, encoding of motion projections along horizontal
and vertical symmetrical simple retinal receptive fields entails a kind of
Cartesian decomposition of the 2-D image into two 1-D projections.
PMID- 26562914
TI - Neuronal Representation of 3-D Space in the Primary Visual Cortex and Control of
Eye Movements.
AB - The aim of this article is to consider the correlations between the structure of
the primary visual cortical area V1 and control of coordinated movements of the
two eyes. Using the anatomical data available, a schematic map of 3-D space
representation in the layer IV of area V1 containing only monocular cells has
been constructed. The analysis of this map revealed that binocular neurons of V1,
which are formed by convergence of monocular cells, should encode the absolute
disparity. Participation of monocular and binocular neurons of V1 in the control
of convergence, divergence, and version eye movements is discussed. It is
proposed that synchronous contraction of corresponding extraocular muscles of
both eyes for vergence might be ensured by duplicated transmission of information
from the central part of retina to visual cortex of both hemispheres.
PMID- 26562915
TI - Gaze Dynamics in the Recognition of Facial Expressions of Emotion.
AB - We studied preferably fixated parts and features of human face in the process of
recognition of facial expressions of emotion. Photographs of facial expressions
were used. Participants were to categorize these as basic emotions; during this
process, eye movements were registered. It was found that variation in the
intensity of an expression is mirrored in accuracy of emotion recognition; it was
also reflected by several indices of oculomotor function: duration of inspection
of certain areas of the face, its upper and bottom or right parts, right and left
sides; location, number and duration of fixations, viewing trajectory. In
particular, for low-intensity expressions, right side of the face was found to be
attended predominantly (right-side dominance); the right-side dominance effect,
was, however, absent for expressions of high intensity. For both low- and high
intensity expressions, upper face part was predominantly fixated, though with
greater fixation of high-intensity expressions. The majority of trials (70%), in
line with findings in previous studies, revealed a V-shaped pattern of inspection
trajectory. No relationship, between accuracy of recognition of emotional
expressions, was found, though, with either location and duration of fixations or
pattern of gaze directedness in the face.
PMID- 26562916
TI - The Impact of Same- and Other-Race Gaze Distractors on the Control of Saccadic
Eye Movements.
AB - Two experiments were aimed at investigating whether the implementation of
voluntary saccades in White participants could be modulated more strongly by gaze
distractors embedded in White versus Black faces. Participants were instructed to
make a rightward or leftward saccade, depending on a central directional cue.
Saccade direction could be either congruent or incongruent with gaze direction of
the distractor face. In Experiment 1, White faces produced greater interference
on saccadic accuracy than Black faces when the averted-gaze face and cue onset
were simultaneous rather than separated by a 900-ms asynchrony. In Experiment 2,
two temporal intervals (50 ms vs. 1,000 ms) occurred between the initial
presentation of the face with direct-gaze and the averted-gaze face onset,
whereas the averted-gaze face and cue onset were synchronous. A greater
interference emerged for White versus Black faces irrespective of the temporal
interval. Overall, these findings suggest that saccadic generation system is
sensitive to features of face stimuli conveying eye gaze.
PMID- 26562917
TI - Relation of Descriptor Completeness and Distinctiveness to Localization of the
Most Informative Regions in Images.
AB - Allocation of informative regions in images depends on a visual task. We propose
two criteria for allocation of informative regions in images considering visual
recognition of objects in images using a space-variant foveal filter. The first
criterion relates to descriptor completeness. This criterion is formalized as a
measure of similarity of an original image to an image reconstructed from foveal
filter responses. The second criterion relates to descriptor distinctiveness.
This criterion relates to the problem of retrieving discriminative and repeatable
features in images of objects. It is formalized as a variation of descriptor
elements of different objects within the same class. We reveal that regions of
interest produced by these criteria are distributed in the areas of high variety
of brightness gradients orientations.
PMID- 26562918
TI - Smooth Pursuit in Elderly Adults Studied With Apparent Motion.
AB - The variability of smooth pursuit eye movements was studied in a group of healthy
subjects for horizontal apparent motion by a method that does not require direct
measurements of eye movements. It was found that the individual smooth pursuit
efficiencies for binocular perception in group of healthy elderly subjects (mean
age 61 years) as well as in the group of healthy young adults were distinctly
differentiated. Furthermore, we have not detected any age-related decrease in the
fraction of subjects showing high smooth pursuit efficiencies. This fact
demonstrates that the human oculomotor system is relatively resistant to the
effects of aging. At the same time, an appreciable increase of percentage of
persons with directional asymmetry of smooth pursuit has been found among elderly
adults. A higher smooth pursuit efficiency was noticed reliably more often in the
direction from left to right rather than in the opposite direction. Subject eye
movements were recorded with i-View XTM Hi-Speed 1250 eye tracking system (SMI
Inc.). These records confirmed that the smooth pursuit accuracy of older adults
is less than that of young persons, at least in some directions of tracking.
PMID- 26562919
TI - Early Changes in Saccadic Eye Movement in Hemiparkinsonian MPTP-Treated Monkeys.
AB - The saccadic eye movements declining given the development of Parkinson's disease
(PD) still deserves thorough analysis. Recent studies confirmed that PD patients
show poor saccadic control in visuomotor tasks. The purpose of this study was to
investigate the dynamics of saccades parameters at the development of 1-methyl-4
phenyl-1,2,3,6-tetrahydropyridine (MPTP) model of PD. The gradual decline of
saccadic control was studied in two monkeys that executed the visuomotor task
with low doses of MPTP being injected at a prolonged period of time. The
experiment included investigating the horizontal, vertical, oblique visually
guided saccades as well as the corrective saccades triggered by stimuli onset in
various loci within a two-dimensional visual field in the Gap-Step-Overlap
paradigm. Our study revealed that the execution of visually guided saccades with
small amplitude and corrective saccades changed dramatically with MPTP-model
progressing. These changes are also confirmed statistically at the presymptomatic
stage of MPTP syndrome. Not only our study gives a robust report of PD dynamics
development and saccadic control but the obtained data could also be helpful in
developing methods for the early diagnosis of PD.
PMID- 26562920
TI - Scanpaths of Complex Image Viewing: Insights From Experimental and Modeling
Studies.
AB - From the first works of Buswell, Yarbus, and Noton and Stark, the scan path for
viewing complex images has been considered as a possible key to objective
estimation of cognitive processes and their dynamics. However, evidences both pro
and con were revealed in the modern research. In this article, the results
supporting the Yarbus-Stark concept are presented. In psychophysical tests, two
types of images (three paintings from Yarbus' works and four textures) were used
with two instructions, namely, "free viewing" and "search for modified image
regions." The focus of the analysis of experimental results and modeling has been
given to local elements of the scan path. It was shown that each parameter used
(square of viewing area, S; distance between center of mass of viewing area and
image center, R; parameter Xi, based on duration of the current fixation and
angle between preceding and following saccades), reflects the specificity of both
visual task and image properties. Additionally, the return gaze fixations which
have a set of specific properties and mainly address to the areas of interest on
image were revealed. Evidently these facts can be formalized in an advanced
mathematical model as additional instrument to study the mechanisms of complex
image viewing.
PMID- 26562921
TI - The Influence of Monocular Spatial Cues on Vergence Eye Movements in Monocular
and Binocular Viewing of 3-D and 2-D Stimuli.
AB - The influence of monocular spatial cues on the vergence eye movements was studied
in two series of experiments: (I) the subjects were viewing a 3-D video and also
its 2-D version-binocularly and monocularly; and (II) in binocular and monocular
viewing conditions, the subjects were presented with stationary 2-D stimuli
containing or not containing some monocular indications of spatial arrangement.
The results of the series (I) showed that, in binocular viewing conditions, the
vergence eye movements were only present in the case of 3-D but not 2-D video,
while in the course of monocular viewing of 2-D video, some regular vergence eye
movements could be revealed, suggesting that the occluded eye position could be
influenced by the spatial organization of the scene reconstructed on the basis of
the monocular depth information provided by the viewing eye. The data obtained in
series (II), in general, seem to support this hypothesis.
PMID- 26562922
TI - A Relationship Between Visual Complexity and Aesthetic Appraisal of Car Front
Images: An Eye-Tracker Study.
AB - Image aesthetic pleasure (AP) is conjectured to be related to image visual
complexity (VC). The aim of the present study was to investigate whether (a) two
image attributes, AP and VC, are reflected in eye-movement parameters; and (b)
subjective measures of AP and VC are related. Participants (N=26) explored car
front images (M=50) while their eye movements were recorded. Following image
exposure (10 seconds), its VC and AP were rated. Fixation count was found to
positively correlate with the subjective VC and its objective proxy, JPEG
compression size, suggesting that this eye-movement parameter can be considered
an objective behavioral measure of VC. AP, in comparison, positively correlated
with average dwelling time. Subjective measures of AP and VC were related too,
following an inverted U-shape function best-fit by a quadratic equation. In
addition, AP was found to be modulated by car prestige. Our findings reveal a
close relationship between subjective and objective measures of complexity and
aesthetic appraisal, which is interpreted within a prototype-based theory
framework.
PMID- 26562923
TI - Metaphorical Salience in Artistic Text Processing: Evidence From Eye Movement.
AB - The study aimed to explore processing difference between a literal phrase and a
metaphoric one. Unlike artificially created stimuli in most experimental
research, an artistic text with an ambiguous binary metaphoric phrase was used.
Eye tracking methodology was applied. Results suggested difference between the
two types of phrases in both early and late processing measures.
PMID- 26562924
TI - Eye Movements as Indicators of Vestibular Dysfunction.
AB - Virtual reality technologies are in wide use in sport psychology. An advantage of
this kind of technology is the possibility to assess sportspeople's readiness to
perform complex movements. This study is aimed at developing a method for the
evaluation of vestibular function disturbances in young skaters. Such
disturbances may occur while skaters are performing rotation movements. To
achieve this goal, we induced a vection illusion, accompanied by virtual
environment rotation in a CAVE virtual reality system. Vestibular disturbances
were tested for two groups-professional skaters and people who had very little or
no skating experience. The quantitative evaluation of vestibular dysfunction was
based on eye movement characteristics, which were recorded in subjects
experiencing a vection illusion.
PMID- 26562925
TI - Monocular Versus Binocular Calibrations in Evaluating Fixation Disparity With a
Video-Based Eye-Tracker.
AB - When measuring fixation disparity (an oculomotor vergence error), the question
arises as to whether a monocular or binocular calibration is more precise and
physiologically more appropriate. In monocular calibrations, a single eye fixates
on a calibration target that is taken as having been projected onto the center of
the fovea; the corresponding vergence state represents the heterophoria (the
resting vergence position), which has no effect on the calibration procedure. In
binocular calibrations, a vergence error may be present and may affect the
subsequent measurement of the fixation disparity during binocular recordings.
This study includes a test of the precision of both monocular and binocular
calibrations and an evaluation of the impact of the calibration procedure on the
measurement of fixation disparity during a dot scanning task. Our results show
that 11 participants (out of 19) each exhibited a significant difference in
fixation disparity with the two types of calibration procedures. In addition, the
fixation disparity was more strongly affected by heterophoria undergoing
monocular calibration, as opposed to binocular calibration. This serves as
additional evidence showing that the monocular calibration produces a
physiologically more plausible fixation disparity and seems to be more
appropriate for studying the full extent of fixation disparity.
PMID- 26562926
TI - ETRAN--R Extension Package for Eye Tracking Results Analysis.
AB - Novel open source R extension package for general-purpose eye tracking results
analysis proposed. Now supported features are data loading from SMI eye trackers,
different methods of fixations detection, various imaging techniques for raw
data, and detected fixations (time sequence, scanpath, heatmap, and dynamic
visualization). The modular structure of the package and a detailed description
of each function provide a convenient way to further extend the functionality.
Effective use of package requires knowledge of R programming language and
environment.
PMID- 26562927
TI - The Effectiveness of Gaze-Contingent Control in Computer Games.
AB - Eye-tracking technology and gaze-contingent control in human-computer interaction
have become an objective reality. This article reports on a series of eye
tracking experiments, in which we concentrated on one aspect of gaze-contingent
interaction: Its effectiveness compared with mouse-based control in a computer
strategy game. We propose a measure for evaluating the effectiveness of
interaction based on "the time of recognition" the game unit. In this article, we
use this measure to compare gaze- and mouse-contingent systems, and we present
the analysis of the differences as a function of the number of game units. Our
results indicate that performance of gaze-contingent interaction is typically
higher than mouse manipulation in a visual searching task. When tested on 60
subjects, the results showed that the effectiveness of gaze-contingent systems
over 1.5 times higher. In addition, we obtained that eye behavior stays quite
stabile with or without mouse interaction.
PMID- 26562929
TI - Enhancement on Wingate Anaerobic Test Performance With Hyperventilation.
AB - Relatively long-lasting metabolic alkalizing procedures such as bicarbonate
ingestion have potential for improving performance in long-sprint to middle
distance events. Within a few minutes, hyperventilation can induce respiratory
alkalosis. However, corresponding performance effects are missing or equivocal at
best. PURPOSE: To test a potential performance-enhancing effect of respiratory
alkalosis in a 30-s Wingate Anaerobic Test (WAnT). METHODS: 10 men (mean +/- SD
age 26.6 +/- 4.9 y, height 184.4 +/- 6.1 cm, body-mass test 1 80.7 +/- 7.7 kg,
body-mass test 2 80.4 +/- 7.2 kg, peak oxygen uptake 3.95 +/- 0.43 L/min)
performed 2 WAnTs, 1 with and 1 without a standardized 15-min hyperventilation
program pre-WAnT in randomized order separated by 1 wk. RESULTS: Compared with
the control condition, hyperventilation reduced (all P < .01) pCO2 (40.5 +/- 2.8
vs 22.5 +/- 1.6 mm Hg) and HCO3 - (25.5 +/- 1.7 vs 22.7 +/- 1.6 mmol/L) and
increased (all P < .01) pH (7.41 +/- 0.01 vs 7.61 +/- 0.03) and actual base
excess (1.4 +/- 1.4 vs 3.2 +/- 1.6 mmol/L) pre-WAnT with an ergogenic effect on
WAnT average power (681 +/- 41 vs 714 +/- 44 W) and total metabolic energy (138
+/- 12 vs. 144 +/- 13 kJ) based on an increase in glycolytic energy (81 +/- 13 vs
88 +/- 13 kJ). CONCLUSION: Hyperventilation-induced respiratory alkalosis can
enhance WAnT cycling sprint performance well in the magnitude of what is seen
after successful bicarbonate ingestion.
PMID- 26562930
TI - Self-Myofascial Release: No Improvement of Functional Outcomes in 'Tight'
Hamstrings.
AB - PURPOSE: Self-myofascial release (SMR) is a common exercise and therapeutic
modality shown to induce acute improvements in joint range of motion (ROM) and
recovery; however, no long-term studies have been conducted. Static stretching
(SS) is the most common method used to increase joint ROM and decrease muscle
stiffness. It was hypothesized that SMR paired with SS (SMR+SS) compared with SS
alone over a 4-wk intervention would yield greater improvement in knee-extension
ROM and hamstring stiffness. METHODS: 19 men (22 +/- 3 y) with bilateral reduced
hamstring ROM had each of their legs randomly assigned to either an SMR+SS or an
SS-only group. The intervention consisted of 4 repetitions of SS each for 45 s or
the identical amount of SS preceded by 4 repetitions of SMR each for 60 s and was
performed on the respective leg twice daily for 4 wk. Passive ROM, hamstring
stiffness, rate of torque development (RTD), and maximum voluntary contraction
(MVC) were assessed pre- and postintervention. RESULTS: Passive ROM (P < .001),
RTD, and MVC (P < .05) all increased after the intervention. Hamstring stiffness
toward end-ROM was reduced postintervention (P = .02). There were no differences
between the intervention groups for any variable. CONCLUSION: The addition of SMR
to SS did not enhance the efficacy of SS alone. SS increases joint ROM through a
combination of decreased muscle stiffness and increased stretch tolerance.
PMID- 26562932
TI - [Reading as identity and/or companion. Reflections on the ontology of the rubric
"Polcmustra" (Bookshelf Survey)].
PMID- 26562931
TI - Expression of SERPINA3s in cattle: focus on bovSERPINA3-7 reveals specific
involvement in skeletal muscle.
AB - alpha1-Antichymotrypsin is encoded by the unique SERPINA3 gene in humans, while
it is encoded by a cluster of eight closely related genes in cattle. BovSERPINA3
proteins present a high degree of similarity and significant divergences in the
reactive centre loop (RCL) domains which are responsible for the antiprotease
activity. In this study, we analysed their expression patterns in a range of
cattle tissues. Even if their expression is ubiquitous, we showed that the
expression levels of each serpin vary in different tissues of 15-month-old
Charolais bulls. Our results led us to focus on bovSERPINA3-7, one of the two
most divergent members of the bovSERPINA3 family. Expression analyses showed that
bovSERPINA3-7 protein presents different tissue-specific patterns with diverse
degrees of N-glycosylation. Using a specific antibody raised against bovSERPINA3
7, Western blot analysis revealed a specific 96 kDa band in skeletal muscle.
BovSERPINA3-7 immunoprecipitation and mass spectrometry revealed that this 96 kDa
band corresponds to a complex of bovSERPINA3-7 and creatine kinase M-type.
Finally, we reported that the bovSERPINA3-7 protein is present in slow-twitch
skeletal myofibres. Precisely, bovSERPINA3-7 specifically colocalized with
myomesin at the M-band region of sarcomeres where it could interact with other
components such as creatine kinase M-type. This study opens new prospects on the
bovSERPINA3-7 function in skeletal muscle and promotes opportunities for further
understanding of the physiological role(s) of serpins.
PMID- 26562933
TI - Experience-dependent emergence of a grouping bias.
AB - Humans share with non-human animals perceptual biases that might form the basis
of complex cognitive abilities. One example comes from the principles described
by the iambic-trochaic law (ITL). According to the ITL, sequences of sounds
varying in duration are grouped as iambs, whereas sequences varying in intensity
are grouped as trochees. These grouping biases have gained much attention because
they might help pre-lexical infants bootstrap syntactic parameters (such as word
order) in their language. Here, we explore how experience triggers the emergence
of perceptual grouping biases in a non-human species. We familiarized rats with
either long-short or short-long tone pairs. We then trained the animals to
discriminate between sequences of alternating and randomly ordered tones. Results
showed animals developed a grouping bias coherent with the exposure they had.
Together with results observed in human adults and infants, these results suggest
that experience modulates perceptual organizing principles that are present
across species.
PMID- 26562934
TI - Mates but not sexes differ in migratory niche in a monogamous penguin species.
AB - Strong pair bonds generally increase fitness in monogamous organisms, but may
also underlie the risk of hampering it when re-pairing fails after the winter
season. We investigated whether partners would either maintain contact or offset
this risk by exploiting sex-specific favourable niches during winter in a
migratory monogamous seabird, the southern rockhopper penguin Eudyptes
chrysocome. Using light-based geolocation, we show that although the spatial
distribution of both sexes largely overlapped, pair-wise mates were located on
average 595 +/- 260 km (and up to 2500 km) apart during winter. Stable isotope
data also indicated a marked overlap between sex-specific isotopic niches
(delta13C and delta15N values) but a segregation of the feeding habitats
(delta13C values) within pairs. Importantly, the tracked females remained longer
(12 days) at sea than males, but all re-mated with their previous partners after
winter. Our study provides multiple evidence that migratory species may well
demonstrate pair-wise segregation even in the absence of sex-specific winter
niches (spatial and isotopic). We suggest that dispersive migration patterns with
sex-biased timings may be a sufficient proximal cause for generating such a
situation in migratory animals.
PMID- 26562935
TI - Invasive ants carry novel viruses in their new range and form reservoirs for a
honeybee pathogen.
AB - When exotic animal species invade new environments they also bring an often
unknown microbial diversity, including pathogens. We describe a novel and widely
distributed virus in one of the most globally widespread, abundant and damaging
invasive ants (Argentine ants, Linepithema humile). The Linepithema humile virus
1 is a dicistrovirus, a viral family including species known to cause widespread
arthropod disease. It was detected in samples from Argentina, Australia and New
Zealand. Argentine ants in New Zealand were also infected with a strain of
Deformed wing virus common to local hymenopteran species, which is a major
pathogen widely associated with honeybee mortality. Evidence for active
replication of viral RNA was apparent for both viruses. Our results suggest co
introduction and exchange of pathogens within local hymenopteran communities.
These viral species may contribute to the collapse of Argentine ant populations
and offer new options for the control of a globally widespread invader.
PMID- 26562936
TI - Ocean acidification bends the mermaid's wineglass.
AB - Ocean acidification lowers the saturation state of calcium carbonate, decreasing
net calcification and compromising the skeletons of organisms such as corals,
molluscs and algae. These calcified structures can protect organisms from
predation and improve access to light, nutrients and dispersive currents. While
some species (such as urchins, corals and mussels) survive with decreased
calcification, they can suffer from inferior mechanical performance. Here, we
used cantilever beam theory to test the hypothesis that decreased calcification
would impair the mechanical performance of the green alga Acetabularia acetabulum
along a CO2 gradient created by volcanic seeps off Vulcano, Italy. Calcification
and mechanical properties declined as calcium carbonate saturation fell; algae at
2283 uatm CO2 were 32% less calcified, 40% less stiff and 40% droopier. Moreover,
calcification was not a linear proxy for mechanical performance; stem stiffness
decreased exponentially with reduced calcification. Although calcifying organisms
can tolerate high CO2 conditions, even subtle changes in calcification can cause
dramatic changes in skeletal performance, which may in turn affect key biotic and
abiotic interactions.
PMID- 26562937
TI - Coronary angiogenesis during morphine and nicotine withdrawal in two-kidney one
clip hypertensive (2K1C) rats.
AB - OBJECTIVE: This study was aimed to investigate the effects of addiction to
nicotine and morphine and their withdrawal on coronary angiogenesis and serum NO
concentrations in two-kidney one-clip hypertensive (2K1C) rats. METHODS: Male
hypertensive rats were divided into the two below groups: Group (1): Rats
received saline for 8 weeks (N=8); Group (2): Rats received morphine and nicotine
for 8 weeks (n=32). At the end of 8 weeks, the groups (2) were divided into the
four sub-groups, which three of them were treated with withdrawal drugs.
Following treatments, blood pressure, heart rate, plasma renin activity (PRA), NO
concentration and capillary density were measured. RESULTS: Results showed that
blood pressure was significantly reduced in the addicted group when compared to
non-addicted (p<0.05). The withdrawal completely reversed blood pressure to the
level observed pre-addiction (p<0.05). Coronary angiogenesis was significantly
lower in the addicted group in comparistion to normal (p<0.05) but withdrawal of
addiction did not improve angiogenesis. CONCLUSION: On the basis of the present
findings, it may be indicative that the risk of cardiovascular complications in
addiction is concurrent to chronic hypertension, which shows the importance of
early diagnosis and treatment in clinical condition (Fig. 4, Ref. 59).
PMID- 26562938
TI - [Sense making affects stress response processes in parents of children with
developmental disorders].
AB - This study examined the stress-buffering effects of sense making among parents of
children with developmental disorders. A model assuming that social support is
related to sense making and that coping strategy mediates sense making and stress
response was examined via a questionnaire survey of mothers of children with
developmental disorders (N = 245). The results of structural equation modeling
analysis suggested the following: (a) the stress-buffering effects of sense
making were mediated through an emotional approach coping strategy and sense
making was positively related to stress response mediated through an active
coping strategy; (b) seeking a meaning directly increased one's stress response,
which was indirectly mediated by an avoidant coping strategy; and (c) the effects
of social support on sense making and coping strategy, as well as stress
response, varied with the kind of social resources from whom mothers anticipated
support. These results suggest that sense making affects stress response in
mothers of children with developmental disorders through the social support they
anticipate and the coping strategies they adopt.
PMID- 26562940
TI - [The effects of self-anger on rumination and on mental health].
AB - This study investigated the effects of self-anger on rumination and mental health
(depression and anxiety). In study 1, a scale to measure self-anger was developed
by the review of previous studies and survey interviews. Exploratory factor
analysis identified one factor of self-anger. The reliability and validity of the
scale were confirmed by internal consistency measures and correlations with other
anger-related scales. In study 2, which used the self-anger scale developed in
study 1, undergraduate and graduate students completed a set of scales to measure
self-anger, rumination, depression, anxiety, and five-factor personality traits.
The results of mediation analysis indicated that self-anger effects depression
and anxiety directly or through mediating rumination excluding the effect of sex
and neuroticism. Finally, the possibility that self-anger management leads to the
reduction of rumination and improvement of mental health was discussed.
PMID- 26562939
TI - [Examination of role of personality and the effects of stress coping in stress
process as within process].
AB - This study used daily diary methods to investigate if fear of interpersonal
stress in daily affect could be explained by coping strategies, and if daily
affect and coping would vary randomly across personality traits. Every day for
one week, 103 undergraduates recorded their daily events, perceived interpersonal
stress, cognitive appraisal, coping strategies, positive events, and positive and
negative affect twice a day. A hierarchical linear model and multilevel
structural equation modeling were used to examine the relationships between
variables. Results, suggest that problem-focused coping was associated with
within-level maladjustment, while positive reappraisal was associated with within
level adjustment. In addition, neuroticism appeared to moderate the relationship
between coping and daily affect. Furthermore, there is evidence that higher fear
of interpersonal stress predicts greater active coping, and positive affect.
PMID- 26562941
TI - [The development, reliability, and validity of a Japanese version of the MBI-ES].
AB - In this study, we developed a Japanese version of the Maslach Burnout Inventory -
Educators Survey (MBI-ES). We also examined the reliability and validity of the
scale, based on data from Japanese schoolteachers. Because some items related to
depersonalization showed a floor effect, the reliability of the MBI-ES was
evaluated using item response theory (IRT), which can evaluate the difficulty of
the items. The IRT analysis showed that scores of emotional exhaustion and
personal accomplishment had high reliabilities among the wide range of
distribution of the latent scores around the means. However, the reliability of
the depersonalization items was estimated to be moderate when it was implemented
among highly depersonalized teachers, whereas the reliability was lower for
relatively healthy teachers. Correlations with the General Health Questionnaire,
frequency of emotional labor, and job satisfaction were mostly consistent with
previous research and the current theory of burnout, supporting the high validity
of the Japanese version of the MBI-ES.
PMID- 26562942
TI - [Do adults' pretense signals promote pretend play behavior in children?].
AB - Our goal in this study was to examine whether controlled pretense signal
presentation by an adult promoted pretend play behavior in toddlers. Seventy-two
Japanese toddlers (24 toddlers in the 18-month-old group, 24 toddlers in the 24
month-old group, and 24 toddlers in the 30-month-old group) participated in one
of two experimental conditions: signal and signal-less. In the signal condition,
the experimenter presented children with pretend play behaviors (eating,
drinking, pouring, and wiping) accompanied by a smile, speech including sound
effects, and gazing. In the signal-less condition, the experimenter presented
only pretend play behavior with a neutral facial expression without speech or
gazing. For each child, we coded the number of pretend play behaviors and
recorded the number of seconds the toddler engaged in the behavior. Results
indicated that 18- and 24-month-old toddlers' pretend play behavior lasted longer
in the signal condition than it did in the signal-less condition. However, the 30
month-old toddlers showed no difference in pretend play behaviors between the
signal conditions. In sum, adults' pretense signals promoted pretend play
behavior only in 18- and 24-month-olds, and not in 30-month-olds.
PMID- 26562943
TI - [Remembering past events activates temporal concepts of future].
AB - The cognitive function to project oneself into the specific past or future is
labeled mental time travel (MTT). MTT to the past is considered "episodic memory"
and the future is termed "episodic future thinking". Remembering the past and
imaging the future during MTT both draw on information stored in episodic memory:
a process that enables integration of episodic information into a coherent event
representation. Recent studies suggested that episodic information in past/future
event representations varies with temporal distance from the present to the
event. However, it is unclear whether the influence on temporal distance is
actually caused by the function of episodic memory retrieval. The present study
investigated the relationship between episodic memory and temporal concepts with
a lexical decision task. The results indicate that remembering the past activated
temporal concepts of the near future more than that of the far future. This
finding suggests that the rich information derived from episodic memory modulates
the subjective sense of time in episodic future thinking.
PMID- 26562944
TI - [The effect of self-reflection on depression mediated by hardiness].
AB - Previous studies have shown that two types of private self-consciousness result
in opposing effects on depression; one of which is self-rumination, which leads
to maladaptive effect, and the other is self-reflection, which leads to an
adaptive effect. Although a number of studies have examined the mechanism of the
maladaptive effect of self-rumination, only a few studies have examined the
mechanism of the adaptive effect of self-reflection. The present study examined
the process of how self-reflection affected depression adaptively, Based on the
previous findings, we proposed a hypothetical model assuming that hardiness acts
as a mediator of self-reflection. To test the validity of the model, structural
equation modeling analysis was performed with the cross-sectional data of 155
undergraduate students. The results. suggest that the hypothetical model is
valid. According to the present results and previous findings, it is suggested
that self-reflection is associated with low levels of depression and mediated by
"rich commitment", one component of hardiness.
PMID- 26562945
TI - [The psychological effects of taking in "Shikohin": A cross-sectional exploratory
study].
AB - This study explores the psychological effects that Japanese people experience
when consuming their "Shikohin", such as alcohol, tea, coffee, and tobacco.
We'conducted a cross-sectional study among 542 people, from 20-to 69-year-old;
who regularly consumed any one of "Shikohin" in Tokyo, Kanagawa, Saitama, and
Chiba. The participants responded to an anonymous questionnaire concerning the
consumption patterns of their "Shikohin" and the psychological effects that they
experienced in taking in their "Shikohin". Results obtained using the K-J methods
showed three common psychological effects in each "Shikohin". These effects
included an increase in relaxation response, the promotion of social
relationships, and an increase in positive mood. Our findings suggest that
Japanese people may get some common effects through consumption of different
"Shikohin".
PMID- 26562946
TI - [Test-retest reliability of the Implicit Association Test for measuring shyness:
Inclusion of malleability of implicit shyness].
AB - The Implicit Association Test of Shyness (Shyness IAT: Aikawa & Fujii, 2011)
provides an indirect assessment of shyness by measuring associations of self (vs.
other) with shyness-related (vs sociability-related) words. In this study we
examined the test-retest reliability of the Shyness IAT. Thirty-five participants
responded twice to the Shyness IAT with a time lag of one month. The correlation
coefficient between the two time points was .54 (p = .001), confirming an
adequate level of test-retest reliability. Indeed, changes in explicit and
implicit shyness between the two time points were not related to sociable
behavior during the one month period. Implications of the results for the
assessment of personalities using IATs as well as relevant future directions are
discussed.
PMID- 26562947
TI - [The development of the Five-item Loneliness Scale for Children].
AB - The purpose of the present study was to develop a simplified scale to assess
loneliness in children. Participants were 646 elementary school students (335
boys and 311 girls) from 4th to 6th grade and 24 homeroom teachers who identified
lonely children within the participants of their classes. The'student
participants completed the Five-item Loneliness Scale for Children (Five-LSC) and
other scales measuring social skills, social competence, and withdrawal to
confirm the validity of the Five-LSC. The results showed that the Five-LSC was
both reliable and valid. In addition, there were no grade or sex differences in
loneliness. Future research using the Five-LSC was discussed.
PMID- 26562948
TI - Terms to Be Concerned About in EMR Purchasing / Financing Contracts.
PMID- 26562949
TI - Michigan Care Improvement Registry Update.
PMID- 26562950
TI - Breaking Up Is Hard to Do, But It's Time to Move On.
PMID- 26562951
TI - The Cost of Technology: Facing the Challenge.
PMID- 26562952
TI - Telemedicine: Emerging Risks.
PMID- 26562953
TI - Has Technology Made You Richer?
PMID- 26562954
TI - What Is the Wealth of Technology Affording Us?
PMID- 26562955
TI - Addressing the Remaining Challenge of Pneumococcal Disease in Older Adults.
PMID- 26562956
TI - Pneumococcal Disease in Older Adults--An Overview.
PMID- 26562957
TI - Challenges in the Management of Pneumococcal Disease in Older Adults.
PMID- 26562958
TI - Age and the Immune System.
PMID- 26562959
TI - Vaccines for the Prevention of Pneumococcal Disease.
PMID- 26562960
TI - Pneumococcal Vaccines--a Real World Perspective.
PMID- 26562961
TI - Pneumococcal Vaccination in Different Specialties.
PMID- 26562962
TI - Role of Pneumococcal Vaccination in Chronic Lung Diseases.
PMID- 26562963
TI - Pneumococcal Vaccine in Diabetes: Relevance in India.
AB - Currently we have more than 65 million Diabetes patients in India with estimated
80 million prediabetics. Diabetes is a immunologically vulnerable population to
develop all types of microbial infections. Pneumoccocal infections do have a
substantial morbidity and mortality burden in the community. India has a large
geriatric pool now which has substantially increased pneumococcal disease burden.
Diabetes is a well-known risk factor for pneumococcal infection and predisposes
individuals to nasopharyngeal colonization with the pneumococcus which is
associated with invasive infection. In diabetics who are elderly, with chronic
kidney or pulmonary disease and long standing duration of the disease with poor
glycemic control are the highest risk group susceptible to invasive pneumococcal
disease. With now availibilty of Pneumoccal vaccine in India, now it may be an
preventive option which can be offered. Most global organisations recommend
pneumococcal vaccination to diabetics.
PMID- 26562964
TI - Role of Pneumococcal Vaccination in Renal Diseases.
PMID- 26562965
TI - Role of Pneumococcal Vaccination in Oncology Patients.
PMID- 26562966
TI - Pneumococcal Vaccination in Rheumatic Diseases.
PMID- 26562967
TI - Role of Pneumococcal Vaccine in HIV Infected Population.
PMID- 26562968
TI - Role of Pneumococcal Vaccine in Travelers.
PMID- 26562969
TI - An educational approach for "non-compliant" patients.
AB - Patients frequently are readmitted to the intensive care unit because of a lack
of lifestyle change that puts them at risk for cardiac issues. Nurses view this
as non-compliance, however, use of the term "non-compliance" has negative
connotations. The factors contributing to non-compliance include nursing's views,
and patients' perceptions of health risk and lack of motivation. In this article,
the author proposes an educational approach and strategies for intensive care
nurses to use when teaching patients about changes required to address lifestyle
behaviours, such as increasing exercise, smoking cessation, and healthy diets.
PMID- 26562970
TI - Checklist to meet Ethical and Legal Obligations in the consent pathway for
critically ill patients (ChELO): A quality improvement project and case studies.
AB - Ethical or legal errors related to the consent pathway for incapable patients are
an everyday reality. Quality improvements in communication or palliative care
have been attempted, but little attention has been given to meeting basic legal
and ethical obligations. In this paper, the authors share lessons learned during
two years of implementing the Checklist for meeting Ethical and Legal Obligations
(ChELO) in the intensive care unit of a large community hospital in Ontario. We
use a case-based approach to demonstrate the need for our intervention, our use
of positive deviance in a change strategy, and the effectiveness of the checklist
itself. Through stories, we show common ethical and legal errors related to the
consent pathway and how we were able to resolve them with this innovative tool.
PMID- 26562971
TI - Approach to unplanned extubations in a pediatric intensive care unit.
AB - An unplanned extubation is defined as an extubation that occurs at a time other
than that planned for the procedure. It may result in life-threatening
complications such as laryngospasm, pulmonary or cardiac failure. Quality
improvement initiatives have targeted an unplanned extubation rate of 0% in
pediatric intensive care units. OBJECTIVE: To determine if a targeted approach
specific to local practices and unit culture could decrease the incidence of and,
ultimately, eliminate unplanned extubations in our tertiary care PICU. METHOD:
This study used a mixed methods design involving three phases. Phase 1 involved
the retrospective collection of data on all unplanned extubations from September
2011 to August 2013. Phase 2 involved development of a quality improvement
program and Phase 3 involved prospective collection of data on all unplanned
extubations from January to August 2014. RESULTS: There were 12 unplanned
extubations in Phase 1 resulting in a rate of 0.9 per 100 patient ventilator
days. The majority of unplanned extubations occurred in children less than one
year of age (66.6%, 8/12), and during the nightshift (91.7%). In addition, 25% of
events occurred during chest radiographs. Forty-one per cent of patients who had
an unplanned extubation required re-intubation and one of the seven children who
remained extubated required non-invasive airway support. Staff concerns included
inadequate sedation, loose endotracheal tubes and frequent manipulation of
endotracheal tubes. Following Phase 2 and a more comprehensive tracking method,
we recorded 10 unplanned extubations with a rate of 0.9 per 100 patient
ventilator days, but there were no unplanned extubations in patients less than a
month of age or during chest radiographs and only four unplanned extubations
occurred during the nightshift (40%). CONCLUSION: Our initiatives were successful
in decreasing the unplanned extubations associated with certain high-risk factors
in our unit, but not in decreasing our overall unplanned extubation rate. We have
identified several issues to target for our next round of audit and feedback.
While it is important to learn from studies that show a decrease in unplanned
extubation rates, we think that it is equally important to understand why others
do not achieve their desired goals, as these studies may provide support and
ideas for other units struggling with the same issues.
PMID- 26562973
TI - Fundamental changes occurring in the dental delivery system in this country.
PMID- 26562972
TI - Every Pinohc Should Count.
PMID- 26562974
TI - "Corporate dental practice" really mean?
PMID- 26562975
TI - Future of dental practice.
PMID- 26562976
TI - A Short Tour as a Staff Dentist in a Large Group Practice.
AB - A large group practice can be owned and managed by a dentist with all business
operations internal to the organization. Under such a system there can still be a
separation of chairside and overall patient oral health considerations. Such a
model provides benefits to some dentists--specially those beginning their careers
and those who are working a few hours per week at the end of their careers.
Centralizing business functions within an office and screening them from the
practitioners who provide the care have advantages and disadvantages.
PMID- 26562977
TI - Practice Experience with a Practice Management Company.
AB - This article describes the experiences of a dentist using a contracted dental
services organization to manage the business aspects of a multisite group oral
and maxillofacial practice. The need for help with management functions first
became apparent in medicine, and several models emerged there. The model used in
this practice sought to take advantage of specialized expertise without reducing
practitioners' control over dental decisions, including those going beyond narrow
clinical decisions. Personal experiences and suggestions for best fit between
practices on contracted services are presented.
PMID- 26562978
TI - A Comprehensive Health Group Practice Model.
AB - Permanente Dental Associates includes 17 offices in the Pacific Northwest. Among
the distinguishing characteristics of this model are a predominantly HMO
structure and integration of care in a general medical program. Staff dentists
are on salary and are largely relieved of the business details of practice.
Ultimate control of the system is vested in a group of shareholders--the dentists
who practice chairside. One of the shareholder-practitioners discusses his
perspective on this system.
PMID- 26562979
TI - The Indian Health Service Model From the Treatment Perspective.
AB - The nonprofit dental delivery model is appropriate for the needs of specific
patient populations. The Indian Health Service is an example of how care can be
provided where traditional fee-for-service and indemnity mechanisms may be
insufficient. Separating care from management in this context gives dentists
greater power over individual treatment decisions, increased choice of patient
relevant care options, and control over development of the practice model and its
evolution. The needs of various populations groups and the funding or profit
model inevitably influence the composition of the dental team and assignment of
dental duties.
PMID- 26562980
TI - Retrospective Evaluation of Treatment Planning for Dental Implant.
AB - This retrospective study investigates the diagnostic rationale for the extraction
of teeth and their replacement with implants in a dental school setting. Most of
the teeth were extracted for restorative reasons (62.7%). The other reasons for
extraction were periodontal (35.1%) and endodontic (1.3%). A panel of
endodontists disagreed with the treatment-planning dentists' decisions in 40.3%
of the cases. Slightly more than half, 52.9%, of the disagreements were for
restorative reasons. Most of the decisions in disagreement were made by general
dentists (60.6%), far fewer by prosthodontists (25.5%), periodontists (12.2%),
and oral surgeons (1.6%). An extensive review of the literature is provided.
PMID- 26562981
TI - Do Patients and Dentists See Ethics the Same Way?
AB - The most common approach to ethics in dentistry and bioethics generally is
through principles. To be effective, principles must be interpreted in particular
situations, and the skill of interpretation requires many years of practice with
feedback. The opinions of 91 dentists and 54 patients regarding multiple
potential actions and justifications for these actions were gathered for eight
dental ethics cases. The summary responses of dentists and patients have been
integrated as feedback in an online ethics education exercise that individual
dentists can use (see www.dental ethics.org/idea). The dataset of responses was
also analyzed for general findings. It emerged that patients and dentists agree
to a substantial extent on the average approaches, but they differ systematically
on certain of the details. Some ethical issues stimulated a narrow range of
responses while others, especially those of a nonclinical nature were regarded as
ambiguous and are thus good candidates for future ethics training. A factor
analysis revealed a five-dimension structure underlying dental ethics. Patients
are most apt to view dentistry using a lens of oral health outcomes while
practitioners prefer to stress the process and the technical dimensions of
practice. The largest area of difference was patients' much greater interest in
dentists assuming an active role as patient oral health advocates with their
colleagues.
PMID- 26562982
TI - A pilot study on the application of the current European guidelines for the
management of acute coronary syndrome without elevation of ST segment (NSTEMI) in
the Emergency Department setting in the Italian region Lazio.
AB - BACKGROUND: In 2011 the European Society of Cardiology published the new
guidelines for the treatment and management of acute coronary syndrome without
elevation of the ST segment (NSTEMI). For the treatment of the syndrome, the use
of P2Y12 inhibitors in addition to aspirin was strongly recommended (evidence
IA). We studied the application of this recommendation in the setting of the
emergency department in the vast and uneven area of the Italian region Lazio,
three years after the release of these drugs in Italy. METHODS: 121 consecutive
patients (65% older than 65 years) affected by NSTEMI were recruited between May
and July 2013. During the transition in the emergency department data was
collected on patient's symptoms, syndrome severity and type & timing of
treatments chosen. Adherence to the guidelines was evaluated considering the
number of "good treated" patients: these being the patients that received at
least 80% of the main five recommendations on percutaneous coronary intervention
(PCI) timing, antiplatelet and anti-coagulant therapy suggested by the European
Cardiology Task Force (ESC guidelines, 2011) for the very acute phase of NSTEMI.
RESULTS: Patients were treated with: 1) 35% of cases with double antiplatelet
therapy and anticoagulation (DAPT+AC), 2) 22% of cases with single antiplatelet
and anticoagulation (SAPT+AC), 3) 6% of cases with a single antiplatelet therapy
(SAPT), 4) 6% of cases with a double antiplatelet therapy (DAPT) and 5) 24% of
cases did not receive any therapy. Data on PCI was available for 95 patients and,
of these, only 82% of the patients underwent the procedure. The percentage of
"good treated" patients were among of 20-40%, depending on PCI timing--as
guidelines suggested--was considered as mandatory (20,5%) or as the extreme time
limit (40%). Significant differences were found between patients treated in a
central hospital with a hemodynamic laboratory active 24/24hr (HUB) and patients
treated in the other hospital (SPOKE). HUBs showed a higher percent of "good
treated" patients, a higher percentage of early invasive treated and a better
adherence to recommended pharmacological therapy. CONCLUSIONS: A significant
number of patients did not receive adequate treatment during the emergency
department stay. The absence of hemodynamic services increases the risk of
inadequate treatment.
PMID- 26562983
TI - Report on Non-fatal events cardio-cerebro-vascular to ten years in a Southern
Italy cohort.
AB - BACKGROUND: Data relating to non-fatal cardiovascular events are poor but these
data are essential to organize targeted interventions on the territory and to
understand their effectiveness. METHODS: We calculated the rates of morbidity
from cardiovascular events covering the period 1998/99-2008/09, in a cohort of
1200 persons (600 men and 600 women) aged 25 to 74 years. Data were standardized
using the European standard population. RESULTS: The incidence of events to ten
years of non-fatal myocardial infarc.ion was 2.2% in men and of 1.8% in women.
PCI interventions to ten year have been 3.3% in men and 3.4% in women, the
interventions of aorto-coronary bypass have been 2.4% and 0.5% for men and women
respectively. While all major cardiovascular events have been more frequent in
men, in women there was a higher incidence of stroke (1.6% vs 0.9%). CONCLUSION:
Although by comparison with other European countries Italy is among the countries
considered at low-risk of coronary heart disease, in Campania cardiovascular
diseases reach higher rates than the rest of the country. Our results are in
keeping with the literature data and confirm that cardiovascular diseases are a
major public health problem. Local analysis are useful in providing additional
information for planning prevention interventions targeted to its own territory.
PMID- 26562984
TI - [Anti remodeling therapy: new strategies and future perspective in post-ischemic
heart failure: Part I].
AB - In recent years, the remarkable progress achieved in terms of survival after
myocardial infarction have led to an increased incidence of chronic heart failure
in survivors. This phenomenon is due to the still incomplete knowledge we possess
about the complex pathophysiological mechanisms that regulate the response of
cardiac tissue to ischemic injury. These involve various cell types such as
fibroblasts, cells of the immune system, endothelial cells, cardiomyocytes and
stem cells, as well as a myriad of mediators belonging to the system of cytokines
and not only. In parallel with the latest findings on post-infarct remodeling,
new potential therapeutic targets are arising to halt the progression of disease.
In this review, we evaluate the results obtained from four new therapeutic
strategies: in this part we evaluate gene therapy and novel aspect of stem cells
therapy in remodeling; in the second part we will investigate, micro-RNA,
posttranslational modification and microspheres based therapy.
PMID- 26562985
TI - [Anti remodeling therapy: new strategies and future perspective in post-ischemic
heart failure. Part II].
AB - In recent years, the remarkable progress achieved in terms of survival after
myocardial infarction have led to an increased incidence of chronic heart failure
in survivors. This phenomenon is due to the still incomplete knowledge we possess
about the complex pathophysiological mechanisms that regulate the response of
cardiac tissue to ischemic injury. These involve various cell types such as
fibroblasts, cells of the immune system, endothelial cells, cardiomyocytes and
stem cells, as well as a myriad of mediators belonging to the system of cytokines
and not only. In parallel with the latest findings on post-infarct remodeling,
new potential therapeutic targets are arising to halt the progression of disease.
After the evaluation of the results obtained from gene therapy and stem cells, in
this part we evaluate micro-RNA, post-translational modification and microspheres
based therapy.
PMID- 26562987
TI - Usefulness of transthoracic echocardiogram in management of cardiac involvement
in large B-Cell lymphoma: a case report.
AB - Primary lymphoma often involve the heart, especially the right side. Prompt
diagnosis is necessary to start the right therapy and decrease symptoms and death
risk rate. Transthoracic echocardiogram is the first line exam to perform when
symptoms are suspicious of mediastinal mass.
PMID- 26562986
TI - A rare case of acute Clozapine- related thrombogenic myocarditis.
PMID- 26562988
TI - [The GICR polls: a new instrument for Cardiovascular Prevention and
Rehabilitation in Italy].
AB - During the year 2015 GICR-IACPR, a scientific society for Cardiovascular
Prevention and Rehabilitation (CRP) in Italy, carried out several "Polls" based
on its website, in order to know current attitudes of health operators involved
in the management and care of cardiac patients. The Poll #1 focused on post
revascularization residual myocardial ischemia, familial dyslipidemia, erectile
dysfunction, sleep apnoea, and hyperuricaemia, all conditions being paradigmatic
of well known situations of high cardiovascular risk and disability in the CRP
setting. In the present report feasibility and results of the GICR Poll #1 are
discussed.
PMID- 26562989
TI - [Methanogen and human gut health--A review].
AB - Methane is produced exclusively through anaerobic fermentation of both endogenous
and exogenous carbohydrates by methanogens. Methane production is detectable in
about one third of healthy adult individuals. In recent years, many studies have
found that methanogens played an important role in maintaining stability in the
gut microbiota. Researches have paid much attention on the metabolism of the
methane in the gut. The relationship between methane and intestinal dysfunction
has also been investigated. The number of methanogens in irritable bowel syndrome
patients is different when compared with the normal individuals. Besides,
methanogens are potentially linked with obesity. This article reviewes the role
of methanogens in gastrointestinal homeostasis and intestinal diseases (irritable
bowel syndrome, colon cancer), as well as the relation between methanogens and
obesity.
PMID- 26562990
TI - [Lactobacilli and colon carcinoma--A review].
AB - Epidemiological studies showed that incidence of colon carcinoma is increased in
the world. There are many difficulties to inhibit colon carcinoma because the
causes of inducing colon carcinoma were various and interactive each other.
Previous evidence supported the balance of the colonic microflora was critical in
inhibiting colon carcinoma and the protection by colonic microflora could be
improved by ingesting lactobacilli. Therefore, the biological functions and
anticancer effects of lactobacilli attract attention of researchers. In this
review we discussed the causes of colon carcinoma; the anticancer mechanisms of
lactobacilli on the basis of our own studies. Eventually, we summarized the
effects of anticancer of different components and metabolic products extracted
from lactobacilli.
PMID- 26562991
TI - [Advances in studies of the type III secretion system in Ralstonia solanacearum-
A review].
AB - Bacterial wilt caused by Ralstonia solanacearum is one of the most devastating
plant diseases worldwide. The syringe-like type III secretion system (T3SS) plays
a crucial role in its pathogenicity. R. solanacearum uses the T3SS to inject
effector proteins (Type III effectors) into the cytoplasm of host cells, causing
diseases in susceptible plants or triggering the hypersensitive response in
resistant plants. In this article we review recent advances in studies of R.
solanacearum T3SS and highlight their unique features.
PMID- 26562992
TI - [Diversity of faecal bacteria in several mammals by 454 high-throughput
sequencing].
AB - OBJECTIVE: The aim of this study was to analyze the diversities and differences
of several mammalian' s faecal bacteria, to understand the relationships between
bacterium diversities and animals' evolutionary and animals' feeds. METHODS:
Genomic DNA of feces was extracted and amplified for the 16S rDNA V3 tags, and
then the tags were sequenced by 454 sequencing. QIIME were used to analyze faecal
bacterial diversities. RESULTS: Faecal bacteria of all animals were dominated by
Firmicutes, Bacteroidetes and Proteobacteria. Bacterial diversities of Hylobates
hoolock, Pan troglodytes and Rhinopithecus roxellanae were the highest, followed
by Panthera tigris altaica, Ailuropoda melanoleuca and Ursus thibetanus were the
lowest through alpha diversity analysis. The constituents of faecal bacteria
among Hylobates hoolock, Pan troglodytes and Rhinopithecus roxellanae were
similar. The constituents of faecal bacteria among Ailuropoda melanoleuca, Ursus
thibetanu, and Panthera tigris altaica were similar. Mainly for containning
Fusobacteria, the faecal bacterial of Panthera tigris altaica differed from the
other two carnivore animals through beta diversity analysis. CONCLUSION: The
dominating faecal bacteria were obvious, the bacteria similarities of the two
repetitions were the highest. The diversities of each animal were different and
higher in the primates. Both evolution and food were related to faecal bacteria.
This study provided some references for exploring the new microorganism and
further research of faecal bacteria.
PMID- 26562993
TI - [Genetic diversity analysis of Fusarium oxysporum f. sp. cubense populations from
China using Inter-Simple Sequence Repeats-PCR (ISSR-PCR) technique].
AB - OBJECTIVE: We used Inter-Simple Sequence Repeats (ISSR) markers to reveal the
genetic diversity of 95 Fusarium oxysporum f. sp. cubense ( FOC ) isolates from
banana in China, for the rational control of the disease. METHODS: Eight primers
were chosen for analyzing FOC isolates to study their genetic diversity by ISSR
PCR. All isolates were clustered using Unweighted Pair-Group Method with
Arithmetic means (UPGMA) analysis by NTSYSpc v2.10e software. RESULTS: A total of
52 sites were generated, among them 92.3% were polymorphic. Genetic distance was
0.57 to 1.00 based on the Nei's standard. Isolates were grouped into six distinct
clusters (A, B, C, D, E and F) based on ISSR analysis using a genetic distance
threshold of 0.68, the proportion of 51.06%, 39.58%, 5.20%, 2.08%, 1.04%, and
1.04%, respectively. CONCLUSION: There were high levels of genetic variation
among the FOC isolates, and the ISSR clustering groups had obvious correlation
with hosts and races of the pathogen.
PMID- 26562994
TI - [Isolation and Characterization of Microbes from Pericarpium Citri Reticulatae].
AB - OBJECTIVE: The longer the Pericarpium Citri Reticulatae is preserved, the better
medicinal values will be. The present work aims to isolate beneficial microbes
isolated from the 7-year orange peel that might be used to produce high quality
Pericarpium Citri Reticulatae. METHODS: The microbes isolated from the 7-year
orange peel using 4 mediums were grouped by SDS-PAGE patterns of the whole-cell
protein electrophoresis and IS-PCR DNA fingerprinting. The representative strains
were further studied by physiological and biochemical tests, and phylogeny
analysis. RESULTS: Total 23 bacteria were obtained from the 7-year orange peel.
These strains were classified into 4 groups: the strains of group I belonged to
Bacillus; the strains of group II, group III and group IV were closed to
Paenibacillus. CONCLUSION: Among the representative strains of group I, II, III
and IV, only the representative strain cp20 of group II has the ability of strong
utilizing citrate obviously. The strain cp20 of group II were further applied to
making Pericarpium Citri Reticulatae.
PMID- 26562995
TI - [Engineering and heterologous expression of a nikkomycin biosynthetic gene
cluster].
AB - OBJECTIVE: We expressed a nikkomycin biosynthetic gene cluster in the well
characterized surrogate Streptomyces coelicolor M1146. METHODS: By using PCR
targeting method, we replaced the promoters of sanG and sanF in pNIK, which
contains nikkomycin biosynthetic gene cluster, with the hrdB promoter to generate
pNIKm. We transferred pNIK and pNIKm into S. coelicolor M1146 by intergeneric
conjugation and obtained M1146-NIK and M1146-NIKm, respectively. We then
evaluated expression of the gene cluster in the heterologous host by RT-PCR.
Furthermore, we also compared the antifugal activity and nikkomycin production of
M1146-NIK and M1146-NIKm by bioassay against Alternaria longipes and HPLC
analysis. RESULTS: M1146-NIK and M1146-NIKm exhibited antifungal activity, and
they can produce a trace amount of nikkomycin X, nikkomycin Z and pseudo-Z. There
was a substantial accumulation of uridine in M1146-NIK, whereas substantial
accumulations of uridine, ribofuranosyl-4-formyl-4-imidazolone and
pyridylhomothreonine were observed in M1146-NIKm. CONCLUSION: We successfully
expressed the nikkomycin biosynthetic gene cluster in the heterologous host and
identified nikkomycins and some of its key biosynthetic intermediates. This study
will provide the basis for enzymatic reaction of the condensation between the two
nikkomycin moieties and for the generation of hybrid antibiotics by combinatorial
biosynthesis.
PMID- 26562996
TI - [Adaptation of Anoxybacillus flavithermus ssp. yunnanesis E13(T) to toluene at
the level of fatty acid composition of membrane].
AB - OBJECTIVE: Anoxybacillus flavithermus subsp. yunnanensis is now the only species
of thermophilic bacteria able to tolerate toxic solvents at high temperature. The
adaptive responses of A. flavithermus subsp. yunnanensis E13(T) to toluene on the
level of fatty acid composition of membrane were studied in detail. METHODS: The
extraction of fatty acids was performed according to the method described in the
Sherlock Microbial Identification System manual. The fatty acid compositions were
analyzed by gas chromatography mass spectrometry (GC-MS). RESULTS: In presence of
0.3% (V/V) toluene, key moment to adapt the saturated straight-chain fatty acids
was that when cells grew from the lag phase to the initial growth phase in
liquid. The saturated straight-chain fatty acids were continuously decreased as
the strain E13(T) to grow. In survival of the cells in 100% toluene, the
saturated straight-chain fatty acids increased significantly. CONCLUSION: A.
flavithermus ssp. yunnanesis E13(T) alters its membrane fluidity via fatty acid
composition to become more rigid when it is exposed to solvent, which is
consistent that commonly found in mesophilic organic solvent-tolerant bacteria.
However, it adapted its membrane by increasing straight-chain saturated fatty
acids, rather than unsaturated fatty acids, which was demonstrated in mesophilic
organic solvent-tolerant bacteria.
PMID- 26562997
TI - [Enhanced epsilon-poly-L-lysine production by improving cellular activity during
fermentation].
AB - OBJECTIVE: To assess the effect of cellular activity on epsilon-poly-1-lysine
(epsilon-PL) biosynthesis and thereby to rationally improve the production, we
studied the cellular activity, epsilon-PL formation and other parameters cross
flask fermentation by Streptomyces ahygroscopicus. METHODS: Laser scanning
confocal microscopy and a colorimetric method were used to determine cellular
activity using BacLight Live/Dead and 5-cyano-2,3-ditolyl tetrazolium chloride
(CTC) as viable stains. To enhance the activity of the cells in the epsilon-PL
production period, yeast extract was added. RESULTS: During epsilon-PL submerged
fermentation in flasks, most cells were active in the growth period (0 - 16 h);
cells had metabolic activity in the growth and earlier epsilon-PL production
periods between 0 and 30 h fermentation. Almost no activity was detected after 48
h fermentation when no epsilon-PL was produced. The improved fermentation
achieved 2. 24 g/L epsilon-PL from 1.04 g/L. CONCLUSION: Biosynthesis of epsilon
PL can be boosted by up-regulating cell activity in its production phase.
PMID- 26562998
TI - [Regulation effect of CcpA protein on the biosynthesis of capsular polysaccharide
in Streptococcus pneumoniae].
AB - OBJECTIVE: We studied the regulation effect of glycometabolic protein, catabolite
control protein A (CcpA), on the biosynthesis of capsular polysaccharide ( CPS)
in Streptococcus pneumonia. METHODS: His-tagged CcpA protein was expressed in E.
coli BL21 (DE3) and purified by Ni2+ affinity chromatography. The anti-CcpA serum
was obtained from immunized mice and the antibody titer was determined by ELISA.
The conservation of CcpA was determined by Western blotting. In addition, binding
of CcpA protein to the promoter region of cps locus was verified by EMSA. The
amount of capsular polysaccharide was determined by ELISA and compared among wild
type D39 strain, ccpA mutant and the complement strains. RESULTS: CcpA protein
was conserved in different pneuococcal serotypes included in this study. EMSA
assay revealed that CcpA protein could bind the promoter region of the cps locus
in a dose-dependent manner. The absence of ccpA gene led to an increased
expression of capsular polysaccharide, and complement expression of CcpA protein
significantly reduced the amount of capsular polysaccharide. CONCLUSION: CcpA is
conserved in Streptococcus pneumonia, which plays a role in regulation of the
expression of the capsular polysaccharide.
PMID- 26562999
TI - [Gene cloning, expression and characterization of N-acylhomoserine lactonase from
Bacillus subtilis SS6].
AB - OBJECTIVE: This study was aimed to obtain a quorum quenching N-acylhomoserine
lactonase gene from Bacillus subtilis SS6 and to characterize the enzyme.
METHODS: We amplified N-acylhomoserine lactonase gene from B. subtilis SS6 by PCR
methods. Gene aiiA(SS6) was cloned into the expression vector of pET28 (a) and
transformed into Escherichia coli BL21. The activity of AiiA(SS6) on signal N-(3
Oxooctanoyl)-L-homoserine lactone was characterized by high performance liquid
chromatography (HPLC). RESULTS: We successfully cloned an N-acylhomoserine
lactonase gene from B. subtilis SS6 strain, namely aiiA(SS6) (GenBank: KP125494).
The sequencing result showed that the length of aiiA(SS6) was 891 bp and the gene
contained an Open Reading Frame encoding 297 amino acids. HPLC results showed
that AiiA(SS6) was very active between 50 and 90 degrees C and the optimal pH was
7.6. Lineweaver-Burk treatment of the data yielded apparent K(m) and the V(max)
was 0.998 mmol/L and 22.3 U/mg, respectively. Moreover, the relative activity of
the enzyme remained 86% after storing at 4 degrees C for 3 months. CONCLUSION:
Our findings will be helpful for further studies.
PMID- 26563000
TI - [Screening for mutants with thermostabe lipase A from Burkholderia sp. ZYB002].
AB - OBJECTIVE: We improved the thermostability of LipA from Burkholderia cecapia
ZYB002 by protein engineering technology to expand the application of lipase
LipA. METHOD: On the basis of B-factor value of lipase LipA, series of potential
mutation hotspots were selected for iterative saturation mutagenesis and the
corresponding small mutation gene libraries were then constructed to screen the
hyperthermal variants. RESULTS: From the above mutation libraries, we obtained a
series of mutants whose enzyme half-life at 55 degrees C increased by 1.7 to 2.2
fold. CONCLUSION: B-factor iterative test (B-FIT) is feasible to mutate
thermostable strains.
PMID- 26563001
TI - Isolation and characterization of Pseudomonas aeruginosa strain SJTD-2 for
degrading long-chain n-alkanes and crude oil.
AB - OBJECTIVE: Oil pollution poses a severe threat to ecosystems, and bioremediation
is considered as a safe and efficient alternative to physicochemical. METHODS:
for eliminating this contaminant. In this study, a gram-negative bacteria strain
SJTD-2 isolated from oil-contaminated soil was found capable of utilizing n
alkanes and crude oil as sole energy sources. The efficiency of this strain in
degrading these pollutants was analyzed. METHODS: Strain SJTD-2 was identified on
the basis of its phenotype, its physiological features, and a comparative genetic
analysis using 16S rRNA sequence. Growth of strain SJTD-2 with different carbon
sources (n-alkanes of different lengths and crude oil) was assessed, and the gas
chromatography-mass spectrometry method was used to analyze the degradation
efficiency of strain SJTD-2 for n-alkanes and petroleum by detecting the residual
n-alkane concentrations. RESULTS: Strain SJTD-2 was identified as Pseudomonas
aeruginosa based on the phenotype, physiological features, and 16S rRNA sequence
analysis. This strain can efficiently decompose medium-chain and long-chain n
alkanes (C10-C26), and petroleum as its sole carbon sources. It preferred the
long-chain n-alkanes (C18-C22), and n-docosane was considered as the best carbon
source for its growth. In 48 h, 500 mg/L n-docosane could be degraded completely,
and 2 g/L n-docosane was decomposed to undetectable levels within 72 h. Moreover,
strain SJTD-2 could utilize about 88% of 2 g/L crude oil in 7days. Compared with
other alkane-utilizing strains, strain SJTD-2 showed outstanding degradation
efficiency for long-chain n-alkanes and high tolerance to petroleum at elevated
concentrations. CONCLUSION: The isolation and characterization of strain SJTD-2
would help researchers study the mechanisms underlying the biodegradation of n
alkanes, and this strain could be used as a potential strain for environmental
governance and soil bioremediation.
PMID- 26563002
TI - [Endophytic fungal diversity of four bryophyte species in Dawei Mountain,
Southwest of China].
AB - OBJECTIVE: We analyzed endophytic fungi from 4 bryophyte species: Mnium sp. ,
Marchantia polymorpha, Polytrichum commune and Hylocomium splendens, collected
from Dawei Mountain, Southwest of China, to study the diversity of fungal
endophytes of bryophytes in different environment and their roles in the
evolution from aquaqtic plant to terrestrial plant. METHODS: Endophytic fungi
were isolated by culturable method and identified to species or genera level
based on morphological characteristics and molecular analysis. RESULTS: Nine
hundred fungal endophytes were isolated from 630 tissue segments of 4 different
plants. All endophytes were identified to 57 taxon. Among them, Xylaria,
Colletotrichum, Penicillium and Trichoderma were the dominant genera. The Shannon
index (H') and similarity coefficients (CS) of endophytic fungi from 4 plants
were 1.80 - 3.22 and 0.409 - 0.613, respectively, higher than those of bryophytes
growing in extreme environments. CONCLUSION: The diversity and richness of
endophytes from 4 bryophytes in Dawei Mountain are similar to those of plants
growing in the similar environment.
PMID- 26563003
TI - [Genetic diversity of peanut bradyrhizobia estimated by culture-independent vs.
culture-dependent approaches].
AB - OBJECTIVE: To develop a rapid technique for estimating the percentage of
bradyrhizobial nodule occupancy, we comparaed the differences of genetic
diversity of peanut bradyrhizobia with culture-independent and culture-dependent
methods. METHODS: We used the traditional media plate technique for isolation of
peanut bradyrhizobia and directly collected the bacteroids from peanut nodules.
The BOX-PCR fingerprintings were compared after amplification with the DNAs of
peanut bradyrhizobial isolates by culture-dependent approach and bacteroids by
culture-independent approach. RESULTS: The percentage of testing for peanut
bacteroids was 81.8% with culture-independent method, and 85 genotypes of BOX-PCR
were obtained. The percentage of isolation for peanut bradyrhizobia strains was
72.7% and 71 genotypes of BOX-PCR were produced. There were totally 17
corresponding BOX-PCR genotypes obtained by both methods. CONCLUSION: The culture
independent method for direct analysis of genetic diversity from bacteroids in
nodules can much more rapidly and clearly find the dominant genetic groups in
different soil samples and fast figure out the.percent of the rhizobia nodule
occupancy.
PMID- 26563004
TI - [Identification of a point mutation in the promoter region of cps operon
responsible for capsular polysaccharide deficiency in Streptococcus pneumniae
SPY1].
AB - OBJECTIVE: To determine if the point mutation of nt313713 T --> C in the promoter
region of capsular polysaccharide biosynthesis (cps) operon is responsible for
the deficiency of capsular polysaccharide in S. pneumoniae SPY1 strain. METHODS:
Western blot was used to compare the amounts of capsular polysaccharide between
the wild-type strain and SPY1 strain. Real-time quantitative PCR was used to
determine transcription levels of the first four genes of cps operon , cps2A,
cps2B, cps2C and cps2D. The lacZ gene was used as a reporter gene to report the
strength of the promoters on cps transcription. The cps promoter was amplified by
PCR from the wild-type strain or SPY1 strain. The amplified fragments were cloned
into shuttle vector pEVP3, transformed into S. pneumoniae D39 or SPY1 strain. The
transcription activities of the promoters on capsular polysaccharide biosynthesis
were determined by using beta-galactosidase as the reporter. Transmission
electron microscopy and the Neufeld test were used to reveal the changes in
capsule. RESULTS: Compared to that in the wild-type strain, mRNA levels of the
cps genes were significantly decreased in SPY1 strain. The amount of CPS was also
decreased in SPY1 strain. beta-galactosidase activities in SPY1-pEVP3-cps
promoter(SPY1) and D39-pEVP3-cps promoter(SPY1) were decreased by about 79% and
76%, respectively, compared to that of the control. Transmission electron
microscopy showed that the amount of the capsular polysaccharide of SPY1-pEVP3
cps promoter(D39) strain was restored to the wild-type level. In addition,
capsular polysaccharide was absent in the D39-pEVP3-cps promoter(SPY1)
(NC_008533. 1 313713 T --> C) strain as determined by Neufeld test. CONCLUSION:
The point mutation of nt313713 T --> C in the cps promoter region results in a
significantly reduced transcription of the cps genes, which is responsible for
the significant reduction or even absence of the biosynthesis of capsular
polysaccharide in SPY1 strain.
PMID- 26563005
TI - [Effect of phosphorus supply and signal inhibitors on oxalate efflux in
ectomycorrhizal fungi].
AB - OBJECTIVE: Phosphorous (P) is one of the essential elements for tree growth in
forests. It is beneficial to characterize oxalate secretion by ectomycorrhizal
fungi in response to P supply for understanding the mechanism of P mobilization
in soils. METHOD: In the present experiment, the influence of P supplies and
inhibitors of Ca2+ signal/anion channel on oxalate efflux in ectomycorrhizal
fungi was studied in the pure liquid culture with various P concentrations.
RESULTS: Ectomycorrhizal fungi released a large amount of H+ and organic acids
such as oxalate, acetate, malate, citrate and succinate, which are important for
mobilization of insoluble P in the soils. Oxalate accounted for 15. 14% to 36.
01% of the total organic acids released by the fungi and was accelerated in
culture solution under the condition of low P supply, but inhibited under normal
and high P. CONCLUSION: Ectomycorrhizal fungi released a large amount of H+ and
organic acids, particularly oxalate, which might be beneficial to inorganic P
mobilization in the soils and improvement of P nutrition for their host plants.
PMID- 26563006
TI - HPV-positive head and neck tumours, a distinct clinical entity.
AB - A worldwide rise in the incidence of oropharyngeal squamous cell cancer has been
recorded over the past decade. This rise has been attributed to an increase in
oncogenic human papilloma virus (HPV) infections. The biology of HPV-positive
oropharyngeal cancer is distinct; it includes p53 degradation, retinoblastoma
pathway inactivation, and p16 upregulation. The best method for detecting HPV in
tumour material is controversial; both in-situ hybridisation and PCR are commonly
used. However, p16 can serve as a potential surrogate marker, and therefore, p16
immunohistochemistry is widely used in clinical practice. Compared to HPV
negative cancers, HPV-positive oropharyngeal cancer appears to be more responsive
to chemotherapy and radiotherapy. Thus, p16 can serve as a prognostic marker for
enhanced overall survival and disease-free survival. In this review, we aimed to
highlight the current understanding of the epidemiology, biology, detection, and
management of HPV-related oropharyngeal head and neck squamous cell carcinoma.
PMID- 26563007
TI - Cervical lymph node metastases and T1 squamous cell carcinoma of the lips.
AB - OBJECTIVE: The occurrence of cervical lymph node metastasis is a major prognostic
factor for head and neck squamous cell carcinoma (SCC) of the lip. This study
focuses on patients with T1 stage tumours,in order to describe the prevalence of
metastatic lymphadenopathies. METHODS: A multicenter retrospective study was
performed in three tertiary care referral centers and included 59 patients
surgically treated for T1 stage SCC of the lips from January 1996 to December
2006. This surgical treatment concerned the tumour, with cervical neck dissection
when lymph node metastasis was suspected. RESULTS: Cervical lymph node metastasis
was found and histologically proven in 7 patients (11.9% of the cases, pN+ group;
95% confidence interval, 3.6 to 20.2%) during follow-up, never at the time of
diagnosis. Metastasis appeared 13.3 +/- 7.9 months (min. 5.3 months, max. 29.1
months) after the initial treatment and involved the IB level in all cases.
Tumour progression was significantly longer in the pN+ group compared to patients
with no lymph node metastases (sN-group). The pN+ group also had a significantly
higher proportion of poorly or moderately differentiated tumours, and a
significant decrease in overall survival, disease-free survival, and disease
specific survival. CONCLUSION: Lymph node metastasis occurs in roughly 12% of T1
stage SCC of the lips, and the management of neck lymph node areas is necessary.
A randomized trial is needed to determine the proportion of occult lymph node
metastases in T1N0 patients and the impact of this therapeutic procedure on
survival.
PMID- 26563009
TI - Bipolar Quantum Molecular Resonance versus Blunt Dissection tonsillectomy.
AB - OBJECTIVES: This study compared a quantum molecular resonance tonsillectomy
(QMRT) to a standard blunt dissection tonsillectomy (BDT) for effectiveness and
safety. METHODOLOGY: From January 2011 to September 2012, we recruited 80
children (ages 3 to 16 y) with paediatric obstructive sleep apnoea syndrome
and/or recurrent tonsillitis. Patients were randomly assigned to receive QMRT (N
= 40) or BDT (N = 40). The operating time and blood loss during surgery were
evaluated. During the first postoperative week, the patients' parents completed a
questionnaire to evaluate bleeding, ear and neck pain, nausea, vomiting,
interrupted sleep, oral liquid intake or discomfort in fluid assumption, and
analgesic consumption. RESULTS: The average tonsillectomy duration was
significantly shorter in the QMRT group (22.07 min +/- 9.05) than in the BDT
group (35.12 min +/- 13.32; p < 0.000005). The average blood loss during
tonsillectomy was significantly lower for the QMRT group (5.62 ml +/- 7.44) than
for the BDT group (43 ml +/- 33.20; p < 000000001). However, the BDT group
reported significantly lower pain scores than the QMRT group on days 2 (p <
0.05), 5 (p < 0.05), and 6 (p < 0.05); on other days, the groups were not
significantly different. The BDT group reported two early and one late bleeding
episodes; the QMRT group recorded only two late bleeding episodes. CONCLUSIONS:
QMRT significantly reduced the operating time and intra-operative blood loss. No
significant differences were found between the two techniques in postoperative
pain or bleeding.
PMID- 26563008
TI - Pharyngocutaneous fistulae after total laryngectomy: analysis of the risk factors
and treatment approaches.
AB - OBJECTIVES: To investigate the incidence and predisposing factors in the
development of postoperative pharyngocutaneous fistula (PCF) after total
laryngectomy. METHODOLOGY: A total of 166 patients with complete medical records
who underwent total laryngectomy (TL) due to laryngeal cancer were analysed
retrospectively. The mean age of the patients was 57.4 (+ 19.6) years. This study
looked at a total of 32 different parameters considered to be effective in the
development of pharyngocutaneous fistula after total laryngectomy. RESULTS:
Thirty-two patients (19.2%) had a pharyngocutaneous fistula. Aged over 61 years
(p = 0.003), Diabetes Mellitus (DM) (p = 0.002), alcohol use (p = 0.006), history
of preoperative radiotherapy (p = 0.001), preoperative tracheotomy (p = 0.017),
postoperative low levels of haemoglobin (Hb) (p = 0.029), low levels of
preoperative albumin (p = 0.001), total protein and a low alb/glb
(albumin/globulin) ratio (p = 0.001), serum prealbumin levels on the third and
seventh postoperative days (p = 0.001), high postoperative CRP levels (p =
0.002), T4 stage (extralaryngeal) and presence of transglottic lesion (p =
0.003), presence of stage IV (p = 0.012) lesion, primary surgery accompanied by
bilateral neck dissection (p = 0.047), T-shaped oesophagus suture, postoperative
bleeding (p = 0.07), presence of postoperative fever (p = 0.001), presence of
skin defect in the anterior neck (p = 0.001) and presence of postoperative
depression (p = 0.001) were found to be statistically significant factors in the
development of PCF. CONCLUSIONS: Our study found many parameters associated with
an increased risk of the development of PCF. According to the multivariate
regression analysis, aged over 61 years, DM, preoperative RT, preoperative
tracheostomy, postoperative Hb under 10 g/dl, prealbumin under 17 mg/dl on the
third postoperative day, and a postoperative fever of 38.3 degrees C and above
were found be associated with a higher risk of the development of fistulae more
than the other risk factors.
PMID- 26563010
TI - Which technique is better for cholesteatoma surgery?
AB - OBJECTIVE: The objective of this study was to evaluate the long-term surgical
outcomes and recurrence rates of three surgical techniques that are commonly used
for cholesteatoma. PATIENTS AND METHODS: The hospital records of 132 patients
with primary cholesteatoma who underwent surgery between January 1996 and
December 2006 were evaluated retrospectively. Twelve cases had bilateral disease,
and a total of 144 ears were treated. The patients were divided into three groups
according to surgical technique: modified radical mastoidectomy (MRM) (n = 48
ears), radical mastoidectomy (RM) (n = 42 ears), and intact canal wall
mastoidectomy (ICWM) (n = 54 ears). MRM and RM procedures are canal wall down
(CWD) techniques, whereas the ICWM procedure is a canal wall up (CWU) technique.
Postoperatively, all patients were followed up yearly for at least 6 years. The
otomicroscopic features, cholesteatoma extension, surgical findings, and
recurrence rates were compared in the groups. RESULTS: Preoperative
otomicroscopic examination showed attic retraction or perforation in 32% of the
cases and central perforation in 11%. There was a higher cholesteatoma recurrence
rate in the ICWM group than in the MRM and RM groups (p < 0.05), but there was
also better hearing gain in the ICWM group (p < 0.05). CONCLUSION: There are
several surgical techniques for eradicating cholesteatoma. Our study found that
CWD procedures (RM, MRM) were more effective for the eradication of
cholesteatoma, but hearing gain was better when a CWU technique was used. The
choice of surgical technique should be individually tailored based on the pre
operative imaging and hearing examination findings.
PMID- 26563011
TI - Efficacy of medical therapy in the prevention of residual dizziness after
successful repositioning maneuvers for Benign Paroxysmal Positional Vertigo
(BPPV).
AB - OBJECTIVES: The aim of this study was to investigate the efficacy of
trimetazidine, betahistine, and ginkgo biloba extract in the treatment of
residual dizziness after successful repositioning maneuvers for benign paroxysmal
positional vertigo. METHODOLOGY: This was a randomized controlled clinical trial.
Complete clinical data were analyzed from 100 patients (27 men and 73 women; mean
age 52.16 +/- 13.2 years, range 11-80 years) with BPPV who underwent succcessful
repositioning maneuvers and then received betahistine, trimetazidine, gingko
biloba extract, or no medication (n = 25 for each group) for 1 week. On days 1,
3, and 5 after the repositioning maneuver, scores obtained from the Dizziness
Handicap Inventory (DHI) questionnaire were compared. RESULTS: There were no
statistically significant differences in the premedication DHI scores of patients
with residual dizziness among the four groups (p > 0.005). After 3 and 5 days of
treatment, the mean DHI scores of the groups receiving medication did not differ
significantly from the the mean DHI score of the control group (p > 0.005).
CONCLUSIONS: Our study results suggest that betahistine, trimetazidine, and
gingko biloba extract do not alleviate residual dizziness after successful
repositioning maneuvers.
PMID- 26563012
TI - Oral steroids and intraoperative bleeding during endoscopic sinus surgery.
AB - OBJECTIVES: Our main objective was to investigate the effect of preoperative oral
steroids on intraoperative bleeding and quality of the surgical field during
endoscopic sinus surgery (ESS). Our second objective was to determine whether the
osteitis score could be used to predict the volume of intraoperative bleeding.
METHODOLOGY: This double-blinded, randomized trial included 65 patients with
chronic rhinosinusitis with nasal polyps. The corticosteroid group received oral
prednisolone (1 mg/kg), administered to patients once daily for 2 days and then
tapered down, with treatment completed on the day 10. The control group received
placebo before the operation. Endoscopic exams were recorded, and preoperative
sinus computed tomography scans were scored. Intraoperative blood loss was
recorded. Quality of the surgical field was assessed by the surgeon, using a
linear scale from 1 to 10. RESULTS: The mean bleeding volume was 239 ml in the
corticosteroid group and 203 ml in control group. There was no significant
difference between the groups (p = 0.495). Surgical field quality scores were
higher in the corticosteroid group than in the control group, but the difference
was not significant (p = 0.36). There was no statistically significant
relationship between the bleeding volume and Kennedy Osteitis Scores in
corticosteroid group (r = 0.225, p = 0.186) and control group (r = 0.084, p =
0.663). CONCLUSION: Our findings suggest that using oral corticosteroids, which
have rare but serious side effects, is not necessary in the preoperative period.
Furthermore, we found that the radiological osteitis score was not a suitable
marker for predicting intraoperative bleeding volumes.
PMID- 26563013
TI - Changes in nasal flora one year after endoscopic dacryocystorhinostomy.
AB - PURPOSE: This study investigated changes in patient nasal and conjunctival flora
one year after endoscopic dacryocystorhinostomy (EDSR). METHODS: The prospective
study included 20 patients that underwent EDSR due to chronic dacryocystitis.
Conjunctival and nasal cultures were obtained one year after EDSR from both study
and control groups. Patient characteristics, chronic illnesses, the severity and
duration of complaints, culture results, and the stent removal time were recorded
and analyzed. RESULTS: In the study group, the most commonly isolated
microorganism in the nasal cultures was coagulase-negative staphylococcus (n =
11), and the second most commonly isolated microorganism was Staphylococcus
aureus (n = 7). A total of 11 (55%) of the nasal cultures in the study group
showed the presence of multi-drug resistant (MDR) bacteria, as did 2 (10%) of the
nasal cultures in the control group (p = 0.007). CONCLUSIONS: One year after EDSR
surgery with silicon stent placement, we detected changes in the nasal flora in
the operated side compared with the non-operated side. Even though more than half
of the nasal cultures in the study group were positive for MDR bacteria, these
microorganisms did not cause attacks of dacryocystitis or affect surgical
success.
PMID- 26563014
TI - Chronic rhinosinusitis; histopathologic study of osteitis in surgery cases.
AB - OBJECTIVE: To determine whether osteitis is associated with primary and revision
surgery in patients with chronic rhinosinusitis (CRS) and to determine its
relationship with mucosal inflammation. METHODOLOGY: Patients were divided into
two groups based on a history of prior endoscopic sinus surgery (ESS). The
primary surgery group included 74 patients who had ESS for the first time, and
the revision surgery included 37 patients who had repeat ESS. Histopathological
examinations were performed on specimens taken from the bony septa of the ethmoid
with the overlying mucosa. RESULTS: The incidence of osteitis was 70.3% in
patients in the revision surgery group and 56.8% in patients in the primary
surgery group (p = 0.229). Osteitis was associated with tissue eosinophilia and a
predominance of inflammatory cells (p = 0.01 and p = 0.01, respectively).
CONCLUSIONS: Surgery may not be the primary cause of bone remodeling in the sinus
area. Mucosal inflammation had no effect on the incidence of osteitis when it was
associated with tissue eosinophilia in CRS. Patients with osteitis may benefit
most from postoperative corticosteroid therapy to prevent further recurrence.
PMID- 26563015
TI - Schwannoma of the nasal septum presenting as a multicentric neuronal tumour.
AB - PROBLEM: Schwannomas (neurilemmomas) are benign primary tumours that arise from
Schwann cells. Schwannomas arising from the nasal septum are exceptionally rare.
Here, we report a unique case of schwannoma of the nasal septum presenting as a
multicentric neuronal tumour. RESULTS: A 40-year old male sustained intermittent
left tinnitus. Magnetic resonance imaging revealed masses near the nasal septum
and upper cervical cord in addition to a tumour in the left cerebellopontine (CP)
angle. The tumour in the nasal septum was completely resected by endoscopic
endonasal surgery and diagnosed as a typical schwannoma. The CP angle tumour was
treated with stereotactic radiosurgery, while the asymptomatic cord lesion showed
no significant growth and remains under observation. CONCLUSION: Endoscopic
endonasal surgery is useful for the resection of schwannomas of the nasal septum.
Schwannomas of the nasal septum may present as multiple neuronal tumours.
PMID- 26563016
TI - Inflammatory pseudotumour of the maxillary sinus mimicking malignancy.
AB - Inflammatory pseudotumours of the paranasal sinuses are rare entities and can be
easily confused with aggressive malignant tumours. In this report we describe the
characteristic behavior of inflammatory pseudotumours in the maxillary sinus. We
present a case of a 47-year-old Caucasian woman, who presented in our ENT
department because of progressive nasal obstruction, epistaxis, and epiphora. The
clinical as well as the radiological findings suggested a maxillary sinus
neoplasm, most probably of malignant nature. The histopathological examination
showed an inflammatory pseudotumour of the maxillary sinus with polypoid
structure and no signs of malignancy.
PMID- 26563017
TI - Vocal fold hyalinosis in Urbach-Wiethe disease, a rare cause of hoarseness.
AB - BACKGROUND: Lipoid proteinosis is an autosomal recessive disorder characterized
by hyalin deposits in the skin and mucosa of the upper aerodigestive tract;
currently, no treatment exists. Nearly all patients experience hoarseness and
speech difficulties, due to hyalin deposition in the vocal folds and diminished
mobility in infiltrated lips, tongue, and palate. METHODS: We describe a patient
with extensive hyalin plaques on the vocal folds, which resulted in near-aphonic
hoarseness. Hyalin deposits in the vocal folds and skin were treated with laser
resection. RESULTS: Both the vocal folds and skin improved in appearance, with
smoother surface epithelium. However, the patient's speech remained impaired, due
to extensive hyalin plaques in the mouth, tongue, and lips. The voice improved
only temporarily. CONCLUSIONS: Laser resection of hyalin plaques in the vocal
folds and skin is a feasible treatment for lipoid proteinosis. However, speech
may remain severely limited, due to impaired tongue and lip movement.
PMID- 26563018
TI - Superficial circumflex iliac artery perforator flap for reconstruction of oral
defects after tumor resection.
AB - BACKGROUND: The superficial circumflex iliac artery perforator (SCIP) flap, which
is the most recent advance in free flap surgery, is described as an evolution of
the conventional free groin flap. It has been applied to limb and penile
reconstruction. The SCIP flap is versatile and has many advantages, but there are
few reports on the application of the SCIP flap to head and neck defects. CASE
REPORT: We used a SCIP flap for reconstruction after resection of an oral
malignant tumor in two women, aged 43 and 55 years, who presented between 2010
and 2012 with squamous cell carcinoma of the right floor of the mouth and tongue.
After resection, the SCIP flap was elevated and used to reconstruct the defect
Both flaps survived well. CONCLUSIONS: We confirmed that the SCIP flap is an
ideal thin, pliable, and reliable skin flap for reconstructing intra-oral soft
tissue defects with minimal donor-site morbidity.
PMID- 26563019
TI - What's the plan for continuing care?
PMID- 26563020
TI - When things don't go well, what can we learn? Learning from our mistakes to
provide the best quality care for our clients.
PMID- 26563021
TI - Strategies to reduce wound dressing waste.
AB - Inappropriate use of dressing supplies and the amount of unused, unopened
dressings, often stockpiled in patient rooms and discarded upon patient discharge
begs the question about the environmental impact of this common practice.
Thousands of dollars could be saved each year if nurses placed more emphasis on
prevention and education, and addressed wound care in a standardized way that
blends cost-effectiveness with evidence-based practice.
PMID- 26563022
TI - Coming of age. ATTITUDES TOWARD ADULT POPULATION PRESENT BARRIERS AND
OPPORTUNITIES TO IMPROVE CARE.
PMID- 26563023
TI - It's time to have 'the talk'. RISING STI RATES AMONG OLDER ADULTS A COMPLICATED
ISSUE.
PMID- 26563024
TI - COMING FULL CIRCLE. Nurse and patient reunite after 20 years, and what they learn
is unbelievable.
PMID- 26563025
TI - Medical missions abroad: shorter commitment times made it possible for RN Kelly
Arraf.
PMID- 26563026
TI - RN Leanne Foff makes a difference both at home and abroad.
PMID- 26563027
TI - Nurses embody concept of patient-centred care at South Health Campus.
PMID- 26563028
TI - Chronic disease management a clear call to action.
PMID- 26563029
TI - Quantitative and qualitative morphologic, cytochemical and ultrastructural
characteristics of blood cells in the Crested Serpent eagle and Shikra.
AB - The Crested Serpent eagle (Spilornis cheela) is a bird of prey found in the
tropical rain forest in Thailand. The Shikra (Accipiter badius) is a sparrow hawk
and common resident in Thailand. Blood samples from 9 Crested Serpent eagles and
12 Shikras were obtained from September 2010 to November 2014. They were
clinically healthy and negative for blood parasites detectable by light
microscopy and molecular techniques (partial cytochrome b gene for avian malaria
and partial 18S rRNA gene for trypanosome). Cytochemical staining (Sudan black B,
peroxidase, alpha-naphthyl acetate esterase, and beta-glucuronidase) and
transmission electron microscopy were performed. Hematological results were
reported as the mean +/- standard deviation and median. Heterophils were the most
prevalent leukocytes in the Crested Serpent eagle, but in the Shikra, lymphocytes
were the most prevalent leukocytes. In the Shikra, some vacuoles were observed in
the cytoplasm of the eosinophils. All blood cells in both types of raptors
stained positively for beta-glucuronidase but negatively for peroxidase. The
ultrastructure of heterophils showed more clearly differentiate long rod granules
in Crested Serpent eagle and spindle-shaped granules in Shikra. The
ultrastructure of the eosinophils in the Crested Serpent eagle revealed varied
electron-dense, round-shaped granules with round, different electron-dense areas
in the centers of some granules, which differed from the structure reported for
other raptors. These quantitative results may be useful for clinical evaluations
of Crested Serpent eagles and Shikras that are undergoing rehabilitation for
release.
PMID- 26563030
TI - Nfkbiz regulates the proliferation and differentiation of keratinocytes.
AB - Nuclear factor of kappa light polypeptide gene enhancer in B cells (NF-kappaB)
inhibitor zeta (Nfkbiz) is a nuclear inhibitor of NF-kappaB (IkappaB) protein
that is also termed as molecule possessing ankyrin repeats induced by
lipopolysaccharide, interleukin-1-inducible nuclear ankyrin repeat protein, or
IkappaBzeta. We found previously that disrupting the Nfkbiz gene resulted in
atopic dermatitis-like lesions in mice, suggesting an important role for Nfkbiz
in the skin. In this study, we examined the cellular function of Nfkbiz in
keratinocytes. Immunohistochemical analyses for Ki-67 revealed that Nfkbiz-/-
keratinocytes were hypoproliferative. In skin from Nfkbiz-/- mice, the expression
of the keratinocyte differentiation markers K10 and filaggrin were reduced,
although that of K14 was unchanged. The terminal deoxynucleotidyl transferase
mediated dUTP nick-end labeling assay revealed that the frequency of apoptosis
was comparable between control and Nfkbiz-/- keratinocytes. Interestingly, the
subcellular localization of the NF-kappaB subunits and the transcriptional
activity of NF-kappaB were not changed in Nfkbiz-/- keratinocytes. These findings
indicate a novel possible role of Nfkbiz in controlling the proliferation and
differentiation of epidermal keratinocytes through NF-kappaB-independent
mechanisms.
PMID- 26563031
TI - Long-term p-nitrophenol exposure can disturb liver metabolic cytochrome P450
genes together with aryl hydrocarbon receptor in Japanese quail.
AB - P-Nitrophenol is a major metabolite of some organophosphorus compounds. It is
considered to be one of nitrophenol derivatives of diesel exhaust particles that
induce substantial hazards impacts on human and animal health. P-Nitrophenol
(PNP) is a persistent organic pollutant. Consequently, bioaccumulation of PNP
potentiates toxicity. The objectives of the current study were to assess the
potential hepatic toxicity and pathway associated with long-term exposure to PNP.
Japanese quails were orally administered different doses of PNP for 75 days.
Liver and plasma samples were collected at days 45 (45D), days 60 (60D) and days
75 (75D). Liver histological changes and plasma corticosterone levels were
assessed. Basal mRNA level of cytochromes P450 (CYP 450) (CYP1A4, 1A5, 1B1), heme
oxygenase (HO-1), and aryl hydrocarbon receptor 1 (AhR1), from the liver of
exposed birds and primary hepatocytes cultured for 24 hr with PNP, were analyzed
using quantitative real-time PCR. The results revealed various histopathological
changes in the liver, such as lymphocytes aggregation and hepatocytes
degeneration. Significant increases in corticosterone levels were reported. After
60-days of in vivo exposure, the birds exhibited an overexpression in the liver
CYP1A4, 1B1, AhR1, and HO-1. Furthermore, with continuous PNP administration, an
overall downregulation of the tested genes was observed. In vitro, although a
significant overexpression of CYP1A4, 1B1, and HO-1 was observed, CYP1A5 was
downregulated. In conclusion, PNP can interfere with the liver CYP 450 enzymes
and modulate HO-1 expression in the in vitro and in vivo experiments. Hence, it
could have serious deleterious effects on humans, livestock, and wild animals.
PMID- 26563032
TI - Diagnostic application of recombinant equine merozoite surface antigen-1 in elisa
for detection of Theileria equi specific antibodies.
AB - Theileria equi merozoite surface antigens have been an important candidate for
development of diagnostics. We developed ELISA based on EMA-1 recombinant
antigen, so as to widen our diagnostic confidence in detection of antibodies
against T. equi in sero-surveillance studies. The 547 bp EMA-1 gene fragment
encoding high hydrophilic antigenic region was expressed with glutathione-S
transferase tag in prokaryotic system and purified protein (43 kDa) was used for
development of ELISA (EMA-1t/ELISA). The EMA-1t/ELISA clearly differentiated T.
equi-infected from Babesia caballi-infected horse sera or normal horse sera. The
results of the study were validated with previously developed (EMA-2)ELISA on
serum samples of known T. equi infection status and a very high correlation
(0.93) was recorded between the relative percent positivity (RPP) values. Further
diagnostic sensitivity of EMA-1t/ELISA was 0.92 while specificity was 1.0,
indicating its suitability for sero-epidemiological studies. This assay was
applied on serum samples (n = 240) collected from field horses in northern part
of India. High sero-prevalence of T. equi antibodies were diagnosed in serum
samples collected from Haryana state (74%) and Uttarakhand state (36.31%).
Results of this study suggested that the 43 kDa EMA-1 expressed protein could be
a reliable immunodiagnostic antigen in ELISA for T. equi sero-prevalence studies.
PMID- 26563033
TI - Systemic and local bactericidal potentiality in late lactation Holstein-Friesian
cows following a combined antibiotics and Enterococcus faecium SF68 dry-cow
treatment.
AB - Antibiotic dry-cow treatment contributes a major part to the total use of
antibiotics in dairy herds. Enterococcus faecium strain SF68 (SF68) was of human
origin but has been authorized in EU as probiotic feed additive. In the present
study, one of the front and rear quarters of twelve late lactation Holstein
Friesian cows were infused once with a commercial antibiotic dry-cow formula
(antibiotics quarter) on the first milk-stasis day (d 1), when the contrallateral
quarters were infused with 5 x 10(8)-CFU SF68 plus half-dose antibiotic dry-cow
formula (SF68/antibiotics quarter) meanwhile. Gelatinase level and cellular
reactive oxygen species (ROS) production capacity were measured for blood and
quarter secretion. The results showed that the count of blood total leukocytes
minorly decreased on d 3 only but the microscopic somatic cell count (MSCC)
continuously increased up to d 7, especially in SF68/antibiotics quarters. Plasma
level of gelatinase A remained similar up to d 7 but gelatinase B was not
detectable in plasma throughout the study. The level of gelatinase A in quarter
secretion increased up to d 7 but gelatinase B increased even more drastically,
especially in SF68/antibiotics quarters. The ROS production capacity of blood
leukocytes increased temporarily only on d 3, but that of milk cells continuously
increased up to d 7, especially in SF68/antitiotics quarters. Overall, late
lactation Holstein-Friesian cows were systemically adaptable to the combined
antibiotics and SF68 dry-cow treatment, while the local bactericidal potentiality
in mammary gland was actively responsive to additional SF68 intramammary
treatment.
PMID- 26563035
TI - Preparing for the boomer effect. Less experienced nurses may step into nurse
manager role sooner.
PMID- 26563034
TI - Molecular characterization of Mycobacterium orygis isolates from wild animals of
Nepal.
AB - Mycobacterium orygis, a new member of the Mycobacterium tuberculosis complex, was
isolated from a captive spotted deer (Axis axis) and a blue bull (Boselaphus
tragocamelus) in Nepal. Analyses by spoligotyping, mycobacterial interspersed
repetitive units-variable number of tandem repeats (MIRU-VNTR) typing, region of
difference and single nucleotide polymorphism of genes gyrB, mmpL6, TbD1, PPE55
and Rv2042c confirmed the isolates as M. orygis. Moreover, analyses by
spoligotyping (SIT587) as well as MIRU-VNTR showed that the isolates shared a
similar pattern with many reported isolates. From previous and the present
studies, it can be inferred that South Asia is one of the endemic regions for M.
orygis. Further investigation including a larger sample size and different host
interaction will help to understand the ecology and epidemiology of M. orygis in
Nepal.
PMID- 26563036
TI - Collaboration is key. Nurse experts discuss challenges, pose solutions.
PMID- 26563037
TI - Zero tolerance. ANA releases new position statement on workplace violence,
bullying.
PMID- 26563038
TI - Nurses at the table: in the United States and around the world.
PMID- 26563040
TI - Academy calls for collective action to include social and behavioral determinants
of health in EHR.
PMID- 26563039
TI - Calling all new RNs! Introducing exclusive ANA member benefits.
PMID- 26563041
TI - Urgent change needed to improve diagnosis in health care.
PMID- 26563042
TI - What nurses are saying....
PMID- 26563043
TI - Emergency nurses and end-of-life care.
PMID- 26563044
TI - Protecting nursing home residents with proper antibiotic use.
PMID- 26563045
TI - New anthrax vaccine underway with HHS support.
PMID- 26563047
TI - Federal Health IT Strategic Plan 2015-2020 released.
PMID- 26563046
TI - Follow up: Who is watching whom? ONA offers social media guidelines to protect
nurses, others.
PMID- 26563048
TI - Lessons learned from the 2014 Ebola epidemic.
PMID- 26563049
TI - Ebola revisited: ANA, CDC address ongoing efforts.
PMID- 26563050
TI - ANA recommends RNs be immunized against vaccine-preventable diseases.
PMID- 26563051
TI - White House report on occupational licensing.
PMID- 26563052
TI - Barbara Thoman Curtis: The quintessential nurse activist and change agent.
PMID- 26563053
TI - Members take action to reduce effects of climate change.
PMID- 26563054
TI - Foundation's Corporate Advisory Board adds strength to mission.
PMID- 26563055
TI - Examining compassion fatigue, compassion satisfaction.
PMID- 26563056
TI - Celebrating mandatory OT decision, safer patient care.
PMID- 26563057
TI - The making of a presidential endorsement.
PMID- 26563058
TI - New topic focuses on trends, challenges in care coordination.
PMID- 26563059
TI - Prolong Restoration of the Water Quality of River Ganga Effect of Heavy Metals
and Radioactive Elements.
AB - The genesis of the present research was the belief since ages and the
observations made through some studies that the water of river Ganga has unique
characteristics, which allows storage of water quality even on prolong storage.
Very few systematic studies have been conducted to support the contention that
the Ganga water indeed has some special composition that could be attributed to
its unique storage capacity. It was postulated that prolong restoration of water
quality depends on the ability to arrest microbial activity that is generally
responsible for deterioration in water quality on prolong storage. Hence, attempt
has been made to identify the parameters that are likely to influence the prolong
storage of river water. Along with Ganga river water, other three major rivers,
viz. Yamuna, Godavari and Narmada, were selected for comparison. Emphasis was
made on estimation of heavy metals, radioactive elements, dissolved carbon and
other physicochemical parameters such as temperature, pH, alkalinity, hardness
and dissolved organic carbon. Based on the available information regarding the
impact of heavy metals, radioactive elements vis-a-vis the chemical composition
of water on microorganisms in the aquatic environment, an overall impact score
for the waters of the four Indian rivers selected in the study has been assigned.
PMID- 26563060
TI - Occurrence of Perchlorate in Various Water Sources in South India.
AB - Iodine is necessary for synthesis of thyroxine within the thyroid gland. Iodine
deficiency leads to hypothyroidism and goitre. Sometimes, even when sufficient
iodine is present in food and water, goitre occurs. This could be due to some
other competing ions in the ingested food and water, which prevent incorporation
of iodine into the thyroid gland. Perchlorate is one such ion and has thirty
times more affinity to thyroid, than iodine. Perchlorate is discharged into the
environment by fireworks and explosives industries. Hence, the perchlorate levels
would be higher in and around such industries. This study was done to determine
the perchlorate exposure to humans in their habitat. In this study, perchlorate
levels in different water sources in localities with and without such industries
were ascertained. The estimation was done by two methods (i) Thionine ion pair
spectrophotometry and (ii) ion exchange chromatography with conductivity
detection methods. In the results, perchlorate level was significantly high in
different water sources of industrialized areas, when compared to non-industrial
areas. These high levels could be the explanation for the high prevalence of
goitre in areas with sufficient iodine availability.
PMID- 26563061
TI - Groundwater Geochemistry in the Southeastern Part of Anantapur District, Andhra
Pradesh, with Special Reference to Fluoride Distribution and Its Impact on
Health.
AB - Groundwater is the major water-source for drinking and irrigation in drought
prone southeastern part of Anantapur District, Andhra Pradesh (India).
Geochemically, the groundwater is characterized by alkaline nature, higher
contents of Na+ over K+, Ca2+ over Mg2+ and Cl- over NO3- more or less equal
amounts of HCO3- and SO4(2-), and fluoride 0.5 to 5.51 mg/L, that is ~2-5 times
over the W.H.O. (2004) prescribed limit of 1.5 mg/L for drinking. Due to high F
in water, this region is severely affected by endemic fluorosis. High fluoride
content in groundwater is attributed to release of F- into water from F-bearing
rocks in this tropical region during their weathering and partial evaporation of
such water. Use of this groundwater with high F- for drinking and irrigation for
dry crops led to many health problems, viz. dental and skeletal-fluorosis, and
deformation of bones, manifested in both children and adults. This calls for
effective regional-scale defluoridation of water in this part to bring to
permissible limit prior to its use, besides adoption of methods like rainwater
harvesting.
PMID- 26563062
TI - Assessment of Pesticide Contamination in Ground Water from Intensive Agricultural
Sites, Using Gas Chromatography-Mass Spectrometry.
AB - A methodology proposed by US EPA (8081-B) is adopted with some modifications for
low level pesticide residue analysis in ground water samples. The method is based
on liquid-liquid extraction and gas chromatography with electron capture detector
(GC-ECD), and confirmed by gas chromatography-mass spectrometry (GC-MS). For this
study, different classes of pesticides were selected, both recent and old
persistent molecules, namely organochlorine and pyrethroid insecticides.
Pesticide residues could be detected in the low- to sub-ppb range (0.5 ppb and
below) with good precision (0.071-0.12%, average 0.06-0.71% R.S.D.) and
extraction efficiency (78-93%) for the majority of analytes. This methodology has
been applied in a monitoring program of water samples from an intensive
agricultural area in five districts of Maharashtra (India). The pesticides
detected in the two-year sampling program (2008/2009) were Alpha HCH, Beta HCH,
lindane, Delta HCH, p,p'-DDE, o'p-DDD, Alpha Endosulphan, Beta Endosulphan and
endosulfan sulphate. A survey of the type of pesticides being used in the area,
along with the crop pattern, has also been done. The outcome of the study would
be useful in predicting the pathway of pesticides from agricultural field to
consumer end, and persistence of pesticides in the water bodies.
PMID- 26563063
TI - Locations of Sampling Stations for Water Quality Monitoring in Water Distribution
Networks.
AB - Water quality is required to be monitored in the water distribution networks
(WDNs) at salient locations to assure the safe quality of water supplied to the
consumers. Such monitoring stations (MSs) provide warning against any accidental
contaminations. Various objectives like demand coverage, time for detection,
volume of water contaminated before detection, extent of contamination, expected
population affected prior to detection, detection likelihood and others, have
been independently or jointly considered in determining optimal number and
location of MSs in WDNs. "Demand coverage" defined as the percentage of network
demand monitored by a particular monitoring station is a simple measure to locate
MSs. Several methods based on formulation of coverage matrix using pre-specified
coverage criteria and optimization have been suggested. Coverage criteria is
defined as some minimum percentage of total flow received at the monitoring
stations that passed through any upstream node included then as covered node of
the monitoring station. Number of monitoring stations increases with the increase
in the value of coverage criteria. Thus, the design of monitoring station becomes
subjective. A simple methodology is proposed herein which priority wise
iteratively selects MSs to achieve targeted demand coverage. The proposed
methodology provided the same number and location of MSs for illustrative network
as an optimization method did. Further, the proposed method is simple and avoids
subjectivity that could arise from the consideration of coverage criteria. The
application of methodology is also shown on a WDN of Dharampeth zone (Nagpur city
WDN in Maharashtra, India) having 285 nodes and 367 pipes.
PMID- 26563064
TI - Determination of Physico-chemical Parameters for Prediction of MSW Leachate
Transport through Vadose Zone by Breakthrough Curve in a Realistic Undisturbed
Soil Column.
AB - The studies were carried out to find out transport parameters to predict leachate
transport and contaminant plume profile underneath a municipal solid waste(MSW)
dump. For this, both theoretical and practical avenues were explored. Batch
experiments with disturbed soil were carried out with a tracer dye Brilliant Blue
FCF to obtain the adsorption isotherm of the tracer. Column experiments with
undisturbed realistic soil column were carried out to determine physico-chemical
transport parameters using the tracer dye. MSW leachate transport were simulated
and sensitivity analysis for MSW leachate transport was carried out for different
Darcy velocity for pulse input.For determination of mass-transfer coefficient
between the immobilized and mobilized water phase, we usedthe concept of number
of transfer units (NTUs) and height of transfer units (HTUs) frequently used by
Chemical Engineers. The obtained value of mass transfer coefficient calculated by
this method was in excellent agreement with the value obtained from inverse
calculation of parameter values by a standard software package (CXTFIT).
PMID- 26563065
TI - Spectral Reflectance Properties of Gossypium hirsutum Leaves after Heavy Metal
Toxicity.
AB - The study demonstrated a link between heavy metal induced stress and optical
properties of the leaves of Gossypium hirsutum. This work was conducted using the
pot culture experiment. Three replications and four concentrations of Chromium
(5%, 10%, 20% and 35%) with a control to assess the growth of plants were used.
This experiment was conducted till 80 days. On 80th day the experiment was
terminated and the leaves were plucked and air-dried. Portion of the air-dried,
powdered cotton leaves was used for the Fourier Transformed Infra-Red (FT-IR)
spectral analysis and the remaining portion was used for analyzing the
biochemical constituents of the leaves (chlorophyll a, b, and total C and N
contents). The Fourier Transformed Infra-Red spectrum indicated the aliphatic
alcohol, inorganic bridge, C-OH stretch, C-H deformation, aromatic C=C stretch,
OH stretch of H bond, aliphatic C-H stretch and OH stretch of aromatic C-H. The
shifting and overlapping of the spectrum was observed after heavy metal induced
toxicity when compared with that of the control spectra. This was supported by
the biochemical analysis of chlorophyll a, b, and total carbon and nitrogen
contents. This method was a preliminary study, which may be instrumental in
developing optimal procedures for interpreting a particular stress of plants
through analysis of their leaf reflectance spectrum.
PMID- 26563066
TI - Optimal Modeling of Urban Ambient Air Ozone Concentration Based on Its
Precursors' Concentrations and Temperature, Employing Genetic Programming and
Genetic Algorithm.
AB - Efficient models are required to predict the optimum values of ozone
concentration in different levels of its precursors' concentrations and
temperatures. A novel model based on the application of a genetic programming
(GP) optimization is presented in this article. Ozone precursors' concentrations
and run time average temperature have been chosen as model's parameters.
Generalization performances of two different homemade models based on genetic
programming and genetic algorithm (GA), which can be used for calculating
theoretical ozone concentration, are compared with conventional semi-empirical
model performance. Experimental data of Mashhad city ambient air have been
employed to investigate the prediction ability of properly trained GP, GA, and
conventional semi-empirical models. It is clearly demonstrated that the in-house
algorithm which is used for the model based on GP, provides better generalization
performance over the model optimized with GA and the conventional semi-empirical
ones. The proposed model is found accurate enough and can be used for urban air
ozone concentration prediction.
PMID- 26563067
TI - Arsenic Removal and Its Chemistry in Batch Electrocoagulation Studies.
AB - The aim of this study was to evaluate the impact of different oxidizing agents
like light, aeration (by mixing) and electrocoagulation (EC) on the oxidation of
As (III) and its subsequent removal in an EC batch reactor. Arsenic solutions
prepared using distilled water and groundwater were evaluated. Optimum pH and the
effect of varying initial pH on As removal efficiency were also evaluated.
MaximumAs (III) removal efficiency with EC, light and aeration was 97% from
distilled water and 71% from groundwater. Other results show that EC alone
resulted in 90% As removal efficiency in the absence of light and mixing from
distilled water and 53.6% from groundwater. Removal with light and mixing but
without EC resulted in only 26% As removal from distilled water and 29% from
groundwater proving that electro-oxidation and coagulation were more effective in
removing arsenic compared to the other oxidizing agents examined. Initial pH was
varied from 5 to 10 in distilled water and from 3 to 12 in groundwater for
evaluating arsenic removal efficiency by EC. The optimum initial pH for arsenic
removal was 7 for distilled water and groundwater. For all initial pHs tested
between 5 and 10 in distilled water, the final pH ranged between 7 and 8
indicating that the EC process tends towards near neutral pH under the conditions
examined in this study.
PMID- 26563068
TI - Induction of Pectinase Hyper Production by Multistep Mutagenesis Using a Fungal
Isolate--Aspergillus flavipes.
AB - Aspergillus flavipes, a slow growing pectinase producing ascomycete, was isolated
from soil identified and characterised in the previously done preliminary
studies. Optimisation studies revealed that Citrus peel--groundnut oil cake [CG]
production media is the best media for production of high levels of pectinase up
to 39 U/ml using wild strain of A. flavipes. Strain improvement of this isolated
strain for enhancement of pectinase production using multistep mutagenesis
procedure is the endeavour of this project. For this, the wild strain of A.
flavipes was treated with both physical (UV irradiation) and chemical
[Colchicine, Ethidium bromide, H2O2] mutagens to obtain Ist generation mutants.
The obtained mutants were assayed and differentiated basing on pectinase
productivity. The better pectinase producing strains were further subjected to
multistep mutagenesis to attain stability in mutants. The goal of this project
was achieved by obtaining the best pectinase secreting mutant, UV80 of 45 U/ml
compared to wild strain and sister mutants. This fact was confirmed by
quantitatively analysing 3rd generation mutants obtained after multistep
mutagenesis.
PMID- 26563069
TI - Electrochemical Treatment of Textile Dye Wastewater by Mild Steel Anode.
AB - This paper presents the results of the treatment of textile dye wastewater
generated from a textile processing industry by electrochemical method.
Experiments were conducted at current densities of 12, 24 and 48 A/m2 using mild
steel as anode and cathode. During the various stages of electrolysis, parameters
such as COD, color and BOD5 were determined in order to know the feasibility of
electrochemical treatment. It was observed that increasing the electrolysis time
and increased current density bring down the concentration of pollutants. Also
COD removal rate and energy consumption during the electrolysis were calculated
and presented in this paper. The present study proves the effectiveness of
electrochemical treatment using MS as anode for TDW oxidation.
PMID- 26563070
TI - Biosorption of Lead from Wastewater Using Fresh Water Algae Chlorella.
AB - The potential use of fresh water algae Chlorella to sorb lead ions from
wastewater was evaluated in this study. Fourier transform infra-red analysis of
algal species revealed the presence of amino, carboxylic, hydroxyl and carbonyl
groups, which were responsible for biosorption of lead ions. Batch sorption
experiments were performed to determine the effects of contact time, biosorbent
dosage and pH on the adsorption of Pb2+ ions. The optimum conditions of
biosorbent dosage, pH and contact time were found to be l0 g/L, 5 and 100 min
respectively. The applicability of the Langmuir and Freundlich isotherms for
representation of the experimental data was investigated. The adsorption of lead
ions on the algae Chlorella fitted well with Freundlich isotherm with a very high
correlation coefficient.
PMID- 26563071
TI - Performance Evaluation of Effluent Treatment Plant Installed in a Typical
Slaughter House.
AB - A study was conducted to evaluate performance of effluent treatment plant
installed in a typical slaughter house engaged in production of frozen meat. The
results indicated that the treatment plant consisting of two stage activated
sludge process followed by activated adsorption filter achieves TDS, BOD and COD
removal efficiencies of 98.62 %, 99.48 % and 99.08 % respectively. The treated
effluent with TSS of 53 mg/L, BOD of 19 mg//L and COD of 74 mg/L is used by the
slaughter house for irrigation of eucalyptus plants. The paper covers production
process, effluent characterisation, effluent treatment scheme and performance.
PMID- 26563072
TI - Barriers and Prospects of Carbon Sequestration in India.
AB - Carbon sequestration is considered a leading technology for reducing carbon
dioxide (CO2) emissions from fossil-fuel based electricity generating power
plants and could permit the continued use of coal and gas whilst meeting
greenhouse gas targets. India will become the world's third largest emitter of
CO2 by 2015. Considering the dependence of health of the Indian global economy,
there is an imperative need to develop a global approach which could address the
capturing and securely storing carbon dioxide emitted from an array of energy.
Therefore technology such as carbon sequestration will deliver significant CO2
reductions in a timely fashion. Considerable energy is required for the capture,
compression, transport and storage steps. With the availability of potential
technical storage methods for carbon sequestration like forest, mineral and
geological storage options with India, it would facilitate achieving
stabilization goal in the near future. This paper examines the potential carbon
sequestration options available in India and evaluates them with respect to their
strengths, weakness, threats and future prospects.
PMID- 26563073
TI - Modelling Soil Erosion in the Densu River Basin Using RUSLE and GIS Tools.
AB - Soil erosion involves detachment and transport of soil particles from top soil
layers, degrading soil quality and reducing the productivity of affected lands.
Soil eroded from the upland catchment causes depletion of fertile agricultural
land and the resulting sediment deposited at the river networks creates river
morphological change and reservoir sedimentation problems. However, land managers
and policy makers are more interested in the spatial distribution of soil erosion
risk than in absolute values of soil erosion loss. The aim of this paper is to
model the spatial distribution of soil erosion in Densu River Basin of Ghana
using RUSLE and GIS tools and to use the model to explore the relationship
between erosion susceptibility, slope and land use/land cover (LULC) in the
Basin. The rainfall map, digital elevation model, soil type map, and land cover
map, were input data in the soil erosion model developed. This model was then
categorized into four different erosion risk classes. The developed soil erosion
map was then overlaid with the slope and LULC maps of the study area to explore
their effects on erosion susceptibility of the soil in the Densu River Basin. The
Model, predicted 88% of the basin as low erosion risk and 6% as moderate erosion
risk, 3% as high erosion risk and 3% as severe risk. The high and severe erosion
areas were distributed mainly within the areas of high slope gradient and also
sections of the moderate forest LULC class. Also, the areas within the moderate
forest LULC class found to have high erosion risk, had an intersecting high
erodibility soil group.
PMID- 26563074
TI - Adsorption of Nickel (II) from Aqueous Solution by Bicarbonate Modified Coconut
Oilcake Residue Carbon.
AB - The adsorption of Ni (II) on modified coconut oilcake residue carbon (bicarbonate
treated coconut oilcake residue carbon-BCORC) was employed for the removal of Ni
(II) from water and wastewater. The influence of various factors such as
agitation time, pH and carbon dosage on the adsorption capacity has been studied.
Adsorption isothermal data could be interpreted by Langmuir and Freundlich
equations. In order to understand the reaction mechanism, kinetic data has been
studied using reversible first order rate equation. Similar studies were carried
out using commercially available activated carbon--CAC, for comparison purposes.
Column studies were conducted to obtain breakthrough capacities of BCORC and CAC.
Common anions and cations affecting the removal of Ni (II) on both the carbons
were also studied. Experiments were also done with wastewater containing Ni (II),
to assess the potential of these carbons.
PMID- 26563075
TI - Nanostructured Mn-Fe Binary Mixed Oxide: Synthesis, Characterization and
Evaluation for Arsenic Removal.
AB - Adsorption of arsenic on bimetallic Mn and Fe mixed oxide was carried out using
both field as well as simulated water. The material was synthesized using
hydrothermal method and characterized using X-ray diffraction (XRD) and scanning
electron microscopy (SEM). Langmuir and Freundlich adsorption isotherms were
computed using batch adsorption studies to determine the adsorption capacity of
Mn-Fe binary mixed oxide for arsenic. Adsorption capacity for MFBMO obtained from
Freundlich model was found to be 2.048 mg/g for simulated water and 1.084 mg/g
for field water. Mn-Fe binary mixed oxide was found to be effective adsorbent for
removal of arsenic from water.
PMID- 26563076
TI - Hydrogeochemical Properties of Groundwater in Parts of Abakaliki City,
Southeastern Nigeria.
AB - This study is aimed at providing useful information about the hydrochemistry of
some parts of Abakaliki city for proper understanding of the groundwater quality.
Twelve representative groundwater samples from water boreholes/wells in the study
area were analyzed for their hydrogeochemical properties: pH, Electrical
Conductivity (EC), Turbidity, Total Dissolved Solids (TDS), Total Hardness (TH),
COD, Ca2+, Mg2+, Na+, K+, HCO3-, SO4(2-), Cl-, NO3-, and CO3(2-). Aquifers in the
study environment were located in the fractured shales of Abakaliki Formation.
The composition of the major ions outlined the relationships between the aquifer
chemistry. Strong positive correlations exist between EC-TDS, Na(+)-TDS, Mg(2+)
SO4(2+) and Ca(2+)-SO4(2+). Piper trilinear diagram has also been utilized in
data interpretation and to classify the hydrogeochemical facies. Majority of the
analyzed samples were characterized by the dominance of Ca2+, Mg2+, SO4(2-) and
Cl- and the Piper trilinear diagram indicated two water types: Ca (Mg)-Cl and Ca
Mg-Na-Cl-SO4 water types. Na/Cl ratio ranging from 0.12 to 0.73 (all below 1.0)
with a mean of 0.55 inferred fresh water existence. Results of this study
generally showed that the analyzed groundwater samples pose no threat to human
consumption, health and environment since the concentrations of the physico
chemical parameters were within the WHO standards.
PMID- 26563077
TI - Assessment of Surface Water Quality Using Multivariate Statistical Techniques in
a Part of River Cauvery, Tamil Nadu, India.
AB - The study explains water quality of the Cauvery River in the southern region of
Peninsular India. Thirteen parameters including trace elements (Cd, As, Cu, Cr,
Zn and Pb) have been monitored on 50 sampling points from a hydro-geochemical
survey, conducted in the river stretch under study. Several water quality
parameters showed considerable changes due to increased runoff from the
catchments and other seasonal factors. Multivariate discriminant analysis
delineated a few parameters responsible for temporal variation in water quality.
Factor analysis (FA) identified three factors responsible for data structure
explaining 91% of total variance in surface water. It allowed grouping selected
parameters according to common features. The results indicated that point source
pollutants primarily affected the water quality of this region. This study
indicates the necessity and usefulness of multivariate statistical techniques for
evaluation and interpretation of the data. It facilitates better information
about the water quality and designs some remedial techniques to prevent future
contamination.
PMID- 26563078
TI - Study of Hydro-Chemical Groups of Groundwater in Different Geomorphic and
Geological Settings of Sarada River Basin, Andhra Pradesh.
AB - An attempt has been made to study the hydrochemistry of groundwater in Sarada
River Basin (SRB).The groundwater samples were collected from dug wells and bore
wells which have been analysed for cations and anions in the study area. The
river basin is divided into six sub-basins for the purpose of study. The six
hydrochemical groups namely sodium bicarbonate, magnesium bicarbonate, sodium
chloride, calcium bicarbonate, sodium sulphate, and magnesium chloride, according
to their dominant cations and anions were observed and studied in different types
of rock formations, soil groups, geomorphic settings, slopes and groundwater
aquifers in the area of the investigation. The hydrochemical data indicated that
the groundwater was contaminated in the southern part of lower Sarada River Basin
by sub-surface sea water intrusion, pollution due to the industrial wastes
discharged by existing sugar factories and chemical contamination due to over use
of fertilizers and pesticides in the areas of intense irrigation could be
identified. In many locations maximum parameters were within the permissible
limits and some were beyond the limits of drinking water standards. However, most
of the groundwater of the SRB is found suitable for drinking, irrigation, and
industrial purposes.
PMID- 26563079
TI - Assessment of Groundwater Quality for Irrigation in Coimbatore South Taluk,
Coimbatore District, Tamil Nadu.
AB - The study was conducted to evaluate the suitability of ground water for
irrigation purpose at twenty seven locations in Coimbatore South Taluk,
Coimbatore District. The analytical result shows that Na and Cl are the dominant
cation and anions respectively in the groundwater. The values of TDS and EC
exceed the permissible limits at some locations due to increase in ionic
concentrations. Based on SAR, RSC, US Salinity diagram and Wilcox diagram it is
observed that the water ranges from excellent to good quality in most of the
places and can be used for irrigation without any hazard. Gibbs variation diagram
indicates that lithology is main controlling factor for water chemistry. However,
the high SAR and RSC values at few locations restrict suitability for irrigation
purpose.
PMID- 26563080
TI - Seasonal Variation of Groundwater Quality in Erode District, Tamil Nadu, India.
AB - In recent years, the recurring environmental issues regarding hazardous waste,
global climate change, stratospheric ozone depletion, groundwater contamination,
disaster mitigation and removal of pollutant have become the focus of
environmental attention. In the management of water resources, quality of water
is just as important as its quantity. In order to assess the quality and/or
suitability of groundwater for drinking and irrigation in Erode District, 144
water samples each in post-monsoon and pre-monsoon during the year 2007 were
collected and analyzed for various parameters. These parameters were compared
with IS: 10500-1991 drinking water standards. Out of 144 samples, 29 samples
exceeded the permissible limit for both the monsoons, 71 samples were within the
permissible limit for both the monsoons and the remaining samples exceeded the
permissible limit for any one of the monsoon. During both monsoons, except some
samples, most of the samples were suitable for drinking and irrigation.
PMID- 26563081
TI - Characterization of Wastewater Effluents Releasing from Slaughterhouses in and
around Hyderabad City (India).
AB - The present study was undertaken to determine the pollution load to the
environment causing by the wastewater effluents releasing from organized and
unorganized slaughterhouses in and around Hyderabad city. The wastewater
effluents collected from three slaughterhouses, at the sites of releasing out to
the surrounding environment,were characterized in terms of physico-chemical and
microbiological parameters. The physico-chemical parameters, such as temperature,
pH, alkalinity, turbidity, total suspended solids, electrical conductivity, total
dissolved solids, dissolved oxygen, biological oxygen demand, chemical oxygen
demand, total organic carbon, calcium, NH4-N, nitrates and phosphates were
estimated in the wastewater effluents collected from three different
slaughterhouses. The heavy metals, such as lead, nickel and cadmium contents were
detected. The microbiological characteristics, such as total viable count, total
coliform count recorded and also the pathogens of public health significance,
such as Salmonella spp., Shigella spp., Streptococcus faecalis, Staphylococcus
aureus, Bacillus cereus and Listeria monocytogenes were isolated and identified.
The results revealed significantly much higher values of almost all pollution
parameters both physicochemical and microbiological of wastewater effluents
collected from three slaughterhouses, and these values exceeding the effluent
discharge standards for releasing the effluents into public sewers and inland
surface waters, recommended by the pollution control board. The slaughterhouses
must maintain the wastewater collection and treatment facilities and modify the
existing treatment systems in order to comply the general effluents discharge
standardsrecommended by the pollution control board.
PMID- 26563082
TI - Effect of Increasing Concentrations of Chromium on Soil Enzymatic Activities and
Soil Respiration.
AB - The effects of various concentrations of chromium on the activity of
dehydrogenase, catalase and soil respiration were studied after 14, 28, 56 and
112 days of incubation period. Chromium was applied as K2Cr2O7 in the amounts of
0, 25, 50, 100, 200, 400, 800 ig g(-1) of soil. Soil contamination with Cr
decreased the activity of dehydrogenase, catalase and soil respiration. About
36.92% and 44.88% inhibition in the activity of dehydrogenase was caused by 50
and 100 ig g(-1) Cr addition respectively, after 14 days of incubation. Catalase
activity significantly (P < 0.001) decreased with applied doses of chromium after
14 days of incubation. A significant inhibition in soil respiration was observed
after 56 days of incubation with applied doses of chromium. These results suggest
that soil respiration, dehydrogenase and catalase enzyme activities are suitable
parameters for the toxicity test.
PMID- 26563083
TI - Concentrations of Volatile Organic Compound (Styrene) in an Industrial Area of
Agra, India.
AB - Volatile organic compounds (VOCs) are major group of air pollutants which play
critical role in atmospheric chemistry. These contribute to toxic oxidants which
are harmful to ecosystem, human health and atmosphere. A sampling program was
conducted to determine the ambient VOC levels in the city of Agra (Uttar
Pradesh), India during day time and overnight in 2010. Sampling sites were
selected at three industrial area of Agra. Samples were analyzed for styrene.
Concentration of Styrene was determined at different sampling points in the air
of Agra in order to investigate their spatial distributions. The vertical motions
of air masses also had a large impact on the variations of the level of VOC. The
inter-species ratios exhibit clear seasonal variation indicating deferential
reactivity of the VOCs species in different seasons.
PMID- 26563084
TI - The Comparative Evaluation of the Performance of Two Phytoremediation Systems for
Domestic Wastewater Treatment.
AB - The constructed wetlands as well engineered techniques have been used effectively
for phytoremediation of wastewater and pollution control during the last decades.
In these technologies, the naturally occurring processes of plants alongside
micro-organisms present in the bio-film attached to the roots, soil substrate and
water column degrade the organic pollutants. The study seeks to compare the
potential use of Phragmites sp. reed bed and floating macrophyte water hyacinth
(Eichhornia crassipes) system for domestic wastewater treatment. The sewage
treatment performance is evaluated as 80% & 76% chemical oxygen demand (COD), 90%
& 87% biological oxygen demand (BOD5), 24% & 18% total dissolved solids (TDS),
69% & 67% total suspended solids (TSS), 12% & 5% Chlorides, 73% & 69% ammonia
nitrogen (NH3-N), 42% & 31% phosphate (PO4-P), 93% & 91% most probable number
(MPN) and 95% & 92% total viable count (TVC) reduction at optimum hydraulic
retention time (HRT) of 24 & 43 h in Phragmites sp. and water hyacinth systems,
respectively. Likewise, the floating macrophyte pond contrary to reed bed process
shows insignificant pollutant diminution at 24 h HRT. This paper also highlights
the microbial population present through the wetland systems by estimation of
total viable count (TVC). The botanical aspect with reference to the plant growth
is shown a significant increase in vegetation yield. The overall studies indicate
the better treatment efficiency by preferred Phragmites sp. root zone system at
low foot print area for domestic wastewater.
PMID- 26563085
TI - Phytoremediation of Petroleum Hydrocarbon (PHC) Contaminated Soil by Using Mimosa
pudica L. .
AB - The aim of this study was to evaluate the efficiency of Mimosa pudica L. that
could be effective in phytoremediation of PHC-contaminated soil. Experiments were
conducted in net house to determine the tolerance of this species to a heavy
crude oil contaminated soil under the application of two fertilizer levels and
reduction of PHC was monitored for 180 days. Assessment of plant growth, biomass
and Total Oil and Grease (TOG) degradation were carried out at an interval of 60
days. In the presence of contaminants, biomass and plant height were reduced up
to 27% and 10.4% respectively. Experiments with different percentages of crude
oil showed that M. pudica could tolerate crude-oil contamination up to 6.2%
(w/w). The estimation of TOG in soil of the tested plants revealed that M. pudica
could decrease 31.7% of crude oil contaminants in low fertilizer level (200N,
100P, 100K) and 24.7% in high fertilizer level (240N, 120P, 120K). In case of
unplanted pots, the reduction of TOG was 13.7% in low fertilizer level and 11.2%
in high fertilizer level. This experiment has identified the suitability of a
native candidate plant species for further investigation of their
phytoremediation potential.
PMID- 26563086
TI - Optimisation of Environmental Conditions for Enhanced Production of Fungal
Exopectinase Using Agro-industrial Wastes.
AB - Management of household solid waste and agro industrial residues generated from
various sources is a serious problem due to huge ever increasing population and
pollution. Application of these worthless agro waste materials to generate a
commercially valuable product, pectinase enzyme, using locally isolated fungal
strain, Aspergillus flavipes, was the main motive of this study. Physiological
characterisation and enzyme profile determination were done along with
formulation of production media. Fruit skins, rags were used as C source and oil
cakes were used for N source. Various combinations of these C and N sources were
applied for revised production of pectinase enzyme compared to YEP basal media
(29 U/ml). A huge increase in pectinase production of 40 U/ml was obtained with
Citrus peel - Sesame oil cake (CS) media. The enzyme had its maximum activity at
500C, 4.5 pH. This was achieved at 45 min in 1.5% substrate concentration.
PMID- 26563087
TI - Decolorization of Sulphonated Azodye Metanil Yellow by Newly Isolated Bacterial
Strain: Bacillus -3330.
AB - Newly isolated, Bacillus sp. MTCC-3330 was screened for the decolorization of a
sulphonated azodye metanil yellow under aerobic conditions. 100% decolorization
was observed of Metanil Yellow at 0.01mM, 0.02mM 0.05mM concentrations within
30h, 35h and 45h respectively. Decolorization was confirmed by UV-VIS
spectrophotometer. The initial dye solution showed highest peak at the wavelength
of 437 nm (lambdamax of the Metanil Yellow). The decolorized dye showed
disappearance of peak, which indicated that the decolorization is due to dye
degradation. The bacterium sp. also decolorized the another azodye Methyl Orange.
PMID- 26563088
TI - Experimental Studies on Co-composting of Municipal Solid Waste with Paper Mill
Sludge.
AB - In this study, a series of experimental studies were conducted with regard to
bioconversion of organic fraction of municipal solid waste along with paper mill
sludge at different C/N ratios. About 10 kg of shredded waste containing paper
mill sludge, saw dust and municipal solid waste was placed in reactors in
different proportions and 100 mL of effective microorganisms was added to it. The
variation in physical and chemical parameters was monitored throughout the
process. The results indicate that co-composting of paper mill sludge with
municipal solid waste produces compost that is more stable and homogenous and can
be effectively used as soil conditioner.
PMID- 26563089
TI - Vermicomposting of Solid Waste Using Local and Exotic Earthworms: A Comparative
Study.
AB - The aim of this study was to assess the decomposition efficiency of earthworms,
local (L.mauritii) as well as exotic (Eisenia foetida) in vermicomposting of
garden litter in SRM University campus. The vermicompost produced through
vermicomposting of garden litter mixed with cow dung in the ratio of 3:1 by using
local and exotic earthworms (Eisenia foetida) was rich in ammoniacal nitrogen,
nitrate nitrogen, available phosphorus, total potassium and TKN, and there was a
reduction in total organic carbon and carbon to nitrogen ratio. The study reveals
that the decomposition efficiency of exotic earthworms is better compared to
local earthworms.
PMID- 26563090
TI - Air Quality Indices: A Literature Review.
AB - Air quality indices are commonly used to indicate the level of severity of air
pollution to the public. It is infeasible and perhaps impossible to formulate a
universal technique for determining air quality index, one that considers all
pollutants and that is appropriate for all situations. The intended use of the
air quality index is to identify the vulnerable zone. There are mainly two
approaches viz. single pollutant index and multi-pollutant index to determine the
air quality index. Every index has its own characteristic strengths and
weaknesses that affect its suitability for particular applications. This paper
attempts to present a review of all the major air quality indices developed
worldwide.
PMID- 26563092
TI - Vision problems in ischaemic stroke patients: effects on life quality and
disability.
AB - BACKGROUND AND PURPOSE: Vision problems after cerebral infarction are an
increasingly acknowledged problem. Our aim was to investigate the effect on
quality of life and post-stroke disability. METHODS: Patients admitted to the
Stroke Unit, Department of Neurology, Haukeland University Hospital, between
February 2006 and July 2008 with acute cerebral infarction were prospectively
registered in the NORSTROKE Registry. Patients received a postal questionnaire at
least 6 months after stroke. The questionnaire included 15D(c), EuroQol 5D (EQ
5D(TM) ), the Hospital Anxiety and Depression Scale (HADS), the Fatigue Severity
Scale (FSS) and the Barthel Index (BI). RESULTS: Of 328 responders, 83 (25.4%)
reported a vision problem. Vision problems were associated with older age (71.8
years vs. 66.5 years, P = 0.001), higher National Institutes of Health Stroke
Scale score on admission (5.9 vs. 3.8, P < 0.001), higher modified Rankin Scale
day 7 (2.0 vs. 1.4, P < 0.001) and lower BI day 7 (85.7 vs. 93.9, P = 0.002).
Patients with vision problems had lower median EQ-5D utility score (0.62 vs.
0.80, P < 0.001), lower median 15D utility score (0.73 vs. 0.89, P < 0.001),
higher median HADS score (12 vs. 5, P < 0.001), higher median FSS score (5.6 vs.
4.3, P < 0.001) and lower median BI (95 vs. 100, P < 0.001) on long-term follow
up. Patients with self-reported vision problems scored lower on all sub-scores of
BI on follow-up (all P < 0.001). CONCLUSION: One in four patients reported a
vision problem on follow-up after cerebral infarction. Vision problems after
cerebral infarction reduce quality of life and are associated with increased
disability. Thorough diagnostic evaluation and targeted rehabilitation is
important.
PMID- 26563091
TI - Quantification of tumor fluorescence during intraoperative optical cancer
imaging.
AB - Intraoperative optical cancer imaging is an emerging technology in which surgeons
employ fluorophores to visualize tumors, identify tumor-positive margins and
lymph nodes containing metastases. This study compares instrumentation to measure
tumor fluorescence. Three imaging systems (Spectropen, Glomax, Flocam) measured
and quantified fluorescent signal-to-background ratios (SBR) in vitro, murine
xenografts, tissue phantoms and clinically. Evaluation criteria included the
detection of small changes in fluorescence, sensitivity of signal detection at
increasing depths and practicality of use. In vitro, spectroscopy was superior in
detecting incremental differences in fluorescence than luminescence and digital
imaging (Ln[SBR] = 6.8 +/- 0.6, 2.4 +/- 0.3, 2.6 +/- 0.1, p = 0.0001). In
fluorescent tumor cells, digital imaging measured higher SBRs than luminescence
(6.1 +/- 0.2 vs. 4.3 +/- 0.4, p = 0.001). Spectroscopy was more sensitive than
luminometry and digital imaging in identifying murine tumor fluorescence (SBR =
41.7 +/- 11.5, 5.1 +/- 1.8, 4.1 +/- 0.9, p = 0.0001), and more sensitive than
digital imaging at detecting fluorescence at increasing depths (SBR = 7.0 +/- 3.4
vs. 2.4 +/- 0.5, p = 0.03). Lastly, digital imaging was the most practical and
least time-consuming. All methods detected incremental differences in
fluorescence. Spectroscopy was the most sensitive for small changes in
fluorescence. Digital imaging was the most practical considering its wide field
of view, background noise filtering capability, and sensitivity to increasing
depth.
PMID- 26563093
TI - Radiological imaging in acute ischaemic stroke.
AB - Patients who suffer acute ischaemic stroke can be treated with thrombolysis if
therapy is initiated early. Radiological evaluation of the intracranial tissue
before such therapy can be given is mandatory. In this review current
radiological diagnostic strategies are discussed for this patient group. Beyond
non-enhanced computed tomography (CT), the standard imaging method for many
years, more sophisticated CT stroke protocols including CT angiography and CT
perfusion have been developed, and additionally an increasing number of patients
are examined with magnetic resonance imaging as the first imaging method used.
Advantages and challenges of the different methods are discussed.
PMID- 26563095
TI - Disability, anxiety and depression in patients with medication-overuse headache
in primary care - the BIMOH study.
AB - BACKGROUND AND PURPOSE: Medication-overuse headache (MOH) is common in the
general population. Detoxification is the general treatment principle for MOH.
The present paper is based on a study of a brief intervention (BI) for MOH in
primary care. New data on headache disability and the Hospital Anxiety and
Depression Scale (HADS) for MOH patients compared to population controls with and
without chronic headache are presented and compared to previously published main
outcome data. METHODS: This was a double-blind pragmatic cluster randomized
controlled trial carried out amongst 50 general practitioners in Norway. The BI
was compared to business as usual (BAU) and population controls, and patients
were followed up after 3 months. Primary outcomes were headache and medication
days per month after 3 months. Headache disability and HADS were also measured as
secondary outcomes. RESULTS: Sixty MOH patients and 40 population controls were
included. BI was significantly better than BAU after 3 months regarding primary
outcomes. Non-intervention population controls did not change. The MOH patients
had significantly higher headache disability and anxiety scores than the
population controls. CONCLUSIONS: Patients with MOH are a highly disabled group
where anxiety and depression are important comorbidities. Detoxification of MOH
by a BI in primary care is effective and has potential for saving resources for
more treatment-resistant cases in neurologist care.
PMID- 26563094
TI - Disease-modifying treatments for multiple sclerosis - a review of approved
medications.
AB - BACKGROUND AND PURPOSE: There is still no curative treatment for multiple
sclerosis (MS), but during the last 20 years eight different disease-modifying
compounds have been approved for relapsing-remitting MS (RRMS). METHODS: A
literature search was conducted on published randomized controlled phase III
trials indexed in PubMed on the approved medications until 21 May 2015. RESULTS:
In this review the mode of action, documented treatment effects and side effects
of the approved MS therapies are briefly discussed. CONCLUSIONS: Based on current
knowledge of risk-benefit of the approved MS medications, including factors
influencing adherence, it is suggested that oral treatment with dimethyl fumarate
or teriflunomide should be preferred as a starting therapy amongst the first-line
preparations for de novo RRMS. In the case of breakthrough disease on first-line
therapy, or rapidly evolving severe RRMS, second-line therapy with natalizumab,
fingolimod or alemtuzumab should be chosen based on careful risk-benefit
stratification.
PMID- 26563096
TI - The investigation of inborn errors of metabolism as an underlying cause of
idiopathic intellectual disability in adults in Norway.
AB - BACKGROUND AND PURPOSE: Inborn errors of metabolism (IEMs) may be an unrecognized
cause of intellectual disability (ID) in adults. Knowledge and techniques for
investigating IEMs have evolved rapidly; therefore adult patients with idiopathic
ID may benefit from an up-to-date aetiological work-up. This review aims at
establishing recommendations for investigating IEMs as a cause of ID in adults.
METHODS: PubMed was searched for articles published between 2000 and 2015
regarding clinical work-up, IEMs, ID and adults. Information compiled from 61
articles is used to give practical suggestions from a clinical point of view.
RESULTS: Many IEMs that cause ID are characterized by increased risk of specific
somatic, neurological and psychiatric signs. Neurometabolic investigations of ID
should start with a thorough medical history, clinical examination and general
screening in blood. Brain imaging with magnetic resonance imaging and if possible
magnetic resonance spectroscopy should also be part of the initial work-up. The
aim is to detect abnormalities that give clues to a specific IEM. After the
initial screening, a first tier of neurometabolic screening tests in blood and
urine should be performed. If this fails to give diagnostic clues, a second tier
of neurometabolic tests should be considered in order to secure that the
treatable IEMs are detected. Whole exome sequencing techniques, when they become
available in clinical settings, will offer new opportunities for detection of
IEMs. CONCLUSION: Based on a broad review of the current literature a systematic
diagnostic work-up to detect IEMs as a cause of ID in adults is suggested.
PMID- 26563097
TI - Roles for SUMO in pre-mRNA processing.
AB - When the small ubiquitin-like modifier (SUMO)-1 protein is localized on the
genome, it is found on proteins bound to the promoters of the most highly active
genes and on proteins bound to the DNA-encoding exons. Inhibition of the SUMO-1
modification leads to reductions in initiation of messenger RNA (mRNA) synthesis
and splicing. In this review, we discuss what is known about the SUMOylation of
factors involved in transcription initiation, pre-mRNA processing, and
polyadenylation. We suggest a mechanism by which SUMO modifications of factors at
the promoters of high-activity genes trigger the formation of an RNA polymerase
II complex that coordinates and integrates the stimulatory signals for each
process to catalyze an extremely high level of gene expression. WIREs RNA 2016,
7:105-112. doi: 10.1002/wrna.1318 For further resources related to this article,
please visit the WIREs website.
PMID- 26563098
TI - Lung ultrasound is a reliable method for evaluating extravascular lung water
volume in rodents.
AB - BACKGROUND: Lung ultrasound (LUS) can diagnose extravacular lung water (EVLW)
through the visualization of B lines in both humans and large animals. However,
there are no published data on the use of ultrasound to detect EVLW in rats, the
gold standard to evaluate of EVLW in rats is post-mortem gravimetric analysis.
The present study was designed to determine the similarity between lung
sonography and gravimetric measurements of EVLW in rats in an acute lung injury
(ALI) model. METHODS: Thirty male Sprague-Dawley rats were randomized into
control and experimental groups. The B lines were measured byLUS at baseline. ALI
was induced by the intravenous administration of oleic acid (OA) at a dose of 9
ul/100 mg, and controls were injected the same amount of isotonic saline. After 1
h, B-lines were measured by LUS in each rat following the induction of ALI. At
the end of each experiment, both lungs were dissected, weighed and dried to
determine wet/dry weight ratio according to the standard gravimetric methodology.
Lung samples from three rats in each group were examined histologically. RESULTS:
B-lines were present in all rats from experimental group at 1 h point after OA
injection. The statistical correlation between the two methods of assessing EVLW
provided an r = 0.834 (p < 0.001). Repeatability studies of the LUS technique
(Bland-Altman plots) showed good intra-observer and inter-observer
reproducibility. CONCLUSION: The data suggest that, in an experimental rat model
of ALI, B lines score as assessed by LUS can provide an easy, semi-quantitative,
noninvasive. Real-time index of EVLW which is strongly correlated to experimental
gravimetric assessments.
PMID- 26563099
TI - Mechanical and microstructural properties of fixation systems used in oral and
maxillofacial surgery.
AB - OBJECTIVES: This paper aims to evaluate in vitro the mechanical and
microstructural properties of internal fixation systems used in oral and
maxillofacial surgeries. MATERIALS AND METHODS: Four brands of internal fixation
systems (screws and 4-hole straight plates) were selected and assigned to four
groups: G1 Leibinger(r), G2 Toride(r), G3 Engimplan(r), and G4 Medartis(r). The
systems were submitted to Vickers hardness testing, metallographic and
interstitial elements chemical composition analyses. Data were submitted to ANOVA
and Tukey's test for statistical analysis. RESULTS: Plates in groups 1, 2, and 3
showed similar microstructure and mechanical properties, different from those in
G4 revealing larger grains. In all groups, the screws showed similar
microstructure, with uniform arrangement and size of grains; the screws showed
higher hardness values than those observed for the plates. CONCLUSIONS: The
results indicate that all materials tested are adequate for use in oral
maxillofacial surgeries.
PMID- 26563100
TI - Protocol for systematic review of evidence on the determinants and influence of
early glycaemic control in childhood-onset type 1 diabetes.
AB - BACKGROUND: Landmark studies in adult-onset type 1 diabetes (T1D) populations
indicate that improved glycaemic control through use of intensive insulin therapy
is strongly associated with reduced risk for the development of diabetes-related
complications and mortality in later years. However, it is unclear whether these
associations can be extrapolated to childhood-onset T1D, given the influence of
other important biological and psychosocial determinants of glycaemic control,
particularly during adolescence. The aims of the review are (1) to investigate
the impact of early glycaemic control (within the first 2 years after diagnosis)
on subsequent glycaemic trends and risk of complications during the life course
of childhood-onset T1D and (2) to identify the predictors of early glycaemic
control in children and young people (0-25 years). METHODS: The methods used in
this study are systematic identification, review and mapping of quantitative
(intervention and observational) and qualitative literature; assessing the effect
and predictors of early glycaemic control in T1D (diagnosed <=18 years) on risk
or prevalence of later complications. An iterated search strategy, with no
language or period restrictions, was applied to identify studies from six
electronic databases. This will be supplemented by hand-searching (reference
lists and contacting authors of studies meeting the inclusion criteria). Studies
assessing glycaemic control within the first 2 years of diagnosis in children (at
baseline) will be quality-assessed against predefined criteria and mapped
descriptively to future health outcomes. Extracted data will be analysed and
synthesised using narrative and forest plots or harvest plots for quantitative
evidence and thematic analyses for qualitative studies. To get a deeper
understanding of the predictors of early glycaemic control in reducing
complications in childhood and adult life, we will integrate qualitative and
quantitative evidence using mixed methods or parallel synthesis approach.
DISCUSSION: These linked reviews will be the first to systematically investigate
the effects of early glycaemic control and integrate both the quantitative and
qualitative evidence on predictors of early glycaemic control in childhood-onset
T1D in reducing future diabetes complications. This will help identify and map
current research and inform development of effective future interventions.
SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42015024546.
PMID- 26563101
TI - Mapping 3D Strains with Ultrasound Speckle Tracking: Method Validation and
Initial Results in Porcine Scleral Inflation.
AB - This study aimed to develop and validate a high frequency ultrasound method for
measuring distributive, 3D strains in the sclera during elevations of intraocular
pressure. A 3D cross-correlation based speckle-tracking algorithm was implemented
to compute the 3D displacement vector and strain tensor at each tracking point.
Simulated ultrasound radiofrequency data from a sclera-like structure at
undeformed and deformed states with known strains were used to evaluate the
accuracy and signal-to-noise ratio (SNR) of strain estimation. An experimental
high frequency ultrasound (55 MHz) system was built to acquire 3D scans of
porcine eyes inflated from 15 to 17 and then 19 mmHg. Simulations confirmed good
strain estimation accuracy and SNR (e.g., the axial strains had less than 4.5%
error with SNRs greater than 16.5 for strains from 0.005 to 0.05). Experimental
data in porcine eyes showed increasing tensile, compressive, and shear strains in
the posterior sclera during inflation, with a volume ratio close to one
suggesting near-incompressibility. This study established the feasibility of
using high frequency ultrasound speckle tracking for measuring 3D tissue strains
and its potential to characterize physiological deformations in the posterior
eye.
PMID- 26563102
TI - Comparing databases: determinants of sexually transmitted infections, HIV
diagnoses, and lack of HIV testing among men who have sex with men.
AB - BACKGROUND: Early detection and treatment of STI/HIV are public health
priorities. Our objective was to compare characteristics of men who have sex with
men (MSM) in Dutch data available in 2010 from EMIS, an international internet
survey, Schorer Monitor, a Dutch internet survey, and data from STI- clinic
visits, since these might be subject to different and unknown biases. METHODS:
Data from Dutch MSM Internet Surveys (EMISNL N = 3,787; Schorer Monitor, SMON N =
3,602), and 3,800 STI clinic visits (SOAP) were combined into one dataset. We
included factors that were measured in all three databases. The socio
demographics included were age (at the time of the survey), zip code, and
ethnicity. Behavioural variables included were the number of sexual partners,
condom use with last sexual partner, drug use, being diagnosed with STI, being
diagnosed with HIV, and HIV testing. Outcomes we investigated were being
diagnosed with STI, HIV, and never been tested for HIV. RESULTS: Logistic
regressions showed that determinants for being diagnosed with STI were having
more sexual partners, drug use, and having had an HIV test (aORs 1.3 to 17.1) in
EMIS and SMON. Determinants for being diagnosed with HIV in all three databases
were older age, living in Amsterdam, and having more partners (aORs 1.8 to 4.4).
In EMIS and SMON, drug use, non-condom use, and having STI were additional
determinants (aORs 1.6 to 8.9). Finally, determinants associated with never been
tested for HIV were being younger (only SOAP), living outside of Amsterdam,
having fewer partners, no drug use, and no STI (aORs 0.2 to 0.8). CONCLUSIONS:
Risk factors from internet surveys were largely similar, but differed from STI
clinics, possibly because it involves self-reports rather than diagnoses or
because of differences in timing. The difference between the internet surveys and
STI clinic data is much less pronounced for having never been tested, suggesting
both are appropriate for this outcome. These findings shed light on conclusions
drawn from different data sources, as well as the comparability of recruitment
strategies, the robustness of risk factors, consequences of phrasing questions
differently, and on (policy) implications based on different data sources.
PMID- 26563103
TI - [An unusual tongue swelling].
PMID- 26563104
TI - Letter to the Editor on "Implementation of Network for the Improvement of
Addiction Treatment (NIATx) Processes in Substance Use Disorder Treatment
Centers".
PMID- 26563105
TI - Extracellular matrix remodeling in wound healing of critical size defects in the
mitral valve leaflet.
AB - The details of valvular leaflet healing following valvuloplasty and leaflet
perforation from endocarditis are poorly understood. In this study, the synthesis
and turnover of valvular extracellular matrix due to healing of a critical sized
wound was investigated. Twenty-nine sheep were randomized to either CTRL (n = 11)
or HOLE (n = 18), in which a 2.8-4.8 mm diameter hole was punched in the
posterior mitral leaflet. After 12 weeks, posterior leaflets were harvested and
histologically stained to localize extracellular matrix components.
Immunohistochemistry was also performed to assess matrix components and markers
of matrix turnover. A semi-quantitative grading scale was used to quantify
differences between HOLE and CTRL. After 12 weeks, the hole diameter was reduced
by 71.3 +/- 1.4 % (p < 0.001). Areas of remodeling surrounding the hole contained
more activated cells, greater expression of proteoglycans, and markers of matrix
turnover (prolyl 4-hydroxylase, metalloproteases, and lysyl oxidase, each p <=
0.025), along with fibrin accumulation. Two distinct remodeling regions were
evident surrounding the hole, one directly bordering the hole rich in versican
and hyaluronan and a second adjacent region with abundant collagen and elastic
fiber turnover. The remodeling also caused reduced delineation between valve
layers (p = 0.002), more diffuse staining of matrix components and markers of
matrix turnover (p < 0.001), and disruption of the collagenous fibrosa. In
conclusion, acute valve injury elicited distinct, heterogeneous alterations in
valvular matrix composition and structure, resulting in partial wound closure.
Because these changes could also affect leaflet mechanics and valve function, it
will be important to determine their impact on healing wounds.
PMID- 26563106
TI - Volume elastic modulus of the brachial artery and coronary artery stenosis in
patients with suspected stable coronary artery disease.
AB - This study aimed to examine the association between the non-invasive measurement
of the brachial artery volume elastic modulus (V E), an index of arterial
stiffness, and the presence of coronary artery stenosis in patients with
suspected stable coronary artery disease (CAD). A total of 135 patients with
suspected stable CAD (87 men, mean age, 64 +/- 12 years) underwent oscillometric
measurement of the brachial artery to obtain V E. Coronary angiography was
thereafter carried out to diagnose CAD, defined as having >=75 % stenosis in the
epicardial coronary arteries. V E was significantly higher in patients with CAD
(1.94 +/- 0.34 mmHg/%) than in those without CAD (1.71 +/- 0.35 mmHg/%, P <
0.001). In multiple logistic regression analysis, V E was an independent
predictor for the presence of CAD (odds ratio 1.19 per 0.1 mmHg/% increase, 95 %
CI 1.04-1.51) even after adjusting for multiple potential confounders including
the Framingham risk score (FRS). The area under the curve of the receiver
operating characteristic curve analysis for discriminating CAD increased
significantly after the addition of V E to the FRS (from 0.75 to 0.81, P =
0.034). The category-free net reclassification improvement and the integrated
discrimination improvement by adding V E to the FRS were 0.476 (95 % CI 0.146
0.806) and 0.086 (95 % CI 0.041-0.132), respectively. In conclusion, the brachial
V E was significantly associated with the presence of coronary artery stenosis.
The additional measurement of V E to the FRS improved the ability to identify
patients with coronary artery stenosis among those with suspected stable CAD.
PMID- 26563107
TI - A practical approach for a patient-tailored dose protocol in coronary CT
angiography using prospective ECG triggering.
AB - To derive and validate a practical patient-specific dose protocol to obtain an
image quality, expressed by the image noise, independent of patients' size and a
better radiation dose justification in coronary CT angiography (CCTA) using
prospective ECG triggering. 43 patients underwent clinically indicated CCTA. The
image noise, defined as the standard deviation of pixel attenuation values in a
homogeneous region in the liver, was determined in all scans. Subsequently, this
noise was normalized to the radiation exposure. Next, three patient-specific
parameters, body weight, body mass index and mass per length (MPL), were tested
for the best correlation with normalized image noise. From these data, a new dose
protocol to provide a less variable image noise was derived and subsequently
validated in 84 new patients. The normalized image noise increased for heavier
patients for all patients' specific parameters (p < 0.001). MPL correlated best
with the normalized image noise and was selected for dose protocol optimization.
This new protocol resulted in image noise levels independent of patients' MPL (p
= 0.28). A practical method to obtain CCTA images with noise levels independent
of patients' MPL was derived and validated. It results in a less variable image
quality and better radiation exposure justification and can also be used for CT
scanners from other vendors.
PMID- 26563108
TI - Myomectomy at the time of cesarean delivery.
AB - INTRODUCTION: Myomectomy at the time of cesarean delivery has been traditionally
discouraged. Recent literature has challenged this view. We present two cases of
large subserosal fibroids that underwent removal without complication at the time
of cesarean delivery. MATERIALS AND METHODS: We present two patients that
underwent myomectomy at the time of cesarean delivery. Case 1 had a 10 cm
subserosal leiomyoma removed without complication at the time of a cesarean
section for breech presentation. Case two had a fundal myoma removed without
incident at the time of primary cesarean delivery for suspected macrosomia.
DISCUSSION: Myomectomy at the time of cesarean section has been traditionally
discouraged. Recent studies have questioned this recommendation and demonstrated
no significant increase in peri-operative complications when myomectomy is
performed at the time cesarean section. Further, there is added benefit in that a
future procedure is avoided. CONCLUSION: Myomectomy at the time of cesarean
delivery is both a safe and reasonable procedure.
PMID- 26563109
TI - Nutrition education in the medical school curriculum: a review of the course
content at the Royal College of Surgeons in Ireland-Bahrain.
AB - BACKGROUND: Only 14 % of American physicians report that they feel adequately
trained to provide nutritional counselling. The average number of nutrition
teaching hours in American medical schools is falling below recommendations by
the National Academy of Sciences and nutritional education in the medical school
curriculum is currently an important discussion topic. AIMS: This study aimed to
review the teaching hours delivered during a 6-year medical programme at The
Royal College of Surgeons in Ireland-Bahrain (RCSI-B) and define the importance
of nutritional education for medical students. METHODS: Lecture time regarding
the topic of nutrition was quantified by studying the contents of the 6-year
course materials on the Moodle(r) platform virtual learning environment used by
RCSI-B. RESULTS: Students are exposed to approximately 15 h of education in
nutrition during their medical studies at RCSI-B. CONCLUSIONS: The 15 h spent
educating RCSI-B medical students on nutrition is inadequate according to
international recommendations. However, RCSI-B is one of many medical schools
that do not reach the minimum required hours (25-44) as set by the National
Academy of Sciences and the American Society for Nutrition. We recommend that
more teaching hours on nutrition be introduced into the curriculum. These extra
teaching hours may greatly benefit RCSI-B students, patients and public health in
Bahrain.
PMID- 26563110
TI - Flowing atmospheric pressure afterglow combined with laser ablation for direct
analysis of compounds separated by thin-layer chromatography.
AB - A thin-layer chromatography-mass spectrometry (TLC-MS) setup for characterization
of low molecular weight compounds separated on standard TLC plates has been
constructed. This new approach successfully combines TLC separation, laser
ablation, and ionization using flowing atmospheric pressure afterglow (FAPA)
source. For the laser ablation, a low-priced 445-nm continuous-wave diode laser
pointer, with a power of 1 W, was used. The combination of the simple, low-budget
laser pointer and the FAPA ion source has made this experimental arrangement
broadly available, also for small laboratories. The approach was successfully
applied for the characterization of low molecular weight compounds separated on
TLC plates, such as a mixture of pyrazole derivatives, alkaloids (nicotine and
sparteine), and an extract from a drug tablet consisting of paracetamol,
propyphenazone, and caffeine. The laser pointer used was capable of ablating
organic compounds without the need of application of any additional substances
(matrices, staining, etc.) on the TLC spots. The detection limit of the proposed
method was estimated to be 35 ng/cm(2) of a pyrazole derivative.
PMID- 26563111
TI - Development and validation of an HPLC-MS method for the simultaneous
quantification of key oxysterols, endocannabinoids, and ceramides: variations in
metabolic syndrome.
AB - Oxysterols, ceramides, and endocannabinoids are three families of bioactive
lipids suggested to be involved in obesity and metabolic syndrome. To facilitate
the quantification of these potentially interconnected lipids, we have developed
and validated a liquid chromatography coupled to mass spectrometry method
allowing for their simultaneous quantification from tissues. Sample purification
is of great importance when quantifying oxysterols due to the potential
artifactual conversion of cholesterol into oxysterols. Therefore, we developed a
novel solid-phase extraction procedure and demonstrated that it allowed for good
recoveries of the three families of analytes without artifactual oxidation of
cholesterol. The oxysterols, ceramides, and endocannabinoids and their respective
internal standards were chromatographically separated by HPLC and ionized using
the atmospheric pressure chemical ionization (APCI) source of an LTQ-orbitrap
mass spectrometer. The repeatability and bias were within the acceptance limits
for all 23 lipids of interest. The sensitivity (limit of detection (LOD) and
limit of quantification (LOQ)) and specificity of the method allowed us to
quantify all the analytes in the liver and adipose tissue of control and high-fat
diet-fed C57BL/6 mice. We found that 16 weeks of high-fat diet strongly impacted
the hepatic levels of several oxysterols, ceramides, and endocannabinoids. A
partial least-squares discriminant analysis (PLS-DA) based on the variations of
the hepatic levels of these 23 bioactive lipids allowed differentiating the lean
mice from the obese mice.
PMID- 26563112
TI - Isothermal solid-phase amplification system for detection of Yersinia pestis.
AB - DNA amplification is required for most molecular diagnostic applications, but
conventional polymerase chain reaction (PCR) has disadvantages for field testing.
Isothermal amplification techniques are being developed to respond to this
problem. One of them is the recombinase polymerase amplification (RPA) that
operates at isothermal conditions without sacrificing specificity and sensitivity
in easy-to-use formats. In this work, RPA was used for the optical detection of
solid-phase amplification of the potential biowarfare agent Yersinia pestis.
Thiolated forward primers were immobilized on the surface of maleimide-activated
microtitre plates for the quantitative detection of synthetic and genomic DNA,
with elongation occurring only in the presence of the specific template DNA and
solution phase reverse primers. Quantitative detection was achieved via the use
of biotinylated reverse primers and post-amplification addition of streptavidin
HRP conjugate. The overall time of amplification and detection was less than 1 h
at a constant temperature of 37 degrees C. Single-stranded DNA (ssDNA) and
double-stranded DNA (dsDNA) sequences were detected, achieving detection limits
of 4.04*10(-13) and 3.14*10(-16) M, respectively. The system demonstrated high
specificity with negligible responses to non-specific targets.
PMID- 26563113
TI - Retention modelling in hydrophilic interaction chromatography.
AB - The retention behaviour of acidic, basic and quaternary ammonium salts and polar
neutral analytes has been evaluated on acidic, basic and neutral hydrophilic
interaction chromatography (HILIC) stationary phases as a function of HILIC
operating parameters such as MeCN content, buffer concentration, pH and
temperature. Numerous empirical HILIC retention models (existing and newly
developed ones) have been assessed for their ability to describe retention as a
function of the HILIC operating parameters investigated. Retention models have
been incorporated into a commercially available retention modelling programme
(i.e. ACD/LC simulator) and their accuracy of retention prediction assessed. The
applicability of HILIC modelling using these equations has been demonstrated in
the two-dimensional isocratic (i.e. buffer concentration versus MeCN content
modelling) and one-dimensional gradient separations for a range of analytes of
differing physico-chemical properties on the three stationary phases. The
accuracy of retention and peak width prediction was observed to be comparable to
that reported in reversed-phase chromatography (RPC) retention modelling.
Intriguingly, our results have confirmed that the use of gradient modelling to
predict HILIC isocratic conditions and vice versa is not reliable. A relative
ranking of the importance of the retention and selectivity of HILIC operating
parameters has been determined using statistical approaches. For retention, the
order of importance was observed to be organic content > stationary phase >
temperature ~ mobile phase pH (i.e. pH 3-6 which mainly effects the ionization of
the analyte) ~ buffer concentration. For selectivity, the nature of the
stationary phase > mobile phase pH > buffer concentration > temperature > organic
content.
PMID- 26563114
TI - Continuous renal replacement therapy in children: fluid overload does not always
predict mortality.
AB - BACKGROUND: Mortality among critically ill children requiring continuous renal
replacement therapy (CRRT) is high. Several factors have been identified as
outcome predictors. Many studies have specifically reported a positive
association between the fluid overload at CRRT initiation and the mortality of
critically ill pediatric patients. METHODS: This study is a retrospective single
center analysis including all patients admitted to the pediatric intensive care
unit (PICU) of our hospital who received CRRT between 2000 and 2012. One hundred
thirty-one patients were identified and subsequently classified according to
primary disease. Survival rates, severity of illness and fluid balance differed
among subgroups. The primary outcome was patient survival to PICU discharge.
RESULTS: Overall survival to PICU discharge was 45.8 %. Based on multiple
regression analysis, mortality was independently associated with onco
hematological disease [odds ratio (OR) 11.7, 95 % confidence interval (CI) 1.3
104.7; p = 0.028], severe multiple organ dysfunction syndrome (MODS) (OR 5.1, 95
% CI 1.7-15; p = 0.003) and hypotension (OR 11.6, 95 % CI 1.4-93.2; p = 0.021).
In the subgroup analysis, a fluid overload (FO) of more than 10 % (FO>10 %) at
the beginning of CRRT seems to be a negative predictor of mortality (OR 10.9, 95
% CI 0.78-152.62; p = 0.07) only in children with milder disease (renal
patients). Due to lack of statistical power, the independent effect of fluid
overload on mortality could not be analyzed in all subgroups of patients.
CONCLUSIONS: In children treated with CRRT the underlying diagnosis and severity
of illness are independent risk factors for mortality. The degree of FO is a
negative predictor only in patients with milder disease.
PMID- 26563115
TI - Anti-interleukin 1 treatment in secondary amyloidosis associated with
autoinflammatory diseases.
AB - BACKGROUND: Amyloidosis may complicate autoinflammatory diseases (AID). We aimed
to evaluate the renal biopsy findings, and clinical and laboratory parameters in
patients with AID-associated amyloidosis who have responded to anti-interleukin
1(IL1) treatment. METHODS: Two children with systemic juvenile idiopathic
arthritis and one with cryopyrin-associated periodic syndrome diagnosed as having
reactive amyloidosis were treated with anti-IL1 drugs. The renal
histopathological findings at the time of diagnosis of amyloidosis and after the
onset of anti-IL1 were evaluated according to the amyloid scoring/grading system.
RESULTS: The median age of disease onset and diagnosis of amyloidosis were 3 and
12 years, respectively. Anakinra was started in all; however, anakinra caused a
local cutaneous reaction in one, thus canakinumab was commenced. Proteinuria
improved in all. Control renal biopsies were performed a median of 3 years after
the first biopsies. The renal amyloid prognostic score did not improve in patient
1, and progressed in patients 2 and 3. The renal amyloid grade progressed in
patient 2. CONCLUSIONS: This is the first series demonstrating progression of
renal tissue damage after the improvement of proteinuria with anti-IL 1 in AID
associated amyloidosis. Anti-IL1 drugs are important to prevent further amyloid
accumulation; however, new treatment strategies are needed to target the amyloid
deposits.
PMID- 26563116
TI - Sevelamer crystals in the mucosa of the gastrointestinal tract in a teenager with
end-stage renal disease.
AB - BACKGROUND: Non-calcium-containing phosphate binders, such as sevelamer
preparations, are being increasingly used in patients on dialysis due to their
lower association with hypercalcemia and cardiovascular morbidity and mortality.
While minor gastrointestinal side effects are quite common with the use of
sevelamer, more serious gastrointestinal toxicities have only rarely been
reported. CASE-DIAGNOSIS/TREATMENT: We report a pediatric patient on maintenance
dialysis receiving sevelamer hydrochloride who developed severe abdominal pain
and a high-grade stricture of the sigmoid colon. The patient underwent
exploratory laparotomy, resulting in a partial colectomy and colostomy.
Histopathologic examination showed colonic mucosal injury and characteristic
"fish-scale"-like sevelamer hydrochloride crystals within the mucosa.
CONCLUSIONS: Whether the sevelamer crystals were causal, contributory or purely
incidental remains to be clearly elucidated. However, our case raises sufficient
concern to warrant additional investigation into whether there is a causal
relationship between sevelamer use and intestinal mucosal injury.
PMID- 26563117
TI - Acute L-arginine supplementation has no effect on cardiovascular or
thermoregulatory responses to rest, exercise, and recovery in the heat.
AB - PURPOSE: To investigate the effect of acute L-arginine (L-ARG) supplementation on
cardiovascular and thermoregulatory responses to rest, exercise, and recovery in
the heat. METHODS: Eight healthy men (age 27 +/- 6 years; stature 176 +/- 6 cm;
body mass 76 +/- 4 kg; maximal power output 237 +/- 39 W) participated in a
double-blind, crossover study, attending the laboratory for two experimental
trials. On each occasion, participants consumed 500 ml of a black currant
flavoured cordial beverage 30 min before completing a 90 min experiment in the
heat (35 degrees C and 50% rh). The experiment consisted of 30 min of seated
rest, followed by 30 min submaximal cycling (60% maximal power output) and 30 min
passive seated recovery. On one visit the drink contained 10 g of dissolved L-ARG
while on the other visit it did not. RESULTS: L-ARG supplementation increased
plasma L-ARG concentrations (peak +223 +/- 80% after 60 min of the 90 min
experiment); however, supplementation had no effect on rectal temperature, mean
skin temperature, heart rate, arterial pressure, forearm skin vascular
conductance, oxygen consumption or sweat loss at rest, during exercise, or during
recovery in the heat (p > 0.05). CONCLUSION: Acute ingestion of 10 g L-ARG
supplementation failed to elicit any changes in the cardiovascular or
thermoregulatory responses to active or passive heat exposure in young, healthy
males.
PMID- 26563118
TI - Accuracy and usefulness of noninvasive fractional flow reserve from computed
tomographic coronary angiography: comparison with myocardial perfusion imaging,
echocardiographic coronary flow reserve, and invasive fractional flow reserve.
AB - We present a case of coronary artery disease with intermediate stenosis in the
proximal left anterior descending artery, which was evaluated using multiple
functional modalities. FFRCT demonstrated a significant perfusion abnormality in
the LAD, and the value of FFRCT (0.68) was similar to the value measured by
invasive FFR (0.67). However, the other modalities gave discrepant results. In
particular, perfusion scintigraphy with thallium showed no evidence of an
inducible perfusion abnormality in the LAD territory. The patient was treated by
PCI for two tandem lesions in the LAD. FFRCT may have potential as a default
noninvasive method for assessment of coronary anatomy and physiology.
PMID- 26563119
TI - Intrathecal Ziconotide and Morphine for Pain Relief: A Case Series of Eight
Patients with Refractory Cancer Pain, Including Five Cases of Neuropathic Pain.
AB - INTRODUCTION: Studies have shown that, at low doses and with careful titration,
combination therapy with intrathecal ziconotide and morphine results in rapid
control of opioid-refractory cancer pain. However, there is a lack of published
data regarding the efficacy and safety of intrathecal ziconotide specifically for
the treatment of neuropathic cancer pain. CASE SERIES: Case reports of ziconotide
intrathecal infusion in eight patients (age 45-71 years; 75% male) with chronic,
uncontrolled cancer pain during therapy with intrathecal morphine plus
bupivacaine were reviewed. Neuropathic pain was confirmed in five patients.
Treatment was initiated with adjunctive ziconotide when pain >=5 on a visual
analog scale persisted in spite of 3 successive 20% dose increases of intrathecal
morphine. Ziconotide was initiated at 0.5-1.0 ug/day, with mean increases of 0.5
ug every 4-7 days if required (maximum dose 10 ug/day; mean dose 4.9 ug/day).
Pain intensity was reduced in all patients after 3-5 days. Of the eight patients,
three died for reasons unrelated to ziconotide, three discontinued treatment due
to adverse effects (predominantly psychoneurological disorders), and one patient
is still receiving treatment. One patient discontinued ziconotide due to
confusion and delirium. Due to continued lack of pain control with intrathecal
morphine, intrathecal fentanyl was initiated; however, effective pain relief was
not achieved with 1500 ug/day. Ziconotide was restarted and the patient then
achieved pain control. CONCLUSION: On the basis of our clinical experience, we
recommend adding ziconotide to intrathecal opioid-based therapy in cancer
patients with neuropathic pain inadequately controlled by intrathecal morphine
alone. FUNDING: Eisai, Spain.
PMID- 26563121
TI - Extent of disease in recurrent prostate cancer determined by [(68)Ga]PSMA-HBED-CC
PET/CT in relation to PSA levels, PSA doubling time and Gleason score.
AB - PURPOSE: To examine the relationship between the extent of disease determined by
[(68)Ga]PSMA-HBED-CC-PET/CT and the important clinical measures prostate-specific
antigen (PSA), PSA doubling time (PSAdt) and Gleason score. METHODS: We
retrospectively studied the first 155 patients with recurrent prostate cancer
(PCA) referred to our university hospital for [(68)Ga]PSMA-HBED-CC PET/CT.
RESULTS: PET/CT was positive in 44%, 79% and 89% of patients with PSA levels of
<=1, 1-2 and >=2 ng/ml, respectively. Patients with high PSA levels showed higher
rates of local prostate tumours (p < 0.001), and extrapelvic lymph node (p =
0.037) and bone metastases (p = 0.013). A shorter PSAdt was significantly
associated with pelvic lymph node (p = 0.026), extrapelvic lymph node (p =
0.001), bone (p < 0.001) and visceral (p = 0.041) metastases. A high Gleason
score was associated with more frequent pelvic lymph node metastases (p = 0.039).
In multivariate analysis, both PSA and PSAdt were independent determinants of
scan positivity and of extrapelvic lymph node metastases. PSAdt was the only
independent marker of bone metastases (p = 0.001). Of 20 patients with a PSAdt <6
months and a PSA >=2 ng/ml, 19 (95%) had a positive scan and 12 (60%) had M1a
disease. Of 14 patients with PSA <1 ng/ml and PSAdt >6 months, only 5 (36%) had a
positive scan and 1 (7%) had M1a disease. CONCLUSION: [(68)Ga]PSMA-HBED-CC PET/CT
will identify PCA lesions even in patients with very low PSA levels. Higher PSA
levels and shorter PSAdt are independently associated with scan positivity and
extrapelvic metastases, and can be used for patient selection for [(68)Ga]PSMA
HBED-CC PET/CT.
PMID- 26563122
TI - Biphasic 68Ga-PSMA-HBED-CC-PET/CT in patients with recurrent and high-risk
prostate carcinoma.
AB - PURPOSE: Binding of (68)Ga-PSMA-HBED-CC ((68)Ga-PSMA) at prostate cancer (PC)
cells increases over time. A biphasic protocol may help separating benign from
tumor lesions. The aim of this study was the retrospective evaluation of a
diagnostic incremental value of a dual-time point (biphasic) (68)Ga-PSMA-PET/CT
in patients with prostate cancer. METHODS: Retrospective analysis of 35
consecutive patients (49-78 years, median 71) with newly diagnosed PC (12/35) or
recurrence of PC (23/35). PET/CT (Gemini TF16, Philips) was acquired 1 h and 3 h
p. i. of 140-392 MBq (300 MBq median) (68)Ga-PSMA, followed by a diagnostic
contrast CT. PET findings were correlated with histology or unequivocal CT
findings. Semiquantitative PET data (SUVmax, SUV mean) were acquired and target
to-background-ratios (T/B-ratio) were calculated for benign and malign lesions
for both time points. Size of lymph nodes (LN) on diagnostic CT was recorded.
Statistical analysis was performed for assessment of significant changes of
semiquantitative PET-parameters over time and for correlation of size and uptake
of lymph nodes. RESULTS: One hundred and four lesions were evaluated. Sixty
lesions were referenced by histology or unequivocal CT findings, including eight
(13.3 %) histopathologically benign lymph nodes, 12 (20 %) histopathologically
lymph node metastases, 12 (20 %) primary tumors, three (5 %) local recurrences,
and 25 (41.7 %) bone metastases. Forty-four lesions were axillary LN with normal
CT-appearance. Benign lesions had significantly lower SUVmax and T/B-ratios
compared with malignant findings. Malign lesions showed a significant increase of
both parameters over time compared to benign findings. There was no correlation
between LN size and SUVmax. The sensitivity, specificity, the positive predictive
value and negative predictive value of PET/CT regarding pelvic LN was 94 %, 99 %,
89 %, and 99.5 %, respectively. CONCLUSIONS: In contrast to benign tissues, the
uptake of proven tumor lesions increases on (68)Ga-PSMA-PET/CT over time. A
biphasic PET-study may lead to a better detection of tumor lesions in unequivocal
findings.
PMID- 26563124
TI - Covert hepatic encephalopathy: elevated total glutathione and absence of brain
water content changes.
AB - Recent pathophysiological models suggest that oxidative stress and hyperammonemia
lead to a mild brain oedema in hepatic encephalopathy (HE). Glutathione (GSx) is
a major cellular antioxidant and known to be involved in the interception of
both. The aim of this work was to study total glutathione levels in covert HE
(minimal HE and HE grade 1) and to investigate their relationship with local
brain water content, levels of glutamine (Gln), myo-inositol (mI),
neurotransmitter levels, critical flicker frequency (CFF), and blood ammonia.
Proton magnetic resonance spectroscopy ((1)H MRS) data were analysed from visual
and sensorimotor cortices of thirty patients with covert HE and 16 age-matched
healthy controls. Total glutathione levels (GSx/Cr) were quantified with respect
to creatine. Furthermore, quantitative MRI brain water content measures were
evaluated. Data were tested for links with the CFF and blood ammonia. GSx/Cr was
elevated in the visual (mHE) and sensorimotor (mHE, HE 1) MRS volumes and
correlated with blood ammonia levels (both P < 0.001). It was further linked to
Gln/Cr and mI/Cr (P < 0.01 in visual, P < 0.001 in sensorimotor) and to GABA/Cr
(P < 0.01 in visual). Visual GSx/Cr correlated with brain water content in the
thalamus, nucleus caudatus, and visual cortex (P < 0.01). Brain water measures
did neither show group effects nor correlations with CFF or blood ammonia.
Elevated total glutathione levels in covert HE (< HE 2) correlate with blood
ammonia and may be a regional-specific reaction to hyperammonemia and oxidative
stress. Brain water content is locally linked to visual glutathione levels, but
appears not to be associated with changes of clinical parameters. This might
suggest that cerebral oedema is only marginally responsible for the symptoms of
covert HE.
PMID- 26563125
TI - Differences in cognitive function between patients with viral and alcoholic
compensated liver cirrhosis.
AB - As alcohol induces change in frontal cortex primarily involved in cognition,
cognitive function may be different between viral and alcoholic liver cirrhosis
(LC). This study aimed to determine the differences of cognitive function between
viral and alcoholic compensated LC. From October 2011 to March 2013, 80 patients
(viral: 37; alcohol: 43) with compensated LC were prospectively enrolled.
Neuropsychological functions including attention, language, visuospatial, verbal
memory, visual memory, and frontal/executive function were evaluated between two
groups and compared with age-matched normal group (n = 1000). Cumulative
incidence rate of overt hepatic encephalopathy (HE) was calculated. In the
comparison with normal group, both two groups showed decreased memory function,
frontal/executive function, and Korea-Mini Mental Status Examination. In the
analysis of two groups, memory function by Verbal Learning Test (recognition:
20.1 +/- 3.6 and 17.8 +/- 4.8, p = 0.022), visuospatial function by Ray-Complex
Figure Copy Test (recognition: 19.0 +/- 2.6 and 17.3 +/- 4.0, p = 0.043),
frontal/executive function by Controlled Oral Ward Association (semantic: 17.1 +/
6.9 and 12.7 +/- 6.9, p = 0.004), and the Korea-Mini Mental Status Examination
(27.5 +/- 1.9 and 26.2 +/- 3.1, p = 0.03) showed low scores in alcoholic
compensated LC patients. The 1-, 2-, and 3-year cumulative incidence rates of
overt HE were 23%, 26%, and 26% and 33%, 43%, and 49% in the viral and alcoholic
compensated LC group, respectively (p = 0.033). Impaired memory and frontal lobe
executive functions and early development of overt HE were more common in
patients with alcoholic LC. For patients with alcoholic LC, more integrated tests
for early detection of minimal HE and intensive treatment should be considered to
prevent overt HE.
PMID- 26563126
TI - Glutamatergic and HPA-axis pathway genes in bipolar disorder comorbid with
alcohol- and substance use disorders.
AB - Glutamatergic neurotransmission has been shown to be dysregulated in bipolar
disorder (BD), alcohol use disorder (AUD) and substance use disorder (SUD).
Similarly, disruption in the hypothalamic-pituitary-adrenal (HPA)-axis has also
been observed in these conditions. BD is often comorbid with AUD and SUD. The
effects of the glutamatergic and HPA systems have not been extensively examined
in individuals with BD-AUD and BD-SUD comorbidity. The aim of this investigation
was to determine whether variants in the glutamatergic pathway and HPA-axis are
associated with BD-AUD and BD-SUD comorbidity. The research cohort consisted of
498 individuals with BD type I from the Systematic Treatment Enhancement Program
for Bipolar Disorder (STEP-BD). A subset of the cohort had comorbid current AUD
and current SUD. A total of 1935 SNPs from both the glutamatergic and HPA
pathways were selected from the STEP-BD genome-wide dataset. To identify
population stratification, IBS clustering was performed using the program Plink
1.07. Single SNP association and gene-based association testing were conducted
using logistic regression. A pathway analysis of glutamatergic and HPA genes was
performed, after imputation using IMPUTE2. No single SNP was associated with BD
AUD or BD-SUD comorbidity after correction for multiple testing. However, from
the gene-based analysis, the gene PRKCI was significantly associated with BD-AUD.
The pathway analysis provided overall negative findings, although several genes
including GRIN2B showed high percentage of associated SNPs for BD-AUD. Even
though the glutamatergic and HPA pathways may not be involved in BD-AUD and BD
SUD comorbidity, PRKCI deserves further investigation in BD-AUD.
PMID- 26563127
TI - Chemically induced acute model of sarcosinemia in wistar rats.
AB - In the present study, we developed an acute chemically induced model of
sarcosinemia in Wistar rats. Wistar rats of 7, 14 and 21 postpartum days received
sarcosine intraperitoneally in doses of 0.5 mmol/Kg of body weight three time a
day at intervals of 3 h. Control animals received saline solution (NaCl 0.85 g%)
in the same volume (10 mL/Kg of body weight). The animals were killed after 30
min, 1, 2, 3 or 6 h after the last injection and the brain and the blood were
collected for sarcosine measurement. The results showed that plasma and brain
sarcosine concentrations achieved levels three to four times higher than the
normal levels and decreased in a time-dependent way, achieving normal levels
after 6 hours. Considering that experimental animal models are useful to
investigate the pathophysiology of human disorders, our model of sarcosinemia may
be useful for the research of the mechanisms of neurological dysfunction caused
by high tissue sarcosine levels.
PMID- 26563129
TI - Antibiotic prescribing is higher in deprived areas of England.
PMID- 26563128
TI - A Phase I Trial of BKM120 (Buparlisib) in Combination with Fulvestrant in
Postmenopausal Women with Estrogen Receptor-Positive Metastatic Breast Cancer.
AB - PURPOSE: This trial was conducted to determine the maximum tolerated dose (MTD)
and preliminary efficacy of buparlisib, an oral pan-class I PI3K inhibitor, plus
fulvestrant in postmenopausal women with metastatic estrogen receptor positive
(ER(+)) breast cancer. EXPERIMENTAL DESIGN: Phase IA employed a 3+3 design to
determine the MTD of buparlisib daily plus fulvestrant. Subsequent cohorts (phase
IB and cohort C) evaluated intermittent (5/7-day) and continuous dosing of
buparlisib (100 mg daily). No more than 3 prior systemic treatments in the
metastatic setting were allowed in these subsequent cohorts. RESULTS: Thirty-one
patients were enrolled. MTD was defined as buparlisib 100 mg daily plus
fulvestrant. Common adverse events (AE) included fatigue (38.7%), transaminases
elevation (35.5%), rash (29%), and diarrhea (19.4%). C-peptide was significantly
increased during treatment, consistent with on-target effect of buparlisib.
Compared with intermittent dosing, daily buparlisib was associated with more
frequent early onset AEs and higher buparlisib plasma concentrations. Among the
29 evaluable patients, the clinical benefit rate was 58.6% (95% CI, 40.7%-74.5%).
Response was not associated with PIK3CA mutation or treatment cohort; however,
loss of PTEN, progesterone receptor (PgR) expression, or mutation in TP53 was
most common in resistant cases, and mutations inAKT1 and ESR1 did not exclude
treatment response. CONCLUSIONS: Buparlisib plus fulvestrant is clinically active
with manageable AEs in patients with metastatic ER(+)breast cancer. Weekend
breaks in buparlisib dosing reduced toxicity. Patients with PgR negative and TP53
mutation did poorly, suggesting buparlisib plus fulvestrant may not be adequately
effective against tumors with these poor prognostic molecular features.
PMID- 26563130
TI - Evaluating the appropriateness of a community pharmacy model for a colorectal
cancer screening program in Catalonia (Spain).
AB - Background The traditional model of community pharmacy has changed, with
patients, caregivers and consumers having access to many cognitive services other
than the traditional dispensing and supply of medicines. In December 2009, a
population-based colorectal cancer screening program started in Barcelona,
introducing the community pharmacist and the professional expertise of the
pharmacist into the organisational model. Aim To evaluate the program
implementation process in the pharmacies, identify barriers and facilitators, and
know the opinion of the professionals involved in the colorectal cancer screening
program in Catalonia (Spain). Methods Cross-sectional study of the pharmacies
that participated in the first round of the program during the first and second
trimester of 2010 in Barcelona. A validated questionnaire was used to analyse
several functional aspects in the implementation process. Qualitative aspects
about the opinion of the pharmacist were studied. A descriptive and bivariate
analysis was performed. Results All the pharmacies involved in the program (n =
74) participated in the study. The majority of the sample population was composed
of women (70.3%), mean age 44.9 years, and most of them (74%) had attended a
specific training session. Pharmacists considered their participation in the
program to be an added value to their professional role and a way to increase
consumer's confidence on this kind of services. The average time to provide the
service was estimated to be less than 10 minutes per consumer. Only three (4.1%)
pharmacists considered that the program involved a lot of extra work in the daily
activities of the pharmacy. The level of satisfaction of the pharmacists was very
high. Conclusions Community pharmacies can be a successful alternative and great
resource to implement a population cancer screening program. This functional
model can improve the accessibility and participation rates on target population.
The level of motivation of the community pharmacist, the specific training
program and the perception to give a better care for their patients can be an
enabler.
PMID- 26563131
TI - Adapting to a Changing Environment: Modeling the Interaction of Directional
Selection and Plasticity.
AB - Human-induced habitat loss and fragmentation constrains the range of many
species, making them unable to respond to climate change by moving. For such
species to avoid extinction, they must respond with some combination of
phenotypic plasticity and genetic adaptation. Haldane's "cost of natural
selection" limits the rate of adaptation, but, although modeling has shown that
in very large populations long-term adaptation can be maintained at rates
substantially faster than Haldane's suggested limit, maintaining large
populations is often an impossibility, so phenotypic plasticity may be crucial in
enhancing the long-term survival of small populations. The potential importance
of plasticity is in "buying time" for populations subject to directional
environmental change: if genotypes can encompass a greater environmental range,
then populations can maintain high fitness for a longer period of time.
Alternatively, plasticity could be detrimental by lessening the effectiveness of
natural selection in promoting genetic adaptation. Here, I modeled a
directionally changing environment in which a genotype's adaptive phenotypic
plasticity is centered around the environment where its fitness is highest.
Plasticity broadens environmental tolerance and, provided it is not too costly,
is favored by natural selection. However, a paradoxical result of the
individually advantageous spread of plasticity is that, unless the adaptive trait
is determined by very few loci, the long-term extinction risk of a population
increases. This effect reflects a conflict between the short-term individual
benefit of plasticity and a long-term detriment to population persistence, adding
to the multiple threats facing small populations under conditions of climate
change.
PMID- 26563132
TI - ATR inhibition induces synthetic lethality and overcomes chemoresistance in TP53-
or ATM-defective chronic lymphocytic leukemia cells.
AB - TP53 and ataxia telangiectasia mutated (ATM) defects are associated with genomic
instability, clonal evolution, and chemoresistance in chronic lymphocytic
leukemia (CLL). Currently, therapies capable of providing durable remissions in
relapsed/refractory TP53- or ATM-defective CLL are lacking. Ataxia telangiectasia
and Rad3-related (ATR) mediates response to replication stress, the absence of
which leads to collapse of stalled replication forks into chromatid fragments
that require resolution through the ATM/p53 pathway. Here, using AZD6738, a novel
ATR kinase inhibitor, we investigated ATR inhibition as a synthetically lethal
strategy to target CLL cells with TP53 or ATM defects. Irrespective of TP53 or
ATM status, induction of CLL cell proliferation upregulated ATR protein, which
then became activated in response to replication stress. In TP53- or ATM
defective CLL cells, inhibition of ATR signaling by AZD6738 led to an
accumulation of unrepaired DNA damage, which was carried through into mitosis
because of defective cell cycle checkpoints, resulting in cell death by mitotic
catastrophe. Consequently, AZD6738 was selectively cytotoxic to both TP53- and
ATM-defective CLL cell lines and primary cells. This was confirmed in vivo using
primary xenograft models of TP53- or ATM-defective CLL, where treatment with
AZD6738 resulted in decreased tumor load and reduction in the proportion of CLL
cells with such defects. Moreover, AZD6738 sensitized TP53- or ATM-defective
primary CLL cells to chemotherapy and ibrutinib. Our findings suggest that ATR is
a promising therapeutic target for TP53- or ATM-defective CLL that warrants
clinical investigation.
PMID- 26563120
TI - Asian-Pacific clinical practice guidelines on the management of hepatitis B: a
2015 update.
AB - Worldwide, some 240 million people have chronic hepatitis B virus (HBV), with the
highest rates of infection in Africa and Asia. Our understanding of the natural
history of HBV infection and the potential for therapy of the resultant disease
is continuously improving. New data have become available since the previous
APASL guidelines for management of HBV infection were published in 2012. The
objective of this manuscript is to update the recommendations for the optimal
management of chronic HBV infection. The 2015 guidelines were developed by a
panel of Asian experts chosen by the APASL. The clinical practice guidelines are
based on evidence from existing publications or, if evidence was unavailable, on
the experts' personal experience and opinion after deliberations. Manuscripts and
abstracts of important meetings published through January 2015 have been
evaluated. This guideline covers the full spectrum of care of patients infected
with hepatitis B, including new terminology, natural history, screening,
vaccination, counseling, diagnosis, assessment of the stage of liver disease, the
indications, timing, choice and duration of single or combination of antiviral
drugs, screening for HCC, management in special situations like childhood,
pregnancy, coinfections, renal impairment and pre- and post-liver transplant, and
policy guidelines. However, areas of uncertainty still exist, and clinicians,
patients, and public health authorities must therefore continue to make choices
on the basis of the evolving evidence. The final clinical practice guidelines and
recommendations are presented here, along with the relevant background
information.
PMID- 26563134
TI - Evidence for two-dimensional Ising superconductivity in gated MoS2.
AB - The Zeeman effect, which is usually detrimental to superconductivity, can be
strongly protective when an effective Zeeman field from intrinsic spin-orbit
coupling locks the spins of Cooper pairs in a direction orthogonal to an external
magnetic field. We performed magnetotransport experiments with ionic-gated
molybdenum disulfide transistors, in which gating prepared individual
superconducting states with different carrier dopings, and measured an in-plane
critical field B(c2) far beyond the Pauli paramagnetic limit, consistent with
Zeeman-protected superconductivity. The gating-enhanced B(c2) is more than an
order of magnitude larger than it is in the bulk superconducting phases, where
the effective Zeeman field is weakened by interlayer coupling. Our study provides
experimental evidence of an Ising superconductor, in which spins of the pairing
electrons are strongly pinned by an effective Zeeman field.
PMID- 26563133
TI - Umbilical cord blood-derived T regulatory cells to prevent GVHD: kinetics,
toxicity profile, and clinical effect.
AB - We studied the safety and clinical outcomes of patients treated with umbilical
cord blood (UCB)-derived regulatory T cells (Tregs) that expanded in cultures
stimulated with K562 cells modified to express the high-affinity Fc receptor
(CD64) and CD86, the natural ligand of CD28 (KT64/86). Eleven patients were
treated with Treg doses from 3-100 * 10(6) Treg/kg. The median proportion of
CD4(+)FoxP3(+)CD127(-) in the infused product was 87% (range, 78%-95%), and we
observed no dose-limiting infusional adverse events. Clinical outcomes were
compared with contemporary controls (n = 22) who received the same conditioning
regimen with sirolimus and mycophenolate mofetil immune suppression. The
incidence of grade II-IV acute graft-versus-host disease (GVHD) at 100 days was
9% (95% confidence interval [CI], 0-25) vs 45% (95% CI, 24-67) in controls (P =
.05). Chronic GVHD at 1 year was zero in Tregs and 14% in controls. Hematopoietic
recovery and chimerism, cumulative density of infections, nonrelapse mortality,
relapse, and disease-free survival were similar in the Treg recipients and
controls. KT64/86-expanded UCB Tregs were safe and resulted in low risk of acute
GVHD.
PMID- 26563135
TI - Fast retreat of Zachariae Isstrom, northeast Greenland.
AB - After 8 years of decay of its ice shelf, Zachariae Isstrom, a major glacier of
northeast Greenland that holds a 0.5-meter sea-level rise equivalent, entered a
phase of accelerated retreat in fall 2012. The acceleration rate of its ice
velocity tripled, melting of its residual ice shelf and thinning of its grounded
portion doubled, and calving is now occurring at its grounding line. Warmer air
and ocean temperatures have caused the glacier to detach from a stabilizing sill
and retreat rapidly along a downward-sloping, marine-based bed. Its equal-ice
volume neighbor, Nioghalvfjerdsfjorden, is also melting rapidly but retreating
slowly along an upward-sloping bed. The destabilization of this marine-based
sector will increase sea-level rise from the Greenland Ice Sheet for decades to
come.
PMID- 26563136
TI - Low back pain and physical activity--A 6.5 year follow-up among young adults in
their transition from school to working life.
AB - BACKGROUND: The association between leisure time physical activity and low back
pain in young adults is unclear and is in the need of prospectively obtained
evidence. This study examined the course of low back pain and the association
between low back pain and leisure time physical activity in a cohort of young
adults in their transition from school to working life. METHODS: Both low back
pain and leisure time physical activity was monitored over a 6.5 year period in
420 subjects starting out as students within hairdressing, electrical
installation and media/design. The association between physical activity and low
back pain was investigated through the follow-up period by using linear mixed
models analysis. RESULTS: Low back pain was significantly influenced by time and
overall there was a decreasing trend of low back pain prevalence throughout the
follow-up. Analysis showed a weak trend of decreasing low back pain with
moderate/high physical activity levels, but this association was not significant.
CONCLUSIONS: Low back pain decreased during follow-up with baseline as reference.
Findings in our study did show non-significant trends of reduced low back pain
with increased leisure time physical activity. Still, we could not support the
theory of moderate/high levels of physical activity acting protective against low
back pain in young adults entering working life. Our results, in combination with
previous relevant research, cannot support a clear relationship between physical
activity and low back pain for young adults. Thus, recommendations regarding
effect of physical activity on reducing low back pain for this group are not
clear.
PMID- 26563137
TI - Visual impairment, coping strategies and impact on daily life: a qualitative
study among working-age UK ex-service personnel.
AB - BACKGROUND: Sustaining a visual impairment may have a substantial impact on
various life domains such as work, interpersonal relations, mobility and social
and mental well-being. How to adjust to the loss of vision and its consequences
might be a challenge for the visually impaired person. The purpose of the current
study was to explore how younger male ex-Service personnel cope with becoming
visually impaired and how this affects their daily life. METHODS: Semi-structured
interviews with 30 visually impaired male ex-Service personnel, all under the age
of 55, were conducted. All participants are members of the charity organisation
Blind Veterans UK. Interviews were analysed thematically. RESULTS: Younger ex
Service personnel applied a number of different strategies to overcome their loss
of vision and its associated consequences. Coping strategies varied from learning
new skills, goal setting, integrating the use of low vision aids in their daily
routine, to social withdrawal and substance misuse. Vision loss affected on all
aspects of daily life and ex-Service personnel experienced an on-going struggle
to accept and adjust to becoming visually impaired. CONCLUSIONS: Health care
professionals, family and friends of the person with the visual impairment need
to be aware that coping with a visual impairment is a continuous struggle; even
after a considerable amount of time has passed, needs for emotional, social,
practical and physical support may still be present.
PMID- 26563138
TI - Decomposing contribution of age and non-age factors to rapid growth of lung
cancer in Xuanwei over past 30 years.
AB - BACKGROUND: From 1973 to 2005, the lung cancer mortality in Xuanwei had increased
constantly. Effect analysis of age and non-age factors on lung cancer is
important for local policy-making. METHODS: Demographic and death data was
collected and used. Factors of lung cancer were classified into age and non-age
factors. The contribution of the two factors to lung cancer was evaluated by
method of decomposing the differences of mortality rate. RESULTS: For males, the
non-age factors were the major contributor to growth of lung cancer mortality,
and 78.46% of all growth was attributed to non-age factors. For females, the non
age factors were the absolute contributor to growth of lung cancer in 1973-1992.
From 1992 to 2005, the contribution proportion had reduced to 75.39%.
CONCLUSIONS: Aging was one of risk factors for lung cancer in Xuanwei, but not
the main factor. It was supposed that multiple environmental risk factors were
related with high growth of lung cancer in Xuanwei. Policy-making should focus on
the non-age factors.
PMID- 26563139
TI - Non-criteria anti-phospholipid antibodies and cognitive impairment in SLE.
AB - The pathogenesis of cognitive impairment (CI) in patients with systemic lupus
erythematosus (SLE) is unknown. Anti-phospholipid antibodies (APL) have been
implicated. The APL which have been evaluated have variably included anti
cardiolipin (ACL) antibodies, lupus anticoagulant (LAC), and antibodies to beta-2
glycoprotein I (beta2GPI). Few studies have examined other APL (so-called non
criteria APL). We evaluated the association of CI with a broad spectrum of non
criteria APL. Subjects meeting SLE classification criteria were recruited from
three different patient populations. Cognitive function was assessed with the
Automated Neuropsychologic Assessment Metrics (ANAM), a validated computer-based
assessment tool. The total throughput score (TTS = number of correct
responses/time) was used as the primary outcome measure. The following APL of all
three isotypes were assessed by ELISA using standardized techniques: anti
beta2GPI, anti-phosphatidyl ethanolamine (aPE), anti-phosphatidyl choline (aPC),
anti-phosphatidyl inositol (aPI), anti-phosphatidyl serine (aPS), anti
phosphatidyl glycerol (aPG), anti-phosphatidic acid (aPA). Fifty-seven (57)
patients were evaluated. Of the 57, 12 had definite CI (>1.5 SD below the mean of
an age-, sex-, and race-matched RA population). The two groups were significantly
different with regard to age, ethnicity, and family income. There was no
significant difference between groups with regard to the presence of any non
criteria APL. When titers of specific non-criteria APL were compared with TTS, no
significant correlations were found. Using multiple linear regression and
adjusting for relevant covariates including age, ethnicity, and family income,
neither the presence nor the titer of any non-criteria APL significantly
influenced TTS. In this cross-sectional study, non-criteria APL were not
associated with CI.
PMID- 26563140
TI - Harmony as Ideology: Questioning the Diversity-Stability Hypothesis.
AB - The representation of a complex but stable, self-regulated and, finally,
harmonious nature penetrates the whole history of Ecology, thus contradicting the
core of the Darwinian evolution. Originated in the pre-Darwinian Natural History,
this representation defined theoretically the various schools of early ecology
and, in the context of the cybernetic synthesis of the 1950s, it assumed a
typical mathematical form on account of alpha positive correlation between
species diversity and community stability. After 1960, these two aforementioned
concepts and their positive correlation were proposed as environmental management
tools, in the face of the ecological crisis arising at the time. In the early
1970s, and particularly after May's evolutionary arguments, the consensus around
this positive correlation collapsed for a while, only to be promptly restored for
the purpose of attaching an ecological value on biodiversity. In this paper, we
explore the history of the diversity-stability hypothesis and we review the
successive terms that have been used to express community stability. We argue
that this hypothesis has been motivated by the nodal ideological presuppositions
of order and harmony and that the scientific developments in this field largely
correspond to external social pressures. We conclude that the conflict about the
diversity-stability relationship is in fact an ideological debate, referring
mostly to the way we see nature and society rather than to an autonomous
scientific question. From this point of view, we may understand why Ecology's
concepts and perceptions may decline and return again and again, forming a
pluralistic scientific history.
PMID- 26563141
TI - About the usefulness of contact precautions for carriers of extended-spectrum
beta-lactamase-producing Escherichia coli.
AB - BACKGROUND: Extended-spectrum beta-lactamases producing Escherichia coli (ESBL-E)
are increasingly identified in health care facilities. As previously done for the
control of methicillin-resistant Staphylococcus aureus, many hospitals have
established screening strategies for early identification of patients being
carriers of ESBL producers in general and ESBL-E in particular, and have
implemented contact precautions (CP) for infected and colonized patients.
METHODS: The incidence of ESBL-E has been compared retrospectively between two
French university hospitals (A and B) with different infection control policies
over a 5-year long period of time (2006-2010). RESULTS: While hospital A only
implemented standard precautions after identification of patients colonized with
ESBL-E, hospital B recommended additional CP. During the period of the study, the
ESBL-E incidence rate significantly increased in both hospitals, but no
significant difference was observed between the two hospitals. CONCLUSIONS: This
observational study did not reveal that additional CP measures had a greater
impact on the incidence of ESBL-E in hospital settings.
PMID- 26563142
TI - Anti-miroestrol polyclonal antibodies: a comparison of immunogen preparations
used to obtain desired antibody properties.
AB - Immunogen quality is one important factor that contributes to desirable antibody
characteristics. Highly specific antibodies against miroestrol can be used to
develop a quality control immunoassay for Pueraria candollei products. In this
study, we investigated how various immunogen preparations affect antibody
properties. The results show that immunogen prepared using the Mannich reaction
provides antibodies with higher specificity and sensitivity against miroestrol
than immunogen prepared with the periodate reaction. The results suggest the
Mannich reaction maintains the original structure of miroestrol and generates
useful antibodies for developing immunoassays.
PMID- 26563143
TI - X-rays for diagnosis of craniosynostosis.
PMID- 26563144
TI - [Pseudo-radicular referred leg pain].
AB - Pseudo-radicular leg pain as initially described by Bruegger more than 55 years
ago was at that time a genius explanation for so many non-radicular pain
syndromes that needed not any kind of surgical intervention but in first line a
manual treatment or a treatment by therapeutic local anesthetics. Today we
describe this pain as a "referred pain" originating from other anatomic
structures that may occur during the development of chronic pain. Nevertheless
this pain is found in many patients and it still seems to be a big problem for
many physicians and surgeons. Imaging does not help either. The history and the
clinical symptoms, the examinations, the chain reactions in the motor system as
well as the treatment options from the point of view of manual medicine are
described.
PMID- 26563145
TI - SATB1 is a potential therapeutic target in intrahepatic cholangiocarcinoma.
AB - BACKGROUND: Intrahepatic cholangiocarcinoma (ICC) is the second most common
primary malignant tumor of the liver with a poor prognosis. Upregulation of
special AT-rich sequence-binding protein 1 (SATB1) promotes tumor progression.
However, little is known about the role of SATB1 in ICC tumorigenesis. METHODS:
We firstly investigated the expression of SATB1 in 88 cases of ICC by
immunohistochemistry (IHC), QRT-PCR, and western blot. Meanwhile, we constructed
stably knockdown (shRNA) of SATB1 in ICC cell lines to evaluate the effects of
SATB1 on the ability of cell proliferation and invasion by MTT and transwell
invasion assay. RESULTS: Our result showed that SATB1 was overexpressed in ICC
tissues samples. Knockdown of SATB1 could inhibit ICC cell proliferation, and
suppress ICC cell invasion of ICC cell lines. In addition, the depletion of SATB1
expression suppressed the MYC levels in vitro. CONCLUSIONS: Our results highlight
the significance of SATB1 in ICC and suggest that SATB1 could be a promising
therapy target and a potential biomarker for prognosis in ICC patients.
PMID- 26563146
TI - Prognostic value of ZFP36 and SOCS3 expressions in human prostate cancer.
AB - PURPOSE: ZFP36 ring finger protein (ZFP36) and the suppressor of cytokine
signaling 3 (SOCS3) have been reported to, respectively, regulate NF-kappaB and
STAT3 signaling pathways. To better understand the correlation of NF-kappaB and
STAT3 negative regulates pathway, we have investigated the involvement of ZFP36
and SOCS3 expressions in human prostate cancer (PCa). METHODS: In the present
study, paired patient tissue microarrays were analyzed by immunohistochemistry,
and the ZFP36 protein expression was quantitated as immunoreactive scores in
patients with PCa. Associations between ZFP36/SOCS3 expression and various
clinicopathological features and prognosis of PCa patients were statistically
analyzed based on the Taylor database. Then, the functions of ZFP36 and SOCS3 in
cancerous inflammation were determined using qPCR and immunohistochemistry in
vitro and in vivo. RESULTS: ZFP36 protein expression in PCa tissues was
significantly lower than those in non-cancerous prostate tissues (P < 0.05). In
mRNA level, ZFP36 and SOCS3 had a close correlation with each other (P < 0.01,
Pearson r = 0.848), and its upregulation was both significantly associated with
low Gleason score (P < 0.001 and P < 0.001, respectively), negative metastasis (P
< 0.001 and P < 0.001, respectively), favorable overall survival (P < 0.001 and P
< 0.05, respectively), and negative biochemical recurrence (P < 0.001 and P <
0.001, respectively). Functionally, LPS treatment could lead to the
overexpression of ZFP36 and SOCS3 in vitro and vivo. CONCLUSIONS: Our data offer
the convincing evidence for the first time that the aberrant expressions of ZFP36
and SOCS3 may be involved into the progression and patients' prognosis of PCa,
implying their potentials as candidate markers of this cancer.
PMID- 26563147
TI - A pilot study to improve adherence among MS patients who discontinue treatment
against medical advice.
AB - Between 30 and 50% of MS patients may prematurely discontinue disease modifying
therapies. Little research has examined how to best talk with patients who have
discontinued treatment against medical advice. The aim of this pilot study was to
determine whether telephone counseling increases disease modifying therapy (DMT)
re-initiation among nonadherent patients with multiple sclerosis (MS).
Participants were eligible if they had relapsing-remitting disease, had stopped
taking a DMT, and had no plan to re-initiate treatment despite a provider
recommendation. Following a baseline assessment, 81 patients were randomly
assigned to either five 20 min, weekly sessions of Motivational
Interviewing/Cognitive Behavioral Therapy (MI-CBT) or Treatment as Usual (TAU)
with brief education. At 10 weeks, patients initially assigned to TAU switched
over to MI-CBT. Compared to patients in the TAU group, patients undergoing MI-CBT
were significantly more likely to indicate they were re-initiating DMT (41.7 vs.
14.3%). These significant results were replicated among patients crossing over
from TAU to MI-CBT. Treatment satisfaction was high, with 97% of participants
reporting that they would recommend MI-CBT to other patients with MS. Results of
this pilot study provide initial support for the use of MI-CBT among MS patients
who have discontinued treatment against medical advice.Clinicaltrials.gov:
NCT01925690.
PMID- 26563148
TI - Effects of a mindfulness-based intervention on mindful eating, sweets
consumption, and fasting glucose levels in obese adults: data from the SHINE
randomized controlled trial.
AB - We evaluated changes in mindful eating as a potential mechanism underlying the
effects of a mindfulness-based intervention for weight loss on eating of sweet
foods and fasting glucose levels. We randomized 194 obese individuals (M age =
47.0 +/- 12.7 years; BMI = 35.5 +/- 3.6; 78% women) to a 5.5-month diet-exercise
program with or without mindfulness training. The mindfulness group, relative to
the active control group, evidenced increases in mindful eating and maintenance
of fasting glucose from baseline to 12-month assessment. Increases in mindful
eating were associated with decreased eating of sweets and fasting glucose levels
among mindfulness group participants, but this association was not statistically
significant among active control group participants. Twelve-month increases in
mindful eating partially mediated the effect of intervention arm on changes in
fasting glucose levels from baseline to 12-month assessment. Increases in mindful
eating may contribute to the effects of mindfulness-based weight loss
interventions on eating of sweets and fasting glucose levels.
PMID- 26563150
TI - Cathepsin D inhibitors as potential therapeutics for breast cancer treatment:
Molecular docking and bioevaluation against triple-negative and triple-positive
breast cancers.
AB - The main aim of this study was to discover small molecule inhibitors against
Cathepsin D (CatD) (EC.3.4.23.5), a clinically proven prognostic marker for
breast cancer, and to explore the mechanisms by which CatD could be a useful
therapeutic target for triple-positive and triple-negative breast cancers (TPBC &
TNBC). The crystal structure of CatD at 2.5 A resolution (PDB: 1LYB), which was
complexed with Pepstatin A, was selected for computer-aided molecular modeling.
The methods used in our study were pharmacophore modeling and molecular docking.
Virtual screening was performed to identify small molecules from an in-house
database and a large commercial chemical library. Cytotoxicity studies were
performed on human normal cell line HEK293T and growth inhibition studies on
breast adenocarcinoma cell lines, namely MCF-7, MDA-MB-231, SK-BR-3, and MDA-MB
468. Furthermore, RT-PCR analysis, in vitro enzyme assay, and cell cycle analysis
ascertained the validity of the selected molecules. A set of 28 molecules was
subjected to an in vitro fluorescence-based inhibitory activity assay, and among
them six molecules exhibited >50 % inhibition at 25MUM. These molecules also
exhibited good growth inhibition against TPBC and TNBC cancer types. Among them,
molecules 1 and 17 showed single-digit micromolar GI50 values against MCF-7 and
MDA-MB-231 cell lines.
PMID- 26563149
TI - Overexpression of AtPCS1 in tobacco increases arsenic and arsenic plus cadmium
accumulation and detoxification.
AB - MAIN CONCLUSION: The heterologous expression of AtPCS1 in tobacco plants exposed
to arsenic plus cadmium enhances phytochelatin levels, root As/Cd accumulation
and pollutants detoxification, but does not prevent root cyto-histological
damages. High phytochelatin (PC) levels may be involved in accumulation and
detoxification of both cadmium (Cd) and arsenic (As) in numerous plants. Although
polluted environments are frequently characterized by As and Cd coexistence, how
increased PC levels affect the adaptation of the entire plant and the response of
its cells/tissues to a combined contamination by As and Cd needs investigation.
Consequently, we analyzed tobacco seedlings overexpressing Arabidopsis
phytochelatin synthase1 gene (AtPCS1) exposed to As and/or Cd, to evaluate the
levels of PCs and As/Cd, the cyto-histological modifications of the roots and the
Cd/As leaf extrusion ability. When exposed to As and/or Cd the plants
overexpressing AtPCS1 showed higher PC levels, As plus Cd root accumulation, and
detoxification ability than the non-overexpressing plants, but a blocked Cd
extrusion from the leaf trichomes. In all genotypes, As, and Cd in particular,
damaged lateral root apices, enhancing cell-vacuolization, causing thinning and
stretching of endodermis initial cells. Alterations also occurred in the primary
structure region of the lateral roots, i.e., cell wall lignification in the
external cortex, cell hypertrophy in the inner cortex, crushing of endodermis and
stele, and nuclear hypertrophy. Altogether, As and/or Cd caused damage to the
lateral roots (and not to the primary one), with such damage not counteracted by
AtPCS1 overexpression. The latter, however, positively affected accumulation and
detoxification to both pollutants, highlighting that Cd/As accumulation and
detoxification due to PCS1 activity do not reduce the cyto-histological damage.
PMID- 26563151
TI - Genomic gain of the PRL-3 gene may represent poor prognosis of primary colorectal
cancer, and associate with liver metastasis.
AB - PRL-3 genomic copy number is increased in colorectal cancer (CRC), and PRL-3
expression is closely associated with lymph node and liver metastasis of CRC.
However, the clinical significance of PRL-3 genomic gain for CRC remains obscure.
Here, PRL-3 genomic status in 109 primary CRC tumors and in 44 CRC tumors that
had metastasized to the liver, was quantified using real time PCR. Association of
PRL-3 genomic status with clinicopathological factors and prognosis was assessed
in detail. PRL-3 genomic gain was identified in 31 primary CRC (27.4 %) and was
more frequently seen in stage III than in stage II (p = 0.025). Among the
clinicopathological factors assessed, PRL-3 genomic gain was significantly
associated with poorly differentiated histology (p = 0.0039). Moreover, CRC
patients with PRL-3 genomic gain exhibited poorer prognosis than those with no
gain in stage II-IV CRC (p = 0.017). PRL-3 genomic gain was identified in 18 (41
%) of the liver metastasis tumors, and this frequency of gain was significantly
increased as compared to that of the corresponding primary CRCs (11 %) (p =
0.001). Our findings suggested that PRL-3 genomic gain may represent an
aggressive phenotype of primary CRC, and may associate with liver metastasis.
PMID- 26563152
TI - Health Resource Utilization in Patients with Advanced Non-Small Cell Lung Cancer
Receiving Chemotherapy in China.
AB - BACKGROUND AND OBJECTIVES: Chemotherapy is the preferred treatment regimen for
advanced lung cancer patients. This study investigated the health resources
utilized by and medical expenses of patients with non-small cell lung cancer
(NSCLC) as well as the influence of various chemotherapy regimens on the final
medical costs in China. The aim of this study was to provide physicians with a
reference to use as the basis for their choice of treatment. METHODS: Data were
collected from the Shanghai Chest Hospital's medical charts and billing database.
The collected patient information included the baseline characteristics, medical
history, chemotherapy regimens, and medical costs, which were used to estimate
the health resources utilized by patients and the cost of treatment. RESULTS:
This study included 328 patients, and the average total medical cost was
$US14,165. This cost included drugs, which accounted for as much as 78.91% of the
total cost, and chemotherapy drugs, which accounted for 51.58% of total drug
expenses. The most frequently utilized chemotherapy drug was carboplatin, and the
most expensive chemotherapy drug was erlotinib. In drug combinations, gemcitabine
was utilized most frequently, the combination of gemcitabine and paclitaxel was
the most expensive, and cisplatin was the least expensive drug. Epidermal growth
factor receptor-positive patients were treated with targeted drug therapy
(icotinib, erlotinib, and gefitinib). The use of recombinant human endostatin was
often combined with a vinorelbine plus cisplatin regimen. Traditional Chinese
medicines were the most frequently utilized non-chemotherapy drugs, and these
drugs were also the most expensive. CONCLUSIONS: The final cost significantly
depended on the specific chemotherapy regimen; thus, the rationale and cost of
the chemotherapy regimen and adjuvant chemotherapy should be considered in
patients with advanced NSCLC.
PMID- 26563153
TI - Medial and lateral hamstrings and quadriceps co-activation affects knee joint
kinematics and ACL elongation: a pilot study.
AB - BACKGROUND: Many injury prevention and rehabilitation programs aim to train
hamstring and quadriceps co-activation to constrain excessive anterior tibial
translation and protect the anterior cruciate ligament (ACL) from injury.
However, despite strong clinical belief in its efficacy, primary evidence
supporting training co-activation of the hamstrings and quadriceps muscles for
ACL injury prevention and rehabilitation is quite limited. Therefore, the purpose
of the study presented in this paper was to determine if hamstring-quadriceps co
activation alters knee joint kinematics, and also establish if it affects ACL
elongation. METHODS: A computed tomography (CT) scan from each participant's
dominant leg was acquired prior to performing two step-ups under fluoroscopy: one
with 'natural' hamstring-quadriceps co-activation, one with deliberate co
activation. Electromyography was used to confirm increased motor unit
recruitment. The CT scan was registered to fluoroscopy for 4-D modeling, and knee
joint kinematics subsequently measured. Anterior cruciate ligament attachments
were mapped to the 4-D models and its length was assumed from the distance
between attachments. Anterior cruciate ligament elongation was derived from the
change in distance between those points as they moved relative to each other.
RESULTS: Reduced ACL elongation as well as knee joint rotation, abduction,
translation, and distraction was observed for the step up with increased co
activation. A relationship was shown to exist for change in ACL length with knee
abduction (r = 0.91; p <= 0.001), with distraction (r = -0.70; p = 0.02 for
relationship with compression), and with anterior tibial translation (r = 0.52; p
= 0.01). However, ACL elongation was not associated with internal rotation or
medial translation. Medial hamstring-quadriceps co-activation was associated with
a shorter ACL (r = -0.71; p = 0.01), and lateral hamstring-quadriceps co
activation was related to ACL elongation (r = 0.46; p = 0.05). CONCLUSION: Net co
activation of the hamstrings and quadriceps muscles will likely reduce ACL
elongation provided that the proportion of medial hamstring-quadriceps co
activation exceeds lateral.
PMID- 26563155
TI - A disintegrin and metalloproteinase with thrombospondin motif 1 (ADAMTS1)
expression increases in acute aortic dissection.
AB - Acute aortic dissection (AAD) is a life-threatening cardiovascular disease caused
by progressive medial degeneration of the aortic wall. A disintegrin and
metalloproteinase with thrombospondin motifs 1 (ADAMTS1) is a recently identified
extracellular metalloproteinase participating in the development of vascular
disease, such as atherosclerosis. In the present study, we found that ADAMTS1 was
significantly elevated in blood samples from AAD patients compared with patients
with acute myocardial infarction and healthy volunteers. Based on these findings,
we established an AAD model by infusing angiotensin II in older mice. AAD was
successfully developed in aorta tissues, with an incidence of 42% after 14 days
in the angiotensin II group. Macrophage and neutrophil infiltration was observed
in the media of the aorta, and ADAMTS1 overexpression was found in the aorta by
Western blot and immunohistochemistry. Double immunofluorescence staining showed
the expression of ADAMTS1 in macrophages and neutrophils. Consistent with the
upregulation of ADAMTS1 in aortic dissection tissues, versican (a proteoglycan
substrate of ADAMTS1) was degraded significantly more in these tissues than in
control aortic tissues. These data suggest that the increased expression of
ADAMTS1 protein in macrophages and neutrophils that infiltrated aortic tissues
may promote the progression of AAD by degrading versican.
PMID- 26563154
TI - Genetic contribution of SCARB1 variants to lipid traits in African Blacks: a
candidate gene association study.
AB - BACKGROUND: High-density lipoprotein cholesterol (HDL-C) exerts many anti
atherogenic properties including its role in reverse cholesterol transport (RCT).
Scavenger receptor class B member 1 (SCARB1) plays a key role in RCT by selective
uptake of HDL cholesteryl esters. We aimed to explore the genetic contribution of
SCARB1 to affecting lipid levels in African Blacks from Nigeria. METHODS: We
resequenced 13 exons and exon-intron boundaries of SCARB1 in 95 individuals with
extreme HDL-C levels using Sanger method. Then, we genotyped 147 selected
variants (78 sequence variants, 69 HapMap tagSNPs, and 2 previously reported
relevant variants) in the entire sample of 788 African Blacks using either the
iPLEX Gold or TaqMan methods. A total of 137 successfully genotyped variants were
further evaluated for association with major lipid traits. RESULTS: The initial
gene-based analysis demonstrated evidence of association with HDL-C and
apolipoprotein A-I (ApoA-I). The follow-up single-site analysis revealed nominal
evidence of novel associations of nine common variants with HDL-C and/or ApoA-I
(P < 0.05). The strongest association was between rs11057851 and HDL-C (P =
0.0043), which remained significant after controlling for multiple testing using
false discovery rate. Rare variant association testing revealed a group of 23
rare variants (frequencies <= 1%) associated with HDL-C (P = 0.0478). Haplotype
analysis identified four SCARB1 regions associated with HDL-C (global P < 0.05).
CONCLUSIONS: To our knowledge, this is the first report of a comprehensive
association study of SCARB1 variations with lipid traits in an African Black
population. Our results showed the consistent association of SCARB1 variants with
HDL-C across various association analyses, supporting the role of SCARB1 in
lipoprotein-lipid regulatory mechanism.
PMID- 26563156
TI - Regulation of hematopoietic stem cells in the niche.
AB - Hematopoiesis provides a suitable model for understanding adult stem cells and
their niche. Hematopoietic stem cells (HSCs) continuously produce blood cells
through orchestrated proliferation, self-renewal, and differentiation in the bone
marrow (BM). Within the BM exists a highly organized microenvironment termed
"niche" where stem cells reside and are maintained. HSC niche is the first
evidence that a microenvironment contributes to protecting stem cell integrity
and functionality in mammals. Although multiple models exist, recent progress has
principally elucidated the cellular complexity of the HSC niche that maintains
and regulates HSCs in BM. Here we introduce the development and summarize the
achievements of HSC niche studies.
PMID- 26563158
TI - An Organizational Perspective to the Creation of the Research Field.
AB - The aim of the paper is to contribute to the definition and analysis of the
"access to the field" (Feldman et al. 2003) through an inter-organizational
perspective. The paper discusses a case study on the access of a researcher to a
hospital department where both organizations and actors are shown as actively
constructing the research site. Both researcher and participants are described in
terms of work organizations originally engaged in parallel systems of activity.
Dynamics of negotiation "tied" the different actors' activities in a new activity
system where researcher and participants concur to the effectiveness of both
organizations (i.e., the research and the hospital ward). An Activity Theory
perspective (Leont'ev 1978) is used with the aim of focusing the analysis on the
activities in charge to the different actors. The approach adopted introduces the
idea that, from the outset, research is made possible by a process of co
construction that works through the development of a completely new and shared
work space arising around the encounter between researchers and participants. It
is the balance between improvised actions and the co-creation of "boundary
objects" (Star and Griesemer 1989), which makes interlacement possible between
the two activity systems. The concept of "knotworking" (Engestrom 2007a) is
adopted to interpret specific actions by both organizations and actors intended
to build a knot of activities whereby the new research system takes place.
PMID- 26563157
TI - Impaired cytoskeletal arrangements and failure of ventral body wall closure in
chick embryos treated with rock inhibitor (Y-27632).
AB - AIM: Rho-associated kinase (ROCK) signaling regulates numerous fundamental
developmental processes during embryogenesis, primarily by controlling actin
cytoskeleton assembly and cell contractility. ROCK knockout mice exhibit a
ventral body wall defect (VBWD) phenotype due to disorganization of actin
filaments at the umbilical ring. However, the exact molecular mechanisms leading
to VBWD still remain unclear. Improper somitogenesis has been hypothesized to
contribute to failure of VBW closure. We designed this study to investigate the
hypothesis that administration of ROCK inhibitor (Y-27632) disrupts cytoskeletal
arrangements in morphology during early chick embryogenesis, which may contribute
to the development of VBWD. METHODS: At 60 h incubation, chick embryos were
explanted into shell-less culture and treated with 50 uL of vehicle for controls
(n = 33) or 50 uL of 500 uM of Y-27632 for the experimental group (Y-27, n = 56).
At 8 h post-treatment, RT-PCR was performed to evaluate mRNA levels of N
cadherin, E-cadherin and connexin43. Immunofluorescence confocal microscopy was
performed to analyze the expression and distribution of actin, vinculin and
microtubules in the neural tube and somites. A further cohort of embryos was
treated in ovo by dropping 50 uL of vehicle or 50 uL of different concentrations
of Y-27632 onto the embryo and allowing development to 12 and 14 days for further
assessment. RESULTS: Gene expression levels of N-cadherin, E-cadherin and
connexin43 were significantly decreased in treated embryos compared with controls
(p < 0.05). Thickened actin filament bundles were recorded in the neural tube of
Y-27 embryos. In somites, cells were dissociated with reduced actin distribution
in affected embryos. Clumping of vinculin expression was found in the neural tube
and somites, whereas reduced expression of microtubules was observed in Y-27
embryos compared with controls. At 12 and 14 days of development, affected
embryos presented with an enlarged umbilical ring and herniation of abdominal
contents through the defect. CONCLUSION: ROCK inhibition alters cytoskeletal
arrangement during early chick embryogenesis, which may contribute to failure of
anterior body wall closure causing VBWD at later stages of development.
PMID- 26563159
TI - FAS Haploinsufficiency Caused by Extracellular Missense Mutations Underlying
Autoimmune Lymphoproliferative Syndrome.
AB - Mutations in the FAS gene are the most common cause of Autoimmune
Lymphoproliferative Syndrome (ALPS), and the majority of them affect the
intracellular domain of FAS protein, particularly the region termed death domain.
However, approximately one third of these mutations affect the extracellular
region of FAS and most are stop codons, with very few missense changes having
been described to date. We previously described 7 patients with a FAS missense
extracellular mutation, C107Y, two in homozygozity and 5 in heterozygosity. We
investigated here the mechanistic effects of this mutation and observed that the
homozygous patients did not show any FAS surface expression, while the
heterozygous patients had diminished receptor expression. Aiming to understand
why a missense mutation was abolishing receptor expression, we analyzed
intracellular FAS protein trafficking using fluorescent fusion proteins of wild
type FAS, two missense extracellular mutants (FAS-C107Y and FAS-C104Y) and one
missense change localized in the intracellular region, FAS-D260E. The FAS-C107Y
and FAS-C104Y mutants failed to reach the cell surface, being retained at the
endoplasmic reticulum, unlike the WT or the FAS-D260E which were clearly
expressed at the plasma membrane. These results support haploinsufficiency as the
underlying mechanism involved in the pathogenesis of ALPS caused by extracellular
FAS missense mutations.
PMID- 26563160
TI - Recurrent Respiratory Infections Revealing CD8alpha Deficiency.
AB - CD8A encodes the CD8alpha chain of the dimeric CD8 protein, a critical coreceptor
of cytotoxic T cells. We report here the comprehensive immunological evaluation
of a child with a CD8A missense mutation, providing evidence that CD8 deficiency
increases susceptibility to recurrent respiratory infections without interfering
with the TCR-mediated proliferation of T cells. These observations expand the
known phenotypes associated with CD8 deficiency.
PMID- 26563161
TI - Early Complement Component Deficiency in a Single-Centre Cohort of Pediatric
Onset Lupus.
AB - OBJECTIVES: To assess complement levels C1q, C2, C3 and C4 in children with
pediatric-onset lupus during the quiescent stage of disease. METHODS: Thirty-four
consecutive children with pediatric-onset SLE (onset below 12 years), in the
quiescent stage were enrolled for the study. Twenty-nine age and sex matched
healthy children were also enrolled for the purpose of comparison. Complement C1q
and C2 levels were estimated by enzyme-linked immunosorbent assay (ELISA) whereas
C3 and C4 were measured by end-point nephelometry. Genetic mutation analysis and
functional assessment of classical complement pathway by ELISA were carried out
in children with depressed levels of these complements. The study protocol was
approved by the Institute Thesis Committee and the Institute Ethics Committee.
RESULTS: Mean complement C1q, C2, C3 and C4 levels were 50.32, 17.28, 1320 and
236 mg/L respectively. Levels of complements were low in 7/34 children with SLE.
An early age at onset, low anti-dsDNA titres and predominant skin manifestations
were noted in children with decreased levels of complement C1q. Mutation analysis
of C1qA gene revealed a homozygous nonsense mutation: C1QA (NM_015991) c.622C>T,
p.Q208X in one child. A homozygous acceptor splice site mutation at the -2
position of intron2 of C1QA (c.164-2A>C) was detected in another child. The age
at onset of disease was early in both these children, at 2.5 and 1.5 years
respectively. CONCLUSION: Children with inherited deficiency of C1q and other
early complement components present with early onset lupus that has a distinct
clinical and immunological profile.
PMID- 26563162
TI - Sleep benefits consolidation of visuo-motor adaptation learning in older adults.
AB - Sleep is beneficial for performance across a range of memory tasks in young
adults, but whether memories are similarly consolidated in older adults is less
clear. Performance benefits have been observed following sleep in older adults
for declarative learning tasks, but this benefit may be reduced for non
declarative, motor skill learning tasks. To date, studies of sleep-dependent
consolidation of motor learning in older adults are limited to motor sequence
tasks. To examine whether reduced sleep-dependent consolidation in older adults
is generalizable to other forms of motor skill learning, we examined performance
changes over intervals of sleep and wake in young (n = 62) and older adults (n =
61) using a mirror-tracing task, which assesses visuo-motor adaptation learning.
Participants learned the task either in the morning or in evening, and
performance was assessed following a 12-h interval containing overnight sleep or
daytime wake. Contrary to our prediction, both young adults and older adults
exhibited sleep-dependent gains in visuo-motor adaptation. There was a
correlation between performance improvement over sleep and percent of the night
in non-REM stage 2 sleep. These results indicate that motor skill consolidation
remains intact with increasing age although this relationship may be limited to
specific forms of motor skill learning.
PMID- 26563163
TI - Cerebral hemovelocity reveals differential resource allocation strategies for
extraverts and introverts during vigilance.
AB - Extraversion--one of the Big 5 personality factors--correlates negatively with
vigilance, but most studies focus on performance outcomes and not the performance
process. Previous research has shown that transcranial Doppler sonography (TCD),
which measures cerebral blood flow velocity (CBFV), can be used to examine
resource allocation strategies during vigilance performance. Hence, this study
was designed to assess the attentional resource allocation strategies of
introverts and extraverts using the CBFV measure. Twelve extroverts and 13
introverts monitored a 60-min vigilance task for a critical signal--the absence
of a line on a five-circle array. The results revealed an overall performance
decrement that was not modulated by extraversion. We observed an interaction
between extraversion and time; CBFV declined in the introversion group, but not
in the extraversion group. Additionally, an interaction between cerebral
hemisphere and personality revealed that extraverts were recruiting resources
from both the left and right cerebral hemispheres, while introverts only
recruited resources from the right hemisphere. The results suggest that
extraverts can allocate compensatory effort to mask performance differences. We
discuss the theoretical and practical implications of these findings and offer
future research directions that may help us understand these effects.
PMID- 26563164
TI - Dissociation of the rostral and dorsolateral prefrontal cortex during sequence
learning in saccades: a TMS investigation.
AB - This experiment sought to find whether differences exist between the dorsolateral
prefrontal cortex (DLPFC) and the medial rostral prefrontal cortex (MRPFC) for
performing stimulus-independent and stimulus-oriented tasks, respectively. To
find a causal relationship in these areas, we employed the use of trans-cranial
magnetic stimulation (TMS). Prefrontal areas were stimulated whilst participants
performed random or predictable sequence learning tasks at stimulus onset (1st
presentation of the sequence only for both Random and Predictable), or during the
inter-sequence interval. Overall, we found that during the predictable task a
significant decrease in saccade latency, gain and duration was found when
compared to the randomised conditions, as expected and observed previously.
However, TMS stimulation in DLPFC during the delay in the predictive sequence
learning task reduced this predictive ability by delaying the saccadic onset and
generating abnormal reductions in saccadic gains during prediction. In contrast,
we found that stimulation during a delay in MRPFC reversed the normal effects on
peak velocity of the task with the predictive task revealing higher peak velocity
than the randomised task. These findings provide causal evidence for independent
functions of DLPFC and MRPFC in performing stimulus-independent processing during
sequence learning in saccades.
PMID- 26563165
TI - Dopamine-dependent modulation of rat globus pallidus excitation by nicotine
acetylcholine receptors.
AB - The globus pallidus (GP) coordinates information processing in the basal ganglia
nuclei. The contribution of nicotinic cholinergic receptors (nAChRs) to the
spiking activity of GP neurons is largely unknown. Several studies have reported
that the effect of nAChRs in other nuclei depends on dopaminergic input. Via in
vivo single unit extracellular recordings and intranuclear drug infusions, we
analyzed the effects of local activation and blockade of nAChRs in neurons of
both sham and 6-hydroxydopamine (6-OHDA)-lesioned rats. In sham rats, the local
application of nicotine and edrophonium (an acetylcholinesterase inhibitor)
increases GP neurons spiking rate. Local application of mecamylamine, a neuronal
nicotinic cholinergic antagonist, diminishes pallidal neurons spiking rate, an
effect not produced by d-tubocurarine, a peripheral nicotinic cholinergic
antagonist. Moreover, mecamylamine blocks the excitatory effect evoked by
nicotine and edrophonium. In 6-OHDA-lesioned rats, local infusion of nicotine
does not change pallidal neurons firing rate. Our results show that there is a
tonic cholinergic input to the GP that increases their spiking rate through the
activation of nAChRs and that this effect depends on functional dopaminergic
pathways.
PMID- 26563166
TI - The First Case of Invasive Mixed-Mold Infections Due to Emericella nidulans var.
echinulata and Rasamsonia piperina in a Patient with Chronic Granulomatous
Disease.
AB - A 16-year-old boy with chronic granulomatous disease presented with pneumonia and
rib osteomyelitis. Emericella nidulans var. echinulata was isolated from his
sputum. After starting voriconazole, Rasamsonia piperina was isolated from the
rib swelling. A combination therapy of voriconazole and micafungin effectively
eradicated this invasive mixed-mold infection. In immunocompromised patients, a
precise pathogenic diagnosis is clinically useful for administration of an
appropriate treatment regimen.
PMID- 26563167
TI - Long-Term Benefit of Mesalamine Granules for Patients Who Achieved Corticosteroid
Induced Ulcerative Colitis Remission.
AB - BACKGROUND: Patients with ulcerative colitis (UC) who achieve remission with
corticosteroids often relapse after tapering or discontinuation; alternative
treatments limiting steroid exposure and UC relapse would be beneficial. It
remains uncertain whether patients with corticosteroid-induced remission
experience benefit with mesalamine granules (MG), a locally acting
aminosalicylate extended-release capsule formulation for maintenance of UC
remission in adults. AIMS: Efficacy and safety of MG 1.5 g once daily was
evaluated in patients with UC in corticosteroid-induced remission. METHODS: Data
from patients with previous corticosteroid use to achieve baseline UC remission
were analyzed from two 6-month randomized, double-blind, placebo-controlled
trials and a 24-month open-label extension (OLE). Six-month relapse-free rates
were assessed using the revised Sutherland Disease Activity Index. UC-related
adverse events (AEs) were recorded during the 30 months. RESULTS: Included were
158 steroid-treated patients in UC remission (MG, n = 105; placebo, n = 53) and
74/105 MG-treated patients who continued MG in the OLE. A significantly larger
percentage of patients remained relapse-free at 6 months with MG (77.1 %) versus
placebo (54.7 %; P = 0.006), with a 55 % reduction in relapse risk (hazard ratio
[HR] 0.45; 95 % CI 0.25-0.79). There was a similar (49.2 %) reduction in risk of
UC-related AEs at 6 months (HR 0.51; 95 % CI 0.31-0.84; P = 0.009) that was
sustained during the OLE. CONCLUSIONS: MG 1.5 g once daily administered for
maintenance of corticosteroid-induced remission was associated with low risk of
relapse and UC-related AEs. CLINICALTRIALS.GOV: NCT00744016, NCT00767728, and
NCT00326209.
PMID- 26563169
TI - No difference in patellar kinematics between fixed-bearing cruciate-retaining and
cruciate-substituting total knee arthroplasty: a cadaveric investigation.
AB - PURPOSE: The influence of cruciate-ligament-retaining (CR-TKA) and cruciate
ligament-substituting (CS-TKA) TKA on tibiofemoral kinematics was analysed in
many investigations. However, the influence on patellar kinematics is unclear so
far. The aim of this study was to compare patellar kinematics of the natural knee
with those after CR- and CS-TKA. METHODS: Patellar kinematics of nine healthy
whole-body cadaveric knees before and after CR- and CS-TKA was investigated using
a commercial optical computer navigation system. Patellar kinematics of the
healthy knee was compared with those after CR- and CS-TKA. RESULTS: No
significant difference between the natural knee and the knee after TKA or between
both types of TKA for patellar kinematics could be found. Interestingly, both
types of TKA resulted in a more medial patellar shift and a contrary patellar
tilt and rotation behaviour. CR- and CS-TKA resulted in smaller values for
patellar epicondylar distance at all flexion angles. CONCLUSIONS: Our study found
no influence of prosthesis type on patellar kinematics. Factors like component
alignment and prosthesis design seem to be more important in terms of adequate
restoration of patellar kinematics in TKA than whether choosing CR- or CS-TKA.
PMID- 26563168
TI - Pharmacokinetics, Pharmacodynamics and Pharmacogenomics of Immunosuppressants in
Allogeneic Haematopoietic Cell Transplantation: Part I.
AB - Although immunosuppressive treatments and target concentration intervention (TCI)
have significantly contributed to the success of allogeneic haematopoietic cell
transplantation (alloHCT), there is currently no consensus on the best
immunosuppressive strategies. Compared with solid organ transplantation, alloHCT
is unique because of the potential for bidirectional reactions (i.e. host-versus
graft and graft-versus-host). Postgraft immunosuppression typically includes a
calcineurin inhibitor (cyclosporine or tacrolimus) and a short course of
methotrexate after high-dose myeloablative conditioning, or a calcineurin
inhibitor and mycophenolate mofetil after reduced-intensity conditioning. There
are evolving roles for the antithymyocyte globulins (ATGs) and sirolimus as
postgraft immunosuppression. A review of the pharmacokinetics and TCI of the main
postgraft immunosuppressants is presented in this two-part review. All
immunosuppressants are characterized by large intra- and interindividual
pharmacokinetic variability and by narrow therapeutic indices. It is essential to
understand immunosuppressants' pharmacokinetic properties and how to use them for
individualized treatment incorporating TCI to improve outcomes. TCI, which is
mandatory for the calcineurin inhibitors and sirolimus, has become an integral
part of postgraft immunosuppression. TCI is usually based on trough concentration
monitoring, but other approaches include measurement of the area under the
concentration-time curve (AUC) over the dosing interval or limited sampling
schedules with maximum a posteriori Bayesian personalization approaches.
Interpretation of pharmacodynamic results is hindered by the prevalence of
studies enrolling only a small number of patients, variability in the allogeneic
graft source and variability in postgraft immunosuppression. Given the curative
potential of alloHCT, the pharmacodynamics of these immunosuppressants deserves
to be explored in depth. Development of sophisticated systems pharmacology models
and improved TCI tools are needed to accurately evaluate patients' exposure to
drugs in general and to immunosuppressants in particular. Sequential studies,
first without and then with TCI, should be conducted to validate the clinical
benefit of TCI in homogenous populations; randomized trials are not feasible,
because there are higher-priority research questions in alloHCT. In Part I of
this article, we review the alloHCT process to facilitate optimal design of
pharmacokinetic and pharmacodynamics studies. We also review the pharmacokinetics
and TCI of calcineurin inhibitors and methotrexate.
PMID- 26563170
TI - Evidence of perceived psychosocial stress as a risk factor for stroke in adults:
a meta-analysis.
AB - BACKGROUND: Several studies suggest that perceived psychosocial stress is
associated with increased risk of stroke; however results are inconsistent with
regard to definitions and measurement of perceived stress, features of individual
study design, study conduct and conclusions drawn and no meta-analysis has yet
been published. We performed a systematic review and meta-analysis of studies
assessing association between perceived psychosocial stress and risk of stroke in
adults.The results of the meta-analysis are presented. METHODS: Systematic
searches of MEDLINE, EMBASE, CINAHL, PsycInfo, and Cochrane Database of
Systematic Reviews were undertaken between 1980 and June 2014. Data extraction
and quality appraisal was performed by two independent reviewers. Hazard ratios
(HR) and odds ratios (OR) were pooled where appropriate. RESULTS: 14 studies were
included in the meta-analysis, 10 prospective cohort, 4 case-control design.
Overall pooled adjusted effect estimate for risk of total stroke in subjects
exposed to general or work stress or to stressful life events was 1.33 (95 %
confidence interval [CI], 1.17, 1.50; P < 0.00001). Sub-group analyses showed
perceived psychosocial stress to be associated with increased risk of fatal
stroke (HR 1.45 95 % CI, 1.19,1.78; P = 0.0002), total ischaemic stroke (HR 1.40
95 % CI, 1.00,1.97; P = 0.05) and total haemorrhagic stroke (HR 1.73 95 % CI,
1.33,2.25; P > 0.0001).A sex difference was noted with higher stroke risk
identified for women (HR 1.90 95 % CI, 1.4, 2.56: P < 0.0001) compared to men (HR
1.24 95 % CI, 1.12, 1.36; P < 0.0001). CONCLUSIONS: Current evidence indicates
that perceived psychosocial stress is independently associated with increased
risk of stroke.
PMID- 26563172
TI - 90 years of perseverance and achievements in biological education and research.
PMID- 26563171
TI - Tadalafil 5 mg once daily for the treatment of erectile dysfunction during a 6
month observational study (EDATE): impact of patient characteristics and
comorbidities.
AB - BACKGROUND: To explore the impact of patient-characteristics and relevant
comorbidities on treatment continuation rates, effectiveness, and satisfaction in
patients with erectile dysfunction (ED) who started or switched to tadalafil 5 mg
once daily (TAD-OaD) at baseline. METHODS: In the EDATE observational study,
phosphodiesterase-type-5 (PDE5)-inhibitor pretreated or naive ED patients who
started or switched to TAD-OaD were prospectively followed for 6 months. Time to
discontinuation of TAD-OaD was estimated using the Kaplan-Meier product-limit
method at Months 2, 4, and 6 in subgroups stratified by age (18 - 65 years and
>65 years), PDE5-inhibitor pretreatment, ED-severity (mild, moderate, severe),
and presence or absence of relevant comorbidities (BPH, diabetes, CVD,
hypertension, dyslipidemia). LSmean change from baseline in International Index
of Erectile Function (IIEF) and Erectile Dysfunction Inventory of Treatment
Satisfaction (EDITS) scores and associated 95 % CIs were assessed using a mixed
model for repeated measures. Visit, ED etiology, and subgroups were included as
fixed-effects. RESULTS: Overall, 778 patients received prescriptions for
initiating or switching to TAD-OaD at baseline. At Month 2, >90 % of patients
remained on TAD-OaD, except those aged >65 years (86.7 %) and patients with
severe ED (89.0 %). More than 80 % of patients in all subgroups, except those
aged >65 years (75.0 %), continued TAD-OaD at Month 6. There was a significant
LSmean negative effect on IIEF- EF domain-score improvement for BPH (LSmean
effect [95 % CI]: -2.77 [-4.98, -0.55], p = 0.014), previous PDE5-inhibitor
treatment (-2.13 [-3.33,-0.94], p < 0.001), and mild vs moderate ED (-2.00 [
3.54,-0.46], p = 0.011); the latter possibly linked with a bigger treatment
effect in those with more severe ED at baseline. The LSmean effect on change in
IIEF-EF was significantly positive for diabetes (2.28 [0.64,3.92], p = 0.007),
most likely because those with diabetes had more severe ED at baseline. For all
other parameters, no statistically significant LSmean effects in IIEF-EF changes
were observed. No comorbidity or baseline-characteristic except age (18 - 65
years vs >65 years: 11.25 [2.96,19.54], p = 0.008) affected changes in EDITS.
CONCLUSIONS: Under routine clinical conditions, treatment continuation rate or
satisfaction does not seem to be significantly affected by the presence of
comorbidities in men who choose ED-treatment with TAD-OaD. The magnitude of
treatment effectiveness was affected by certain baseline characteristics and
comorbid conditions. TRIAL REGISTRATION: The study (H6D-EW-LVIU) is registered in
the German VfA Registry of Non-Interventional Studies (Verband Forschender
Arzneimittelhersteller) since 06 December 2011; available at:
http://www.vfa.de/de/arzneimittel-forschung/datenbanken-zu
arzneimitteln/nisdb/nis-details/_741 .
PMID- 26563173
TI - Celebrating 90 years of Peking University School of Life Sciences (1925-2015).
PMID- 26563175
TI - Unraveling the mechanisms of synapse formation and axon regeneration: the awesome
power of C. elegans genetics.
AB - Since Caenorhabditis elegans was chosen as a model organism by Sydney Brenner in
1960's, genetic studies in this organism have been instrumental in discovering
the function of genes and in deciphering molecular signaling network. The small
size of the organism and the simple nervous system enable the complete
reconstruction of the first connectome. The stereotypic developmental program and
the anatomical reproducibility of synaptic connections provide a blueprint to
dissect the mechanisms underlying synapse formation. Recent technological
innovation using laser surgery of single axons and in vivo imaging has also made
C. elegans a new model for axon regeneration. Importantly, genes regulating
synaptogenesis and axon regeneration are highly conserved in function across
animal phyla. This mini-review will summarize the main approaches and the key
findings in understanding the mechanisms underlying the development and
maintenance of the nervous system. The impact of such findings underscores the
awesome power of C. elegans genetics.
PMID- 26563176
TI - De novo assembly and comparative analysis of root transcriptomes from different
varieties of Panax ginseng C. A. Meyer grown in different environments.
AB - Panax ginseng C. A. Meyer is an important traditional herb in eastern Asia. It
contains ginsenosides, which are primary bioactive compounds with medicinal
properties. Although ginseng has been cultivated since at least the Ming dynasty
to increase production, cultivated ginseng has lower quantities of ginsenosides
and lower disease resistance than ginseng grown under natural conditions. We
extracted root RNA from six varieties of fifth-year P. ginseng cultivars
representing four different growth conditions, and performed Illumina paired-end
sequencing. In total, 163,165,706 raw reads were obtained and used to generate a
de novo transcriptome that consisted of 151,763 contigs (76,336 unigenes), of
which 100,648 contigs (66.3%) were successfully annotated. Differential
expression analysis revealed that most differentially expressed genes (DEGs) were
upregulated (246 out of 258, 95.3%) in ginseng grown under natural conditions
compared with that grown under artificial conditions. These DEGs were enriched in
gene ontology (GO) terms including response to stimuli and localization. In
particular, some key ginsenoside biosynthesis-related genes, including HMG-CoA
synthase (HMGS), mevalonate kinase (MVK), and squalene epoxidase (SE), were
upregulated in wild-grown ginseng. Moreover, a high proportion of disease
resistance-related genes were upregulated in wild-grown ginseng. This study is
the first transcriptome analysis to compare wild-grown and cultivated ginseng,
and identifies genes that may produce higher ginsenoside content and better
disease resistance in the wild; these genes may have the potential to improve
cultivated ginseng grown in artificial environments.
PMID- 26563174
TI - Uridylation and adenylation of RNAs.
AB - The posttranscriptional addition of nontemplated nucleotides to the 3' ends of
RNA molecules can have a significant impact on their stability and biological
function. It has been recently discovered that nontemplated addition of uridine
or adenosine to the 3' ends of RNAs occurs in different organisms ranging from
algae to humans, and on different kinds of RNAs, such as histone mRNAs, mRNA
fragments, U6 snRNA, mature small RNAs and their precursors etc. These
modifications may lead to different outcomes, such as increasing RNA decay,
promoting or inhibiting RNA processing, or changing RNA activity. Growing pieces
of evidence have revealed that such modifications can be RNA sequence-specific
and subjected to temporal or spatial regulation in development. RNA tailing and
its outcomes have been associated with human diseases such as cancer. Here, we
review recent developments in RNA uridylation and adenylation and discuss the
future prospects in this research area.
PMID- 26563177
TI - B cells biology in systemic lupus erythematosus-from bench to bedside.
AB - Systemic lupus erythematosus (SLE) is a debilitating autoimmune disease that can
involve multi-organs. B cells play a central role in the immunopathogenesis via
antibody-dependent and antibody-independent ways. Excessive autoantibodies
production, hyperresponsiveness and prolonged survival of autoreactive B cells
are characteristics of SLE. In this article, mechanisms of self-tolerance loss of
B cells and promising B cell-targeting therapies in lupus are reviewed and
discussed.
PMID- 26563178
TI - Feasibility of an eHealth application "OncoKompas" to improve personalized
survivorship cancer care.
AB - PURPOSE: The purpose of this study was to investigate the feasibility of an
online self-management application (OncoKompas) among cancer survivors. In
OncoKompas, cancer survivors can monitor their quality of life (QOL) via
participant reported outcomes (PROs) ("Measure"), which is followed by
automatically generated individually tailored feedback ("Learn") and personalized
advice on supportive care services ("Act"). METHODS: A pretest-posttest design
was used, conducting a survey before providing access to OncoKompas, and 2 weeks
after, followed by an interview by a nurse. Adoption was defined as the
percentage of cancer survivors that agreed to participate in the study and
returned the T0 questionnaire. Implementation was defined as the percentage of
participants that actually used OncoKompas as intended (T1). General satisfaction
was assessed based on the mean score of three study-specific questions: (1)
general impression of OncoKompas, (2) the user-friendliness, and (3) the ability
to use OncoKompas without assistance (10-point Likert scales). Furthermore,
satisfaction was measured with the Net Promotor Scale (NPS). RESULTS: OncoKompas
was feasible with an adoption grade of 64 %, an implementation grade of 75-91 %,
a mean satisfaction score of 7.3, and a positive NPS (1.9). Sociodemographic and
clinical factors and QOL were not associated with satisfaction. Several
facilitators and barriers related to the feasibility of OncoKompas were
identified. CONCLUSION: OncoKompas is considered feasible, but has to be further
improved. In order to enhance feasibility and increase satisfaction, we have to
balance the time it takes to use OncoKompas, measurement precision, and tailoring
towards personalized advices.
PMID- 26563179
TI - Risk factors for anthracycline-associated cardiotoxicity.
AB - PURPOSE: Carbonyl reductase (CBR) catalyzes anthracycline metabolism, and single
nucleotide polymorphisms (SNPs) in CBR impact metabolic efficiency. In pediatric
patients, homozygosity for the major allele (G) in the CBR3 gene was associated
with increased risk of anthracycline cardiotoxicity. We hypothesized that CBR
SNPs contribute to cardiotoxicity in adults. METHODS: We retrospectively
identified female breast cancer patients in the Columbus Breast Tissue Bank
Registry treated with adriamycin and cytoxan (AC) from 2003 to 2012. We selected
patients who developed cardiomyopathy, defined as a drop in ejection fraction to
<50 % or >15 % decrease from pre-therapy. Univariate and multivariate logistic
regressions were performed to identify cardiotoxicity risk factors. SNPs were
genotyped, and frequency of the major allele (G)/minor allele (A) of the CBR3 and
CBR1 genes was calculated. RESULTS: We identified 52 cases of cardiotoxicity
after AC and 110 controls. Multivariate analysis showed that trastuzumab (p =
0.009), diabetes (p = 0.05), and consumption of >8 alcoholic drinks/week (p =
0.024) were associated with higher cardiotoxicity risk. Moderate alcohol
consumption (<8 drinks/week) was associated with lower risk (p = 0.009). No
association was identified between CBR SNPs and cardiotoxicity (CBR1 p = 0.261;
CBR3 p = 0.556). CONCLUSIONS: This is the first study to evaluate SNPs in the CBR
pathway as predictors of AC cardiotoxicity in adults. We did not observe any
significant correlation between cardiotoxicity and SNPs within the CBR pathway.
Further investigation into CBR SNPs in a larger adult sample is needed.
Additional exploration into genomic predictors of anthracycline cardiotoxicity
may allow for the development of preventative and therapeutic strategies for
those at risk.
PMID- 26563180
TI - How sedentary and physically active are breast cancer survivors, and which
population subgroups have higher or lower levels of these behaviors?
AB - PURPOSE: Physical activity (PA) and sedentary behavior may influence the physical
and mental health of breast cancer survivors; however, few studies have
objectively measured these behaviors in this population. We used accelerometers
to measure the PA and sedentary time levels of breast cancer survivors and
examined the demographic, behavioral, and medical correlates of these behaviors
using two complementary approaches. METHODS: A total of 259 breast cancer
survivors wore an accelerometer for 7 days during waking hours and completed a
questionnaire. We used linear regression and classification trees to investigate
correlates of PA and sedentary time. RESULTS: The breast cancer survivors in this
study (mean age = 61 years, mean time since diagnosis = 3 years) were sedentary
for a daily average of 8.2 h, in light-intensity PA for 5.8 h and in moderate-to
vigorous intensity PA (MVPA) for 32 min, with 16 % meeting PA guidelines.
Participants with high comorbidity were the least likely to be meeting guidelines
(0 %), while a subgroup of participants with no/low comorbidity, a university
degree, and higher levels of pre-diagnosis MVPA were the most likely to be
meeting guidelines (47 %). Older participants (70+ years) were the most likely to
have sedentary time levels at least twice as high as activity levels, while
participants who were younger than 70 years and not in the lowest category of pre
diagnosis MVPA were the least likely. CONCLUSIONS: Interventions to facilitate
physical activity and reduce sedentary time among breast cancer survivors should
consider comorbidity status and previous PA experience.
PMID- 26563181
TI - Integrative medicine, quality of life and gynecological cancer : Comments on the
article titled "Quality-of-life outcomes in patients with gynecologic cancer
referred to integrative oncology treatment during chemotherapy".
PMID- 26563182
TI - Quality of life and symptom burden in patients with breast cancer treated with
mastectomy and lumpectomy.
AB - INTRODUCTION: Mastectomy (MAS) and lumpectomy (LUMP) are the two common local
surgical treatments for early breast cancer. There has been a debate whether MAS
or LUMP results in better quality of life (QOL). The purpose of this study was to
examine the symptom burden (SB) and QOL of both MAS and LUMP patients. METHODS:
Patients at the Louise Temerty Breast Cancer Centre in Toronto, Canada, were
approached to complete two self-administered questionnaires, the Edmonton Symptom
Assessment Score (ESAS) and the Functional Assessment of Cancer Therapy-Breast
(FACT-B) cancer edition. Additionally, patient demographics were recorded from
medical records. Patients were divided into two cohorts depending on their
surgical treatment: MAS and LUMP. The QOL and SB, assessed by FACT-B and ESAS,
respectively, of MAS and LUMP patients were compared. The analysis was repeated
excluding patients with metastases. RESULTS: From January to August 2014, 614 MAS
and 801 LUMP patients were accrued. The MAS patients reported a lower QOL in all
categories, except social well-being. There was however no statistical difference
in ESAS scores for MAS and LUMP patients with non-metastatic breast cancer.
CONCLUSION: This study supports existing literature that SB of MAS and LUMP
patients without metastases are similar. QOL of MAS patients including those with
metastases was lower than that of LUMP patients.
PMID- 26563184
TI - Endoluminal diverticular abscess with endoscopic drainage: a new presentation and
management of acute diverticulitis.
PMID- 26563183
TI - Changes in physical functioning and muscle strength in men receiving androgen
deprivation therapy for prostate cancer: a controlled comparison.
AB - PURPOSE: The purpose of the study is to examine changes in muscle strength and
self-reported physical functioning in men receiving androgen deprivation therapy
(ADT) for prostate cancer compared to matched controls. METHODS: Prostate cancer
patients scheduled to begin ADT (n = 62) were assessed within 20 days of starting
ADT and 6 and 12 months later. Age and geographically matched prostate cancer
controls treated with prostatectomy only (n = 86) were assessed at similar time
intervals. Grip strength measured upper body strength, the Chair Rise Test
measured lower body strength, and the SF-12 Physical Functioning scale measured
self-reported physical functioning. RESULTS: As expected, self-reported physical
functioning and upper body muscle strength declined in ADT recipients but
remained stable in prostate cancer controls. Contrary to expectations, lower body
muscle strength remained stable in ADT recipients but improved in prostate cancer
controls. Higher Gleason scores, more medical comorbidities, and less exercise at
baseline predicted greater declines in physical functioning in ADT recipients.
CONCLUSIONS: ADT is associated with declines in self-reported physical
functioning and upper body muscle strength as well as worse lower body muscle
strength relative to prostate cancer controls. These findings should be included
in patient education regarding the risks and benefits of ADT. Findings also
underscore the importance of conducting research on ways to prevent or reverse
declines in physical functioning in this patient population.
PMID- 26563185
TI - Non-neutropenic acute typhlitis associated to gram-positive bacillus:
clinicopathological series.
PMID- 26563186
TI - Prophylactic stoma mesh did not prevent parastomal hernias.
PMID- 26563187
TI - Estimation of extravascular lung water using the transpulmonary ultrasound
dilution (TPUD) method: a validation study in neonatal lambs.
AB - Increased extravascular lung water (EVLW) may contribute to respiratory failure
in neonates. Accurate measurement of EVLW in these patients is limited due to the
lack of bedside methods. The aim of this pilot study was to investigate the
reliability of the transpulmonary ultrasound dilution (TPUD) technique as a
possible method for estimating EVLW in a neonatal animal model. Pulmonary edema
was induced in 11 lambs by repeated surfactant lavages. In between the lavages,
EVLW indexed by bodyweight was estimated by TPUD (EVLWItpud) and transpulmonary
dye dilution (EVLWItpdd) (n = 22). Final EVLWItpud measurements were also
compared with EVLWI estimations by gold standard post mortem gravimetry
(EVLWIgrav) (n = 6). EVLWI was also measured in two additional lambs without
pulmonary edema. Bland-Altman plots showed a mean bias between EVLWItpud and
EVLWItpdd of -3.4 mL/kg (LOA +/- 25.8 mL/kg) and between EVLWItpud and EVLWIgrav
of 1.7 mL/kg (LOA +/- 8.3 mL/kg). The percentage errors were 109 and 43 %
respectively. The correlation between changes in EVLW measured by TPUD and TPDD
was r2 = 0.22. Agreement between EVLWI measurements by TPUD and TPDD was low.
Trending ability to detect changes between these two methods in EVLWI was
questionable. The accuracy of EVLWItpud was good compared to the gold standard
gravimetric method but the TPUD lacked precision in its current prototype. Based
on these limited data, we believe that TPUD has potential for future use to
estimate EVLW after adaptation of the algorithm. Larger studies are needed to
support our findings.
PMID- 26563189
TI - Quality control and assurance in hematopoietic stem cell transplantation data
registries in Japan and other countries.
AB - Observational studies from national and international registries with large
volumes of patients are commonly performed to identify superior strategies for
hematopoietic stem cell transplantation. Major international and national stem
cell transplant registries collect outcome data using electronic data capture
systems, and a systematic study support process has been developed. Statistical
support for studies is available from some major international registries, and
international and national registries also mutually collaborate to promote stem
cell transplant outcome studies and transplant-related activities. Transplant
registries additionally take measures to improve data quality to further improve
the quality of outcome studies by utilizing data capture systems and manual data
management. Data auditing can potentially even further improve data quality;
however, human and budgetary resources can be limiting factors in system
construction and audits of the Japanese transplant registry are not currently
performed.
PMID- 26563188
TI - A simple informative intervention in primary care increases statin adherence.
AB - PURPOSE: To assess the effectiveness of an informative intervention on general
practitioners aimed at improving patients' adherence to statin therapy. METHODS:
In the local health unit (LHU) of Bergamo, Lombardy (Italy), each general
practitioner received a synthetic scientific document on dyslipidaemia and
statins and aggregated data on adherence in 2006 for his/her patients compared to
the means in the LHU and in his/her working district. Furthermore, a sample of
seven districts received also a table of adherence levels for single patients.
Patient's level data were retrieved from the health care utilisation databases of
the LHU. Adherence parameters (proportion of patients with only one prescription,
medication possession ratio [MPR] and proportion of non-persistent patients) were
assessed after 1 year of follow-up. RESULTS: Overall, 5833 and 4788 new statin
users were enrolled before and after the intervention, respectively. The
percentage of patients with only one prescription decreased from 28.0 to 23.9 %
(p < 0.001). MPR increased from 70.3 to 76.0 % (p < 0.001), and proportion of
patients with MPR >= 80 % increased from 45.4 to 56.4 % (p < 0.001). The
persistence also showed an improvement, both in terms of decreasing proportion of
non-persistent (from 51.9 to 41.4 %, p < 0.001) and of increasing duration of
continued therapy (from 235 to 264 mean days of persistent therapy, p < 0.001).
There were not significant differences between the two types of intervention.
CONCLUSIONS: This intervention resulted in an overall improvement of the short
term adherence to therapy. This tool can be replicated in other local contexts
and with other chronic therapies.
PMID- 26563190
TI - High-dose cytarabine salvage therapy for recurrent primary CNS lymphoma.
AB - Treatment of recurrent primary CNS lymphoma (PCNSL) though not standardized most
often utilizes whole brain radiotherapy, re-challenge with high-dose
methotrexate, or administration of an alkylating chemotherapy. High-dose
cytarabine (HD-araC) has been advocated as an active agent in PCNSL but limited
information exists regarding single agent activity in the recurrent setting. A
retrospective review of 14 patients (10 males, 4 females: median age 60 years)
with recurrent PCNSL treated at second recurrence with single agent HD-araC. HD
araC was administered at 3gm/m(2) over a 3-h infusion every 12 h for a total of 4
doses (defined as a cycle of therapy). GM-CSF was administered at conclusion of
HD-araC. Patients were clinically and radiographically evaluated every 4-weeks.
Common toxicity criteria Grade 3 or 4 toxicity included thrombocytopenia (11
patients; 79%), anemia (10; 71%), fatigue (8; 57%), mucositis (8; 57%),
neutropenia (8; 57%) and neutropenic fever (5; 36%). No patient discontinued
therapy due to toxicity nor were there any treatment-related deaths. Best
response to HD-araC was stable disease in 6 patients (43%), partial response in 5
(36%) and progressive disease in 3 (21%). Median progression free survival 3
months (range 2-5 months; 95% CI 2-4 months) and progression free survival was 0%
at 6-months. Median survival after onset of HD-araC was 12 months (range 3-18+
months; 95% CI 3-15 months). Single agent HD-araC has limited activity in
recurrent PCNSL and is associated with significant toxicity in this small
retrospective study.
PMID- 26563192
TI - Volume-Based Enteral Nutrition Support Regimen Improves Caloric Delivery but May
Not Affect Clinical Outcomes in Critically Ill Patients.
AB - INTRODUCTION: Meeting enteral nutrition goals is an ongoing challenge in the
intensive care unit (ICU). Most hospitals use rate-based (RB) protocols for
nutrient delivery. Previous studies have found that volume-based (VB) protocols
improve delivery of prescribed calories. However, these studies did not assess
clinical outcomes. We hypothesize that a VB method will improve the delivery of
prescribed calories and lead to improved clinical outcomes. METHODS: A before-and
after study was performed following implementation of a VB feeding protocol in an
adult mixed medical-surgical ICU. Formal institutional review board approval was
obtained. The effect of RB and VB protocols on percentage of goal calories
received, ICU length of stay (LOS), hospital LOS, mortality, days on the
ventilator, and rates of infection were investigated using the Kruskal-Wallis
test of differences. Multivariate regression was used to identify independent
predictors of outcome. Significance was defined as P < .05. RESULTS: A total of
77 patients were included (RB = 39, VB = 38). There were no differences in
demographics between the 2 groups with the exception of the Acute Physiology and
Chronic Health Evaluation II (APACHE II) score, which was significantly higher in
the VB group. VB patients received significantly more prescribed calories (74% vs
57%, P < .001). VB patients had significantly longer ICU LOS and duration of
mechanical ventilation on univariate analysis. These differences did not persist
after controlling for APACHE II score. CONCLUSION: VB enteral feeding allows for
a significantly greater provision of prescribed calories but may not affect
clinical outcomes. A larger sample size is needed for adequate power to
corroborate these findings.
PMID- 26563191
TI - Coibamide A, a natural lariat depsipeptide, inhibits VEGFA/VEGFR2 expression and
suppresses tumor growth in glioblastoma xenografts.
AB - Coibamide A is a cytotoxic lariat depsipeptide isolated from a rare
cyanobacterium found within the marine reserve of Coiba National Park, Panama.
Earlier testing of coibamide A in the National Cancer Institute in vitro 60 human
tumor cell line panel (NCI-60) revealed potent anti-proliferative activity and a
unique selectivity profile, potentially reflecting a new target or mechanism of
action. In the present study we evaluated the antitumor activity of coibamide A
in several functional cell-based assays and in vivo. U87-MG and SF-295
glioblastoma cells showed reduced migratory and invasive capacity and underwent
G1 cell cycle arrest as, likely indirect, consequences of treatment. Coibamide A
inhibited extracellular VEGFA secreted from U87-MG glioblastoma and MDA-MB-231
breast cancer cells with low nM potency, attenuated proliferation and migration
of normal human umbilical vein endothelial cells (HUVECs) and selectively
decreased expression of vascular endothelial growth factor receptor 2 (VEGFR2).
We report that coibamide A retains potent antitumor properties in a nude mouse
xenograft model of glioblastoma; established subcutaneous U87-MG tumors failed to
grow for up to 28 days in response to 0.3 mg/Kg doses of coibamide A. However,
the natural product was also associated with varied patterns of weight loss and
thus targeted delivery and/or medicinal chemistry approaches will almost
certainly be required to improve the toxicity profile of this unusual macrocycle.
Finally, similarities between coibamide A- and apratoxin A-induced changes in
cell morphology, decreases in VEGFR2 expression and macroautophagy signaling in
HUVECs raise the possibility that both cyanobacterial natural products share a
common mechanism of action.
PMID- 26563193
TI - Extra L8bn to NHS cannot fund seven day service, MPs hear.
PMID- 26563194
TI - The Challenge of Erectile Dysfunction Management in the Young Man.
AB - Erectile dysfunction (ED) in a young man is an important health problem that
significantly impacts the patient's quality of life and can have a detrimental
effect on his well-being and relationship with his partner. Erectile dysfunction
or impotence is one of the few disorders that will bring a young man into the
doctor's office. This review article focuses on the epidemiology, etiology,
presentation, work-up, and treatment of young men (age ~20-40 years old)
presenting with complaints of ED. It is important to identify the precise
etiology of the ED before proceeding with further evaluation and treatment
because the work-up can be invasive and costly. ED is estimated to affect 20 % of
men above 40 years of age, with the incidence increasing with increasing age.
Erectile dysfunction has traditionally been seen as an age-dependent problem;
however, approximately 2 % of men are affected at 40 years of age but this may be
a gross underestimation secondary to reporting bias. Because ED is traditionally
seen in the aging male population, studies regarding ED tend to be more
frequently carried out among middle-aged and elderly men rather than in young
men. These studies underline how comorbidities such as diabetes mellitus,
cardiovascular or neurological pathologies, and medication use are strongly
linked with ED. In addition, ED has been described to be associated with obesity
or physical inactivity. This review article summarizes the important information
that all sexual medicine providers should be familiar with when diagnosing,
counseling, and treating young men with erectile dysfunction.
PMID- 26563195
TI - Olfactory Reference Disorder: Diagnosis, Epidemiology and Management.
AB - Although not included as a disorder in the DSM-5 (Diagnostic and Statistical
Manual of Mental Disorders, 5th edition), olfactory reference disorder (ORD) is
being considered for inclusion as a discrete disorder in the ICD-11
(International Classification of Diseases, 11th edition). ORD is likely
underdiagnosed and undertreated. The purpose of this paper is to provide
information to clinicians and researchers on the epidemiology, clinical
presentation and treatment options for this condition. A narrative overview of
the literature as retrieved from a computerized database search is provided. ORD
is a condition that is characterized by the erroneous belief that one emits a
foul or unpleasant body odour, resulting in significant distress and impairment.
It is often accompanied by referential thinking and repetitive behaviours aimed
at camouflaging the perceived odour. Level of insight varies, with some patients
having concerns that are delusional. Patients usually do not spontaneously report
their symptoms and so screening in suspected cases is crucial. The literature
regarding ORD treatment remains limited.
PMID- 26563197
TI - The HysNiche trial: hysteroscopic resection of uterine caesarean scar defect
(niche) in patients with abnormal bleeding, a randomised controlled trial.
AB - BACKGROUND: A caesarean section (CS) can cause a defect or disruption of the
myometrium at the site of the uterine scar, called a niche. In recent years, an
association between a niche and postmenstrual spotting after a CS has been
demonstrated. Hysteroscopic resection of these niches is thought to reduce
spotting and menstrual pain. However, there are no randomised trials assessing
the effectiveness of a hysteroscopic niche resection. METHODS/DESIGN: We planned
a multicentre randomised trial comparing hysteroscopic niche resection to no
intervention. We study women with postmenstrual spotting after a CS and a niche
with a residual myometrium of at least 3 mm during sonohysterography. After
informed consent is obtained, eligible women will be randomly allocated to
hysteroscopic resection of the niche or expectant management for 6 months. The
primary outcome is the number of days with postmenstrual spotting during one
menstrual cycle 6 months after randomisation. Secondary outcomes are menstrual
characteristics, menstruation related pain and experienced discomfort due to
spotting or menstrual pain, quality of life, patient satisfaction, sexual
function, urological symptoms, medical consultations, medication use,
complications, lost productivity and medical costs. Measurements will be
performed at baseline and at 3 and 6 months after randomisation. A cost
effectiveness analysis will be performed from a societal perspective at 6 months
after randomisation. DISCUSSION: This trial will provide insight in the
(cost)effectiveness of hysteroscopic resection of a niche versus expectant
management in women who have postmenstrual spotting and a niche with sufficient
residual myometrium to perform a hysteroscopic niche resection. TRIAL
REGISTRATION: Dutch Trial Register NTR3269 . Registered 1 February 2012. ZonMw
Grant number 80-82305-97-12030.
PMID- 26563196
TI - Oral Targeted Therapies and Central Nervous System (CNS) Metastases.
AB - The purpose of our review is to summarize the clinical activity of oral targeted
agents against brain metastases. This includes BRAF inhibitors (dabrafenib and
vemurafenib), human epidermal growth factor receptor inhibitors (lapatinib,
gefitinib, erlotinib, and afatinib), multi-kinase angiogenesis inhibitors
(sorafenib, sunitinib, pazopanib, and vandetanib), and ALK/c-MET (crizotinib) and
ALK/IGF-1 (ceritinib) inhibitors. Effective systemic therapies are needed for
long-term benefit in brain metastases and documentation of intracranial activity
for many therapies is poor. Our review provides a summary of the literature with
pertinent data for clinicians. This is needed as subjects with brain metastases
are often prevented from enrolling in clinical trials and investigations focused
on systemic therapies for brain metastases are rare.
PMID- 26563198
TI - A mathematical model for estimating the axial stress of the common carotid artery
wall from ultrasound images.
AB - Clarifying the complex interaction between mechanical and biological processes in
healthy and diseased conditions requires constitutive models for arterial walls.
In this study, a mathematical model for the displacement of the carotid artery
wall in the longitudinal direction is defined providing a satisfactory
representation of the axial stress applied to the arterial wall. The proposed
model was applied to the carotid artery wall motion estimated from ultrasound
image sequences of 10 healthy adults, and the axial stress waveform exerted on
the artery wall was extracted. Consecutive ultrasonic images (30 frames per
second) of the common carotid artery of 10 healthy subjects (age 44 +/- 4 year)
were recorded and transferred to a personal computer. Longitudinal displacement
and acceleration were extracted from ultrasonic image processing using a block
matching algorithm. Furthermore, images were examined using a maximum gradient
algorithm and time rate changes of the internal diameter and intima-media
thickness were extracted. Finally, axial stress was estimated using an
appropriate constitutive equation for thin-walled tubes. Performance of the
proposed model was evaluated using goodness of fit between approximated and
measured longitudinal displacement statistics. Values of goodness-of-fit
statistics indicated high quality of fit for all investigated subjects with the
mean adjusted R-square (0.86 +/- 0.08) and root mean squared error (0.08 +/- 0.04
mm). According to the results of the present study, maximum and minimum axial
stresses exerted on the arterial wall are 1.7 +/- 0.6 and -1.5 +/- 0.5 kPa,
respectively. These results reveal the potential of this technique to provide a
new method to assess arterial stress from ultrasound images, overcoming the
limitations of the finite element and other simulation techniques.
PMID- 26563199
TI - Fluid flow and particle transport in mechanically ventilated airways. Part I.
Fluid flow structures.
AB - A large eddy simulation-based computational study of fluid flow and particle
transport in upper tracheobronchial airways is carried out to investigate the
effect of ventilation parameters on pulmonary fluid flow. Respiratory waveforms
commonly used by commercial mechanical ventilators are used to study the effect
of ventilation parameters and ventilation circuit on pulmonary fluid dynamics. A
companion paper (Alzahrany et al. in Med Biol Eng Comput, 2014) reports our
findings on the effect of the ventilation parameters and circuit on particle
transport and aerosolized drug delivery. The endotracheal tube (ETT) was found to
be an important geometric feature and resulted in a fluid jet that caused an
increase in turbulence and created a recirculation zone with high wall shear
stress in the main bronchi. Stronger turbulence was found in lower airways than
would be found under normal breathing conditions due to the presence of the jet
caused by the ETT. The pressure-controlled sinusoidal waveform induced the lowest
wall shear stress on the airways wall.
PMID- 26563200
TI - The role of pre-symbiotic auxin signaling in ectendomycorrhiza formation between
the desert truffle Terfezia boudieri and Helianthemum sessiliflorum.
AB - The ectendomycorrhizal fungus Terfezia boudieri is known to secrete auxin. While
some of the effects of fungal auxin on the plant root system have been described,
a comprehensive understanding is still lacking. A dual culture system to study
pre mycorrhizal signal exchange revealed previously unrecognized root-fungus
interaction mediated by the fungal auxin. The secreted fungal auxin induced
negative taproot gravitropism, attenuated taproot growth rate, and inhibited
initial host development. Auxin also induced expression of Arabidopsis carriers
AUX1 and PIN1, both of which are involved in the gravitropic response. Exogenous
application of auxin led to a root phenotype, which fully mimicked that induced
by ectomycorrhizal fungi. Co-cultivation of Arabidopsis auxin receptor mutants
tir1-1, tir1-1 afb2-3, tir1-1 afb1-3 afb2-3, and tir1-1 afb2-3 afb3-4 with
Terfezia confirmed that auxin induces the observed root phenotype. The finding
that auxin both induces taproot deviation from the gravity axis and coordinates
growth rate is new. We propose a model in which the fungal auxin induces
horizontal root development, as well as the coordination of growth rates between
partners, along with the known auxin effect on lateral root induction that
increases the availability of accessible sites for colonization at the soil plane
of fungal spore abundance. Thus, the newly observed responses described here of
the root to Terfezia contribute to a successful encounter between symbionts.
PMID- 26563201
TI - Discordant cardiac biomarker levels independently predict outcome in ST-segment
elevation myocardial infarction.
AB - AIMS: To investigate the prognostic relevance of elevated Troponin T (cTnT)
levels in patients with ST-segment elevation myocardial infarction (STEMI)
without significant creatine kinase (CK) elevation on admission. METHODS AND
RESULTS: From January 1, 2002 to December 31, 2006 patients with STEMI without
significant CK elevation (<2-fold) on admission treated with percutaneous
coronary intervention (PCI) were included and stratified according to cTnT plasma
levels. Univariate and multivariate regression analyses were used to find
independent predictors for mortality. During the 5-year period 514 patients with
STEMI and normal CK plasma levels were included. 308 (59.9 %) patients had cTnT
levels <0.1 MUg/l and 206 (40.1 %) patients had cTnT levels >=0.1 MUg/l.
Multivariate logistic regression analysis identified cTnT levels >=0.1 MUg/l and
3-vessel disease as positive, and hemoglobin levels as negative independent
predictors for long-term mortality. Discordantly elevated cTnT plasma levels
independently predicted higher mortality rates in the first year (HR 3.9, 95 % CI
1.7-9.1, p = 0.002) and during 5 years (HR 2.3, 95 % CI 1.4-3.9, p = 0.002) after
PCI for STEMI. CONCLUSIONS: Discordant elevation of cTnT in the presence of
normal CK plasma levels on admission is associated with increased mortality in
STEMI patients undergoing primary PCI. This may be due to preceding
microembolization.
PMID- 26563202
TI - Olfactory Cues from Pathogenic Fungus Affect the Direction of Motion of Termites,
Coptotermes formosanus.
AB - Formosan subterranean termites, Coptotermes formosanus, tend to avoid pathogen
odors when tested in Y-tube olfactometers, but approach and groom exposed
nestmates to remove pathogens from their cuticle and maintain a healthy
population. To better understand their differential reaction to pathogens and
their odors, the relationship between odor cues and direction of motion was
examined with the fungus Isaria fumosorosea K3 strain. The results indicate that
nestmate odor was strongly attractive only in tests where fungal odors were
present in both branches of the olfactometer. Termites generally avoid fungal
odors when offered a choice without fungal odor. We also tested termite aversion
to 3-octanone and 1-octen-3-ol, major surface chemical compounds of I.
fumosorosea K3, and estimated the total mass of these compounds present on the
conidial surface by direct extraction method. The total quantity of these
chemicals on the surface of fungal conidia was estimated to be approximately 0.01
ng per 10(7) conidia. This study demonstrates a context dependent behavioral
change in termites in response to the odors of pathogenic fungi.
PMID- 26563203
TI - Comparative Herbivory Rates and Secondary Metabolite Profiles in the Leaves of
Native and Non-Native Lonicera Species.
AB - Non-native plants introduced to new habitats can have significant ecological
impact. In many cases, even though they interact with the same community of
potential herbivores as their new native competitors, they regularly receive less
damage. Plants produce secondary metabolites in their leaves that serve a range
of defensive functions, including resistance to herbivores and pathogens. Abiotic
factors such as nutrient availability can influence the expression of defensive
traits, with some species exhibiting increased chemical defense in low-nutrient
conditions. Plants in the genus Lonicera are known to produce a diverse array of
these secondary metabolites, yet non-native Lonicera species sustain lower
amounts of herbivore damage than co-occurring native Lonicera species in North
America. In this study, we searched for evidence of biochemical novelty in non
native species, and quantified its association with resistance to herbivores. In
order to achieve this, we evaluated the phenolic and iridoid glycoside profiles
in leaves of native and non-native Lonicera species grown under high and low
fertilization treatments in a common garden. We then related these profiles to
naturally occurring herbivore damage on whole plants in the garden. Herbivore
damage was greater on native Lonicera, and chemical profiles and concentrations
of selected putative defense compounds varied by species. Geographic origin was
an inconsistent predictor of chemical variation in detected phenolics and iridoid
glycosides (IGs). Overall, fertilization did not affect herbivore damage or
measures of phenolics or IGs, but there were some fertilization effects within
species. While we cannot conclude that non-natives were more chemically novel
than native Lonicera species, chemical defense profiles and concentrations of
specific compounds varied by species. Reduced attraction or deterrence of
oviposition, specific direct resistance traits, or a combination of both may
contribute to reduced herbivory and competitive advantages for non-native
Lonicera in North America.
PMID- 26563204
TI - Temporally and Spatially Resolved x-ray Fluorescence Measurements of in-situ Drug
Concentration in Metered-Dose Inhaler Sprays.
AB - PURPOSE: Drug concentration measurements in MDI sprays are typically performed
using particle filtration or laser scattering. These techniques are ineffective
in proximity to the nozzle, making it difficult to determine how factors such as
nozzle design will affect the precipitation of co-solvent droplets in solution
based MDIs, and the final particle distribution. METHODS: In optical
measurements, scattering from the constituents is difficult to separate. We
present a novel technique to directly measure drug distribution. A focused x-ray
beam was used to stimulate x-ray fluorescence from the bromine in a solution
containing 85% HFA, 15% ethanol co-solvent, and 1 [Formula: see text] / [Formula:
see text] IPBr. RESULTS: Instantaneous concentration measurements were obtained
with 1 ms temporal resolution and 5 [Formula: see text] spatial resolution,
providing information in a region that is inaccessible to many other diagnostics.
The drug remains homogeneously mixed over time, but was found to be higher at the
centerline than at the periphery. This may have implications for oropharyngeal
deposition in vivo. CONCLUSIONS: Measurements in the dynamic, turbulent region of
MDIs allow us to understand the physical links between formulation, inspiration,
and geometry on final particle size and distribution. This will ultimately lead
to a better understanding of how MDI design can be improved to enhance respirable
fraction.
PMID- 26563205
TI - Dissolution Performance of High Drug Loading Celecoxib Amorphous Solid
Dispersions Formulated with Polymer Combinations.
AB - PURPOSE: The aims of this study were twofold. First, to evaluate the
effectiveness of selected polymers in inhibiting solution crystallization of
celecoxib. Second, to compare the release rate and crystallization tendency of
celecoxib amorphous solid dispersions (ASDs) formulated with a single polymer, or
binary polymer combinations. METHODS: The effectiveness of polymers,
polyvinylpyrrolidone (PVP), hydroxypropylmethyl cellulose (HPMC) or HPMC acetate
succinate (HPMCAS), in maintaining supersaturation of celecoxib solutions was
evaluated by performing nucleation induction time measurements. Crystallization
kinetics of ASD suspensions were monitored using Raman spectroscopy. Dissolution
experiments were carried out under non-sink conditions. RESULTS: Pure amorphous
celecoxib crystallized rapidly through both matrix and solution pathways. Matrix
and solution crystallization was inhibited when celecoxib was molecularly mixed
with a polymer, resulting in release of the drug to form supersaturated
solutions. Cellulosic polymers were more effective than PVP in maintaining
supersaturation. Combining a cellulosic polymer and PVP enabled improved drug
release and stability to crystallization. CONCLUSIONS: Inclusion of an effective
solution crystallization inhibitor as a minor component in ternary dispersions
resulted in prolonged supersaturation following dissolution. This study shows the
feasibility of formulation strategies for ASDs where a major polymer component is
used to achieve one key property e.g. release, while a minor polymer component is
added to prevent crystallization.
PMID- 26563206
TI - Monoclonal Antibodies Follow Distinct Aggregation Pathways During Production
Relevant Acidic Incubation and Neutralization.
AB - PURPOSE: Aggregation aspects of therapeutic monoclonal antibodies (mAbs) are of
common concern to the pharmaceutical industry. Low pH treatment is applied during
affinity purification and to inactivate endogenous retroviruses, directing
interest to the mechanisms of acid-induced antibody aggregation. METHODS: We
characterized the oligomerization kinetics at pH 3.3, as well as the
reversibility upon neutralization, of three model mAbs with identical variable
regions, representative of IgG1, IgG2 and IgG4 respectively. We applied size
exclusion high performance liquid chromatography and orthogonal analytical
methods, including small-angle X-ray scattering and dynamic light scattering and
supplemented the experimental data with crystal structure-based spatial
aggregation propensity (SAP) calculations. RESULTS: We revealed distinct solution
behaviors between the three mAb models: At acidic pH IgG1 retained monomeric,
whereas IgG2 and IgG4 exhibited two-phase oligomerization processes. After
neutralization, IgG2 oligomers partially reverted to the monomeric state, while
on the contrary, IgG4 oligomers tended to aggregate. Subclass-specific
aggregation-prone motifs on the Fc fragments were identified, which may lead to
two distinct pathways of reversible and irreversible aggregation, respectively.
CONCLUSIONS: We conclude that subtle variations in mAb sequence greatly affect
responses towards low-pH incubation and subsequent neutralization, and
demonstrate how orthogonal biophysical methods distinguish between reversible and
irreversible mAb aggregation pathways at early stages of acidic treatment.
PMID- 26563207
TI - Polycyclic aromatic hydrocarbons (PAHs) in multimedia environment of Heshan coal
district, Guangxi: distribution, source diagnosis and health risk assessment.
AB - Mining activities are among the major culprits of the wide occurrences of soil
and water pollution by PAHs in coal district, which have resulted in ecological
fragilities and health risk for local residents. Sixteen PAHs in multimedia
environment from the Heshan coal district of Guangxi, South China, were measured,
aiming to investigate the contamination level, distribution and possible sources
and to estimate the potential health risks of PAHs. The average concentrations of
16 PAHs in the coal, coal gangue, soil, surface water and groundwater were
5114.56, 4551.10, 1280.12 ng g(-1), 426.98 and 381.20 ng L(-1), respectively.
Additionally, higher soil and water PAH concentrations were detected in the
vicinities of coal or coal gangue dump. Composition analysis, isomeric ratio,
Pearson correlation analysis and principal component analysis were performed to
diagnose the potential sources of PAHs in different environmental matrices,
suggesting the dominant inputs of PAHs from coal/coal combustion and coal gangue
in the soil and water. Soil and water guidelines and the incremental lifetime
risk (ICLR) were used to assess the health risk, showing that soil and water were
heavily contaminated by PAHs, and mean ICLRcoal/coal-gangue and mean ICLRsoil
were both significantly higher than the acceptable levels (1 * 10(-4)), posing
high potential carcinogenic risk to residents, especially coal workers. This
study highlights the environmental pollution problems and public health concerns
of coal mining, particularly the potential occupational health hazards of coal
miners exposed in Heshan.
PMID- 26563208
TI - Distribution and geological sources of selenium in environmental materials in
Taoyuan County, Hunan Province, China.
AB - The selenium (Se) distribution and geological sources in Taoyuan County, China,
were determined by using hydride generation atomic fluorescence spectrometry on
rock, soil, and food crop samples collected from various geological regions
within the county. The results show Se contents of 0.02-223.85, 0.18-7.05, and
0.006-5.374 mg/kg in the rock, soil, and food crops in Taoyuan County,
respectively. The region showing the highest Se content is western Taoyuan County
amid the Lower Cambrian and Ediacaran black rock series outcrop, which has
banding distributed west to east. A relatively high-Se environment is found in
the central and southern areas of Taoyuan County, where Quaternary Limnetic
sedimentary facies and Neoproterozoic metamorphic volcanic rocks outcrop,
respectively. A relatively low-Se environment includes the central and northern
areas of Taoyuan County, where Middle and Upper Cambrian and Ordovician carbonate
rocks and Cretaceous sandstones and conglomerates outcrop. These results indicate
that Se distribution in Taoyuan County varies markedly and is controlled by the
Se content of the bedrock. The Se-enriched Lower Cambrian and Ediacaran black
rock series is the primary source of the seleniferous environment observed in
Taoyuan County. Potential seleniferous environments are likely to be found near
outcrops of the Lower Cambrian and Ediacaran black rock series in southern China.
PMID- 26563209
TI - Leveling Mountains: Purpose Attenuates Links Between Perceptions of Effort and
Steepness.
AB - People tend to overestimate the steepness of slopes, especially when they
appraise the effort necessary to ascend them as greater. Recent studies, however,
suggest the way individuals perceive visual stimuli may rely heavily on their
personal motivations. In four studies (N = 517), purpose in life was tested as a
motivational framework influencing how appraised effort relates to slope
perception. Studies 1 and 2 found the amount of effort participants appraised
necessary to ascend several virtual slopes was related to greater overestimation
of their steepness. Yet, this relationship was attenuated by purpose assessed
both as a disposition and experimental manipulation. Studies 3 and 4 replicated
these findings using actual hills, again showing links between the amount of
effort thought required to ascend them and their perceived angle were diminished
by greater purpose. The discussion addresses implications of purpose as a broad
motivational framework that shapes how individuals see their environment.
PMID- 26563210
TI - Deaths after elective repair of abdominal aortic aneurysm fall to all time low.
PMID- 26563211
TI - The Capillary Index Score before thrombectomy: an angiographic correlate of
favorable outcome.
AB - BACKGROUND AND PURPOSE: The angiography based Capillary Index Score (CIS) has
recently emerged as a potential surrogate marker of cerebral perfusion before
intra-arterial thrombolysis. We assessed the prevalence of a favorable CIS (f
CIS) and its relationship with clinical outcome in patients treated by mechanical
thrombectomy (MT). METHODS: Data from consecutive patients treated by MT from
acute middle cerebral artery (MCA) occlusion were retrospectively analyzed. CIS
was calculated from a pre-intervention cerebral angiogram. Association with
favorable clinical outcome (modified Rankin Scale score <=2) at 3 months was
assessed in multivariate analysis. RESULTS: 146 patients were included in the
study. f-CIS was observed in 106/146 (72%) patients with an acceptable inter
rater agreement (kappa=0.73, p<0.001). It was associated with a lower
pretreatment National Institutes of Health Stroke Scale (NIHSS) score (p=0.014),
an isolated M1/M2 occlusion without internal carotid occlusion (p=0.042), and an
Alberta Stroke Program Early CT Score (ASPECTS) >4 (p=0.004). In binary
regression, a favorable outcome was independently associated with f-CIS (OR (-95%
CI, +95% CI)=3.8 (1.3 to 10.9), p=0.013), as well as NIHSS (p=0.007), ASPECTS
(p=0.005), isolated M1/M2 occlusion (p=0.013), and age (p=0.032). The positive
predictive value of f-CIS for a favorable outcome was 67%. CONCLUSIONS: f-CIS was
strongly associated with a favorable outcome after MT of acute MCA occlusion. As
an easy surrogate marker of cerebral perfusion, it may be a useful-albeit not
sufficient-diagnostic test to select patients just before an MT or to manage them
after recanalization.
PMID- 26563212
TI - Heavy smoking rate trends and related factors in Korean occupational groups:
analysis of KNHANES 2007-2012 data.
AB - OBJECTIVES: The present study was designed to investigate the smoking and heavy
smoking trends and identify possible related factors among Korean male workers
from 2007 to 2012 by occupational groups. METHODS: The data were derived from the
fourth (2007-2009) and fifth (2010-2012) waves of the Korean National Health and
Nutrition Examination Survey (KNHANES). Occupational groups were categorised into
three groups, which were non-manual, manual and service and sales groups. Age
adjusted prevalence rates of smoking and heavy smoking (>20 cigarettes/day) in
men aged 25-64 years were calculated. Factors associated with heavy smoking were
investigated using logistic regression analyses. RESULTS: Smoking rate in manual
workers decreased gradually over time (p for trend <0.0001). Smoking rate was
higher in manual than non-manual workers, but the difference reduced over time (p
for trend <0.0001). Heavy smoking rate decreased from 2007 to 2012 (p for trend
<0.0001). Heavy smoking rate was higher in manual than non-manual workers;
however, this difference increased over time. Stress, depressive mood and long
working hours (>=60 h/week) were associated with heavy smoking. CONCLUSIONS:
Antismoking policy should focus on current and heavy smokers. Workplace
antismoking programmes should consider working hours and stress, especially in
manual workers.
PMID- 26563213
TI - Associations between chronic diseases and choking deaths among older adults in
the USA: a cross-sectional study using multiple cause mortality data from 2009 to
2013.
AB - OBJECTIVES: To examine whether the strengths of the associations between chronic
diseases and overall choking differ from those of the associations between
chronic diseases and only food-related choking. DESIGN: This cross-sectional
study used nationwide multiple cause mortality files. SETTING: The USA.
PARTICIPANTS: Older adults aged 65 years or more died between 2009 and 2013. MAIN
OUTCOME MEASURES: Mortality ratio (observed/expected) of number of deaths from
both causes (chronic diseases and choking) and 95% CIs. RESULTS: We identified
76543 deaths for which the death certificates report choking (International
Statistical Classification of Diseases and Related Health Problems, Tenth
Revision (ICD-10) codes W78, W79 and W80 combined) as a cause of death and only
4974 (6.5%) deaths were classified as food-related choking (ICD-10 code W79).
Schizophrenia, Parkinson's disease, Alzheimer's disease and oral cancer are four
chronic diseases that had significant associations with both overall and food
related choking. Stroke, larynx cancer and mood (affective) disorders had
significant associations with overall choking, but not with food-related choking.
CONCLUSIONS: We suggest using overall choking instead of only food-related
choking to better describe the associations between chronic diseases and choking.
PMID- 26563214
TI - Clinical trial registration, reporting, publication and FDAAA compliance: a cross
sectional analysis and ranking of new drugs approved by the FDA in 2012.
AB - OBJECTIVE: To evaluate clinical trial registration, reporting and publication
rates for new drugs by: (1) legal requirements and (2) the ethical standard that
all human subjects research should be publicly accessible to contribute to
generalisable knowledge. DESIGN: Cross-sectional analysis of all clinical trials
submitted to the Food and Drug Administration (FDA) for drugs approved in 2012,
sponsored by large biopharmaceutical companies. DATA SOURCES: Information from
Drugs@FDA, ClinicalTrials.gov, MEDLINE-indexed journals and drug company
communications. MAIN OUTCOME MEASURES: Clinical trial registration and results
reporting in ClinicalTrials.gov, publication in the medical literature, and
compliance with the 2007 FDA Amendments Acts (FDAAA), analysed on the drug level.
RESULTS: The FDA approved 15 drugs sponsored by 10 large companies in 2012. We
identified 318 relevant trials involving 99 599 research participants. Per drug,
a median of 57% (IQR 32-83%) of trials were registered, 20% (IQR 12-28%) reported
results in ClinicalTrials.gov, 56% (IQR 41-83%) were published, and 65% (IQR 41
83%) were either published or reported results. Almost half of all reviewed drugs
had at least one undisclosed phase II or III trial. Per drug, a median of 17%
(IQR 8-20%) of trials supporting FDA approvals were subject to FDAAA mandated
public disclosure; of these, a median of 67% (IQR 0-100%) were FDAAA-compliant.
68% of research participants (67,629 of 99,599) participated in FDAAA-subject
trials, with 51% (33,405 of 67,629) enrolled in non-compliant trials.
Transparency varied widely among companies. CONCLUSIONS: Trial disclosures for
new drugs remain below legal and ethics standards, with wide variation in
practices among drugs and their sponsors. Best practices are emerging. 2 of our
10 reviewed companies disclosed all trials and complied with legal disclosure
requirements for their 2012 approved drugs. Ranking new drugs on transparency
criteria may improve compliance with legal and ethics standards and the quality
of medical knowledge.
PMID- 26563215
TI - Navigating Bioethical Waters: Two Pilot Projects in Problem-Based Learning for
Future Bioscience and Biotechnology Professionals.
AB - We believe that the professional responsibility of bioscience and biotechnology
professionals includes a social responsibility to contribute to the resolution of
ethically fraught policy problems generated by their work. It follows that
educators have a professional responsibility to prepare future professionals to
discharge this responsibility. This essay discusses two pilot projects in ethics
pedagogy focused on particularly challenging policy problems, which we call
"fractious problems". The projects aimed to advance future professionals'
acquisition of "fractious problem navigational" skills, a set of skills designed
to enable broad and deep understanding of fractious problems and the design of
good policy resolutions for them. A secondary objective was to enhance future
professionals' motivation to apply these skills to help their communities resolve
these problems. The projects employed "problem based learning" courses to advance
these learning objectives. A new assessment instrument, "Skills for
Science/Engineering Ethics Test" (SkillSET), was designed and administered to
measure the success of the courses in doing so. This essay first discusses the
rationale for the pilot projects, and then describes the design of the pilot
courses and presents the results of our assessment using SkillSET in the first
pilot project and the revised SkillSET 2.0 in the second pilot project. The essay
concludes with discussion of observations and results.
PMID- 26563216
TI - Using Insights from Applied Moral Psychology to Promote Ethical Behavior Among
Engineering Students and Professional Engineers.
AB - In this essay I discuss a novel engineering ethics class that has the potential
to significantly decrease the likelihood that students (and professionals) will
inadvertently or unintentionally act unethically in the future. This class is
different from standard engineering ethics classes in that it focuses on the
issue of why people act unethically and how students (and professionals) can
avoid a variety of hurdles to ethical behavior. I do not deny that it is
important for students to develop cogent moral reasoning and ethical decision
making as taught in traditional college-level ethics classes, but as an educator,
I aim to help students apply moral reasoning in specific, real-life situations so
they are able to make ethical decisions and act ethically in their academic
careers and after they graduate. Research in moral psychology provides evidence
that many seemingly irrelevant situational factors affect the moral judgment of
most moral agents and frequently lead agents to unintentionally or inadvertently
act wrongly. I argue that, in addition to teaching college students moral
reasoning and ethical decision-making, it is important to: 1. Teach students
about psychological and situational factors that affect people's ethical
judgments/behaviors in the sometimes stressful, emotion-laden environment of the
workplace; 2. Guide students to engage in critical reflection about the sorts of
situations they personally might find ethically challenging before they encounter
those situations; and 3. Provide students with strategies to help them avoid
future unethical behavior when they encounter these situations in school and in
the workplace.
PMID- 26563217
TI - Suture Versus Mesh Repair in Primary and Incisional Ventral Hernias: A Systematic
Review and Meta-Analysis.
AB - BACKGROUND: Today, ventral hernia repair is predominantly performed with meshes.
There is no meta-analysis of high quality evidence that compares the results of
suture to mesh repair. The objective of this systematic review with meta-analysis
is to compare patient centred outcomes of suture versus mesh repair. METHODS: A
systematic literature search was performed in EMBASE, MEDLINE and CENTRAL
(inception to 06/2014). Furthermore a hand search was performed. RCTs comparing
suture versus mesh repair in primary and incisional ventral hernia repair were
included. Data on patient characteristics, interventions and results were
extracted in standardized tables. Risk of bias was assessed with the cochrane
risk of bias tool. Results of studies were pooled with a meta-analysis. All steps
were performed by two reviewers. Discrepancies were discussed until a consensus.
RESULTS: The search in the databases resulted in 1560 hits. After screening, 10
randomized controlled trials including 1215 patients satisfied all inclusion
criteria. Risk of bias was moderate to high. The relative risk for recurrence was
0.36 [95% CI (0.27, 0.49); I (2) = 0; heterogeneity p = 0.70]. Other
complications did not differ significantly. Results for chronic pain were
heterogeneous across studies. CONCLUSION: Mesh repair reduces the number of
recurrences significantly. In patients without recurrence mesh repairs seem to be
associated with a risk of chronic pain especially if the mesh is fixed sublay.
PMID- 26563218
TI - Cholecystectomy During the Weekend Increases Patients' Length of Hospital Stay.
AB - BACKGROUND: A higher risk of complications and mortality has previously been
proven in selected settings. The purpose of this study was to investigate whether
length of stay differentiates throughout the week and register if intra- and
postoperative complications vary on weekends compared to weekdays. METHODS: The
population originated from the Danish Cholecystectomy Database. It consists of
adult patients, who had a cholecystectomy performed by standard four-port
laparoscopic or open surgery. Adjusted analyses were used to study if day of the
week had an influence on conversion, readmission within 30 days, post-operative
supplemental procedures within 30 days, and variance in postoperative length of
stay across the week. RESULTS: A total of 28,759 patients were included in the
study. We found no difference in conversion rate, readmission within 30 days, or
post-operative procedures within 30 days between week time and weekend time. A
longer postoperative length of stay was observed for patients operated on Fridays
and Saturdays even though surgical complication rates were alike between
weekdays. Patients with acute cholecystitis had a longer length of stay on
Saturdays. CONCLUSION: We found no evidence of a higher risk of conversions, post
operative procedures, or readmission during weekends compared with weekdays.
Despite this, a prolonged length of stay was observed in patients operated with
cholecystectomy on Fridays and Saturdays. The observed difference could be due to
ward rounds on weekends mainly focus on the sickest patients leaving less time
for discharge.
PMID- 26563219
TI - Location Frequency of Missed Parathyroid Glands After Parathyroidectomy in
Patients with Persistent or Recurrent Secondary Hyperparathyroidism.
AB - BACKGROUND: Reoperative parathyroidectomy (RPTX) because parathyroid glands have
been missed is frequently required in patients with secondary hyperparathyroidism
(SHPT). The usual locations of these missed glands in patients with SHPT are yet
to be fully elucidated. METHODS: We retrospectively investigated the locations of
missed glands in 165 patients who underwent RPTX for persistent or recurrent SHPT
at our institution from August 1982 to July 2014. At our institution, total
parathyroidectomy with forearm autograft is the routine operative procedure for
SHPT. We also routinely resect the thymic tongue. RESULTS: Of 165 patients, 82
underwent initial parathyroidectomy at our institution (Group A), and the
remaining 83 underwent initial parathyroidectomy at other institutions (Group B).
A total of 239 parathyroid glands were resected (Group A, 93; Group B, 146).
Missed glands were most commonly located in the mediastinum (Group A, 22/93) and
the thymic tongue (Group B, 31/146). CONCLUSIONS: In patients with persistent or
recurrent SHPT, ectopic parathyroid glands are frequently located in the
mediastinum and thymic tongue. Therefore, resecting the thymic tongue during the
initial operation may reduce the need for RPTX.
PMID- 26563220
TI - Use of HIV counseling and testing and family planning services among postpartum
women in Kenya: a multicentre, non-randomised trial.
AB - BACKGROUND: Addressing the postnatal needs of new mothers is a neglected area of
care throughout sub-Saharan Africa. The study compares the effectiveness of
integrating HIV and family planning (FP) services into postnatal care (PNC) with
stand-alone services on postpartum women's use of HIV counseling and testing and
FP services in public health facilities in Kenya. METHODS: Data were derived from
samples of women who had been assigned to intervention or comparison groups, had
given birth within the previous 0-10 weeks and were receiving postnatal care, at
baseline and 15 months later. Descriptive statistics describe the characteristics
of the sample and multivariate logistic regression models assess the effect of
the integrated model of care on use of provider-initiated testing and counseling
(PITC) and FP services. RESULTS: At the 15-month follow-up interviews, more women
in the intervention than comparison sites used implants (15 % vs. 3 %; p <
0.001), while injectables were the most used short-term method by women in both
sites. Women who wanted to wait until later to have children (OR = 1.3; p < 0.01;
95 % CI: 1.1-1.5), women with secondary education (OR = 1.2; p < 0.05; 95 % CI:
1.0-1.4), women aged 25-34 years (OR = 1.2; p < 0.01; 95 % CI: 1.1-1.4) and women
from poor households (OR = 1.6; p < 0.001; 95 % CI: 1.4-1.9) were associated with
FP use. Nearly half (47 %) and about one-third (30 %) of mothers in the
intervention and comparison sites, respectively, were offered PITC. Significant
predictors of uptake of PITC were seeking care in a health center/dispensary
relative to a hospital, having a partner who has tested for HIV and being poor.
CONCLUSIONS: An integrated delivery approach of postnatal services is beneficial
in increasing the uptake of PITC and long-acting FP services among postpartum
women. Also, interventions aimed at increasing male partners HIV testing have a
positive effect on the uptake of PITC and should be encouraged. TRIAL
REGISTRATION: ClinicalTrials.gov NCT01694862.
PMID- 26563221
TI - Capsule Commentary on Bloom-Feshbach et al., Health Literacy in Transitions of
Care: An Innovative Objective Structured Clinical Examination for Fourth Year
Medical Students in an Internship Preparation Course.
PMID- 26563223
TI - Long-term results after treatment of the ascending aorta for bicuspid aortic
valve patients.
AB - PURPOSE: We evaluated the long-term results of aortic valve replacement for
bicuspid aortic valve patients with or without surgical treatment of the
ascending aorta. METHODS: A total of 145 bicuspid aortic valve patients had
undergone aortic valve replacement since 1974 at our institution. No surgical
treatment (Group-N; n = 115) was performed in the ascending aorta if the diameter
was less than 40 mm. We wrapped an ascending aorta of 40-50 mm with an artificial
graft (Group-W; n = 19), and performed replacement (Group-R; n = 11) if the
ascending aorta measured more than 50 mm. Follow-up was completed for 144
patients (99.3 % of the cases). RESULTS: The hospital mortality rate was 1.4 %.
There were no significant differences among Groups N, W and R in the freedom from
valve-related death and cardiac death at 10 years after surgery. The rates of
freedom from aorta-related events in the three groups at 10 years after surgery
were 98.3 % (Group-N), 100 % (Group-W) and 100 % (Group-R). CONCLUSIONS: The long
term survival was equivalent among the three groups, and the rates of freedom
from aorta-related death or events were low. Our surgical protocol for the
treatment of the enlarged ascending aorta associated with BAV is appropriate.
PMID- 26563222
TI - Mortality Risk of Hypnotics: Strengths and Limits of Evidence.
AB - Sleeping pills, more formally defined as hypnotics, are sedatives used to induce
and maintain sleep. In a review of publications for the past 30 years,
descriptive epidemiologic studies were identified that examined the mortality
risk of hypnotics and related sedative-anxiolytics. Of the 34 studies estimating
risk ratios, odds ratios, or hazard ratios, excess mortality associated with
hypnotics was significant (p < 0.05) in 24 studies including all 14 of the
largest, contrasted with no studies at all suggesting that hypnotics ever prolong
life. The studies had many limitations: possibly tending to overestimate risk,
such as possible confounding by indication with other risk factors; confusing
hypnotics with drugs having other indications; possible genetic confounders; and
too much heterogeneity of studies for meta-analyses. There were balancing
limitations possibly tending towards underestimates of risk such as limited
power, excessive follow-up intervals with possible follow-up mixing of
participants taking hypnotics with controls, missing dosage data for most
studies, and over-adjustment of confounders. Epidemiologic association in itself
is not adequate proof of causality, but there is proof that hypnotics cause death
in overdoses; there is thorough understanding of how hypnotics euthanize animals
and execute humans; and there is proof that hypnotics cause potentially lethal
morbidities such as depression, infection, poor driving, suppressed respiration,
and possibly cancer. Combining these proofs with consistent evidence of
association, the great weight of evidence is that hypnotics cause huge risks of
decreasing a patient's duration of survival.
PMID- 26563224
TI - Feasibility of neoadjuvant S-1 and oxaliplatin followed by surgery for resectable
advanced gastric adenocarcinoma.
AB - PURPOSE: In Japan, the administration of S-1 following D2 gastrectomy is a
standard treatment for stage II/III gastric cancer (GC). However, the survival of
stage IIIB/IIIC GC remains unsatisfactory. To improve this, we conducted a
multicenter phase II study to evaluate the safety and efficacy of a neoadjuvant S
1 and oxaliplatin regimen (SOX) followed by surgery targeted at stage III GC.
METHODS: Oxaliplatin was administered intravenously (130 mg/m(2)) on day 1, and S
1 was administered orally (40 mg/m(2), twice a day) for 14 days followed by a
seven-day rest period. After three cycles of therapy, D2 gastrectomy was
performed. RESULTS: A total of 14 patients were enrolled and completed the
protocol treatment. Grade 3/4 toxicities included thrombocytopenia (21.4 %),
anorexia (14.3 %), and diarrhea (7.1 %). Seven patients (50 %) underwent total
gastrectomy, and seven patients underwent distal gastrectomy. Grade 3/4 surgical
complications included pancreatic fistula (21.4 %) and lung infection (7.1 %).
The pathological response rate was 85.7 %. CONCLUSION: Although our data are
limited and preliminary, neoadjuvant SOX followed by surgery can be performed
safely with a high pathological response rate in patients with resectable
advanced GC. Further investigation of this neoadjuvant approach is warranted.
PMID- 26563225
TI - Strategy for thoracic endovascular aortic repair based on collateral circulation
to the artery of Adamkiewicz.
AB - PURPOSE: Spinal cord ischemia (SCI) is a serious complication of thoracic
endovascular aortic repair (TEVAR). The purpose of this study was to establish if
preoperative identification of the artery of Adamkiewicz (AKA) can help prevent
post-TEVAR SCI. METHODS: Of 74 post-TEVAR patients, 51 had the critical segmental
artery (CSA) to the AKA pre-identified to help the surgeon deploy stent grafts.
RESULTS: None of these 51 patients suffered permanent paraplegia postoperatively,
but 5 (23.8 %) of the remaining 23 patients (2 of whom had pre-existing
paraplegia) did suffer permanent SCI. The CSA/AKA was preserved in 43 patients
with pre-identification of the CSA/AKA; however, in 8 patients, the CSA was
completely or partially occluded by a stent graft. Transient SCI developed in one
patient from each group, but both of these patients recovered fully before
discharge. No change in the anatomical route was identified in 29 of the patients
who had postoperative evaluation of the CSA/AKA. Five of eight patients whose CSA
was completely occluded had new collateral circulation to the AKA. CONCLUSIONS:
None of the 51 patients with the CSA/AKA identified before TEVAR suffered
permanent paraplegia, whereas 5 of the 23 without pre-identification did suffer
permanent SCI. Thus, careful identification of the CSA/AKA may prove useful for
preventing postoperative SCI. Preservation of potential collateral circulation
may also reduce the risk of postoperative SCI.
PMID- 26563226
TI - Single-incision totally extraperitoneal inguinal hernia repair as a teaching
procedure: one center's experience of more than 300 procedures.
AB - PURPOSE: To evaluate resident doctors' proficiency in performing single-incision
laparoscopic surgery for totally extraperitoneal inguinal hernia repair (SILS
TEP), and assess patient outcomes by comparing procedures performed by resident
surgeons vs. those performed by staff surgeons. METHODS: We analyzed
retrospectively 301 patients who underwent SILS-TEP between January 2011 and May
2015 at Osaka Police Hospital. RESULTS: The mean operative times for unilateral
and bilateral hernia repairs in the resident-surgeon and the staff-surgeon groups
were 99 vs. 88 min, respectively (p < 0.05), and 130 vs. 137 min, respectively.
There was no significant difference in the incidence of conversion to a different
procedure between the groups. The mean postoperative hospital stay was 2.0 days
for patients from the resident-surgeon group vs. 2.8 days for those from the
staff-surgeon group (p < 0.05). Seromas and wound infections developed in 8 %
(12/148) of patients from the resident-surgeon group vs. 12 % (19/153) of those
from the staff-surgeon group. No other major complications or hernia recurrence
were noted in either group. CONCLUSIONS: SILS-TEP was performed safely, with low
morbidity and no recurrence, by the resident surgeons under appropriate guidance
by staff surgeons.
PMID- 26563227
TI - Quantum Dot-Based Luminescent Oxygen Channeling Assay for Potential Application
in Homogeneous Bioassays.
AB - The unique photoproperties of quantum dots are promising for potential
application in bioassays. In the present study, quantum dots were applied to a
luminescent oxygen channeling assay. The reaction system developed in this study
was based on interaction of biotin with streptavidin. Carboxyl-modified
polystyrene microspheres doped with quantum dots were biotinylated and used as
acceptors. Photosensitizer-doped carboxyl-modified polystyrene microspheres were
conjugated with streptavidin and used as donors. The results indicated that the
singlet oxygen that was released from the donor beads diffused into the acceptor
beads. The acceptor beads were then exited via thioxene, and were subsequently
fluoresced. To avoid generating false positives, a high concentration (0.01
mg/mL) of quantum dots is required for application in homogeneous immunoassays.
Compared to a conventional luminescent oxygen channeling assay, this quantum dots
based technique requires less time, and would be easier to automate and
miniaturize because it requires no washing to remove excess labels.
PMID- 26563228
TI - Prediction of Protein-Protein Interaction Sites with Machine-Learning-Based Data
Cleaning and Post-Filtering Procedures.
AB - Accurately predicting protein-protein interaction sites (PPIs) is currently a hot
topic because it has been demonstrated to be very useful for understanding
disease mechanisms and designing drugs. Machine-learning-based computational
approaches have been broadly utilized and demonstrated to be useful for PPI
prediction. However, directly applying traditional machine learning algorithms,
which often assume that samples in different classes are balanced, often leads to
poor performance because of the severe class imbalance that exists in the PPI
prediction problem. In this study, we propose a novel method for improving PPI
prediction performance by relieving the severity of class imbalance using a data
cleaning procedure and reducing predicted false positives with a post-filtering
procedure: First, a machine-learning-based data-cleaning procedure is applied to
remove those marginal targets, which may potentially have a negative effect on
training a model with a clear classification boundary, from the majority samples
to relieve the severity of class imbalance in the original training dataset;
then, a prediction model is trained on the cleaned dataset; finally, an effective
post-filtering procedure is further used to reduce potential false positive
predictions. Stringent cross-validation and independent validation tests on
benchmark datasets demonstrated the efficacy of the proposed method, which
exhibits highly competitive performance compared with existing state-of-the-art
sequence-based PPIs predictors and should supplement existing PPI prediction
methods.
PMID- 26563229
TI - Anxiety Disorder-Specific Predictors of Treatment Outcome in the Coordinated
Anxiety Learning and Management (CALM) Trial.
AB - Identifying baseline characteristics associated with treatment outcome in
generalized anxiety disorder, panic disorder, social anxiety disorder (SAD) or
post-traumatic stress disorder. We performed two secondary analyses of the
Coordinated Anxiety Learning and Management trial. Baseline characteristics and
their interactions with treatment assignment were analyzed via stepwise logistic
regression models and receiver-operating criterion analyses by disorder
predicting remission and response for each disorder. Predictors for poor outcome
across diagnoses were comorbid depression and low socioeconomic status. Good
outcome was associated with positive treatment expectancy and high self-efficacy
expectancy. SAD had the lowest rate of remission and response compared to the
other anxiety disorders, and differed in respect to its predictors of treatment
outcome. Perceived social support predicted treatment outcome in SAD. The special
role of SAD among the other anxiety disorders requires further study both because
of its worse prognosis and its more specific treatment needs.
PMID- 26563230
TI - Distribution of pathogenicity island markers in commensal and uropathogenic
Escherichia coli isolates.
AB - Uropathogenic Escherichia coli (UPEC) isolates contain large genomic segments,
termed pathogenicity islands (PAIs), that contribute to their virulence. A total
of 150 UPEC and 50 commensal E. coli isolates from outpatients were investigated
for antimicrobial susceptibility and the presence of eight PAI markers. One
hundred ninety (95 %) isolates were resistant to one or more antimicrobial
agents. The most frequent resistance found against amoxicillin (68 %),
amoxicillin/clavulanic acid (55 %), aztreonam (50 %),
trimethoprim/sulfamethoxazole (46 %) and tetracycline (43.5 %). Antimicrobial
resistance among UPEC isolates was higher than that of commensals. PAI markers
were detected in substantial percentage of commensal (88 %) and UPEC isolates
(98.6 %) (P > 0.05). The most prevalent PAI marker among UPEC and commensal
isolates was PAI IV536 (98.7 % UPEC vs. 84 % commensal). We found a high number
of PAI markers such as PAI ICFT073, PAI IICFT073, PAI I536, PAI II536, PAI III536
and PAI IIJ96 significantly associated with UPEC. PAI III536 (21.3 %) and PAI
IIJ96 (8 %) were detected only in the uropathogenic isolates. Several different
combinations of PAIs were found among UPEC isolates. Comparison of PAIs among
UPEC and commensal isolates showed that many UPEC isolates (79.3 %) carried two
or more PAI markers, while 6 % of commensals had two PAI markers (P < 0.05). The
most frequent combinations of PAI markers in UPEC isolates were PAI IV536 + PAI
IICFT073 (18 %) and PAI IV536 + PAI ICFT073 + PAI IICFT073 (18 %). These results
indicate that PAI markers are widespread among commensal and UPEC isolates and
these commensal isolates may be reservoirs for transmission of these markers.
PMID- 26563232
TI - Mitigation measures to contain the environmental impact of urban areas: a
bibliographic review moving from the life cycle approach.
AB - The global environmental impact of urban areas has greatly increased over the
years, due to the growth of urbanisation and the associated increase in
management costs. There are several measures aimed at mitigating this impact that
affect in different ways the environmental, economic and societal spheres. This
article has analysed a selection of different mitigation measures, related to the
built environment, according to the life cycle approach, aimed at identifying the
procedural features chosen by the different authors and defining a common way to
deal with this issue. In particular, all the individual single steps of a Life
Cycle Assessment/Life Cycle Costing of the different studies are analysed and the
results of the individual measures are highlighted. The analysis has shown how
the scientific literature is mainly focused on the evaluation of the impact of
technological solutions related to individual buildings (cool/green roof). Less
interest is shown in the solutions for urban areas, while, as far as the impact
on greenhouse gas emissions is concerned, some studies are shifting the target to
a global scale. Due to the accuracy whereby the calculation of the impact
indicators deals with and structures the life cycle methods, opportunities to
compare studies developed by different authors are quite rare and hard to find.
Hence the need to find a simple, intuitive and flexible scheme to combine some of
the most useful results of the bibliographical studies, in a comparative outline
of different technological solutions, which can support the decision-making phase
through a rough assessment.
PMID- 26563231
TI - Biological index of environmental lead pollution: accumulation of lead in liver
and kidney in mice.
AB - Lead (Pb) is known to be highly poisonous, and the acute poisoning of Cd causes
the abdominal pains, vomiting, and shock. The digestive and nervous symptom is
observed in the chronic lead poisoning. It was also known that the defect in
hemoglobin synthesis by Pb produce anemia. The release of Pb into the environment
presents a source of exposure for wild animals. In this study, we examined the
utility of a new Pb-monitoring index in mice administered Pb. A solution
containing 0.02, 0.2, 2, or 4 ppm lead chloride (PbCl2) was administered
intraperitoneally to mice, and the Pb contents of the kidney and liver were
determined at designated time points. The mean Pb content of both organs
increased depending on the administered Pb dosage. Although the results of
control was near the detection limits, the administration of 4 ppm in 4 weeks
resulted in Pb levels of 260 mg ppm/wet weight and 110 ppm wet weight in the
kidney and liver, respectively. However, there were no significant relationships
among administered dose, duration of Pb treatment, and liver or kidney Pb
content. Then, values in all mice administered control or 0.02 mg Pb were located
inside the ellipse, representing the confidence area of the new index, and values
in all mice administered more than 2 mg Pb were located outside the ellipse.
These results confirm that animals exposed to high concentrations of Pb would be
detected by this new index.
PMID- 26563233
TI - Modelling the regional variability of the probability of high trihalomethane
occurrence in municipal drinking water.
AB - The regional variability of the probability of occurrence of high total
trihalomethane (TTHM) levels was assessed using multilevel logistic regression
models that incorporate environmental and infrastructure characteristics. The
models were structured in a three-level hierarchical configuration: samples
(first level), drinking water utilities (DWUs, second level) and natural regions,
an ecological hierarchical division from the Quebec ecological framework of
reference (third level). They considered six independent variables:
precipitation, temperature, source type, seasons, treatment type and pH. The
average probability of TTHM concentrations exceeding the targeted threshold was
18.1%. The probability was influenced by seasons, treatment type, precipitations
and temperature. The variance at all levels was significant, showing that the
probability of TTHM concentrations exceeding the threshold is most likely to be
similar if located within the same DWU and within the same natural region.
However, most of the variance initially attributed to natural regions was
explained by treatment types and clarified by spatial aggregation on treatment
types. Nevertheless, even after controlling for treatment type, there was still
significant regional variability of the probability of TTHM concentrations
exceeding the threshold. Regional variability was particularly important for DWUs
using chlorination alone since they lack the appropriate treatment required to
reduce the amount of natural organic matter (NOM) in source water prior to
disinfection. Results presented herein could be of interest to authorities in
identifying regions with specific needs regarding drinking water quality and for
epidemiological studies identifying geographical variations in population
exposure to disinfection by-products (DBPs).
PMID- 26563234
TI - Environmental quality assessment of Grand Harbour (Valletta, Maltese Islands): a
case study of a busy harbour in the Central Mediterranean Sea.
AB - Contamination levels by plastic debris, trace elements and persistent organic
pollutants were assessed and related to macrobenthic diversity within soft
bottoms of Grand Harbour (Malta, Central Mediterranean). Sediment toxicity was
evaluated by ecotoxicological method, deploying Bacteria (Vibrio fischeri),
Echinodermata (Paracentrotus lividus) and Crustacea (Corophium orientale).
Univariate analysis (Pearson's test) was used to test relationships between
biodiversity indices, pollutants and grain size. A multivariate approach
(PERMANOVA) was applied to investigate for any significant differences among
sampling stations concerning plastic abundances and to test the relationship
between infaunal abundances and pollutant concentrations (the BIOENV test).
Significant differences in the plastic abundances were found between sampling
stations. The lowest value for Shannon-Wiener biodiversity index was associated
to the highest sediment pollution level. Multivariate analyses suggest that MBT
and TBT were factors that most influenced macrozoobenthic abundance and
biodiversity. The bivalve Corbula gibba and the introduced polychaete
Monticellina dorsobranchialis were the most abundant found species.
PMID- 26563235
TI - Assessment by self-organizing maps of element release from sediments in contact
with acidified seawater in laboratory leaching test conditions.
AB - Carbon capture and storage (CCS) is gaining interest as a significant global
option to reduce emissions of CO2. CCS development requires an assessment of the
potential risks associated with CO2 leakages from storage sites. Laboratory
leaching tests have proved to be a useful tool to study the potential
mobilization of metals from contaminated sediment in a decreased-pH environment
that mimics such a leakage event. This work employs a self-organizing map (SOM)
tool to interpret and analyze the release of dissolved organic carbon (DOC), As,
Cd, Cr, Cu, Ni, Pb, and Zn from equilibrium, column, and pH-dependent leaching
tests. In these tests, acidified seawater is used for simulating different CO2
leakage scenarios. Classification was carried out detailing the mobilization of
contaminants for environments of varying pH, liquid-to-solid ratio, and type of
contact of the laboratory leaching tests. Component planes in the SOMs allow
visualization of the results and the determination of the worst case of element
release. The pH-dependent leaching test with initial addition of either base or
acid was found to mobilize the highest concentrations of metals.
PMID- 26563236
TI - Bridging the Sciences of Mindfulness and Romantic Relationships.
AB - Research on mindfulness, defined as paying conscious and non-judgmental attention
to present-moment experiences, has increased rapidly in the past decade but has
focused almost entirely on the benefits of mindfulness for individual well-being.
This article considers the role of mindfulness in romantic relationships.
Although strong claims have been made about the potentially powerful role of
mindfulness in creating better relationships, it is less clear whether, when, and
how this may occur. This article integrates the literatures on mindfulness and
romantic relationship science, and sketches a theory-driven model and future
research agenda to test possible pathways of when and how mindfulness may affect
romantic relationship functioning. We review some initial direct and indirect
evidence relevant to the proposed model. Finally, we discuss the implications of
how studying mindfulness may further our understanding of romantic relationship
(dys)functioning, and how mindfulness may be a promising and effective tool in
couple interventions.
PMID- 26563237
TI - Pneumococcal Infection Aggravates Elastase-Induced Emphysema via Matrix
Metalloproteinase 12 Overexpression.
AB - BACKGROUND: Acute exacerbation of chronic obstructive pulmonary disease (COPD)-
typically caused by bacterial or viral infection--is associated with poor
prognosis and emphysema progression through unknown mechanisms. We aimed to
elucidate the mechanisms responsible for the poor prognosis and emphysema
progression associated with COPD exacerbation. METHODS: We established a mouse
model mimicking acute human COPD exacerbation, wherein mice with elastase-induced
emphysema were intranasally infected with Streptococcus pneumoniae. RESULTS: In
mice with elastase-induced emphysema, infection with S. pneumoniae resulted in
increased mortality, an increased number of inflammatory cells in bronchoalveolar
lavage fluid (BALF), and increased matrix metalloproteinase 12 (MMP-12)
production in the lungs, as well as enhanced emphysema progression. The increased
MMP-12 production was mostly due to alveolar type II cells, alveolar macrophages,
and lymphocytes that aggregated around vessels and bronchioles. Dexamethasone
treatment suppressed the mortality rate and number of inflammatory cells in BALF
but not emphysema progression, possibly owing to the failure of MMP-12
suppression in the lungs, whereas treatment with the MMP inhibitor ONO-4817
dramatically suppressed both mortality rate and emphysema progression.
CONCLUSIONS: These results suggest that MMP-12 production during COPD
exacerbation results in increased mortality and emphysema progression. Our study
identifies MMP-12 as a target to prevent further aggravation of COPD.
PMID- 26563238
TI - Important Complexities of the Antivirulence Target Paradigm: A Novel Ostensibly
Resistance-Avoiding Approach for Treating Infections.
AB - Use of antivirulence therapy has assumed that inhibition of bacterial fitness at
the site of infection without directly affecting viability will minimize the
development of resistance. However, selection for resistant strains is much more
likely to occur at sites of colonization or in the environment following
excretion of the therapeutic agent. Data are needed regarding whether the drug's
target promotes fitness among bacteria in (drug-exposed) niches other than sites
of infection. Furthermore, in vivo studies of resistance selection should assess
off-target selection for resistance (eg, within the microbiome). Only when such
data are available can the risk for development of resistance be gauged
appropriately.
PMID- 26563239
TI - Stability of a Vesicular Stomatitis Virus-Vectored Ebola Vaccine.
AB - The live attenuated vesicular stomatitis virus-vectored Ebola vaccine rVSV-ZEBOV
is currently undergoing clinical trials in West Africa. The vaccine is to be
stored at -70 degrees C or less. Since maintaining the cold chain is challenging
in rural areas, the rVSV-ZEBOV vaccine's short-term and long-term stability at
different temperatures was examined. Different dilutions were tested since the
optimal vaccine dosage had not yet been determined at the start of this
experiment. The results demonstrate that the original vaccine formulation was
stable for 1 week at 4 degrees C and for 24 hours at 25 degrees C. The stability
of the vaccine was compromised by both high temperatures and dilution.
PMID- 26563240
TI - Selection of Rilpivirine-Resistant HIV-1 in a Seroconverter From the SSAT 040
Trial Who Received the 300-mg Dose of Long-Acting Rilpivirine (TMC278LA).
AB - The injectable long-acting formulation of rilpivirine (TMC278LA) is a promising
preexposure prophylaxis (PrEP) candidate for prevention of human immunodeficiency
virus type 1 (HIV-1) infection. We evaluated HIV-1 in plasma obtained from an
unexpected seroconverter in the 300-mg arm of the SSAT040 TMC278LA
pharmacokinetic study for rilpivirine (RPV) resistance. Infection with wild-type
HIV-1 was confirmed on day 84 after TMC278LA injection, and the K101E mutation
was detected on day 115. Plasma-derived HIV-1 clones containing K101E had 4-fold
increased resistance to RPV and 4-8-fold increased cross-resistance to
etravirine, nevirapine, and efavirenz compared with wild type HIV-1 plasma
derived clones from the same individual. This case is a unique instance of
infection with wild-type HIV-1 and subsequent selection of resistant virus by
persistent exposure to long-acting PrEP.
PMID- 26563241
TI - Amblyopia.
PMID- 26563242
TI - Editorial Comment: New Directions in Orthopaedic Education.
PMID- 26563243
TI - CORR Insights((r)): Transcriptional Profiling Identifies the Signaling Axes of
IGF and Transforming Growth Factor-beta as Involved in the Pathogenesis of
Osteosarcoma.
PMID- 26563244
TI - Editorial Comment: 2014 Musculoskeletal Tumor Society.
PMID- 26563245
TI - Prostatic Artery Embolization (PAE) for Symptomatic Benign Prostatic Hyperplasia
(BPH): Part 2, Insights into the Technical Rationale.
AB - Rationale of prostatic artery embolization (PAE) in the treatment of symptomatic
benign prostatic hyperplasia is conventionally believed to include two parts:
shrinkage of the enlarged prostate gland as a result of PAE-induced ischemic
infarction and potential effects to relax the increased prostatic smooth muscle
tone by reducing the number and density of alpha1-adrenergic receptor in the
prostate stroma. This review describes new insights into the likely mechanisms
behind PAE, such as ischemia-induced apoptosis, apoptosis enhanced by blockage of
androgens circulation to the embolized prostate, secondary denervation following
PAE, and potential effect of nitric oxide pathway immediately after embolization.
Studies on therapeutic mechanisms in PAE may shed light on potentially new
treatment strategies and development of novel techniques.
PMID- 26563246
TI - Critical features of peer assessment of clinical performance to enhance adherence
to a low back pain guideline for physical therapists: a mixed methods design.
AB - BACKGROUND: Clinical practice guidelines are intended to improve the process and
outcomes of patient care. However, their implementation remains a challenge. We
designed an implementation strategy, based on peer assessment (PA) focusing on
barriers to change in physical therapy care. A previously published randomized
controlled trial showed that PA was more effective than the usual strategy "case
discussion" in improving adherence to a low back pain guideline. Peer assessment
aims to enhance knowledge, communication, and hands-on clinical skills consistent
with guideline recommendations. Participants observed and evaluated clinical
performance on the spot in a role-play simulating clinical practice. Participants
performed three roles: physical therapist, assessor, and patient. This study
explored the critical features of the PA program that contributed to improved
guideline adherence in the perception of participants. METHODS: Dutch physical
therapists working in primary care (n = 49) organized in communities of practice
(n = 6) participated in the PA program. By unpacking the program we identified
three main tasks and eleven subtasks. After the program was finished, a
questionnaire was administered in which participants were asked to rank the
program tasks from high to low learning value and to describe their impact on
performance improvement. Overall ranking results were calculated. Additional semi
structured interviews were conducted to elaborate on the questionnaires results
and were transcribed verbatim. Questionnaires comments and interview transcripts
were analyzed using template analysis. RESULTS: Program tasks related to
performance in the therapist role were perceived to have the highest impact on
learning, although task perceptions varied from challenging to threatening.
Perceptions were affected by the role-play format and the time schedule. Learning
outcomes were awareness of performance, improved attitudes towards the guideline,
and increased self-efficacy beliefs in managing patients with low back pain.
Learning was facilitated by psychological safety and the quality of feedback.
CONCLUSION: The effectiveness of PA can be attributed to the structured and
performance-based design of the program. Participants showed a strong cognitive
and emotional commitment to performing the physical therapist role. That might
have contributed to an increased awareness of strength and weakness in clinical
performance and a motivation to change routine practice.
PMID- 26563247
TI - Activation of Wnt/beta-catenin signaling in ESC promotes rostral forebrain
differentiation in vitro.
AB - Wnt/beta-catenin signaling is crucial for maintenance of pluripotent state of
embryonic stem cell (ESC). However, it is unclear how Wnt/beta-catenin signaling
affects the differentiation ability of ESC, especially with regard to rostral
forebrain cells. Here, using Rax, rostral forebrain marker, and Wnt/beta-catenin
reporter lines, we report ratio of Rax(+) and Wnt responding tissue (Wnt(+))
patterns, which were affected by seeding number of ESC in three-dimensional
culture system. Surprisingly, we found beta-catenin level and localization are
heterogeneous in ESC colony by immunostaining and time-laps imaging of beta
catenin-mEGFP signals. Moreover, activation of Wnt signaling in ESC promoted
expression level and nuclear localization of beta-catenin, and mRNA levels of Wnt
antagonists, axin2 and dkk1, leading to upregulating Wnt/beta-catenin reporter in
ESC state and Rax expression at differentiation culture day 7. Together, our
results suggest that activation of Wnt signaling in ESC promotes the
differentiation efficacy of rostral forebrain cells. Wnt-priming culture method
may provide a useful tool for applications in the areas of basic science and
molecular therapeutics for regenerative medicine.
PMID- 26563248
TI - Cost-Effectiveness Models in Age-Related Macular Degeneration: Issues and
Challenges.
AB - Age-related macular degeneration (AMD) is a common ophthalmic condition that can
have few symptoms in its early stage but can progress to major visual impairment.
While there are no treatments for early-stage AMD, there are multiple modalities
of treatment for advanced disease. Given the increasing prevalence of the
disease, there are dozens of analyses of cost effectiveness of AMD treatments,
but methods and approaches vary broadly. The goal of this review was to identify,
characterize, and critique published models in AMD and provide guidance for their
interpretation. After a literature review was performed to identify studies, and
exclusion criteria applied to limit the review to studies comparing treatments
for AMD, we compared methods across the 36 studies meeting the review criteria.
To some extent, variation was related to targeting different audiences or
acknowledging the most appropriate population for a given treatment. However, the
review identified potential areas of uncertainty and difficulty in
interpretation, particularly regarding duration of observation periods and the
importance of visual acuity as an endpoint or a proxy for patient-reported
utilities. We urge thoughtful consideration of these study characteristics when
comparing results.
PMID- 26563249
TI - Measuring nonspecific factors in treatment: item banks that assess the healthcare
experience and attitudes from the patient's perspective.
AB - PURPOSE: Nonspecific factors that accompany healthcare treatments, such as
patients' attitudes and expectations, are important parts of the experience of
care and can influence outcomes. However, no precise, concise, and generalizable
instruments to measure these factors exist. We report on the development and
calibration of new item banks, titled the Healing Encounters and Attitudes Lists
(HEAL), that assess nonspecific factors across a broad range of treatments and
conditions. METHODS: The instrument development methodology of the Patient
Reported Outcomes Measurement Information System (PROMIS((r))) was used. Patient
focus groups and clinician interviews informed our HEAL conceptual model.
Literature searches of eight databases yielded over 500 instruments and resulted
in an initial item pool of several thousand items. After qualitative item
analysis, including cognitive interviewing, 296 items were included in field
testing. The calibration sample included 1657 respondents, 1400 obtained through
an Internet panel and 257 from conventional and integrative medicine clinics.
Following exploratory and confirmatory factor analyses, the HEAL item banks were
calibrated using item response theory. RESULTS: The final HEAL item banks were
Patient-Provider Connection (57 items), Healthcare Environment (25 items),
Treatment Expectancy (27 items), Positive Outlook (27 items), and Spirituality
(26 items). Short forms were also developed from each item bank. A six-item short
form, Attitudes toward Complementary and Alternative Medicine (CAM), was also
created. CONCLUSIONS: HEAL item banks provided substantial information across a
broad range of each construct. HEAL item banks showed initial evidence of
predictive and concurrent validity, suggesting that they are suitable for
measuring nonspecific factors in treatment.
PMID- 26563250
TI - Distinct trajectories of disease-specific health status in heart failure patients
undergoing cardiac resynchronization therapy.
AB - PURPOSE: It is well known that a significant proportion of heart failure patients
(10-44 %) do not show improvement in symptoms or functioning from cardiac
resynchronization therapy (CRT), yet no study has examined patient-reported
health status trajectories after implantation. METHODS: A cohort of 139 patients
with a CRT-defibrillator (70 % men; age 65.7 +/- 10.1 years) completed the Kansas
City Cardiomyopathy Questionnaire (KCCQ) prior to implantation (baseline) and at
2, 6, and 12-14 months post-implantation. Latent class analyses were used to
identify trajectories and associates of disease-specific health status over time.
RESULTS: All health status trajectories showed an initial small to large
improvement from baseline to 2-month follow-up, whereafter most trajectories
displayed a stable pattern between short- and long-term follow-up. Low
educational level, NYHA class III/IV, smoking, no use of beta-blockers, use of
psychotropic medication, anxiety, depression, and type D personality were found
to be associated with poorer health status in unadjusted analyses. Interestingly,
subgroups of patients (12-20 %) who experienced poor health status at baseline
improved to stable good health status levels after implantation. CONCLUSIONS:
Levels of disease-specific health status vary considerably across subgroups of
CRT-D patients. Classification into poorer disease-specific health status
trajectories was particularly associated with patients' psychological profile and
NYHA classification. The timely identification of CRT-D patients who present with
poor disease-specific health status (i.e., KCCQ score < 50) and a distressed
psychological profile (i.e., anxiety, depression, and/or type D personality) is
paramount, as they may benefit from cardiac rehabilitation in combination with
psychological intervention.
PMID- 26563251
TI - Patient-reported outcomes: pathways to better health, better services, and better
societies.
AB - While the use of PROs in research is well established, many challenges lie ahead
as their use is extended to other applications. There is consensus that health
outcome evaluations that include PROs along with clinician-reported outcomes and
administrative data are necessary to inform clinical and policy decisions. The
initiatives presented in this paper underline evolving recognition that PROs play
a unique role in adding the patient perspective alongside clinical (e.g., blood
pressure) and organizational (e.g., admission rates) indicators for evaluating
the effects of new products, selecting treatments, evaluating quality of care,
and monitoring the health of the population. In this paper, we first explore the
use of PRO measures to support drug approval and labeling claims. We critically
evaluate the evidence and challenges associated with using PRO measures to
improve healthcare delivery at individual and population levels. We further
discuss the challenges associated with selecting from the abundance of measures
available, opportunities afforded by agreeing on common metrics for constructs of
interest, and the importance of establishing an evidence base that supports
integrating PRO measures across the healthcare system to improve outcomes. We
conclude that the integration of PROs as a key end point within individual
patient care, healthcare organization and program performance evaluations, and
population surveillance will be essential for evaluating whether increased
healthcare expenditure is translating into better health outcomes.
PMID- 26563252
TI - Are Synonymous Sites in Primates and Rodents Functionally Constrained?
AB - It has been claimed that synonymous sites in mammals are under selective
constraint. Furthermore, in many studies the selective constraint at such sites
in primates was claimed to be more stringent than that in rodents. Given the
larger effective population sizes in rodents than in primates, the theoretical
expectation is that selection in rodents would be more effective than that in
primates. To resolve this contradiction between expectations and observations, we
used processed pseudogenes as a model for strict neutral evolution, and estimated
selective constraint on synonymous sites using the rate of substitution at
pseudosynonymous and pseudononsynonymous sites in pseudogenes as the neutral
expectation. After controlling for the effects of GC content, our results were
similar to those from previous studies, i.e., synonymous sites in primates
exhibited evidence for higher selective constraint that those in rodents.
Specifically, our results indicated that in primates up to 24% of synonymous
sites could be under purifying selection, while in rodents synonymous sites
evolved neutrally. To further control for shifts in GC content, we estimated
selective constraint at fourfold degenerate sites using a maximum parsimony
approach. This allowed us to estimate selective constraint using mutational
patterns that cause a shift in GC content (GT <-> TG, CT <-> TC, GA <-> AG, and
CA <-> AC) and ones that do not (AT <-> TA and CG <-> GC). Using this approach,
we found that synonymous sites evolve neutrally in both primates and rodents.
Apparent deviations from neutrality were caused by a higher rate of C -> A and C
> T mutations in pseudogenes. Such differences are most likely caused by the
shift in GC content experienced by pseudogenes. We conclude that previous
estimates according to which 20-40% of synonymous sites in primates were under
selective constraint were most likely artifacts of the biased pattern of
mutation.
PMID- 26563253
TI - Preparation and Evaluation of Phospholipid-Based Complex of Standardized Centella
Extract (SCE) for the Enhanced Delivery of Phytoconstituents.
AB - In the present study, a phospholipid-based complex of standardized Centella
extract (SCE) was developed with a goal of improving the bioavailability of its
phytoconstituents. The SCE-phospholipid complex was prepared by solvent
evaporation method and characterized for its physicochemical and functional
properties. Fourier transform infrared spectroscopy (FTIR), differential scanning
calorimetry (DSC), scanning electron microscopy (SEM), photomicroscopy, and
powder x-ray diffraction (PXRD) were used to confirm the formation of Centella
naturosome (CN). The prepared complex was functionally evaluated by apparent
solubility, in vitro drug release, ex vivo permeation, and in vivo efficacy
studies. The prepared CN exhibited a significantly higher (12-fold) aqueous
solubility (98.0 +/- 1.4 MUg/mL), compared to the pure SCE (8.12 +/- 0.44
MUg/mL), or the physical mixture of SCE and the phospholipid (13.6 +/- 0.4
MUg/mL). The in vitro dissolution studies revealed a significantly higher
efficiency of CN in releasing the SCE (99.2 +/- 4.7, % w/w) in comparison to the
pure SCE (39.2 +/- 2.3, % w/w), or the physical mixture (42.8 +/- 2.09, % w/w).
The ex vivo permeation studies with the everted intestine method showed that the
prepared CN significantly improved the permeation of SCE (82.8 +/- 3.7, % w/w),
compared to the pure SCE (26.8 +/- 2.4, % w/w), or the physical mixture (33.0 +/-
2.7, % w/w). The in vivo efficacy studies using the Morris Water Maze test
indicated a significant improvement of the spatial learning and memory in aged
mice treated with CN. Thus, drug-phospholipid complexation appears to be a
promising strategy to improve the aqueous solubility and bioavailability of
bioactive phytoconstituents.
PMID- 26563254
TI - Measles among migrants in the European Union and the European Economic Area.
AB - AIMS: Progress towards meeting the goal of measles elimination in the EU and the
European Economic Area (EEA) by 2015 is being obstructed, as some children are
either not immunized on time or never immunized. One group thought to be at
increased risk of measles is migrants; however, the extent to which this is the
case is poorly understood, due to a lack of data. This paper addresses this
evidence gap by providing an overview of the burden of measles in migrant
populations in the EU/EEA. METHODS: Data were collected through a comprehensive
literature review, a country survey of EU/EEA member states and information from
measles experts gathered at an infectious disease workshop. RESULTS: Our results
showed incomplete data on measles in migrant populations, as national
surveillance systems do not systematically record migration-specific information;
however, evidence from the literature review and country survey suggested that
some measles outbreaks in the EU/EEA were due to sub-optimal vaccination coverage
in migrant populations. CONCLUSIONS: We conclude that it is essential that
routine surveillance of measles cases and measles, mumps and rubella (MMR)
vaccination coverage become strengthened, to capture migrant-specific data. These
data can help to inform the provision of preventive services, which may need to
reach out to vulnerable migrant populations that currently face barriers in
accessing routine immunization and health services.
PMID- 26563255
TI - Prevention of infection-related cancers in the WHO Western Pacific Region.
AB - A considerable number of infectious agents have been classified as human
carcinogens Group 1 by the International Agency for Research on Cancer. Major
infection-related cancers such as cancers of nasopharynx (53%), stomach (60%) and
liver (63%) occur in the World Health Organization Western Pacific Region. Many
infection-related cancers are preventable, particularly those associated with
human papilloma virus, Helicobacter pylori, human immunodeficiency virus-I,
hepatitis B virus and hepatitis C virus and liver flukes. Mongolia shows the
highest prevalence of hepatitis B virus and hepatitis C virus, and China shows
the highest prevalence of Helicobacter pylori. Chronic infection is attributable
for 17-28% of overall cancer incidence or mortality in China, Japan and Korea.
Through infant immunization for hepatitis B, 30 of 37 countries and areas in the
Western Pacific Region have reached the 2012 milestone of chronic hepatitis B
virus infection prevalence of <2% in 5-year-old children and countries and areas
of the region are now striving toward reaching the regional goal of <1% by 2017.
Human papilloma virus immunization program is implemented either by government
funding or, in some low-income countries, by public and private sector
organizations. Cervical cancer screening via visual inspection with acetic acid
or Pap smear is available in many Western Pacific Region Member States. More
efforts are needed to implement new World Health Organization guide to vaccinate
9- to 13-year-old girls with two doses of human papilloma virus vaccine, and use
human papilloma virus tests to screen women to prevent and control cervical
cancer including guaranteed monitoring and appropriate follow-up for abnormal
results.
PMID- 26563256
TI - Clinical practice guidelines for the diagnosis and treatment of patients with
soft tissue sarcoma by the Spanish group for research in sarcomas (GEIS).
AB - Soft tissue sarcomas (STS) constitute an uncommon and heterogeneous group of
tumours, which require a complex and specialized multidisciplinary management.
The diagnostic approach should include imaging studies and core needle biopsy
performed prior to undertaking surgery. Wide excision is the mainstay of
treatment for localized sarcoma, and associated preoperative or postoperative
radiotherapy should be administered in high-risk patients. Adjuvant chemotherapy
was associated with a modest improvement in survival in a meta-analysis and
constitutes a standard option in selected patients with high-risk STS. In
metastatic patients, surgery must be evaluated in selected cases. In the rest of
patients, chemotherapy and, in some subtypes, targeted therapy often used in a
sequential strategy constitutes the treatment of election. Despite important
advances in the understanding of the pathophysiology of the disease, the advances
achieved in therapeutic results may be deemed still insufficient. Moreover, due
to the rarity and complexity of the disease, the results in clinical practice are
not always optimal. For this reason, the Spanish Group for Research on Sarcoma
(GEIS) has developed a multidisciplinary clinical practice guidelines document,
with the aim of facilitating the diagnosis and treatment of these patients in
Spain. In the document, each practical recommendation is accompanied by level of
evidence and grade of recommendation on the basis of the available data.
PMID- 26563257
TI - A multicenter prospective phase II trial of neoadjuvant epirubicin,
cyclophosphamide, and 5-fluorouracil (FEC100) followed by cisplatin-docetaxel
with or without trastuzumab in locally advanced breast cancer.
AB - PURPOSE: To evaluate the efficacy and safety profile of the (FEC100) followed by
cisplatin/docetaxel with and without trastuzumab as primary chemotherapy for
patients with locally advanced breast cancer (LABC). METHODS: Eighty patients
with LABC (T2-T4, N0-N2, M0) were enrolled to receive 24 weeks of neoadjuvant
chemotherapy using epirubicin, cyclophosphamide, and 5-fluorouracil (FEC100)
followed by cisplatin and docetaxel, plus trastuzumab if HER2 positive. The
primary endpoint was pathologic complete response (pCR) in breast and axilla in
separate HER2-negative and HER2-positive cohort. RESULTS: Eighty patients were
evaluable for analysis of which 51 were HER2 negative and 29 HER2 positive:
median age: 43 years, premenopausal: 82%, median tumor size: 7.0 cm (4-10), stage
IIB: 25% and IIIA/IIIB: 75%, both ER/PR positive: 56%, HER2 positive (3+) by IHC
staining: 36%. Clinical complete response was seen in 48%, and clinical partial
response was seen in 52%. Overall the pathologic complete response (pCR) was 36%
in breast, 64 % in axilla, and 32% in both breast and axilla. Analysis of pCR in
breast and axilla, as a function of the hormonal receptor (HR) and HER2, was as
follows: HR(+)/HER2(-): 11%; HR(+)/HER(+): 56 %; HR(-)/HER2(-): 36%; HR(
)/HER2(+): 62%. CONCLUSION: In this series of locally advanced breast cancer, the
combination of (FEC100) followed by cisplatin/docetaxel with and without
trastuzumab was very active obtaining an impressive rate of pCR, particularly in
HER2-positive and triple negative disease, which merits further investigation.
PMID- 26563258
TI - Antimitotic drugs in the treatment of cancer.
AB - Cancer is a complex disease since it is adaptive in such a way that it can
promote proliferation and invasion by means of an overactive cell cycle and in
turn cellular division which is targeted by antimitotic drugs that are highly
validated chemotherapy agents. However, antimitotic drug cytotoxicity to non
tumorigenic cells and multiple cancer resistance developed in response to drugs
such as taxanes and vinca alkaloids are obstacles faced in both the clinical and
basic research field to date. In this review, the classes of antimitotic
compounds, their mechanisms of action and cancer cell resistance to chemotherapy
and other limitations of current antimitotic compounds are highlighted, as well
as the potential of novel 17-beta estradiol analogs as cancer treatment.
PMID- 26563259
TI - Diagnosis and management of menopause: summary of NICE guidance.
PMID- 26563261
TI - Varenicline and Suicide: Reconsidered and Reconciled.
PMID- 26563260
TI - Fine-grained information extraction from German transthoracic echocardiography
reports.
AB - BACKGROUND: Information extraction techniques that get structured representations
out of unstructured data make a large amount of clinically relevant information
about patients accessible for semantic applications. These methods typically rely
on standardized terminologies that guide this process. Many languages and
clinical domains, however, lack appropriate resources and tools, as well as
evaluations of their applications, especially if detailed conceptualizations of
the domain are required. For instance, German transthoracic echocardiography
reports have not been targeted sufficiently before, despite of their importance
for clinical trials. This work therefore aimed at development and evaluation of
an information extraction component with a fine-grained terminology that enables
to recognize almost all relevant information stated in German transthoracic
echocardiography reports at the University Hospital of Wurzburg. METHODS: A
domain expert validated and iteratively refined an automatically inferred base
terminology. The terminology was used by an ontology-driven information
extraction system that outputs attribute value pairs. The final component has
been mapped to the central elements of a standardized terminology, and it has
been evaluated according to documents with different layouts. RESULTS: The final
system achieved state-of-the-art precision (micro average.996) and recall (micro
average.961) on 100 test documents that represent more than 90 % of all reports.
In particular, principal aspects as defined in a standardized external
terminology were recognized with f 1=.989 (micro average) and f 1=.963 (macro
average). As a result of keyword matching and restraint concept extraction, the
system obtained high precision also on unstructured or exceptionally short
documents, and documents with uncommon layout. CONCLUSIONS: The developed
terminology and the proposed information extraction system allow to extract fine
grained information from German semi-structured transthoracic echocardiography
reports with very high precision and high recall on the majority of documents at
the University Hospital of Wurzburg. Extracted results populate a clinical data
warehouse which supports clinical research.
PMID- 26563262
TI - Adverse Effects of Electronic Cigarette Use: A Concept Mapping Approach.
AB - INTRODUCTION: Electronic cigarette (ECIG) use has grown rapidly in popularity
within a short period of time. As ECIG products continue to evolve and more
individuals begin using ECIGs, it is important to understand the potential
adverse effects that are associated with ECIG use. The purpose of this study was
to examine and describe the acute adverse effects associated with ECIG use.
METHODS: This study used an integrated, mixed-method participatory approach
called concept mapping (CM). Experienced ECIG users (n = 85) provided statements
that answered the focus prompt "A specific negative or unpleasant effect (ie,
physical or psychological) that I have experienced either during or immediately
after using an electronic cigarette device is..." in an online program.
Participants sorted these statements into piles of common themes and rated each
statement. Using multidimensional scaling and hierarchical cluster analysis, a
concept map of the adverse effects statements was created. RESULTS: Participants
generated 79 statements that completed the focus prompt and were retained by
researchers. Analysis generated a map containing five clusters that characterized
perceived adverse effects of ECIG use: Stigma, Worry/Guilt, Addiction Signs,
Physical Effects, and Device/Vapor Problems. CONCLUSIONS: ECIG use is associated
with adverse effects that should be monitored as ECIGs continue to grow in
popularity. If ECIGs are to be regulated, policies should be created that
minimize the likelihood of user identified adverse effects. IMPLICATIONS: This
article provides a list of adverse effects reported by experienced ECIG users.
This article organizes these effects into a conceptual model that may be useful
for better understanding the adverse outcomes associated with ECIG use. These
identified adverse effects may be useful for health professionals and policy
makers. Health professionals should be aware of potential negative health effects
that may be associated with ECIG use and policy makers could design ECIG
regulations that minimize the risk of the adverse effects reported by ECIG users
in this study.
PMID- 26563263
TI - Reversion of malignant phenotypes of human glioblastoma cells by beta-elemene
through beta-catenin-mediated regulation of stemness-, differentiation- and
epithelial-to-mesenchymal transition-related molecules.
AB - BACKGROUND: Glioblastoma is the most common and lethal type of primary brain
tumor. beta-Elemene, a natural plant drug extracted from Curcuma wenyujin, has
shown strong anti-tumor effects in various tumors with low toxicity. However, the
effects of beta-elemene on malignant phenotypes of human glioblastoma cells
remain to be elucidated. Here we evaluated the effects of beta-elemene on cell
proliferation, survival, stemness, differentiation and the epithelial-to
mesenchymal transition (EMT) in vitro and in vivo, and investigated the
mechanisms underlying these effects. METHODS: Human primary and U87 glioblastoma
cells were treated with beta-elemene, cell viability was measured using a cell
counting kit-8 assay, and treated cells were evaluated by flow cytometry. Western
blot analysis was carried out to determine the expression levels of stemness
markers, differentiation-related molecules and EMT-related effectors. Transwell
assays were performed to further determine EMT of glioblastoma cells. To evaluate
the effect of beta-elemene on glioblastoma in vivo, we subcutaneously injected
glioblastoma cells into the flank of nude mice and then intraperitoneally
injected NaCl or beta-elemene. The tumor xenograft volumes were measured every 3
days and the expression of stemness-, differentiation- and EMT-related effectors
was determined by Western blot assays in xenografts. RESULTS: beta-Elemene
inhibited proliferation, promoted apoptosis, impaired invasiveness in
glioblastoma cells and suppressed the growth of animal xenografts. The expression
levels of the stemness markers CD133 and ATP-binding cassette subfamily G member
2 as well as the mesenchymal markers N-cadherin and beta-catenin were
significantly downregulated, whereas the expression levels of the differentiation
related effectors glial fibrillary acidic protein, Notch1, and sonic hedgehog as
well as the epithelial marker E-cadherin were upregulated by beta-elemene in
vitro and in vivo. Interestingly, the expression of vimentin was increased by
beta-elemene in vitro; this result was opposite that for the in vivo procedure.
Inhibiting beta-catenin enhanced the anti-proliferative, EMT-inhibitory and
specific marker expression-regulatory effects of beta-elemene. CONCLUSIONS: beta
Elemene reversed malignant phenotypes of human glioblastoma cells through beta
catenin-involved regulation of stemness-, differentiation- and EMT-related
molecules. beta-Elemene represents a potentially valuable agent for glioblastoma
therapy.
PMID- 26563265
TI - In vivo assessment of optical properties of melanocytic skin lesions and
differentiation of melanoma from non-malignant lesions by high-definition optical
coherence tomography.
AB - One of the most challenging problems in clinical dermatology is the early
detection of melanoma. Reflectance confocal microscopy (RCM) is an added tool to
dermoscopy improving considerably diagnostic accuracy. However, diagnosis
strongly depends on the experience of physicians. High-definition optical
coherence tomography (HD-OCT) appears to offer additional structural and cellular
information on melanocytic lesions complementary to that of RCM. However, the
diagnostic potential of HD-OCT seems to be not high enough for ruling out the
diagnosis of melanoma if based on morphology analysis. The aim of this paper is
first to quantify in vivo optical properties such as light attenuation in
melanocytic lesions by HD-OCT. The second objective is to determine the best
critical value of these optical properties for melanoma diagnosis. The technique
of semi-log plot whereby an exponential function becomes a straight line has been
implemented on HD-OCT signals coming from four successive skin layers (epidermis,
upper papillary dermis, deeper papillary dermis and superficial reticular
dermis). This permitted the HD-OCT in vivo measurement of skin entrance signal
(SES), relative attenuation factor normalized for the skin entrance signal (u
raf1) and half value layer (z 1/2). The diagnostic accuracy of HD-OCT for
melanoma detection based on the optical properties, u raf1 , SES and z 1/2 was
high (95.6, 82.2 and 88.9 %, respectively). High negative predictive values could
be found for these optical properties (96.7, 89.3 and 96.3 %, respectively)
compared to morphologic assessment alone (89.9 %), reducing the risk of
mistreating a malignant lesion to a more acceptable level (3.3 % instead of 11.1
%). HD-OCT seems to enable the combination of in vivo morphological analysis of
cellular and 3-D micro-architectural structures with in vivo analysis of optical
properties of tissue scatterers in melanocytic lesions. In vivo HD-OCT analysis
of optical properties permits melanoma diagnosis with higher accuracy than in
vivo HD-OCT analysis of morphology alone.
PMID- 26563266
TI - Effect of Ag Templates on the Formation of Au-Ag Hollow/Core-Shell
Nanostructures.
AB - Au-Ag alloy nanostructures with various shapes were synthesized using a
successive reduction method in this study. By means of galvanic replacement,
twined Ag nanoparticles (NPs) and single-crystalline Ag nanowires (NWs) were
adopted as templates, respectively, and alloyed with the same amount of Au(+)
ions. High angle annular dark field-scanning TEM (HAADF-STEM) images observed
from different rotation angles confirm that Ag NPs turned into AuAg alloy rings
with an Au/Ag ratio of 1. The shifts of surface plasmon resonance and chemical
composition reveal the evolution of the alloy ring formation. On the other hand,
single-crystalline Ag NWs became Ag@AuAg core-shell wires instead of hollow
nanostructure through a process of galvanic replacement. It is proposed that in
addition to the ratio of Ag templates and Au ion additives, the twin boundaries
of the Ag templates were the dominating factor causing hollow alloy
nanostructures.
PMID- 26563267
TI - Neurosurgical education in Europe.
PMID- 26563268
TI - Trends in Evidence-Based Lifestyle Interventions Directed at Obese and Overweight
Adult Latinos in the US: A Systematic Review of the Literature.
AB - The increasing prevalence of obesity in the United States (US) is well documented
and presents a significant challenge for healthcare providers working with under
resourced communities that often face great obstacles to health-related weight
loss. Specifically, it has been reported that obesity disproportionately affects
US Latino communities. Yet, little is known about what obesity lifestyle
interventions currently exist. Healthcare professionals working in predominantly
Latino communities might be interested in learning about the designs and outcomes
of existing lifestyle interventions that have been specifically tailored for
Latino communities. Here, we report the results of a systematic review of obesity
lifestyle interventions targeting Latino adults. We examine the designs and
outcomes of the nine articles that met our inclusion criteria. All the studies
had physical activity and/or nutritional education components, measurements of
both crude weight loss and body mass index (BMI), and some used culturally
relevant intervention designs. Two of the nine studies reported significant
between-group differences in BMI. Significant barriers between studies include
small sample size, low retention rate, enrollment, low adherence, differences in
control group activities, and differences in outcomes measured. We recommend that
future obesity interventions select and report BMI, raw weight, and body fat
percentage as outcome variables and that multiple measurements over multiple days
be recorded for pre- and post-intervention data points.
PMID- 26563269
TI - Effect of selective anthelmintic treatments on health and production parameters
in Pelibuey ewes during lactation.
AB - A study was conducted from December to April 2013, with the aim of evaluating a
system of selective antiparasitic treatments using the FAMACHA(c) color chart
compared with a conventional suppressive deworming system every 30 days in
Pelibuey ewes during lactation. For the study, 54 ewes were used. They were
randomly divided into two groups: FAMACHA and chemical treatments. The ewes in
the first group received selective treatment depending on the ocular mucosa
coloration (FAMACHA) and body condition score (BCS), while in the second group
(chemical) all the animals remained under routine deworming every 30 days. Fecal
nematode egg counts, proportion of third-stage trichostrongylid larvae, body
condition, coloration of the ocular mucosa, and packed cell volume in the ewes
were determined, while in lambs only body weight (BW) was recorded. No
significant differences (p > 0.05) were observed in any of the studied variables
between groups; however, the use of antiparasitic drugs was reduced during the
experimental period in the FAMACHA group and no deaths of lambs or ewes were
recorded. The results indicate that during the lactation of ewes, a strategy of
selective treatments can be implemented without showing deterioration in major
health and productive parameters of these animals.
PMID- 26563270
TI - Quantitative analysis of risk factors associated with brucellosis in livestock in
the Katavi-Rukwa ecosystem, Tanzania.
AB - Brucellosis is a neglected contagious bacterial disease of public health and
economic importance. Nevertheless, its spread is not well known to many livestock
farmers. Unmatched case control study was carried out to identify risk factors
associated with brucellosis in cattle and goats at the herd level in Mpanda,
Mlele and Nsimbo districts of Katavi region, in Tanzania between September 2012
and July 2013. A total of 138 adult respondents were selected randomly for the
interview using a structured questionnaire. The criterion for inclusion was to
have at least one Brucella-positive animal in the herd while the control was
chosen from among the herds which these animals tested negative. The presence of
seropositive herds were statistically linked (P < 0.1) by univariate analysis
with such variables as lack of formal education among the herders; slaughtering
of cattle or goats in the household; the history of occurrence of abortion in the
herd; and the history of occurrence of retained foetal membranes in the herd
following parturition. However, in the multivariate analysis, lack of formal
education among the herders and the previous history of occurrence of retained
foetal membrane in the herd following parturition were significantly associated
with Brucella seropositive (P < 0.05). This study suggests the need for raising
community awareness on the risk factors associated with the transmission of
brucellosis between animals and humans and the implementation of disease
prevention and control programmes.
PMID- 26563271
TI - Sero-positivity and associated risk factors for contagious bovine pleuropneumonia
under two cattle production systems in North Central Nigeria.
AB - A cross-sectional survey of 765 cattle in 125 nomadic and 375 cattle in 125
sedentary herds was conducted to investigate prevalence and risk factors for
contagious bovine pleuropneumonia (CBPP) in the two production systems of Niger
State in North Central Nigeria, between January and August 2013. Data on herd
characteristics were collected using structured questionnaires administered on
herd owners. Serological analysis was conducted using competitive enzyme linked
immunosorbent assay (c-ELISA) test. Descriptive, univariate, and multivariate
statistical analyses were conducted with OpenEpi version 2.3.1 software.
Statistical significance was held at P < 0.05. CBPP sero-prevalence in nomadic
cattle was 16.2 % (confidence interval (CI) 13.7-19.0) and 9.6 % (CI 6.9-12.9) in
sedentary cattle. The overall cattle-level sero-prevalence for two the cattle
production systems was 14.0 % (CI 12.1-16.1). Age and agro-ecological zones were
significantly (P < 0.001 and P < 0.001, respectively) associated with sero
positivity to Mmm in nomadic production. Agro-ecological zone C had the highest
sero-prevalence (25.3 %, CI 20.2-31.0). No significant cattle factors were
detected in sedentary production. Factors significantly associated with CBPP
occurrence at herd-level were contacts with other herds during grazing (P <
0.001) and at watering points (P < 0.001). Others were introduction of new cattle
into herd (P < 0.001), outbreaks of CBPP in an area (P < 0.001), socio-cultural
factors of cattle gifts and dowry payment (P < 0.001), herd composition of
keeping cattle and small ruminants together (P < 0.001), and long trekking during
migrations (P = 0.0009). This study had shown the burden of CBPP in the two
production systems. Sero-diagnosis and risk factor identification should be
institutionalized as elements of epidemio-surveillance and control strategies for
CBPP, especially in resource-poor pastoralists' settlements in Nigeria.
PMID- 26563272
TI - Effect of feeding Neem (Azadirachta indica) and Acacia (Acacia senegal) tree
foliage on nutritional and carcass parameters in short-eared Somali goats.
AB - The study was conducted to determine the effects of dried foliage of Acacia
senegal and Neem (Azadirachta indica) tree supplementations on feed intake,
nutrient digestibility, growth, and carcass parameters in short-eared Somali
goats. Twenty male intact short-eared Somali goat yearlings with an average live
weight of 16.2 +/- 1.08 (Mean +/- SD) were assigned to four treatment groups,
which comprised a basal diet of hay alone (T1) and supplementation with the tree
foliages. Supplements consisted Neem tree (T2), A. senegal (T3) and the mixture
of the two (1:1 ratio; T4) dried foliages. The crude protein (CP) content of Neem
tree foliage, A. senegal, and their mixture were 16.92, 17.5 and 17.01 % of dry
matter (DM), respectively. Total DM intake and digestibility of DM and organic
matter were significantly (P < 0.001) higher for the supplemented groups. CP
digestibility was significantly higher (P < 0.01) for goats supplemented with
Neem tree (72 %) and A. senegal (67 %). The final body weights were higher (P <
0.05) for the goats supplemented with A. Senegal. An average daily body weight
(BW) gain was higher (P < 0.01) in supplemented groups. The hot carcass weight
was higher in the group supplemented with A. senegal (8.3 kg) among the
supplemented groups, all of which are higher than the control (4.9 kg). It is
concluded that the supplementation with tree foliage, especially with A. senegal
tree foliage, on grass hay encouraged a better utilization of nutrients and
animal performance as compared to goats fed on a basal diet of grass hay only.
PMID- 26563273
TI - Characterization of Escherichia coli isolated from calf diarrhea in and around
Kombolcha, South Wollo, Amhara Region, Ethiopia.
AB - This study was carried out from October 2012 to end of February 2013 in and
around Kombolcha, Amhara regional state, Ethiopia, using a total of 201 neonatal
calves aged 1 day to 4 months and suffering from diarrhea. The objectives of the
study were to isolate Escherichia coli from diarrheic calves, and to determine E.
coli biotypes and risk factors associated with its isolation. The fecal samples
were collected, transported, and processed following standard microbiological
procedures. Seventy-four isolates of E. coli were identified. Yellowish diarrhea,
younger age, and low-colostrum feeding were significantly associated with rate of
E. coli isolation (P < 0.05). Then the 74 isolates of E. coli were biotyped using
fermentation of 9 sugars and grouped into 12 biotypes; the most dominant was
biotype III (36.8 %). Finally, by comparing with studies elsewhere, from the 12
isolated biotypes, 3 of them were suggested to be enteropathogenic E. coli
(EPEC), entherotoxigenic E. coli (ETEC), and adhesion and effacing E. coli (AEEC)
pathogenic strains. The present study showed that E. coli accounted for 37 % of
calf diarrhea, with very diverse biotypes.
PMID- 26563274
TI - Detoxified castor meal in substitution of soybean meal in sheep diet: growth
performance, carcass characteristics and meat yield.
AB - The aim of this study was to evaluate the intake, digestibility, performance, and
carcass characteristics of lambs fed different levels of replacement (0, 15, 30,
and 45 % based on dry matter, DM) of soybean meal (SM) by detoxified castor meal
(DCM). Twenty-four and 32 intact hair lambs of nondescript breed (21.7 +/- 2.6 kg
of initial average body weight and approximately 10 months old) were used,
respectively, in the intake and digestibility and performance experiments. The
diets were composed of buffel grass hay, ground corn grain, and different levels
of SM, DCM, and urea, in a roughage-to-concentrate ratio of 40:60. There was no
effect of treatments on DM intake. However, crude protein (CP) and neutral
detergent fiber (NDF) intakes were higher at 30 and 45 % than at 0 and 15 % of
DCM, which in turn showed higher intake of non-fiber carbohydrates (NFC) (P <
0.05). The organic matter, CP, and NDF digestibilities were not affected, but the
digestibility of NFC was lower at 30 and 45 % than at 0 % of DCM (P < 0.05). The
average daily gain, feed conversion, slaughter and carcass weights, chilling
losses, ribeye area, and absolute values and yields of neck, ribs, loin, and leg
were not affected. However, the carcass yield was lower at 45 % of DCM and the
absolute value of shoulder was lower at 30 and 45 % of DCM (P < 0.05). The
replacement of SM by DCM up to 45 % in the feed of lambs did not negatively
affect the intake, digestibility, performance, and main carcass features.
PMID- 26563275
TI - Novel SNPs in HSPB8 gene and their association with heat tolerance traits in
Sahiwal indigenous cattle.
AB - Heat shock proteins (HSPs) are expressed in response to heat stress, and the
polymorphism in HSP genes at single-nucleotide level has been reported to be
associated with heat tolerance and production performance traits in cattle. HSPB8
gene has been mapped on Bos taurus autosome 17 (BTA-17) spanning nearly 13,252 bp
and comprising three exons and two introns. The present study was conducted in
Sahiwal cows (n = 108) reared in subtropical climate with the objectives to
identify SNPs in all three exons and part of intron 1 of HSPB8 gene and to
analyze their association with heat tolerance traits in Sahiwal cows. Respiration
rate (RR) and rectal temperature (RT) were recorded once during probable extreme
hours in different seasons or Temperature-Humidity Index (THI), i.e., winter,
spring, and summer. Heat tolerance coefficient (HTC) was also calculated to check
the adaptability of the animals during the period of heat stress. The comparative
sequence analysis revealed a total two single-nucleotide polymorphisms (SNPs),
i.e., g.507G>A in exon 1 and g.881T>C in intron 1 of HSPB8 gene. Out of these two
identified SNPs, only one SNP, i.e., g.507G>A, was found to be significantly
associated with heat tolerance indicator traits (RR, RT, and HTC) in Sahiwal
cows. The perusal of results across different seasons showed the significant (P <
0.01) difference in RR, RT, and HTC between winter, spring, and summer seasons.
RR, RT, and HTC were found to be significantly lower (P < 0.01) in GA as compared
to GG genotype of g.507G>A SNP of HSPB8 gene. However, in case of another SNP,
i.e., g.881T>C, located on intron 1, the RR, RT, and HTC were having non
significant association with the different genotypes, i.e., TT and TC. These
findings may partly suggest that GA genotype of SNP g.507G>A of HSPB8 gene has a
probable role in heat tolerance in Sahiwal cattle and can therefore be utilized
as a marker in propagation of thermo-tolerance cattle in hot tropical and
subtropical climate. Nevertheless, the involvement of other regulatory mechanisms
cannot be overruled.
PMID- 26563276
TI - Image Quality of Coronary Computed Tomography Angiography with 320-Row Area
Detector Computed Tomography in Children with Congenital Heart Disease.
AB - The objective of this study was to assess factors affecting image quality of 320
row computed tomography angiography (CTA) of coronary arteries in children with
congenital heart disease (CHD). We retrospectively reviewed 28 children up to 3
years of age with CHD who underwent prospective electrocardiography (ECG)-gated
320-row CTA with iterative reconstruction. We assessed image quality of proximal
coronary artery segments using a five-point scale. Age, body weight, average
heart rate, and heart rate variability were recorded and compared between two
groups: patients with good diagnostic image quality in all four coronary artery
segments and patients with at least one coronary artery segment with
nondiagnostic image quality. Altogether, 96 of 112 segments (85.7 %) had
diagnostic-quality images. Patients with nondiagnostic segments were
significantly younger (10.0 +/- 11.6 months) and had lower body weight (5.9 +/-
2.9 kg) (each p < 0.05) than patients with diagnostic image quality of all four
segments (20.6 +/- 13.8 months and 8.4 +/- 2.5 kg, respectively; each p < 0.05).
Differences in heart rate and heart rate variability between the two imaging
groups were not significant. Receiver operating characteristic analyses for
predicting patients with nondiagnostic image quality revealed an optimal body
weight cutoff of <=5.6 kg and an optimal age cutoff of <=12.5 months. Prospective
ECG-gated 320-row CTA with iterative reconstruction provided feasible image
quality of coronary arteries in children with CHD. Younger age and lower body
weight were factors that led to poorer image quality of coronary arteries.
PMID- 26563277
TI - The Significance of Accurate Determination of Gleason Score for Therapeutic
Options and Prognosis of Prostate Cancer.
AB - The Gleason score (GS) to date remains one of the most reliable prognostic
predictors in prostate cancer (PCa). However, the majority of studies supporting
its prognostic relevance were performed prior to its modification by the
International Society of Urological Pathology (ISUP) in 2005. Furthermore, the
combination of Gleason grading and nuclear/nucleolar subgrading (Helpap score)
has been shown to essentially improve grading concordance between biopsy and
radical prostatectomy (RP) specimens. This prompted us to investigate the
modified GS and combigrading (Gleason/Helpap score) in association with
clinicopathological features, biochemical recurrence (BCR), and survival. Core
needle biopsies and corresponding RP specimens from 580 patients diagnosed with
PCa between 2005 and 2010 were evaluated. According to the modified GS, the
comparison between biopsy and RP samples resulted in an upgrading from GS 6 to GS
7a and GS 7b in 65% and 19%, respectively. Combigrading further resulted in an
upgrading from low grade (GS 6/2a) to intermediate grade PCa (GS 6/2b) in 11.1%
and from intermediate grade (GS 6/2b) to high grade PCa (GS 7b/2b) in 22.6%.
Overall, well-differentiated PCa (GS 6/2a) was detected in 2.8% of RP specimens,
while intermediate grade (GS 6/2b and GS 7a/2b) and high grade cancers (>= GS 7b)
accounted for 39.5% and 57.4% of cases, respectively. At a mean follow-up of 3.9
years, BCR was observed in 17.6% of patients with intermediate (9.8%) or high
grade PCa (30.2%), while PSA relapse did not occur in GS 6/2a PCa. In conclusion,
adding nuclear/nucleolar subgrading to the modified GS allowed for a more
accurate distinction between low and intermediate grade PCa, therefore offering a
valuable tool for the identification of patients eligible for active surveillance
(AS).
PMID- 26563278
TI - ADRA2A Germline Gene Polymorphism is Associated to the Severity, but not to the
Risk, of Breast Cancer.
AB - Breast cancer (BC) prognosis and risk were associated to obesity, metabolic
syndrome and type 2 diabetes mellitus. Two Single Nucleotide Polymorphisms (SNPs)
of the adrenergic receptor-2a gene (ADRA2A): rs1800544 and rs553668, have been
associated to these metabolic disorders. We investigated these SNPs in BC risk
and prognosis. A total of 102 BC patients and 102 healthy controls were included.
The rs1800544 and rs553668 were determined by real-time PCR. Genotypes and
haplotypes frequencies between patients and controls, and for different clinico
pathologic parameters were compared. We found a significant association of
rs1800544 GG genotype with young age at diagnosis, premenopausal status, higher
tumor size, metastasis in lymph nodes, advanced TNM stages and higher Nottingham
Prognosis Indicator (NPI) (p < 0.05). There was no association between rs1800544
and SBR stages, Her2, ER and PR statuses and the molecular classification. The
rs553668 AA genotype was associated to young age at diagnosis and premenopausal
status (p < 0.05). The haplotype GA was associated to the early age of diagnosis
(p = 0.03), and the haplotype GG to higher tumor size, lymph node involvement,
advanced TNM stages and Her2 positive status (p < 0.05). There was no
polymorphism or haplotype association with BC risk (p > 0.05). ADRA2A
polymorphism is associated with indicators BC poor prognosis but not with BC
susceptibility. This is the first report suggesting that ADRA2A germline gene
polymorphism could represent a predictor factor for BC outcome. Further
investigation of other ADRA2A polymorphisms in BC risk or prognosis are needed
and may lead to a genotype-based therapy.
PMID- 26563279
TI - High Expression of Neuropilin-1 Associates with Unfavorable Clinicopathological
Features in Hepatocellular Carcinoma.
AB - As a co-receptor for vascular endothelial growth factor (VEGF), Neuropilin-1 (NRP
1) plays an important role in angiogenesis and malignant progression of many
human cancers. However, the role of NRP-1 in hepatocellular carcinoma (HCC) is
not well understood. The study aimed to detected the expression of Neuropilin-1
in HCC and investigate the association between its expression and the
clinicopathological characteristics and prognosis of HCC. Quantitative real-time
PCR (qRT-PCR), Western blot, Immunofluorescence and immunohistochemistry (IHC)
analyses were performed to characterize the expression of NRP-1 in HCC cell lines
and tissues. The association of NRP-1 expression with the clinicopathological
characteristics and the prognosis was subsequently assessed. qRT-PCR and Western
blot assays revealed that the expression of NRP-1 in HCC was significantly
increased relative to that of normal live cells and tissues (P < 0.05,and <0.001,
respectively). In addition, high expression of NRP-1 was significantly associated
with intrahepatic metastasis (P = 0.036), Edmondson grade (P = 0.007), TNM
classification (P = 0.0031), and portal vein invasion (P = 0.004). Furthermore,
the HCC patients with high NRP-1 expression had shorter overall survival (OS),
and recurrence-free survival (RFS), whereas, patients with low NRP-1 expression
had better OS and RFS (P = 0.0035, and 0.0048, respectively). These data indicate
that NRP-1 expression may play an important role in the progression of HCC, and
that high NRP-1 expression suggests unfavorable clinicopathological
characteristics and survival in HCC patients.
PMID- 26563280
TI - Pharmacokinetics of sarafloxacin in allogynogenetic silver crucian carp,
Carassius auratus gibelio.
AB - The pharmacokinetic properties of sarafloxacin were investigated after single
intravenous (i.v.) and oral (p.o.) administration of 10 mg/kg body weight (b.w.)
in allogynogenetic silver crucian carp at 24-26 degrees C. The plasma
concentrations of sarafloxacin were determined by high-performance liquid
chromatography. After i.v. administration, the plasma concentration-time data
were described by an open two-compartment model. The elimination half-life
(T(1/2beta)) was estimated to be 22.58 h. The volume of distribution, V(d(area)),
was estimated to be 5.95 L/kg, indicating good tissue penetration of sarafloxacin
in the fish. Area under the concentration-time curve (AUC) and total body
clearance of sarafloxacin were 56.86 ug.h/mL and 0.18 L/h/kg, respectively.
Following p.o. administration, the maximum plasma concentration (C(max)),
T(1/2beta), and AUC of sarafloxacin were 0.79 ug/mL, 46.68 h, and 16.58 ug.h/mL,
respectively. Absorption of the drug was not good with a bioavailability (F) of
29.15%. Based on a minimum inhibitory concentration (MIC) of 0.00625 to 0.045
MUg/mL for susceptible strains, sarafloxacin p.o. administration at a dose 10
mg/kg could be efficacious against common pathogenic bacteria of fish.
PMID- 26563281
TI - Gut melatonin response to microbial infection in carp Catla catla.
AB - The purpose of present study was to demonstrate the response of gut
melatoninergic system to Aeromonas hydrophila infection for 3 or 6 days and
search for its correlation with the activity of different antioxidative and
digestive enzymes to focus their interplay under pathophysiological conditions in
carp (Catla catla). Microscopic study of gut in infected fish revealed
degenerative changes in the tunica mucosa and lamina propria layers with sloughed
off epithelial cells in the lumen. The activity of each digestive enzyme was
reduced, but the levels of melatonin, arylalkylamine-N-acetyl transferase
protein, the key regulator of melatonin biosynthesis, and different enzymatic
antioxidants in gut were gradually and significantly increased with the progress
of infection. Gut melatonin concentrations in A. hydrophila challenged carp by
showing a positive correlation with the activity of each antioxidative enzyme,
and a negative correlation with different digestive enzymes argued in favor of
their functional relation, at least, during pathological stress. Moreover,
parallel changes in the gut and serum melatonin titers indicated possible
contribution of gut to circulating melatonin. Collectively, present carp study
provided the first data to suggest that endogenous gut melatonin may be
implicated to the mechanism of response to microbial infections in any fish
species.
PMID- 26563282
TI - A richly interactive exploratory data analysis and visualization tool using
electronic medical records.
AB - BACKGROUND: Electronic medical records (EMRs) contain vast amounts of data that
is of great interest to physicians, clinical researchers, and medial policy
makers. As the size, complexity, and accessibility of EMRs grow, the ability to
extract meaningful information from them has become an increasingly important
problem to solve. METHODS: We develop a standardized data analysis process to
support cohort study with a focus on a particular disease. We use an interactive
divide-and-conquer approach to classify patients into relatively uniform within
each group. It is a repetitive process enabling the user to divide the data into
homogeneous subsets that can be visually examined, compared, and refined. The
final visualization was driven by the transformed data, and user feedback direct
to the corresponding operators which completed the repetitive process. The output
results are shown in a Sankey diagram-style timeline, which is a particular kind
of flow diagram for showing factors' states and transitions over time. RESULTS:
This paper presented a visually rich, interactive web-based application, which
could enable researchers to study any cohorts over time by using EMR data. The
resulting visualizations help uncover hidden information in the data, compare
differences between patient groups, determine critical factors that influence a
particular disease, and help direct further analyses. We introduced and
demonstrated this tool by using EMRs of 14,567 Chronic Kidney Disease (CKD)
patients. CONCLUSIONS: We developed a visual mining system to support exploratory
data analysis of multi-dimensional categorical EMR data. By using CKD as a model
of disease, it was assembled by automated correlational analysis and human
curated visual evaluation. The visualization methods such as Sankey diagram can
reveal useful knowledge about the particular disease cohort and the trajectories
of the disease over time.
PMID- 26563283
TI - Role of TRP ion channels in physiology and pathology.
PMID- 26563284
TI - Cutaneous immunology: basics and new concepts.
AB - As one of the largest organs, the skin forms a mechanical and immunological
barrier to the environment. The skin immune system harbors cells of the innate
immune system and cells of the adaptive immune system. Signals of the innate
immune system typically initiate skin immune responses, while cells and cytokines
of the adaptive immune system perpetuate the inflammation. Skin immune responses
ensure effective host defense against pathogens but can also cause inflammatory
skin diseases. An extensive crosstalk between the different cell types of the
immune system, tissue cells, and pathogens is responsible for the complexity of
skin immune reactions. Here we summarize the major cellular and molecular
components of the innate and adaptive skin immune system.
PMID- 26563286
TI - Sit to stand in elderly fallers vs non-fallers: new insights from force platform
and electromyography data.
AB - BACKGROUND: The sit-to-stand movement requires balance control and coordination
between the trunk and lower limbs. For these reasons, it is commonly used in
clinics for evaluating lower limb muscle function in the elderly. The aim of the
present study was to point out re levant biomechanical and neurophysiological sit
to-stand parameters allowing comparison between elderly fallers and non-fallers.
METHODS: Ten elderly fallers and thirty non-fallers performed sit-to-stand
movements. Sit-to-stand mechanical (maximal and mean force, impulse) and temporal
parameters were measured in the vertical and anteroposterior axes using force
platforms. Activity of rectus femoris, vastus lateralis, and gastrocnemius
lateralis muscles was bilaterally recorded by surface electromyography. RESULTS:
Time to realize sit-to-stand movements was significantly longer in elderly
fallers compared to non-fallers (p < 0.05). In the same way, maximal vertical
force and mean posterior force applied on force platform were significantly lower
(p < 0.05) in fallers than in non-fallers individual. At muscular activity level,
results showed a main statistical difference in gastrocnemius lateralis muscle
activity patterns between faller and non-faller groups. CONCLUSION: Vertical and
anteroposterior data from force platform, and gastrocnemius lateralis muscle
activity determined during sit-to-stand movement are the most relevant parameters
to differentiate fallers and non-fallers. Moreover, these factors highlight
different strategies to rise from a chair between faller and non-faller group,
suggesting that fallers would constantly adjust their control balance during the
sit-to-stand movement.
PMID- 26563285
TI - Cutaneous dermatomyositis in the era of biologicals.
AB - Dermatomyositis (DM) is a systemic inflammatory condition characterized by
cutaneous and muscle findings, in addition to potential involvement of other
organ systems. A distinct subtype of DM exists that is categorized by cutaneous
findings with absent or minimal muscle involvement, referred to as clinically
amyopathic dermatomyositis or dermatomyositis sine myositis. A variety of
topical, immunosuppressive, and immunomodulatory therapies have been utilized to
treat cutaneous DM. The advent of biological agents including tumor necrosis
factor-alpha antagonists, intravenous immunoglobulin, rituximab, and others has
allowed for the use of these agents with varying degrees of success for the
treatment of cutaneous DM.
PMID- 26563287
TI - Osteosarcopenia is more than sarcopenia and osteopenia alone.
AB - BACKGROUND: Sarcopenia and osteopenia/osteoporosis show a high prevalence in old
age and incur a high risk for falls, fractures, and further functional decline.
Physical performance and bone metabolism in patients suffering from the so-called
osteosarcopenia-the combination of sarcopenia and osteopenia-are currently still
unknown. AIMS: This study investigates physical performance and bone metabolism
in osteosarcopenic, prefrail, community-dwelling older adults. METHODS: 68
prefrail adults between 65 and 94 years were assigned to four groups according to
mean DXA results: osteosarcopenic [low T-score and low appendicular lean mass
(aLM)], sarcopenic (low aLM), osteopenic (low T-score), and controls. Multiple
linear regression analysis, adjusted for age, gender, physical activity, and 25
OH-vitamin D3 serum level, was used to identify the influence of being
osteosarcopenic, sarcopenic, or osteopenic on physical performance (hand grip,
chair rise test, sit-to-stand power, gait speed, SPPB) and serum markers for
increased bone turnover [osteocalcin, beta-crosslaps and procollagen type 1 amino
terminal propeptide (P1NP)]. RESULTS: Only osteosarcopenic participants showed
significantly reduced hand grip strength, increased chair rising time, and STS
power time as well as significantly increased bone turnover markers. DISCUSSION:
Due to low physical performance and high bone turnover, older adults with
osteosarcopenia have to be regarded as the most at-risk population for fractures
and further functional decline. CONCLUSIONS: Up-to-date osteoporosis and post
fracture management of older persons should aim at both, bone and muscle.
PMID- 26563288
TI - US presidential candidates are urged to support open data campaign.
PMID- 26563289
TI - Anterior cruciate ligament reconstruction and service in the British Army.
AB - BACKGROUND: In 2005, British Army recruiting policy was revised to permit
applicants with a history of anterior cruciate ligament reconstruction (ACLR) to
be accepted for an initial period of engagement. AIMS: To determine whether
personnel with an ACLR history are more likely to be medically discharged due to
further injuries and complications than those without. METHODS: A retrospective
study of personnel commissioned or enlisted into the British Army between January
2006 and July 2009. ACLR cases were identified from personnel and medical records
and were age and sex matched to randomly selected controls. Reasons for discharge
were identified. RESULTS: A total of 69 cases and 140 controls were included. A
significant increase in risk of medical discharge was found in those with ACLR
(incidence risk ratio was 3.04; 95% confidence interval 1.24-7.45). Sixty-one per
cent of cases experienced complications linked to their previous surgery.
CONCLUSIONS: The current British Army policy on recruitment of those with a
history of ACLR should be reviewed in terms of fitness for service and risk of
foreseeable harm in these individuals.
PMID- 26563296
TI - The influence of perceived behaviour control, attitude and empowerment on
reported condom use and intention to use condoms among adolescents in rural
Tanzania.
AB - BACKGROUND: Despite the declining trends of Human immunodeficiency virus (HIV)
infection in Sub-Saharan Africa (SSA), unsafe sexual behaviours among adolescents
still represent a public health challenge. It is important to understand factors
acting at different levels to influence sexual behaviour among adolescents. This
study examined the influence of perceived behaviour control, subjective norms,
attitudes and empowerment on intention to use condoms and reported use of condoms
among adolescents in rural Tanzania. METHODS: We used a questionnaire to collect
data from 403 adolescents aged 14 through 19 years from nine randomly selected
secondary schools in the Newala district located in the Southern part of
Tanzania. The self-administered questionnaire collected information on sexual
practices and factors such as attitudes, subjective norms, perceived behaviour
control and empowerment. Binary logistic regression was performed to identify
factors associated with intention to use and reported use of condoms. RESULTS:
Sexually active adolescents constituted 40.6 % of the sample, among them 49.7 %
did not use a condom at last sexual intercourse and 49.8 % had multiple sex
partners. Many (85 %) of sexually active respondents had their sexual debut
between the ages of 14 to 17 years. Girls became sexually active earlier than
boys. Perceived behaviour control predicted intentions to use condoms (AOR =
3.059, 95 % CI 1.324-7.065), thus demonstrating its importance in the decision to
use a condom. Empowerment (odds ratio = 3.694, 95 % CI 1.295-10.535) and a
positive attitude (AOR = 3.484, 95 % CI 1.132-10.72) predicted reported condom
use, thus turning the decision to actions. Subjective norms had only indirect
effects on intention and reported use of condoms. CONCLUSION: The findings
suggest that unsafe sex practices are prevalent among school adolescents in rural
areas of Tanzania. Perceived behaviour control and positive attitudes predict
intensions to use condoms whereas empowerment predicts reported condom use. The
findings may imply that safe sex promotion interventions that simultaneously
address socio-cognitive and ecological determinants of sexual behaviours may
improve adolescents' safe sex behaviours.
PMID- 26563290
TI - Biosynthesis of GPI-anchored proteins: special emphasis on GPI lipid remodeling.
AB - Glycosylphosphatidylinositols (GPIs) act as membrane anchors of many eukaryotic
cell surface proteins. GPIs in various organisms have a common backbone
consisting of ethanolamine phosphate (EtNP), three mannoses (Mans), one non-N
acetylated glucosamine, and inositol phospholipid, whose structure is EtNP
6Manalpha-2Manalpha-6Manalpha-4GlNalpha-6myoinositol-P-lipid. The lipid part is
either phosphatidylinositol of diacyl or 1-alkyl-2-acyl form, or inositol
phosphoceramide. GPIs are attached to proteins via an amide bond between the C
terminal carboxyl group and an amino group of EtNP. Fatty chains of inositol
phospholipids are inserted into the outer leaflet of the plasma membrane. More
than 150 different human proteins are GPI anchored, whose functions include
enzymes, adhesion molecules, receptors, protease inhibitors, transcytotic
transporters, and complement regulators. GPI modification imparts proteins with
unique characteristics, such as association with membrane microdomains or rafts,
transient homodimerization, release from the membrane by cleavage in the GPI
moiety, and apical sorting in polarized cells. GPI anchoring is essential for
mammalian embryogenesis, development, neurogenesis, fertilization, and immune
system. Mutations in genes involved in remodeling of the GPI lipid moiety cause
human diseases characterized by neurological abnormalities. Yeast Saccharomyces
cerevisiae has >60 GPI-anchored proteins (GPI-APs). GPI is essential for growth
of yeast. In this review, we discuss biosynthesis of GPI-APs in mammalian cells
and yeast with emphasis on the lipid moiety.
PMID- 26563298
TI - MR cone-beam CT fusion image overlay for fluoroscopically guided percutaneous
biopsies in pediatric patients.
AB - Lesions only visible on magnetic resonance (MR) imaging cannot easily be targeted
for image-guided biopsy using ultrasound or X-rays but instead require MR
guidance with MR-compatible needles and long procedure times (acquisition of
multiple MR sequences). We developed an alternative method for performing these
difficult biopsies in a standard interventional suite, by fusing MR with cone
beam CT images. The MR cone-beam CT fusion image is then used as an overlay to
guide a biopsy needle to the target area under live fluoroscopic guidance.
Advantages of this technique include (i) the ability for it to be performed in a
conventional interventional suite, (ii) three-dimensional planning of the needle
trajectory using cross-sectional imaging, (iii) real-time fluoroscopic guidance
for needle trajectory correction and (iv) targeting within heterogeneous lesions
based on MR signal characteristics to maximize the potential biopsy yield.
PMID- 26563297
TI - Mapping Purkinje Cell Placement Along the Purkinje Cell Layer: an Analysis of
Postmortem Tissue from Essential Tremor Patients vs. Controls.
AB - Postmortem studies have reported Purkinje cell loss in essential tremor (ET), and
we recently demonstrated a significant increase in the mean distance between
Purkinje cell bodies (i.e., a larger gap length distance) in ET cases vs.
controls, likely reflecting a disease-associated reduction in Purkinje cells. We
now analyze the regularity of distribution of Purkinje cells along the Purkinje
cell layer to determine whether there is greater disorganization in ET cases than
in age-matched controls. A standard parasagittal, formalin-fixed, tissue block
was harvested from the neocerebellum of 50 ET cases and 25 age-matched controls.
The gap length distance (MUm) between Purkinje cells was quantified using a
nearest neighbor analysis in which the distance between each Purkinje cell body
was measured in OpenLAB software, version 5 (Improvision, Waltham, MA) by drawing
a freehand line between adjacent Purkinje cell bodies along the entirety of the
Purkinje cell layer within a given image. We analyzed the subject-specific
variation in the organization of Purkinje cells along the Purkinje cell layer.
The 50 ET cases and 25 controls were similar in age at death, gender, and brain
weight. Overall, greater variation in gap length distance (i.e., more
disorganization) was associated with greater gap length distance (p < 0.001) and
younger age (p = 0.020). However, the variation in the Purkinje cell gap length
distance (i.e., Purkinje cell organization) did not differ in ET cases and
controls (p = 0.330). We observed that the regularity of the distribution of
Purkinje cells along the Purkinje cell layer did not differ between ET cases and
controls. Several alternative biological interpretations for this finding are
discussed.
PMID- 26563299
TI - Recombinant human heterodimeric IL-15 complex displays extensive and reproducible
N- and O-linked glycosylation.
AB - Human interleukin 15 (IL-15) circulates in blood as a stable molecular complex
with the soluble IL-15 receptor alpha (sIL-15Ralpha). This heterodimeric IL
15:sIL-15Ralpha complex (hetIL-15) shows therapeutic potential by promoting the
growth, mobilization and activation of lymphocytes and is currently evaluated in
clinical trials. Favorable pharmacokinetic properties are associated with the
heterodimeric formation and the glycosylation of hetIL-15, which, however,
remains largely uncharacterized. We report the site-specific N- and O
glycosylation of two clinically relevant large-scale preparations of HEK293
derived recombinant human hetIL-15. Intact IL-15 and sIL-15Ralpha and derived
glycans and glycopeptides were separately profiled using multiple LC-MS/MS
strategies. IL-15 Asn79 and sIL-15Ralpha Asn107 carried the same repertoire of
biosynthetically-related N-glycans covering mostly alpha1-6-core-fucosylated and
beta-GlcNAc-terminating complex-type structures. The two potential IL-15 N
glycosylation sites (Asn71 and Asn112) located at the IL-2 receptor interface
were unoccupied. Mass analysis of intact IL-15 confirmed its N-glycosylation and
suggested that Asn79-glycosylation partially prevents Asn77-deamidation. IL-15
contained no O-glycans, whereas sIL-15Ralpha was heavily O-glycosylated with
partially sialylated core 1 and 2-type mono- to hexasaccharides on Thr2, Thr81,
Thr86, Thr156, Ser158, and Ser160. The sialoglycans displayed alpha2-3- and
alpha2-6-NeuAc-type sialylation. Non-human, potentially immunogenic glycoepitopes
(e.g. N-glycolylneuraminic acid and alpha-galactosylation) were not displayed by
hetIL-15. Highly reproducible glycosylation of IL-15 and sIL-15Ralpha of two
batches of hetIL-15 demonstrated consistent manufacturing and purification. In
conclusion, we document the heterogeneous and reproducible N- and O-glycosylation
of large-scale preparations of the therapeutic candidate hetIL-15. Site-specific
mapping of these molecular features is important to evaluate the consistent large
scale production and clinical efficacy of hetIL-15.
PMID- 26563300
TI - The cost of dialysis in low and middle-income countries: a systematic review.
AB - BACKGROUND: The cost of dialysis in low and middle-Income countries has not been
systematically reviewed. The objective of this article is to systematically
review peer-reviewed articles on the cost of dialysis across low and middle
income countries. METHODS: PubMed and Embase databases were searched for the year
1998 to March 2013, and additional studies were added from Google Scholar search.
An article was included if two reviewers agreed that it had reported cost of
dialysis from low and middle-Income countries. RESULTS: The annual cost per
patient for hemodialysis (HD) ranged from Int$ 3,424 to Int$ 42,785, and
peritoneal dialysis (PD) ranged from Int$ 7,974 to Int$ 47,971. Direct medical
cost especially drugs and consumables for HD and dialysis solutions and tubing
for PD were the main cost drivers. CONCLUSION: The number of studies on the
economics of dialysis in low and middle-income countries is limited. Few papers
indicate that dialysis is an expensive form of treatment for the population of
these countries and that the poorer countries have an over-proportional burden to
finance dialysis services. Further research is needed to determine the cost of
dialysis based on a standard methodology grounded on existing economic guidelines
and to address the question whether dialysis should be an element of the
essential package of health in resource-poor countries. Used data should be as
complete as possible. In case of missing data, proxies can be used. In case of
developing countries, expert interviews are often used for estimating missing
information.
PMID- 26563301
TI - Anti-cancer Parasporin Toxins are Associated with Different Environments:
Discovery of Two Novel Parasporin 5-like Genes.
AB - Cry toxins are primarily a family of insecticidal toxins produced by the
bacterium Bacillus thuringiensis (Bt). However, some Cry toxins, called
parasporins (PSs), are non-insecticidal and have been shown to differentially
kill human cancer cells. Based on amino acid homology, there are currently six
different classes of parasporins (PS1-6). It is not known what role parasporins
play in nature, nor if certain PSs are associated with Bt found in particular
environments. Herein, we present ten parasporin-containing isolates of Bt from
the Caribbean island of Trinidad. Genes coding for PS1 and PS6 were found in
isolates associated mainly with artificial aquatic environments (e.g., barrels
with rain water), while Bt possessing two novel PS5-like genes (ps5-1 and ps5-2),
were isolated from manure collected directly from the rectum of cattle. The amino
acid sequences inferred from the two PS5-like genes were 51 % homologous to each
other, while being only 41 or 45 % similar to PS5Aa1/Cry64Aa, the only reported
member of the parasporin five class. The low level of amino acid homology between
the two PS5-like genes and PS5Aa1 indicate that the two PS5-like genes may
represent a new class of parasporins, or greatly expand the level of diversity
within the current parasporin 5 class.
PMID- 26563303
TI - In Vitro Activity of Tigecycline Against Acinetobacter baumannii: Global
Epidemiology and Resistance Mechanisms.
AB - Acinetobacter baumannii is a pathogen of increasing concern, commonly causing
outbreaks in the hospital environment. Of particular concern, A. baumannii
strains exhibiting resistance to carbapenems, which were previously considered
the treatment of choice for infected patients, have dramatically increased
worldwide, leaving a few antibacterial choices. Tigecycline, a broad-spectrum
modified minocycline derivative, isconsidered as a last resort drug against
multidrug-resistant A. baumannii. Though, resistance to tigecycline has emerged
and is growing notably following increasing tigecycline usage. Comparative
evaluation of the tigecycline resistance rates reported worldwide is challenging
due to the absence of official interpretative criteria for in vitro
susceptibility testing and the discrepancies among the different susceptibility
methodologies used, with broth microdilution being considered the reference
method. Tigecycline resistance is mainly associated with resistance-nodulation
cell division (RND)-type transporters, mainly the AdeABC, AdeFGH and AdeIJK
efflux pumps, but other resistance mechanisms have also been implicated.
Tigecycline is still an attractive choice for A. baumannii, but further
investigations are warranted so that treatment of MDR Alpha. baumannii could be
guided by validated in vitro data.
PMID- 26563302
TI - Influence of Culturing Conditions on Bioprospecting and the Antimicrobial
Potential of Endophytic Fungi from Schinus terebinthifolius.
AB - In this study, we analyzed the antimicrobial activity of extracts harvested from
17 endophytic fungi isolated from the medicinal plant Schinus terebinthifolius.
Morphological and molecular analyses indicated that these fungal species belonged
to the genera Alternaria, Bjerkandera, Colletotrichum, Diaporthe, Penicillium,
and Xylaria. Of the endophytes analyzed, 64.7 % produced antimicrobial compounds
under at least one of the fermentation conditions tested. Nine isolates produced
compounds that inhibited growth of Staphylococcus aureus, four produced compounds
that inhibited Candida albicans, and two that inhibited Pseudomonas aeruginosa.
The fermentation conditions of the following endophytes were optimized:
Alternaria sp. Sect. Alternata-LGMF626, Xylaria sp.-LGMF673, and Bjerkandera sp.
LGMF713. Specifically, the carbon and nitrogen sources, initial pH, temperature,
and length of incubation were varied. In general, production of antimicrobial
compounds was greatest when galactose was used as a carbon source, and
acidification of the growth medium enhanced the production of compounds that
inhibited C. albicans. Upon large-scale fermentation, Alternaria sp. Sect.
Alternata-LGMF626 produced an extract containing two fractions that were active
against methicillin-resistant S. aureus. One of the extracts exhibited high
activity (minimum inhibitory concentration of 18.52 ug/mL), and the other
exhibited moderate activity (minimum inhibitory concentration of 55.55 ug/mL).
The compounds E-2-hexyl-cinnamaldehyde and two compounds of the pyrrolopyrazine
alkaloids class were identified in the active fractions by gas chromatography
mass spectrometry.
PMID- 26563304
TI - In Vitro and In Vivo Biofilm Wound Models and Their Application.
AB - Chronic wounds are wounds which are detained in one or more phases of normal
wound healing. It is estimated that 1-2 % of the population of developed
countries will experience a chronic wound during their lifetime and this number
is expected to increase given the growing world population, increase in age, body
mass index and associated diseases such as diabetes and cardiovascular diseases.
Although several factors contribute to wound healing, presence of bacterial
biofilms significantly affects healing and success of wound treatment. This
indicates that wound-care therapies should be directed towards targeting biofilms
within chronic wounds. Despite this, the role of biofilms in chronic wound
pathogenesis and the effect of wound-care therapies against biofilms within
wounds are not well understood. In order to address these issues, appropriate
biofilm models are necessary. To this end, several model systems mimicking the
conditions observed in a biofilm infected chronic wound have been developed. In
this review we present an overview of these different in vitro and in vivo
biofilm wound model systems and discuss their advantages and disadvantages.
PMID- 26563305
TI - Imported and Indigenous cases of Invasive Meningocococcal Disease W:P1.5,2:F1-1:
ST-11 in migrants' reception centers. Italy, June-November 2014.
AB - We report about three unliked cases of meningococcal meningitis caused by the ST
11/ET-37 strain of Neisseria meningitidis serogroup W. Two of the three cases,
detected in Sicily on June and July 2014, were migrants from Mali and Eritrea.
The third case was a fatal meningitis occurred on November 2014 in a 37 years old
man, working in an immigrant center in Calabria. This report suggests that
tetravalent conjugate vaccines (ACYW) should be actively offered to the staff of
migrants' reception centers.
PMID- 26563306
TI - Advances in Microbiology, Infectious Diseases and Public Health: Fungal
Occurrence in the Hair and Skin of Symptomatic Pets in Turin, Italy.
AB - Companion animals, often asymptomatic reservoir of fungi, can be important
sources of infection in humans, due to the close contact with their owners. The
present study was aimed to assess the occurrence of dermatophytes and other fungi
isolated from pet dermatological lesions in Turin, Italy. Dermatological
specimens were examined for fungal elements by direct microscopy and cultured to
detect dermatophytes, other filamentous fungi and yeasts: 247 pets (118 cats, 111
dogs and 18 dwarf rabbits) were positive for fungal detection in culture.
Microsporum canis was the most frequent dermatophyte in cats and dogs, whereas
Trichophyton mentagrophytes was the most common in rabbits. Among the other
fungi, for all examined pets, dematiaceous fungi were the most isolated, followed
by Mucorales, penicilli, yeasts and yeast-like fungi, and aspergilli. No gender
predisposition was detected for dermatophyte growth; on the contrary, for the
other fungi male cats were more susceptible than female. The highest fungal
occurrence was recorded in <1-year-old cats for dermatophytes, and in <5-year-old
cats and dogs for the other fungi. Autumn was the period associated with a
relevant incidence of fungal infection. Finally, fungi were more frequent in non
pure-breed cats and in pure-breed dogs. These data underline the importance to
timely inform pet owners about the potential health risk of infection caused not
only by dermatophytes but also by non-dermatophyte fungi, routinely considered to
be contaminants or harmless colonizers, since their role as source of zoonotic
infections is not to be excluded.
PMID- 26563307
TI - Infectious Agents Associated with Head and Neck Carcinomas.
AB - In addition to traditional risk factors such as smoking habits and alcohol
consumption, certain microbes also play an important role in the generation of
head and neck carcinomas. Infection with high-risk human papillomavirus types is
strongly associated with the development of oropharyngeal carcinoma, and Epstein
Barr virus appears to be indispensable for the development of non-keratinizing
squamous cell carcinoma of the nasopharynx. Other viruses including torque teno
virus and hepatitis C virus may act as co-carcinogens, increasing the risk of
malignant transformation. A shift in the composition of the oral microbiome was
associated with the development of oral squamous cell carcinoma, although the
causal or casual role of oral bacteria remains to be clarified. Conversion of
ethanol to acetaldehyde, a mutagenic compound, by members of the oral microflora
as well as by fungi including Candida albicans and others is a potential
mechanism that may increase oral cancer risk. In addition, distinct Candida spp.
also produce NBMA (N-nitrosobenzylmethylamine), a potent carcinogen. Inflammatory
processes elicited by microbes may also facilitate tumorigenesis in the head and
neck region.
PMID- 26563308
TI - University of Toronto researcher resigns over "systematic" data fraud.
PMID- 26563309
TI - Posterior mitral annuloplasty for enhancing mitral leaflet coaptation: using a
strip designed for placement in the posterior annulus.
AB - BACKGROUND: In patients with mitral valve regurgitation (MR), posterior mitral
annuloplasty (PMA) was performed for mitral valve repair using a strip designed
for placement in the posterior annulus, sparing the anterior annulus and anterior
half of the commissures. METHODS: Between September 2009 and October 2013, we
performed PMA using a novel strip in 74 consecutive patients with MR greater than
3+. Procedures associated with mitral valve repairs were performed in 41 patients
(56.9 %), including new chord placement for leaflet prolapse (n=30), patch
valvuloplasty for posterior chord rupture (n=4), and posterior leaflet
augmentation (n=15). All patients were analyzed by serial echocardiographic
follow-up, and preoperative and postoperative computed tomography was performed
in 10 randomly selected patients. RESULTS: Hospital death occurred in two
patients (2.7 %), and 72 survived patients were completely followed up. At a mean
follow-up of 37.2 +/- 15.0 months, the MR grade was zero or 1+ in 64 patients
(88.9 %), 2+ in 7 patients (9.7 %), and 3+ in one patient (1.4 %). The mean
indexed valve area and mean valve gradient were 1.7 +/- 0.4 cm(2)/m(2) and 3.5 +/
1.2 mmHg, respectively. The mean leaflet coaptation height in early systole was
12.8 +/- 3.5 mm. During the cardiac cycle, the repaired valves exhibited dynamic
changes of 19.5 +/- 9.3 % in the septo-lateral dimensions. No early conversions
to valve replacements or late reoperations occurred. None of the patients with
remnant or recurrent MR experienced hemolysis. CONCLUSIONS: PMA using a novel
strip showed a sufficient coaptation height secondary to reduction of the septo
lateral annular dimensions and dynamic changes in the dimensions. It can be
expected to be an alternative mitral annuloplasty technique with satisfactory
results.
PMID- 26563311
TI - Short Peptide Vaccine Induces CD4+ T Helper Cells in Patients with Different
Solid Cancers.
AB - Previous cancer vaccination trials often aimed to activate CD8(+) cytotoxic T
cell (CTL) responses with short (8-10mer) peptides and targeted CD4(+) helper T
cells (TH) with HLA class II-binding longer peptides (12-16 mer) that were
derived from tumor antigens. Accordingly, a study of immunomonitoring focused on
the detection of CTL responses to the short, and TH responses to the long,
peptides. The possible induction of concurrent TH responses to short peptides was
widely neglected. In a recent phase I vaccination trial, 53 patients with
different solid cancers were vaccinated with EMD640744, a cocktail of five
survivin-derived short (9- or 10-mer) peptides in Montanide ISA 51VG. We
monitored 49 patients and found strong CD8(+) T-cell responses in 63% of the
patients. In addition, we unexpectedly found CD4(+) TH cell responses against at
least two of the five short peptides in 61% (23/38) of the patients analyzed. The
two peptides were recognized by HLA-DP4- and HLA-DR-restricted TH1 cells. Some
short peptide-reactive (sp)CD4 T cells showed high functional avidity. Here, we
show that a short peptide vaccine is able to activate a specific CD4(+) T-cell
repertoire in many patients, facilitating a strong combined CD4(+)/CD8(+) T-cell
response.
PMID- 26563310
TI - Erythropoietin regulates POMC expression via STAT3 and potentiates leptin
response.
AB - The arcuate nucleus of the hypothalamus is essential for metabolic homeostasis
and responds to leptin by producing several neuropeptides including
proopiomelanocortin (POMC). We previously reported that high-dose erythropoietin
(Epo) treatment in mice while increasing hematocrit reduced body weight, fat
mass, and food intake and increased energy expenditure. Moreover, we showed that
mice with Epo receptor (EpoR) restricted to erythroid cells (DeltaEpoRE) became
obese and exhibited decreased energy expenditure. Epo/EpoR signaling was found to
promote hypothalamus POMC expression independently from leptin. Herein we used WT
and DeltaEpoRE mice and hypothalamus-derived neural culture system to study the
signaling pathways activated by Epo in POMC neurons. We show that Epo stimulation
activated STAT3 signaling and upregulated POMC expression in WT neural cultures.
DeltaEpoRE mice hypothalamus showed reduced POMC levels and lower STAT3
phosphorylation, with and without leptin treatment, compared to in vivo and ex
vivo WT controls. Collectively, these data show that Epo regulates hypothalamus
POMC expression via STAT3 activation, and provide a previously unrecognized link
between Epo and leptin response.
PMID- 26563312
TI - Relationship between melatonin receptor 1B (rs10830963 and rs1387153) with
gestational diabetes mellitus: a case-control study and meta-analysis.
AB - PURPOSE: Variants rs10830963 (C/G) and rs1387153 (C/T) in MTNR1B have been shown
with an increased risk of developing type 2 diabetes and gestational diabetes
mellitus. However, the results are still controversial, and evidence was not
satisfied. Hence, a case-control study and a further meta-analysis will be
performed in this study. METHODS: We recruited 674 GDM patients and 690 controls
from Jan 2010 and Jan 2014. The SNPs were genotyped by ABI TaqMan SNP Genotyping
Assays. MTNR1B rs10830963 and rs1387153 single nucleotide polymorphisms (SNPs)
were performed for association analysis. Then a systematic search of all relevant
studies was conducted. A meta-analysis was performed to prove the relationship
between melatonin receptor 1B (rs10830963 and rs1387153) with GDM. RESULTS: The
case-control study presented that G allele of the rs10830963 and T allele of
rs1387153 were significantly associated with increased risk of GDM. The further
meta-analysis included other five studies showed that the frequency of MTNR1B
rs10830963 G allele and rs1387153 T allele are higher in GDM patients.
CONCLUSION: The case-control study proved that the risk allele (G allele) of
rs10830963 and (T allele) of rs1387153 lead to a higher risk for GDM. The further
meta-analysis provides additional evidence supporting the above results. Due to
the limited data currently available in different race population, further
studies with large sample sizes are required.
PMID- 26563313
TI - Comparison of pregnancy rates in PCOS patients undergoing clomiphene citrate and
IUI treatment with different leading follicular sizes.
AB - PURPOSE: The objective of the study was to compare the pregnancy rates in PCOS
patients undergoing clomiphene citrate (CC) and intrauterine insemination (IUI)
treatment with different leading follicular sizes. METHODS: A total of 358
infertile women with PCOS who underwent 563 clomiphene citrate and IUI treatment
cycles were included in this prospective study. Treatment cycles were divided
into three groups according to leading follicular size on the day of hCG
administration: Group I: follicular size 17-18 mm (n = 177), Group II: 19-22 mm
(n = 321), and Group III : >22 mm (n = 65). Pregnancy rates were evaluated.
Treatment outcomes of the groups were further analyzed related to endometrial
thickness measurement on the day of hCG. For this purpose, cycles were placed
into three subgroups as follows: endometrial thickness <7, 8-9, and >9 mm.
RESULTS: There was no statistically significant difference in clinical pregnancy
rate per cycle between the groups (8.5, 10, and 9.2 % for Group I, II, and III,
respectively, p = 0.86). In further analyses related to endometrial thickness, no
significant difference was also found in pregnancy rate among the groups.
CONCLUSION: This results suggest that pregnancy rate is not related to leading
follicle size on the day of hCG administration in PCOS patients treated with CC
and IUI. In addition, pregnancy rate in women with different follicular sizes is
not influenced by the endometrial thickness.
PMID- 26563314
TI - Early fetal growth in progesterone-treated IVF pregnancies.
AB - PURPOSE: The aim of this study was to compare fetal growth in the first and
second trimesters of pregnancy and final birth weights between two groups of
women: (a) spontaneous conceptions with reliable menstrual dates and (b) IVF
pregnancies on progesterone supplementation during the first trimester. METHODS:
We included in the study 73 singleton IVF pregnancies and 138 singleton
spontaneous pregnancies. Exclusion criteria were: medications or presence of
medical conditions affecting fetal growth. Fetal crown-rump length (CRL) at 10 +
1 to 13 + 6 weeks of gestation, and head circumference (HC), biparietal diameter
(BPD), abdominal circumference (AC) and femur length (FL) at 18-24 weeks, were
measured prospectively. The birth weights of the babies born were collected and
compared. Independent sample t test was applied for comparing quantitative
variables with normal distribution, and Wilcoxon-Mann-Whitney test was used for
comparison of quantitative variables without normal distribution. RESULTS: IVF
fetuses on progesterone supplementation had larger CRL measurements when compared
to their counterparts from spontaneous pregnancies (p value = 0.045). Similarly,
in the second trimester, the BPD was significantly larger but HC, AC and FL,
although larger, did not reach statistical significance. The birthweights of
babies between the two groups showed no statistically significant difference,
although some IVF babies were born prematurely. CONCLUSIONS: Enhanced fetal
growth during the first trimester has been observed with progesterone
supplementation in IVF pregnancies. Aspects of enhanced fetal growth were
observed in the second trimester but not at birth. The effect of progesterone
supplementation on fetal growth needs further investigation.
PMID- 26563315
TI - Complete genome sequence of currant latent virus (genus Cheravirus, family
Secoviridae).
AB - The complete nucleotide sequences of RNA1 and RNA2 of the Holandsky cerveny
strain of currant latent virus (CuLV) were determined using next-generation
sequencing. The RNA1 is predicted to encode a polyprotein 2124 amino acid long
with RdRp motifs. The RNA2 is predicted to encode a polyprotein 957 amino acid
long with homology to the capsid protein of apple latent spherical virus and
cherry rasp leaf virus. Phylogenetic analysis confirms that CuLV is a new
distinct member of the genus Cheravirus.
PMID- 26563316
TI - Molecular characterization of coxsackievirus A21 in Shandong, China.
AB - Coxsackievirus A21 (CV-A21) is a rarely detected serotype belonging to the
species Enterovirus C (EV-C). In this study, we report the isolation and genetic
characterization of CV-A21 in Shandong Province, China, during 1997 to 2013. A
total of 13 strains were obtained from surveillance of cases of acute flaccid
paralysis (AFP) (n = 9) and from environmental sewage (n = 4). Sequence
comparison of the VP1 genes revealed high nucleotide sequence similarity (94.1 %
to 99.8 % identity) among these Shandong strains during the period of 17 years
and 75.8 % to 98.5 % sequence identity to foreign strains. Bayesian phylodynamic
evolutionary analysis of Shandong and global CV-A21 VP1 sequences revealed that
the inferred CV-A21 ancestral sequence dated back to 1750 (1643-1841) and evolved
with 2.943 * 10(-3) substitutions per site per year. Alignment of the deduced VP1
amino acid sequences revealed changes that might alter the hydropathicity of the
encoded protein. The complete genome of one strain from 2013 was sequenced and
evidence of recombination was detected by similarity plot and bootscanning
analyses. This study describes the complete genome characterization and molecular
epidemiology of CV-A21 in China and gives further insight into CV-A21 evolution.
PMID- 26563317
TI - Pseudorabies in farmed foxes fed pig offal in Shandong province, China.
AB - Pseudorabies (PR, Aujeszky's disease) is an acute, highly contagious viral
disease resulting in major economic losses to the swine industry. PR is endemic
in wild and domestic animals, although its natural host is the pig. Here, we
report an outbreak of PR in foxes on a fur-producing farm in Yuncheng county,
Shandong, China, that were fed pig offal. The diagnosis of PR was based on
nervous signs and standard PCR methods and by isolation of PRV from fox brain
tissue in Vero cells. The diagnosis was confirmed by an indirect
immunofluorescence assay and electron microscopy. Phylogenetic analysis of a
partial (804 nt) viral glycoprotein gC gene sequence indicated that it was likely
to be a field strain closely related to a cluster of PRV previously identified in
China.
PMID- 26563318
TI - Identification of a conserved linear epitope using a monoclonal antibody against
non-structural protein 3B of foot-and-mouth disease virus.
AB - Foot-and-mouth disease virus (FMDV) is a member of the family Picornaviridae that
has caused severe economic losses in many countries of the world. Regular
vaccinations have been effectively used to control foot-and-mouth disease (FMD)
in countries where the disease is enzootic. Distinguishing between infected and
vaccinated animals in herds after immunization is an important component of
effective eradication strategies. Nonstructural protein (NSP) 3B of FMDV is part
of a larger antigen that is used for this differential diagnosis. In this study,
an FMDV serotype-independent monoclonal antibody (MAb) against NSP 3B, 5D12, was
generated. Using western blot, it was revealed that MAb 5D12 binds to three
fragments of 3B displaying the motifs G(1)PYAGPLERQKPLK(14),
K(18)LPQQEGPYAGPMER(32) and V(45)KEGPYEGPVKKPVA(59). The motif
G(1)PYAGPLERQKPLK(14) was chosen for further mapping. Different truncated motifs
derived from the motif G(1)PYAGPLERQKPLK(14) were expressed as GST-fusion
constructs for western blot analysis. The results showed that the 5-aa peptide
P(2)YAGP(6) was the minimal epitope reactive to MAb 5D12. Subsequent alanine
scanning mutagenesis analysis revealed that Pro(2), Gly(5) and Pro(6) were
crucial for MAb 5D12 binding to P(2)YAGP(6). Furthermore, through sequence
alignment analysis, the epitope PxxGP recognized by 5D12 was found to be present
not only in 3B-1 but also in 3B2 and 3B3 and was highly conserved in seven
serotypes of FMDV strains. Western blot analysis also revealed that the peptide
epitope could be recognized by sera from FMDV-infected pigs and cattle. Thus, the
5D12-recognized 3B epitope identified here provides theoretical support for the
development of MAb 5D12 as a differential diagnosis reagent for FMDV infection.
PMID- 26563319
TI - Characterization of the novel T4-like Salmonella enterica bacteriophage STP4-a
and its endolysin.
AB - While screening for new antimicrobial agents for multidrug-resistant Salmonella
enterica, the novel lytic bacteriophage STP4-a was isolated and characterized.
Phage morphology revealed that STP4-a belongs to the family Myoviridae. Bacterial
challenge assays showed that different serovars of Salmonella enterica were
susceptible to STP4-a infection. The genomic characteristics of STP4-a,
containing 159,914 bp of dsDNA with an average GC content of 36.86 %, were
determined. Furthermore, the endolysin of STP4-a was expressed and characterized.
The novel endolysin, LysSTP4, has hydrolytic activity towards outer-membrane
permeabilized S. enterica and Escherichia coli. These results provide essential
information for the development of novel phage-based biocontrol agents against S.
enterica.
PMID- 26563320
TI - Cell Density Effects of Frog Skin Bacteria on Their Capacity to Inhibit Growth of
the Chytrid Fungus, Batrachochytrium dendrobatidis.
AB - Bacterial symbionts on frog skin can reduce the growth of the chytrid fungus
Batrachochytrium dendrobatidis (Bd) through production of inhibitory metabolites.
Bacteria can be effective at increasing the resistance of amphibians to
chytridiomycosis when added to amphibian skin, and isolates can be screened for
production of metabolites that inhibit Bd growth in vitro. However, some bacteria
use density-dependent mechanism such as quorum sensing to regulate metabolite
production. It is therefore important to consider cell density effects when
evaluating bacteria as possible candidates for bioaugmentation. The aim of our
study was to evaluate how the density of cutaneous bacteria affects their
inhibition of Bd growth in vitro. We sampled cutaneous bacteria isolated from
three frog species in the tropical rainforests of northern Queensland, Australia,
and selected ten isolates that were inhibitory to Bd in standardised pilot
trials. We grew each isolate in liquid culture at a range of initial dilutions,
sub-sampled each dilution at a series of times during the first 48 h of growth
and measured spectrophotometric absorbance values, cell counts and Bd-inhibitory
activity of cell-free supernatants at each time point. The challenge assay
results clearly demonstrated that the inhibitory effects of most isolates were
density dependent, with relatively low variation among isolates in the minimum
cell density needed to inhibit Bd growth. We suggest the use of minimum cell
densities and fast-growing candidate isolates to maximise bioaugmentation
efforts.
PMID- 26563321
TI - Effects of Volcanic Pumice Inputs on Microbial Community Composition and
Dissolved C/P Ratios in Lake Waters: an Experimental Approach.
AB - Volcanic eruptions discharge massive amounts of ash and pumice that decrease
light penetration in lakes and lead to concomitant increases in phosphorus (P)
concentrations and shifts in soluble C/P ratios. The consequences of these sudden
changes for bacteria community composition, metabolism, and enzymatic activity
remain unclear, especially for the dynamic period immediately after pumice
deposition. Thus, the main aim of our study was to determine how ambient
bacterial communities respond to pumice inputs in lakes that differ in dissolved
organic carbon (DOC) and P concentrations and to what extent these responses are
moderated by substrate C/P stoichiometry. We performed an outdoor experiment with
natural lake water from two lakes that differed in dissolved organic carbon (DOC)
concentration. We measured nutrient concentrations, alkaline phosphatase activity
(APA), and DOC consumption rates and assessed different components of bacterial
community structure using next-generation sequencing of the 16S rRNA gene. Pumice
inputs caused a decrease in the C/P ratio of dissolved resources, a decrease in
APA, and an increase in DOC consumption, indicating reduced P limitation. These
changes in bacteria metabolism were coupled with modifications in the assemblage
composition and an increase in diversity, with increases in bacterial taxa
associated with biofilm and sediments, in predatory bacteria, and in bacteria
with gliding motility. Our results confirm that volcanic eruptions have the
potential to alter nutrient partitioning and light penetration in receiving
waterways which can have dramatic impacts on microbial community dynamics.
PMID- 26563322
TI - A perspective on sympathetic renal denervation in chronic congestive heart
failure.
AB - Medical therapy has indisputably been the mainstay of management for chronic
congestive heart failure. However, a significant percentage of patients continue
to experience worsening heart failure (HF) symptoms despite treatment with
multiple therapeutic agents. Recently, catheter-based interventional strategies
that interrupt the renal sympathetic nervous system have shown promising results
in providing better symptom control in patients with HF. In this article, we will
review the pathophysiology of HF for better understanding of the interplay
between the cardiovascular system and the kidney. Subsequently, we will briefly
discuss pivotal renal denervation (RDN) therapy trials in patients with resistant
hypertension and then present the available evidence on the role of RDN in HF
therapy.
PMID- 26563325
TI - Errors in cause-of-death statement on death certificates in intensive care unit
of Kathmandu, Nepal.
AB - BACKGROUND: Death certificates (DC) are one of the most important medico-legal
documents that physicians work through. DCs are extensively used in health
statistics for epidemiological studies, and in health policy planning as a public
health resource tool. Cause-of-death (COD) statement, which is vulnerable to
various errors, is the vital part of a DC that has the potential to mislead the
policy makers and statisticians. Hence, we evaluated and analyzed the errors
prevalent in COD statement of DC. METHODS: A retrospective observational study
was conducted at medical Intensive Care Unit (ICU) of Blue Cross Hospital,
Kathmandu, Nepal within two years of study period. A total of 204 medical records
of the deceased patients were reviewed. Three sub-headings of COD statement of DC
Part I Immediate COD (ICOD), Part I Underlying COD (UCOD), and Part II Other
significant conditions (OSC) were extensively evaluated for the major medical
errors. RESULTS: The study found errors in 78.4 % of DCs. The highest number of
errors was in UCOD (83 %). Most common errors were "Mechanism of Death- terminal
event" in ICOD, "More than one competing causes" in UCOD, and "OSC present but
not listed" in OSC. The error in DC was found to be statistically significant
with the severity of sepsis (p = 0.003), and presence of chronic organ failures
(p = 0.034). Age, time of death, source of admission, and duration of ICU stay
were not found to be statistically associated with the errors in DC. CONCLUSION:
Prevalence of errors in DC was quite high. Most errors were committed in
underlying cause of death, which is the most important part of DC. Complexity of
the cases was the key factor that increased the risks of committing errors.
Specific education should supersede general educational interventions to minimize
the errors considerably in writing DC in complex cases.
PMID- 26563324
TI - Characteristics Relating to Adherence and Persistence to Basal Insulin Regimens
Among Elderly Insulin-Naive Patients with Type 2 Diabetes: Pre-Filled Pens versus
Vials/Syringes.
AB - INTRODUCTION: Previous studies have found higher rates of adherence in patients
with type 2 diabetes mellitus (T2DM) using insulin pens compared to vial and
syringe administration; however, little evidence is available to support this
observation in elderly patients. METHODS: This was a retrospective claims
database analysis of a predominantly elderly Medicare Advantage with Prescription
Drug (MAPD) insurance population consisting of 3172 insulin-naive patients with
T2DM who initiated basal insulin using pre-filled pens or vial and syringe
('vial'). The index date was defined by the first pharmacy claim for basal
insulin. Adherence, measured as proportion of days covered (PDC) and medication
possession ratio (MPR), and persistence were evaluated in a 12-month follow-up
period using an adjusted days' supply. Multivariate regression analyses and a Cox
proportional hazards model were used to identify characteristics associated with
adherence and non-persistence, respectively, and compare findings between the pen
and vial groups. RESULTS: The pen cohort was slightly younger than the vial
cohort (69.4 vs. 70.1 years, respectively; P = 0.0338). Similar proportions of
male patients (53.3% vs. 56.8%; P = 0.0529) occurred in both cohorts, and lower
Deyo-Charlson Comorbidity Index (4.4 vs. 5.0; P < 0.0001) was found for the pen
cohort. Adjusted mean PDC was significantly higher in the pen cohort than the
vial cohort (0.67 vs. 0.50; P < 0.001), as was mean MPR (0.75 vs. 0.57; P <
0.0001). Adjusted odds for adherence (PDC >= 80%) showed a positive association
with use of an insulin pen (odds ratio = 2.19, 95% CI: 1.86-2.59). The adjusted
risk of non-persistence (discontinuation) was significantly lower (58%) in the
pen cohort relative to the vial cohort (hazard ratio = 0.42, 95% CI: 0.38-0.45).
Key limitations include assumptions related to accuracy and comprehensiveness of
claims data, and specifically days' supply data used to measure insulin
adherence. CONCLUSION: These findings suggest that pen devices improved insulin
therapy adherence in a primarily elderly MAPD population with T2DM. FUNDING: Novo
Nordisk Pharmaceuticals, Inc.
PMID- 26563326
TI - [Robert Fischer: 07.02.1930 - 18.08.2015].
PMID- 26563323
TI - Evaluation of the Effect of Latanoprostene Bunod Ophthalmic Solution, 0.024% in
Lowering Intraocular Pressure over 24 h in Healthy Japanese Subjects.
AB - INTRODUCTION: Latanoprostene bunod is a novel nitric oxide (NO)-donating
prostaglandin F2alpha receptor agonist in clinical development for the reduction
of intraocular pressure (IOP) in patients with open-angle glaucoma or ocular
hypertension. We evaluated the effect of latanoprostene bunod 0.024% instilled
once daily (QD) on lowering IOP over a 24-h period in healthy Japanese subjects
following 14 days of treatment. METHODS: This was a single-arm, single-center,
open-label clinical study of 24 healthy Japanese male volunteers. A baseline IOP
profile was established in both eyes in the sitting position at 8 PM, 10 PM, 12
AM, 2 AM, 4 AM, 8 AM, 10 AM, 12 PM, and 4 PM using a Goldmann applanation
tonometer. Subjects subsequently instilled latanoprostene bunod 0.024% QD at 8 PM
for 14 days in both eyes. The absolute and change from baseline in sitting IOP
was assessed on day 14. RESULTS: The mean (SD) age of the subjects was 26.8 (6.3)
years, and mean (SD) baseline IOP was 13.6 (1.3) mmHg in the study eye.
Latanoprostene bunod 0.024% instilled QD for 14 days reduced IOP at all the
evaluated time points (P < 0.001) with a mean (SD) 24-h reduction of 3.6 (0.8)
mmHg or 27% from the baseline in the study eye. Peak and trough IOP lowering
occurred at 8 AM and 8 PM (12 and 24 h following instillation) with a mean
reduction of 4.2 (1.8) mmHg, or 30%, and 2.8 (2.2) mmHg, or 20%, respectively.
Punctate keratitis and ocular hyperemia, both mild in severity, were the most
common adverse events. CONCLUSION: Latanoprostene bunod ophthalmic solution
0.024%, dosed QD for 14 days, significantly lowered mean IOP in healthy Japanese
subjects during the entire 24-h period. Studies of latanoprostene bunod in
patients diagnosed with normal tension glaucoma are warranted. TRIAL
REGISTRATION: Clinicaltrials.gov identifier NCT01895985. FUNDING: Bausch & Lomb,
Inc.
PMID- 26563327
TI - In Utero Diagnosis of Niemann-Pick Type C in the Absence of Family History.
AB - Niemann-Pick type C (NPC) disease is a recessive disorder that results in
unesterified cholesterol accumulating in the lysosomal and late endosomal system.
It is caused by mutations in NPC1 or NPC2 genes and leads to systemic and
neurodegenerative symptoms. Few cases of prenatal presentation of NPC have been
reported and only two cases in the absence of previous family history, indicating
the diagnosis is particularly difficult in such a situation. We report a prenatal
diagnosis of NPC in a couple without family history. An ultrasound screening at
22 weeks of gestation (WG) detected fetal ascites and hepatomegaly, which were
still present at 25, 27, and 29 WG, and a splenomegaly progressively appeared. No
placentomegaly or other signs of hydrops fetalis were observed. The diagnostic of
NPC was prenatally confirmed by a filipin test and NPC1 sequencing and multiplex
ligation-dependent probe amplification assay which revealed a maternal missense
mutation (c.2608T>C; p.Ser870Pro) and a paternal deletion of exons 5 to 25. This
additional prenatal case of NPC suggests that even in the absence of family
history, fetal ascites associated with splenomegaly but no hydrops should
nonetheless arouse suspicion concerning this disease as a possible diagnosis.
PMID- 26563328
TI - In Patients with an alpha-Galactosidase A Variant, Small Nerve Fibre Assessment
Cannot Confirm a Diagnosis of Fabry Disease.
AB - BACKGROUND: Fabry disease (FD) is an X-linked lysosomal storage disorder caused
by an alpha-galactosidase A enzyme deficiency due to pathogenic variants in the
alpha-galactosidase A gene (GLA). An increasing number of individuals with a GLA
variant, but without characteristic FD features, are identified. A definite
diagnosis of FD has important consequences for treatment and counselling.
OBJECTIVES: We assessed the diagnostic value of quantitative sensory testing
(QST) and intraepidermal nerve fibre density (IENFD) for patients with an
uncertain FD diagnosis. METHODS: All patients with a GLA variant who initially
presented at the Academic Medical Center with an uncertain FD diagnosis were
included. A biopsy of an affected organ in a patient or family member showing FD
characteristic storage is used as a reference standard for a diagnosis of FD. All
patients underwent a comprehensive QST protocol and IENFD assessment which was
compared to age and gender-matched healthy controls. Sensitivity and specificity
were calculated for a combination of >=1 abnormal QST modality and an abnormal
IENFD. RESULTS: Twenty-six patients participated (nonclassical FD n = 18, 9
males; no FD n = 5, 3 males; uncertain n = 3, 1 male). Of the patients classified
as nonclassical FD, 28% had >=1 abnormal QST modalities, and 83% had an abnormal
IENFD. From the patients without FD, 20% had >=1 abnormal QST modality, and IENFD
was abnormal in 25% (1 not available). Sensitivity was 28% and specificity 80%.
CONCLUSIONS: In our study cohort, QST and IENFD could not reliably distinguish
patients with FD from those without FD.
PMID- 26563329
TI - Sixty seconds on . . . HRT.
PMID- 26563330
TI - Time, Dose, and Volume Responses in a Mouse Pulmonary Injury Model Following
Ablative Irradiation.
AB - PURPOSE: We aimed to determine the time, dose, and volume responses in a mouse
pulmonary injury model following ablative dose focal irradiation (ADFIR) in order
to better understand normal lung injury. METHODS AND MATERIALS: ADFIR was
administered to the left lung of mice using a small animal micro-irradiator.
Histopathological evaluation and micro-computed tomography (micro-CT) analyses
were performed at 1, 2, 6, and 12 weeks after irradiation. Dose responses were
tested at doses of 0-90 Gy in C57BL/6 and C3H/HeJCr mice at 6 weeks after
irradiation. The volume effect was evaluated with 1-, 3-, and 5-mm diameter
collimators at 1-4 weeks after 90-Gy irradiation. RESULTS: ADFIR caused gross
local lung injury of the inflated lung in just 1 week, with extensive hyaline
material visible in the irradiated area. The fibrosing process was initiated as
early as 2 weeks after irradiation. C3H and C57 mice did not show significant
differences in dose response. Six weeks after irradiation, the radiation dose
response curve had a sigmoidal shape, where the lag, log, and stationary phases
occurred at <40, 50-70, and >80 Gy, respectively. ADFIR induced substantial
volume-dependent structural and functional damage to the lungs, and the volume
changes of lung consolidation on micro-CT correlated inversely with lung fibrosis
over time. CONCLUSIONS: We determined the time, dose, and volume responses in our
established small animal model, and found that lung injury was substantially
accelerated and phenotypically different from that of prior studies using non
ablative hemi-thorax and complete thorax irradiation schemes.
PMID- 26563331
TI - Delayed Administration of WP1066, an STAT3 Inhibitor, Ameliorates Radiation
Induced Lung Injury in Mice.
AB - PURPOSE: The present study was designed to investigate the effects of WP1066, a
specific inhibitor of STAT3 signaling, on radiation-induced lung injury in mice.
METHODS: C57BL/6J mice were subjected to a single thoracic irradiation of 15 Gy X
ray and WP1066 was administrated through intraperitoneal injection. The early and
delayed treatment groups were treated with WP1066 during the first 2 weeks and
the second 2 weeks, respectively. The therapeutic effects of WP1066 were
evaluated by survival analysis, histological examination, and measurement of
inflammatory parameters and collagen deposition. The activation of STAT3 pathway
was also estimated by immunohistochemical staining and Western blotting. RESULTS:
Delayed treatment of WP1066, but not early treatment, prolonged survival time and
prevented the development of radiation pneumonitis and the subsequent lung
fibrosis in mice. WP1066 treatment also significantly suppressed the activation
of STAT3 signaling in the irradiated lung tissues. CONCLUSIONS: The activation of
STAT3 pathway might play an important part in the pathogenesis of radiation
induced lung injury. The protective effects of delayed treatment of WP1066
suggested STAT3 signaling could be a therapeutic target for radiation
pneumonitis.
PMID- 26563332
TI - Diagnostic Utility of Angiotensin-Converting Enzyme in Sarcoidosis: A Population
Based Study.
AB - PURPOSE: Sarcoidosis is a disease with heterogenous clinical presentations.
Diagnosis of sarcoidosis is often challenging with the lack of gold standard
tests. In this study, we investigated the diagnostic utility of angiotensin
converting enzyme (ACE) for diagnosis of sarcoidosis. METHODS: A cohort of
Olmsted County, Minnesota residents who were diagnosed with sarcoidosis between
January 1, 1984 and December 31, 2013 was identified based on individual medical
record review. ACE levels recorded in the medical records of all subjects at the
time of diagnosis were extracted. Comparator subjects were residents of Olmsted
County, Minnesota who had ACE levels tested the same time period but did not have
a diagnosis of sarcoidosis. Sensitivity, specificity, positive predictive value
(PPV), negative predictive value (NPV), and the c-statistic of high versus
low/normal ACE to diagnose sarcoidosis were calculated. RESULTS: A total of 3277
Olmsted County residents age >=18 years had at least one ACE test in 1984-2013.
The sarcoidosis incidence cohort contained 295 Olmsted County residents diagnosed
with sarcoidosis in 1984-2013. Of these, ACE tests were obtained in 251. The
sensitivity and specificity of high ACE for diagnosis of sarcoidosis were 41.4 %
(95 % CI 35.3-47.8 %) and 89.9 % (95 % CI 88.8-91.0 %), respectively. The PPV and
NPV in this population were 25.4 % (95 % CI 21.3-29.9 %) and 94.9 % (95 % CI 85.0
87.4 %). CONCLUSIONS: This study demonstrated a poor sensitivity and insufficient
specificity of high ACE for diagnosis of sarcoidosis suggesting a limited role of
ACE in clinical practice.
PMID- 26563333
TI - Estrogen-like osteoprotective effects of glycine in in vitro and in vivo models
of menopause.
AB - Recently, the placenta mesotherapy has been widely used to treat menopause.
Placenta contains amino acids, peptides, minerals, and estrogen. Here, we
investigated the estrogen-like osteoprotective effects of glycine (a main
ingredient of placenta) in in vitro and in vivo models of menopause. We assessed
the effect of glycine on MG-63 osteoblast cell line, MCF-7 estrogen-dependent
cell line, and ovariectomized (OVX) mice. Glycine significantly increased the MG
63 cell proliferation in a dose-dependent manner. Activity of alkaline
phosphatase (ALP) and phosphorylation of extracellular-signal-regulated kinase
were increased by glycine in MG-63 cells. Glycine also increased the BrdU
incorporation and Ki-67 mRNA expression in MCF-7 cells. Glycine induced the up
regulation of estrogen receptor-beta mRNA expression and estrogen-response
element-luciferase activity in MG-63 and MCF-7 cells. In OVX mice, glycine was
administered orally at a daily dose of 10 mg/kg per day for 8 weeks. Glycine
resulted in the greatest decrease in weight gain caused by ovariectomy.
Meanwhile, vaginal weight reduced by ovariectomy was increased by glycine.
Glycine significantly increased the ALP activity in OVX mice. MicroCT-analysis
showed that glycine significantly enhanced bone mineral density, trabecular
number, and connectivity density in OVX mice. Moreover, glycine significantly
increased the serum 17beta-estradiol levels reduced by ovariectomy. Glycine has
an estrogen-like osteoprotective effect in menopause models. Therefore, we
suggest that glycine may be useful for the treatment of menopause.
PMID- 26563334
TI - miR-27a and miR-214 exert opposite regulatory roles in Th17 differentiation via
mediating different signaling pathways in peripheral blood CD4+ T lymphocytes of
patients with relapsing-remitting multiple sclerosis.
AB - Multiple sclerosis (MS) is one of the most prevalent autoimmune diseases, which
involves the central nervous system. In this illness, Treg/Th17 cell imbalance
causes the defect. Several studies revealed that T helper 17 (Th17) cells play a
crucial role in pathogenesis, inflammation, and autoimmunity of several
autoimmune diseases such as MS. In the present study, we assessed transcript
levels of miR-27a and miR-214, in purified CD4+ T cells of MS patients, during
relapsing and remitting phases in inducing differentiation of T naive cells to
Th17 cells. Forty RR-MS patient samples including those in relapsing (n=20) and
remitting (n=20) phases were participated in this study. In addition, transcript
levels of IL-17A, RORgammat, IL-23R, Foxp3, and TGF-beta in purified CD4+ T cells
of patients in relapsing and remitting phases of RRMS patients were compared to
healthy controls. Expression levels of miR-27a and miR-214 were measured by RT
qPCR and compared to healthy control group (n=10). Data indicated upregulation of
miR27a in relapsing phase of multiple sclerosis compared to remitting phase and
healthy volunteers while miR-214 downregulated in relapsing phase of MS compared
to remitting phase and healthy volunteers. In silico studies demonstrated
pathways which miR-27a and miR-214 could effect on CD4+ T cell lineage fate
including TGF-beta and mTOR signaling, respectively. Our data suggest that miR
27a may probably inhibit negative regulators of Th17 cell differentiation, thus
promoting its differentiation while miR-214 has an adverse effect.
PMID- 26563335
TI - [Diagnosis and treatment of sarcoidosis. Current standards].
AB - Sarcoidosis is a granulomatous disease that mainly affects the lungs and
intrathoracic lymph nodes; however, virtually any organ can be affected. As an
orphan disease, recommendations are mainly based on observational or small
randomized studies as well as experts' opinion. Diagnosing sarcoidosis requires
proof of non-necrotizing granulomas in patients with a compatible symptomatic
pattern and the exclusion of other granulomatous diseases. Granulomas can be
detected best in the lungs or intrathoracic lymph nodes. Therefore, bronchoscopy
and endobronchial ultrasound with biopsies of lymph nodes are the major tools to
diagnose sarcoidosis. Frequently, close follow-up and symptomatic therapy are
sufficient to allow for spontaneous resolution. In case of functional organ
impairment, cardial or CNS involvement, or other complications, steroid therapy
is necessary with a starting dose of 0.5 mg/kg body weight that should be tapered
off over 6-12 months. Steroid-refractory disease can be treated by adding
methotrexate or azathioprine, two drugs long known in sarcoidosis treatment.
Monoclonal antibodies against TNF and lung transplantation are further
therapeutic options.
PMID- 26563336
TI - Yield of left ventricular dyssynchrony by gated SPECT MPI in patients with heart
failure prior to implantable cardioverter-defibrillator or cardiac
resynchronization therapy with a defibrillator: Characteristics and prediction of
cardiac outcome.
AB - BACKGROUND: Mechanical left ventricular dyssynchrony (MLVD) might contribute in
the therapeutic decision-making in patients with heart failure (HF) prior to
cardiac resynchronization therapy (CRT). Our aim was to assess MLVD in patients
with HF prior to implantable cardioverter-defibrillator (ICD) compared to
patients with CRT-D. METHODS: In a prospective study, patients with LVEF <= 35%
who were scheduled for ICD or CRT-D, underwent gated SPECT myocardial perfusion
imaging with technetium 99m sestamibi within 3 months prior procedure. MLVD was
measured by phase analysis. RESULTS: The study cohort consisted of 143 patients,
71 with ICD and 72 with CRT-D. Age 68.3 +/- 11 and LVEF 24 +/- 6%. Phase standard
deviation (SD) was 62.5 +/- 18 and 59.7 +/- 20 (P = NS), respectively. During
follow-up of 23.7 +/- 12.1 months, there were 10 vs 14 cardiac death in ICD and
CRT-D, respectively (P = NS), hospitalization for HF, in 34 vs 53 (P < .001). In
multivariate analysis, Phase SD was the independent predictor for cardiac death
[HR 2.66 (95% CI 1.046-6.768), P = .04]. Kaplan-Meier curves of phase SD of 60
degrees significantly identified ICD patients with and without cardiac deaths
and hospitalization for HF exacerbation. CONCLUSIONS: MLVD by phase SD can
identify patients with cardiac events and predict cardiac death in patients
treated with ICD.
PMID- 26563337
TI - Print media coverage of primary healthcare and related research evidence in South
Africa.
AB - BACKGROUND: The news media is located at the nexus of the public and policy
agendas and provides a window into issues concerning the public. Therefore, it
could be a powerful tool for advocating for citizens' health and could help
promote evidence-based primary health systems responsive to the needs of
citizens. However, research on the coverage of primary healthcare and related
research evidence in the South African print media is virtually non-existent.
METHODS: We examined 2,077 news stories that covered primary healthcare from 25
South African newspapers retrieved from the Lexis-Nexis online archive over a 16
year period (1997-2012). We analysed basic characteristics and conducted a
content analysis of the news stories. RESULTS: Of the 2,077 news stories that
mentioned primary healthcare, this was the main focus in 8.3% (n = 173). Of
these, 45.7% discussed issues relating to clinics, whereas issues relating to
community health workers and nurses were covered by 42.8% and 34.1% of news
stories, respectively. The number of news stories discussing infectious diseases
(55.5%) was more than twice the number discussing non-communicable diseases
(21.4%). HIV/AIDS/TB illness- and service-related issues were covered by 54.3% of
news stories and social determinants of health by 22%. Issues relating to how
healthcare is organised to deliver services to the people received substantial
coverage in the print media, with 72.8% discussing delivery arrangements, 72.3%
governance arrangements, and 55% financial arrangements. A small fraction of news
stories (7.5%) discussed research studies but none discussed a systematic review.
CONCLUSION: Our study underscores the potential role of media analyses in
illuminating patterns in print media coverage of health issues. It also shows
that an understanding of coverage of health research evidence could help spur
efforts to support the climate for evidence-informed health policymaking.
Researchers in low- and middle-income countries need to be more proactive in
making use of media analyses to help illuminate health related issues that
require the attention of health policymakers, stakeholders and reporters, and to
identify potential areas of research.
PMID- 26563338
TI - Multidisciplinary team care for people with rheumatoid arthritis: a systematic
review and meta-analysis.
AB - The objective of this study was to systematically review the evidence from
randomised controlled trials (RCTs) evaluating the effectiveness of
multidisciplinary team (MDT) care for the management of disability, disease
activity and quality of life (QoL) in adults with rheumatoid arthritis (RA). Data
sources identified published (MEDLINE, PsychINFO, EMBASE, CINAHL, Web of Science,
CENTRAL) and unpublished (OpenGrey) literature. Independent data extraction and
quality assessment, using the Cochrane risk of bias tool, were conducted by two
reviewers. The primary outcome was change in disability at 12 months; secondary
outcomes included disability at other time points and disease activity and QoL at
12 months. Where possible, the pooled effect sizes were calculated for inpatient
or outpatient MDT interventions. Four hundred and fifteen studies were retrieved.
Twelve manuscripts, which reported 10 RCTs, representing 1147 participants were
included. Only data from five high- or moderate-quality trials were pooled
according to clinical setting. There was no difference in disability between
inpatient MDT care and any comparison group [mean difference (95% confidence
intervals) 0.04, -0.13 to 0.20] or between outpatient MDT care and comparison
groups (0.09, -0.07 to 0.25) at 12 months. There was no difference in disability
at 2 years or <12 months or disease activity and QoL at 12 months between MDT
care and any comparison group. There is limited evidence evaluating the effect of
MDT care on disability, disease activity or QoL in people with RA. There is
likely to be no effect of MDT care on disability at 12 months or other time
points.
PMID- 26563339
TI - Gangrene in Takayasu's arteritis: a report of two cases and review of literature.
AB - Takayasu's arteritis (TA) is a granulomatous large vessel vasculitis more
commonly seen in India. The vascular inflammation in TA results in stenoses of
affected vessels. Usually this is a slow process with good collateral
circulation; only rarely does critical limb ischemia result. We present two
patients of TA who presented with gangrene of extremities, and review eight prior
such patients reported in the literature. With appropriate diagnosis and
treatment with oral corticosteroids and low-dose aspirin, none of our patients
had recurrence at a mean follow-up of 3.8 +/- 2.8 years. Although rare, TA can
present with gangrene and rheumatologists need to be aware of this unusual but
limb-threatening manifestation of TA to institute appropriate therapy in a timely
manner.
PMID- 26563340
TI - A large lingual thyroid extending to the epiglottis.
AB - A 22-year-old man presented to our department with a mass on the base of his
tongue. He had a cavernous voice causing a moderate speech disorder, and he had
some difficulty in swallowing. He had severe problems with sleep, associated with
apnoea. In a fibreoptic laryngoscopic examination, a large 4*5 cm vascular mass
was detected extending from the base of the patient's tongue to his epiglottis.
It covered the epiglottis. Thyroid scintigraphy showed only thyroid tissue on the
base of the tongue. Surgery was initiated transorally under the guidance of a
rigid endoscope, but as the mass continued extended to the epiglottis, a
transhyoid approach was taken. At a 3-month follow-up, the patient was symptom
free. Electrocautery-assisted resection under the guidance of a rigid endoscope
can reliably be used in surgery of a lingual thyroid. However, a transhyoid
approach provides a better view and also helps in achieving haemostasis.
PMID- 26563341
TI - Novel transient alien limb phenomenon heralding a diabetic hyperosmolar non
ketotic state with leukoaraiosis: a video presentation.
PMID- 26563342
TI - Histological determination of the human origin from dry bone: a cautionary note
for subadults.
AB - Anthropologists are frequently required to confirm or exclude the human origin of
skeletal remains; DNA and protein radioimmunoassays are useful in confirming the
human origin of bone fragments but are not always successful. Histology may be
the solution, but the young subadult structure could create misinterpretation.
Histological tests were conducted on femur and skull of 31 human subjects. Each
sample was observed focusing on presence or absence of fibrous bone, lamellar
bone, radial lamellar bone, plexiform bone, reticular pattern, osteon banding,
Haversian bone, primary osteons, secondary osteon and osteon fragments. Samples
were divided into five age classes; 1 (<1 year), 2 (1-5 years), 3 (6-10 years), 4
(11-15 years) and 5 (16-20 years). Regarding femurs, class 1 presented the
following: 87.5% fibrous bone, 37.5% plexiform bone, 12.5% reticular pattern and
12.5% lamellar bone radially oriented. Class 2 showed 37.5% of fibrous bone,
12.5% of reticular pattern and 37.5% of osteon banding. In the higher age
classes, the classical human structures, lamellar bone and osteons were
frequently visible, except for one case of reticular pattern, generally
considered a distinctive non-human structure. The situation appeared different
for the skull, where there was a lack of similar information, both in human and
non-human. An analysis of the percentage of lamellar bone and osteons was
conducted on femur and skull fragments. A trend of increase of primary osteon
number and a decrease of the lamellar bone area has been detected in the femur.
The present study has therefore shed some light on further pitfalls in species
determination of subadult bone.
PMID- 26563344
TI - Activin A/BMP2 chimera AB235 drives efficient redifferentiation of long term
cultured autologous chondrocytes.
AB - Autologous chondrocyte implantation (ACI) depends on the quality and quantity of
implanted cells and is hindered by the fact that chondrocytes cultured for long
periods of time undergo dedifferentiation. Here we have developed a reproducible
and efficient chondrogenic protocol to redifferentiate chondrocytes isolated from
osteoarthritis (OA) patients. We used morphological, histological and
immunological analysis together with a RT-PCR detection of collagen I and
collagen II gene expression to show that chondrocytes isolated from articular
cartilage biopsies of patients and subjected to long-term culture undergo
dedifferentiation and that these cells can be redifferentiated following
treatment with the chimeric Activin A/BMP2 ligand AB235. Examination of AB235
treated cell pellets in both in vitro and in vivo experiments revealed that
redifferentiated chondrocytes synthesized a cartilage-specific extracellular
matrix (ECM), primarily consisting of vertically-orientated collagen fibres and
cartilage-specific proteoglycans. AB235-treated cell pellets also integrated into
the surrounding subcutaneous tissue following transplantation in mice as
demonstrated by their dramatic increase in size while non-treated control pellets
disintegrated upon transplantation. Thus, our findings describe an effective
protocol for the promotion of redifferentiation of autologous chondrocytes
obtained from OA patients and the formation of a cartilage-like ECM that can
integrate into the surrounding tissue in vivo.
PMID- 26563345
TI - A strong root-specific expression system for stable transgene expression in bread
wheat.
AB - KEY MESSAGE: A strong, stable and root-specific expression system was developed
from a rice root-specific GLYCINE - RICH PROTEIN 7 promoter for use as an
enabling technology for genetic manipulation of wheat root traits. Root systems
play an important role in wheat productivity. Genetic manipulation of wheat root
traits often requires a root-specific or root-predominant expression system as an
essential enabling technology. In this study, we investigated promoters from rice
root-specific or root-predominant expressed genes for development of a root
expression system in bread wheat. Transient expression analysis using a GREEN
FLUORESCENT PROTEIN (GFP) reporter gene driven by rice promoters identified six
promoters that were strongly expressed in wheat roots. Extensive organ
specificity analysis of three rice promoters in transgenic wheat revealed that
the promoter of rice GLYCINE-RICH PROTEIN 7 (OsGRP7) gene conferred a root
specific expression pattern in wheat. Strong GFP fluorescence in the seminal and
branch roots of wheat expressing GFP reporter driven by the OsGRP7 promoter was
detected in epidermal, cortical and endodermal cells in mature parts of the root.
The GFP reporter driven by the promoter of rice METALLOTHIONEIN-LIKE PROTEIN 1
(OsMTL1) gene was mainly expressed in the roots with essentially no expression in
the leaf, stem or seed. However, it was also expressed in floral organs including
glume, lemma, palea and awn. In contrast, strong expression of rice RCg2 promoter
driven GFP was found in many tissues. The GFP expression driven by these three
rice promoters was stable in transgenic wheat plants through three generations
(T1-T3) examined. These data suggest that the OsGRP7 promoter can provide a
strong, stable and root-specific expression system for use as an enabling
technology for genetic manipulation of wheat root traits.
PMID- 26563348
TI - British nurse makes "full recovery" from reactivated Ebola virus.
PMID- 26563346
TI - Suppression of the D-class MADS-box AGL11 gene triggers seedlessness in fleshy
fruits.
AB - KEY MESSAGE: Seedlessness, one of the most desired traits in fleshy fruits, can
be obtained altering solely AGL11 gene, a D -class MADS-box. Opposite to
overlapping functions described for ovule identity. AGAMOUS like-11 (AGL11) is a
D-class MADS-box gene that determines ovule identity in model species. In
grapevine, VviAGL11 has been proposed as the main candidate gene responsible for
seedlessness because ovules develop into seeds after fertilization. Here, we
demonstrate that AGL11 has a direct role in the determination of the seedless
phenotype. In grapevine, broad expression analysis revealed very low expression
levels of the seedless allele compared to the seeded allele at the pea-size berry
stage. Heterozygous genotypes have lower transcript accumulation than expected
considering the diploid nature of grapevine, thereby revealing that the dominant
phenotype previously described for seedlessness is based on its expression level.
In a seeded somatic variant of Sultanina (Thompson Seedless) that has well
developed seeds, Sultanine Monococco, structural differences were identified in
the regulatory region of VviAGL11. These differences affect transcript
accumulation levels and explain the phenotypic differences between the two
varieties. Functional experiments in tomato demonstrated that SlyAGL11 gene
silencing produces seedless fruits and that the degree of seed development is
proportional to transcript accumulation levels. Furthermore, the genes involved
in seed coat development, SlyVPE1 and SlyVPE2 in tomato and VviVPE in grapevine,
that are putatively controlled by SlyAGL11 and VviAGL11, respectively, are
expressed at lower levels in silenced tomato lines and in seedless grapevine
genotypes. In conclusion, this work provides evidence that the D-class MADS-box
AGL11 plays a major and direct role in seed development in fleshy fruits,
providing a valuable tool for further analysis of fruit development.
PMID- 26563347
TI - Biotechnological advances in tea (Camellia sinensis [L.] O. Kuntze): a review.
AB - KEY MESSAGE: This article presents a comprehensive review on the success and
limitations of biotechnological approaches aimed at genetic improvement of tea
with a purpose to explore possibilities to address challenging areas. Tea is a
woody perennial tree with a life span of more than 100 years. Conventional
breeding of tea is slow and limited primarily to selection which leads to
narrowing down of its genetic base. Harnessing the benefits of wild relatives has
been negligible due to low cross-compatibility, genetic drag and undesirable
alleles for low yield. Additionally, being a recalcitrant species, in vitro
propagation of tea is constrained too. Nevertheless, maneuvering with tissue/cell
culture techniques, a considerable success has been achieved in the area of
micropropagation, somatic embryogenesis as well as genetic transformation.
Besides, use of molecular markers, "expressomics" (transcriptomics, proteomics,
metabolomics), map-based cloning towards construction of physical maps,
generation of expressed sequenced tags (ESTs) have facilitated the identification
of QTLs and discovery of genes associated with abiotic or biotic stress tolerance
and agronomic traits. Furthermore, the complete genome (or at least gene space)
sequence of tea is expected to be accessible in the near future which will
strengthen combinational approaches for improvement of tea. This review presents
a comprehensive account of the success and limitations of the biotechnological
tools and techniques hitherto applied to tea and its wild relatives. Expectedly,
this will form a basis for making further advances aimed at genetic improvement
of tea in particular and of economically important woody perennials in general.
PMID- 26563349
TI - Navigated MRI-guided liver biopsies in a closed-bore scanner: experience in 52
patients.
AB - OBJECTIVES: To evaluate clinical effectiveness and diagnostic efficiency of a
navigation device for MR-guided biopsies of focal liver lesions in a closed-bore
scanner. METHODS: In 52 patients, 55 biopsies were performed. An add-on MR
navigation system with optical instrument tracking was used for image guidance
and biopsy device insertion outside the bore. Fast control imaging allowed
visualization of the true needle position at any time. The biopsy workflow and
procedure duration were recorded. Histological analysis and clinical
course/outcome were used to calculate sensitivity, specificity and diagnostic
accuracy. RESULTS: Fifty-four of 55 liver biopsies were performed successfully
with the system. No major and four minor complications occurred. Mean tumour size
was 23 +/- 14 mm and the skin-to-target length ranged from 22 to 177 mm. In 39
cases, access path was double oblique. Sensitivity, specificity and diagnostic
accuracy were 88 %, 100 % and 92 %, respectively. The mean procedure time was 51
+/- 12 min, whereas the puncture itself lasted 16 +/- 6 min. On average, four
control scans were taken. CONCLUSIONS: Using this navigation device, biopsies of
poorly visible and difficult accessible liver lesions could be performed safely
and reliably in a closed-bore MRI scanner. The system can be easily implemented
in clinical routine workflow. KEY POINTS: * Targeted liver biopsies could be
reliably performed in a closed-bore MRI. * The navigation system allows for image
guidance outside of the scanner bore. * Assisted MRI-guided biopsies are helpful
for focal lesions with a difficult access. * Successful integration of the method
in clinical workflow was shown. * Subsequent system installation in an existing
MRI environment is feasible.
PMID- 26563350
TI - Dual-Phase Dual-Energy CT in Patients Treated with Erlotinib for Advanced Non
Small Cell Lung Cancer: Possible Benefits of Iodine Quantification in Response
Assessment.
AB - OBJECTIVES: To investigate the relationship of dual-phase dual-energy CT (DE-CT)
and tumour size in the evaluation of the response to anti-EGFR therapy in
patients with advanced non-small cell lung cancer (NSCLC). METHODS: Dual-phase DE
CT was performed in 31 patients with NSCLC before the onset of anti-EGFR
(erlotinib) therapy and as follow-up (mean 8 weeks). Iodine uptake (IU; mg/mL)
was quantified using prototype software in arterial and venous phases; arterial
enhancement fraction (AEF) was calculated. The change of IU before and after
therapy onset was compared with anatomical evaluation in maximal transverse
diameter and volume (responders vs. non-responders). RESULTS: A significant
decrease of IU in venous phase was proved in responders according to all
anatomical parameters (p=0.002-0.016). In groups of non-responders, a significant
change of IU was not proved with variable trends of development. The most
significant change was observed using the anatomical parameter of volume (cut-off
73 %). A significant difference of percentage change in AEF was proved between
responding and non-responders (p=0.019-0.043). CONCLUSION: Dual-phase DE-CT with
iodine uptake quantification is a feasible method with potential benefit in
advanced assessment of anti-EGFR therapy response. We demonstrated a decrease in
vascularization in the responding primary tumours and non-significant variable
development of vascularization in non-responding tumours. KEY POINTS: * Dual
phase DE-CT is feasible for vascularization assessment of NSCLC with anti-EGFR
therapy. * There was a significant decrease of iodine uptake in responding
tumours. * There was a non-significant and variable development in non-responding
tumours. * There was significant difference of AEF percentage change between
responders and non-responders.
PMID- 26563352
TI - Pelvic pain.
PMID- 26563351
TI - Myeloid leukemia factor 1 interfered with Bcl-XL to promote apoptosis and its
function was regulated by 14-3-3.
AB - Myeloid leukemia factor 1 (MLF1) was involved in t(3;5) chromosomal rearrangement
and aberrantly expressed in myelodysplastic syndromes/acute myeloid leukemia
patients. Ex vivo experiments showed that the lymphocytes from the Mlf1-deficient
mice were more resistant to apoptotic stimulations than the wild-type cells.
Furthermore, the ectopically expressed MLF1 induced apoptosis in the cell models.
These findings revealed that MLF1 was required for the cells to respond to the
apoptotic stimulations. Ex vivo experiments also demonstrated that cytokine
withdrawal significantly up-regulated Mlf1's expression and promoted its
association with B cell lymphoma-extra large (Bcl-XL) in the lymphocytes, at the
same time reduced the association of Bax with Bcl-XL The same effects were also
observed in the cells that over-expressed MLF1. However, these effects were
observed in Mlf1 null lymphocytes as well as the cells over-expressing Bcl-XL. In
addition, MLF1's proapoptosis could be completely prevented by co-expression of
Bcl-XL and significantly attenuated in Bax/Bak double null cells. These data,
taken together, strongly suggested that in response to the stresses, up-regulated
Mlf1 promoted its association with Bcl-XL and reduced the available Bcl-XL for
associating with Bax, which resulted in releasing Bax from the Bcl-XL and
apoptosis in turn. Lastly, we showed that MLF1 was negatively regulated by 14-3-3
and revealed that 14-3-3 bound to MLF1 and physically blocked MLF1's Bcl-2
homology domain 3 (BH3) as well as Bcl-XL from associating with MLF1. Our
findings suggested that ectopically expressed MLF1 could be responsible for the
pathological apoptosis in early myelodysplastic syndrome (MDS) patients.
PMID- 26563353
TI - Quantifying cognition at the bedside: a novel approach combining cognitive
symptoms and signs in HIV.
AB - BACKGROUND: Up to half of all people with HIV infection have some degree of
cognitive impairment. This impairment is typically mild, but nonetheless often
disabling. Although early detection of cognitive impairment offers the greatest
hope of effective intervention, there are important barriers to this goal in most
clinical settings. These include uncertainty about how self-reported cognitive
symptoms relate to objective impairments, and the paucity of bedside measurement
tools suitable for mild deficits. Clinicians need guidance in interpreting
cognitive symptoms in this population, and a brief cognitive measurement tool
targeted to mild impairment. We addressed these two problems together here. The
objective of this study was to determine the extent to which performance on
cognitive tests and self-reported cognitive symptoms form a unidimensional
construct. METHODS: Two hundred three HIV+ individuals completed the Montreal
Cognitive Assessment, computerized cognitive tasks and a questionnaire eliciting
cognitive symptoms. Rasch measurement theory was applied to determine whether
patient-reported and performance items could be combined to measure cognition as
a unidimensional latent construct. RESULTS: Performance-based items and cognitive
symptoms are arranged hierarchically along the same continuum of cognitive
ability, forming a measure with thresholds covering a broad spectrum of ability
that has good internal reliability. The cognitive symptoms that fit the
measurement model relate to important aspects of everyday life, providing
evidence that the identified construct is meaningful. CONCLUSIONS: This finding
lays the foundation for a rapid measure of cognitive ability in people with HIV
infection that is feasible for routine clinical use, and shows that some
cognitive symptoms are systematically related to performance in this population.
PMID- 26563354
TI - Melanoma Thickness and Survival Trends in the United States, 1989 to 2009.
AB - BACKGROUND: With melanoma incidence rising and mortality stable, some question
whether the melanoma epidemic is real. Melanoma thickness and survival trends may
provide insights, but previous studies have been limited because of missing data
on thickness. METHODS: With a validated imputation method for missing thickness
data, we characterized melanoma thickness and survival trends among men and women
in the Surveillance, Epidemiology, and End Results (SEER)-9 registries between
1989 and 2009. A total of 98,498 cases of invasive melanoma were identified. All
statistical tests were two-sided. RESULTS: Incidence per 100 000 person-years
increased (13.94, 95% confidence interval [CI] = 13.65 to 14.23, to 21.87, 95% CI
= 21.56 to 22.19, P < .001) between 1989 to 1991 and 2007 to 2009, fatal
incidence remained stable (2.32, 95% CI = 2.2 to 2.4, to 2.08, 95% CI = 2.0 to
2.2, P = .20) between 1989 to 1991 and 1998 to 2000, and five-year survival
increased (88.29%, 95% CI = 87.60% to 88.95%, to 91.68%, 95% CI = 91.22% to
92.12%, P < .001) between 1989 to 1991 and 2001 to 2003. Increase in incidence
occurred across all thickness groups. Median thickness decreased (0.73 to
0.58mm). Geometric mean thickness decreased (0.77 to 0.65mm) 4.6% (95% CI = 4.2%
to 5.0%) every three years in multivariable analysis. Thickness decreased among
T1/T2 tumors (0.01-1.00 and 1.01-2.00mm) and among all age and sex groups,
whites, non-Hispanics, and all body sites. However, thickness increased among
T3/T4 tumors (2.01-4.00 and > 4.00mm) and nodular melanomas; acral lentiginous
melanomas approached statistical significance. Thickness remained unchanged among
some racial minorities. Melanoma-specific survival improved (hazard ratio [HR] =
0.89, 95% CI = 0.88 to 0.91) every three years in multivariable analysis.
Improvements in survival occurred across all subgroups except nonblack
minorities, and nodular and acral lentiginous subtypes. CONCLUSIONS: Increasing
incidence across all thickness groups coupled with T3/T4 lesions becoming thicker
suggests that the melanoma epidemic is real and not simply an artifact of
increased detection pressure of earlier-stage T1/T2 lesions. Survival is
generally improving independent of thickness, but improvements in survival have
not been experienced by certain minorities, and nodular and acral lentiginous
subtypes.
PMID- 26563356
TI - Evaluation of a Congenital Infantile Fibrosarcoma by Comprehensive Genomic
Profiling Reveals an LMNA-NTRK1 Gene Fusion Responsive to Crizotinib.
PMID- 26563355
TI - Sensitivity to Entrectinib Associated With a Novel LMNA-NTRK1 Gene Fusion in
Metastatic Colorectal Cancer.
AB - In metastatic colorectal cancer (CRC), actionable genetic lesions represent
potential clinical opportunities. NTRK1, 2, and 3 gene rearrangements encode
oncogenic fusions of the tropomyosin-receptor kinase (TRK) family of receptor
tyrosine kinases in different tumor types. The TPM3-NTRK1 rearrangement is a
recurring event in CRC that renders tumors sensitive to TRKA kinase inhibitors in
preclinical models. We identified abnormal expression of the TRKA protein in
tumor and liver metastases of a CRC patient refractory to standard therapy.
Molecular characterization unveiled a novel LMNA-NTRK1 rearrangement within
chromosome 1 with oncogenic potential, and the patient was treated with the pan
TRK inhibitor entrectinib, achieving partial response with decrease in hepatic
target lesions from 6.8 and 8.2cm in longest diameter to 4.7 and 4.3cm,
respectively. To our knowledge, this is the first clinical evidence of efficacy
for therapeutic inhibition of TRKA in a solid tumor, illuminating a genomic
driven strategy to identify CRCs reliant on this oncogene to be clinically
targeted with entrectinib.
PMID- 26563357
TI - N of 2 Responders with LMNA-NTRK1.
PMID- 26563359
TI - [Vitrectomy for retinal proliferation in childhood following hemolytic uremic
syndrome].
AB - The formation of retinal membranes can occur due to a variety of reasons but they
are most commonly idiopathic due to the aging process. In addition, epiretinal
and subretinal membranes can be formed after severe infections. The present case
description shows the appearance of a retinal membrane after hemolytic uremic
syndrome caused by Shiga toxin positive E. coli. The question arose whether the
patient would benefit from vitrectomy with membrane peeling because of the
presence of both epiretinal and subretinal gliotic changes. After the operation
on the more severely affected right eye a morphological improvement could be
achieved so that an operation on the left eye was also recommended. Judging by
the course of this case vitrectomy with membrane peeling seems to be a useful
instrument even for the simultaneous presence of subretinal and epiretinal
membranes.
PMID- 26563358
TI - Stemming the Rising Incidence of Melanoma: Calling Prevention to Action.
PMID- 26563360
TI - [Bilateral central scotoma in a 28-year-old patient with CADASIL syndrome].
PMID- 26563361
TI - [Preservative-free glaucoma treatment : Selection of the correct treatment in 1
min].
AB - BACKGROUND: The presence of preservatives in topical glaucoma treatments may
impact ocular surface function and structure. For treatment to be effective, side
effects need to be minimized, in order to promote compliance and allow
continuation of therapy. Therefore, in daily clinical practice, it needs to be
decided on an individual basis whether a preservative-free treatment is required.
OBJECTIVE: This study aimed to develop a questionnaire which helps to quickly and
easily identify patients who require preservative-free treatment. MATERIALS AND
METHODS: A questionnaire was prepared to collect relevant clinical findings
needed to make a therapeutic decision (preservative-free required? Yes/No).
Moreover, a rating scheme was developed to enable efficient final assessment of
the collected data. To check their practicability in daily clinical practice,
both instruments were tested in 11 ophthalmological centers in Germany. RESULTS:
The questionnaire and rating scheme were easy to use, integrated efficiently into
everyday routine, and performed in about 1 min. Data of 1150 glaucoma patients
were collected and preservative-free eyedrops recommended for 586 (51 %).
Parameters most frequently associated with such a recommendation were a reduced
tear film break-up time of < 10 s (87.5 %) or marked corneal staining (65.5 %).
CONCLUSION: The presented approach helps to decide within 1 min, in daily
clinical practice, whether preservative-free glaucoma threatment should be
recommended. Individualized therapy decisions can thus be made, allowing goal
oriented use of preservative-free antiglaucomatosa. This might help to promote
compliance and lead to reduced progression of glaucoma.
PMID- 26563362
TI - Paediatric imaging radiation dose awareness and use of referral guidelines
amongst radiology practitioners and radiographers.
AB - OBJECTIVES: The objectives are to investigate radiology practitioners' and
radiographers' radiation dose awareness and use of referral guidelines for
paediatric imaging examinations. METHODS: A prospective cross-sectional survey
was conducted amongst radiology practitioners and radiographers working at a
primary paediatric referral centre in Malta. Part of the survey asked
participants to indicate the typical effective dose (ED) for several commonly
performed paediatric imaging examinations, answer five true-false statements
about radiation protection principles, and specify their use of referral
guidelines for paediatric imaging. RESULTS: The return of 112 questionnaires
provided a response rate of 66.7 %. Overall, imaging practitioners demonstrated
poor awareness of radiation doses associated with several paediatric imaging
examinations, with only 20 % providing the correct ED estimate for radiation
based examinations. Nearly all participants had undertaken radiation protection
training, but the type and duration of training undertaken varied. When asked
about the use of referral guidelines for paediatric imaging, 77.3 % claimed that
they 'did not' or 'were not sure' if they made use of them. CONCLUSIONS: Poor
awareness of radiation doses associated with paediatric imaging examinations and
the non-use of referral guidelines may impede imaging practitioners' role in the
justification and optimisation of paediatric imaging examinations. Education and
training activities to address such shortcomings are recommended. KEY POINTS: *
Imaging practitioners demonstrated poor radiation dose awareness for 5 paediatric
imaging examinations. * Most radiology practitioners and radiographers were 'not
sure' or 'did not' use referral guidelines. * Imaging practitioners generally
considered previously undertaken paediatric imaging examinations. * Some imaging
practitioners had not undertaken training in radiation protection for 10 years. *
Training activities to address imaging practitioners' poor radiation dose
awareness are encouraged.
PMID- 26563364
TI - Margaret McCartney: Disrespecting confidentiality isn't the answer to FGM.
PMID- 26563363
TI - Effect of benzalkonium chloride-free travoprost on intraocular pressure and
ocular surface symptoms in patients with glaucoma previously on latanoprost: an
open-label study.
AB - BACKGROUND: Prostaglandin analogs reduce intraocular pressure (IOP) in patients
with open-angle glaucoma or ocular hypertension; however, these medications may
affect the ocular surface and elicit ocular discomfort when preserved with
benzalkonium chloride (BAK). METHODS: This was an open-label, single-arm study
conducted in Latin America from February 2012 to May 2013. Patients with open
angle glaucoma or ocular hypertension who were intolerant of latanoprost 0.005 %
were transitioned to receive once-daily BAK-free travoprost 0.004 % containing
polyquaternium-1 (Travatan(r) preserved with POLYQUAD(r) [PQ], Alcon
Laboratories, Inc; Fort Worth, TX) for 12 weeks. Mean change in IOP from baseline
(primary efficacy endpoint) and the percentage of patients who achieved a target
IOP of <=18 mmHg were evaluated at all on-therapy visits. Ocular hyperemia,
patient preference, and self-projected adherence were assessed at week 12.
Adverse events (AEs) were monitored throughout the study. RESULTS: All enrolled
patients were included in the analysis (n = 191); the majority of patients (90.6
%, n = 173/191) completed the study. Mean (SD) patient age was 67.5 (11.3) years,
and mean baseline IOP was 14.8 mmHg. Mean IOP was reduced by 0.94 mmHg at week 6
and by 1.09 mmHg at week 12 (P < 0.001 for both). A greater percentage of
patients achieved a target IOP of <=18 mmHg at week 6 (93.1 %; n = 163/175) and
week 12 (93.3 %; n = 166/178) compared with baseline (89.5 %; n = 171/191). There
was a 10.5 % increase in the percentage of patients with "none/trace" amounts of
hyperemia. Most patients preferred the study medication (81.5 %; n = 141/173) and
were confident that they would adhere to their preferred medication (90.8 %; n =
157/173). No serious AEs were reported, and eye irritation (3.7 %; n = 7/191) was
the most common treatment-related AE. CONCLUSIONS: Transitioning from BAK
containing latanoprost 0.005 % to BAK-free travoprost 0.004 % preserved with PQ
reduced IOP in patients with open-angle glaucoma or ocular hypertension who were
intolerant of latanoprost. BAK-free travoprost 0.004 % is a viable alternative
for patients who require switching their IOP-lowering medications because of
tolerability issues. TRIAL REGISTRATION: ClinicalTrials.gov identifier,
NCT01510145.
PMID- 26563365
TI - The role of peptidylarginine deiminase 4 in ovarian cancer cell tumorigenesis and
invasion.
AB - Peptidylarginine deiminase 4 (PADI4) is an enzyme that converts both histone
arginine and mono-methyl arginine residues to citrulline, and it has been
detected in various subtypes of ovarian cancer. However, the mechanism of action
of PADI4 in ovarian carcinogenesis remains unknown. To examine the function of
PADI4, we transfected two ovarian cancer cell lines, wild-type p53 A2780 and p53
null SKOV3, with PADI4-siRNA and negative control siRNA. The proliferation of
both A2780 and SKOV3 cells decreased significantly following PADI4-siRNA
treatment (P A2780 < 0.01; P SKOV3 < 0.001). The invasion and migration ability
of A2780 cells also significantly decreased in response to PADI4-siRNA treatment
(P < 0.001), but SKOV3 cells showed no such decrease. The apoptotic rate of A2780
cells increased in the presence of PADI4-siRNA, but there was no such increase in
SKOV3 cells (P > 0.05). PCR arrays of A2780 cells treated with PADI4-siRNA
revealed the up-regulated expression of six genes, including cell death-inducing
DFFA-like effector a (CIDEA) and tumor necrosis factor receptor superfamily
member 9 (TNFRSF9), and the down-regulation of seven genes, including integrin
beta 3 (ITGB3) and BCL2-antagonist/killer 1 (BAK1). These results suggest an
important role for PADI4 in the p53 pathway and the regulation of the
proliferation, apoptosis, invasion and migration of ovarian cancer cells. Our
study also demonstrated that PADI4 contributes to tumor metastasis by regulating
the gene expression of insulin-like growth factor 1 (IGF1) and WAS/WASL
interacting protein family member 1 (WIPF1).
PMID- 26563366
TI - STAT3:FOXM1 and MCT1 drive uterine cervix carcinoma fitness to a lactate-rich
microenvironment.
AB - Uterine cervix cancer is the second most common malignancy in women worldwide
with human papillomavirus (HPV) as the etiologic factor. The two main
histological variants, squamous cell carcinomas (SCC) and adenocarcinomas (AC),
resemble the cell morphology of exocervix and endocervix, respectively. Cancer
metabolism is a cancer hallmark conditioned by the microenvironment. As uterine
cervix homeostasis is dependent on lactate, we hypothesized lactate plays a role
in uterine cervix cancer progression. Using in vitro (SiHa-SCC and HeLa-AC) and
BALB-c/SCID models, we demonstrated that lactate metabolism is linked to
histological types, with SCC predominantly consuming and AC producing lactate.
MCT1 is a key factor, allowing lactate consumption and being regulated in vitro
by lactate through the FOXM1:STAT3 pathway. In vivo models showed that SCC (SiHa)
expresses MCT1 and is dependent on lactate to grow, whereas AC (HeLa) expresses
MCT1 and MCT4, with higher growth capacities. Immunohistochemical analysis of
tissue microarrays (TMA) from human cervical tumors showed that MCT1 expression
associates with the SCC type and metastatic behavior of AC, whereas MCT4
expression concomitantly increases from in situ SCC to invasive SCC and is
significantly associated with the AC type. Consistently, FOXM1 expression is
statistically associated with MCT1 positivity in SCC, whereas the expression of
FOXO3a, a FOXM1 functional antagonist, is linked to MCT1 negativity in AC. Our
study reinforces the role of the microenvironment in the metabolic adaptation of
cancer cells, showing that cells that retain metabolic features of their normal
counterparts are positively selected by the organ's microenvironment and will
survive. In particular, MCT1 was shown to be a key element in uterine cervix
cancer development; however, further studies are needed to validate MCT1 as a
suitable therapeutic target in uterine cervix cancer.
PMID- 26563367
TI - Circulating tumor-associated neutrophils (cTAN) contribute to circulating tumor
cell survival by suppressing peripheral leukocyte activation.
AB - During malignant progression, primary tumors rebuild leukocyte profile and
suppress the host anti-tumor immune response. Tumor-associated neutrophils (TAN)
increased in the cancer patients and emerged as an important participant and
regulator of immune responses. The aim of this study is to investigate the role
of circulating TAN (cTAN) in the metastatic process of advanced malignancy. We
tested circulating neutrophils from patients (n = 180) with various types of
cancer using flow cytometry analyses. We also used B16F10 cell-implanted C57BL/6
tumor-bearing mice model to simulate the advanced malignancy. Peripheral
neutrophils were isolated by ficoll density gradient centrifugation, and in vitro
tumor-leukocyte co-culture model was used to test tumor cell survival under
leukocyte challenge condition. Here, we showed that neutrophils increased in the
peripheral blood under the pathological condition of advanced malignancy both in
cancer patients and in tumor-bearing mice. In mouse model, the malignantly
increased neutrophils were identified as TAN according to the gene
transcriptional analyses. We also showed that cTAN enhance tumor metastasis and
cTAN could inhibit the activation of the peripheral leukocytes and rescue tumor
cells from leukocyte challenge. In conclusion, our finding suggests that the
abundance of cTAN in advanced cancer patients contributes to the circulating
tumor cell survival by suppressing peripheral leukocyte activation.
PMID- 26563368
TI - The involvement of myocyte enhancer factor 2D in regulating tumor biology of
cardiac myxoma.
AB - The pro-survival transcription factor myocyte enhancer factor 2D (MEF2D) is
identified to exhibit pro-tumor effects based on clinical and experimental
studies. However, the detailed mechanisms underlying IGF-1-MEF2D pathway-induced
tumor biology in cardiac myxoma (CM) was not clear. Here, we investigated the
role of MEF2D in CM tissues and cells using RT-PCR, western blot, gene silencing,
et al. Our findings revealed MEF2D was significantly increased in CM tissues
compared with adjacent normal tissues and closely related to tumor size. In vitro
assay demonstrated that IGF-1 enhanced CM cell proliferation in a time-dependent
fashion. However, knockdown of MEF2D reversed the IGF-1-induced proliferative
effects on CM cells in a time-dependent fashion and further resulted in cell
cycle arrest. Based on the molecular level, IGF-1 enhanced the expression of
epidermal growth factor receptor (EGFR) and matrix metalloprotein 9 (MMP9) in CM
cells, whereas knockdown of MEF2D was able to reduce the expression of EGFR and
MMP9 compared with vector control. Furthermore, we found knockdown of MEF2D
directly affected G1/S transition in cultured CM cells. In conclusion, MEF2D
regulates IGF-1-induced proliferation and apoptosis in CM development, indicating
IGF-1-MEF2D pathway may be a useful target for treatment.
PMID- 26563369
TI - Involvement of Numb-mediated HIF-1alpha inhibition in anti-proliferative effect
of PNA-antimiR-182 in trastuzumab-sensitive and -resistant SKBR3 cells.
AB - Trastuzumab is a humanized monoclonal antibody against the human epidermal growth
factor receptor 2 (HER2) that is overexpressed in about 25 % of breast cancer
patients. However, primary and/or acquired resistance to trastuzumab develops in
most affected persons. In this study, we explored the functional role of miR-182
inhibition with aiming the sensitization of SKBR3 cells to trastuzumab. Cell
viability, apoptosis, colony formation, and migration capacities of SKBR3(S)
(sensitive) and SKBR3(R) (resistant) cells were assessed to determine the anti
proliferative effects of PNA-antimiR-182. In addition, the expression levels of
miR-182, mRNA of FOXO1, and Bim as well as the protein levels of HER2 and Notch1
signaling factors were evaluated by stem-loop RT-qPCR, RT-qPCR, and Western blot,
respectively. The results indicated that miR-182 might play a causal role in the
mechanism of trastuzumab. In line with that, PNA-antimiR-182 inhibited
synergistically the viability of both the sensitive and resistant cell groups.
Furthermore, the inhibitory effect of PNA-anitmiR-182 on migration in SKBR3 cells
was more than the induction of apoptosis. In addition, PNA-antimiR-182 reduced
the levels of NICD, Hes1, HIF-1alpha, and p-Akt in both cell groups, while it
augmented the intracellular content of FOXO1 and Numb suppressor proteins. In
other words, PNA-antimiR-182-mediated upregulation of Numb was associated with
downregulation of HIF-1alpha and Hes1. Consequently, downregulation of miR-182
might find therapeutical value for overcoming trastuzumab resistance. Graphical
Abstract The crosstalk between HER2 and Notch1 signaling pathway is mediated by
miR-182.
PMID- 26563370
TI - Emerging prognostic markers related to mesenchymal characteristics of poorly
differentiated breast cancers.
AB - Despite the screening program, breast cancer is the commonest cause of cancer
death in women in the industrialized world. In this study, we investigate the
correlation among poorly differentiated carcinoma, epithelial to mesenchymal
transition (EMT) phenomenon, and expression of NF-kB, Sonic Hedgehog (SHH), K
RAS, and PTX3 in breast cancer in 100 breast biopsies. Samples were classified as
follows: 30 benign lesions (BL), 30 ductal infiltrating carcinomas low grade
(MLG1), and 40 ductal infiltrating carcinomas high grade (MLG3). Expression of
vimentin, CD44, beta-catenin, NF-kB, SHH, K-RAS, CD44, and PTX3 was studied by
immunohistochemistry. The different rate of cells with vimentin, nuclear beta
catenin, and CD44 expression in MLG3 as compared with MLG1 and BL suggested that
the process of de-differentiation of breast cancer cells could be related to the
EMT. Our results showed a significant increase in NF-kB signal in MLG3 (2.33 +/-
0.77) with respect to MLG1 (1.26 +/- 0.55) and BL (0.86 +/- 0.52). SHH expression
appeared low in BL (1.00 +/- 0.41) and homogenously widespread in MLG1 (1.23 +/-
0.63) and MLG3 (1.56 +/- 0.54). An important increase in K-RAS signal was
observed in MLG3 compared to that in BL (2.20 +/- 0.69 vs 0.82 +/- 0.59). As
regards PTX3, we observed a strong expression in MLG3 (2.00 +/- 0.78) with
respect to BL (0.58 +/- 0.55) and MLG1 (1.53 +/- 0.76). The recurring expression
of NF-kB, SHH, K-RAS, and PTX3 in vimentin- and CD44-positive breast cancer cells
allows to speculate that breast cells acquire the ability to express these
molecules in concomitance to EMT phenomenon.
PMID- 26563371
TI - miR-761 inhibits tumor progression by targeting MSI1 in ovarian carcinoma.
AB - Increasing evidences have revealed that microRNAs regulate various biological
processes. However, the roles of miR-761 have not been investigated in ovarian
cancer. Here, we found that miR-761 expression was significantly lower in ovarian
cancer tissues than in their paired noncancerous tissues. Further study revealed
that miR-761 overexpression inhibited the ovarian cancer cell proliferation and
invasion. Mechanistically, we demonstrated that the oncogenic properties of miR
761 in ovarian cancer were mediated in part by regulating MSI1 expression. miR
761 and MSI1 are inversely expressed in ovarian cancer tissues. In conclusion, we
demonstrated that miR-761 repressed ovarian cancer proliferation and invasion by
targeting MSI1.
PMID- 26563373
TI - Inhibition of autophagy induced by PTEN loss promotes intrinsic breast cancer
resistance to trastuzumab therapy.
AB - This study aims to explore the effects of the phosphatase and tension homolog
(PTEN) expression level on autophagic status and on the resistance of breast
cancer to trastuzumab treatment. PTEN and LC3I/II were knocked down with shRNA
expression vectors, which were transfected into estrogen receptor (ER)-positive
breast cancer cell lines. After trastuzumab treatment, the changes in the
autophagy signal transduction pathways and autophagic proteins (LC3I/II, p62,
LAMP, and cathepsin B) in these stably transfected cells were detected using
western blot. The cells were also orthotopically implanted into nude mice to
explore the influence of PTEN knockdown on tumor size, cell viability, and
autophagic proteins after trastuzumab treatment. Similar determinations were
performed using the LC3I/II overexpressed shPTEN breast cancer cells (LC3I/II
shPTEN). Downregulation of PTEN and autophagic proteins LC3-I and LC3-II was
observed in resistant human breast cancer samples. Knockdown of PTEN and PTEN+
LC3I/II with shRNA in breast cancer cells resulted in increased resistance to
trastuzumab. Consistently, trastuzumab treatment could not effectively reduce
tumor size. Significant decreases in the levels of autophagic proteins LC3I/II,
LAMP, p62, cathepsin B, and PI3K-Akt-mTOR and the signaling pathway protein Akt
were found in PTEN knockdown cells, compared to the PTEN normal group, after
trastuzumab administration, both in vitro and in vivo. However, these findings
were reversed with the LC3I/II-shPTEN treatment. Therefore, the loss of PTEN may
promote the development of primary resistance to trastuzumab in breast cancer via
autophagy defects.
PMID- 26563372
TI - MicroRNAs, TGF-beta signaling, and the inflammatory microenvironment in cancer.
AB - Inflammatory cells and mediators form a major part of the tumor microenvironment
and play important roles in the regulation of cancer initiation, tumor cell
proliferation, and metastasis. MicroRNAs (miRNAs) play important roles in several
physiological and pathological processes, including the regulation of the
inflammatory microenvironment in cancer. Transforming growth factor-beta (TGF
beta) is an inflammation-related cytokine that functions in both tumor
suppression and promotion; however, its underlying molecular mechanisms remain
unclear. Recent evidence indicates an association between miRNAs and TGF-beta
signaling, providing new insight into the nature of the inflammatory
microenvironment in cancer. The present review is an overview of the interaction
between miRNAs and inflammatory cytokines, with emphasis on the cross talk
between TGF-beta signaling and miRNAs and their influence on cancer cell
behavior. The emerging roles of miRNAs in cancer-related inflammation and the
potential to target miRNA signaling pathways for cancer therapy are also
discussed.
PMID- 26563374
TI - Differential expression of ligands for NKG2D and DNAM-1 receptors by epithelial
ovarian cancer-derived exosomes and its influence on NK cell cytotoxicity.
AB - Cancers constitutively produce and secrete into the blood and other biofluids 30
150 nm-sized endosomal vehicles called exosomes. Cancer-derived exosomes exhibit
powerful influence on a variety of biological mechanisms to the benefit of the
tumors that produce them. We studied the immunosuppressive ability of epithelial
ovarian cancer (EOC) exosomes on two cytotoxic pathways of importance for
anticancer immunity-the NKG2D receptor-ligand pathway and the DNAM-1-PVR/nectin-2
pathway. Using exosomes, isolated from EOC tumor explant and EOC cell-line
culture supernatants, and ascitic fluid from EOC patients, we studied the
expression of NKG2D and DNAM-1 ligands on EOC exosomes and their ability to
downregulate the cognate receptors. Our results show that EOC exosomes
differentially and constitutively express NKG2D ligands from both MICA/B and ULBP
families on their surface, while DNAM-1 ligands are more seldom expressed and not
associated with the exosomal membrane surface. Consequently, the NKG2D ligand
bearing EOC exosomes significantly downregulated the NKG2D receptor expression on
peripheral blood mononuclear cells (PBMC) while the DNAM-1 receptor was
unaffected. The downregulation of NKG2D receptor expression was coupled to
inhibition of NKG2D receptor-ligand-mediated degranulation and cytotoxicity
measured in vitro with OVCAR-3 and K562 cells as targets. The EOC exosomes acted
as a decoy impairing the NKG2D mediated cytotoxicity while the DNAM-1 receptor
ligand system remained unchanged. Taken together, our results support and explain
the mechanism behind the recently reported finding that in EOC, NK-cell
recognition and killing of tumor cells was mainly dependent on DNAM-1 signaling
while the contribution of the NKG2D receptor-ligand pathway was complementary and
uncertain.
PMID- 26563375
TI - Association and interaction of NFKB1 rs28362491 insertion/deletion ATTG
polymorphism and PPP1R13L and CD3EAP related to lung cancer risk in a Chinese
population.
AB - The nuclear factor of kappa light polypeptide gene enhancer in B cells 1 (NFKB1)
gene encodes p105 and p50 kD which are both subunits of the transcription factor
NF-kB, involved in a wide variety of diseases and pathological states associated
with inflammation, immunity, and tumorigenesis. The NFKB1 rs28362491 polymorphism
in the promoter region (-94 insertion/deletion ATTG) has been associated with
risk of various cancers. Our study aims were to evaluate the associations of
NFKB1 rs28362491 polymorphism and interactions of this single-nucleotide
polymorphism (SNP) and PPP1R13L and CD3EAP and smoking duration in relation to
lung cancer risk in a Chinese population. The study population consisted of 544
Chinese lung cancer cases and 550 cancer-free matched (age, sex, and ethnicity)
controls. No associations were found between NFKB1 rs28362491 and lung cancer
risk. CD3EAP rs967591 was associated with increased lung cancer risk in the
dominant model [OR (95 % CI) = 1.38 (1.05-1.80), P = 0.018]. The common haplotype
containing PPP1R13L rs1970764(G), CD3EAP rs967591(A), and CD3EAP rs735482(C) was
associated with lung cancer [adjusted OR (95 % CI) = 1.29 (1.03-1.62), P =
0.028]. Multifactor dimensionality reduction (MDR) analysis revealed two-way and
three-way interactions between CD3EAP rs735482 and smoking and between NFKB1
rs28362491, PPP1R13L rs1970764, and smoking. In conclusion, we were able to
reproduce previously found associations between PPP1R13L and CD3EAP polymorphisms
and lung cancer risk in an increased study group, and we found interactions
between NFKB1 rs28362491-PPP1R13L rs1970764 and smoking duration and between
CD3EAP rs735482 and smoking duration. These results suggest that these genes and
smoking are part of the same biological pathway leading to smoking-induced lung
cancer.
PMID- 26563377
TI - Erratum to: Strong expression of polypeptide N-acetylgalactosaminyltransferase 3
independently predicts shortened disease-free survival in patients with early
stage oral squamous cell carcinoma.
PMID- 26563376
TI - Association of promoter polymorphisms of Fas -FasL genes with development of
Chronic Myeloid Leukemia.
AB - Chronic myeloid leukemia (CML) is a monoclonal myeloproliferative disorder of
hematopoietic stem cells (HSCs), characterized by reciprocal translocation,
leading to the formation of BCR-ABL oncogene with constitutive tyrosine kinase
(TK) activity. This oncogene is known to deregulate different downstream pathways
which ultimately lead to cell proliferation, defective DNA repair, and inhibition
of apoptosis. Fas (Fas cell surface death receptor) is a member of tumor necrosis
factor (TNF) superfamily which interacts with its ligand, FasL, to initiate
apoptosis. Promoter polymorphisms in Fas-FasL genes are known to influence the
apoptotic signaling. Hence, the present study has been aimed to find out the
association of the promoter polymorphisms in Fas and FasL genes with the
development and progression of CML. Blood samples from 772 subjects (386 controls
and 386 cases) were collected and genotyped for Fas-FasL gene polymorphisms
through PCR-RFLP method. The association between SNPs and clinical outcome was
analyzed using statistical softwares like SPSS version 20, SNPSTATs, and
Haploview 2.1. The study revealed a significant association of Fas -670 G>A and
FasL -844 T>C polymorphisms with the development of CML while Fas -670 AG was
associated with accelerated phase. Combined risk analysis by taking the risk
genotypes in cases and controls revealed a significant increase in CML risk with
increase in number of risk genotypes (one risk genotype-OR 1.99 (1.44-2.76), p <
0.0001; two risk genotypes-OR 3.33 (1.91-5.81), p < 0.0001). Kaplan-Meier
survival analysis of Fas -670 A>G and FasL -844 T>C showed reduced event-free
survival in patients carrying the variant genotypes, Fas -670 GG, 32.363 +/-
6.33, and FasL -844 CC, 33.489 +/- 5.83, respectively. Our findings revealed a
significant association of Fas -670 GG, FasL -844 TC, and CC genotypes with
increased risk of CML.
PMID- 26563378
TI - Urinary Potassium Excretion and Renal and Cardiovascular Complications in
Patients with Type 2 Diabetes and Normal Renal Function.
AB - BACKGROUND AND OBJECTIVES: We investigated the association of urinary potassium
and sodium excretion with the incidence of renal failure and cardiovascular
disease in patients with type 2 diabetes. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: A total of 623 Japanese type 2 diabetic patients with eGFR>=60
ml/min per 1.73 m(2) were enrolled in this observational follow-up study between
1996 and 2003 and followed-up until 2013. At baseline, a 24-hour urine sample was
collected to estimate urinary potassium and sodium excretion. The primary end
point was renal and cardiovascular events (RRT, myocardial infarction, angina
pectoris, stroke, and peripheral vascular disease). The secondary renal end
points were the incidence of a 50% decline in eGFR, progression to CKD stage 4
(eGFR<30 ml/min per 1.73 m(2)), and the annual decline rate in eGFR. RESULTS:
During the 11-year median follow-up period, 134 primary end points occurred.
Higher urinary potassium excretion was associated with lower risk of the primary
end point, whereas urinary sodium excretion was not. The adjusted hazard ratios
for the primary end point in Cox proportional hazards analysis were 0.56 (95%
confidence interval [95% CI], 0.33 to 0.95) in the third quartile of urinary
potassium excretion (2.33-2.90 g/d) and 0.33 (95% CI, 0.18 to 0.62) in the fourth
quartile (>2.90 g/d) compared with the lowest quartile (<1.72 g/d). Similar
associations were observed for the secondary renal end points. The annual decline
rate in eGFR in the fourth quartile of urinary potassium excretion (-1.3 ml/min
per 1.73 m(2)/y; 95% CI, -1.5 to -1.0) was significantly slower than those in the
first quartile (-2.2; 95% CI, -2.4 to -1.8). CONCLUSIONS: Higher urinary
potassium excretion was associated with the slower decline of renal function and
the lower incidence of cardiovascular complications in type 2 diabetic patients
with normal renal function. Interventional trials are necessary to determine
whether increasing dietary potassium is beneficial.
PMID- 26563379
TI - Targeting Blood Vessel Stiffness to Protect Kidney Function.
PMID- 26563380
TI - Arterial Stiffness and Decline in Kidney Function.
AB - BACKGROUND AND OBJECTIVES: The independent link between arterial stiffness and
CKD remains unknown. We investigated the association of indicators of arterial
stiffness with decline in kidney function. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: We studied 3666 participants (mean age =65 years old; 58% women)
from the Rotterdam Study. Pulse pressure (PP), carotid stiffness, and pulse wave
velocity (PWV) were measured. We created genetic risk scores for PP and PWV.
Annual declines in kidney function and incident CKD were assessed using eGFR. To
put our findings in context of the literature, we performed a meta-analysis of
the available population-based studies. RESULTS: After a median (interquartile
range) follow-up time of 11 (10.7-11.3) years, 601 participants with incident CKD
were recognized. In the model adjusted for age, sex, mean arterial pressure,
heart rate, and baseline GFR, each SD higher PP was associated with 0.15-ml/min
per 1.73 m(2) steeper annual eGFR decline (95% confidence interval [95% CI], 0.10
to 0.20) and 11% higher risk of incident CKD (95% CI, 1.05 to 1.18). Each SD
greater carotid stiffness was associated with 0.08-ml/min per 1.73 m(2) steeper
annual eGFR decline (95% CI, 0.04 to 0.13) and 13% higher risk of incident CKD
(95% CI, 1.05 to 1.22). Each SD higher PWV was associated with 7% higher risk of
incident CKD (95% CI, 1.00 to 1.14). Incorporating our findings in a meta
analysis, each SD higher PP and PWV were associated with 16% (95% CI, 1.12 to
1.21) and 8% (95% CI, 1.03 to 1.14) higher risks of incident CKD. Each SD higher
PP genetic risk score was associated with 0.06-ml/min per 1.73 m(2) steeper
annual eGFR decline (95% CI, 0.01 to 0.10) and 8% higher risk of incident CKD
(95% CI, 1.03 to 1.14). There was no association between PWV genetic risk score
and kidney function decline. CONCLUSIONS: Higher indices of arterial stiffness
are associated with steeper decline in kidney function. This suggests that
vascular stiffness could be considered as a target for delaying decline in kidney
function.
PMID- 26563381
TI - Monitoring of timely and delayed vaccinations: a nation-wide registry-based study
of Norwegian children aged < 2 years.
AB - BACKGROUND: Delayed vaccinations increase the risk for vaccine preventable
diseases (VPDs). Monitoring of delayed vaccinations by using a national
immunisation registry has not been studied in countries recommending a two-dose
(3 and 5 months of age) primary series of e.g., pertussis vaccine.
Surveillance/monitoring of all vaccinations may improve vaccination programmes
functioning. METHODS: We obtained information from the Norwegian immunisation
registry (SYSVAK) on all programme vaccinations received at age up to 730 days in
children born in 2010 (n = 63,382). Timely vaccinations were received up to 7
days after the recommended age. Vaccinations were considered delayed if they were
received more than one month after the recommended age in the schedule. RESULTS:
In vaccinated children, timely administration of the subsequent three doses of
pertussis and one dose of measles occurred in 73.8, 47.6, 53.6 and 43.5 %
respectively. Delay for one or more programme vaccinations (diphtheria, tetanus,
pertussis, polio, Haemophilus influenza type B, invasive pneumococcal disease,
measles, mumps or rubella) was present in 28,336 (44.7 %) children. Among those
who were delayed the mean duration was 139 days. The proportion of children that
had vaccinations delayed differed among counties (range 37.4 %-57.8 %). Immigrant
children were more frequently delayed 52.3 % vs. 43.1 %, RR 1.21 (95 % CI 1.19,
1.24). Children scheduled for vaccines in the summer holiday month (July) were
more frequently delayed than others (1(st) dose pertussis vaccine 6.5 % vs. 3.9 %
RR 1.65 (95 % CI 1.48, 1.85). Priming against pertussis (2(nd) dose),
pneumococcal (2(nd) dose) and measles (1(st) dose) was delayed in 16.8, 18.6 and
29.3 % respectively. CONCLUSION: Vaccinations were frequently delayed. Delayed
vaccinations differed among counties and occurred more frequently during the
summer vacation (July) and in the immigrant population. Monitoring improves
programme surveillance and may be used on an annual basis.
PMID- 26563382
TI - Social media could provide early warning of virus outbreaks.
PMID- 26563383
TI - Agricultural vulnerability over the Chinese Loess Plateau in response to climate
change: Exposure, sensitivity, and adaptive capacity.
AB - Understanding how the vulnerability of agricultural production to climate change
can differ spatially has practical significance to sustainable management of
agricultural systems worldwide. Accordingly, this study developed a conceptual
framework to assess the agricultural vulnerability of 243 rural counties on the
Chinese Loess Plateau. Indicators representing the climate/agriculture interface
were selected to describe exposure and sensitivity, while stocks of certain
capitals were used to describe adaptive capacity. A vulnerability index for each
county was calculated and the spatial distribution was mapped. Results showed
that exposure, sensitivity, and adaptive capacity occur independently, with most
contributing indicator values concentrated in a narrow range after normalization.
Within the 49 most vulnerable counties, which together encompass 81 % of the
vulnerability index range, 42 were characterized by high exposure and sensitivity
but low adaptive capacity. The most vulnerable area was found to be located in
the central northeast-southwest belt of Loess Plateau. Adaptation measures for
both ecological restoration and economic development are needed and potential
adaptation options need further investigation.
PMID- 26563385
TI - Cancer in ESRD: Clear on the Epidemiology, Hazy on the Mechanisms.
PMID- 26563384
TI - Variation in Cancer Incidence among Patients with ESRD during Kidney Function and
Nonfunction Intervals.
AB - Among patients with ESRD, cancer risk is affected by kidney dysfunction and by
immunosuppression after transplant. Assessing patterns across periods of dialysis
and kidney transplantation may inform cancer etiology. We evaluated 202,195
kidney transplant candidates and recipients from a linkage between the Scientific
Registry of Transplant Recipients and cancer registries, and compared incidence
in kidney function intervals (time with a transplant) with incidence in
nonfunction intervals (waitlist or time after transplant failure), adjusting for
demographic factors. Incidence of infection-related and immune-related cancer was
higher during kidney function intervals than during nonfunction intervals.
Incidence was most elevated for Kaposi sarcoma (hazard ratio [HR], 9.1; 95%
confidence interval (95% CI), 4.7 to 18), non-Hodgkin's lymphoma (HR, 3.2; 95%
CI, 2.8 to 3.7), Hodgkin's lymphoma (HR, 3.0; 95% CI, 1.7 to 5.3), lip cancer
(HR, 3.4; 95% CI, 2.0 to 6.0), and nonepithelial skin cancers (HR, 3.8; 95% CI,
2.5 to 5.8). Conversely, ESRD-related cancer incidence was lower during kidney
function intervals (kidney cancer: HR, 0.8; 95% CI, 0.7 to 0.8 and thyroid
cancer: HR, 0.7; 95% CI, 0.6 to 0.8). With each successive interval, incidence
changed in alternating directions for non-Hodgkin's lymphoma, melanoma, and lung,
pancreatic, and nonepithelial skin cancers (higher during function intervals),
and kidney and thyroid cancers (higher during nonfunction intervals). For many
cancers, incidence remained higher than in the general population across all
intervals. These data indicate strong short-term effects of kidney dysfunction
and immunosuppression on cancer incidence in patients with ESRD, suggesting a
need for persistent cancer screening and prevention.
PMID- 26563386
TI - CD8+ Immunosenescence Predicts Post-Transplant Cutaneous Squamous Cell Carcinoma
in High-Risk Patients.
AB - Most morbidity associated with malignancy in long-term renal transplant
recipients is due to cutaneous squamous cell carcinoma (SCC). Previously
identified measures to stratify SCC risk have limited use, however. We
hypothesized that an increased proportion of senescent, terminally differentiated
CD8(+) T cells would identify renal transplant recipients at elevated SCC risk.
Peripheral blood lymphocytes were isolated from 117 stable transplant recipients
at high risk of SCC and analyzed phenotypically by flow cytometry. Participants
were followed up prospectively for SCC development. The predictive value of
variables was assessed using Cox regression. Age at transplant and enrollment,
dialysis duration, and previous disease were predictive of SCC development during
follow-up. Previously published clinical phenotype-based risk scores lost
predictive value with the removal of age as a covariate. The percentage of CD57
expressing CD8(+) T cells was the strongest immunologic predictor of future SCC
and correlated with increasing CD8(+) T cell differentiation. We dichotomized
participants into those with a majority (CD57hi) and a minority (CD57lo) of
CD8(+) T cells expressing CD57; CD57hi participants were more likely to develop
SCC during follow-up (hazard ratio, 2.9; 95% confidence interval, 1.0 to 8.0),
independent of potential confounders, and tended to develop earlier recurrence.
The CD57hi phenotype was stable with time and associated with increasing age and
cytomegalovirus seropositivity. Our results show that the CD57hi phenotype is a
strong predictor of SCC development and recurrence in this cohort of long-term,
high-risk renal transplant recipients. This information may allow identification
of recipients who may benefit from intensive dermatologic screening and
immunosuppression reduction.
PMID- 26563388
TI - Budget cuts have worsened quality of mental healthcare, think tank warns.
PMID- 26563387
TI - Surgical treatment of gallbladder carcinoma: a critical review.
AB - Gallbladder carcinoma is a relatively uncommon cancer of gastrointestinal (GI)
tract. Medical literature is full of nihilistic reports about the treatment of
gallbladder carcinoma, especially due to its resistance to current
radiotherapeutic or chemotherapeutic treatment modalities and difficult surgical
approach for complete resection of these tumors. Herein, we review current
diagnostic and therapeutic approaches to this rare GI cancer.
PMID- 26563389
TI - The cannabinoid CB1 receptor and mTORC1 signalling pathways interact to modulate
glucose homeostasis in mice.
AB - The endocannabinoid system (ECS) is an intercellular signalling mechanism that is
present in the islets of Langerhans and plays a role in the modulation of insulin
secretion and expansion of the beta-cell mass. The downstream signalling pathways
mediating these effects are poorly understood. Mammalian target of rapamycin
complex 1 (mTORC1) signalling is a key intracellular pathway involved in energy
homeostasis and is known to importantly affect the physiology of pancreatic
islets. We investigated the possible relationship between cannabinoid type 1
(CB1) receptor signalling and the mTORC1 pathway in the endocrine pancreas of
mice by using pharmacological analysis as well as mice genetically lacking the
CB1 receptor or the downstream target of mTORC1, the kinase p70S6K1. In vitro
static secretion experiments on islets, western blotting, and in vivo glucose and
insulin tolerance tests were performed. The CB1 receptor antagonist rimonabant
decreased glucose-stimulated insulin secretion (GSIS) at 0.1 uM while increasing
phosphorylation of p70S6K1 and ribosomal protein S6 (rpS6) within the islets.
Specific pharmacological blockade of mTORC1 by 3 nM rapamycin, as well as genetic
deletion of p70S6K1, impaired the CB1-antagonist-mediated decrease in GSIS. In
vivo experiments showed that 3 mg/kg body weight rimonabant decreased insulin
levels and induced glucose intolerance in lean mice without altering peripheral
insulin sensitivity; this effect was prevented by peripheral administration of
low doses of rapamycin (0.1 mg/kg body weight), which increased insulin
sensitivity. These findings suggest a functional interaction between the ECS and
the mTORC1 pathway within the endocrine pancreas and at the whole-organism level,
which could have implications for the development of new therapeutic approaches
for pancreatic beta-cell diseases.
PMID- 26563390
TI - Longitudinal micro-CT provides biomarkers of lung disease that can be used to
assess the effect of therapy in preclinical mouse models, and reveal compensatory
changes in lung volume.
AB - In vivo lung micro-computed tomography (micro-CT) is being increasingly embraced
in pulmonary research because it provides longitudinal information on dynamic
disease processes in a field in which ex vivo assessment of experimental disease
models is still the gold standard. To optimize the quantitative monitoring of
progression and therapy of lung diseases, we evaluated longitudinal changes in
four different micro-CT-derived biomarkers [aerated lung volume, lung tissue
(including lesions) volume, total lung volume and mean lung density], describing
normal development, lung infections, inflammation, fibrosis and therapy. Free
breathing mice underwent micro-CT before and repeatedly after induction of lung
disease (bleomycin-induced fibrosis, invasive pulmonary aspergillosis, pulmonary
cryptococcosis) and therapy (imatinib). The four lung biomarkers were quantified.
After the last time point, we performed pulmonary function tests and isolated the
lungs for histology. None of the biomarkers remained stable during longitudinal
follow-up of adult healthy mouse lungs, implying that biomarkers should be
compared with age-matched controls upon intervention. Early inflammation and
progressive fibrosis led to a substantial increase in total lung volume, which
affects the interpretation of aerated lung volume, tissue volume and mean lung
density measures. Upon treatment of fibrotic lung disease, the improvement in
aerated lung volume and function was not accompanied by a normalization of the
increased total lung volume. Significantly enlarged lungs were also present in
models of rapidly and slowly progressing lung infections. The data suggest that
total lung volume changes could partly reflect a compensatory mechanism that
occurs during disease progression in mice. Our findings underscore the importance
of quantifying total lung volume in addition to aerated lung or lesion volumes to
accurately document growth and potential compensatory mechanisms in mouse models
of lung disease, in order to fully describe and understand dynamic processes
during lung disease onset, progression and therapy. This is highly relevant for
the translation of therapy evaluation results from preclinical studies to human
patients.
PMID- 26563392
TI - Pharmacokinetics of Curcumin Diethyl Disuccinate, a Prodrug of Curcumin, in
Wistar Rats.
AB - BACKGROUND AND OBJECTIVES: Curcumin is the major bioactive component of turmeric,
but has poor oral bioavailability that limits its clinical applications. To
improve the in vitro solubility and alkaline stability, we developed a prodrug of
curcumin by succinylation to obtain curcumin diethyl disuccinate, with the goal
of improving the oral bioavailability of curcumin. METHODS: The in vivo
pharmacokinetic profile of curcumin diethyl disuccinate was compared with that of
curcumin in male Wistar rats. Doses of curcumin 20 mg/kg intravenous or 40 mg/kg
oral were used as standard regimens for comparison with the prodrug at equivalent
doses in healthy adult rats. Blood, tissues, urine, and faeces were collected
from time zero to 48 h after dosing to determine the prodrug level, curcumin
level and a major metabolite by liquid chromatography-tandem spectrometry.
RESULTS: The absolute oral bioavailability of curcumin diethyl disuccinate was
not significantly improved compared with curcumin, with both compounds having
oral bioavailability of curcumin less than 1 %. The major metabolic pathway of
the prodrug was rapid hydrolysis to obtain curcumin, followed by glucuronidation.
Interestingly, curcumin diethyl disuccinate gave superior tissue distribution
with higher tissue to plasma ratio of curcumin and curcumin glucuronide in
several organs after intravenous dosing at 1 and 4 h. The primary elimination
route of curcumin glucuronide occurred via biliary and faecal excretion, with
evidence of an entry into the enterohepatic circulation. CONCLUSION: Curcumin
diethyl disuccinate did not significantly improve the oral bioavailability of
curcumin due to first pass metabolism in the gastrointestinal tract. Further
studies on reduction of first pass metabolism are required to optimise delivery
of curcumin using a prodrug approach.
PMID- 26563391
TI - Neuroimmunotherapies Targeting T Cells: From Pathophysiology to Therapeutic
Applications.
AB - Therapeutic options for multiple sclerosis (MS) have significantly increased over
the last few years. T lymphocytes are considered to play a central role in
initiating and perpetuating the pathological immune response. Currently approved
therapies for MS target T lymphocytes, either in an unspecific manner or directly
by interference with specific T-cell pathways. While the concept of "T-cell
specific therapy" implies specificity and selectivity, currently approved
approaches come from a general shaping of the immune system towards anti
inflammatory immune responses by non-T-cell-selective immune suppression or
immune modulation (e.g., interferons-immune modulation approach) to a depletion
of immune cell populations involving T cells (e.g., anti-CD52, alemtuzumab-immune
selective depletion approach), or a selective inhibition of distinct molecular
pathways in order to sequester leucocytes (e.g., natalizumab-leukocyte
sequestration approach). This review will highlight the rationale and results of
different T-cell-directed therapeutic approaches coming from basic animal
experiments to clinical trials. We will first discuss the pathophysiological
rationale for targeting T lymphocytes in MS leading to currently approved
treatments acting on T lymphocytes. Furthermore, we will disuss previous
promising concepts that have failed to show efficacy in clinical trials or were
halted as a result of unexpected adverse events. Learning from the discrepancies
between expectations and failures in practical outcomes helps to optimize future
research approaches and clinical study designs. As our current view of MS
pathogenesis and patient needs is rapidly evolving, novel therapeutic approaches
targeting T lymphocytes will also be discussed, including specific molecular
interventions such as cytokine-directed treatments or strategies enhancing
immunoregulatory mechanisms. Based on clinical experience and novel
pathophysiological approaches, T-cell-based strategies will remain a pillarstone
of MS therapy.
PMID- 26563393
TI - Target selection biases from recent experience transfer across effectors.
AB - Target selection is often biased by an observer's recent experiences. However,
not much is known about whether these selection biases influence behavior across
different effectors. For example, does looking at a red object make it easier to
subsequently reach towards another red object? In the current study, we asked
observers to find the uniquely colored target object on each trial. Randomly
intermixed pre-trial cues indicated the mode of action: either an eye movement or
a visually guided reach movement to the target. In Experiment 1, we found that
priming of popout, reflected in faster responses following repetition of the
target color on consecutive trials, occurred regardless of whether the effector
was repeated from the previous trial or not. In Experiment 2, we examined whether
an inhibitory selection bias away from a feature could transfer across effectors.
While priming of popout reflects both enhancement of the repeated target features
and suppression of the repeated distractor features, the distractor previewing
effect isolates a purely inhibitory component of target selection in which a
previewed color is presented in a homogenous display and subsequently inhibited.
Much like priming of popout, intertrial suppression biases in the distractor
previewing effect transferred across effectors. Together, these results suggest
that biases for target selection driven by recent trial history transfer across
effectors. This indicates that representations in memory that bias attention
towards or away from specific features are largely independent from their
associated actions.
PMID- 26563394
TI - Motion influences gaze direction discrimination and disambiguates contradictory
luminance cues.
AB - In two experiments, we investigated the role of apparent motion in discriminating
left/right gaze deviation judgments. We demonstrated that discrimination accuracy
and response confidence was significantly higher when the eyes were moved to the
left or right, compared to when the eyes were presented in their final shifted
position (static images). To dissociate the role of motion signals from luminance
signals, gaze stimuli were also presented in reverse contrast. Replicating past
studies polarity reversal had a profound and detrimental effect on gaze
discrimination in static images, although, intriguingly, while response
confidence remained low, participant performance improved as gaze angle
increased. In striking contrast to these data, polarity reversal had no negative
effect on performance when the eyes were moved. We discuss these findings in the
context of a multiple-cue account of gaze perception.
PMID- 26563395
TI - The area-of-interest problem in eyetracking research: A noise-robust solution for
face and sparse stimuli.
AB - A problem in eyetracking research is choosing areas of interest (AOIs):
Researchers in the same field often use widely varying AOIs for similar stimuli,
making cross-study comparisons difficult or even impossible. Subjective choices
while choosing AOIs cause differences in AOI shape, size, and location. On the
other hand, not many guidelines for constructing AOIs, or comparisons between AOI
production methods, are available. In the present study, we addressed this gap by
comparing AOI-production methods in face stimuli, using data collected with
infants and adults (with autism spectrum disorder [ASD] and matched controls).
Specifically, we report that the attention-attracting and attention-maintaining
capacities of AOIs differ between AOI-production methods, and that this matters
for statistical comparisons in one of three groups investigated (the ASD group).
In addition, we investigated the relation between AOI size and an AOI's attention
attracting and attention-maintaining capacities, as well as the consequences for
statistical analyses, and report that adopting large AOIs solves the problem of
statistical differences between the AOI methods. Finally, we tested AOI
production methods for their robustness to noise, and report that large AOIs
using the Voronoi tessellation method or the limited-radius Voronoi tessellation
method with large radii-are most robust to noise. We conclude that large AOIs are
a noise-robust solution in face stimuli and, when implemented using the Voronoi
method, are the most objective of the researcher-defined AOIs. Adopting Voronoi
AOIs in face-scanning research should allow better between-group and cross-study
comparisons.
PMID- 26563396
TI - An automated Y-maze based on a reduced instruction set computer (RISC)
microcontroller for the assessment of continuous spontaneous alternation in rats.
AB - Continuous spontaneous alternation behavior (SAB) in a Y-maze is used for
evaluating working memory in rodents. Here, the design of an automated Y-maze
equipped with three infrared optocouplers per arm, and commanded by a reduced
instruction set computer (RISC) microcontroller is described. The software was
devised for recording only true entries and exits to the arms. Experimental
settings are programmed via a keyboard with three buttons and a display. The
sequence of arm entries and the time spent in each arm and the neutral zone (NZ)
are saved as a text file in a non-volatile memory for later transfer to a USB
flash memory. Data files are analyzed with a program developed under LabVIEW(r)
environment, and the results are exported to an Excel(r) spreadsheet file.
Variables measured are: latency to exit the starting arm, sequence and number of
arm entries, number of alternations, alternation percentage, and cumulative times
spent in each arm and NZ. The automated Y-maze accurately detected the SAB
decrease produced in rats by the muscarinic antagonist trihexyphenidyl, and its
reversal by caffeine, having 100 % concordance with the alternation percentages
calculated by two trained observers who independently watched videos of the same
experiments. Although the values of time spent in the arms and NZ measured by the
automated system had small discrepancies with those calculated by the observers,
Bland-Altman analysis showed 95 % concordance in three pairs of comparisons,
while in one it was 90 %, indicating that this system is a reliable and
inexpensive alternative for the study of continuous SAB in rodents.
PMID- 26563397
TI - Sensorimotor synchronization: neurophysiological markers of the asynchrony in a
finger-tapping task.
AB - Sensorimotor synchronization (SMS) is a form of referential behavior in which an
action is coordinated with a predictable external stimulus. The neural bases of
the synchronization ability remain unknown, even in the simpler, paradigmatic
task of finger tapping to a metronome. In this task the subject is instructed to
tap in synchrony with a periodic sequence of brief tones, and the time difference
between each response and the corresponding stimulus tone (asynchrony) is
recorded. We make a step towards the identification of the neurophysiological
markers of SMS by recording high-density EEG event-related potentials and the
concurrent behavioral response-stimulus asynchronies during an isochronous paced
finger-tapping task. Using principal component analysis, we found an asymmetry
between the traces for advanced and delayed responses to the stimulus, in
accordance with previous behavioral observations from perturbation studies. We
also found that the amplitude of the second component encodes the higher-level
percept of asynchrony 100 ms after the current stimulus. Furthermore, its
amplitude predicts the asynchrony of the next step, past 300 ms from the previous
stimulus, independently of the period length. Moreover, the neurophysiological
processing of synchronization errors is performed within a fixed-duration
interval after the stimulus. Our results suggest that the correction of a large
asynchrony in a periodic task and the recovery of synchrony after a perturbation
could be driven by similar neural processes.
PMID- 26563398
TI - Brain death determination: the imperative for policy and legal initiatives in Sub
Saharan Africa.
AB - The concept of brain death (BD), defined as irreversible loss of function of the
brain including the brainstem, is accepted in the medical literature and in
legislative policy worldwide. However, in most of Sub-Saharan Africa (SSA) there
are no legal guidelines regarding BD. Hypothetical scenarios based on our
collective experience are presented which underscore the consequences of the
absence of BD policies in resource-limited countries (RLCs). Barriers to the
development of BD laws exist in an RLC such as Kenya. Cultural, ethnic, and
religious diversity creates a complex perspective about death challenging the
development of uniform guidelines for BD. The history of the medical legal
process in the USA provides a potential way forward. Uniform guidelines for
legislation at the state level included special consideration for ethnic or
religious preferences in specific states. In SSA, medical and social consensus on
the definition of BD is a prerequisite for the development BD legislation.
Legislative policy will (1) limit prolonged and futile interventions; (2)
mitigate the suffering of families; (3) standardise clinical practice; and (4)
facilitate better allocation of scarce critical care resources in RLCs. There is
a clear-cut need for these policies, and previous successful policies can serve
to guide these efforts.
PMID- 26563399
TI - A generalized adsorption-phase transition model to describe adsorption rates in
flexible metal organic framework RPM3-Zn.
AB - Flexible gate-opening metal organic frameworks (GO-MOFs) expand or contract to
minimize the overall free energy of the system upon accommodation of an
adsorbate. The thermodynamics of the GO process are well described by a number of
models, but the kinetics of the process are relatively unexplored. A flexible GO
MOF, RPM3-Zn, exhibits a significant induction period for opening by N2 and Ar at
low temperatures, both above and below the GO pressure. A similar induction
period is not observed for H2 or O2 at comparable pressures and temperatures,
suggesting the rate of opening is strongly influenced by the gas-surface
interaction rather than an external stress. The induction period leads to severe
mass transfer limitations for adsorption and over-prediction of the gate-opening
pressure. After review of a number of existing adsorption rate models, we find
that none adequately describe the experimental rate data and similar timescales
for diffusion and opening invalidate prior reaction-diffusion models.
Statistically, the rate data are best described by a compressed exponential
function. The resulting fitted parameters exceed the expectations for adsorption
but fall within those expected for phase transition. By treating adsorption as a
phase transition, we generalize the Avrami theory of phase transition kinetics to
describe adsorption in both rigid and flexible hosts. The generalized theory is
consistent with observed experimental trends relating to induction period,
temperature, pressure, and gas-substrate interaction.
PMID- 26563400
TI - Gleason grading challenges in the diagnosis of prostate adenocarcinoma:
experience of a single institution.
AB - Gleason score (GS) is an important factor in determining management and outcome
of prostate adenocarcinoma. A standard GS scheme was introduced by ISUP 2005
consensus conference, but there is still significant discordance in grading
prostate adenocarcinomas among pathologists, especially between genitourinary
trained (GU) and non-GU pathologists. All biopsies from outside institutions
referred for definitive treatment in our hospital are reviewed by a GU
pathologist for confirmation and quality assurance. From 2011 to 2013, 117
consecutive prostate consults were retrieved and compared with the initial
outside reports as well as final radical prostatectomy (RP) results. Follow-up
prostate specific antigen (PSA) was assessed pre- and post-RP, and the results
were analyzed. The overall initial GS was higher for all specimens (p = 0.007)
especially for the RP cases (p = 0.002). Overall, the modal GS on initial
diagnosis was GS7(4 + 3) that was downgraded to the modal GS6(3 + 3) upon review.
Despite an overall substantial agreement between the non-GU and GU pathologists
[ICC = 0.66], GS by GU pathologist had higher correlation with the final GS in
the RP specimen [ICC = 0.62] than non-GU pathologist [ICC = 0.48]. GS on all
reviewed cases were found to correlate significantly with the pre-operative PSA
(p = 0.002) but the same was not true for the initial report. A non-GU
pathologist is more likely to assign a higher GS than a GU pathologist, with a
trend to overcall Gleason pattern 4. Considering the implications on treatment,
close attention must be paid to the ISUP 2005 consensus conference
recommendations.
PMID- 26563401
TI - Interobserver reproducibility in pathologist interpretation of columnar-lined
esophagus.
AB - Confirmation of endoscopically suspected esophageal metaplasia (ESEM) requires
histology, but confusion in the histological definition of columnar-lined
esophagus (CLE) is a longstanding problem. The aim of this study is to evaluate
interpathologist variability in the interpretation of CLE. Thirty pathologists
were invited to review three ten-case sets of CLE biopsies. In the first set, the
cases were provided with descriptive endoscopy only; in the second and the third
sets, ESEM extent using Prague criteria was provided. Moreover, participants were
required to refer to a diagnostic chart for evaluation of the third set.
Agreement was statistically assessed using Randolph's free-marginal multirater
kappa. While substantial agreement in recognizing columnar epithelium (K = 0.76)
was recorded, the overall concordance in clinico-pathological diagnosis was low
(K = 0.38). The overall concordance rate improved from the first (K = 0.27) to
the second (K = 0.40) and third step (K = 0.46). Agreement was substantial when
diagnosing Barrett's esophagus (BE) with intestinal metaplasia or inlet patch (K
= 0.65 and K = 0.89), respectively, in the third step, while major problems in
interpretation of CLE were observed when only cardia/cardia-oxyntic atrophic-type
epithelium was present (K = 0.05-0.29). In conclusion, precise endoscopic
description and the use of a diagnostic chart increased consistency in CLE
interpretation of esophageal biopsies. Agreement was substantial for some
diagnostic categories (BE with intestinal metaplasia and inlet patch) with a well
defined clinical profile. Interpretation of cases with cardia/cardia-oxyntic
atrophic-type epithelium, with or without ESEM, was least consistent, which
reflects lack of clarity of definition and results in variable management of this
entity.
PMID- 26563402
TI - Morphological and Chemical Characterization of the Invasive Ants in Hives of Apis
mellifera scutellata Lepeletier (Hymenoptera: Apidae).
AB - Apiculture in Brazil is quite profitable and has great potential for expansion
because of the favorable climate and abundancy of plant diversity. However, the
occurrence of pests, diseases, and parasites hinders the growth and profitability
of beekeeping. In the interior of the state of Sao Paulo, apiaries are attacked
by ants, especially the species Camponotus atriceps (Smith) (Hymenoptera:
Formicidae), which use the substances produced by Apis mellifera scutellata
(Lepeletier) (Hymenoptera: Apidae), like honey, wax, pollen, and offspring as a
source of nourishment for the adult and immature ants, and kill or expel the
adult bees during the invasion. This study aimed to understand the invasion of C.
atriceps in hives of A. m. scutellata. The individuals were classified into
castes and subcastes according to morphometric analyses, and their cuticular
chemical compounds were identified using Photoacoustic Fourier transform infrared
spectroscopy (FTIR-PAS). The morphometric analyses were able to classify the
individuals into reproductive castes (queen and gynes), workers (minor and small
ants), and the soldier subcaste (medium and major ants). Identification of
cuticular hydrocarbons of these individuals revealed that the eight beehives were
invaded by only three colonies of C. atriceps; one of the colonies invaded only
one beehive, and the other two colonies underwent a process called sociotomy and
were responsible for the invasion of the other seven beehives. The lack of
preventive measures and the nocturnal behavior of the ants favored the invasion
and attack on the bees.
PMID- 26563403
TI - Functional Response of Aphidoletes aphidimyza Rondani (Diptera: Cecidomyiidae) to
Aphis gossypii Glover (Hemiptera: Aphididae): Effects of Vermicompost and Host
Plant Cultivar.
AB - Interactions between natural enemies and herbivores may be affected by
application of fertilizers and different cultivars. We investigated the
functional response of the predatory gall midge, Aphidoletes aphidimyza Rondani
(Diptera: Cecidomyiidae) larvae to the nymphs of the melon aphid, Aphis gossypii
Glover (Hemiptera: Aphididae), reared on two commonly grown cucumber cultivars in
Iran (Khasib and Karim) treated with different vermicompost/soil ratios (0:100,
10:90, 20:80, and 30:70%). Based on logistic regression analysis, A. aphidimyza
revealed a type II functional response to the aphid in all treatments. Attack
rates and handling times of A. aphidimyza larvae on different vermicompost/soil
ratios ranged from 0.076 to 0.140 h(-1) and 0.969 to 1.164 h on Khasib and from
0.092 to 0.123 h(-1) and 0.905 to 1.229 h on Karim, respectively. Furthermore,
increasing the density of the melon aphid on both cultivars amended with
vermicompost/soil ratios resulted in increased prey consumption by the predator.
Density of trichomes increased when plants received higher concentrations of
vermicompost. So, trichomes may be responsible for different attack rates and
handling times of A. aphidimyza on both cultivars.
PMID- 26563405
TI - Effectiveness of rehabilitation in multiple sclerosis relapse on fatigue, self
efficacy and physical activity.
AB - Relapse of disease is one of the most prominent characteristics of multiple
sclerosis. Effectiveness of rehabilitation programmes on fatigue, self-efficacy
(SE) and physical activity (PA) has not been investigated so far in context of
relapse. The aim of our study was to examine if rehabilitation programme in
addition to high-dose methylprednisolone (HDMP) during relapse of disease can
influence fatigue, SE and PA more than corticosteroid therapy alone. Patients
were randomized in control group receiving only HDMP and experimental group which
was in addition included in rehabilitation programme. Outcome measures used were
Fatigue Severity Scale (FSS), Multiple Sclerosis Self- Efficacy scale (MSSES),
Godin Leisure-Time Exercise Questionnaire (GLTEQ), completed on baseline, 1 and 3
months later. There was no significant change in FSS in both time points, despite
different trend seen between groups. The mean MSSES for function and control
improved significantly in treatment group after 1 month (807.1 +/- 96.8, p =
0.005; 665.3 +/- 145.1, p = 0.05) and 3 months (820 +/- 83.5, p = 0.004; 720.0 +/
198.2, p = 0.016.) compared to baseline values. The mean GLTEQ score was
significantly higher in the treatment group compared to the control at both
follow-up time points (45.7 +/- 7.6, p < 0.001; 34.3 +/- 22.4, p < 0.01).
Rehabilitation started along with corticosteroid treatment induced significant
improvement in PA compared to HDMP therapy alone. It also influenced noticeable
changes in self-efficacy, but effect on fatigue was insufficient.
PMID- 26563404
TI - Ectopic transgene expression in the retina of four transgenic mouse lines.
AB - Retinal expression of transgenes was examined in four mouse lines. Two constructs
were driven by the choline acetyltransferase (ChAT) promoter: green fluorescent
protein conjugated to tau protein (tau-GFP) or cytosolic yellow fluorescent
protein (YFP) generated through CRE recombinase-induced expression of Rosa26
(ChAT-CRE/Rosa26YFP). Two other constructs targeted inhibitory interneurons:
GABAergic horizontal and amacrine cells identified by glutamic acid decarboxylase
(GAD65-GFP) or parvalbumin (PV) cells (PV-CRE/Rosa26YFP). Animals were
transcardially perfused and retinal sections prepared. Antibodies against PV,
calretinin (CALR), calbindin (CALB), and tyrosine hydroxylase (TH) were used to
counterstain transgene-expressing cells. In PVxRosa and ChAT-tauGFP constructs,
staining appeared in vertically oriented row of processes resembling Muller
cells. In the ChATxRosa construct, populations of amacrine cells and neurons in
the ganglion cell layer were labeled. Some cones also exhibited GFP fluorescence.
CALR, PV and TH were found in none of these cells. Occasionally, we found
GFP/CALR and GFP/PV double-stained cells in the ganglion cell layer (GCL). In the
GAD65-GFP construct, all layers of the neuroretina were labeled, except
photoreceptors. Not all horizontal cells expressed GFP. We did not find GFP/TH
double-labeled cells and GFP was rarely present in CALR- and CALB-containing
cells. Many PV-positive neurons were also labeled for GFP, including small
diameter amacrines. In the GCL, single labeling for GFP and PV was ascertained,
as well as several CALR/PV double-stained neurons. In the GCL, cells triple
labeled with GFP/CALR/CALB were sparse. In conclusion, only one of the four
transgenic constructs exhibited an expression pattern consistent with endogenous
retinal protein expression, while the others strongly suggested ectopic gene
expression.
PMID- 26563406
TI - Facial palsy in cerebral venous thrombosis: an atypical case in adults.
PMID- 26563407
TI - Intravenous theophylline rapidly decreases post-lumbar puncture headaches.
AB - When managing therapy for the post-lumbar puncture headaches (PLPHs), an
efficacious, fast-acting, practical and safe method is preferred. Invasive
methods have known complications and oral medications might be problematic when
nausea and vomiting occurs with severe headaches. The aim of this study was to
highlight the brief initial time for a remarkable decrease of PLPH pain levels
after the administration of IV theophylline infusion. We observed that IV
theophylline infusion has a rapid and marked effect on decreasing pain in PLPHs.
At 30 min of theophylline infusion, mean VAS levels were decreased by 47.1 % and
at 60 min of infusion, the decrease of pain was 61.9 %. We conclude that IV
theophylline infusion is a rapidly effective, noninvasive, practical and low-cost
way to treat PLPHs. To the best of our knowledge, this is the first study to
highlight both the efficacy and the speed of the effect of pain relief in PLPHs.
PMID- 26563408
TI - Restless legs syndrome is associated with poor sleep quality and quality of life
in patients with ankylosing spondylitis: a questionnaire-based study.
AB - We aimed to investigate the frequency of restless legs syndrome (RLS) and the
associations between RLS and quality of sleep and life in patients with
ankylosing spondylitis (AS). One hundred and eight AS patients and 64 controls
were included in this study. Demographics, clinical, and laboratory data were
recorded. The presence of RLS was determined with face-to-face interview by an
experienced neurologist based on the International RLS Study Group criteria. RLS
severity was evaluated using International RLS Study Group rating scale. Sleep
quality and insomnia severity were assessed by Pittsburgh sleep quality index
(PSQI) and insomnia severity index (ISI), respectively. Disease-related quality
of life was evaluated by AS quality of life questionnaire (ASQoL). The frequency
of RLS was significantly higher in AS patients than in controls (36.4 vs. 14.0 %,
p = 0.004). RLS severity score for AS patients was significantly higher than that
for controls (p = 0.03). The AS patients had higher scores in the subjective
sleep quality, sleep latency, sleep duration, habitual sleep efficiency, sleep
disturbances, use of sleep medication domains of PSQI, and also total PSQI and
ISI than controls (p < 0.05, for all). ASQoL scores were higher in AS patients
with RLS compared to those without RLS (p < 0.001). RLS severity was observed to
be independently associated with total PSQI, ISI and ASQoL (p < 0.05, for all).
As RLS may adversely affect the sleep and quality of life in AS patients,
clinicians should be aware of RLS for early diagnosis and management in AS
patients.
PMID- 26563409
TI - Rhenium tetrazolato complexes coordinated to thioalkyl-functionalised
phenanthroline ligands: synthesis, photophysical characterisation, and incubation
in live HeLa cells.
AB - Three new complexes of formulation fac-[Re(CO)3(diim)L], where diim is either
1,10-phenanthroline or 1,10-phenanthroline functionalised at position 5 by a
thioalkyl chain, and L is either a chloro or aryltetrazolato ancillary ligand,
were synthesised and photophysically characterised. The complexes exhibit
phosphorescent emission with maxima around 600 nm, originating from triplet metal
to-ligand charge transfer states with partially mixed ligand-to-ligand charge
transfer character. The emission is relatively long-lived, within the 200-400 ns
range, and with quantum yields of 2-4%. The complexes were trialed as cellular
markers in live HeLa cells, along with two previously reported rhenium
tetrazolato complexes bound to unsubstituted 1,10-phenanthroline. All five
complexes exhibit good cellular uptake and non-specific perinuclear localisation.
Upon excitation at 405 nm, the emission from the rhenium complexes could be
clearly distinguished from autofluorescence, as demonstrated by spectral
detection within the live cells. Four of the complexes did not appear to be
toxic, however prolonged excitation could result in membrane blebbing. No major
sign of photobleaching was detected upon multiple imaging on the same cell
sample.
PMID- 26563410
TI - Burkholderia pseudomallei induces IL-23 production in primary human monocytes.
AB - Burkholderia pseudomallei, a gram-negative intracellular bacterium, is a
causative agent of melioidosis. The bacterium has been shown to induce the innate
immune response, particularly pro-inflammatory cytokine production in several of
both mouse and human cell types. In the present study, we investigate host immune
response in B. pseudomallei-infected primary human monocytes. We discover that
wild-type B. pseudomallei is able to survive and multiply inside the primary
human monocytes. In contrast, B. pseudomallei LPS mutant, a less virulent strain,
is susceptible to host killing during bacterial infection. Moreover, microarray
result showed that wild-type B. pseudomallei but not B. pseudomallei LPS mutant
is able to activate gene expression of IL-23 as demonstrated by the up-regulation
of p19 and p40 subunit expression. Consistent with gene expression analysis, the
secretion of IL-23 analyzed by ELISA also showed that wild-type B. pseudomallei
induces a significantly higher level of IL-23 secretion than that of B.
pseudomallei LPS mutant. These results implied that IL-23 may be an important
cytokine for the innate immune response during B. pseudomallei infection. The
regulation of IL-23 production may drive the different host innate immune
responses between patients and may relate to the severity of melioidosis.
PMID- 26563411
TI - Safety and Efficacy of Left Atrial Appendage Closure with the Amplatzer Cardiac
Plug in Very High Stroke and Bleeding Risk Patients with Non-Valvular Atrial
Fibrillation.
AB - INTRODUCTION: Limited data exist on the outcomes after left atrial appendage
closure (LAAC) with the AmplatzerTM Cardiac Plug (ACP; St. Jude Medical,
Minneapolis, MN, USA) in patients with atrial fibrillation (AF) with very high
stroke and bleeding risks, the subset expected to benefit most from this
procedure. The objective of this study was to report clinical outcomes after LAAC
with the ACP device in a very high stroke and bleeding risk cohort of patients
with non-valvular AF and contraindications to oral anticoagulation (OAC).
METHODS: LAAC using the ACP device was performed in 96 patients with AF who had
median CHA2DS2-VASc and HAS-BLED scores of 5 and 3, respectively. Post-procedure,
patients received dual antiplatelet therapy for 6 months. A transesophageal
echocardiography (TEE) was scheduled at 6 months. RESULTS: Procedural success was
100%. Procedural-related complications occurred in 7.3% (pericardial effusion,
4.2%; thromboembolic events, 2.1%; device embolization, 1.0%). Additional
thromboembolic events occurred in three patients during follow-up (92.7% follow
up). After 93.4 patient-years of follow-up, the annual rates of thromboembolic
and major bleeding events were 3.2% and 1.1%, respectively. In those with TEE
follow-up (70%), complete LAAC with no leaks was observed. Thrombus formation on
the device was noted on TEE in two patients. CONCLUSION: LAAC using the ACP
device was associated with an acceptable low rate of embolic and bleeding events
after a median follow-up of 9 months in a cohort of patients with AF who were
amongst the highest stroke and bleeding risks reported so far in LAAC trials.
PMID- 26563412
TI - User-friendly aerobic reductive alkylation of iridium(III) porphyrin chloride
with potassium hydroxide: scope and mechanism.
AB - Alkylation of iridium 5,10,15,20-tetrakistolylporphyrinato carbonyl chloride,
Ir(ttp)Cl(CO) (1), with 1 degrees , 2 degrees alkyl halides was achieved to give
(ttp)Ir-alkyls in good yields under air and water compatible conditions by
utilizing KOH as the cheap reducing agent. The reaction rate followed the order:
RCl < RBr < RI (R = alkyl), and suggests an SN2 pathway by [Ir(I)(ttp)](-).
Ir(ttp)-adamantyl was obtained under N2 when 1-bromoadamantane was utilized,
which could only undergo bromine atom transfer pathway. Mechanistic
investigations reveal a substrate dependent pathway of SN2 or halogen atom
transfer.
PMID- 26563413
TI - Community-Level Physiological Profiling of Microbial Communities in Constructed
Wetlands: Effects of Sample Preparation.
AB - Community-level physiological profiling (CLPP) using BIOLOG(r) EcoPlatesTM has
become a popular method for characterizing and comparing the functional
diversity, functional potential, and metabolic activity of heterotrophic
microbial communities. The method was originally developed for profiling soil
communities; however, its usage has expanded into the fields of ecotoxicology,
agronomy, and the monitoring and profiling of microbial communities in various
wastewater treatment systems, including constructed wetlands for water pollution
control. When performing CLPP on aqueous samples from constructed wetlands, a
wide variety of sample characteristics can be encountered and challenges may
arise due to excessive solids, color, or turbidity. The aim of this study was to
investigate the impacts of different sample preparation methods on CLPP performed
on a variety of aqueous samples covering a broad range of physical and chemical
characteristics. The results show that using filter paper, centrifugation, or
settling helped clarify samples for subsequent CLPP analysis, however did not do
so as effectively as dilution for the darkest samples. Dilution was able to
provide suitable clarity for the darkest samples; however, 100-fold dilution
significantly affected the carbon source utilization patterns (CSUPs),
particularly with samples that were already partially or fully clear. Ten-fold
dilution also had some effect on the CSUPs of samples which were originally
clear; however, the effect was minimal. Based on these findings, for this
specific set of samples, a 10-fold dilution provided a good balance between ease
of use, sufficient clarity (for dark samples), and limited effect on CSUPs. The
process and findings outlined here can hopefully serve future studies looking to
utilize CLPP for functional analysis of microbial communities and also assist in
comparing data from studies where different sample preparation methods were
utilized.
PMID- 26563414
TI - Myocardial infarction: stem cell transplantation for cardiac regeneration.
AB - It is estimated that by 2030, almost 23.6 million people will perish from
cardiovascular disease, according to the WHO. The review discusses advances in
stem cell therapy for myocardial infarction, including cell sources, methods of
differentiation, expansion selection and their route of delivery. Skeletal muscle
cells, hematopoietic cells and mesenchymal stem cells (MSCs) and embryonic stem
cells (ESCs)-derived cardiomyocytes have advanced to the clinical stage, while
induced pluripotent cells (iPSCs) are yet to be considered clinically. Delivery
of cells to the sites of injury and their subsequent retention is a major issue.
The development of supportive scaffold matrices to facilitate stem cell retention
and differentiation are analyzed. The review outlines clinical translation of
conjugate stem cell-based cellular therapeutics post-myocardial infarction.
PMID- 26563415
TI - The mating-type locus b of the sugarcane smut Sporisorium scitamineum is
essential for mating, filamentous growth and pathogenicity.
AB - Sporisorium scitamineum is the causal agent of sugarcane smut, which is one of
the most serious constraints to global sugarcane production. S. scitamineum and
Ustilago maydis are two closely related smut fungi, that are predicted to harbor
similar sexual mating processes/system. To elucidate the molecular basis of
sexual mating in S. scitamineum, we identified and deleted the ortholog of mating
specific U. maydis locus b, in S. scitamineum. The resultant b-deletion mutant
was defective in mating and pathogenicity in S. scitamineum. Furthermore, a
functional b locus heterodimer could trigger filamentous growth without mating in
S. scitamineum, and functionally replace the b locus in U. maydis in terms of
triggering aerial filament production and forming solopathogenic strains, which
do not require sexual mating prior to pathogenicity on the host plants.
PMID- 26563416
TI - Functional roles of a predicted branched chain aminotransferase encoded by the
LkBAT1 gene of the yeast Lachancea kluyveri.
AB - Branched chain amino acid aminotransferases (BCATs) catalyze the last step of the
biosynthesis and the first step of the catabolism of branched chain amino acids.
In Saccharomyces cerevisiae, BCATs are encoded by the ScBAT1 and ScBAT2
paralogous genes. Analysis of Lachancea kluyveri genome sequence, allowed the
identification of the LkBAT1 locus, which could presumably encode a BCAT. A
second unlinked locus (LkBAT1bis), exhibiting sequence similarity to LkBAT1 was
also identified. To determine the function of these putative BCATs, L. kluyveri
mutant strains lacking LkBAT1, LkBAT1bis or both genes were generated and tested
for VIL metabolism. LkBat1 displayed branched chain aminotransferase activity and
is required for VIL biosynthesis and catabolism. However, Lkbat1Delta mutant is a
valine and isoleucine auxotroph and a leucine bradytroph indicating that L.
kluyveri harbors an alternative enzyme(s) involved in leucine biosynthesis.
Additionally, heterologous reciprocal gene complementation between S. cerevisiae
and L. kluyveri orthologous LkBAT1, ScBAT1 and ScBAT2 genes, confirmed that the
mitochondrial LkBat1 functions as BCAT in S. cerevisiae, restoring wild type
phenotype to the ScBAT1 null mutant. Conversely, LkBAT1bis did not display a role
in BCAAs metabolism. However, when ethanol was used as carbon source, deletion of
LkBAT1bis in an Lkbat1Delta null strain resulted in an extended 'lag' growth
phase, pointing to a potential function of LkBAT1 and LkBAT1bis in the aerobic
metabolism of L. kluyveri. These results confirm the BCAT function of LkBAT1 in
L. kluyveri, and further support the proposition that the BCAT function in
ancestral-type yeasts has been distributed in the two paralogous genes present in
S. cerevisiae.
PMID- 26563418
TI - Compensating for population sampling in simulations of epidemic spread on
temporal contact networks.
AB - Data describing human interactions often suffer from incomplete sampling of the
underlying population. As a consequence, the study of contagion processes using
data-driven models can lead to a severe underestimation of the epidemic risk.
Here we present a systematic method to alleviate this issue and obtain a better
estimation of the risk in the context of epidemic models informed by high
resolution time-resolved contact data. We consider several such data sets
collected in various contexts and perform controlled resampling experiments. We
show how the statistical information contained in the resampled data can be used
to build a series of surrogate versions of the unknown contacts. We simulate
epidemic processes on the resulting reconstructed data sets and show that it is
possible to obtain good estimates of the outcome of simulations performed using
the complete data set. We discuss limitations and potential improvements of our
method.
PMID- 26563417
TI - Isolation and Potential for Transmission of Mycobacterium bovis at Human
livestock-wildlife Interface of the Serengeti Ecosystem, Northern Tanzania.
AB - Mycobacterium bovis, the causative agent of bovine tuberculosis (bTB), is a
multihost pathogen of public health and veterinary importance. We characterized
the M. bovis isolated at the human-livestock-wildlife interface of the Serengeti
ecosystem to determine the epidemiology and risk of cross-species transmission
between interacting hosts species. DNA was extracted from mycobacterial cultures
obtained from sputum samples of 472 tuberculosis (TB) suspected patients and
tissue samples from 606 livestock and wild animal species. M. bovis isolates were
characterized using spoligotyping and Mycobacterial Interspersed Repetitive Units
Variable Tandem Repeats (MIRU-VNTR) on 24 loci. Only 5 M. bovis were isolated
from the cultured samples. Spoligotyping results revealed that three M. bovis
isolates from two buffaloes (Syncerus caffer) and 1 African civet (Civettictis
civetta) belonged to SB0133 spoligotype. The two novel strains (AR1 and AR2)
assigned as spoligotype SB2290 and SB2289, respectively, were identified from
indigenous cattle (Bos indicus). No M. bovis was detected from patients with
clinical signs consistent with TB. Of the 606 animal tissue specimens and sputa
of 472 TB-suspected patients 43 (7.09%) and 12 (2.9%), respectively, yielded non
tuberculous mycobacteria (NTM), of which 20 isolates were M. intracellulare. No
M. avium was identified. M. bovis isolates from wildlife had 45.2% and 96.8%
spoligotype pattern agreement with AR1 and AR2 strains, respectively. This
finding indicates that bTB infections in wild animals and cattle were
epidemiologically related. Of the 24 MIRU-VNTR loci, QUB 11b showed the highest
discrimination among the M. bovis strains. The novel strains obtained in this
study have not been previously reported in the area, but no clear evidence for
recent cross-species transmission of M. bovis was found between human, livestock
and wild animals.
PMID- 26563419
TI - In Vitro Selective Anti-Proliferative Effect of Zinc Oxide Nanoparticles Against
Co-Cultured C2C12 Myoblastoma Cancer and 3T3-L1 Normal Cells.
AB - The zinc oxide (ZnO) nanoparticle has been widely used in biomedical applications
and cancer therapy and has been reported to induce a selective cytotoxic effect
on cancer cell proliferation. The present study investigated the cytotoxicity of
ZnO nanoparticles against co-cultured C2C12 myoblastoma cancer cells and 3T3-L1
adipocytes. Our results showed that the ZnO nanoparticles could be cytotoxic to
C2C12 myoblastoma cancer cells than 3T3-L1 cells. The messenger RNA (mRNA)
expressions of p53 and bax were significantly increased 114.3 and 118.2 % in the
C2C12 cells, whereas 42.5 and 40 % were increased in 3T3-L1 cells, respectively.
The mRNA expression of bcl-2 was reduced 38.2 and 28.5 % in the C2C12 and 3T3-L1
cells, respectively, whereas the mRNA expression of caspase-3 was increased 80.7
and 51.6 % in the C2C12 and 3T3-L1 cells, respectively. The protein expressions
of p53, bax, and caspase-3 were significantly increased 40, 81.8, and 80 % in
C2C12 cells, whereas 20.3, 28.2, and 37.9 % were increased in 3T3-L1 cells,
respectively. The mRNA expression of bcl-2 was significantly reduced 32.2 and
22.7 % in C2C12 and 3T3-L1 cells, respectively. Caspase-3 enzyme activity and
reactive oxygen species (ROS) were increased in co-cultured C2C12 cells compared
to 3T3-L1 cells. Taking all these data together, it may suggest that ZnO
nanoparticles severely induce apoptosis in C2C12 myoblastoma cancer cells than
3T3-L1 cells.
PMID- 26563420
TI - Neuroprotective Effect of Portulaca oleraceae Ethanolic Extract Ameliorates
Methylmercury Induced Cognitive Dysfunction and Oxidative Stress in Cerebellum
and Cortex of Rat Brain.
AB - Methylmercury (MeHg) is highly toxic, and its principal target tissue in human is
the nervous system, which has made MeHg intoxication a public health concern for
many decades. Portulaca oleraceae (purslane), a member of the Portulacaceae
family, is widespread as a weed and has been ranked the eighth most common plant
in the world. In this study, we sought for potential beneficial effects of
Portulaca oleracea ethanolic extract (POEE) against the neurotoxicity induced by
MeHg in cerebellum and cortex of rats. Male Wistar rats were administered with
MeHg orally at a dose of 5 mg/kg b.w. for 21 days. Experimental rats were given
MeHg and also administered with POEE (4 mg/kg, orally) 1 h prior to the
administration of MeHg for 21 days. After MeHg exposure, we determine the mercury
concentration by atomic absorption spectroscopy (AAS); mercury content was
observed high in MeHg-induced group. POEE reduced the mercury content. We also
observed that the activities of catalase, superoxide dismutase, glutathione
peroxidase, and the level of glutathione were reduced. The levels of glutathione
reductase and thiobarbituric acid reactive substance were found to be increased.
The above biochemical changes were found to be reversed with POEE. Behavioral
changes like decrease tail flick response, longer immobility time, and decreased
motor activity were noted down during MeHg exposure. POEE pretreatment offered
protection from these behavioral changes. MeHg intoxication also caused
histopathological changes in cerebellum and cortex, which was found to be
normalized by treatment with POEE. The present results indicate that POEE has
protective effect against MeHg-induced neurotoxicity.
PMID- 26563421
TI - Using the cost-effectiveness of allogeneic islet transplantation to inform
induced pluripotent stem cell-derived beta-cell therapy reimbursement.
AB - AIMS: In the present study a cost-effectiveness analysis of allogeneic islet
transplantation was performed and the financial feasibility of a human induced
pluripotent stem cell-derived beta-cell therapy was explored. METHODS: Previously
published cost and health benefit data for islet transplantation were utilized to
perform the cost-effectiveness and sensitivity analyses. RESULTS & CONCLUSION: It
was determined that, over a 9-year time horizon, islet transplantation would
become cost saving and 'dominate' the comparator. Over a 20-year time horizon,
islet transplantation would incur significant cost savings over the comparator
(GBL59,000). Finally, assuming a similar cost of goods to islet transplantation
and a lack of requirement for immunosuppression, a human induced pluripotent stem
cell-derived beta-cell therapy would dominate the comparator over an 8-year time
horizon.
PMID- 26563422
TI - Glycosylated Alpha-1-acid glycoprotein 1 as a potential lung cancer serum
biomarker.
AB - Presently existing screening approaches for lung cancer are not being proving
sufficient and sensitive, so a study was conducted to identify disease related
biomarker proteins for diagnostic applications. A total of 100 lung cancer
patients (88 non-small cell lung cancer and 12 small cell lung cancer) and 50
healthy controls were included in this study. Serum samples of patients and
healthy controls were subjected to a series of proteomic approaches and as a
result of two dimensional gel electrophoresis, a ~ 43 kDa protein was found to be
differentially expressed compared to healthy controls. Quantitative profiling of
two dimensional gels by Dymension software analysis displayed 3.58 fold increased
expression of ~ 43 kDa protein in squamous cell carcinoma and 2.92 fold in case
of adenocarcinoma. Mass spectrometric analysis resulted in identification of 8
differentially expressed proteins, out of which human Alpha-1-acid glycoprotein 1
was targeted for further validations. This candidate protein exhibited N-linked
glycosylation at five amino acid residues; 33, 56, 72, 93, and 103 with
significant score of 0.66, 0.78, 0.78, 0.53 and 0.66, respectively. Sandwich
ELISA quantified high serum levels of Alpha-1-acid glycoprotein 1 in squamous
cell carcinoma (2.93 g/l +/- 1.22) and adenocarcinoma (2.39 g/l +/- 1.13) when
compared with healthy controls (0.83 g/l +/- 0.21). One-way ANOVA analysis
predicted highly significant variation of Alpha-1-acid glycoprotein 1, among all
the study types (F-value 65.37, p-value 0.000). This study may prove as a non
invasive, cost effective and sensitive scheme for diagnosis of lung cancer, by
passing the expensive and painful screening procedures.
PMID- 26563423
TI - Sialic acid-dependent cell entry of human enterovirus D68.
AB - Human enterovirus D68 (EV-D68) is a causative agent of childhood respiratory
diseases and has now emerged as a global public health threat. Nevertheless,
knowledge of the tissue tropism and pathogenesis of EV-D68 has been hindered by a
lack of studies on the receptor-mediated EV-D68 entry into host cells. Here we
demonstrate that cell surface sialic acid is essential for EV-D68 to bind to and
infect susceptible cells. Crystal structures of EV-D68 in complex with sialylated
glycan receptor analogues show that they bind into the 'canyon' on the virus
surface. The sialic acid receptor induces a cascade of conformational changes in
the virus to eject a fatty-acid-like molecule that regulates the stability of the
virus. Thus, virus binding to a sialic acid receptor and to immunoglobulin-like
receptors used by most other enteroviruses share a conserved mechanism for
priming viral uncoating and facilitating cell entry.
PMID- 26563424
TI - Transplantation of three-dimensional artificial human vascular tissues fabricated
using an extracellular matrix nanofilm-based cell-accumulation technique.
AB - We have established a novel three-dimensional (3D) tissue-constructing technique,
referred to as the 'cell-accumulation method', which is based on the self
assembly of cultured human cells. In this technique, cells are coated with
fibronectin and gelatin to construct extracellular matrix (ECM) nanofilms and
cultured to form multi-layers in vitro. By using this method, we have
successfully fabricated artificial tissues with vascular networks constructed by
co-cultivation of human umbilical vein-derived vascular endothelial cells between
multi-layers of normal human dermal fibroblasts. In this study, to assess these
engineered vascular tissues as therapeutic implants, we transplanted the 3D human
tissues with microvascular networks, fabricated based on the cell-accumulation
method, onto the back skin of nude mice. After the transplantation, we found
vascular networks with perfusion of blood in the transplanted graft. At the
boundary between host and implanted tissue, connectivity between murine and human
vessels was found. Transmission electron microscopy of the implanted artificial
vascular tubules demonstrated the ultrastructural features of blood capillaries.
Moreover, maturation of the vascular tissues after transplantation was shown by
the presence of pericyte-like cells and abundant collagen fibrils in the ECM
surrounding the vasculature. These results demonstrated that artificial human
vascular tissues constructed by our method were engrafted and matured in animal
skin. In addition, the implanted artificial human vascular networks were
connected with the host circulatory system by anastomosis. This method is an
attractive technique for engineering prevascularized artificial tissues for
transplantation. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26563425
TI - Development of an ex vivo breast cancer lung colonization model utilizing a
decellularized lung matrix.
AB - The metastatic spread of cancer cells to distant sites represents the major cause
of cancer-related deaths in breast cancer patients, and lungs are one of the most
common sites for metastatic colonization. Developing a physiologically relevant
tissue culture model to mimic lung colonization of breast cancer is crucial for
the investigation of the biology of cancer metastasis and evaluation of drug
treatment efficacy. Here, we describe an ex vivo lung colonization assay for
breast cancer using the native three-dimensional (3D) lung extracellular matrix.
The native matrix was isolated from murine lungs using a decellularization
technique, and the preservation of extracellular matrix (ECM) composition,
integrity and mechanical properties was confirmed. We showed that metastatic MDA
MB 231 and 4T1 cells invaded and colonized in the decellularized lung matrix,
whereas only a small mass of non-metastatic MCF7 cells survived under the same
condition. Furthermore, knockdown of ZEB1, an epithelial-mesenchymal transition
(EMT) inducer, significantly reduced invasion and colonization of MDA-MB 231
cells in the decellularized lung, suggesting an important role of EMT in breast
cancer metastasis. We conclude that the decellularized lung retains the
biophysical and biochemical properties of the lung ECM and provides a powerful
tool to investigate the lung colonization of breast cancer.
PMID- 26563426
TI - Bioengineered Human Pyloric Sphincters Using Autologous Smooth Muscle and Neural
Progenitor Cells.
AB - Gastroparesis leads to inadequate emptying of the stomach resulting in severe
negative health impacts. Appropriate long-term treatments for these diseases may
require pyloric sphincter tissue replacements that possess functional smooth
muscle cell (SMC) and neural components. This study aims to bioengineer, for the
first time, innervated human pylorus constructs utilizing autologous human
pyloric sphincter SMCs and human neural progenitor cells (NPCs). Autologous SMCs
and NPCs were cocultured in dual-layered hydrogels and formed concentrically
aligned pylorus constructs. Innervated autologous human pylorus constructs were
characterized through biochemical and physiologic assays to assess the phenotype
and functionality of SMCs and neurons. SMCs within bioengineered human pylorus
constructs displayed a tonic contractile phenotype and maintained circumferential
alignment. Neural differentiation within bioengineered constructs was verified by
positive expression of betaIII-tubulin, neuronal nitric oxide synthase (nNOS),
and choline acetyltransferase (ChAT). Autologous bioengineered innervated human
pylorus constructs generated a robust spontaneous basal tone and contracted in
response to potassium chloride (KCl). Contraction in response to exogenous
neurotransmitter acetylcholine (ACh), relaxation in response to vasoactive
intestinal peptide (VIP), and electrical field stimulation (EFS) were also
observed. Neural network integrity was demonstrated by inhibition of EFS-induced
relaxation in the presence of a neurotoxin or nNOS inhibitors. Partial inhibition
of ACh-induced contraction and VIP-induced relaxation following neurotoxin
treatment was observed. These studies provide a proof of concept for
bioengineering functional innervated autologous human pyloric sphincter
constructs that generate a robust basal tone and contain circumferentially
aligned SMCs, which display a tonic contractile phenotype and functional
differentiated neurons. These autologous constructs have the potential to be used
as (1) functional replacement organs and (2) physiologically relevant models to
investigate human pyloric sphincter disorders.
PMID- 26563427
TI - A novel mutation in BCS1L associated with deafness, tubulopathy, growth
retardation and microcephaly.
AB - We report a novel homozygous missense mutation in the ubiquinol-cytochrome c
reductase synthesis-like (BCS1L) gene in two consanguineous Turkish families
associated with deafness, Fanconi syndrome (tubulopathy), microcephaly, mental
and growth retardation. All three patients presented with transitory metabolic
acidosis in the neonatal period and development of persistent renal de Toni-Debre
Fanconi-type tubulopathy, with subsequent rachitis, short stature, microcephaly,
sensorineural hearing impairment, mild mental retardation and liver dysfunction.
The novel missense mutation c.142A>G (p.M48V) in BCS1L is located at a highly
conserved region associated with sorting to the mitochondria. Biochemical
analysis revealed an isolated complex III deficiency in skeletal muscle not
detected in fibroblasts. Native polyacrylamide gel electrophoresis (PAGE)
revealed normal super complex formation, but a shift in mobility of complex III
most likely caused by the absence of the BCS1L-mediated insertion of Rieske Fe/S
protein into complex III. These findings expand the phenotypic spectrum of BCS1L
mutations, highlight the importance of biochemical analysis of different primary
affected tissue and underline that neonatal lactic acidosis with multi-organ
involvement may resolve after the newborn period with a relatively spared
neurological outcome and survival into adulthood. CONCLUSION: Mutation screening
for BCS1L should be considered in the differential diagnosis of severe (proximal)
tubulopathy in the newborn period. WHAT IS KNOWN: * Mutations in BCS1L cause
mitochondrial complex III deficiencies. * Phenotypic presentations of defective
BCS1L range from Bjornstad to neonatal GRACILE syndrome. What is New: *
Description of a novel homozygous mutation in BCS1L with transient neonatal
acidosis and persistent de Toni-Debre-Fanconi-type tubulopathy. * The long
survival of patients with phenotypic presentation of severe complex III
deficiency is uncommon.
PMID- 26563428
TI - Smart materials: in situ gel-forming systems for nasal delivery.
AB - In the last decade in situ gelling systems have emerged as a novel approach in
intranasal delivery of therapeutics, capturing the interest of scientific
community. Considerable advances have been currently made in the development of
novel formulations containing both natural and synthetic polymers. In this paper
we present recent developments on in situ gelling systems for nasal delivery,
highlighting the mechanisms that govern their formation.
PMID- 26563429
TI - Fluid shear triggers microvilli formation via mechanosensitive activation of
TRPV6.
AB - Microvilli are cellular membrane protrusions present on differentiated epithelial
cells, which can sense and interact with the surrounding fluid environment.
Biochemical and genetic approaches have identified a set of factors involved in
microvilli formation; however, the underlying extrinsic regulatory mechanism of
microvilli formation remains largely unknown. Here we demonstrate that fluid
shear stress (FSS), an external mechanical cue, serves as a trigger for
microvilli formation in human placental trophoblastic cells. We further reveal
that the transient receptor potential, vanilloid family type-6 (TRPV6) calcium
ion channel plays a critical role in flow-induced Ca(2+) influx and microvilli
formation. TRPV6 regulates phosphorylation of Ezrin via a Ca(2+)-dependent
phosphorylation of Akt; this molecular event is necessary for microvillar
localization of Ezrin in response to FSS. Our findings provide molecular insight
into the microvilli-mediated mechanoresponsive cellular functions, such as
epithelial absorption, signal perception and mechanotransduction.
PMID- 26563430
TI - Correlations of microRNA:microRNA expression patterns reveal insights into
microRNA clusters and global microRNA expression patterns.
AB - MicroiRNAs are genome encoded small double stranded RNAs that regulate expression
of homologous mRNAs. With approximately 2500 human miRNAs and each having
hundreds of potential mRNA targets, miRNA based gene regulation is quite
pervasive in both development and disease. While there are numerous studies
investigating miRNA:mRNA and miRNA:protein target expression correlations, there
are relatively few studies of miRNA:miRNA co-expression. Here we report on our
analysis of miRNA:miRNA co-expression using expression data from the miRNA
expression atlas of Landgraf et al. Our analysis indicates that many, but not
all, genomically clustered miRNAs are co-expressed as a single pri-miRNA
transcript. We have also identified co-expression groups that have similar
biological activity. Further, the non-correlative miRNAs we have uncovered have
been shown to be of utility in establishing miRNA biomarkers and signatures for
certain tumours and cancers.
PMID- 26563431
TI - The Impact of Prestretch Induced Surface Anisotropy on Axon Regeneration.
AB - Nerve regeneration after spinal cord injury requires proper axon alignment to
bridge the lesion site and myelination to achieve functional recovery.
Significant effort has been invested in developing engineering approaches to
induce axon alignment with less focus on myelination. Topological features, such
as aligned fibers and channels, have been shown to induce axon alignment, but do
not enhance axon thickness. We previously demonstrated that surface anisotropy
generated through mechanical prestretch induced mesenchymal stem cells to align
in the direction of prestretch. In this study, we demonstrate that static
prestretch-induced anisotropy promotes dorsal root ganglion (DRG) neurons to
extend thicker axon aggregates along the stretched direction and form aligned
fascicular-like axon tracts. Moreover, Schwann cells, when cocultured with DRG
neurons on the prestretched surface colocalized with the aligned axons and
expressed P0 protein, are indicative of myelination of the aligned axons, thereby
demonstrating that prestretch-induced surface anisotropy is beneficial in
enhancing axon alignment, growth, and myelination.
PMID- 26563432
TI - Growing rod technique for the treatment of the traumatic spinopelvic
dissociation: a technical trick.
AB - BACKGROUND CONTEXT: Traumatic spinopelvic dissociation, sometimes referred to as
U-shaped sacral fracture, is a very rare high-energy trauma. The surgical
management of spinopelvic dissociation includes decompression, reduction, and
fixation. PURPOSE: We report a novel surgical technique for the treatment of
spinopelvic dissociation that uses growing rods and a pedicle screw system, which
is often used to treat patients with early onset scoliosis. STUDY DESIGN: This
case report used a technical report of spinopelvic dissociation surgery using
spinopelvic fixation and the growing rod technique. PATIENT SAMPLE: One case was
used as the patient sample. OUTCOME MEASURE: Radiographic outcomes, including
plain X-ray, three-dimensional computed tomography, and magnetic resonance
imaging scan were the outcome measures. METHODS: The radiographic outcomes were
compared preoperatively, postoperatively, and at the 1-year follow-up with bony
union. RESULTS: Growing techniques improved traumatic sacral angulation,
displacement, and canal encroachment, and provided sufficient structural support.
CONCLUSION: The growing rod technique for spinopelvic dissociation under
intraoperative neurophysiological monitoring could be a useful alternative
surgical option, especially in patients without neurologic deficit.
PMID- 26563433
TI - Evolution of the KCS gene family in plants: the history of gene duplication,
sub/neofunctionalization and redundancy.
AB - Very long-chain fatty acids (VLCFAs) play an important role in the survival and
development of plants, and VLCFA synthesis is regulated by beta-ketoacyl-CoA
synthases (KCSs), which catalyze the condensation of an acyl-CoA with malonyl
CoA. Here, we present a genome-wide survey of the genes encoding these enzymes,
KCS genes, in 28 species (26 genomes and two transcriptomes), which represents a
large phylogenetic scale, and also reconstruct the evolutionary history of this
gene family. KCS genes were initially single-copy genes in the green plant
lineage; duplication resulted in five ancestral copies in land plants, forming
five fundamental monophyletic groups in the phylogenetic tree. Subsequently, KCS
genes duplicated to generate 11 genes of angiosperm origin, expanding up to 20-30
members in further-diverged angiosperm species. During this process, tandem
duplications had only a small contribution, whereas polyploidy events and large
scale segmental duplications appear to be the main driving force. Accompanying
this expansion were variations that led to the sub- and neofunctionalization of
different members, resulting in specificity that is likely determined by the 3-D
protein structure. Novel functions involved in other physiological processes
emerged as well, though redundancy is also observed, largely among recent
duplications. Conserved sites and variable sites of KCS proteins are also
identified by statistical analysis. The variable sites are likely to be involved
in the emergence of product specificity and catalytic power, and conserved sites
are possibly responsible for the preservation of fundamental function.
PMID- 26563435
TI - Bird embryos uncover homology and evolution of the dinosaur ankle.
AB - The anklebone (astragalus) of dinosaurs presents a characteristic upward
projection, the 'ascending process' (ASC). The ASC is present in modern birds,
but develops a separate ossification centre, and projects from the calcaneum in
most species. These differences have been argued to make it non-comparable to
dinosaurs. We studied ASC development in six different orders of birds using
traditional techniques and spin-disc microscopy for whole-mount
immunofluorescence. Unexpectedly, we found the ASC derives from the embryonic
intermedium, an ancient element of the tetrapod ankle. In some birds it comes in
contact with the astragalus, and, in others, with the calcaneum. The fact that
the intermedium fails to fuse early with the tibiale and develops an ossification
centre is unlike any other amniotes, yet resembles basal, amphibian-grade
tetrapods. The ASC originated in early dinosaurs along changes to upright posture
and locomotion, revealing an intriguing combination of functional innovation and
reversion in its evolution.
PMID- 26563434
TI - Identification of cell types, tissues and pathways affected by risk loci in
psoriasis.
AB - Many common variants have been found associated with the risk of psoriasis, but
the underlying mechanism is still largely unknown, mostly owing to the difficulty
in dissecting the mechanism of each variant using representative cell type and
tissue in biological experiments. We applied an integrative method SNPsea which
has been developed by investigators in Broad, to identify the most relevant cell
types, tissues, and pathways to psoriasis by assessing the condition specificity
affected by psoriasis genome-wide association studies-implicated genes. We
employed this software on 89 single-nucleotide polymorphisms with genome-wide
significance in Han Chinese and Caucasian populations. We found significant
evidence for peripheral blood CD56 + NK cells (P = 1.30 * 10(-7)), Langerhans
cells (P = 4.96 * 10(-6)) and CD14+ monocytes (P < 4.80 * 10(-5)) in psoriasis.
We suggested that the DNase I hypersensitivity sites in CD14+ cells were active
in psoriasis (P = 2.20 * 10(-16)). In addition, we discovered that biotic
stimulus response, cytokine production and NF-kappaB pathways were significantly
activated in psoriasis (P < 1.00 * 10(-5)). In conclusion, we found several
innate immune cells and immune pathways in psoriasis that will help guide
biological experiments for psoriasis risk variants in future.
PMID- 26563436
TI - Q-GDEMAR: a general method for the identification of differentially expressed
genes in microarrays with unbalanced groups.
AB - Microarray analysis is a powerful tool to simultaneously determine the pattern of
transcription of large amounts of genes. For data post-processing distinct
computational methods are currently used that, however, lead to different results
regarding the genes expressed differentially. Herein, a new methodology for
microarray data analysis named Q-GDEMAR is presented. It combines the quantile
characterization of the entire distribution together with the Gaussian
deconvolution of the central region of the microarray data distribution. Three
discriminant variable variants are proposed that allow us to summarize data and
compare groups even when their size is strongly unbalanced. In addition, a simple
procedure to compute the false discovery rate (FDR) is also presented. The
performance of the method is compared with that observed when using LIMMA (Linear
Models Microarray) software as reference. In 58 out of 68 cases, Q-GDEMAR showed
a higher sensitivity than LIMMA to detect differentially expressed genes (p = 1 *
10(-10)). The proposed method does not produce biased information, detecting
genes with high sensitivity equally well at both tails of the distribution (p =
0.7428). Moreover, all detected genes were associated with very low levels of FDR
(median value = 0.67%, interquartile range = 0.87%). Q-GDEMAR can be used as a
general method for microarray analysis, but is particularly indicated when the
conditions to be compared are unbalanced. The superior performance of Q-GEDEMAR
is the consequence of its higher discriminative power and, the fact that it
yields a univocal correspondence between the p-values and the values of the
discriminating variable. Q-GDEMAR was tested only using Affymetrix microarrays.
However, given that it operates after the step of data standardization, it can be
used with the same quality features on any of the available mono- or dual-channel
microarray platforms.
PMID- 26563437
TI - Papillary thyroid cancer in a patient with congenital goitrous hypothyroidism due
to a novel deletion in NIS gene.
PMID- 26563439
TI - High-volume hydrodissection for abdominally based free flaps: Preliminary
results.
AB - INTRODUCTION: A novel method of high-volume hydrodissection that provides both
subfascial and intramuscular perforator dissection of deep inferior epigastric
perforators has been safely demonstrated in animals. This manuscript demonstrates
our preliminary results when translating this technique to humans. METHODS: A
retrospective review was performed of all free-flap breast reconstructions
utilizing the high-volume hydrodissection technique performed by the senior
author (DS) at the University of Florida Medical Center from January 2014 to June
2015. RESULTS: Twenty-one patients underwent 31 free-flap breast reconstructions
during the study period utilizing the high-volume hydrodissection technique. No
patients were lost to follow-up which averaged 8.2 months. Complications included
1 partial flap necrosis (<10%), 1 breast hematoma, 1 abdominal bulge, 2 flaps
with minimal fat necrosis (<5%), and 1 abdominal wall cellulitis. CONCLUSION:
High-volume hydrodissection is a safe technique to facilitate dissection of
abdominally based free flaps for breast reconstruction. (c) 2015 Wiley
Periodicals, Inc. Microsurgery 37:307-311, 2017.
PMID- 26563438
TI - Gold nanoparticle conjugated Rad6 inhibitor induces cell death in triple negative
breast cancer cells by inducing mitochondrial dysfunction and PARP-1
hyperactivation: Synthesis and characterization.
AB - We recently developed a small molecule inhibitor SMI#9 for Rad6, a protein
overexpressed in aggressive breast cancers and involved in DNA damage tolerance.
SMI#9 induces cytotoxicity in cancerous cells but spares normal breast cells;
however, its therapeutic efficacy is limited by poor solubility. Here we
chemically modified SMI#9 to enable its conjugation and hydrolysis from gold
nanoparticle (GNP). SMI#9-GNP and parent SMI#9 activities were compared in
mesenchymal and basal triple negative breast cancer (TNBC) subtype cells. Whereas
SMI#9 is cytotoxic to all TNBC cells, SMI#9-GNP is endocytosed and cytotoxic only
in mesenchymal TNBC cells. SMI#9-GNP endocytosis in basal TNBCs is compromised by
aggregation. However, when combined with cisplatin, SMI#9-GNP is imported and
synergistically increases cisplatin sensitivity. Like SMI#9, SMI#9-GNP spares
normal breast cells. The released SMI#9 is active and induces cell death via
mitochondrial dysfunction and PARP-1 stabilization/hyperactivation. This work
signifies the development of a nanotechnology-based Rad6-targeting therapy for
TNBCs. FROM THE CLINICAL EDITOR: Protein Rad6 is overexpressed in breast cancer
cells and its blockade may provide a new treatment against 3N breast cancer. The
authors conjugated a small molecule inhibitor SMI#9 for Rad6 to gold
nanoparticles in this study and showed that this new formulation specifically
targeted chemo-resistant breast cancer cells and highlighted the importance of
nanotechnology in drug carrier development.
PMID- 26563440
TI - Ultrafast collisional ion heating by electrostatic shocks.
AB - High-intensity lasers can be used to generate shockwaves, which have found
applications in nuclear fusion, proton imaging, cancer therapies and materials
science. Collisionless electrostatic shocks are one type of shockwave widely
studied for applications involving ion acceleration. Here we show a novel
mechanism for collisionless electrostatic shocks to heat small amounts of solid
density matter to temperatures of ~keV in tens of femtoseconds. Unusually,
electrons play no direct role in the heating and it is the ions that determine
the heating rate. Ions are heated due to an interplay between the electric field
of the shock, the local density increase during the passage of the shock and
collisions between different species of ion. In simulations, these factors
combine to produce rapid, localized heating of the lighter ion species. Although
the heated volume is modest, this would be one of the fastest heating mechanisms
discovered if demonstrated in the laboratory.
PMID- 26563441
TI - Genetic analysis of the clonal stability of Chinese hamster ovary cells for
recombinant protein production.
AB - Chinese hamster ovary (CHO) cells are frequently used for the production of
recombinant proteins for therapeutical applications. However, the recombinant
protein expression level of CHO cells may reduce during long-term culture. The
physiological changes related to the stability of expression were not well
understood. In this study, we performed a series of genetic analysis on stable
and unstable clonal derived populations. Transcriptome analysis revealed that a
large number of differentially expressed genes (>100) were identified in the
unstable population between early and late generations, while only a few
differentially expressed genes were found in the stable population, suggesting
that the gene expression change is related to the instability of recombinant
protein production. On the other hand, no significant differences were found in
promoter methylation or gene copy numbers in the unstable population. Taken
together, our data help better understand the molecular mechanism underlying the
stability of recombinant protein production in CHO cells.
PMID- 26563442
TI - Biogas cleaning and upgrading with natural zeolites from tuffs.
AB - CO2 adsorption on synthetic zeolites has become a consolidated approach for
biogas upgrading to biomethane. As an alternative to synthetic zeolites, tuff
waste from building industry was investigated in this study: indeed, this
material is available at a low price and contains a high fraction of natural
zeolites. A selective adsorption of CO2 and H2S towards CH4 was confirmed,
allowing to obtain a high-purity biomethane (CO2 <2 g m(-3), i.e. 0.1%; H2S <1.5
mg m(-3)), suitable for injection in national grids or as vehicle fuel. The
loading capacity was found to be 45 g kg(-1) and 40 mg kg(-1), for CO2 and H2S,
respectively. Synthetic gas mixtures and real biogas samples were used, and no
significant effects due to biogas impurities (e.g. humidity, dust, moisture,
etc.) were observed. Thermal and vacuum regenerations were also optimized and
confirmed to be possible, without significant variations in efficiency. Hence,
natural zeolites from tuffs may successfully be used in a pressure/vacuum swing
adsorption process.
PMID- 26563443
TI - Detection of low-prevalence somatic TSC2 mutations in sporadic pulmonary
lymphangioleiomyomatosis tissues by deep sequencing.
AB - Lymphangioleiomyomatosis (LAM) (MIM #606690) is a rare lung disorder leading to
respiratory failure associated with progressive cystic destruction due to the
proliferation and infiltration of abnormal smooth muscle-like cells (LAM cells).
LAM can occur alone (sporadic LAM, S-LAM) or combined with tuberous sclerosis
complex (TSC-LAM). TSC is caused by a germline heterozygous mutation in either
TSC1 or TSC2, and TSC-LAM is thought to occur as a result of a somatic mutation
(second hit) in addition to a germline mutation in TSC1 or TSC2 (first hit). S
LAM is also thought to occur under the two-hit model involving a somatic mutation
and/or loss of heterozygosity in TSC2. To identify TSC1 or TSC2 changes in S-LAM
patients, the two genes were analyzed by deep next-generation sequencing (NGS)
using genomic DNA from blood leukocytes (n = 9), LAM tissue from lung (n = 7),
LAM cultured cells (n = 4), or LAM cell clusters (n = 1). We identified nine
somatic mutations in six of nine S-LAM patients (67 %) with mutant allele
frequencies of 1.7-46.2 %. Three of these six patients (50 %) showed two
different TSC2 mutations with allele frequencies of 1.7-28.7 %. Furthermore, at
least five mutations with low prevalence (<20 % of allele frequency) were
confirmed by droplet digital PCR. As LAM tissues are likely to be composed of
heterogeneous cell populations, mutant allele frequencies can be low. Our results
confirm the consistent finding of TSC2 mutations in LAM samples, and highlight
the benefit of laser capture microdissection and in-depth allele analyses for
detection, such as NGS.
PMID- 26563445
TI - Discussion on the paper "Real-Time Prediction of Clinical Trial Enrollment and
Event Counts: A Review", by DF Heitjan, Z Ge, and GS Ying.
PMID- 26563446
TI - Using electronic health record data for substance use Screening, Brief
Intervention, and Referral to Treatment among adults with type 2 diabetes: Design
of a National Drug Abuse Treatment Clinical Trials Network study.
AB - BACKGROUND: The Affordable Care Act encourages healthcare systems to integrate
behavioral and medical healthcare, as well as to employ electronic health records
(EHRs) for health information exchange and quality improvement. Pragmatic
research paradigms that employ EHRs in research are needed to produce clinical
evidence in real-world medical settings for informing learning healthcare
systems. Adults with comorbid diabetes and substance use disorders (SUDs) tend to
use costly inpatient treatments; however, there is a lack of empirical data on
implementing behavioral healthcare to reduce health risk in adults with high-risk
diabetes. Given the complexity of high-risk patients' medical problems and the
cost of conducting randomized trials, a feasibility project is warranted to guide
practical study designs. METHODS: We describe the study design, which explores
the feasibility of implementing substance use Screening, Brief Intervention, and
Referral to Treatment (SBIRT) among adults with high-risk type 2 diabetes
mellitus (T2DM) within a home-based primary care setting. Our study includes the
development of an integrated EHR datamart to identify eligible patients and
collect diabetes healthcare data, and the use of a geographic health information
system to understand the social context in patients' communities. Analysis will
examine recruitment, proportion of patients receiving brief intervention and/or
referrals, substance use, SUD treatment use, diabetes outcomes, and retention.
DISCUSSION: By capitalizing on an existing T2DM project that uses home-based
primary care, our study results will provide timely clinical information to
inform the designs and implementation of future SBIRT studies among adults with
multiple medical conditions.
PMID- 26563447
TI - An anatomical and histological study of the vascularized iliotibial tract graft.
AB - BACKGROUND: An examination of the vascular anatomy of the iliotibial tract (IT)
has not been previously reported. Because a flap resists infection better than an
avascular graft, a vascularized IT graft is useful for reconstructive surgeries
pertaining to infected wounds or wounds in contact with artificial material. The
purpose of this study was to examine the vascular anatomy of the IT. MATERIALS
AND METHODS: The study sample consisted of 39 limbs of freshly frozen cadavers.
The study was divided into three parts. The ascending and transverse branches of
the lateral circumflex femoral artery (LCFA) of all cadavers were injected with
latex. Distance from the tensor fasciae latae muscle and the most distal point at
which the vessel on the IT was stained by latex was recorded. A microscopic
observation was performed for these limbs. The deep femoral artery (DFA) or
superior lateral genicular artery (SLGA) was also observed. RESULTS: The length
of the IT fed by the LCFA was 162.3 +/- 36.2 mm. The IT vascularity was located
between the layered structure of the fascia and there was a vascular source for
the IT within 1 mm above the IT by optical microscopy. The vascularity derived
from the DFA or SLGA was not confirmed in any specimens. CONCLUSIONS: Blood
supply of the IT was derived from the LCFA and a vascularized IT graft could be
elevated in length to approximately 16 cm. This knowledge may be useful for
improving the safety of surgery when transferring an IT flap. (c) 2015 Wiley
Periodicals, Inc. Microsurgery 36:325-329, 2016.
PMID- 26563448
TI - Mutagenic consequences of a single G-quadruplex demonstrate mitotic inheritance
of DNA replication fork barriers.
AB - Faithful DNA replication is vital to prevent disease-causing mutations,
chromosomal aberrations and malignant transformation. However, accuracy conflicts
with pace and flexibility and cells rely on specialized polymerases and helicases
to ensure effective and timely replication of genomes that contain DNA lesions or
secondary structures. If and how cells can tolerate a permanent barrier to
replication is, however, unknown. Here we show that a single unresolved G
quadruplexed DNA structure can persist through multiple mitotic divisions without
changing conformation. Failed replication across a G-quadruplex causes single
strand DNA gaps that give rise to DNA double-strand breaks in subsequent cell
divisions, which are processed by polymerase theta (POLQ)-mediated alternative
end joining. Lineage tracing experiments further reveal that persistent G
quadruplexes cause genetic heterogeneity during organ development. Our data
demonstrate that a single lesion can cause multiple unique genomic
rearrangements, and that alternative end joining enables cells to proliferate in
the presence of mitotically inherited replication blocks.
PMID- 26563449
TI - Inhibition of the Androgen Receptor by Antiandrogens in Spinobulbar Muscle
Atrophy.
AB - Spinal-bulbar muscle atrophy (SBMA) or also named Kennedy's Disease is caused by
a polyglutamine expansion (PolyQ) of the coding region of the androgen receptor
(AR). The AR is a ligand-controlled transcription factor and member of the
nuclear hormone receptor superfamily. The central characteristics of the SBMA
pathogenicity are muscle weakness, the loss of motoneurons and the occurrence of
AR-containing protein aggregates that are observed in spinal cord motoneurons and
skeletal muscles induced by the AR-PolyQ expansion in the presence of androgens.
The PolyQ triggers a misfolding in the AR-PolyQ and leads to protein aggregation
in spinal cord motoneurons and muscle cells. The AR-PolyQ toxicity is activated
by the AR ligand testosterone and dihydrotestosterone that activate the receptor
and triggers nuclear toxicity by inducing AR nuclear translocation. In line with
this, androgen treatment of SBMA patients worsened the SBMA symptoms. SBMA has
been modeled in AR-overexpressing and AR-PolyQ-knock-in animals, but precisely
how the PolyQ expansion leads to neurodegeneration is unclear. The androgen
induced toxicity and androgen-dependent nuclear accumulation of AR-PolyQ protein
seems to be central to the pathogenesis. Therefore, the inhibition of the
androgen-activated AR-PolyQ might be a therapeutic option. Here the use of AR
antagonists for treatment option of SBMA will be reviewed and discussed.
PMID- 26563450
TI - TRAM1 Promotes Microglia M1 Polarization.
AB - Microglia, the major immune cells of the central nervous system (CNS), can be
driven to adopt M1 and M2 phenotypes. Recently, the distinct functions of M1 and
M2 microglia have been intensively studied. M1-activation microglia are pro
inflammatory and may contribute to the development of several CSN disorders,
while M2-activation microglia are anti-inflammatory and may promote tissue
reconstruction. TRAM1 is a protein involved in translocation of nascent
polypeptides and functions as a sorting adaptor of TLR4. Here, we found that
TRAM1 plays an important role in microglia M1 polarization. Our results showed
that the expression of TRAM1 is highly induced in LPS/interferon (IFN)-gamma
stimulated BV2 cells and primary microglia cells. Flag-TRAM1 transfection, but
not Flag-GFP used as a control, significantly enhanced M1 polarization by
strongly increasing expression of M1 makers, such as IL-6, IL-1beta, iNOS, and so
on. Silence of TRAM1 effectively inhibited LPS/IFN-gamma-induced expression of M1
related genes in BV2 cells. In addition, TRAM1 was found to cooperate with TLR4
to induce an M1 genetic program in Flag-TRAM1-transfected and LPS/IFN-gamma
induced BV2 cells. TRAM1 is essential for LPS/IFN-gamma induced expressions of
adapter molecule (IRAK1, phosphorylation of TBK1, and IRF3) of TLR4. TRAM1 is
also essential for phosphorylation of IkappaB and P65 and for P65-NF-kB
translocation to nucleus. Overall, our findings showed that TRAM1 could promote
microglia M1 polarization.
PMID- 26563452
TI - Bacterial terpene cyclases.
AB - Covering: up to 2015. This review summarises the accumulated knowledge about
characterised bacterial terpene cyclases. The structures of identified products
and of crystallised enzymes are included, and the obtained insights into enzyme
mechanisms are discussed. After a summary of mono-, sesqui- and diterpene
cyclases the special cases of the geosmin and 2-methylisoborneol synthases that
are both particularly widespread in bacteria will be presented. A total number of
63 enzymes that have been characterised so far is presented, with 132 cited
references.
PMID- 26563451
TI - Hydrogen Peroxide-Induced Oxidative Stress Activates Proteasomal Trypsin-Like
Activity in Human U373 Glioma Cells.
AB - Degradation of oxidized or oxidatively modified proteins is an essential part of
the cellular antioxidant defense system. 4-Hydroxy-2-nonenal, a major reactive
aldehyde formed by lipid peroxidation, causes many types of cellular damage. The
major proteolytic system for modified protein degradation is the ubiquitin
proteasome pathway. However, our previous studies using U937 human leukemic cells
showed that 4-hydroxy-2-nonenal-modified glyceraldehyde-3-phosphate dehydrogenase
(GAPDH) is degraded by cathepsin G. In the present study, U373 human glioma cells
were cultured in the presence of hydrogen peroxide (H2O2) to investigate the
relationships of proteasome and/or cathepsin G activities and H2O2-induced GAPDH
degradation. Treatment of cells with H2O2 for 5 h in culture decreased GAPDH
activity as well as its protein concentration in a concentration-dependent
manner. Two proteasomal activities (peptidylglutamyl-peptide hydrolase and
chymotrypsin-like hydrolase activities) and cathepsin G activity were decreased
by H2O2 treatment in a concentration-dependent manner, but proteasomal trypsin
like hydrolase activity increased with cell exposure to high H2O2 concentrations.
Among the protease inhibitors examined here, H2O2-induced activation of trypsin
like activity and GAPDH degradation were inhibited by the proteasome inhibitor
lactacystin. Furthermore, H2O2-induced activation of trypsin-like activity was
also inhibited by another proteasome inhibitor MG-132. These results suggested
that proteasomal trypsin-like activity played an important role in eliminating
oxidatively modified GAPDH formed in these cells during H2O2 exposure.
PMID- 26563453
TI - Ectopic Subcutaneous Implantation of Thyroid Tissue After Gasless Transaxillary
Robotic Thyroidectomy for Papillary Thyroid Cancer.
PMID- 26563454
TI - A method for mapping regional oxygen and CO2 transfer in the lung.
AB - This paper presents a novel approach to visualizing regional lung function,
through quantitative three-dimensional maps of O2 and CO2 transfer rates. These
maps describe the contribution of anatomical regions to overall gas exchange and
demonstrate how transfer rates of the two gas species' differ regionally. An
algorithm for generating such maps is presented, and for illustration, regional
gas transfer maps were generated using values of ventilation and perfusion imaged
by PET/CT for a healthy subject and an asthmatic patient after
bronchoprovocation. In a sensitivity analysis, compartment values of gas transfer
showed minor sensitivity to imaging noise in the ventilation and perfusion data,
and moderate sensitivity to estimation errors in global lung input values,
chiefly global alveolar ventilation, followed by cardiac output and arterial
venous O2 content difference. Gas transfer maps offer an intuitive display of
physiologically relevant lung function at a regional level, the potential for an
improved understanding of pulmonary gas exchange in health and disease, and
potentially a presurgical evaluation tool.
PMID- 26563455
TI - Coordinated autonomic and respiratory responses evoked by alerting stimuli: Role
of the midbrain colliculi.
AB - Threatening stimuli trigger rapid and coordinated behavioral responses supported
by cardiorespiratory changes. The midbrain colliculi can generate coordinated
orienting or defensive behavioral responses, and it has been proposed that
collicular neurons also generate appropriate cardiovascular and respiratory
responses to support such behaviors. We have shown previously that under
conditions where collicular neurons are disinhibited, coordinated cardiovascular,
somatomotor and respiratory responses can be evoked independently of the cortex
by auditory, visual and somatosensory stimuli. Here we report that these natural
stimuli effectively increase inspiratory time most likely though phase switching.
As a result the pattern of phrenic and sympathetic coupling is an inspiratory
related sympathoexcitation. We propose that blockade of tonic GABAergic input in
the midbrain colliculi permits alerting stimuli to drive command neurons that
generate coordinated cardiovascular, respiratory and motor outputs. The outputs
of these command neurons likely interact with the central respiratory pattern
generator, however the precise output pathways mediating the coordinated
autonomic and respiratory responses remain to be determined.
PMID- 26563456
TI - Potential neuroprotective effect of lithium in bipolar patients evaluated by
neuropsychological assessment: preliminary results.
AB - OBJECTIVE: Accumulating evidence is delineating a neuroprotective/neurotrophic
role for lithium. However, its primary effects on cognition remain ambiguous. We
sought to investigate the profile of cognitive impairment in patients with
bipolar disorder and to determine whether continued treatment with lithium
preserves cognitive functioning. METHODS: In this cross-sectional study, we
tested 15 euthymic patients with bipolar I disorder undergoing long-term clinical
maintenance treatment with lithium (for at least 12 months), 15 matched patients
treated with other mood-stabilizing drugs and who had never received lithium, and
15 matched healthy subjects on the Cambridge Neuropsychological Test Automated
Battery. Investigated cognitive domains were visual memory, executive functions,
attention, decision-making/impulsivity, and response inhibition. We controlled
for age, gender, intelligence, and residual psychiatric symptomatology. RESULTS:
Taken together, bipolar patients demonstrated robust deficits in visual memory
and executive functions. Once subdivided in treatment subgroups, only non-lithium
bipolar patients demonstrated impairments in visual memory. Attention, decision
making, and response inhibition were preserved in both groups. No correlation
emerged between neuropsychological tests performance, clinical, and psychological
variables. CONCLUSIONS: This study is the first to our knowledge to have
demonstrated, by means of a highly sensitive test of visual memory, a potential
hippocampus neuroprotective effect of lithium in patients with bipolar disorder.
Besides, it confirms prior findings of cognitive deficits in euthymic bipolar
patients.
PMID- 26563457
TI - Expression of SOCS2 mRNA and protein in the ischemic core and penumbra after
transient focal cerebral ischemia in rats.
AB - The suppressor of cytokine signaling 2 (SOCS2) has been reported to be involved
in astroglial reactions and adult neurogenesis in the ischemic hippocampus. To
elucidate whether SOCS2 is implicated in the pathophysiology of stroke, we
investigate spatiotemporal regulation and identification of cell phenotypes
expressing SOCS2 after transient focal cerebral ischemia. Weak hybridization
signals for SOCS2 mRNA were constitutively observed in striatal neurons and
upregulation of SOCS2 mRNA was induced in association with nestin-positive cells
in stroke-lesioned rats. Analysis of the characteristics and phenotypes of
SOCS2/nestin double-labeled cells revealed spatial differences between infarct
and peri-infarct areas. SOCS2/nestin double-labeled cells in the infarct area
were associated with the vasculature and were highly proliferative. In contrast,
the double-labeled cells in the peri-infarct area were indeed glial fibrillary
acidic protein (GFAP)-positive reactive astrocytes forming the glial scar,
although nestin-negative reactive astrocytes also exhibited weak SOCS2
expression. In addition, induction of SOCS2 expression was observed in Iba1
positive cells showing a macrophage-like phenotype with amoeboid morphology;
these cells were predominantly localized in the infarct area. In the peri-infarct
area, only a small proportion of Iba1-positive cells with the morphology of brain
macrophages expressed SOCS2 and most activated stellate microglial cells with
thick and short processes exhibited weak or negligible SOCS2 expression. Thus,
our results revealed the phenotypic and functional heterogeneity of SOCS2
expressing cells within infarct and peri-infarct areas, suggesting the
involvement of SOCS2 in astroglial reactions and activation/recruitment of brain
macrophages and its potential role in perivascular progenitors/stem cells after
ischemic stroke.
PMID- 26563458
TI - Analysing black phosphorus transistors using an analytic Schottky barrier MOSFET
model.
AB - Owing to the difficulties associated with substitutional doping of low
dimensional nanomaterials, most field-effect transistors built from carbon
nanotubes, two-dimensional crystals and other low-dimensional channels are
Schottky barrier MOSFETs (metal-oxide-semiconductor field-effect transistors).
The transmission through a Schottky barrier-MOSFET is dominated by the gate
dependent transmission through the Schottky barriers at the metal-to-channel
interfaces. This makes the use of conventional transistor models highly
inappropriate and has lead researchers in the past frequently to extract
incorrect intrinsic properties, for example, mobility, for many novel
nanomaterials. Here we propose a simple modelling approach to quantitatively
describe the transfer characteristics of Schottky barrier-MOSFETs from ultra-thin
body materials accurately in the device off-state. In particular, after
validating the model through the analysis of a set of ultra-thin silicon field
effect transistor data, we have successfully applied our approach to extract
Schottky barrier heights for electrons and holes in black phosphorus devices for
a large range of body thicknesses.
PMID- 26563460
TI - Nanosecond pulsed electric fields (nsPEFs) impact and enhanced Photofrin II((r))
delivery in photodynamic reaction in cancer and normal cells.
AB - BACKGROUND: Nanosecond pulsed electric field (nsPEF) treatment is a new anti
cancer strategy with ultrashort pulse duration and high intensity of electric
fields. The application of nsPEFs affects all intra- and extracellular membranes
and independently initiates the process of apoptosis within cancer cells, leading
the tumor to slowly auto-destruct without the use of toxic drugs. METHODS: This
study involves cells of gastric adenocarcinoma (EPG85-257P and EPG85-257RDB),
metastatic melanoma (Me45), epidermal cancer (A431), normal keratinocytes
(HaCaT), and macrophages (P388/D1). The influence of nanosecond pulses on the
cellular structure and cellular proliferation was evaluated. The effect of nsPEF
was determined by MTT and clonogenic assays and the efficiency was monitored by
following the propidium iodide and Photofrin II((r)) uptake using FACS analysis.
The cell membranes state was visualized with DHCC marker. RESULTS: nsPEFs (up to
60kV/cm) induced significant decrease of cellular viability in all cancer cells
except the A431 cell line. Photodynamic reactions combined with nsPEFs induced
the highest decrease of cellular viability in both gastric cell lines and skin
derived cancer cells. Normal (HaCaT and P388/D1) cells were in contrary not
significantly affected. Propidium iodide and Photofrin II((r)) uptake, used as
markers of membrane permeabilization, were the most efficient in gastric cells.
Finally, the most disturbed morphology was observed in the latter. CONCLUSIONS:
This is the first attempt of combining nsPEF with photodynamic reaction using Ph
II((r)) for selective destruction of cancer cells. The results indicate the
potential of nsPEF for inducing cytotoxicity mainly in adenocarcinoma cells,
while combined with Photofrin II((r)) and irradiation.
PMID- 26563459
TI - Bethesda Categorization of Thyroid Nodule Cytology and Prediction of Thyroid
Cancer Type and Prognosis.
AB - BACKGROUND: Since its inception, the Bethesda System for Reporting Thyroid
Cytopathology (TBS) has been widely adopted. Each category conveys a risk of
malignancy and recommended next steps, though it is unclear if each category also
predicts the type and extent of malignancy. If so, this would greatly expand the
utility of the TBS by providing prognostic information in addition to baseline
cancer risk. METHODS: All patients prospectively enrolled into the authors'
thyroid nodule database from 1995 to 2013 with histologically proven malignancy
were analyzed. The primary ultrasound-guided fine-needle aspiration cytology
(AUS, atypia of unknown significance; FN, follicular neoplasm; SUSP, suspicious;
M, malignant) was correlated with the type of thyroid cancer and histological
features known to impact prognosis and recurrence, including lymph node
metastasis (LNM), lymphovascular invasion, and extrathyroidal extension (ETE).
Primary cytology was separately correlated with higher risk malignancy. RESULTS:
A total of 1291 malignancies were identified, with primary cytology AUS in 130
cases, FN in 241 cases, SUSP in 411 cases, and M in 509 cases. AUS, SUSP, and M
cytology were progressively associated with an increasing risk of high-risk
disease (p < 0.001), LNM (p < 0.001), ETE (p < 0.001), and margin positivity (p <
0.001). Notably, 71% of malignancies with AUS cytology were follicular variants
of papillary thyroid cancer compared with 63% with SUSP cytology and only 20%
with M cytology. In contrast, high-risk malignancies were diagnosed in only 4%
with AUS cytology, but 9% and 27% with SUSP and M cytology, respectively. FN
conveyed a significantly increased risk of follicular thyroid carcinoma compared
with all other types (28% vs. 2%; p < 0.001). A composite endpoint of recurrence,
distant metastases, and death similarly increased as cytology progressed from AUS
to SUSP to M (p < 0.001). CONCLUSION: In addition to predicting cancer
prevalence, the TBS also imparts important prognostic information about cancer
type, variant, and risk of recurrence. These data extend the utility of TBS
classification by fostering an improved understanding of the risk posed by any
confirmed malignancy.
PMID- 26563461
TI - Microenvironment: Astrocytes silence PTEN to promote brain metastasis.
PMID- 26563463
TI - Genome instability: Stress management by the FA pathway.
PMID- 26563464
TI - Metastasis: Directions to metastatic sites.
PMID- 26563462
TI - Emerging mechanisms of resistance to androgen receptor inhibitors in prostate
cancer.
AB - During the past 10 years, preclinical studies implicating sustained androgen
receptor (AR) signalling as the primary driver of castration-resistant prostate
cancer (CRPC) have led to the development of novel agents targeting the AR
pathway that are now in widespread clinical use. These drugs prolong the survival
of patients with late-stage prostate cancer but are not curative. In this Review,
we highlight emerging mechanisms of acquired resistance to these contemporary
therapies, which fall into the three broad categories of restored AR signalling,
AR bypass signalling and complete AR independence. This diverse range of
resistance mechanisms presents new challenges for long-term disease control,
which may be addressable through early use of combination therapies guided by
recent insights from genomic landscape studies of CRPC.
PMID- 26563465
TI - Diagnosis: RNA-seq for blood-based pan-cancer diagnostics.
PMID- 26563466
TI - Optimization of a New Mass Spectrometry Method for Measurement of Breast Milk
Iodine Concentrations and an Assessment of the Effect of Analytic Method and
Timing of Within-Feed Sample Collection on Breast Milk Iodine Concentrations.
AB - BACKGROUND: Breast milk iodine concentration (BMIC) may be an indicator of iodine
status during lactation, but there are few data comparing different analytical
methods or timing of sampling. The aims of this study were: (i) to optimize a new
inductively coupled plasma mass spectrometry (ICP-MS) method; and (ii) to
evaluate the effect of analytical method and timing of within-feed sample
collection on BMIC. METHODS: The colorimetric Sandell-Kolthoff method was
evaluated with (a) or without (b) alkaline ashing, and ICP-MS was evaluated using
a new (129)I isotope ratio approach including Tellurium (Te) for mass bias
correction (c) or external standard curve (d). From iodine-sufficient lactating
women (n = 97), three samples were collected within one breast-feeding session
(fore-, mid-, and hind-feed samples) and BMIC was analyzed using (c) and (d).
RESULTS: Iodine recovery from NIST SRM1549a whole milk powder for methods (a)-(d)
was 67%, 24%, 105%, and 102%, respectively. Intra- and inter-assay coefficients
of variation for ICP-MS comparing (c) and (d) were 1.3% versus 5.6% (p = 0.04)
and 1.1% versus 2.4% (p = 0.33). The limit of detection (LOD) was lower for (c)
(0.26 MUg/kg) than it was for (d) (2.54 MUg/kg; p = 0.02). Using (c), the median
[95% confidence interval (CI) obtained by bootstrap] BMIC (MUg/kg) in foremilk
(179 [CI 161-206]) and in mid-feed milk (184 [CI 160-220]) were not significantly
different (p = 0.017), but were higher than in hindmilk (175 [CI 153-216]; p <
0.001). In foremilk using (d), BMIC was 199 ([CI 182-257]; p < 0.001 vs. (c)).
The variation in BMIC comparing (c) and (d) (13%) was greater than variation
within feeding (5%; p < 0.001). CONCLUSIONS: Because of poor recoveries, (a) and
(b) should not be used to measure BMIC. Compared with (d), (c) has the advantages
of higher precision and a lower LOD. In iodine-sufficient women, BMIC shows low
variation within a breast-feeding session, so timing of sampling is not a major
determinant of BMIC.
PMID- 26563467
TI - Marine Metagenome as A Resource for Novel Enzymes.
AB - More than 99% of identified prokaryotes, including many from the marine
environment, cannot be cultured in the laboratory. This lack of capability
restricts our knowledge of microbial genetics and community ecology.
Metagenomics, the culture-independent cloning of environmental DNAs that are
isolated directly from an environmental sample, has already provided a wealth of
information about the uncultured microbial world. It has also facilitated the
discovery of novel biocatalysts by allowing researchers to probe directly into a
huge diversity of enzymes within natural microbial communities. Recent advances
in these studies have led to a great interest in recruiting microbial enzymes for
the development of environmentally-friendly industry. Although the metagenomics
approach has many limitations, it is expected to provide not only scientific
insights but also economic benefits, especially in industry. This review
highlights the importance of metagenomics in mining microbial lipases, as an
example, by using high-throughput techniques. In addition, we discuss challenges
in the metagenomics as an important part of bioinformatics analysis in big data.
PMID- 26563468
TI - CVTree3 Web Server for Whole-genome-based and Alignment-free Prokaryotic
Phylogeny and Taxonomy.
AB - A faithful phylogeny and an objective taxonomy for prokaryotes should agree with
each other and ultimately follow the genome data. With the number of sequenced
genomes reaching tens of thousands, both tree inference and detailed comparison
with taxonomy are great challenges. We now provide one solution in the latest
Release 3.0 of the alignment-free and whole-genome-based web server CVTree3. The
server resides in a cluster of 64 cores and is equipped with an interactive,
collapsible, and expandable tree display. It is capable of comparing the tree
branching order with prokaryotic classification at all taxonomic ranks from
domains down to species and strains. CVTree3 allows for inquiry by taxon names
and trial on lineage modifications. In addition, it reports a summary of
monophyletic and non-monophyletic taxa at all ranks as well as produces print
quality subtree figures. After giving an overview of retrospective verification
of the CVTree approach, the power of the new server is described for the mega
classification of prokaryotes and determination of taxonomic placement of some
newly-sequenced genomes. A few discrepancies between CVTree and 16S rRNA analyses
are also summarized with regard to possible taxonomic revisions. CVTree3 is
freely accessible to all users at http://tlife.fudan.edu.cn/cvtree3/ without
login requirements.
PMID- 26563469
TI - Plant functional traits suggest a change in novel ecological strategies for
dominant species in the stages of forest succession.
AB - In forest succession, the ecological strategies of the dominant species that are
based on functional traits are important in the determination of both the
mechanisms and the potential directions of succession. Thirty-one plots were
established in the Loess Plateau region of northern Shaanxi in China. Fifteen
leaf traits were measured for the 31 dominant species that represented the six
stages of succession, and the traits included four that were related to
morphology, seven to stoichiometry and four to physiological ecology. The species
from the different successional stages had different patterns of distribution of
the traits, and different key traits predicted the turnover of the species during
succession. The ash and the cellulose contents were key regulatory factors of
species turnover in the early successional communities, and the trait niche
forces in sugar and leaf dry mass content might become more important with the
progression of succession. When only the three herb stages were considered, a
progressive replacement of the ruderal by the competitive-ruderal species
occurred in the intermediate stages of succession, which was followed by the
stress-tolerant-competitive or the competitive-stress tolerant-ruderal
strategists late in the succession. Thus, the different species that occurred in
the different stages of succession shared different trait-based ecological
strategies. Additionally, these differences occurred concomitantly with a shift
toward competitive-stress tolerant-ruderal strategies.
PMID- 26563470
TI - Cyclodextrin-Functionalized Monolithic Capillary Columns: Preparation and Chiral
Applications.
AB - In this review, the recently reported approaches for the preparation of
cyclodextrin-functionalized capillary monolithic columns are highlighted, with
few applications in chiral separations using capillary liquid chromatography
(CLC) and capillary electrochromatography (CEC). Chirality 28:97-109, 2016. (c)
2015 Wiley Periodicals, Inc.
PMID- 26563471
TI - Inhibition of Pten deficient Castration Resistant Prostate Cancer by Targeting of
the SET - PP2A Signaling axis.
AB - The PP2A signaling axis regulates multiple oncogenic drivers of castration
resistant prostate cancer (CRPC). We show that targeting the endogenous PP2A
regulator, SET (I2PP2A), is a viable strategy to inhibit prostate cancers that
are resistant to androgen deprivation therapy. Our data is corroborated by
analysis of prostate cancer patient cohorts showing significant elevation of SET
transcripts. Tissue microarray analysis reveals that elevated SET expression
correlates with clinical cancer grading, duration of neoadjuvant hormone therapy
(NHT) and time to biochemical recurrence. Using prostate regeneration assays, we
show that in vivo SET overexpression is sufficient to induce hyperplasia and
prostatic intraepithelial neoplasia. Knockdown of SET induced significant
reductions in tumorgenesis both in murine and human xenograft models. To further
validate SET as a therapeutic target, we conducted in vitro and in vivo
treatments using OP449 - a recently characterized PP2A-activating drug (PAD).
OP449 elicits robust anti-cancer effects inhibiting growth in a panel of
enzalutamide resistant prostate cancer cell lines. Using the Pten conditional
deletion mouse model of prostate cancer, OP449 potently inhibited PI3K-Akt
signaling and impeded CRPC progression. Collectively, our data supports a
critical role for the SET-PP2A signaling axis in CRPC progression and hormone
resistant disease.
PMID- 26563472
TI - In vitro and in vivo bone formation potential of surface calcium phosphate-coated
polycaprolactone and polycaprolactone/bioactive glass composite scaffolds.
AB - In this study, polycaprolactone (PCL)-based composite scaffolds containing 50wt%
of 45S5 Bioglass((r)) (45S5) or strontium-substituted bioactive glass (SrBG)
particles were fabricated into scaffolds using an additive manufacturing
technique for bone tissue engineering purposes. The PCL scaffolds were surface
coated with calcium phosphate (CaP) to enable further comparison of the
osteoinductive potential of different scaffolds: PCL (control), PCL/CaP-coated,
PCL/50-45S5 and PCL/50-SrBG scaffolds. The PCL/50-45S5 and PCL/50-SrBG composite
scaffolds were reproducibly manufactured with a morphology highly resembling that
of PCL only scaffolds. However, 50wt% loading of the bioactive glass (BG)
particles into the PCL bulk decreased the scaffold's compressive Young's modulus.
Coating of PCL scaffolds with CaP had a negligible effect on the scaffold's
porosity and compressive Young's modulus. When immersed in culture media, BG
dissolution ions (Si and Sr) were detected for up to 10weeks in the immersion
media and surface precipitates were formed on both PCL/50-45S5 and PCL/50-SrBG
scaffolds' surfaces, indicating good in vitro bioactivity. In vitro cell studies
were conducted using sheep bone marrow stromal cells (BMSCs) under non-osteogenic
or osteogenic conditioned media, and under static or dynamic culture
environments. All scaffolds were able to support cell adhesion, growth and
proliferation. However, when cultured in non-osteogenic media, only PCL/CaP,
PCL/50-45S5 and PCL/50-SrBG scaffolds showed an up-regulation of osteogenic gene
expression. Additionally, under a dynamic culture environment, the rate of cell
growth, proliferation and osteoblast-related gene expression was enhanced across
all scaffold groups. Subsequently, PCL/CaP, PCL/50-45S5 and PCL/50-SrBG
scaffolds, with or without seeded cells, were implanted subcutaneously into nude
rats for the evaluation of osteoinductivity potential. After 8 and 16weeks, host
tissue infiltrated well into the scaffolds, but no mature bone formation was
observed in any scaffolds groups. STATEMENT OF SIGNIFICANCE: This novelty of this
research work is that it provide a comprehensive comparison, both in vitro and in
vivo, between 3 different composite materials widely used in the field of bone
tissue engineering for their bone regeneration capabilities. The materials used
in this study include polycaprolactone, 45S5 Bioglass, strontium-substituted
bioactive glass and calcium phosphate. Additionally, the composite materials were
fabricated into the form of 3D scaffolds using additive manufacturing technique,
a widely used technique in tissue engineering.
PMID- 26563473
TI - Features Predictive of Distant Metastasis in Papillary Thyroid Microcarcinomas.
AB - BACKGROUND: The recent increase in the incidence of thyroid cancer has been
mainly attributed to papillary thyroid microcarcinomas (PTMCs), and many studies
have suggested conservative strategies for the diagnosis and treatment of PTMC.
However, PTMCs may be associated with distant metastasis. This study aimed to
evaluate the clinicopathological features and identify the risk factors for
distant metastasis in patients with PTMCs. METHODS: The medical records of 8808
patients who were diagnosed with PTMC from 1999 to 2012 were reviewed, and 12
(0.1%) patients with distant metastasis were identified. Forty-one PTMC patients
who initially had lateral cervical lymph node (LN) metastasis and were cured with
no evidence of a distant metastasis were also selected as a control group.
RESULTS: Of the 12 patients with distant metastasis, nine had synchronous
metastasis and three had metachronous metastasis. All 12 patients had primary
tumors >0.5 cm and cervical LN metastasis at initial surgery. Ten patients had
clinically apparent lateral cervical LN metastases, while two patients had only
microscopic involvement of a central LN. Four patients died of thyroid carcinoma.
Disease-specific mortality was associated with old age, large metastatic LNs with
extranodal extension, and aggressive pathologic subtype of metastatic LNs. When
the clinicopathological features of the patients with distant metastasis were
compared with the control patients, the presence of extranodal extension and
change to an aggressive pathologic subtype of metastatic LNs were significantly
associated with distant metastasis and persistent structural distant PTMC
metastasis. CONCLUSIONS: Most patients with PTMC demonstrate excellent clinical
outcomes, and distant metastases rarely occur. However, distant metastasis of
PTMC can be fatal. Performing a meticulous pathologic examination of metastatic
LNs to identify the presence of extranodal extension and the pathologic subtype
of metastatic LNs helps to assess the risk of a distant metastasis in patients
with PTMC.
PMID- 26563474
TI - Direct transplantation of native pericytes from adipose tissue: A new perspective
to stimulate healing in critical size bone defects.
AB - BACKGROUND AIMS: Fractures with a critical size bone defect (e.g., open fracture
with segmental bone loss) are associated with high rates of delayed union and non
union. The prevention and treatment of these complications remain a serious issue
in trauma and orthopaedic surgery. Autologous cancellous bone grafting is a well
established and widely used technique. However, it has drawbacks related to
availability, increased morbidity and insufficient efficacy. Mesenchymal stromal
cells can potentially be used to improve fracture healing. In particular, human
fat tissue has been identified as a good source of multilineage adipose-derived
stem cells, which can be differentiated into osteoblasts. The main issue is that
mesenchymal stromal cells are a heterogeneous population of progenitors and
lineage-committed cells harboring a broad range of regenerative properties. This
heterogeneity is also mirrored in the differentiation potential of these cells.
In the present study, we sought to test the possibility to enrich defined
subpopulations of stem/progenitor cells for direct therapeutic application
without requiring an in vitro expansion. METHODS: We enriched a CD146+NG2+CD45-
population of pericytes from freshly isolated stromal vascular fraction from
mouse fat tissue and tested their osteogenic differentiation capacity in vitro
and in vivo in a mouse model for critical size bone injury. RESULTS: Our results
confirm the ability of enriched CD146+NG2+CD45- cells to efficiently generate
osteoblasts in vitro, to colonize cancellous bone scaffolds and to successfully
contribute to regeneration of large bone defects in vivo. CONCLUSIONS: This study
represents proof of principle for the direct use of enriched populations of cells
with stem/progenitor identity for therapeutic applications.
PMID- 26563475
TI - Expressional profiles of transcription factors in the progression of Helicobacter
pylori-associated gastric carcinoma based on protein/DNA array analysis.
AB - Transcription factors (TFs) are crucial modulators of gene expression during the
development and progression of gastric carcinoma. Helicobacter pylori (H. pylori)
is one of the most significant risk factors of gastric carcinoma, and it is
widely known that chronic inflammation with H. pylori infection triggers gastric
carcinogenesis through inflammation-carcinoma chain [gastric carcinogenesis
stages: non-atrophic gastritis, chronic atrophic gastritis, intestinal
metaplasia, dysplasia and gastric carcinoma (GC)], but its mechanism regarding
changed TFs remains unknown. In this study, we investigated the expressional
profiles of 345 transcription factors in gastric mucosa of healthy volunteers and
patients at different gastric carcinogenesis stages using protein/DNA array-based
approach. The data demonstrated the up-regulated TFs such as GATA-3, AP4, c-Myc
and Pbx1 in the gastric mucosa of GC patients compared with the healthy
volunteers, while other TFs, particularly CCAAT and CACC, showed the consistently
decreasing trend along the gastric carcinogenesis. The increased expressions of
AP4, Pbx1 and C/EBPalpha were further validated by quantitative real-time PCR and
Western blot in various H. pylori-infected models such as clinical gastric
tissues, gastric epithelial cell lines and Mongolian gerbils. This study provides
insights into and potential laws for gene transcriptional regulation by
identifying potential TFs targets against the development of H. pylori-associated
gastric carcinoma.
PMID- 26563476
TI - A Computer-Aided Diagnosis Scheme For Detection Of Fatty Liver In Vivo Based On
Ultrasound Kurtosis Imaging.
AB - Fatty liver disease is a common disease caused by alcoholism, obesity, and
diabetes, resulting in triglyceride accumulation in hepatocytes. Kurtosis
coefficient, a measure of the peakedness of the probability distribution, has
been applied to the analysis of backscattered statistics for characterizing fatty
liver. This study proposed ultrasound kurtosis imaging as a computer-aided
diagnosis (CAD) method to visually and quantitatively stage the fatty liver. A
total of 107 patients were recruited to participate in the experiments. The
livers were scanned using a clinical ultrasound scanner with a 3.5-MHz curved
transducer to acquire the raw ultrasound backscattered signals for kurtosis
imaging. The kurtosis image was constructed using the sliding window technique.
Experimental results showed that kurtosis imaging has the ability to visualize
and quantify the variation of backscattered statistics caused by fatty
infiltration. The kurtosis coefficient corresponding to liver parenchyma
decreased from 5.41 +/- 0.89 to 3.68 +/- 0.12 with increasing the score of fatty
liver from 0 (normal) to 3 (severe), indicating that fatty liver reduces the
degree of peakedness of backscattered statistics. The best performance of
kurtosis imaging was found when discriminating between normal and fatty livers
with scores >=1: the area under the curve (AUC) is 0.92 at a cutoff value of 4.36
(diagnostic accuracy =86.9 %, sensitivity =86.7 %, specificity =87.0 %). The
current findings suggest that kurtosis imaging may be useful in designing CAD
tools to assist in physicians in early detection of fatty liver.
PMID- 26563477
TI - alpha-Synuclein pathology in the cranial and spinal nerves in Lewy body disease.
AB - Accumulation of phosphorylated alpha-synuclein in neurons and glial cells is a
histological hallmark of Lewy body disease (LBD) and multiple system atrophy
(MSA). Recently, filamentous aggregations of phosphorylated alpha-synuclein have
been reported in the cytoplasm of Schwann cells, but not in axons, in the
peripheral nervous system in MSA, mainly in the cranial and spinal nerve roots.
Here we conducted an immunohistochemical investigation of the cranial and spinal
nerves and dorsal root ganglia of patients with LBD. Lewy axons were found in the
oculomotor, trigeminal and glossopharyngeal-vagus nerves, but not in the
hypoglossal nerve. The glossopharyngeal-vagus nerves were most frequently
affected, with involvement in all of 20 subjects. In the spinal nerve roots, Lewy
axons were found in all of the cases examined. Lewy axons in the anterior nerves
were more frequent and numerous in the thoracic and sacral segments than in the
cervical and lumbar segments. On the other hand, axonal lesions in the posterior
spinal nerve roots appeared to increase along a cervical-to-sacral gradient.
Although Schwann cell cytoplasmic inclusions were found in the spinal nerves,
they were only minimal. In the dorsal root ganglia, axonal lesions were seldom
evident. These findings indicate that alpha-synuclein pathology in the peripheral
nerves is axonal-predominant in LBD, whereas it is restricted to glial cells in
MSA.
PMID- 26563478
TI - Complications of ESIN osteosynthesis--Experience in 270 patients.
AB - BACKGROUND: Elastic stable intramedullary nailing (ESIN) osteosynthesis has been
used in our department for the treatment of long-bone fractures in children and
adolescents for more than 17 years. During this period we have shown that ESIN
has several advantages compared with other methods of treatment. However, as with
every other method, ESIN has its drawbacks and complications. These occur
primarily if indication criteria are not respected or ESIN technique is
inadequate. This paper presents the rate of complications that occurred with this
method in our patients, and the means of prevention and treatment of these
complications. PATIENTS AND METHODS: A total of 270 patients treated with ESIN
osteosynthesis for fractures of long bones of the extremities completed
treatment. The study was conducted at the Department of Child Surgery and
Orthopaedics of the Clinical Hospital Centre in Rijeka. All the Nancy Nails used
in the study were of the same quality, from one manufacturer and were applied
using the standard ESIN technique. In 228 patients (84%), ESIN was the primary
treatment, whereas in the remaining 42 patients (16%), ESIN was applied after an
attempt at manual reposition and immobilisation of bone fragments. All patients
had control radiography at least three times and postoperative monitoring was
conducted for at least two years. RESULTS: A total of 35 of the 270 observed
patients developed complications; some patients had several complications. There
were 53 early intraoperational complications and 29 late postoperative
complications. All complications resolved with appropriate therapy. The treatment
was satisfactory in all patients except those with an elongation of the extremity
(leg) of more than 1cm. CONCLUSION: Postoperative complications related to the
ESIN method of osteosynthesis in the patients in this study were detected by
radiological control examinations and long-term clinical monitoring. All the
complications of ESIN were relatively easy to treat with current medical methods.
The frequency of particular complications is significantly reduced if indication
criteria for ESIN are respected and correct ESIN technique is used.
PMID- 26563479
TI - Definition of polytrauma: Discussion on the objective definition based on
quantitative estimation of multiply injured patients during wartime.
AB - AIM: There is a clear lack of consensus on a validated definition of the term
"polytrauma". This study presents and classifies the extent of injuries during
wartime in Croatia using the Revised Trauma Score and Injury Severity Score
(TRISS) and compares the scores with a clinical estimation based on subjective
assessments of polytraumatised and non-polytraumatised patients. METHODS: We
analysed the data from 426 war victims who sustained multiple injuries and were
managed at Osijek University Hospital from September 1st 1991 to December 31st
1991. The victims were divided into polytraumatised (n=149) and multitraumatised
(n=277) patients according to the initial clinical estimation of the extent of
injury. Patients classified as monotraumatised were excluded from this study. The
assessment was based on the following definition of polytrauma: simultaneous
injury of two or more body regions or anatomical systems with at least one injury
being life-threatening. All data were scored retrospectively using TRISS
methodology. RESULTS: Two patients classified as polytraumatised had an ISS of
less than 16, and one patient classified as multitraumatised had an ISS of more
than 16. The difference between the actual (29.5%) and expected (40.44%)
postoperative mortality in the polytraumatised group was statistically
significant (p=0.0016), whereas in the multitraumatised group, the difference
between the actual (3.2%) and expected (3.04%) postoperative mortality was not
significant (p=0.6103). CONCLUSIONS: The data show that clinical and subjective
assessment of polytraumatised patients can be useful in the management of such
cases and can be tested retrospectively using TRISS methodology.
PMID- 26563480
TI - Treatment of proximal fifth metatarsal bone fractures in athletes.
AB - Proximal fifth metatarsal (V MT) bone fractures are common injuries that are a
major diagnostic and therapeutic challenge. Lawrence and Botte considered
different treatment options and the possibility of recovery and divided these
fractures into three different regions: tuberosity avulsion fractures (zone I),
acute fractures of the metaphysis at the level of the intermetatarsal junction
(zone II) and proximal diaphysis stress fracture (zone III). A total of 42
athletes with fracture of the V MT bone in zone II and III were treated in our
institution during a 6-year period. All patients were offered surgical treatment,
but nine patients refused surgery. Thus, the patients were divided into two
groups: group 1 comprised 33 patients who underwent an intramedullary screw
fixation operation under regional anaesthesia immediately after the fracture was
diagnosed; group 2 contained the remaining nine patients who had refused surgery
and received conservative therapy with non-weight-bearing short-leg casts or
orthosis. Follow-up ranged from 6 to 24 months. All fractures healed in group 1:
healing occurred within 8 weeks in 26 patients and was prolonged to 16 to 18
weeks in four patients. In group 2, fractures healed in four patients but did not
heal in five patients even after 6 months. Four of the five patients in whom the
fracture did not heal required subsequent osteosynthesis because they had
constant problems that caused absence from sport. After the operation, their
fractures healed in an average of 10 weeks. One patient decided not to undergo
the operation due to the absence of subjective symptoms. Three patients in group
1 who started intensive training sustained a refracture and underwent re
operation in which osteosynthesis was performed with a stronger screw. The
fractures then healed again. Treatment results were evaluated radiologically and
clinically using the Modified Foot Score. Results in group 1 were significantly
better than those in group 2 and there was an earlier return to full athletic
activity. The authors concluded that intramedullary fixation of V MT zone II and
III fractures with cannulated compression screws was associated with excellent
functional results and early and complete recovery.
PMID- 26563481
TI - Prognostic significance of specific injury patterns in casualties of traffic
related accidents.
AB - AIM: Fatal triad and ipsilateral dyad are patterns of pedestrian injuries related
to significant mortality in traffic-related accidents. The aim of this research
was to investigate the correlation between specific injury patterns and fatal
outcome in other participants of traffic-related accidents. METHODS: This was a
retrospective study of traffic-related accidents in the broader area of the city
of Osijek in a five-year period from 1995 to 1999. Autopsy results from the
Institute of Pathology and Forensic Medicine of the Clinical Hospital Centre
Osijek were analysed of individuals who died after their accident. The total
severity of injuries was measured using the ISS. Logistic regression analysis was
used for assessing the correlation between specific injury patterns and an early
outcome from the severe injury. RESULTS: There were 213 individuals included in
the study: 72 pedestrians and 141 other participants (drivers, assistant drivers,
passengers, cyclists and motorcyclists). A total of129 individuals died on the
spot and 84 died in the hospital during the first 48h. Femoral and pelvic
fracture, fatal triad and both variants of ipsilateral dyad were related to
higher ISS values. Ipsilateral fracture of upper and lower extremities
(ipsilateral dyad 1) was associated with a 4.59 times higher risk of an immediate
fatal outcome in the total sample. In pedestrians, the risk was 5.99 higher, and
in other participants, the risk was 4.11 times higher. CONCLUSION: Specific
skeletal injuries and injury patterns are a significant indicator for total
injury severity and related poor prognosis for all participants of traffic
related injuries, not only for pedestrians. In this study, the ipsilateral
fracture of upper and lower extremity was related to the largest total severity
of injuries and the poorest prognosis.
PMID- 26563482
TI - Indication for resuscitative thoracotomy in thoracic injuries-Adherence to the
ATLS guidelines. A forensic autopsy based evaluation.
AB - BACKGROUND: The appropriate indications for Resuscitative Thoracotomy (RT) are
still debated in the literature and various guidelines have been proposed. This
study aimed to evaluate whether Advanced Trauma Life Support (ATLS) guidelines
for RT were applied correctly and to evaluate the proportion of deceased patients
with potentially reversible thoracic lesions (PRTL). METHODS: The database at the
Department of Forensic Medicine at Copenhagen University was queried for autopsy
cases with thoracic lesions indicated by the SNOMED autopsy coding system.
Patients were included if thoracic lesions were caused by a traumatic event with
trauma team activation. Patient cases were blinded for any surgical intervention
and evaluated independently by two reviewers for indications or contraindications
for RT as determined by the ATLS guidelines. Second, autopsy reports were
evaluated for the presence of PRTL. RESULTS: Sixty-seven patients met the
inclusion criteria. Two were excluded due to insufficient data. The overall
agreement with guidelines was 86% and 77% for blunt and penetrating trauma,
respectively. For patients submitted to RT the overall agreement with guidelines
was 63% being 45% and 74% for blunt and penetrating trauma, respectively. For
patients who did not undergo RT the agreement with guidelines was 100%. In all
cases where RT was performed in agreement between guidelines and the clinical
decision the autopsy reports showed PRTL in 16 (84%) patients. In cases of non
agreement PRTL were found in 9 (82%) patients. CONCLUSIONS: Agreement with ATLS
guidelines for RT was 63% for intervention and 100% for non-intervention in
deceased patients with thoracic trauma. Agreement was higher for penetrating
trauma than for blunt trauma. The adherence to guidelines did not improve the
ability to predict autopsy findings of PRTL. Although the study has methodical
limitations it represents a novel approach to the evaluation of the clinical use
of RT guidelines.
PMID- 26563483
TI - Splenic rupture in infectious mononucleosis: A systematic review of published
case reports.
AB - INTRODUCTION: Infectious mononucleosis (IM) is a common viral illness that
predominantly causes sore throat, fever and cervical lymphadenopathy in
adolescents and young adults. Although usually a benign, self-limiting disease,
it is associated with a small risk of splenic rupture, which can be life
threatening. It is common practice therefore to advise avoiding vigorous physical
activity for at least 4-6 weeks, however this is not based on controlled trials
or national guidelines. We reviewed published case reports of splenic rupture
occurring in the context of IM in an attempt to ascertain common factors that may
predict who is at risk. METHOD: A search of MEDLINE and EMBASE databases was
performed for case reports or series published between 1984 and 2014. In total,
52 articles or abstracts reported 85 cases. Data was extracted and compiled into
a Microsoft Excel((r)) spreadsheet. RESULTS: The average patient age was 22
years, the majority (70%) being male. The average time between onset of IM
symptoms and splenic rupture was 14 days, with a range up to 8 weeks. There was a
preceding history of trauma reported in only 14%. Abdominal pain was the
commonest presenting complaint of splenic rupture, being present in 88%. 32% were
successfully managed non-operatively, whereas 67% underwent splenectomy. Overall
mortality was 9%. CONCLUSIONS AND RECOMMENDATIONS: From our data, it appears that
men under 30 within 4 weeks of symptom onset are at highest risk of splenic
rupture, therefore particular vigilance in this group is required. As cases have
occurred up to 8 weeks after the onset of illness, we would recommend avoidance
of sports, heavy lifting and vigorous activity for 8 weeks. Should the patient
wish to return to high risk activities prior to this, an USS should be performed
to ensure resolution of splenomegaly. The majority of cases reviewed had no
preceding trauma, although previous studies have suggested this may be so minor
as to go unnoticed by the patient. It is therefore prudent to warn patients about
the symptoms of splenic rupture to ensure prompt presentation and minimise
treatment delay rather than focusing purely on activity limitation.
PMID- 26563485
TI - Investigation on novel raceway pond with inclined paddle wheels through
simulation and microalgae culture experiments.
AB - The open raceway ponds are nowadays the most used large-scale reactors for
microalgae culture. To avoid the stacking of microalgae, the paddle wheels are
the most widely used to circulate and mix the culture medium. In this paper, a
numerical simulation using computational fluid dynamics (CFD) was used to
investigate the hydrodynamic characteristics of open raceway ponds with different
types of paddle wheels (the traditional paddle wheels and the novel paddle wheels
with specially inclined angle of the blades). The particle image velocimetry
(PIV) was used to validate the reliability of the CFD model. The CFD simulation
results showed that the novel raceway pond with 15 degrees inclined angle of the
blades had the best mixing efficiency under the same power consumption. Lastly,
the results of microalgae culture experiments showed that the growth rates of
Chlorella pyrenoidosa in the novel raceway pond with 15 degrees inclined angle
of the blades were higher than those in the traditional reactor. The results of
the culture experiments and CFD simulations were identical with each other.
Therefore, a novel paddle wheel with 15 degrees inclined angle of the blades was
obtained for better microalgae cultivation.
PMID- 26563484
TI - Myc and Omomyc functionally associate with the Protein Arginine Methyltransferase
5 (PRMT5) in glioblastoma cells.
AB - The c-Myc protein is dysregulated in many human cancers and its function has not
been fully elucitated yet. The c-Myc inhibitor Omomyc displays potent anticancer
properties in animal models. It perturbs the c-Myc protein network, impairs c-Myc
binding to the E-boxes, retaining transrepressive properties and inducing histone
deacetylation. Here we have employed Omomyc to further analyse c-Myc activity at
the epigenetic level. We show that both Myc and Omomyc stimulate histone H4
symmetric dimethylation of arginine (R) 3 (H4R3me2s), in human glioblastoma and
HEK293T cells. Consistently, both associated with protein Arginine
Methyltransferase 5 (PRMT5)--the catalyst of the reaction--and its co-factor
Methylosome Protein 50 (MEP50). Confocal experiments showed that Omomyc co
localized with c-Myc, PRMT5 and H4R3me2s-enriched chromatin domains. Finally,
interfering with PRMT5 activity impaired target gene activation by Myc whereas it
restrained Omomyc-dependent repression. The identification of a histone-modifying
complex associated with Omomyc represents the first demonstration of an active
role of this miniprotein in modifying chromatin structure and adds new
information regarding its action on c-Myc targets. More importantly, the
observation that c-Myc may recruit PRMT5-MEP50, inducing H4R3 symmetric di
methylation, suggests previously unpredictable roles for c-Myc in gene expression
regulation and new potential targets for therapy.
PMID- 26563486
TI - Hip fractures in patients older than 75 years old: Retrospective analysis for
prognostic factors.
AB - BACKGROUND: Proximal femoral fractures are often seen in older patients and are
associated with high mortality. Introduction to old age population is latening
due to advancements in medical sciences and increasing life expectancy.
OBJECTIVES: The aim of the study was to evaluate factors affecting mortality in
patients above 75 years of age who had been operated because of proximal femur
fractures. PATIENTS AND METHODS: Patients with age 75 and over who suffers from
post-fall proximal femoral fracture who underwent surgery with one of following
three methods (hemiarthroplasty, proximal femoral nail or total hip arthroplasty)
were evaluated retrospectively. Effects on mortality were examined for factors
such as type of surgery, type of anesthesia, preoperative ASA score (American
Society of Anesthesiologists Score), need for intensive care, need for blood
transfusion, operation waiting time and hospitalization duration. 115 patients
who met inclusion criterion were included in the study out of 224 overall. 75
patients were women and 40 were males. RESULTS: Mortality rate after first year
was found to be 40%. Patients over 85 years old had higher rates of mortality (p
= 0,0003) than respectively younger patients (75-85). Sex was found to have no
impact on mortality (p = 0.5039). There was no statistically significant
difference in terms ASA score (p = 0.1518). Order of applied surgical methods
with mortality risk rates was found to be total hip arthroplasty >
hemiarthroplasty > proximal femoral nail (p = 0.0003). Type of anesthesia, the
use of cement during arthroplasty, operation waiting time and hospitalization
duration was not directly related with mortality rate (type of anesthesia p =
0.63, the use of cement during arthroplasty p = 0.223, operation waiting time p =
0.5 and hospitalization duration p = 0.19). CONCLUSIONS: Age is the primary risk
factor on first year mortality in patients older than 75 years old with hip
fractures. Addition to older age, more need to blood transfusions, and
arthroplasty are other risk factors for first year mortality. It should be kept
in mind that after 75 years old first year mortality may be higher if the patient
is treated with arthroplasty.
PMID- 26563487
TI - Influence of colectomy type and resected specimen length on number of harvested
lymph nodes.
AB - BACKGROUND: The optimal (minimal) number of harvested nodes is still a matter of
debate. We prospectivly evaluated the relation between specimen length and tumor
location to the number of harvested nodes and rate of node positivity. METHODS:
Specimens of right hemicolectomy, left hemicolectomy, and subtotal colectomy were
assessed for specimen length, overall number of harvested lymph nodes, and lymph
node ratio. RESULTS: Left hemicolectomies were performed in 106 patients, right
hemicolectomies in 90, and subtotal colectomies in 9. The mean number of
retrieved lymph nodes was significantly higher in patients with right and
subtotal colectomies compared to left colectomies: 33, 44, and 24, respectively.
Positive nodes were found in 34% of the patients with right hemicolectomies, 55%
in the subtotal group, and 35% in the left hemicolectomy group (not statistically
significant). The length of the resected specimen was significantly longer in
patients with right and subtotal colectomies compared to left colectomy: 31, 83,
and 19 cm, respectively, but the ratio of lymph nodes to the length of the
specimen was not statistically different: 1.19, 0.58, and 1.55, respectively.
CONCLUSIONS: It appears that the additional length of resection in right
colectomies compared to left colectomies leads to an increase in the average
number of resected nodes, a change that did not translate into an increase in the
number of positive nodes, nor in the ratio of patients with positive nodes.
PMID- 26563488
TI - Extracorporeal life support in polytraumatized patients.
AB - Major trauma is a leading cause of death, particularly amongst young patients.
Conventional therapies for post-traumatic cardiovascular shock and acute
pulmonary failure may sometimes be insufficient and even dangerous. New
approaches to trauma care and novel salvage techniques are necessary to improve
outcomes. Extracorporeal life support (ECLS) has proven to be effective in acute
cardiopulmonary failure from different etiologies, particularly when conventional
therapies fail. Since 2008 we have used ECLS as a rescue therapy in severe poly
trauma patients with refractory clinical setting (cardiogenic shock, cardiac
arrest, and/or pulmonary failure). The rationale for using ECLS in trauma
patients is to support cardiopulmonary function, providing adequate systemic
perfusion and, therefore, avoiding consequent multi-organ failure and permitting
organ recovery. From our data ECLS, utilizing heparin-coated support to avoid
systemic anticoagulation, is a valuable option to support severely injured
patients when conventional therapies are insufficient. It is safe, feasible, and
effective in providing hemodynamic support and blood-gas exchange. Moreover, we
have identified several pre-ECLS patient characteristics useful in predicting
ECLS treatment appropriateness in severe poly-traumatized patients. These might
be helpful in deciding whether the ECLS should be initiated in patients who are
severely complex and compromised. Future improvements in materials and techniques
are expected to make ECLS even easier and safer to manage, leading to a further
extension of its use in severely injured patients.
PMID- 26563489
TI - Bile acid elevation after Roux-en-Y gastric bypass is associated with cardio
protective effect in Zucker Diabetic Fatty rats.
AB - BACKGROUND: Roux-en-Y gastric bypass (RYGB) may improve cardiometabolic risk
through alteration of bile acids and L-PGDS levels. OBJECTIVE: The objective of
this study was to investigate the effect of RYGB on aortic wall thickness, in
relation to bile acid and L-PGDS metabolism. METHODS: Zucker diabetic fatty (ZDF)
rats were divided into two groups, ad lib (n = 4), and RYGB (n = 6). Bile acid
and L-PGDS were measured presurgery and fourteen weeks post-surgery. RESULTS:
Elevation of bile acid levels following RYGB in Zucker Diabetic Fatty (ZDF)
rodents was observed, as compared to ad lib. RYGB in ZDF rodents led to a
significantly decreased aortic wall thickness (25%) as compared to ad lib
control. Although bile acid metabolism is implicated in these alterations, other
mediators are likely involved. Our laboratory has demonstrated lipocalin
prostaglandin D2 synthase (L-PGDS) is a kno n cardiometabolic modulator that also
functions as a bile acid binding protein. Therefore, L-PGDS levels were measured
and a significant elevation was observed with RYGB compared to ad lib control.
CONCLUSION: Based on these findings, RYGB showed beneficial effect on aortic wall
thickness, possibly through bile acids and L-PGDS elevation in a severely obese
and diabetic rodent model.
PMID- 26563490
TI - Predicting individual change during the course of treatment.
AB - OBJECTIVE: An empirically derived prediction model was developed in a private
practice setting to monitor on-track and off-track weekly treatment progress in
an intensive outpatient program (IOP). METHOD: The predictive equation was
derived as a function of the baseline measure and time. The formulae for the
predictive equations were derived from two groups of psychiatric patients (N =
400 each) in an IOP diagnosed with major depression. Each equation was cross
validated between these two psychiatric IOP samples and a dual diagnosis sample
(N = 198) using kappa, the reliable change index (RCI), receiver operating
characteristic curves, and Youden's J. RESULTS: Using varying RCI
classifications, approximately 66-75% of both samples reliably improved, 23-24%
were indeterminant, and only 1-3% deteriorated. Of patients identified as off
track, which included patients classified as indeterminant and deteriorated, 83%
were correctly identified. Of those identified as on-track, 85% were correctly
classified. Those identified as on-track (85%) are highly likely to respond to
treatment as expected. CONCLUSIONS: The overall efficiency index (hit rate) for
the correct classification of all patients was 85%. Implications for using this
predictive model as a clinical support decision tool with relatively homogeneous
populations in other practice settings are discussed.
PMID- 26563491
TI - The effect of textured ballet shoe insoles on ankle proprioception in dancers.
AB - BACKGROUND: Impaired ankle inversion movement discrimination (AIMD) can lead to
ankle sprain injuries. The aim of this study was to explore whether wearing
textured insoles improved AIMD compared with barefoot, ballet shoes and smooth
insoles, among dancers. METHODS: Forty-four adolescent male and female dancers,
aged 13-19, from The Australian Ballet School were tested for AIMD while
barefoot, wearing ballet shoes, smooth insoles, and textured insoles. RESULTS: No
interaction was found between the four different footwear conditions, the two
genders, or the two levels of dancers in AIMD (p > .05). An interaction was found
between the four different footwear conditions and the three tertiles when tested
in ballet shoes (p = .006). Although significant differences were found between
the upper tertiles and the lower tertiles when tested with ballet shoes, barefoot
and with smooth insoles (p < .001; p < .001; p = .047, respectively), when
testing with textured insoles dancers in the lower tertile obtained similar
scores to those obtained by dancers in the upper tertile (p = .911). CONCLUSION:
Textured insoles improved the discrimination scores of dancers with low AIMD,
suggesting that textured insoles may trigger the cutaneous receptors in the
plantar surface, increasing the awareness of ankle positioning, which in turn
might decrease the chance of ankle injury.
PMID- 26563492
TI - Salvage autologous fascial sling after failed synthetic midurethral sling:
Greater than 3-year outcomes.
AB - OBJECTIVE: To determine long-term surgical outcomes of salvage autologous fascial
sling placement after a failed synthetic midurethral sling. METHODS: Women who
had undergone autologous fascial sling placement without concomitant pelvic
surgery for a failed synthetic midurethral sling utilizing mesh with a minimum
follow up of 36 months were identified. Charts were reviewed, and patients were
contacted by telephone. Success was determined by the Patient Global Impression
of Improvement. Secondary measures included the Incontinence Severity Index
questionnaire, patient recommendation of the autologous fascial sling and need
for further incontinence surgery. RESULTS: A total of 35 patients met the
criteria, and 21 were successfully contacted. Of those contacted, the median age
at surgery was 67 years (range 53-81 years) and at the time of the survey was 75
years (range 63-84 years) with median follow up of 74 months (range 36-127
years). Preoperatively, 12 patients (57.1%) had urethral hypermobility and 13
patients (61.9%) had mixed urinary incontinence. Eight patients (38.1%) had
concomitant sling excision with five of those combined with urethrolysis at the
time of the salvage operation. Patient Global Impression of Improvement success
was noted in 16 patients (76.2%). A total of 11 patients (52.4%) were dry or had
slight incontinence by the Incontinence Severity Index. One patient required
additional anti-incontinence surgery (4.8%). A total of 18 patients (85.7%)
recommended the autologous fascial sling. No statistical impact was noted with
sling excision (P = 0.62), mixed urinary incontinence (P = 0.61), age at surgery
(P = 0.23), age at follow up (P = 0.15), length of follow up (P = 0.71) or first
surgery type (transobturator tape vs retropubic; P = 1.00). CONCLUSIONS:
Autologous fascial sling provides reasonable long-term success as a salvage
operation for failed midurethral slings.
PMID- 26563493
TI - Postpartum adverse effects and sexual satisfaction following cesarean delivery in
Beijing.
AB - OBJECTIVE: To investigate short- and long-term postpartum complications of
different delivery methods in terms of sexual satisfaction, stress urinary
incontinence (SUI), and pelvic-floor dysfunction in the Beijing region. METHODS:
A questionnaire-based study was conducted between June 30, 2013 and July 1, 2014.
Female residents of Beijing aged 20-65 years, who were attending routine physical
examinations, were enrolled and completed a face-to-face survey including
questions on short- and long-term complications related to sexual satisfaction,
SUI, and pelvic-floor dysfunction postpartum. RESULTS: In total, 2649 individuals
were enrolled. In comparison with patients that underwent vaginal delivery,
patients that had undergone cesarean delivery experienced a greater length of
time before resuming intercourse (2.70+/-2.0 9months vs 4.32+/-4.10 months;
P<0.001) and higher incidences of decreased libido (93 [6.1%] vs 234 [20.7%];
P<0.001), vaginal dryness (39 [2.6%] vs 177 [15.7%]; P<0.001), sexual
dissatisfaction (117 [7.7%] vs 234 [20.7%]; P<0.001), and painful intercourse (48
[3.2%] vs 252 [22.3%]; P<0.001) after delivery. Cesarean delivery did not
demonstrate any long-term protective effects against future SUI in comparison
with vaginal delivery. CONCLUSION: Cesarean delivery resulted in a greater
incidence of adverse effects on postpartum sexual function and cesarean delivery
provides no long-term protective effects against postpartum SUI.
PMID- 26563494
TI - Fiber-optic control and thermometry of single-cell thermosensation logic.
AB - Thermal activation of transient receptor potential (TRP) cation channels is one
of the most striking examples of temperature-controlled processes in cell
biology. As the evidence indicating the fundamental role of such processes in
thermosensation builds at a fast pace, adequately accurate tools that would allow
heat receptor logic behind thermosensation to be examined on a single-cell level
are in great demand. Here, we demonstrate a specifically designed fiber-optic
probe that enables thermal activation with simultaneous online thermometry of
individual cells expressing genetically encoded TRP channels. This probe
integrates a fiber-optic tract for the delivery of laser light with a two-wire
microwave transmission line. A diamond microcrystal fixed on the fiber tip is
heated by laser radiation transmitted through the fiber, providing a local
heating of a cell culture, enabling a well-controlled TRP-assisted thermal
activation of cells. Online local temperature measurements are performed by using
the temperature-dependent frequency shift of optically detected magnetic
resonance, induced by coupling the microwave field, delivered by the microwave
transmission line, to nitrogen--vacancy centers in the diamond microcrystal.
Activation of TRP channels is verified by using genetically encoded fluorescence
indicators, visualizing an increase in the calcium flow through activated TRP
channels.
PMID- 26563495
TI - Carrier testing in children and adolescents.
AB - Many international guidelines recommend that carrier testing in minors should be
postponed either until the age of majority or until the child can be actively
involved in the decision making process. Although a number of high school
programs exist which provide carrier screening to adolescents in at-risk
populations, recent guidelines published by the American Society of Human
Genetics do not advocate this testing. Despite this, there are some circumstances
in which carrier testing does occur in minors. This testing might be intentional,
in which identification of carrier status is the goal of the test, or
unintentional, where carrier status is identified as a by-product of testing. In
this review we outline the situations in which carriers may be identified in
childhood and the positions of professional guidelines that address carrier
testing in children. We then review the arguments for and against carrier testing
presented in the literature and compare this to the empirical evidence in this
field.
PMID- 26563496
TI - Two rare deletions upstream of the NRXN1 gene (2p16.3) affecting the non-coding
mRNA AK127244 segregate with diverse psychopathological phenotypes in a family.
AB - CNVs spanning the 2p16.3 (NRXN1) and the 15q11.2 gene rich region have been
associated with severe neuropsychiatric disorders including schizophrenia.
Recently, studies have also revealed that CNVs in non-coding regions play an
essential role in genomic variability in addition to disease susceptibility. In
this study, we describe a family affected by a wide range of psychiatric
disorders including early onset schizophrenia, schizophreniform disorder, and
affective disorders. Microarray analysis identified two rare deletions
immediately upstream of the NRXN1 gene affecting the non-coding mRNA AK127244 in
addition to the pathogenic 15q11.2 deletion in distinct family members. The two
deletions upstream of the NRXN1 gene were found to segregate with psychiatric
disorders in the family and further similar deletions have been observed in
patients diagnosed with autism spectrum disorder. Thus, we suggest that non
coding regions upstream of the NRXN1 gene affecting AK127244 might (as NRXN1)
contain susceptibility regions for a wide spectrum of neuropsychiatric disorders.
PMID- 26563497
TI - Suppressing Receptor-Interacting Protein 140: a New Sight for Salidroside to
Treat Cerebral Ischemia.
AB - The purpose of the current study was to detect the effect of salidroside (Sal) on
cerebral ischemia and explore its potential mechanism. Middle cerebral artery
occlusion (MCAO) was performed to investigate the effects of Sal on cerebral
ischemia. The rats were randomly divided into five groups: sham group, vehicle
group, clopidogrel (7.5 mg/kg) group, Sal (20 mg/kg) group, and Sal (40 mg/kg)
group. SH-SY5Y cells were exposed to ischemia-reperfusion (I/R) injury to verify
the protective effect of Sal in vitro. We also built the stable receptor
interacting protein 140 (RIP140)-overexpressing SH-SY5Y cells. The results showed
that Sal significantly reduces brain infarct size and cerebral edema. Sal could
effectively decrease the levels of interleukin-6 (IL-6), interleukin-1beta (IL
1beta), and tumor necrosis factor-alpha (TNF-alpha) in serum of the MCAO rats and
supernatant of I/R-induced SH-SY5Y cells. Immunohistochemical and Western blot
results demonstrated that Sal inhibited RIP140-mediated inflammation and
apoptosis in the MCAO rats and SH-SY5Y cells. In addition, we further confirmed
that RIP140/NF-kappaB signaling plays a crucial role by evaluating the protein
expression in RIP140-overexpressing SH-SY5Y cells. Our findings suggested that
Sal could be used as an effective neuroprotective agent for cerebral ischemia due
to its significant effect on preventing neuronal cell injury after cerebral
ischemia both in vivo and in vitro by the inhibitions of RIP140-mediated
inflammation and apoptosis.
PMID- 26563500
TI - Recent increases in nitrogen oxide (NOx) emissions from coal-fired electric
generating units equipped with selective catalytic reduction.
AB - The most effective control technology available for the reduction of oxides of
nitrogen (NOx) from coal-fired boilers is selective catalytic reduction (SCR).
Installation of SCR on coal-fired electric generating units (EGUs) has grown
substantially since the onset of the U.S. Environmental Protection Agency's (EPA)
first cap and trade program for oxides of nitrogen in 1999, the Ozone Transport
Commission (OTC) NOx Budget Program. Installations have increased from 6 units
present in 1998 in the states that encompass the current Cross-State Air
Pollution Rule (CSAPR) ozone season program to 250 in 2014. In recent years,
however, the degree of usage of installed SCR technology has been dropping
significantly at individual plants. Average seasonal NOx emission rates increased
substantially during the Clean Air Interstate Rule (CAIR) program. These
increases coincided with a collapse in the cost of CAIR allowances, which
declined to less than the cost of the reagent required to operate installed SCR
equipment, and was accompanied by a 77% decline in delivered natural gas prices
from their peak in June of 2008 to April 2012, which in turn coincided with a
390% increase in shale gas production between 2008 and 2012. These years also
witnessed a decline in national electric generation which, after peaking in 2007,
declined through 2013 at an annualized rate of -0.3%. Scaling back the use of
installed SCR on coal-fired plants has resulted in the release of over 290,000
tons of avoidable NOx during the past five ozone seasons in the states that
participated in the CAIR program. IMPLICATIONS: To function as designed, a cap
and trade program must maintain allowance costs that function as a disincentive
for the release of the air pollutants that the program seeks to control. If the
principle incentive for reducing NOx emissions is the avoidance of allowance
costs, emissions may be expected to increase if costs fall below a critical
value, in the absence of additional state or federal limitations. As such,
external factors as the cost of competing fuels and a low or negative growth of
electric sales may also disincentivize the use of control technologies, the
continuation of desirable emission rates will be best maintained by the
implementation of performance standards that supplement and complement the
emissions trading program.
PMID- 26563498
TI - N-Methyl, N-propynyl-2-phenylethylamine (MPPE), a Selegiline Analog, Attenuates
MPTP-induced Dopaminergic Toxicity with Guaranteed Behavioral Safety: Involvement
of Inhibitions of Mitochondrial Oxidative Burdens and p53 Gene-elicited Pro
apoptotic Change.
AB - Selegiline is a monoamine oxidase-B (MAO-B) inhibitor with anti-Parkinsonian
effects, but it is metabolized to amphetamines. Since another MAO-B inhibitor N
Methyl, N-propynyl-2-phenylethylamine (MPPE) is not metabolized to amphetamines,
we examined whether MPPE induces behavioral side effects and whether MPPE affects
dopaminergic toxicity induced by 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine
(MPTP). Multiple doses of MPPE (2.5 and 5 mg/kg/day) did not show any significant
locomotor activity and conditioned place preference, whereas selegiline (2.5 and
5 mg/kg/day) significantly increased these behavioral side effects. Treatment
with MPPE resulted in significant attenuations against decreases in mitochondrial
complex I activity, mitochondrial Mn-SOD activity, and expression induced by MPTP
in the striatum of mice. Consistently, MPPE significantly attenuated MPTP-induced
oxidative stress and MPPE-mediated antioxidant activity appeared to be more
pronounced in mitochondrial-fraction than in cytosolic-fraction. Because MPTP
promoted mitochondrial p53 translocation and p53/Bcl-xL interaction, it was also
examined whether mitochondrial p53 inhibitor pifithrin-MU attenuates MPTP
neurotoxicity. MPPE, selegiline, or pifithrin-MU significantly attenuated
mitochondrial p53/Bcl-xL interaction, impaired mitochondrial transmembrane
potential, cytosolic cytochrome c release, and cleaved caspase-3 in wild-type
mice. Subsequently, these compounds significantly ameliorated MPTP-induced motor
impairments. Neuroprotective effects of MPPE appeared to be more prominent than
those of selegiline. MPPE or selegiline did not show any additional protective
effects against the attenuation by p53 gene knockout, suggesting that p53 gene is
a critical target for these compounds. Our results suggest that MPPE possesses
anti-Parkinsonian potentials with guaranteed behavioral safety and that the
underlying mechanism of MPPE requires inhibition of mitochondrial oxidative
stress, mitochondrial translocation of p53, and pro-apoptotic process.
PMID- 26563501
TI - Towards "next-generation" prostate cancer screening.
PMID- 26563499
TI - Parkin Protects Against Misfolded SOD1 Toxicity by Promoting Its Aggresome
Formation and Autophagic Clearance.
AB - Mutations in Cu/Zn superoxide dismutase (SOD1) cause autosomal dominant
amyotrophic lateral sclerosis (ALS), a devastating neurodegenerative disease with
no effective treatment. Despite ample evidence indicating involvement of mutation
induced SOD1 protein misfolding and aggregation in ALS pathogenesis, the
molecular mechanisms that control cellular management of misfolded, aggregation
prone SOD1 mutant proteins remain unclear. Here, we report that parkin, an E3
ubiquitin-protein ligase which is linked to Parkinson's disease, is a novel
regulator of cellular defense against toxicity induced by ALS-associated SOD1
mutant proteins. We find that parkin mediates K63-linked polyubiquitination of
SOD1 mutants in cooperation with the UbcH13/Uev1a E2 enzyme and promotes
degradation of these misfolded SOD1 proteins by the autophagy-lysosome system. In
response to strong proteotoxic stress associated with proteasome impairment,
parkin promotes sequestration of misfolded and aggregated SOD1 proteins to form
perinuclear aggresomes, regulates positioning of lysosomes around misfolded SOD1
aggresomes, and facilitates aggresome clearance by autophagy. Our findings reveal
parkin-mediated cytoprotective mechanisms against misfolded SOD1 toxicity and
suggest that enhancing parkin-mediated cytoprotection may provide a novel
therapeutic strategy for treating ALS.
PMID- 26563502
TI - Prostate cancer screening in men aged 50-69 years (STHLM3): a prospective
population-based diagnostic study.
AB - BACKGROUND: The prostate-specific antigen (PSA) test is used to screen for
prostate cancer but has a high false-positive rate that translates into
unnecessary prostate biopsies and overdiagnosis of low-risk prostate cancers. We
aimed to develop and validate a model to identify high-risk prostate cancer (with
a Gleason score of at least 7) with better test characteristics than that
provided by PSA screening alone. METHODS: The Stockholm 3 (STHLM3) study is a
prospective, population-based, paired, screen-positive, diagnostic study of men
without prostate cancer aged 50-69 years randomly invited by date of birth from
the Swedish Population Register kept by the Swedish Tax Agency. Men with prostate
cancer at enrolment were excluded from the study. The predefined STHLM3 model (a
combination of plasma protein biomarkers [PSA, free PSA, intact PSA, hK2, MSMB,
MIC1], genetic polymorphisms [232 SNPs], and clinical variables [age, family,
history, previous prostate biopsy, prostate exam]), and PSA concentration were
both tested in all participants enrolled. The primary aim was to increase the
specificity compared with PSA without decreasing the sensitivity to diagnose high
risk prostate cancer. The primary outcomes were number of detected high-risk
cancers (sensitivity) and the number of performed prostate biopsies
(specificity). The STHLM3 training cohort was used to train the STHLM3 model,
which was prospectively tested in the STHLM3 validation cohort. Logistic
regression was used to test for associations between biomarkers and clinical
variables and prostate cancer with a Gleason score of at least 7. This study is
registered with ISCRTN.com, number ISRCTN84445406. FINDINGS: The STHLM3 model
performed significantly better than PSA alone for detection of cancers with a
Gleason score of at least 7 (p<0.0001), the area under the curve was 0.56 (95% CI
0.55-0.60) with PSA alone and 0.74 (95% CI 0.72-0.75) with the STHLM3 model. All
variables used in the STHLM3 model were significantly associated with prostate
cancers with a Gleason score of at least 7 (p<0.05) in a multiple logistic
regression model. At the same level of sensitivity as the PSA test using a cutoff
of >=3 ng/mL to diagnose high risk prostate cancer, use of the STHLM3 model could
reduce the number of biopsies by 32% (95% CI 24-39) and could avoid 44% (35-54)
of benign biopsies. INTERPRETATION: The STHLM3 model could reduce unnecessary
biopsies without compromising the ability to diagnose prostate cancer with a
Gleason score of at least 7, and could be a step towards personalised risk-based
prostate cancer diagnostic programmes. FUNDING: Stockholm County Council
(Stockholms Lans Landsting).
PMID- 26563503
TI - Model-based approaches for ivabradine development in paediatric population, part
I: study preparation assessment.
AB - The main objective was to help design a paediatric study for ivabradine, a
compound already marketed in adults, focusing on: the paediatric formulation
evaluation, the doses to be administered, the sampling design and the sampling
technique. A secondary objective was to perform a comparison of the prediction of
ivabradine pharmacokinetics (PK) in children using a physiologically-based
pharmacokinetic (PBPK) approach and allometric scaling of a population
pharmacokinetic (PPK) model. A study was conducted in order to assess the
relative bioavailability (Frel) of the paediatric formulation and a similar Frel
was observed between the paediatric formulation and the adult marketed tablet.
PBPK modelling was used to predict initial doses to be administered in the
paediatric study and to select the most appropriate sample time collections. The
dried blood spot technique was recommended in the clinical trial in children.
Simulations obtained by both the PBPK approach and allometric scaling of a PPK
model were compared a posteriori to the paediatric study observations. Both PPK
and PBPK approaches allowed an adequate prediction of the PK of ivabradine and
its metabolite in children.
PMID- 26563504
TI - Application of a hazard-based visual predictive check to evaluate parametric
hazard models.
AB - Parametric models used in time to event analyses are evaluated typically by
survival-based visual predictive checks (VPC). Kaplan-Meier survival curves for
the observed data are compared with those estimated using model-simulated data.
Because the derivative of the log of the survival curve is related to the hazard-
the typical quantity modeled in parametric analysis--isolation, interpretation
and correction of deficiencies in the hazard model determined by inspection of
survival-based VPC's is indirect and thus more difficult. The purpose of this
study is to assess the performance of nonparametric hazard estimators of hazard
functions to evaluate their viability as VPC diagnostics. Histogram-based and
kernel-smoothing estimators were evaluated in terms of bias of estimating the
hazard for Weibull and bathtub-shape hazard scenarios. After the evaluation of
bias, these nonparametric estimators were assessed as a method for VPC evaluation
of the hazard model. The results showed that nonparametric hazard estimators
performed reasonably at the sample sizes studied with greater bias near the
boundaries (time equal to 0 and last observation) as expected. Flexible bandwidth
and boundary correction methods reduced these biases. All the nonparametric
estimators indicated a misfit of the Weibull model when the true hazard was a
bathtub shape. Overall, hazard-based VPC plots enabled more direct interpretation
of the VPC results compared to survival-based VPC plots.
PMID- 26563505
TI - Clinicopathological characteristics of Xp11.2 translocation renal cell carcinoma
in adolescents and adults: Diagnosis using immunostaining of transcription factor
E3 and fluorescence in situ hybridization analysis.
AB - OBJECTIVES: To determine the rate and clinicopathological features of Xp11.2
translocation carcinoma using immunostaining of transcription factor E3 and
fluorescence in situ hybridization analysis. METHODS: We evaluated 638 patients
with renal cell carcinoma treated at Sapporo Medical University Hospital,
Sapporo, Japan, from 1990 to 2009 by reviewing all hematoxylin-eosin-stained
sections and carrying out immunostaining of transcription factor E3 for all
cases. Fluorescence in situ hybridization analysis was carried out for patients
with positive immunostaining or with findings suspicious for Xp11.2 translocation
carcinoma on hematoxylin-eosin-stained sections. In this analysis, we set a cut
off level for split signals of at least 10% of nuclei. RESULTS: Of the 631
patients, 20 (3.2%) were positive for immunostaining. Finally, five patients were
diagnosed with Xp11.2 translocation carcinoma (0.8%). Four of these patients were
female and aged less than 50 years, and three cases were diagnosed as stage IV
with multiple regional lymph nodal or visceral metastases. The positive
predictive value of immunostaining was 25%. CONCLUSION: Patients with Xp11
translocation renal cell carcinoma tend to be younger, more frequently female and
diagnosed at a more advanced stage. Immunostaining followed by fluorescence in
situ hybridization analysis is an accurate and cost-effective approach for
diagnosis of Xp11 translocation renal cell carcinoma.
PMID- 26563506
TI - Introduction to "Monolithic columns in high-performance liquid chromatography" by
G. Guiochon [J. Chromatogr. A 1168 (2007) 101-168].
PMID- 26563507
TI - Plant-mediated interspecific horizontal transmission of an intracellular symbiont
in insects.
AB - Intracellular reproductive manipulators, such as Candidatus Cardinium and
Wolbachia are vertically transmitted to progeny but rarely show co-speciation
with the host. In sap-feeding insects, plant tissues have been proposed as
alternative horizontal routes of interspecific transmission, but experimental
evidence is limited. Here we report results from experiments that show that
Cardinium is horizontally transmitted between different phloem sap-feeding insect
species through plants. Quantitative PCR and in situ hybridization experiments
indicated that the leafhopper Scaphoideus titanus releases Cardinium from its
salivary glands during feeding on both artificial media and grapevine leaves.
Successional time-course feeding experiments with S. titanus initially fed sugar
solutions or small areas of grapevine leaves followed by feeding by the
phytoplasma vector Macrosteles quadripunctulatus or the grapevine feeder Empoasca
vitis revealed that the symbionts were transmitted to both species. Explaining
interspecific horizontal transmission through plants improves our understanding
of how symbionts spread, their lifestyle and the symbiont-host intermixed
evolutionary pattern.
PMID- 26563508
TI - Impact of artificial capnothorax on coagulation in patients during video-assisted
thoracoscopic esophagectomy for squamous cell carcinoma.
AB - BACKGROUND: Compared with the lung isolation using double-lumen endobronchial
tube intubation, the artificial capnothorax using single-lumen endotracheal tube
intubation has shown to be a safe, more convenient, and cost-effective procedure
for thoracoscopic esophagectomy. However, the impact of capnothorax on
coagulation is not well defined. Herein, we evaluate the impact of a capnothorax
on coagulation and fibrinolysis in patients who undergoing thoracoscopic
esophagectomy. METHODS: Between March 2014 and August 2014, 24 patients underwent
thoracoscopic esophagectomies for esophageal cancer with the procedure of
artificial capnothorax (group P); we also performed 24 thoracoscopic
esophagectomy cases without using capnothorax (group N). The demographics and
arterial blood gas, as well as the parameters of coagulation and fibrinolysis, of
the two groups were analyzed. RESULTS: The pH value of group P after CO2
insufflation was significantly lower than in group N (P < 0.05), and the partial
pressure of carbon dioxide (PaCO2) was significantly increased compared with
group N (P < 0.05). The R and K values after CO2 insufflation were significantly
longer than before anesthesia (P < 0.05), and both alpha angle and MA value after
CO2 insufflation were significantly lower than those before anesthesia (P <
0.05). No significant differences in R value, K value, alpha angle, or MA value
were observed between pre-anesthesia and termination of capnothorax. No
significant difference in LY30 data was found between different groups (P >
0.05). CONCLUSION: Artificial capnothorax in patients receiving endoscopic
resection of esophageal carcinoma had a significant impact on coagulation. These
patients showed significant impairments in coagulation not observed in patients
without artificial capnothorax.
PMID- 26563509
TI - A scoring system for patients with a tumor-positive lateral resection margin
after endoscopic resection of early gastric cancer.
AB - BACKGROUND: The aim of this study was to identify the risk factors for
residual/recurrent tumors in patients with a tumor-positive lateral resection
margin (LRM+) after endoscopic resection of early gastric cancer (EGC) and to
establish the criteria for performing additional treatment. METHODS: A
retrospective analysis was performed on consecutive patients who underwent
endoscopic mucosal resection (EMR) or endoscopic submucosal dissection (ESD) of
EGC. Clinicopathological characteristics and risk factors for residual/recurrent
tumor in LRM+ patients were analyzed. RESULTS: Eighty-two patients (84 lesions)
with LRM+ after EMR (n = 45) or ESD (n = 39) were enrolled. Forty patients
underwent additional gastrectomy or ESD, and 44 were closely observed. The
residual/recurrent tumor rate was 34.5 % (29 of 84 lesions). Univariate analysis
found that the residual/recurrent tumor was associated with the endoscopic
resection type (EMR), undifferentiated histology, number of involved directions,
rate of lateral resection margin involvement and the total length (mm) of the
lateral resection margin involved by the tumor. In multivariate logistic
regression analysis, undifferentiated histology and rate (%) were independent
risk factors (odds ratio [OR] 5.28, 95 % confidence interval [CI] 1.13-24.72, p =
0.035 and OR 1.08, 95 % CI 1.03-1.14, p = 0.004, respectively).
Clinicopathological factors that were identified from the univariate and
multivariate analyses were scored in order to predict residual/recurrent tumors.
CONCLUSION: We suggest a scoring system for additional treatment in patients with
LRM+ after endoscopic resection of EGC based on the development of
residual/recurrent tumors. This scoring system enables a more detailed selection
of cases and may be useful in determining further treatment.
PMID- 26563512
TI - Question 6: Is there a role for Mannose-Binding Lectin measurement in Cystic
Fibrosis management?
PMID- 26563511
TI - Sustaining large-scale infrastructure to promote pre-competitive biomedical
research: lessons from mouse genomics.
AB - Bio-repositories and databases for biomedical research enable the efficient
community-wide sharing of reagents and data. These archives play an increasingly
prominent role in the generation and dissemination of bioresources and data
essential for fundamental and translational research. Evidence suggests, however,
that current funding and governance models, generally short-term and nationally
focused, do not adequately support the role of archives in long-term,
transnational endeavours to make and share high-impact resources. Our qualitative
case study of the International Knockout Mouse Consortium and the International
Mouse Phenotyping Consortium examines new governance mechanisms for archive
sustainability. Funders and archive managers highlight in interviews that
archives need stable public funding and new revenue-generation models to be
sustainable. Sustainability also requires archives, journal publishers, and
funders to implement appropriate incentives, associated metrics, and enforcement
mechanisms to ensure that researchers use archives to deposit reagents and data
to make them publicly accessible for academia and industry alike.
PMID- 26563513
TI - Evaluation of upper airway obstruction in infants with Pierre Robin sequence and
the role of polysomnography--Review of current evidence.
AB - Pierre Robin sequence (PRS) is a heterogeneous condition presenting with upper
airway obstruction (UAO) of varying severity. Polysomnography (PSG) is an
objective investigation to assess the severity of obstructive sleep apnea and
UAO. Its role in the management of PRS has not been well defined. This review
summarizes the available evidence on the role of PSG in the assessment of infants
with PRS in the context of other commonly used methods of assessment.
PMID- 26563510
TI - Effect of nanostructured thin film on minimally invasive surgery devices
applications: characterization, cell cytotoxicity evaluation and an animal study
in rat.
AB - BACKGROUND: Minimally invasive surgery is performed using an endoscope and other
instruments including the electrosurgical units. However, concerns including
surgical smoke, tissue sticking and thermal injury are remaining in
electrosurgery. AIMS: Accordingly, a newly developed electrosurgical electrode
coating with hydrogenated Cu-incorporated diamond-like carbon (DLC-Cu) film is
purposed to improve the instrument performance. METHODS: The morphologies of DLC
Cu surfaces were characterized using transmission electron microscopy, scanning
electron microscopy and atomic force microscopy. In this study, lesions were made
on the liver lobes of adult rats, using a monopolar electrosurgical unit equipped
with untreated stainless steel electrodes or treated-electrodes. Animals were
killed for evaluations at 0, 3, 7 and 28 days postoperatively. RESULTS: Treated
electrodes generate less sticking tissues and adhesive blood cells. Thermography
revealed that the surgical temperature in liver tissue from the treated-electrode
was significantly lower than the untreated-electrode. Total injury area of livers
treated with treated-electrodes was significantly smaller than the untreated
electrodes treatment. Moreover, treated-electrodes caused a relatively smaller
area of lateral thermal injury, a smaller area of fibrotic tissue and a faster
process of remodeling than the untreated-electrodes. Western blot analysis showed
that rats treated with treated-electrode expressed lower levels of NF-kappaB,
caspase-3 and MMP-9 than untreated-electrode. Immunofluorescence staining for
caspase-3 revealed that the untreated-electrode caused more serious injury.
CONCLUSIONS: This study reveals that the plating of electrodes with hydrogenated
Cu-incorporated diamond-like carbon film is an efficient method for improving the
performance of electrosurgical units, and should benefit wound remodeling.
However, more tests must be carried out to confirm these promising findings in
human patients.
PMID- 26563514
TI - Can daytime measures of lung function predict respiratory failure in children
with neuromuscular disease?
AB - Neuromuscular disorders in children are a heterogeneous group of conditions with
a variable age of presentation and overlapping clinical manifestations, many of
which have progressive respiratory morbidity. Respiratory insufficiency occurs as
a consequence of an imbalance between demands on the respiratory system and
respiratory muscle capacity. Daytime measures of pulmonary function are used
routinely in these children to assess respiratory status and monitor the
consequences of the progression of muscle weakness. This review describes the
current evidence for daytime pulmonary function tests and their ability to
predict imminent respiratory morbidity.
PMID- 26563515
TI - Sun exposure risk in children: the Italian paediatrician perspective.
PMID- 26563516
TI - Digital quantum simulators in a scalable architecture of hybrid spin-photon
qubits.
AB - Resolving quantum many-body problems represents one of the greatest challenges in
physics and physical chemistry, due to the prohibitively large computational
resources that would be required by using classical computers. A solution has
been foreseen by directly simulating the time evolution through sequences of
quantum gates applied to arrays of qubits, i.e. by implementing a digital quantum
simulator. Superconducting circuits and resonators are emerging as an extremely
promising platform for quantum computation architectures, but a digital quantum
simulator proposal that is straightforwardly scalable, universal, and realizable
with state-of-the-art technology is presently lacking. Here we propose a viable
scheme to implement a universal quantum simulator with hybrid spin-photon qubits
in an array of superconducting resonators, which is intrinsically scalable and
allows for local control. As representative examples we consider the transverse
field Ising model, a spin-1 Hamiltonian, and the two-dimensional Hubbard model
and we numerically simulate the scheme by including the main sources of
decoherence.
PMID- 26563517
TI - Increased alpha1-3 fucosylation of alpha-1-acid glycoprotein (AGP) in pancreatic
cancer.
AB - Pancreatic cancer (PDAC) lacks reliable diagnostic biomarkers and the search for
new biomarkers represents an important challenge. Previous results looking at a
small cohort of patients showed an increase in alpha-1-acid glycoprotein (AGP)
fucosylation in advanced PDAC using N-glycan sequencing. Here, we have analysed
AGP glycoforms in a larger cohort using several analytical techniques including
mass spectrometry (MS), capillary zone electrophoresis (CZE) and enzyme-linked
lectin assays (ELLAs) for determining AGP glycoforms which could be PDAC
associated. AGP from 31 serum samples, including healthy controls (HC), chronic
pancreatitis (ChrP) and PDAC patients, was purified by immunoaffinity
chromatography. Stable isotope labelling of AGP released N-glycans and their
analysis by zwitterionic hydrophilic interaction capillary liquid chromatography
electrospray MS (MUZIC-HILIC-ESI-MS) showed an increase in AGP fucosylated
glycoforms in PDAC compared to ChrP and HC. By CZE-UV analysis, relative
concentrations of some of the AGP isoforms were found significantly different
compared to those in PDAC and HC. Finally, ELLAs using Aleuria aurantia lectin
displayed a significant increase in AGP fucosylation, before and after AGP
neuraminidase treatment, in advanced PDAC compared to ChrP and HC, respectively.
Altogether, these results indicate that alpha1-3 fucosylated glycoforms of AGP
are increased in PDAC and could be potentially regarded as a PDAC biomarker.
PMID- 26563518
TI - Purification and Characterization of Catechol 1,2-Dioxygenase from Acinetobacter
sp. Y64 Strain and Escherichia coli Transformants.
AB - This study intends to purify and characterize catechol 1,2-dioxygenase (C1,2O) of
phenol-degrading Acinetobacter sp. Y64 and of E. coli transformant. Acinetobacter
sp. Y64 was capable of degrading 1000 mg/L of phenol within 14 +/- 2 h at 30
degrees C, 160 rpm and pH of 7. One C1,2O of 36 kDa was purified using ammonium
sulphate precipitation and Hitrap QFF column chromatograph with 49% recovery and
a 10.6-fold increase in purity. Purified Y64 C1,2O had temperature and pH optimum
at 37 degrees C and pH 7.7 respectively with the Michaelis constant of 17.53 uM
and the maximal velocity of 1.95 U/mg, respectively. The presence of Fe(3+) or
Fe(2+) enhanced the activity of Y64 C1,2O while other compounds such as Ca(2+),
and EDTA had an inhibitory effect. 80% of C1,2O activity remained using 4
nitrocatechol as substrate while 2% remained using 3-methylcatechol compared with
that using catechol. Y64 catA gene encoding C1,2O was amplified using PCR cloned
into pET22b vector and expressed in Escherichia coli BL21 DE3 (pLysS) after
transformation. Purified and cloned Y64 C1,2O show no significant differences in
the biochemical properties. The phylogenetic tree based on the protein sequences
indicates that these C1,2Os possess a common ancestry.
PMID- 26563520
TI - Magnetic field-dependent shape anisotropy in small patterned films studied using
rotating magnetoresistance.
AB - Based on the electric rotating magnetoresistance method, the shape anisotropy of
a Co microstrip has been systematically investigated. We find that the shape
anisotropy is dependent not only on the shape itself, but also on the
magnetization distribution controlled by an applied magnetic field. Together with
micro-magnetic simulations, we present a visualized picture of how non-uniform
magnetization affects the values and polarities of the anisotropy constants K1
and K2. From the perspective of potential appliantions, our results are useful in
designing and understanding the performance of micro- and nano-scale patterned
ferromagnetic units and the related device properties.
PMID- 26563519
TI - Moisturizers are effective in the treatment of xerosis irrespectively from their
particular formulation: results from a prospective, randomized, double-blind
controlled trial.
AB - BACKGROUND: Many companies claim that their moisturizers are superior to others
based on their ingredients. OBJECTIVE: To compare the efficacy and safety of the
most popular moisturizers in the field. METHODS: A randomized, double-blind,
controlled study was performed on 80 patients with moderate to severe xerosis.
The test agents included the newly developed cream containing topical recombinant
human epidermal growth factor (EGF), its vehicle without EGF and four additional
therapeutic moisturizers. The study subjects applied the test agents on
designated skin areas twice daily for 4 weeks. All of the clinical assessments
and non-invasive objective measurements were performed at baseline, and on days
14 and 28 of the study. RESULTS: All of the test agents significantly improved
the clinical symptoms of xerosis. The biophysical parameters similarly improved
from baseline (P < 0.05). None of the test agents performed significantly better
than did another. CONCLUSION: In the treatment of xerosis, consistent and regular
moisturizer use is much more important than the moisturizer's particular
formulation.
PMID- 26563521
TI - Foreword to Defining the Role of Social Sciences in Patient Safety.
PMID- 26563522
TI - OSAS in Specific Pediatric Populations.
PMID- 26563524
TI - Clinical and economic consequences of first-year urinary tract infections,
sepsis, and pneumonia in contemporary kidney transplantation practice.
AB - We examined United States Renal Data System registry records for Medicare-insured
kidney transplant recipients in 2000-2011 to study the clinical and cost impacts
of urinary tract infections (UTI), pneumonia, and sepsis in the first year post
transplant among a contemporary, national cohort. Infections were identified by
billing diagnostic codes. Among 60 702 recipients, 45% experienced at least one
study infection in the first year post-transplant, including UTI in 32%,
pneumonia in 13%, and sepsis in 12%. Older recipient age, female sex, diabetic
kidney failure, nonstandard criteria organs, sirolimus-based immunosuppression,
and steroids at discharge were associated with increased risk of first-year
infections. By time-varying, multivariate Cox regression, all study infections
predicted increased first-year mortality, ranging from 41% (aHR 1.41, 95% CI 1.25
1.56) for UTI alone, 6- to 12-fold risk for pneumonia or sepsis alone, to 34-fold
risk (aHR 34.38, 95% CI 30.35-38.95) for those with all three infections.
Infections also significantly increased first-year costs, from $17 691 (standard
error (SE) $591) marginal cost increase for UTI alone, to approximately $40 000
$50 000 (SE $1054-1238) for pneumonia or sepsis alone, to $134 773 (SE $1876) for
those with UTI, pneumonia, and sepsis. Clinical and economic impacts persisted in
years 2-3 post-transplant. Early infections reflect important targets for
management protocols to improve post-transplant outcomes and reduce costs of
care.
PMID- 26563525
TI - Evaluation of postoperative anal functions using endoanal ultrasonography and
anorectal manometry in children with congenital anorectal malformations.
AB - PURPOSE: The aim of this study was to assess the postoperative anorectal anatomy
and function in children with congenital anorectal malformations (ARM) using
endoanal ultrasonography (EUS) and anorectal manometry. METHODS: This study
included 47 children who had undergone posterior sagittal anorectoplasty (PSARP)
or transperineal anorectoplasty for the repair of an ARM. Children were grouped
according to symptoms of defecation disorder, including normal defecation, fecal
soiling, fecal incontinence, and constipation. Ten children with no history of
anal or rectal diseases served as healthy controls. A well-established scoring
system was used for the evaluation of anal function and defecation disorder.
RESULTS: EUS showed significant differences in the thickness of the interior
sphincter between the ARM patients and the healthy controls (P<0.05). However, no
significant difference was found in the thickness of the interior sphincters
between the PSARP group and transperineal anorectoplasty group (P>0.05).
Anorectal manometry showed that the balloon volumes were significantly different
between the surgical group and the control group (P<0.01), and between the low
defect group and the intermediate-high defect group (P=0.022). Balloon volume was
significantly correlated with anal function scores (r=-0.30, P<0.05).
CONCLUSIONS: EUS and anorectal manometry can provide objective assessment of
postoperative anorectal anatomy and function in children with ARM.
PMID- 26563526
TI - Risk factors and management of Nuss bar infections in 1717 patients over 25
years.
AB - PURPOSE: An increase in postoperative infections after Nuss procedures led us to
seek risks and review management. We report potential risk factors and make
inferences for prevention of infections. METHODS: An IRB-approved retrospective
chart review was used to evaluate demographic, clinical, surgical, and
postoperative variables of patients operated on between 10/1/2005 and 6/30/2013.
Those with postoperative infection were evaluated for infection characteristics,
management, and outcomes with univariate analyses. RESULTS: Over this 8-year
period (2005-2013), 3.5% (30) of 854 patients developed cellulitis or infection,
significantly more than 1.5% (13) in our previous report of 863 patients, 1987
2005 (p=.007). The most frequent organism cultured was methicillin-sensitive
Staphylococcus aureus. Patients who were given clindamycin preoperatively (5 of
26 patients) had higher infection rates than those who received cefazolin (25 of
828) (19% vs 3%, p<.001). Patients treated with a peri-incisional ON-Q (I-Flow,
Kimberly-Clark, Irvine, CA) also had higher infection rates (8.3% vs 2.4%,
p<.001). Of the 30 patients who developed an infection, eighteen (60%) with
cellulitis or superficial infections did not require surgical treatment or early
bar removal. The other twelve patients (40%) with deep hardware infections
required an average of 2.2 operations (range 1-6), with 3 (25%) requiring removal
of their stabilizer and 3 (25%) requiring early bar removal. None of these three
patients experienced recurrence of pectus excavatum at 2 to 4 years of follow-up.
CONCLUSION: Preoperative antibiotic selection and use of ON-Q's may influence
infection rates after Nuss repair. Nuss bars could be preserved in 90% of all
patients with an infection and even 75% of those with a deep hardware infection.
Attempts to retain the bar when an infection occurs may help prevent pectus
excavatum recurrence. Level of Evidence=III.
PMID- 26563527
TI - The anatomic findings during operative exploration for non-palpable testes: A
prospective evaluation.
AB - BACKGROUND: We conducted a randomized trial comparing 1 and 2-stage laparoscopic
orchiopexy for intra-abdominal testes. During recruitment, it became apparent
that most patients with non-palpable testes do not require vascular division. In
this report, we outline the location and quality of testes found during operative
exploration in patients who consented for the study but were not randomized.
METHODS: Analysis was performed on 80 patients undergoing operative exploration
for non-palpable testes between 2007 and 2014. The location and pathology of
undescended testes were analyzed. RESULTS: There were 87 preoperative non
palpable testes in 80 patients that were consented but not randomized to 1 or 2
stage orchiopexy with vascular division. Forty (46%) of nonrandomized testes were
atrophic or absent, and 47 (54%) were normal in appearance. Sixty eight testes
were evaluated via laparoscopy. The most common location for normal (81%) and
absent/atrophic (70%) testes was the inguinal canal. Atrophic testes were more
often left sided (72.5%) with normal testes equally divided. Patients with
atrophic or absent testicles were more likely to have a closed internal ring
(p<0.01). CONCLUSION: This study demonstrates the majority of patients undergoing
operative exploration for non-palpable testes will not require vascular division,
and instead would be either atrophic or able to undergo traditional orchiopexy.
LEVEL OF EVIDENCE: III.
PMID- 26563528
TI - Documentation of pediatric vital signs by EMS providers over time.
AB - BACKGROUND: Pediatric patients make up approximately 10% of EMS transports
nationwide. Previous studies demonstrated that pediatric patients do not
consistently have a full set of vitals signs obtained in the prehospital setting
[1]. In certain conditions, such as traumatic head injury and shock, unrecognized
hypotension and/or hypoxia are associated with increased morbidity and mortality
[2,3]. OBJECTIVES: PRIMARY OBJECTIVE: To measure how often EMS providers obtain
blood pressure (BP), heart rate (HR), pulse oximetry (Po), and respiratory rate
(RR) on pediatric transport patients in the state of Utah from 2007 to 2014.
SECONDARY OBJECTIVE: To assess whether educational interventions improved the
percentage of pediatric transport patients with a full set of vital signs
documented. RESULTS: The trend of documenting the four critical vital signs
improved over time for all four categories. Measurement of Po increased most
consistently across all age groups. Blood pressure remained the most
inconsistently obtained vital sign, especially in younger pediatric patients. The
educational interventions introduced in late 2010 correlated with an increase in
vital sign attainment. CONCLUSIONS: Assessment of pediatric vitals signs is a
critical part of the evaluation and care of pediatric patients in the prehospital
setting. Utah EMS providers improved their practice of documenting four pediatric
vital signs over time after educational interventions. Obtaining a BP, especially
in younger children, continues to be a challenge. More work remains to achieve
the state goal of documenting all vital signs in >90% of pediatric transports.
PMID- 26563529
TI - Early reported rectal sensation predicts continence in anorectal anomalies.
AB - BACKGROUND: Straining at stool is an automatic reflex in babies and implies the
presence of rectal sensation. We hypothesised that early reported rectal
sensation would predict future continence in children with anorectal anomalies.
AIM OF THE STUDY: The aim of this study is to determine if early straining at
stool was a useful predictor of future continence in infants born with high
anorectal malformations. METHODS: A retrospective case note review of
prospectively collected clinical information was performed with institutional
review board approval. All patients with intermediate/high anorectal malformation
operated on by a single surgeon from 1984 to 2010 were included. After stoma
closure, parents were asked: The responses were noted within the first year of
stoma closure and then all patients were followed up until they were at least 3
1/2years old and continence could be assessed using the Krickenbeck outcome
classification. Data were compared using Fisher's exact test and sensitivity,
specificity and positive predictive value (PPV) were calculated. MAIN RESULTS:
Forty-eight patients were included in the study. Sixteen (33%) were female (12
cloacal malformation, 3 rectovaginal fistula, 1 rectal atresia) and 32 (66%) were
male (6 rectovesical fistulae, 22 rectourethral fistulae, 4 no fistula). Median
follow-up was 9.7years (range 3.5-17.9). Twenty-one children were noted by their
parents to exhibit early straining at stool after stoma closure. Twenty of them
achieved long term continence. The sensitivity of early straining as a predictor
for long term continence was 77%, specificity 95% and positive predictive value
95%. CONCLUSION: The presence of early rectal sensation reported by parents is a
good predictor of long term continence. This allows more informed discussion with
families in the early years of life.
PMID- 26563530
TI - Risk-stratification of severity for infants with CDH: Prenatal versus postnatal
predictors of outcome.
AB - PURPOSE: The purpose of this study was to compare the predication accuracy of a
newly described postnatally-based clinical prediction model to fetal imaging
based predictors of mortality for infants with CDH. METHODS: We performed a
retrospective review of all CDH patients treated at a comprehensive fetal care
center from January 2004 to January 2014. Prenatal data reviewed included lung-to
head ratio (LHR), observed/expected-total fetal lung volume (O/E-TFLV), and
percent liver herniation (%LH). Based on the postnatal prediction model, neonates
were categorized as low, intermediate, and high risk of death. The primary
outcome was 6-month mortality. RESULTS: Of 176 CDH patients, 58 had a major
cardiac anomaly, and 28 had a genetic anomaly. Patients with O/E-TFLV <35% and
%LH >20% were at increased risk for mortality (44% and 36%, respectively). There
was a significant difference in mortality between low, intermediate, and high
risk groups (4% vs. 22% vs. 51%; p<0.001). On multivariate regression, the O/E
TFLV and postnatal-based mortality risk score were the two independent predictors
of 6-month mortality. CONCLUSION: The CDH Study Group postnatal predictive model
provides good discrimination among three risk groups in our patient cohort. The
prenatal MRI-based O/E-TFLV is the strongest prenatal predictor of 6-month
mortality in infants with CDH and will help guide prenatal counseling and
discussions regarding fetal intervention and perinatal management.
PMID- 26563531
TI - Optimized donor management and organ preservation before kidney transplantation.
AB - Kidney transplantation is a major medical improvement for patients with end-stage
renal disease, but organ shortage limits its widespread use. As a consequence,
the proportion of grafts procured from extended criteria donors (ECD) has
increased considerably, but this comes along with increased rates of delayed
graft function (DGF) and a higher incidence of immune-mediated rejection that
limits organ and patient survival. Furthermore, most grafts are derived from
brain dead organ donors, but the unphysiological state of brain death is
associated with significant metabolic, hemodynamic, and pro-inflammatory changes,
which further compromise patient and graft survival. Thus, donor interventions to
preserve graft quality are fundamental to improve long-term transplantation
outcome, but interventions must not harm other potentially transplantable grafts.
Several donor pretreatment strategies have provided encouraging results in animal
models, but evidence from human studies is sparse, as most clinical evidence is
derived from single-center or nonrandomized trials. Furthermore, ethical matters
have to be considered especially concerning consent from donors, donor families,
and transplant recipients to research in the field of donor treatment. This
review provides an overview of clinically proven and promising preclinical
strategies of donor treatment to optimize long-term results after kidney
transplantation.
PMID- 26563532
TI - Trends in High Blood Pressure among United States Adolescents across Body Weight
Category between 1988 and 2012.
AB - OBJECTIVE: To examine trends in pre-high blood pressure (BP [HBP]) and HBP among
US adolescents by body weight category during 1988-2012. STUDY DESIGN: We
estimated pre-HBP and HBP prevalence among 14,844 participants aged 12-19 years
using National Health and Nutrition Examination Surveys from 1988-1994, 1999
2002, 2003-2006, and 2007-2012. Pre-HBP and HBP were defined based on age-sex
height-specific BP percentiles. We examined the temporal trends in pre-HBP and
HBP across category of body weight (normal weight vs overweight/obese), adjusted
for potential explanatory factors, and estimated the number of adolescents with
pre-HBP and HBP. RESULTS: Between 1988 and 2012, the prevalence of HBP decreased
and pre-HBP did not change. Among normal weight adolescents, multivariable
adjusted pre-HBP prevalence was 11.0% during 1988-2012, and 10.9% during 2007
2012 (P = .923 for trend); adjusted HBP prevalence increased from 1988-1994
(0.9%) to 1999-2002 (2.3%), then declined significantly to 1.4% during 2007-2012
(P = .049). Among overweight/obese adolescents, adjusted pre-HBP prevalence was
17.5% during 1988-2012, and 20.9% during 2007-2012 (P = .323); adjusted HBP
prevalence declined significantly from 7.2% during 1988-1994 to 3.2% during 2007
2012 (P = .018). Because of population growth, estimated number of adolescents
with pre-HBP or HBP increased, from 4.18 million during 1988-1994 to 5.59 million
during 2007-2012. CONCLUSIONS: Between 1988 and 2012, pre-HBP prevalence was
consistently higher among overweight/obese adolescent than those of normal
weight, and the pattern remain unchanged. HBP prevalence declined significantly,
especially among overweight/obese adolescent that are not completely explained by
sociodemographic or lifestyle characteristics.
PMID- 26563533
TI - Pediatric Academic Productivity: Pediatric Benchmarks for the h- and g-Indices.
AB - OBJECTIVE: To describe h- and g-indices benchmarks in pediatric subspecialties
and general academic pediatrics. Academic productivity is measured increasingly
through bibliometrics that derive a statistical enumeration of academic output
and impact. The h- and g-indices incorporate the number of publications and
citations. Benchmarks for pediatrics have not been reported. STUDY DESIGN: Thirty
programs were selected randomly from pediatric residency programs accredited by
the Accreditation Council for Graduate Medical Education. The h- and g-indices of
department chairs were calculated. For general academic pediatrics, pediatric
gastroenterology, and pediatric nephrology, a random sample of 30 programs with
fellowships were selected. Within each program, an MD faculty member from each
academic rank was selected randomly. Google Scholar via Harzing's Publish or
Perish was used to calculate the h-index, g-index, and total manuscripts. Only
peer-reviewed and English language publications were included. For Chairs,
calculations from Google Scholar were compared with Scopus. RESULTS: For all
specialties, the mean h- and g-indices significantly increased with academic rank
(all P < .05) with the greatest h-indices among Chairs. The h- and g-indices were
not statistically different between specialty groups of the same rank; however,
mean rank h-indices had large SDs. The h-index calculation using different
bibliographic databases only differed by +/-1. CONCLUSION: Mean h-indices
increased with academic rank and were not significantly different across the
pediatric specialties. Benchmarks for h- and g-indices in pediatrics are provided
and may be one measure of academic productivity and impact.
PMID- 26563534
TI - Socioeconomic Status and Hospitalization Costs for Children with Brain and Spinal
Cord Injury.
AB - OBJECTIVE: To determine if household income is associated with hospitalization
costs for severe traumatic brain injury (TBI) and spinal cord injury (SCI). STUDY
DESIGN: Retrospective cohort study of inpatient, nonrehabilitation
hospitalizations at 43 freestanding children's hospitals for patients <19 years
old with unintentional severe TBI and SCI from 2009-2012. Standardized cost of
care for hospitalizations was modeled using mixed-effects methods, adjusting for
age, sex, race/ethnicity, primary payer, presence of chronic medical condition,
mechanism of injury, injury severity, distance from residence to hospital, and
trauma center level. Main exposure was zip code level median annual household
income. RESULTS: There were 1061 patients that met inclusion criteria, 833 with
TBI only, 227 with SCI only, and 1 with TBI and SCI. Compared with those with the
lowest-income zip codes, patients from the highest-income zip codes were more
likely to be older, white (76.7% vs 50.4%), have private insurance (68.9% vs
27.9%), and live closer to the hospital (median distance 26.7 miles vs 81.2
miles). In adjusted models, there was no significant association between zip code
level household income and hospitalization costs. CONCLUSIONS: Children
hospitalized with unintentional, severe TBI and SCI showed no difference in
standardized hospital costs relative to a patient's home zip code level median
annual household income. The association between household income and
hospitalization costs may vary by primary diagnosis.
PMID- 26563535
TI - Point-of-Care Ultrasonography for the Diagnosis of Pediatric Soft Tissue
Infection.
AB - OBJECTIVES: To determine the test characteristics of point-of-care
ultrasonography for the identification of a drainable abscess and to compare the
test characteristics of ultrasonography with physical examination. In addition,
we sought to measure the extent to which ultrasonography impacts clinical
management of children with skin and soft tissue infections (SSTIs). STUDY
DESIGN: We performed a prospective study of children with SSTIs evaluated in a
pediatric emergency department. Treating physicians recorded their initial
impression of whether a drainable abscess was present based on physical
examination. Another physician, blinded to the treating physician's assessment,
performed an ultrasound study and conveyed their interpretation and
recommendations to the treating physician. Any management change was recorded. An
abscess was defined as a lesion from which purulent fluid was expressed during a
drainage procedure in the emergency department or during the 2- to 5-day follow
up period. We defined a change in management as correct when the ultrasound
diagnosis was discordant from physical examination and matched the ultimate
lesion classification. RESULTS: Of 151 SSTIs evaluated among 148 patients, the
sensitivity and specificity of point-of-care ultrasonography for the presence of
abscess were 96% (95% CI 90%-99%) and 87% (74%-95%), respectively. The
sensitivity and specificity of physical examination for the presence of abscess
were 84% (75%-90%) and 60% (44%-73%), respectively. For every 4 ultrasound
examinations performed, there was 1 correct change in management. CONCLUSIONS:
Point-of-care ultrasonography demonstrates excellent test characteristics for the
identification of skin abscess and has superior test characteristics compared
with physical examination alone.
PMID- 26563536
TI - Childhood Obesity and Delayed Gratification Behavior: A Systematic Review of
Experimental Studies.
AB - OBJECTIVE: To evaluate the extent of the association between instant
gratification behavior and childhood obesity. STUDY DESIGN: PubMed, Scopus,
EMBASE, EBSCOhost, and Cochrane databases were searched for the terms delayed
gratification, children, and obesity. Studies were eligible if they included a
sample of at least 100 children who were made to choose between an immediate
reward and a larger one later, with the authors comparing the response in
different populations and observing some relationship with obesity. A
specifically designed data extraction form was used, following the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses guidelines. The
methodologic quality of the included studies was assessed with the methodologic
index for nonrandomized studies. RESULTS: Nine articles were included after we
applied the inclusion and exclusion criteria. Almost all studies conducted the
test in populations of preschool children and offered food and/or nonfood
rewards. The studies found a clear relationship between an inability to defer
gratification and overweight and obesity. The quality assessment of the
publications was ranked high in 5 studies and medium in 4. CONCLUSIONS: Children
with the inability to delay gratification are more likely to be overweight or
obese. Observation of such trends is useful in its implications for reeducation
programs. Although the methodologic quality of the eligible studies was
acceptable, additional experimental controlled studies are required to associate
these behaviors with other aspects.
PMID- 26563537
TI - The fluoroscopy time, door to balloon time, contrast volume use and prevalence of
vascular access site failure with transradial versus transfemoral approach in ST
segment elevation myocardial infarction: A systematic review & meta-analysis.
AB - OBJECTIVE: The authors aimed to conduct first systematic review and meta-analysis
in STEMI patients evaluating vascular access site failure rate, fluoroscopy time,
door to balloon time and contrast volume used with transradial vs transfemoral
approach (TRA vs TFA) for PCI. METHODS: The PubMed, CINAHL, clinicaltrials.gov,
Embase and CENTRAL databases were searched for randomized trials comparing TRA
versus TFA. Random effect models were used to conduct this meta-analysis.
RESULTS: Fourteen randomized trials comprising 3758 patients met inclusion
criteria. The access site failure rate was significantly higher TRA compared to
TFA (RR 3.30, CI 2.16-5.03; P=0.000). Random effect inverse variance weighted
prevalence rate meta-analysis showed that access site failure rate was predicted
to be 4% (95% CI 3.0-6.0%) with TRA versus 1% (95% CI 0.0-1.0 %) with TFA. Door
to balloon time (Standardized mean difference [SMD] 0.30 min, 95% CI 0.23-0.37
min; P=0.000) and fluoroscopy time (Standardized mean difference 0.14 min, 95% CI
0.06-0.23 min; P=0.001) were also significantly higher in TRA. There was no
difference in the amount of contrast volume used with TRA versus TFA (SMD -0.05
ml, 95% CI -0.14 to 0.04 ml; P=0.275). Statistical heterogeneity was low in cross
over rate and contrast volume use, moderate in fluoroscopy time but high in the
door to balloon time comparison. CONCLUSION: Operators need to consider higher
cross-over rate with TRA compared to TFA in STEMI patients while attempting PCI.
Fluoroscopy and door to balloon times are negligibly higher with TRA but there is
no difference in terms of contrast volume use.
PMID- 26563538
TI - Single and Cumulative Relations of Social Risk Factors with Children's Dental
Health and Care-Utilization Within Regions of the United States.
AB - OBJECTIVES: The purpose is to examine the relation of social risk factors, and
the cumulative burden of social risk factors, on parent-reported dental health
and dental care-seeking behavior. METHODS: National Survey of Children's Health
data (2011-2012) were analyzed for US children by Title V Block Grant regions.
Multivariate logistic regressions were estimated for ten social risk factors, as
well as a cumulative risk index, to find any associations with poor condition of
teeth, presence of dental caries, and no dental care visits. RESULTS: Almost all
of the risk factors were significantly associated with poor condition of teeth
and presence of dental caries for the US. Models associating no dental care
visits suggested that low family income (OR 1.58), poor maternal mental health
(OR 1.54), high school education or less (OR 1.34), and multi-racial/other race
(OR 1.18) were significant factors for the US. Regional variation existed for
those risk factors and their association with the outcomes, but income,
education, and poor maternal mental health consistently played a significant role
in adverse outcomes. The cumulative risk index was strongly related to poor oral
health outcomes, with a weaker relationship to dental care utilization.
CONCLUSIONS FOR PRACTICE: US children experiencing certain social risk factors,
such as low family income, high school education or less, and poor maternal
mental health, are likely to be at greater risk for poor dental health and low
levels of dental-care seeking behavior. Children experiencing multiple social
risks are at greater risk for poor oral outcomes than children who experience
fewer social risks. An approach that involves the social determinants of health
is needed to address these issues.
PMID- 26563539
TI - Percutaneous renal transplant biopsy: is the safety profile adequate for short
term postprocedure monitoring?
PMID- 26563540
TI - Excessive TLR9 signaling contributes to the pathogenesis of spontaneous abortion
through impairment of Treg cell survival by activation of Caspase 8/3.
AB - BACKGROUND: The pregnant uterine microenvironment is repleted with Toll-like
receptors (TLRs), however, their roles of these receptors in establishing
tolerance to growing fetus are largely unknown. RESULT: Decidual TLR1, TLR3,
TLR4, TLR8 and TLR9 gene expressions were significantly over-expressed in
patients of spontaneous abortion compared with elective abortion with normal
pregnancy. In particular, the expression of TLR4 and TLR9 mRNA was considerably
higher than that of remaining TLRs. We mimic TLR9 signal with combination of its
pathogenic ligand CpG ODN and antagonists ODN in a well-established abortion
prone CBA/J*DBA/2 model. CpG ODN dramatically boosted fetal loss and lowered the
proportion of Regulatory cells (Treg cells) in vivo. CpG ODN directly triggered
the impaired survival and increased activity of Caspase 8/3 of Treg cells in
vitro. These effects were blocked by antagonist ODN. CONCLUSION: Excessive TLR9
signaling contributed to maternal-fetal tolerance disruption via an effect on
Treg cell survival by activation of Caspase 8/3.
PMID- 26563541
TI - CDKAL1 gene variants affect the anti-TNF response among Psoriasis patients.
AB - The heterogeneous response to anti-TNF biological drugs among Psoriasis (Psor)
patients might be explained by gene variants linked to the risk for Psor. Common
variants in the CDKAL1 gene have been associated with the risk of developing
Psor. Our hypothesis was that these variants could also influence the response to
anti-TNFs among Psor-patients. A reduction of at least 75% in the Psoriasis area
and severity index (PASI 75) at week 24 was considered a positive response to
treatment. A total of 116 patients (78 responders and 38 non-responders) were
genotyped for the CDKAL1 rs6908425, rs4712523, rs111739077, and rs77152992
(p.P409L) single nucleotide polymorphisms. Allele and genotype frequencies
differed between the two response groups, with the highest difference for the
rs6908425: CC homozygotes were significantly more common among responders (72%
vs. 45%; p=0.005; OR=3.14, 95%CI=1.40-7.05). In conclusion, our data suggested
that CDKAL1 gene variants have a significant effect on the response to anti-TNF
therapies among Psor patients. If confirmed on other large cohorts of patients,
the genotyping of these variants might help to predict the biological response.
PMID- 26563542
TI - Reducing microplastics from facial exfoliating cleansers in wastewater through
treatment versus consumer product decisions.
AB - Microplastics (<5mm) have been discovered in fresh and saltwater ecosystems,
sediments, and wastewater effluent around the world. Their ability to persist and
accumulate up food chains should be a concern as research is still experimenting
with techniques to assess their long-term effects on the environment. I sought to
characterize the microbeads found in facial exfoliating cleansers so as to better
understand how to reduce this source of pollution through consumer use and
wastewater treatment solutions. By sampling products from national-grossing
cosmetic personal care brands, I was able to gather information on the size,
color, volume, mass, and concentration of polyethylene beads in the cleansers.
From that data, I modeled onto a consumer survey the estimated volume of
microplastics entering a wastewater stream. Through inquiry, I learned the
practices of two local wastewater treatment facilities. My findings show that
consumer decisions and treatment protocols both play crucial parts in minimizing
microplastic pollution.
PMID- 26563543
TI - Cumulative pressures and low protection: a concerning blend for Mediterranean
MPAs.
AB - This study classifies Mediterranean marine protected areas (MPAs) according to
the combined result of pressure level and protection. Six major marine
environment pressures were considered: pressures from fish farms, fishing, marine
litter, pressures from marinas, pollution from maritime transport, and climate
change. MPA protection was assessed through legal protection and management
effort. Most MPA area in the Mediterranean is under relatively high pressure
level and afforded low protection. Inshore areas show higher pressure levels.
Five marine ecoregions, nine countries and nineteen MPA designation categories
have over 50% of their MPA area under major concern. The mean number of
cumulative pressures occurring in priority MPAs ranges between three and four,
although the mean combined intensity of those pressures is low. However, these
figures are most likely underestimated, especially for the southern
Mediterranean. The most concerning pressures to MPAs regarding extent and
intensity were: climate change, fishing and pollution from maritime transport.
PMID- 26563544
TI - Distribution and source apportionment of polycyclic aromatic hydrocarbons in
surface sediments from Zhoushan Archipelago and Xiangshan Harbor, East China Sea.
AB - Zhoushan Archipelago and the adjacent Xiangshan Harbor are important commercial,
tourism, fishing, and mariculture areas. Considering the concern on the effects
of anthropogenic activities on the environment, the level and source
apportionment of polycyclic aromatic hydrocarbons (PAHs) in surface sediments
were investigated. The sum of 16 PAH (?16 PAH) concentrations in the Zhoushan
Archipelago ranged from 3.67 to 31.30 ng g(-1) d.w., with a mean of 15.01 +/-
1.21 ng g(-1) d.w., and that in Xiangshan Harbor varied from 11.58 to 481.44 ng
g(-1) d.w., with a mean of 62.52 +/- 32.85 ng g(-1) d.w. Diagnostic ratios and
factor analysis were performed to identify PAH sources. Results show that PAHs
have mixed origins (i.e., traffic-related sources, coal combustion, petrogenic
sources, and biomass burning), with pyrolytic-related pollution as the dominant
source. This study provided a baseline to promote environmental protection and
pollution episode monitoring in the East China Sea.
PMID- 26563545
TI - Benthic foraminifera as indicators of habitat change in anthropogenically
impacted coastal wetlands of the Ebro Delta (NE Iberian Peninsula).
AB - Present-day habitats of the Ebro Delta, NE Iberian Peninsula, have been
ecologically altered as a consequence of intensive human impacts in the last two
centuries (especially rice farming). Benthic foraminiferal palaeoassemblages and
sediment characteristics of five short cores were used to reconstruct past
wetland habitats, through application of multivariate DCA and CONISS techniques,
and dissimilarity coefficients (SCD). The timing of environmental changes was
compared to known natural and anthropogenic events in order to identify their
possible relationships. In deltaic wetlands under altered hydrological
conditions, we found a decrease in species diversity and calcareous-dominated
assemblages, and a significant positive correlation between microfaunal changes
and organic matter content. Modern analogues supported palaeoenvironmental
interpretation of the recent evolution of the Delta wetlands. This research
provides the first recent reconstruction of change in the Ebro Delta wetlands,
and also illustrates the importance of benthic foraminifera for biomonitoring
present and future conditions in Mediterranean deltas.
PMID- 26563546
TI - Developmental toxicity of three hexabromocyclododecane diastereoisomers in
embryos of the marine medaka Oryzias melastigma.
AB - The composition of major hexabromocyclododecane (HBCD) diastereoisomers, i.e.
alpha-, beta-, and gamma-HBCDs, in marine biota is different from that of the
commercially available form (technical HBCD), which is used extensively for
toxicological studies. To properly evaluate the impact of HBCDs, the embryos of
Oryzias melastigma were used to examine the developmental toxicity of the
individual diastereoisomers. Results showed that HBCD diastereoisomers at the
environmentally realistic concentrations in the embryos induced malformation rate
and heartbeat, and caused the appearance of apoptotic heart. In addition, alpha-,
beta-, and gamma-HBCDs had similar potency to stimulate the generation of
reactive oxygen species, consequently leading to apoptosis in O. melastigma
embryos. The order of the developmental toxicity of alpha-, beta-, and gamma
HBCDs in O. melastigma embryos was different from that in zebrafish embryos
studied previously, which highlighted the importance of using species from both
fresh and salt water for toxicity assessment.
PMID- 26563547
TI - Prickly business: abundance of sea urchins on breakwaters and coral reefs in
Dubai.
AB - Echinometra mathaei is a common echinoid on tropical reefs and where abundant
plays an important role in the control of algal communities. Despite high
prevalence of E. mathaei on southern Persian/Arabian Gulf reefs, their abundance
and distribution is poorly known. Spatial and temporal patterns in population
abundance were examined at 12 sites between breakwater and natural reef habitats
in Dubai (UAE) every 3 months from 2008 to 2010. Within the breakwater habitat,
densities were greatest at shallow wave-exposed sites, and reduced with both
decreasing wave-exposure and increasing depth. Interestingly, E. mathaei were
significantly more abundant on exposed breakwaters than natural reef sites,
presumably due to differences in habitat structure and benthic cover. Population
abundances differed seasonally, with peak abundances during summer (July
September) and lower abundances in winter (December-February). Seasonal
fluctuations are likely the result of peak annual recruitment pulses coupled with
increased fish predation from summer to winter.
PMID- 26563548
TI - Assessment of metal contamination in coastal sediments, seawaters and bivalves of
the Mediterranean Sea coast, Egypt.
AB - In order to assess metal contamination on the Mediterranean coast of Egypt, 45
sediment samples, seawaters and bivalve specimens were collected from Rosetta
coastal area for Mg, Al, K, Fe, Sr, Zn, Pb, Mn, As, Ce, Ni, Cr and Zr analyses by
Inductively Coupled Plasma-Mass Spectrometer. The Enrichment Factor (EF), the
Geoaccumulation Index (Igeo) and the Contamination Factor (CF) indicated that the
coastal sediments of Rosetta area were severely enriched, strongly polluted with
As, Pb and very highly contaminated with As, Pb, Ni, Ce, mostly as a result of
anthropogenic inputs. Comparison with other samples from the Arabian Gulf, Red
Sea and abroad coasts suggested that the studied samples have higher
concentrations of Fe, Pb, As, Zn and Ni. The natural sources of heavy metals in
the study area are attributed to weathering and decomposition of mountain ranges
of the Sudan and Ethiopia, while the anthropogenic ones are the metals produced
from industrial, sewage, irrigation and urban runoff.
PMID- 26563549
TI - Characterization of microbial compositions in a thermophilic chemostat of mixed
culture fermentation.
AB - The microbial community compositions of a chemostat enriched in a thermophilic
(55 degrees C) mixed culture fermentation (MCF) for hydrogen production under
different operational conditions were revealed in this work by integrating
denaturing gradient gel electrophoresis (DGGE), Illumina Miseq high-throughput
sequencing, and 16S rRNA clone library sequencing. The results showed that the
community structure of the enriched cultures was relatively simple. Clones close
to the genera of Thermoanaerobacter and/or Bacillus mainly dominated the
bacteria. And homoacetogens and archaea were washed out and not detected even by
Illumina Miseq high-throughput sequencing which supported the benefit for
hydrogen production. On the other hand, the results revealed that the metabolic
shift was clearly associated with the change of dominated bacterial groups. The
effects of hydrogen partial pressure (PH2) and pH from 4.0 to 5.5 on the
microbial compositions were not notable and Thermoanaerobacter was dominant,
thus, the metabolites were also not changed. While Bacillus, Thermoanaerobacter
and Propionispora hippei dominated the bacteria communities at neutral pH, or
Bacillus and Thermoanaerobacter dominated at high influent glucose
concentrations, consequently the main metabolites shifted to acetate, ethanol,
propionate, or lactate. Thereby, the effect of microbial composition on the
metabolite distribution and shift shall be considered when modeling thermophilic
MCF in the future.
PMID- 26563550
TI - Silica fertilization and nano-MnO2 amendment on bacterial community composition
in high arsenic paddy soils.
AB - Silica fertilization and nano-MnO2 amendment are reported as useful approaches in
lowering the accumulation of arsenic in rice grains, but the effects of silica
fertilization or nano-MnO2 amendment on microbial community in the paddy soils
containing high concentration of arsenic are still unknown. In order to elucidate
this question, the structures and composition of microbial community in the paddy
soils, in response to silica fertilization and nano-MnO2 amendment, were
investigated using pyrosequencing technique. The results indicated that
Proteobacteria, Chloroflexi, and Acidobacteria were the main dominating phyla in
these paddy soils. A decrease in the relative abundance of Chloroflexi and
Cyanobacteria, but an increase in the relative abundance of Acidobacteria was
observed after silica fertilization and nano-MnO2 amendment. The changes of
Acidobacteria, Chloroflexi, and Cyanobacteria were strongly correlated with pH
and the concentration of bioavailable arsenic in the paddy soils. The alpha
diversity of bacteria in the paddy soils increased in response to silica
fertilization at low amendment level, but decreased under silica or nano-MnO2
amendment at high amendment level. Results of beta-diversity analysis indicated
that the microbial communities in the control treatment shared more similarity
with that of those received low level of nano-MnO2 amendment, and the two silica
fertilization treatments also shared more similarity with each other.
PMID- 26563551
TI - Antibiotic sulfanilamide biodegradation by acclimated microbial populations.
AB - Sulfonamide antibiotics are commonly detected in the environment. Microbial
degradation can play an important role in the dissipation of sulfonamide
antibiotics. However, many aspects regarding the influential factor and
biodegradation pathway remain essentially unclear. Moreover, phylogenetic
information on the sulfonamide-degrading microbial community is still very
limited. The present study investigated the biodegradation of sulfonamide
antibiotic sulfanilamide by acclimated mixed culture and its influential factors,
and the sulfanilamide-degrading microbial community. At the initial sulfanilamide
concentration of 100 MUg/L, nearly half of the antibiotic could be removed by
acclimated microbial populations after 1 week of incubation, and an average
removal rate of 78.3 % could be achieved in 4 weeks. p-Phenylenediamine, benzene
sulfonamide, and hydroxylamine benzene sulfonamide were identified as the
potential intermediates. Sulfanilamide biodegradation could be enhanced by a
temperature rise and the presence of external carbon or nitrogen sources. The
richness, diversity, and structure of the bacterial community showed a remarkable
change with sulfanilamide biodegradation. Firmicutes and Bacteroidetes (mainly
represented by classes Bacilli and Flavobacteriia) dominated the sulfanilamide
degrading bacterial community.
PMID- 26563552
TI - Identification and characterization of novel small molecule inhibitors of the
acetyltransferase activity of Escherichia coli N-acetylglucosamine-1-phosphate
uridyltransferase/glucosamine-1-phosphate-acetyltransferase (GlmU).
AB - This study aims at identifying novel chemical scaffolds as inhibitors specific to
the acetyltransferase domain of a bifunctional enzyme, Escherichia coli GlmU,
involved in the cell wall biosynthesis of Gram-negative organisms. A two-pronged
approach was used to screen a 50,000 small-molecule library. Using the first
approach, the library was in silico screened by docking the library against
acetyltransferase domain of E. coli GlmU studies. In the second approach,
complete library was screened against Escherichia coli ATCC 25922 to identify the
whole cell active compounds. Active compounds from both the screens were screened
in a colorimetric absorbance-based assay to identify inhibitors of
acetyltransferase domain of E. coli GlmU which resulted in the identification of
1 inhibitor out of 56 hits identified by in silico screening and 4 inhibitors out
of 35 whole cell active compounds on Gram-negative bacteria with the most potent
inhibitor showing IC50 of 1.40 +/- 0.69 MUM. Mode of inhibition studies revealed
these inhibitors to be competitive with AcCoA and uncompetitive with GlcN-1-P.
These selected inhibitors were also tested for their antibacterial and cytotoxic
activities. Compounds 5175178 and 5215319 exhibited antibacterial activity that
co-related with GlmU inhibition. These compounds, therefore, represent novel
chemical scaffolds targeting acetyltransferase activity of E. coli GlmU.
PMID- 26563553
TI - Anti-NMDA receptor encephalitis: clinical characteristics, predictors of outcome
and the knowledge gap in southwest China.
AB - BACKGROUND AND PURPOSE: The aim was to analyse the clinical profiles and outcomes
of patients with anti- N-methyl-d-aspartate receptor (anti-NMDAR) encephalitis in
China. METHODS: A retrospective study of anti-NMDAR encephalitis in China was
performed between June 2011 and June 2014. The clinical characteristics and
predictors of poor outcome were determined. RESULTS: A total of 51 patients with
a definitive diagnosis of anti-NMDAR encephalitis were included in this study.
Four of them were surgically confirmed to have a neoplasm. Thirty-two patients,
amongst whom 24 were female, presented with psychiatric disorder as the initial
symptom, whereas 14 patients, of whom nine were male, presented with seizure as
the initial symptom (P = 0.011). Twenty-nine patients (56.86%) were initially
misdiagnosed with psychosis, viral encephalitis or other diseases, and 58.8% of
the patients experienced at least one type of complication. It typically took 3
weeks before these patients were admitted to our hospital and another 2 weeks
before the correct diagnosis was made. Forty-one patients (80%) reached a good
outcome; 10 patients (20%) had a poor outcome. Older age, extended hospital stay,
memory deficits, decreased consciousness, central hypoventilation, complications
and abnormal cerebrospinal fluid results were associated with poor outcome (P <
0.05). CONCLUSIONS: Female patients more frequently initially present with
psychiatric disorder but male patients more frequently initially present with
seizure. Patients with anti-NMDAR encephalitis in China have a lower incidence of
neoplasm. Nevertheless, this study reveals several challenges in treating anti
NMDAR encephalitis in China that may contribute to poor outcome.
PMID- 26563554
TI - LiNi(0.5)Mn(1.5)O4 high-voltage cathode coated with Li4Ti5O12: a hard X-ray
photoelectron spectroscopy (HAXPES) study.
AB - A Li4Ti5O12 (LTO) film was coated as buffer layer onto a LiNi0.5Mn1.5O4 (LNMO)
high-voltage cathode, and after cycling of the cathode in a battery electrolyte,
the LTO film was investigated by means of synchrotron radiation based hard X-ray
photoelectron spectroscopy (HAXPES). By tuning the photon energy between 2 keV
and 6 keV, we obtained non-destructive depth profiles of the coating material
with probing depths ranging from 6 nm to 20 nm. The coating was found to be
covered by a few nanometers thin surface layer resulting from electrolyte
decomposition. This layer consisted predominantly of organic polymers as well as
metal fluorides and fluorophosphates. A positive influence of the Li4Ti5O12
coating with regard to the size and stability of the surface layer was found. The
coating itself consisted of a uniform mixture of Li(I), Ti(IV), Ni(II) and Mn(IV)
oxides that most likely adopted a spinel structure by forming a solid solution of
the two spinels LiNi0.5Mn1.5O4 and Li4Ti5O12 with Li, Mn, Ni and Ti cations
mixing on the spinel octahedral sites. The diffusion of Ni and Mn ions into the
Li4Ti5O12 lattice occurred during the heat treatment when preparing the cathode.
The doping of Li4Ti5O12 with the open d-shell ions Ni(2+) (d(8)) and Mn(4+)
(d(3)) should increase the electronic conductivity of the coating significantly,
as was found in previous studies. The complex signal structure of the Ti 2p, Ni
2p and Mn 2p core levels provides insight into the chemical nature of the
transition metal ions.
PMID- 26563555
TI - Efficacy of Bacillus subtilis V26 as a biological control agent against
Rhizoctonia solani on potato.
AB - The aim of this study is to evaluate the efficacy of the strain Bacillus subtilis
V26, a local isolate from the Tunisian soil, to control potato black scurf caused
by Rhizoctonia solani. The in vitro antifungal activity of V26 significantly
inhibited R. solani growth compared to the untreated control. Microscopic
observations revealed that V26 caused considerable morphological deformations of
the fungal hyphae such as vacuolation, protoplast leakage and mycelia crack. The
most effective control was achieved when strain V26 was applied 24h prior to
inoculation (protective activity) in potato slices. The antagonistic bacterium
V26 induced significant suppression of root canker and black scurf tuber
colonization compared to untreated controls with a decrease in incidence disease
of 63% and 81%, respectively, and promoted plant growth under greenhouse
conditions on potato plants. Therefore, B. subtilis V26 has a great potential to
be commercialized as a biocontrol agent against R. solani on potato crops.
PMID- 26563556
TI - Stochastic monotony signature and biomedical applications.
AB - We introduce a new concept, the stochastic monotony signature of a function, made
of the sequence of the signs that indicate if the function is increasing or
constant (sign +), or decreasing (sign -). If the function results from the
averaging of successive observations with errors, the monotony sign is a random
binary variable, whose density is studied under two hypotheses for the
distribution of errors: uniform and Gaussian. Then, we describe a simple
statistical test allowing the comparison between the monotony signatures of two
functions (e.g., one observed and the other as reference) and we apply the test
to four biomedical examples, coming from genetics, psychology, gerontology, and
morphogenesis.
PMID- 26563557
TI - Spawning segregation and philopatry are major prezygotic barriers in sympatric
cryptic Mugil cephalus species.
AB - The flathead mullet, Mugil cephalus, is a commercially vital fish in fisheries
and aquaculture worldwide. Genetic analyses have recently revealed three cryptic
species of M. cephalus in the Northwest Pacific. These species are sympatric in
Taiwanese waters and specific reproductive behaviors have been suggested to be a
major prezygotic barrier. Species composition was evaluated in samples of M.
cephalus at different growth stages collected from various habitats (offshore
spawning ground, estuarine nursery and feeding areas) over several months or
years. The gonadosomatic index of adults and the body length of juveniles were
recorded to determine the reproductive season and recruitment periods in
estuaries. The results revealed partially temporal spawning isolation between
species pairs, spatial segregation on specific spawning grounds and strong
philopatry preclude hybridization. Thus, the results imply that traditional
fisheries of mature fish in the Taiwan Strait target only one species, whereas
aquaculture in Taiwan contain juveniles of all three species collected in
estuaries. The ecological niche and demography of these species must be
investigated further to estimate the impact of juvenile sources on aquaculture.
PMID- 26563558
TI - Surface-enhanced Raman scattering on silvered porous alumina templates: role of
multipolar surface plasmon resonant modes.
AB - Nanostructured silver films with different thicknesses were prepared by vapor
deposition onto the surface of the anodic aluminum oxide (AAO) template to be
used as surface-enhanced Raman scattering (SERS) active substrates. Both the peak
position of the surface plasmon resonance (SPR) band and SERS enhancement of
silvered AAO samples displayed non-monotonous dependence on Ag layer thickness.
Using 441.6 nm excitation and a water-soluble cationic porphyrin, Cu(ii)
tetrakis(4-N-methylpyridyl) (CuTMPyP4), as a SERS-reporting analyte, two maxima
of the SERS enhancement were obtained for Ag layers of 15 and 120 nm thickness.
Thickness dependencies have been analyzed taking into account the type of SPR
modes identified by means of quasicrystalline approximation (QCA) of statistical
theory of multiple scattering of waves and multi-Lorentzian deconvolution. The
analysis revealed that SERS enhancement is related to the absolute magnitude of
the distance between excitation wavelength and spectral position of collective
SPR mode. It was shown that matching of excitation wavelength and the most
intensive SPR modes with non-radiative decay, generated mainly by coherent
interaction of higher-order plasmon resonant modes (quadrupole and octupole),
plays a dominate role in SERS performance. Besides, it has been observed that
more intense SERS signal can be obtained when the analyte deposited on the Ag/AAO
substrate was excited through the AAO template rather than from the silvered
side. Our results demonstrate that appropriate excitation geometry and fine
tuning of the optical properties of the Ag/AAO substrate by adjusting the
thickness of the Ag layer with respect to particular excitation wavelength can
contribute to more effective SERS enhancement.
PMID- 26563559
TI - Myxoinflammatory fibroblastic sarcoma: spectrum of disease and imaging
presentation.
AB - OBJECTIVES: To describe the imaging findings of a series of myxoinflammatory
fibroblastic sarcomas (MFSs) from our institution, including a case of
dedifferentiated MFS and two cases with areas of high-grade tumor, in addition to
typical cases of low-grade tumor. To correlate the imaging findings with the
pathologic features of these tumors. SUBJECTS AND METHODS: IRB approval was
obtained. Retrospective search of the pathology database at our institution from
2000 to 2015 identified seven cases of MFS with available imaging. Imaging,
pathology, and clinical data were reviewed. RESULTS: Unlike the majority of well
differentiated tumors in our series (four cases), one tumor showed
dedifferentiation and two cases had areas of high-grade tumor. The
dedifferentiated tumor showed peripheral post-contrast enhancement. One case with
a substantial high-grade component showed osseous destruction and peripheral
enhancement in the high-grade area, while the low-grade component enhanced
diffusely. The second case had a small high-grade area and showed diffuse
enhancement. All three of these cases had non-acral locations and lacked
association with a tendon. The four cases of low-grade MFS demonstrated diffuse
enhancement, were located in the distal extremities, and were associated with a
tendon. CONCLUSION: The imaging findings of dedifferentiated and high-grade MFS
differ from the more typical low-grade tumors in that they have nonenhancing
areas, a non-acral location, lack association with a tendon, and may involve
bone. The radiologist should be aware that MFS represents a spectrum that
includes low-grade tumors, tumors with high-grade areas, and tumors with
dedifferentiation and that this spectrum presents with differing imaging
features.
PMID- 26563560
TI - Cone-Beam CT in diagnosis of scaphoid fractures.
AB - OBJECTIVE: This prospective study investigated the sensitivity of cone beam
computed tomography (CBCT), a low dose technique recently made available for
extremity examinations, in detecting scaphoid fractures. Magnetic resonance
imaging (MRI) was used as gold standard for scaphoid fractures. MATERIALS AND
METHODS: A total of 95 patients with a clinically suspected scaphoid fracture
were examined with radiography and CBCT in the acute setting. A negative CBCT
exam was followed by an MRI within 2 weeks. When a scaphoid fracture was detected
on MRI a new CBCT was performed. RESULTS: Radiography depicted seven scaphoid
fractures, all of which were also seen with CBCT. CBCT detected another four
scaphoid fractures. With MRI another five scaphoid fractures were identified that
were not seen with radiography or with CBCT. These were also not visible on the
reexamination CBCT. Sensitivity for radiography was 44, 95 % confidence interval
21-69 %, and for CBCT 69 %, 95 % confidence interval 41-88 % (p = 0.12). Several
non-scaphoid fractures in the carpal region were identified, radiography and CBCT
depicted 7 and 34, respectively (p < 0.0001). CONCLUSION: CBCT is a superior
alternative to radiography, entailing more accurate diagnoses of carpal region
fractures, and thereby requiring fewer follow-up MRI examinations. However, CBCT
cannot be used to exclude scaphoid fractures, since MRI identified additional
occult scaphoid fractures.
PMID- 26563561
TI - Short- and long-term reproducibility of marrow adipose tissue quantification by
1H-MR spectroscopy.
AB - OBJECTIVE: To assess short- and long-term reproducibility of marrow adipose
tissue (MAT) quantification by 1H-MR spectroscopy. MATERIALS AND METHODS: Our
study was IRB-approved and HIPAA compliant. Written informed consent was
obtained. We studied 20 overweight/obese but otherwise healthy subjects (12
female, 8 male) with a mean age of 37 +/- 6 years. All subjects underwent proton
magnetic resonance spectroscopy (1H-MRS) of the fourth lumbar vertebral body
using a single-voxel point-resolved spatially localized spectroscopy sequence
without water suppression at 3 T. Measurements were repeated after 6 weeks and 6
months using identical scanning protocols. The following clinical parameters were
collected, weight, BMI, exercise status, and trabecular bone mineral density
(BMD), by quantitative computed tomography. Short- (baseline, 6 weeks) and long
term (baseline, 6 months) reproducibility of MAT was assessed by the coefficient
of variance (CV), standard deviation (SD), and interclass correlation
coefficients (ICCs). Short- and long-term changes in clinical parameters were
assessed by paired t-test. RESULTS: For short-term reproducibility between
baseline and 6-week scans, the CV was 9.9 %, SD was 0.08, and ICC was 0.97 (95 %
CI 0.94-099). For long-term reproducibility between baseline and 6-month scans,
the CV was 12.0 %, SD was 0.10, and ICC was 0.95 (95 % CI 0.88 to 0.98). There
was no significant short- or long-term change in clinical parameters (weight,
BMI, exercise status, BMD) (p > 0.2). CONCLUSION: 1H-MRS is a reproducible method
for short- and long-term quantification of MAT. Our results can guide sample size
calculations for interventional and longitudinal studies.
PMID- 26563562
TI - Linking medical faculty stress/burnout to willingness to implement medical school
curriculum change: a preliminary investigation.
AB - RATIONALE, AIMS AND OBJECTIVES: Balancing administrative demands from the medical
school while providing patient support and seeking academic advancement can cause
personal hardship that ranges from high stress to clinically recognizable
conditions such as burnout. Regarding the importance of clinical faculties'
burnout and its effects on different aspects of their professional career, this
study was conducted and aimed to evaluate the relationship between willingness to
change teaching approaches as characterized by a modified stage-of-change model
and measures of stress and burnout. METHODS: This descriptive analytic study was
conducted on 143 clinical faculty members of Tehran University of Medical
Sciences in Iran. Participants were asked to complete three questionnaires: a
modified stages of change questionnaire the Maslach Burnout Inventory and the
General Health Questionnaire. Data were analysed by SPSS: 16 using non-parametric
statistical tests such as multiple regression and ICC (intra-class coefficient)
and Spearman correlation coefficient test. RESULT: A significant relationship was
found between faculty members' readiness to change teaching approaches and the
subscales of occupational burnout. Specifically, participants with low
occupational burnout were more likely to be in the action stage, while those with
high burnout were in the attitude or intention stage, which could be understood
as not being ready to implement change. There was no significant correlation
between general health scores and stage of change. CONCLUSIONS: We found it
feasible to measure stages of change as well as stress/burnout in academic
doctors. Occupational burnout directly reduces the readiness to change. To have
successful academic reform in medical schools, it therefore would be beneficial
to assess and manage occupational burnout among clinical faculty members.
PMID- 26563563
TI - Understanding the fundamentals of redox mediators in Li-O2 batteries: a case
study on nitroxides.
AB - The development of aprotic lithium-oxygen (Li-O2) batteries suffers from high
charging overvoltages. Dissolved redox mediators, like nitroxides, providing
increased energy efficiency and longer lifetime are promising tools to overcome
this challenge. Since this auspicious concept is still in its infancy, the
underlying chemical reactions as well as the impact of the different
(electro)chemical parameters are poorly understood. Herein, we derive an
electrochemical model for the charging reactions, which is validated by
potentiostatic measurements. The model elucidates the impact of the major factors
including basic cell parameters and the chemical properties of the redox
mediator. The model is applied to the promising class of nitroxides, which is
systematically investigated by using derivatives of TEMPO (2,2,6,6-tetramethyl-1
piperidinyloxy), AZADO (2-azaadamantane-N-oxyl), and an azaphenalene based
nitroxide. The nitroxides are electrochemically characterized by cyclic
voltammetry and their performance as redox mediators is studied in Li-O2
batteries with an ether-based electrolyte. Based on the presented model, the
charging profiles of the different nitroxide redox mediators are correlated with
their molecular structures.
PMID- 26563564
TI - Evaluating factors associated with implementing evidence-based practice in
nursing.
AB - RATIONALE, AIMS AND OBJECTIVES: Evidence-based practice (EBP) has emerged as an
innovation for quality improvement in health care. Nurses have important role in
implementing EBP but they face many challenges in this context. Evaluation of
factors influencing implementation of EBP seems necessary. The aims of this study
were to examine nurses' attitude towards EBP, their self-efficacy and training
needs, as well as supporting factors and barriers for implementing EBP. METHODS:
A cross-sectional study was conducted on 182 nurses from four teaching hospitals
in Kerman, Iran. Data were collected using a questionnaire consisting of two main
sections; a section to collect socio-demographic information of participants and
a section collecting information on five topics (staff's attitude, self-efficacy
skills of EBP, supporting factors, barriers and training needs for implementing
EBP). RESULTS: The majority (87.4%) of the nurses had not attended any formal
training on EBP and 60% of them were not familiar with the concept of EBP.
Nurses' attitude towards EBP was unfavourable (2.57 +/- 0.99) and their self
efficacy skills of EBP were poor (2.93 +/- 1.06). The most important supporting
factor was mentoring by nurses who have adequate EBP experience (3.65 +/- 1.17)
and the biggest barrier was difficulty judging the quality of research papers and
reports (2.46 +/- 0.95). There was a moderate demand for training in all areas of
EBP (3.62 +/- 1.12). CONCLUSIONS: Nursing care needs to move towards quality
improvement using EBP. It is necessary to equip nurses with knowledge and skills
required for EBP. Managers should design an appropriate strategic plan by
considering supporting factors and barriers for integrating EBP into clinical
setting.
PMID- 26563566
TI - Deviation of polarity from linearity in liquid mixtures containing an ionic
liquid.
AB - The physico-chemical properties of liquid mixtures, in general, exhibit
deviations from linear behaviour with respect to their composition, arising out
of different types of cross-intermolecular interactions (both specific and non
specific). These specific and non-specific interactions can however be monitored
to obtain the linear mixing in liquid mixtures using the pseudo-solvent
methodology in such a manner that the interactions causing deviations from
linearity are cancelled out and mixtures display linear behaviour.
PMID- 26563565
TI - Crystal structure of an antigenic outer-membrane protein from Salmonella Typhi
suggests a potential antigenic loop and an efflux mechanism.
AB - ST50, an outer-membrane component of the multi-drug efflux system from Salmonella
enterica serovar Typhi, is an obligatory diagnostic antigen for typhoid fever.
ST50 is an excellent and unique diagnostic antigen with 95% specificity and 90%
sensitivity and is used in the commercial diagnosis test kit (TYPHIDOT(TM)). The
crystal structure of ST50 at a resolution of 2.98 A reveals a trimer that forms
an alpha-helical tunnel and a beta-barrel transmembrane channel traversing the
periplasmic space and outer membrane. Structural investigations suggest
significant conformational variations in the extracellular loop regions,
especially extracellular loop 2. This is the location of the most plausible
antibody-binding domain that could be used to target the design of new antigenic
epitopes for the development of better diagnostics or drugs for the treatment of
typhoid fever. A molecule of the detergent n-octyl-beta-D-glucoside is observed
in the D-cage, which comprises three sets of Asp361 and Asp371 residues at the
periplasmic entrance. These structural insights suggest a possible substrate
transport mechanism in which the substrate first binds at the periplasmic
entrance of ST50 and subsequently, via iris-like structural movements to open the
periplasmic end, penetrates the periplasmic domain for efflux pumping of
molecules, including poisonous metabolites or xenobiotics, for excretion outside
the pathogen.
PMID- 26563567
TI - Phosphatidylinositol 3,5-Bisphosphate-Rich Membrane Domains in Endosomes and
Lysosomes.
AB - Phosphatidylinositol 3,5-bisphosphate (PtdIns(3,5)P2 ) has critical functions in
endosomes and lysosomes. We developed a method to define nanoscale distribution
of PtdIns(3,5)P2 using freeze-fracture electron microscopy. GST-ATG18-4*FLAG was
used to label PtdIns(3,5)P2 and its binding to phosphatidylinositol 3-phosphate
(PtdIns(3)P) was blocked by an excess of the p40(phox) PX domain. In yeast
exposed to hyperosmotic stress, PtdIns(3,5)P2 was concentrated in intramembrane
particle (IMP)-deficient domains in the vacuolar membrane, which made close
contact with adjacent membranes. The IMP-deficient domain was also enriched with
PtdIns(3)P, but was deficient in Vph1p, a liquid-disordered domain marker. In
yeast lacking either PtdIns(3,5)P2 or its effector, Atg18p, the IMP-deficient,
PtdIns(3)P-rich membranes were folded tightly to make abnormal tubular
structures, thus showing where the vacuolar fragmentation process is arrested
when PtdIns(3,5)P2 metabolism is defective. In HeLa cells, PtdIns(3,5)P2 was
significantly enriched in the vesicular domain of RAB5- and RAB7-positive
endosome/lysosomes of the tubulo-vesicular morphology. This biased distribution
of PtdIns(3,5)P2 was also observed using fluorescence microscopy, which further
showed enrichment of a retromer component, VPS35, in the tubular domain. This is
the first report to show segregation of PtdIns(3,5)P2 -rich and -deficient
domains in endosome/lysosomes, which should be important for endosome/lysosome
functionality.
PMID- 26563569
TI - Rational Improvement of Molar Absorptivity Guided by Oscillator Strength: A Case
Study with Furoindolizine-Based Core Skeleton.
AB - The rational improvement of photophysical properties can be highly valuable for
the discovery of novel organic fluorophores. Using our new design strategy guided
by the oscillator strength, we developed a series of full-color-tunable
furoindolizine analogs with improved molar absorptivity through the fusion of a
furan ring into the indolizine-based Seoul fluorophore. The excellent correlation
between the computable values (oscillator strength and theoretical S0 -S1 energy
gap) and photophysical properties (molar absorptivity and emission wavelength)
confirmed the effectualness of our design strategy.
PMID- 26563568
TI - Synergistic Activation of Latent HIV-1 Expression by Novel Histone Deacetylase
Inhibitors and Bryostatin-1.
AB - Viral reactivation from latently infected cells has become a promising
therapeutic approach to eradicate HIV. Due to the complexity of the viral
latency, combinations of efficient and available drugs targeting different
pathways of latency are needed. In this work, we evaluated the effect of various
combinations of bryostatin-1 (BRY) and novel histone deacetylase inhibitors
(HDACIs) on HIV-reactivation and on cellular phenotype. The lymphocyte (J89GFP)
or monocyte/macrophage (THP89GFP) latently infected cell lines were treated with
BRY, panobinostat (PNB) and romidepsin (RMD) either alone or in combination.
Thus, the effect on the viral reactivation was evaluated. We calculated the
combination index for each drug combination; the BRY/HDACIs showed a synergistic
HIV-reactivation profile in the majority of the combinations tested, whereas non
synergistic effects were observed when PNB was mixed with RMD. Indeed, the 75%
effective concentrations of BRY, PNB and RMD were reduced in these combinations.
Moreover, primary CD4 T cells treated with such drug combinations presented
similar activation and proliferation profiles in comparison with single drug
treated cells. Summing up, combinations between BRY, PNB and/or RMD presented a
synergistic profile by inducing virus expression in HIV-latently infected cells,
rendering these combinations an attractive novel and safe option for future
clinical trials.
PMID- 26563571
TI - Chemical dynamics simulations of energy transfer, surface-induced dissociation,
soft-landing, and reactive-landing in collisions of protonated peptide ions with
organic surfaces.
AB - There are two components to the review presented here regarding simulations of
collisions of protonated peptide ions peptide-H(+) with organic surfaces. One is
a detailed description of the classical trajectory chemical dynamics simulation
methodology. Different simulation approaches are used, and identified as MM, QM +
MM, and QM/MM dependent on the potential energy surface used to represent the
peptide-H(+) + surface collision. The second are representative examples of the
information that may be obtained from the simulations regarding energy transfer
and peptide-H(+) surface-induced dissociation, soft-landing, and reactive-landing
for the peptide-H(+) + surface collisions. Good agreement with experiment is
obtained for each of these four collision properties. The simulations provide
atomistic interpretations of the peptide-H(+) + surface collision dynamics.
PMID- 26563570
TI - Combined deficiency of Notch1 and Notch3 causes pericyte dysfunction, models
CADASIL, and results in arteriovenous malformations.
AB - Pericytes regulate vessel stability and pericyte dysfunction contributes to
retinopathies, stroke, and cancer. Here we define Notch as a key regulator of
pericyte function during angiogenesis. In Notch1(+/-); Notch3(-/-) mice, combined
deficiency of Notch1 and Notch3 altered pericyte interaction with the endothelium
and reduced pericyte coverage of the retinal vasculature. Notch1 and Notch3 were
shown to cooperate to promote proper vascular basement membrane formation and
contribute to endothelial cell quiescence. Accordingly, loss of pericyte function
due to Notch deficiency exacerbates endothelial cell activation caused by Notch1
haploinsufficiency. Mice mutant for Notch1 and Notch3 develop arteriovenous
malformations and display hallmarks of the ischemic stroke disease CADASIL. Thus,
Notch deficiency compromises pericyte function and contributes to vascular
pathologies.
PMID- 26563572
TI - Selective Inhibition of the Immunoproteasome by Structure-Based Targeting of a
Non-catalytic Cysteine.
AB - Clinically applied proteasome inhibitors induce cell death by concomitant
blockage of constitutive and immunoproteasomes. In contrast, selective
immunoproteasome inhibition is less cytotoxic and has the potential to modulate
chronic inflammation and autoimmune diseases. In this study, we rationally
designed decarboxylated peptides that covalently target a non-catalytic cysteine
of the immunoproteasome subunit beta5i with alpha-chloroacetamide-containing
sidechains. The enhanced isoform specificity decreased cytotoxic effects and the
compound suppressed the production of inflammatory cytokines. Structure-based
optimization led to over 150-fold selectivity for subunit beta5i over beta5c.
This new compound class provides a promising starting point for the development
of selective immunoproteasome inhibitors as potential anti-inflammatory agents.
PMID- 26563573
TI - Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si
hetero-structures by pulsed laser deposition at different temperatures.
AB - 2 inch-diameter GaN films with homogeneous thickness distribution have been grown
on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser
rastering technique. The surface morphology, crystalline quality, and interfacial
property of as-grown GaN films are characterized in detail. By optimizing the
laser rastering program, the ~300 nm-thick GaN films grown at 750 degrees C show
a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface
with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for
GaN(0002) and GaN(102) X-ray rocking curves of 0.7 degrees and 0.8 degrees ,
respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase
in the growth temperature from 550 to 850 degrees C, the surface morphology,
crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films
are gradually improved at first and then decreased. Based on the
characterizations, the corresponding growth mechanisms of GaN films grown on
AlN/Si hetero-structures by PLD with various growth temperatures are hence
proposed. This work would be beneficial to understanding the further insight of
the GaN films grown on Si(111) substrates by PLD for the application of GaN-based
devices.
PMID- 26563574
TI - Bioinspired polymer vesicles and membranes for biological and medical
applications.
AB - Biological membranes play an essential role in living organisms by providing
stable and functional compartments, preserving cell architecture, whilst
supporting signalling and selective transport that are mediated by a variety of
proteins embedded in the membrane. However, mimicking cell membranes - to be
applied in artificial systems - is very challenging because of the vast
complexity of biological structures. In this respect a highly promising strategy
to designing multifunctional hybrid materials/systems is to combine biological
molecules with polymer membranes or to design membranes with intrinsic stimuli
responsive properties. Here we present supramolecular polymer assemblies
resulting from self-assembly of mostly amphiphilic copolymers either as 3D
compartments (polymersomes, PICsomes, peptosomes), or as planar membranes (free
standing films, solid-supported membranes, membrane-mimetic brushes). In a
bioinspired strategy, such synthetic assemblies decorated with biomolecules by
insertion/encapsulation/attachment, serve for development of multifunctional
systems. In addition, when the assemblies are stimuli-responsive, their
architecture and properties change in the presence of stimuli, and release a
cargo or allow "on demand" a specific in situ reaction. Relevant examples are
included for an overview of bioinspired polymer compartments with nanometre sizes
and membranes as candidates in applications ranging from drug delivery systems,
up to artificial organelles, or active surfaces. Both the advantages of using
polymer supramolecular assemblies and their present limitations are included to
serve as a basis for future improvements.
PMID- 26563575
TI - Site-Specific N-Terminal Labeling of Peptides and Proteins using Butelase 1 and
Thiodepsipeptide.
AB - An efficient ligase with exquisite site-specificity is highly desirable for
protein modification. Recently, we discovered the fastest known ligase called
butelase 1 from Clitoria ternatea for intramolecular cyclization. For
intermolecular ligation, butelase 1 requires an excess amount of a substrate to
suppress the reverse reaction, a feature similar to other ligases. Herein, we
describe the use of thiodepsipeptide substrates with a thiol as a leaving group
and an unacceptable nucleophile to render the butelase-mediated ligation
reactions irreversible and in high yields. Butelase 1 also accepted depsipeptides
as substrates, but unlike a thiodesipeptide, the desipeptide ligation was
partially reversible as butelase 1 can tolerate an alcohol group as a poor
nucleophile. The thiodesipeptide method was successfully applied in N-terminal
labeling of ubiquitin and green fluorescent protein using substrates with or
without a biotin group in high yields.
PMID- 26563577
TI - Sol-gel synthesis of monolithic materials with hierarchical porosity.
AB - The development of synthetic routes to hierarchically organized porous materials
containing multiple, discrete sets of pores having disparate length scales is of
high interest for a wide range of applications. One possible route towards the
formation of multilevel porous architectures relies on the processing of
condensable, network forming precursors (sol-gel processes) in the presence of
molecular porogens, lyotropic mesophases, supramolecular architectures,
emulsions, organic polymers, or ice. In this review the focus is on sol-gel
processing of inorganic and organic precursors with concurrently occurring
microscopic and/or macroscopic phase separation for the formation of self
supporting monoliths. The potential and the limitations of the solution-based
approaches is presented with special emphasis to recent examples of
hierarchically organized silica, metal oxides and phosphates as well as carbon
monoliths.
PMID- 26563576
TI - Broadband All-Polymer Phototransistors with Nanostructured Bulk Heterojunction
Layers of NIR-Sensing n-Type and Visible Light-Sensing p-Type Polymers.
AB - We report 'broadband light-sensing' all-polymer phototransistors with the
nanostructured bulk heterojunction (BHJ) layers of visible (VIS) light-sensing
electron-donating (p-type) polymer and near infrared (NIR) light-sensing electron
accepting (n-type) polymer. Poly[{2,5-bis-(2-ethylhexyl)-3,6-bis-(thien-2-yl)
pyrrolo[3,4-c]pyrrole-1,4-diyl}-co-{2,2'-(2,1,3-benzothiadiazole)]-5,5'-diyl}]
(PEHTPPD-BT), which is synthesized via Suzuki coupling and employed as the n-type
polymer, shows strong optical absorption in the NIR region (up to 1100 nm) in the
presence of weak absorption in the VIS range (400~600 nm). To strengthen the VIS
absorption, poly(3-hexylthiophene) (P3HT) is introduced as the p-type polymer.
All-polymer phototransistors with the BHJ (P3HT:PEHTPPD-BT) layers, featuring a
peculiar nano-domain morphology, exhibit typical p-type transistor
characteristics and efficiently detect broadband (VIS~NIR) lights. The maximum
corrected responsivity (without contribution of dark current) reaches up to
85~88% (VIS) and 26~40% (NIR) of theoretical responsivity. The charge separation
process between P3HT and PEHTPPD-BT components in the highest occupied molecular
orbital is proposed as a major working mechanism for the effective NIR sensing.
PMID- 26563578
TI - From Atomistic Surface Chemistry to Nanocrystals of Functional Chalcogenides.
AB - Synthesis and utilization of nanocrystals are highly active fields of current
research, but they require a thorough understanding of the underlying crystal
surfaces. In this Minireview, we span the arc from surfaces to free nanocrystals,
and onward to their chemical synthesis, using as examples lead selenide (PbSe),
tin telluride (SnTe), and their direct chemical relatives. Besides experimental
insights, we highlight the increasingly influential role played by quantum
chemical simulations of surfaces and nanocrystals. What can theory do today, or
possibly tomorrow; where are its limits? Answering these questions, and
skillfully linking them to experiments, could open up new atomistically (that is,
chemically) guided perspectives for nanosynthesis.
PMID- 26563579
TI - Obesity-induced chronic inflammation in high fat diet challenged C57BL/6J mice is
associated with acceleration of age-dependent renal amyloidosis.
AB - Obesity-induced inflammation presumably accelerates the development of chronic
kidney diseases. However, little is known about the sequence of these
inflammatory events and their contribution to renal pathology. We investigated
the effects of obesity on the evolution of age-dependent renal complications in
mice in conjunction with the development of renal and systemic low-grade
inflammation (LGI). C57BL/6J mice susceptible to develop age-dependent sclerotic
pathologies with amyloid features in the kidney, were fed low (10% lard) or high
fat diets (45% lard) for 24, 40 and 52 weeks. HFD-feeding induced overt
adiposity, altered lipid and insulin homeostasis, increased systemic LGI and
adipokine release. HFD-feeding also caused renal upregulation of pro-inflammatory
genes, infiltrating macrophages, collagen I protein, increased urinary albumin
and NGAL levels. HFD-feeding severely aggravated age-dependent structural changes
in the kidney. Remarkably, enhanced amyloid deposition rather than sclerosis was
observed. The degree of amyloidosis correlated significantly with body weight.
Amyloid deposits stained positive for serum amyloid A (SAA) whose plasma levels
were chronically elevated in HFD mice. Our data indicate obesity-induced chronic
inflammation as a risk factor for the acceleration of age-dependent renal
amyloidosis and functional impairment in mice, and suggest that obesity-enhanced
chronic secretion of SAA may be the driving factor behind this process.
PMID- 26563580
TI - Ultrafast Electron Transfer Kinetics of Graphene Grown by Chemical Vapor
Deposition.
AB - High electrochemical reactivity is required for various energy and sensing
applications of graphene grown by chemical vapor deposition (CVD). Herein, we
report that heterogeneous electron transfer can be remarkably fast at CVD-grown
graphene electrodes that are fabricated without using the conventional
poly(methyl methacrylate) (PMMA) for graphene transfer from a growth substrate.
We use nanogap voltammetry based on scanning electrochemical microscopy to obtain
very high standard rate constants k(0) >=25 cm s(-1) for ferrocenemethanol
oxidation at polystyrene-supported graphene. The rate constants are at least 2-3
orders of magnitude higher than those at PMMA-transferred graphene, which
demonstrates an anomalously weak dependence of electron-transfer rates on the
potential. Slow kinetics at PMMA-transferred graphene is attributed to the
presence of residual PMMA. This unprecedentedly high reactivity of PMMA-free CVD
grown graphene electrodes is fundamentally and practically important.
PMID- 26563581
TI - Reduced cortical microvascular oxygenation in multiple sclerosis: a blinded, case
controlled study using a novel quantitative near-infrared spectroscopy method.
AB - Hypoxia (low oxygen) is associated with many brain disorders as well as
inflammation, but the lack of widely available technology has limited our ability
to study hypoxia in human brain. Multiple sclerosis (MS) is a poorly understood
neurological disease with a significant inflammatory component which may cause
hypoxia. We hypothesized that if hypoxia were to occur, there should be reduced
microvascular hemoglobin saturation (StO2). In this study, we aimed to determine
if reduced StO2 can be detected in MS using frequency domain near-infrared
spectroscopy (fdNIRS). We measured fdNIRS data in cortex and assessed disability
of 3 clinical isolated syndrome (CIS), 72 MS patients and 12 controls. Control
StO2 was 63.5 +/- 3% (mean +/- SD). In MS patients, 42% of StO2 values were more
than 2 * SD lower than the control mean. There was a significant relationship
between StO2 and clinical disability. A reduced microvascular StO2 is supportive
(although not conclusive) that there may be hypoxic regions in MS brain. This is
the first study showing how quantitative NIRS can be used to detect reduced StO2
in patients with MS, opening the door to understanding how microvascular
oxygenation impacts neurological conditions.
PMID- 26563582
TI - Inverting the G-Tetrad Polarity of a G-Quadruplex by Using Xanthine and 8
Oxoguanine.
AB - G-quadruplexes are four-stranded nucleic acid structures that are built from
consecutively stacked guanine tetrad (G-tetrad) assemblies. The simultaneous
incorporation of two guanine base lesions, xanthine (X) and 8-oxoguanine (O),
within a single G-tetrad of a G-quadruplex was recently shown to lead to the
formation of a stable G?G?X?O tetrad. Herein, a judicious introduction of X and O
into a human telomeric G-quadruplex-forming sequence is shown to reverse the
hydrogen-bond polarity of the modified G-tetrad while preserving the original
folding topology. The control exerted over G-tetrad polarity by joint X?O
modification will be valuable for the design and programming of G-quadruplex
structures and their properties.
PMID- 26563583
TI - Messengers from the deep: Fossil wadsleyite-chromite microstructures from the
Mantle Transition Zone.
AB - Investigations of the Mantle Transition Zone (MTZ; 410-660 km deep) by
deformation experiments and geophysical methods suggest that the MTZ has distinct
rheological properties, but their exact cause is still unclear due to the lack of
natural samples. Here we present the first direct evidence for crystal-plastic
deformation by dislocation creep in the MTZ using a chromitite from the Luobusa
peridotite (E. Tibet). Chromite grains show exsolution of diopside and SiO2,
suggesting previous equilibration in the MTZ. Electron backscattered diffraction
(EBSD) analysis reveals that olivine grains co-existing with exsolved phases
inside chromite grains and occurring on chromite grain boundaries have a single
pronounced crystallographic preferred orientation (CPO). This suggests that
olivine preserves the CPO of a high-pressure polymorph (wadsleyite) before the
high-pressure polymorph of chromite began to invert and exsolve. Chromite also
shows a significant CPO. Thus, the fine-grained high-pressure phases were
deformed by dislocation creep in the MTZ. Grain growth in inverted chromite
produced an equilibrated microstructure during exhumation to the surface, masking
at first sight its MTZ deformation history. These unique observations provide a
window into the deep Earth, and constraints for interpreting geophysical signals
and their geodynamic implications in a geologically robust context.
PMID- 26563584
TI - Development of Genetic Dereplication Strains in Aspergillus nidulans Results in
the Discovery of Aspercryptin.
AB - To reduce the secondary metabolite background in Aspergillus nidulans and
minimize the rediscovery of compounds and pathway intermediates, we created a
"genetic dereplication" strain in which we deleted eight of the most highly
expressed secondary metabolite gene clusters (more than 244,000 base pairs
deleted in total). This strain allowed us to discover a novel compound that we
designate aspercryptin and to propose a biosynthetic pathway for the compound.
Interestingly, aspercryptin is formed from compounds produced by two separate
gene clusters, one of which makes the well-known product cichorine. This raises
the exciting possibility that fungi use differential regulation of expression of
secondary metabolite gene clusters to increase the diversity of metabolites they
produce.
PMID- 26563585
TI - CalQuo: automated, simultaneous single-cell and population-level quantification
of global intracellular Ca2+ responses.
AB - Detecting intracellular calcium signaling with fluorescent calcium indicator dyes
is often coupled with microscopy techniques to follow the activation state of non
excitable cells, including lymphocytes. However, the analysis of global
intracellular calcium responses both at the single-cell level and in large
ensembles simultaneously has yet to be automated. Here, we present a new software
package, CalQuo (Calcium Quantification), which allows the automated analysis and
simultaneous monitoring of global fluorescent calcium reporter-based signaling
responses in up to 1000 single cells per experiment, at temporal resolutions of
sub-seconds to seconds. CalQuo quantifies the number and fraction of responding
cells, the temporal dependence of calcium signaling and provides global and
individual calcium-reporter fluorescence intensity profiles. We demonstrate the
utility of the new method by comparing the calcium-based signaling responses of
genetically manipulated human lymphocytic cell lines.
PMID- 26563587
TI - A Filled-Honeycomb-Structured Crystal Formed by Self-Assembly of a Janus
Polyoxometalate-Silsesquioxane (POM-POSS) Co-Cluster.
AB - Clusters with diverse structures and functions have been used to create novel
cluster-assembled materials (CAMs). Understanding their self-assembly process is
a prerequisite to optimize their structure and function. Herein, two kinds of
unlike organo-functionalized inorganic clusters are covalently linked by a short
organic tether to form a dumbbell-shaped Janus co-cluster. In a mixed solvent of
acetonitrile and water, it self-assembles into a crystal with a honeycomb
superstructure constructed by hexagonal close-packed cylinders of the smaller
cluster and an orderly arranged framework of the larger cluster. Reconstruction
of these structural features via coarse-grained molecular simulations
demonstrates that the cluster crystallization and the nanoscale phase separation
between the two incompatible clusters synergistically result in the unique nano
architecture. Overall, this work opens up new opportunities for generating novel
CAMs for advanced future applications.
PMID- 26563588
TI - Quasi suppression of higher-order diffractions with inclined rectangular
apertures gratings.
AB - Advances in the fundamentals and applications of diffraction gratings have
received much attention. However, conventional diffraction gratings often suffer
from higher-order diffraction contamination. Here, we introduce a simple and
compact single optical element, named inclined rectangular aperture gratings
(IRAG), for quasi suppression of higher-order diffractions. We show, both in the
visible light and soft x-ray regions, that IRAG can significantly suppress higher
order diffractions with moderate diffraction efficiency. Especially, as no
support strut is needed to maintain the free-standing patterns, the IRAG is
highly advantageous to the extreme-ultraviolet and soft x-ray regions. The
diffraction efficiency of the IRAG and the influences of fabrication constraints
are also discussed. The unique quasi-single order diffraction properties of IRAG
may open the door to a wide range of photonic applications.
PMID- 26563586
TI - Intrinsic challenges in ancient microbiome reconstruction using 16S rRNA gene
amplification.
AB - To date, characterization of ancient oral (dental calculus) and gut (coprolite)
microbiota has been primarily accomplished through a metataxonomic approach
involving targeted amplification of one or more variable regions in the 16S rRNA
gene. Specifically, the V3 region (E. coli 341-534) of this gene has been
suggested as an excellent candidate for ancient DNA amplification and microbial
community reconstruction. However, in practice this metataxonomic approach often
produces highly skewed taxonomic frequency data. In this study, we use non
targeted (shotgun metagenomics) sequencing methods to better understand skewed
microbial profiles observed in four ancient dental calculus specimens previously
analyzed by amplicon sequencing. Through comparisons of microbial taxonomic
counts from paired amplicon (V3 U341F/534R) and shotgun sequencing datasets, we
demonstrate that extensive length polymorphisms in the V3 region are a consistent
and major cause of differential amplification leading to taxonomic bias in
ancient microbiome reconstructions based on amplicon sequencing. We conclude that
systematic amplification bias confounds attempts to accurately reconstruct
microbiome taxonomic profiles from 16S rRNA V3 amplicon data generated using
universal primers. Because in silico analysis indicates that alternative 16S rRNA
hypervariable regions will present similar challenges, we advocate for the use of
a shotgun metagenomics approach in ancient microbiome reconstructions.
PMID- 26563589
TI - [Mineral-based alkaline waters' prescription in France: Patients are the key
point for both nephrologists and urologists].
AB - Alkali therapy is frequently used during chronic kidney disease and
nephrolithiasis: nephrologists and urologists are the key operators. Very few is
known about the underlying conditions of such a prescription: the aim of this
study was to delineate those determinants. We conducted a prospective survey
where French nephrologists and urologists were involved. Responders were without
gender distinction and principally nephrologists. Prescription frequency was
associated with gender (women), specialty (nephrologists), indications and
perceived efficiency. Urologists prescribe more often during nephrolithiasis and
nephrologists during chronic kidney disease. Urologists were more expert (by
scoring on mineral-based alkaline waters compositions knowledge). By multivariate
analysis, prescription frequency is associated with gender (women), indications
and perceived efficiency by prescribers, which is itself influenced by feedback
from patients. These results could have been influenced by a huge representation
of nephrologists but foster physicians to go on listening to feedback from
patients, due to a lack of clinical trials on the efficiency of mineral-based
alkaline waters in such a field. Finally, physicians' education (especially young
nephrologists) on mineral-based alkaline waters should be intensified.
PMID- 26563591
TI - Structural Changes and Aggregation Mechanisms for Anti-Streptavidin IgG1 at
Elevated Concentration.
AB - Non-native protein aggregation may occur during manufacturing and storage of
protein therapeutics, and this may decrease drug efficacy or jeopardize patient
safety. From a regulatory perspective, changes in higher order structure due to
aggregation are of particular interest but can be difficult to monitor directly
at elevated protein concentrations. The present report focuses on non-native
aggregation of antistreptavidin (AS) IgG1 at 30 mg/mL under solution conditions
that prior work at dilute concentrations (e.g., 1 mg/mL) indicated would result
in different aggregation mechanisms. Time-dependent aggregation and structural
changes were monitored in situ with dynamic light scattering, small-angle neutron
scattering, and Raman scattering and ex situ with far-UV circular dichroism and
second-derivative UV spectroscopy. The effects of adding 0.15 M (~5 w/w %)
sucrose were also assessed. The addition of sucrose decreased monomer loss rates
but did not change protein-protein interactions, aggregation mechanism(s), or
aggregate structure and morphology. Consistent with prior results, altering the
pD or salt concentration had the primary effect of changing the aggregation
mechanism. Overall, the results provide a comparison of aggregate structure and
morphology created via different growth mechanisms using orthogonal techniques
and show that the techniques agree at least qualitatively. Interestingly, AS-IgG1
aggregates created at pD 5.3 with no added salt formed the smallest aggregates
but had the largest structural changes compared to other solution conditions. The
observation that the larger aggregates were also those with less structural
perturbation compared to folded AS-IgG1 might be expected to extend to other
proteins if the same strong electrostatic repulsions that mediate aggregate
growth also mediate structural changes of the constituent proteins within
aggregates.
PMID- 26563590
TI - High rate of hypoglycemia in 6770 type 2 diabetes patients with comorbid
dementia: A multicenter cohort study on 215,932 patients from the German/Austrian
diabetes registry.
AB - AIMS: Dementia and type 2 diabetes (T2D) are two major phenomena in older people.
To compare anti-hyperglycemic therapy and diabetes-related comorbidities between
elderly T2D patients with or without comorbid dementia. METHODS: 215,932 type 2
diabetes patients aged >= 40 years (median [Q1;Q3]: 70.4 [61.2;77.7] years) from
the standardized, multicenter German/Austrian diabetes patient registry, DPV,
were studied. To identify patients with comorbid dementia, the registry was
searched by ICD-10 codes, DSM-IV/-5 codes, respective search terms and/or disease
specific medication. For group comparisons, multiple hierarchic regression
modeling with adjustments for age, sex, and duration of diabetes was applied.
RESULTS: 3.1% (n=6770; 57% females) of the eligible T2D patients had clinically
recognized comorbid dementia. After adjustment for demographics, severe
hypoglycemia (insulin group: 14.8 +/- 0.6 vs. 10.4 +/- 0.2 events per 100 patient
years, p<0.001), hypoglycemia with coma (insulin group: 7.6 +/- 0.4 vs. 3.9 +/-
0.1 events per 100 patient-years, p<0.001), depression (9.9 vs. 4.7%, p<0.001),
hypertension (74.7 vs. 72.2%, p<0.001), stroke (25.3 vs. 6.5%, p<0.001), diabetic
foot syndrome (6.0 vs. 5.2%, p=0.004), and microalbuminuria (34.7 vs. 32.2%,
p<0.001) were more common in dementia patients compared to T2D without dementia.
Moreover, patients with dementia received insulin therapy more frequently (59.3
vs. 54.7%, p<0.001), but metabolic control (7.7 +/- 0.1 vs. 7.7 +/- 0.1%) was
comparable to T2D without dementia. CONCLUSIONS: In T2D with dementia, higher
rates of hypoglycemia and other diabetes-related comorbidities were observed.
Hence, the risks of a glucocentric and intense diabetes management with insulin
and a focus on tight glycemic control without considering other factors may
outweigh the benefits in elderly T2D patients with comorbid dementia.
PMID- 26563592
TI - Raman spectroscopy for cancer diagnosis: how far have we come?
AB - Raman spectroscopy is increasingly investigated for cancer diagnosis. As the
potential of the technique is explored and realized, it is slowly making its way
into clinics. There are more reports in recent years showing promise that it can
help clinicians for cancer diagnosis. However, a number of challenges remain to
be overcome, especially in vivo cancer diagnosis. In this article, the recent
progress of the technique toward clinical cancer diagnosis is discussed from a
critical perspective.
PMID- 26563593
TI - Validation of a new method for measuring and continuously monitoring the
efficiency of industrial flares.
AB - A new method has been developed for a direct and remote measurement of industrial
flare combustion efficiency (CE). The method is based on a unique hyper-spectral
or multi-spectral Infrared (IR) imager which provides a high frame rate, high
spectral selectivity and high spatial resolution. The method can be deployed for
short-term flare studies or for permanent installation providing real-time
continuous flare CE monitoring. In addition to the measurement of CE, the method
also provides a measurement for level of smoke in the flare flame regardless of
day or night. The measurements of both CE and smoke level provide the flare
operator with a real-time tool to achieve "incipient smoke point" and optimize
flare performance. The feasibility of this method was first demonstrated in a
bench scale test. The method was recently tested on full scale flares along with
extractive sampling methods to validate the method. The full scale test included
three types of flares - steam assisted, air assisted, and pressure assisted.
Thirty-nine test runs were performed covering a CE range of approximately 60
100%. The results from the new method showed a strong agreement with the
extractive methods (r(2)=0.9856 and average difference in CE measurement=0.5%).
IMPLICATIONS: Because industrial flares are operated in the open atmosphere,
direct measurement of flare combustion efficiency (CE) has been a long-standing
technological challenge. Currently flare operators do not have feedback in terms
of flare CE and smoke level, and it is extremely difficult for them to optimize
flare performance and reduce emissions. The new method reported in this paper
could provide flare operators with real-time data for CE and smoke level so that
flare operations can be optimized. In light of EPA's focus on flare emissions and
its new rules to reduce emissions from flares, this policy-relevant development
in flare CE monitoring is brought to the attention of both the regulating and
regulated communities.
PMID- 26563594
TI - [Advance care planning: Results of implementation program in nursing homes].
PMID- 26563595
TI - The hematopoietic tumor suppressor interferon regulatory factor 8 (IRF8) is
upregulated by the antimetabolite cytarabine in leukemic cells involving the zinc
finger protein ZNF224, acting as a cofactor of the Wilms' tumor gene 1 (WT1)
protein.
AB - The transcription factor interferon regulatory factor-8 (IRF8) is highly
expressed in myeloid progenitors, while most myeloid leukemias show low or absent
expression. Loss of IRF8 in mice leads to a myeloproliferative disorder,
indicating a tumor-suppressive role of IRF8. The Wilms tumor gene 1 (WT1) protein
represses the IRF8-promoter. The zinc finger protein ZNF224 can act as a
transcriptional co-factor of WT1 and potentiate the cytotoxic response to the
cytostatic drug cytarabine. We hypothesized that cytarabine upregulates IRF8 and
that transcriptional control of IRF8 involves WT1 and ZNF224. Treatment of
leukemic K562 cells with cytarabine upregulated IRF8 protein and mRNA, which was
correlated to increased expression of ZNF224. Knock down of ZNF224 with shRNA
suppressed both basal and cytarabine-induced IRF8 expression. While ZNF224 alone
did not affect IRF8 promoter activity, ZNF224 partially reversed the suppressive
effect of WT1 on the IRF8 promoter, as judged by luciferase reporter experiments.
Coprecipitation revealed nuclear binding of WT1 and ZNF224, and by chromatin
immunoprecipitation (ChIP) experiments it was demonstrated that WT1 recruits
ZNF224 to the IRF8 promoter. We conclude that cytarabine-induced upregulation of
the IRF8 in leukemic cells involves increased levels of ZNF224, which can
counteract the repressive activity of WT1 on the IRF8-promoter.
PMID- 26563596
TI - Increased temperature tolerance of the air-breathing Asian swamp eel Monopterus
albus after high-temperature acclimation is not explained by improved
cardiorespiratory performance.
AB - This study investigated the hypothesis that in the Asian swamp eel Monopterus
albus, an air-breathing fish from south-east Asia that uses the buccopharyngeal
cavity for oxygen uptake, the upper critical temperature (TU) is increased by
acclimation to higher temperature, and that the increased TU is associated with
improved cardiovascular and respiratory function. Monopterus albus were therefore
acclimated to 27 degrees C (current average) and 32 degrees C (current maximum
temperature as well as projected average within 100-200 years), and both the
effect of acclimation and acute temperature increments on cardiovascular and
respiratory functions were investigated. Two weeks of heat acclimation increased
upper tolerated temperature (TU ) by 2 degrees C from 36.9 +/- 0.1 degrees C to
38.9 +/- 0.1 degrees C (mean +/- s.e.). Oxygen uptake (MO2) increased with
acclimation temperature, accommodated by increases in both aerial and aquatic
respiration. Overall, MO2 from air (MO2a ) was predominant, representing 85% in
27 degrees C acclimated fish and 80% in 32 degrees C acclimated fish. MO2
increased with acute increments in temperature and this increase was entirely
accommodated by an increase in air-breathing frequency and MO2a . Monopterus
albus failed to upregulate stroke volume; rather, cardiac output was maintained
through increased heart rate with rising temperature. Overall, acclimation of M.
albus to 32 degrees C did not improve its cardiovascular and respiratory
performance at higher temperatures, and cardiovascular adaptations, therefore, do
not appear to contribute to the observed increase in TU.
PMID- 26563597
TI - Accuracy of student performance while reading leveled books rated at their
instructional level by a reading inventory.
AB - Identifying a student's instructional level is necessary to ensure that students
are appropriately challenged in reading. Informal reading inventories (IRIs)
purport to assess the highest reading level at which a student can accurately
decode and comprehend text. However, the use of IRIs in determining a student's
instructional level has been questioned because of a lack of research. The
current study examined the percentage of words read correctly with 64 second- and
third-grade students while reading from texts at their instructional level as
determined by an IRI. Students read for 1 min from three leveled texts that
corresponded to their instructional level as measured by an IRI, and the
percentage of words read correctly was recorded. The percentage read correctly
correlated across the three books from r=.47 to r=.68 and instructional level
categories correlated from tau=.59 to tau=.65. Percent agreement calculations
showed that the categorical scores (frustration, instructional, and independent)
for the three readings agreed approximately 67% to 70% of the time, which
resulted in a kappa estimate of less than .50. Kappa coefficients of .70 are
considered strong indicators of agreement. Moreover, more than half of the
students with the lowest reading skills read at a frustration level when
attempting to read books rated at their instructional level by an IRI. The
current study questions how reliably and accurately IRIs identify students'
instructional level for reading.
PMID- 26563598
TI - Measurement and structural relations of an authoritative school climate model: A
multi-level latent variable investigation.
AB - This study tested a conceptual model of school climate in which two key elements
of an authoritative school, structure and support variables, are associated with
student engagement in school and lower levels of peer aggression. Multilevel
multivariate structural modeling was conducted in a statewide sample of 48,027
students in 323 public high schools who completed the Authoritative School
Climate Survey. As hypothesized, two measures of structure (Disciplinary
Structure and Academic Expectations) and two measures of support (Respect for
Students and Willingness to Seek Help) were associated with higher student
engagement (Affective Engagement and Cognitive Engagement) and lower peer
aggression (Prevalence of Teasing and Bullying) on both student and school levels
of analysis, controlling for the effects of school demographics (school size,
percentage of minority students, and percentage of low income students). These
results support the extension of authoritative school climate model to high
school and guide further research on the conditions for a positive school
climate.
PMID- 26563599
TI - Promoting social-emotional competence: An evaluation of the elementary version of
Second Step(r).
AB - Research has consistently linked social-emotional skills to important educational
and life outcomes. Many children begin their school careers, however, without the
requisite social and emotional skills that facilitate learning, which has
prompted schools nationwide to adopt specific curricula to teach students the
social-emotional skills that enable them to maintain optimal engagement in the
learning process. Second Step(r) is one of the most widely disseminated social
emotional learning (SEL) programs; however, its newly revised version has never
been empirically evaluated. The purpose of this study was to conduct a randomized
controlled trial investigating the impact of the 4th Edition Second Step(r) on
social-behavioral outcomes over a 1-year period when combined with a brief
training on proactive classroom management. Participants were kindergarten to 2nd
grade students in 61 schools (321 teachers, 7300 students) across six school
districts. Hierarchical models (time*condition) suggest that the program had few
main effects from teacher-reported social and behavioral indices, with small
effect sizes. The majority of significant findings were moderated effects, with 8
out of 11 outcome variables indicating the intervention-produced significant
improvements in social-emotional competence and behavior for children who started
the school year with skill deficits relative to their peers. All the significant
findings were based on teacher-report data highlighting a need for replication
using other informants and sources of data. Findings provide program validation
and have implications for understanding the reach of SEL programs.
PMID- 26563600
TI - Life Satisfaction and Academic Performance in Early Adolescents: Evidence for
Reciprocal Association.
AB - Student subjective well-being remains a relatively neglected topic despite its
intimate link to positive school outcomes. As academic achievement is a widely
used yardstick of student success and school accountability, school-based mental
health research and practice have focused primarily on the assessment and
treatment of learning and behavioral problems. This short-term longitudinal study
sought to establish the role of student subjective well-being, specifically,
global life satisfaction (LS), in academic achievement. Based on the engine model
of well-being (Jayawickreme, Forgeard, & Seligman, 2012), the study focused on LS
as a process variable and academic performance as an outcome variable and vice
versa. Using two waves (five months apart) of data, the study examined the
reciprocal relations between LS and academic achievement, and how the relations
may be shaped by positive and negative affective experiences in school, in a
sample of 821 middle school students. Results revealed positive reciprocal causal
relations between students' LS and grades, even when demographic covariates,
school-based positive and negative affect, and baseline values of the criterion
variables were controlled. This study provides empirical support that LS does not
undermine academic achievement (or vice versa), but rather it is synergistic with
better school grades. Furthermore, the relations between students' LS and grades
were not moderated by negative or positive affective experiences in school. These
findings suggest that student LS should occupy a more prominent niche in the
school agenda.
PMID- 26563601
TI - Effect of school belonging trajectories in grades 6-8 on achievement: Gender and
ethnic differences.
AB - This study investigated the association between trajectories of school belonging
across grades 6-8 and academic achievement in grade 8 in an ethnically diverse
sample of 527 academically at-risk adolescents. Students reported annually on
school belonging. Reading and math achievement were assessed at grade 5
(baseline) and grade 8. Interactive effects of gender and ethnicity were found in
the conditional growth models for school belonging. Girls of all ethnicities had
identical growth trajectories and reported higher initial school belonging than
Euro-American or Latino boys. Latino and Euro-American males had lower initial
level of school belonging than African American males, and Latino males had lower
growth in school belonging than Euro-American males. In structural equation
modeling (SEM) analyses, initial level of school belonging predicted grade 8
reading for girls and grade 8 math for boys and girls, above prior achievement
and school and child covariates, but growth in school belonging predicted grade 8
achievement only for African American students. Implications for strategies to
improve school belonging among academically at-risk youth are discussed.
PMID- 26563602
TI - Multiplexed MALDI-MS arrays for screening of MIP solid phase extraction
materials.
AB - Technology that facilitates rapid investigation of solid phase extraction
protocols using very small amounts of sorbent can save both time and money. The
microfabricated ISET (Integrated Selective Enrichment Target) interfaced with
MALDI mass spectrometry is able to provide an efficient, economic and generic
optimization process for SPE sample preparation. The SPE is performed in a rapid
and parallel fashion, with a processing time off only 2h per ISET with 96
samples. Each of the 96 wells on the ISET can hold 600nL of SPE sorbent. The
ability to work with small amounts of sorbent and samples in the ISET platform
provides a big advantage when developing affinity sorbents, such as molecularly
imprinted polymers (MIPs). Here it is demonstrated that an amount of 25mg
phosphoserine imprinted MIP (pS-MIP) sorbent can allow for analysis of more than
500 ISET nanovials using a multitude of different conditions. In the presented
case, the multiplexed experiments allowed for early discovery of unspecific
interactions and subsequent minimization of these, resulting in a protocol that
provided improved enrichment of phosphopeptides.
PMID- 26563603
TI - Transorbital neuroendoscopic surgery for the treatment of skull base lesions.
AB - Transorbital neuroendoscopic surgery (TONES) is a relatively new technique that
not only allows access to the contents of the orbit but also the intracranial
compartment, including the anterior cranial fossa, middle fossa and lateral
cavernous sinus. In this study, we aimed to retrospectively review the largest
experience to our knowledge with regards to surgical outcomes of skull base
pathologies treated with a TONES procedure. Forty patients (aged 3-89 years)
underwent 45 TONES procedures between the years of 2006-2013. Pathologies were
cerebrospinal fluid leak repair (n=16), traumatic fracture (n=8), tumor (n=11),
meningoencephalocele (n=5), hematoma (n=1), and infection (n=4). Three patients
had a persistent complication at 3 months, including a case each of enophthalmos
(unnoticed by patient), epiphora (delayed presentation at 2 months requiring
dacryocystorhinostomy), and ptosis (improved at 1 year). Surgical success was
achieved in all patients. Of special import, there were no cases of visual
decline, diplopia, or stroke. There was no mortality. To our knowledge this is
the first study and largest experience of TONES (level 4 evidence) to detail
outcomes with respect to skull base pathologies. Our results indicate that TONES
procedures can be performed with minimal morbidity. Further studies are needed to
assess equivalency with craniotomy based approaches though this initial report is
encouraging.
PMID- 26563604
TI - Intradural chordoma presenting with intratumoral bleeding.
AB - Intradural clival chordomas are very rare, and only 29 cases have been reported
to our knowledge. They arise purely intradurally without bone or dural
involvement and may differ from classic clival chordomas in physiopathology and
management. We present a 28-year-old woman who presented with intradural clival
chordoma and tumoral bleeding. After initial gross macroscopic surgical
resection, she presented with tumor recurrence after 2 years, again with
intratumoral bleeding. Although usually considered to have a more favorable
prognosis in comparison to typical chordomas, intradural chordomas appear to
behave as typical chordomas. Intratumoral bleeding may be a sign of an aggressive
lesion and risk of recurrence. We highlight the differential diagnosis of
intrinsic posterior fossa bleeding, especially in young patients. Intradural
chordomas may be underdiagnosed and incorrectly treated as other types of
parenchymal hemorrhage.
PMID- 26563605
TI - What influences the age of menopause?
PMID- 26563606
TI - Is the association between insulin resistance and diabetogenic haematopoietically
expressed homeobox (HHEX) polymorphism (rs1111875) affected by polycystic ovary
syndrome status?
AB - Polycystic ovary syndrome (PCOS) is frequently accompanied by insulin resistance
(IR). The aim of the present study was to investigate whether the genetic
association between insulin resistance and two single nucleotide polymorphisms
(SNPs), namely rs7903146 (C/T) in transcription factor 7-like 2 (TCF7L2) and
rs1111875 (A/G) in haematopoietically expressed homeobox (HHEX), is affected by
PCOS status in Iranian women. The study participants consisted of 582 women with
PCOS (cases) referred to the Reproductive Endocrinology Research Center and 504
subjects without PCOS (controls), randomly selected from the Tehran Lipid and
Glucose Study. Cases and controls were further subdivided to two groups according
to IR status: those with and without IR. IR was identified on the basis of
homeostasis model assessment of insulin resistance (HOMA-IR) >=2.63. The SNPs in
TCF7L2 and HHEX were genotyped by polymerase chain reaction-restriction fragment
length polymorphism. There were no significant differences in the distribution of
genotypes and alleles between cases and controls (P<0.05). Among cases, the
prevalence of the CC, CT and TT genotypes was 37.8%, 46.3% and 15.9%,
respectively, whereas the prevalence of the AA, AG and GG genotypes was 13.5%,
46.1% and 40.4%, respectively. In the control group, the prevalence of the CC, CT
and TT genotypes was 32.2%, 53.9% and 13.9%, respectively, whereas the prevalence
of the AA, AG and GG genotypes was 11.3%, 48.6% and 40.0%, respectively. After
adjustment for age and body mass index, the probability of IR was decreased by
49% among carriers of the A allele in the control group (95% confidence interval
0.33-0.78; P=0.002). The findings of the present study suggest that the
association between IR and diabetogenic polymorphisms may be affected by PCOS
status.
PMID- 26563607
TI - Empowerment of young people who have a parent living with dementia: a social
model perspective.
AB - BACKGROUND: Socially constructed disablement has marginalized young people in
families where a parent has younger onset dementia (YOD). This has contributed to
inadequate societal support for their complex situation. Impacts on such young
people include significant involvement with mental health services for
themselves. In this paper, we explored the young people's lived experiences in
these families and the influencing factors to enable these young people to be
included and supported within their community. METHODS: In this qualitative
research study, the social model of disability was used as the theoretical
framework in conducting a thematic analysis of interviews with 12 participants.
RESULTS: Three themes emerged; invisibility highlighting the issues of
marginalization; connectivity foregrounding the engagement of young people with
family, friends and their social networks, and being empowered through claiming
their basic human right to receive the age appropriate support they needed.
CONCLUSION: The current plight of young people living with a parent with YOD
demands a fundamental shift by society in developing inclusive cross-sectorial
cooperation linking service providers across youth and dementia sectors. This
requires working in partnership with the service users responding to the
identified needs of individual family members.
PMID- 26563608
TI - Impact of preoperative continuous femoral blockades on morphine consumption and
morphine side effects in hip-fracture patients: A randomized, placebo-controlled
study.
AB - BACKGROUND: Upon arrival at the emergency department, hip-fracture pain relief is
usually carried out via systemic opioids. Continuous nerve blocks are efficient
in the postoperative period, but have not been evaluated preoperatively. This
study compared the reduction in morphine consumption and related side effects of
a continuous femoral block with a single shot block in hip-fracture patients.
METHODS: Hip-fracture patients admitted to the emergency department received a
femoral nerve catheter, with a single lidocaine injection. They were then
randomized to ropivacaine (group R) or saline continuous infusion (placebo, group
P) in a double-blind manner. Morphine consumption and side effects were
prospectively collected until the 24th postoperative hour. RESULTS: Sixty
patients were included and 55 analyzed. There were no significant differences
between the 2 groups regarding fracture types, delay before surgery (median [Q1
Q3]: 21.3 [14.5-29.4] versus 20.8 [15.7-36.2] hours for groups R and P,
respectively; P=0.87) and catheter duration (47.5 [39.8-52.4] versus 42.5 [32.1
50.5] hours, P=0.29). Total morphine consumption was not significantly decreased
in group R (5 [0-14] versus 8 [4.5-11] mg, P=0.3) and pain scores were similar
(mean+/-SD; VAS 29+/-15/100 versus 33+/-13, P=0.3). We observed a significant
reduction in morphine adverse effects (31% versus 69% for groups R and P,
respectively; P<0.01), mainly nausea (31% versus 59%, P=0.03). One morphine side
effect could be avoided for every 5 patients treated. CONCLUSION: Preoperative
continuous femoral blockades using ropivacaine reduce morphine side effects
(mainly nausea) in hip-fracture patients without reducing morphine consumption.
PMID- 26563609
TI - [1,4]-sigmatropic rearrangement of chiral nitrones and their utilization in the
synthesis of new iminosugars.
AB - Reflection on the epimerization of the alpha-stereocenter of sugar nitrones leads
to the conclusion that the process may occur through [1,4]-sigmatropic
rearrangement. Participation of an ionic mechanism was excluded by a deuterium
labeling experiment, and DFT calculations showed a reasonable energy barrier for
the proposed [1,4]-shift. Products of the intramolecular 1,3-dipolar
cycloaddition of the studied nitrones were utilized in the diversity-oriented
synthesis of polyhydroxy derivatives of piperidine, indolizidine and
quinolizidine. Minimal activity against the screened glucosidases and human
melanoma cell lines was observed for some of the obtained compounds.
PMID- 26563610
TI - Dynamic interplay of multidrug transporters with TolC for isoprenol tolerance in
Escherichia coli.
AB - Engineering of efflux pumps is a promising way to improve host's tolerance to
biofuels such as medium-chain alcohols (CmOHs); however, this strategy is
restricted by poor understanding of the efflux pumps engaged in extrusion of
solvents. In this study, several Escherichia coli mutants of multidrug
transporters were evaluated for isoprenol tolerance. Susceptible phenotypes were
observed in the mutants with individual deletion of six transporters, AcrD,
EmrAB, MacAB, MdtBC, MdtJI and YdiM, whereas inactivation of AcrAB transporter
resulted in an improved tolerance to isoprenol and other CmOHs. AcrAB is the
major transporter forming tripartite transperiplasmic complex with outer membrane
channel TolC for direct extrusion of toxic molecules in E. coli. The AcrAB
inactivation enables to enhance TolC availability for the multidrug transporters
associated with extrusion of CmOHs and increase the tolerance to CmOHs including
isoprenol. It is assumed that outer membrane channel TolC plays an important role
in extrusion of isoprenol and other CmOHs.
PMID- 26563611
TI - Is inefficient cognitive processing in anorexia nervosa a familial trait? A
neuropsychological pilot study of mothers of offspring with a diagnosis of
anorexia nervosa.
AB - OBJECTIVES: Inefficient set shifting and poor global processing are thought to be
possible traits in anorexia nervosa (AN). This study aimed to investigate the
neuropsychological processing style of unaffected mothers of offspring with AN
(unaffected AN mothers). METHODS: The performance of 21 unaffected AN mothers
were compared to 20 mothers of healthy control offspring on neuropsychological
measures of set shifting (Wisconsin Card Sorting Test, WCST) and central
coherence (Fragmented Pictures Task, FPT, and Rey Osterrieth Complex Figures
Task, ROCFT). Associations between neuropsychological performance and clinical
measures were examined in the unaffected AN mothers group. RESULTS: There were
significant differences in perseverative errors on the WCST (P<=0.01), with the
unaffected mothers displaying a more inflexible thinking style compared to the
control group. There were also significant differences on the FPT (P <= 0.01) and
the ROCFT (P <= 0.01), whereby unaffected AN mothers showed lower levels of
global processing. CONCLUSIONS: The results of this study support the idea of the
familial nature of cognitive styles in AN. The implications of these findings are
discussed.
PMID- 26563613
TI - [Economic evaluation studies in diagnostic imaging: justification and critical
reading].
AB - First, this article describes the concepts and tools most widely used for
economic evaluation in healthcare. Second, it discusses some elements that must
be taken into account in the social decision about how much we are willing to
spend to prolong a person's life by one year. Third, it describes the criteria
recommended for the critical analysis of publications that evaluate the economic
aspects of health interventions. Finally, several studies about ultrasound
screening for aneurysms of the abdominal aorta are used as illustrative examples
to show how these elements and criteria can be applied.
PMID- 26563612
TI - Serum amyloid A inhibits RANKL-induced osteoclast formation.
AB - When mouse bone marrow-derived macrophages were stimulated with serum amyloid A
(SAA), which is a major acute-phase protein, there was strong inhibition of
osteoclast formation induced by the receptor activator of nuclear factor kappaB
ligand. SAA not only markedly blocked the expression of several osteoclast
associated genes (TNF receptor-associated factor 6 and osteoclast-associated
receptor) but also strongly induced the expression of negative regulators (MafB
and interferon regulatory factor 8). Moreover, SAA decreased c-fms expression on
the cell surface via shedding of the c-fms extracellular domain. SAA also
restrained the fusion of osteoclast precursors by blocking intracellular ATP
release. This inhibitory response of SAA is not mediated by the well-known SAA
receptors (formyl peptide receptor 2, Toll-like receptor 2 (TLR2) or TLR4). These
findings provide insight into a novel inhibitory role of SAA in
osteoclastogenesis and suggest that SAA is an important endogenous modulator that
regulates bone homeostasis.
PMID- 26563614
TI - SOD1 in neurotoxicity and its controversial roles in SOD1 mutation-negative ALS.
AB - Amyotrophic lateral sclerosis (ALS) is a serious neurodegenerative disorder that
is characterized by the selective death of motor neurons. While the fundamental
cause of the disorder is still unclear, the first identified risk gene, Cu,Zn
superoxide dismutase (SOD1), has led to the proposal of several mechanisms that
are relevant to its pathogenesis. These include excitotoxicity, oxidative stress,
ER stress, mitochondrial dysfunction, axonal transport disruption, prion-like
propagation, and non-cell autonomous toxicity of neuroglia. Recent evidence
suggests that the toxicity of the misfolded wild-type SOD1 (SOD1(WT)) is involved
in the pathogenesis of sporadic cases. Yet to what extent SOD1 contributes to
neurotoxicity in ALS cases generally is unknown. This review discusses the toxic
mechanisms of mutant SOD1 (SOD1(mut)) and misfolded SOD1(WT) in the context of
ALS as well as the potential implication of these mechanisms in SOD1 mutation
negative ALS.
PMID- 26563615
TI - Visualization of polymer relaxation in viscoelastic turbulent micro-channel flow.
AB - In micro-channels, the flow of viscous liquids e.g. water, is laminar due to the
low Reynolds number in miniaturized dimensions. An aqueous solution becomes
viscoelastic with a minute amount of polymer additives; its flow behavior can
become drastically different and turbulent. However, the molecules are typically
invisible. Here we have developed a novel visualization technique to examine the
extension and relaxation of polymer molecules at high flow velocities in a
viscoelastic turbulent flow. Using high speed videography to observe the
fluorescein labeled molecules, we show that viscoelastic turbulence is caused by
the sporadic, non-uniform release of energy by the polymer molecules. This
developed technique allows the examination of a viscoelastic liquid at the
molecular level, and demonstrates the inhomogeneity of viscoelastic liquids as a
result of molecular aggregation. It paves the way for a deeper understanding of
viscoelastic turbulence, and could provide some insights on the high Weissenberg
number problem. In addition, the technique may serve as a useful tool for the
investigations of polymer drag reduction.
PMID- 26563616
TI - Identification of microRNAs and their corresponding targets involved in the
susceptibility interaction of wheat response to Puccinia striiformis f. sp.
tritici.
AB - MicroRNAs (miRNAs) play very important roles in plant defense responses. However,
little is known about their roles in the susceptibility interaction between wheat
and Puccinia striiformis f. sp. tritici (Pst). In this study, two miRNA libraries
were constructed from the leaves of the cultivar Xingzi 9104 inoculated with the
virulent Pst race CYR32 and sterile water, respectively. A total of 1316 miRNA
candidates, including 173 known miRNAs that were generated from 98 pre-miRNAs,
were obtained. The remaining 1143 miRNA candidates included 145 conserved and 998
wheat-specific miRNAs that were generated from 87 and 1088 pre-miRNAs,
respectively. The 173 known and 145 conserved miRNAs were sub-classified into 63
miRNA families. The target genes of wheat miRNAs were also confirmed using
degradome sequencing technology. Most of the annotated target genes were related
to signal transduction or energy metabolism. Additionally, we found that miRNAs
and their target genes form complicated regulation networks. The expression
profiles of miRNAs and their corresponding target genes were further analyzed by
quantitative real-time polymerase chain reaction (qRT-PCR), and the results
indicate that some miRNAs are involved in the compatible wheat-Pst susceptibility
interaction. Importantly, tae-miR1432 was highly expressed when wheat was
challenged with CYR32, and the corresponding target gene, predicted to be a
calcium ion-binding protein, also exhibited upregulated expression but a
divergent expression trend. PC-3P-7484, a specific wheat miRNA, was highly
expressed in the wheat response to Pst infection, while the expression of the
corresponding target gene ubiquillin was dramatically downregulated. These data
provide the foundation for evaluating the important regulatory roles of miRNAs in
wheat-Pst susceptibility interaction.
PMID- 26563617
TI - Reciprocal preening and food sharing in colour-polymorphic nestling barn owls.
AB - Barn owl (Tyto alba) siblings preen and offer food items to one another,
behaviours that can be considered prosocial because they benefit a conspecific by
relieving distress or need. In experimental broods, we analysed whether such
behaviours were reciprocated, preferentially exchanged between specific
phenotypes, performed to avoid harassment and food theft or signals of hierarchy
status. Three of the results are consistent with the hypothesis of direct
reciprocity. First, food sharing was reciprocated in three-chick broods but not
in pairs of siblings, that is when nestlings could choose a partner with whom to
develop a reciprocating interaction. Second, a nestling was more likely to give a
prey item to its sibling if the latter individual had preened the former. Third,
siblings matched their investment in preening each other. Manipulation of age
hierarchy showed that food stealing was directed towards older siblings but was
not performed to compensate for a low level of cooperation received. Social
behaviours were related to melanin-based coloration, suggesting that animals may
signal their propensity to interact socially. The most prosocial phenotype
(darker reddish) was also the phenotype that stole more food, and the effect of
coloration on prosocial behaviour depended upon rank and sex, suggesting that
colour-related prosociality is state dependent.
PMID- 26563619
TI - [The Effect of Tadalafil on Sexual Function, Urinary Function and Health-Related
Quality of Life in Patients Treated with Brachytherapy].
AB - OBJECTIVES: We compared sexual function by the expanded prostate cancer index
composite (sexual domains of EPIC), health-related quality of life (SF-8), and
International Prostate Symptom Score (I-PSS) inpatients using tadalafil after
prostate brachytherapy (PB). Forty-five patients who underwent PB between April
2011 and January 2014 were included in this study. Patients were divided into the
tadalafil (20 mg,once/week or once/two weeks) treated and non-treated (NT)
groups. Sexual function was assessed prior to PB treatment and followed up to 24
weeks after PB. SF-8, sexual domains of EPIC, IPSS and subjective symptoms were
assessed pre-PB and at 4, 8, 16, and 24 weeks post-PB. Patients in the tadalafil
group achieved higher sexual function scores compared to NT group at all time
points. For SF8, the patients in the tadalafil group significantly improved in
mental health by the eighth week, and significantly worsened in the NT group (8 w
; p = 0.04). The voiding domains of EPIC score were found to worsen significantly
after 4 weeks from PB in both groups, but the score tended to improve over 24
weeks. There was no significant difference between two groups. The I-PSS total
score was found to worsen significantly in both groups post-PB, but the tadalafil
group had a tendency to worsen less. PB treatment of localized prostate cancer is
preferred for the preservation of sexual function. Management of sexual
dysfunction with tadalafil after PB does not worsen sexual functions. We
concluded that tadalafil might be applicable to mental health care in the
treatment of patients with a high interest in sexual function before PB.
PMID- 26563618
TI - Environmental boundaries as a mechanism for correcting and anchoring spatial
maps.
AB - Ubiquitous throughout the animal kingdom, path integration-based navigation
allows an animal to take a circuitous route out from a home base and using only
self-motion cues, calculate a direct vector back. Despite variation in an
animal's running speed and direction, medial entorhinal grid cells fire in
repeating place-specific locations, pointing to the medial entorhinal circuit as
a potential neural substrate for path integration-based spatial navigation.
Supporting this idea, grid cells appear to provide an environment-independent
metric representation of the animal's location in space and preserve their
periodic firing structure even in complete darkness. However, a series of recent
experiments indicate that spatially responsive medial entorhinal neurons depend
on environmental cues in a more complex manner than previously proposed. While
multiple types of landmarks may influence entorhinal spatial codes, environmental
boundaries have emerged as salient landmarks that both correct error in
entorhinal grid cells and bind internal spatial representations to the geometry
of the external spatial world. The influence of boundaries on error correction
and grid symmetry points to medial entorhinal border cells, which fire at a high
rate only near environmental boundaries, as a potential neural substrate for
landmark-driven control of spatial codes. The influence of border cells on other
entorhinal cell populations, such as grid cells, could depend on plasticity,
raising the possibility that experience plays a critical role in determining how
external cues influence internal spatial representations.
PMID- 26563620
TI - [A Case of Retroperitoneal Tumor in the Adrenal Area Turned Out to Be Schwannoma
Mimicking an Adrenal Malignant Tumor].
AB - A 76-year-old woman was found to have bilateral suprarenal tumors, 6.5 cm in
diameter on the right side, and 2.4 cm in diameter on the left side, by
ultrasonography, computed tomography, and magnetic resonance imaging. Strong
accumulation of fluorodeoxyglucose in these tumors was found on positron emission
tomography. Since it mimicked an adrenal malignant tumor, we performed right
adrenalectomy. The pathological diagnosis of the removed mass was benign
schwannoma, consistent of the Antoni type A. The left suprarenal tumor was not
removed and she has been followed up for 18 months without any recurrence or
tumor increase.
PMID- 26563621
TI - [A Case of renal Lymphangiectasia with a Follow-Up Duration of Twelve Years].
AB - Renal lymphangiectasia is a rare disorder of renal lymphatics, which is not well
known in terms of its natural history. A 54-year-old woman without any symptoms
was referred to our department for huge cystic lesions surrounding bilateral
kidneys. Imaging examinations with ultrasonography, and computed tomography
suggested renal lymphangiectasia of bilateral kidneys. These cystic lesions were
increased in size 12 years later, compared with previous magnetic resonance
imaging. This finding suggested the slow growing nature of renal
lympahngioectasia.
PMID- 26563622
TI - [Two Cases of Intrapelvic Schwannoma].
AB - A 67-year-old man (case 1) and 65-year-old man (case 2) were incidentally found
to have a tumor in the retrovesical region. Computed tomography and magnetic
resonance imaging demonstrated a solid tumor at the right side of the rectum. 2
Deoxy-2-[fluorine-18] fluoro-D-glucose (FDG) -positron emission tomography
revealed uptake at the tumor. Both patients were cured by tumor resection.
Histological diagnosis was benign schwannoma. In case 2, it was difficult to
diagnose the tumor as schwannoma by imaging studies. We made a histological
diagnosis by transrectal needle biopsy of the tumor before the operation.
Schwannoma is located mostly in the limbs and the head and neck, but rarely in
the intrapelvic cavity. We expect that the transrectal needle biopsy is valuable
for diagnosing the retrovesical tumor.
PMID- 26563623
TI - [A Case of Holmium: YAG Laser Resection of Superficial Bladder Tumor (HoLRBT)].
AB - We present a case of holmium : YAG laser resection of superficial bladder tumor
(HoLRBT). A 73-year-old male was referred to our hospital with elevated prostatic
specific antigen. Due to difficulty of urination, holmium : YAG laser enucleation
of the prostate was performed under the diagnosis of benign prostatic
hyperplasia. During the surgery, superficial bladder tumor was incidentally
identified, and HoLRBT was performed. After the operation, histopathological
examination revealed urothelial carcinoma, G2 > G1, pTa. The patient has been
subsequently followed up for 9 months, and there areno evidence of recurrence.
Changing the holmium : YAG laser energy setting can potentially be effective and
safe to approach a superficial bladder tumor.
PMID- 26563624
TI - [The Combination Therapy of Gemcitabine Plus Paclitaxel Induced Complete Response
in a Tongue Skin Brain Metastasis of Bladder Carcinoma: A Case Report].
AB - Tongue, skin and brain metastases of bladder cancer are very rare and few cases
have been reported. We report a case of tongue, skin and brain metastases of
bladder cancer. A 61-year-old woman was referred to our hospital with gross
hematuria. Transurethral resection of the bladder (TURBT), tongue biopsy and skin
biopsy were performed. Pathological findings showed urothelial carcinoma, G2,
micro papillary variant, pT2> and tongue and skin metastases from urothelial
carcinoma of bladder. After three cycles of chemotherapy (gemcitabine plus
paclitaxel), tongue and skin metastases disappeared. Cystoscopy revealed no tumor
of bladder. Eleven months later, she was admitted to our hospital because of
disturbance of consciousness. Magnetic resonance imaging (MRI) showed multiple
brain metastases. Rechallenge of chemotherapy (gemcitabine plus paclitaxel)
restored from disturbance of consciousness and MRI showed partial response of
brain metastases. We performed six additional courses of chemotherapy. Skin,
tongue and brain metastases from bladder cancer indicate poor risk. Chemotherapy
(gemcitabine plus paclitaxel)could be effective against these matastases.
PMID- 26563625
TI - [Traumatic Testicular Rupture Complicated with Hydrocele: A Case Report].
AB - A 17-year-old man presented with right hydrocele because of an athletic injury.
His scrotum was hit with a ball 2 months ago while playing baseball. He was
diagnosed with post-traumatic hydrocele and underwent needle puncture at another
hospital 1 month after the trauma. However, the hydrocele did not improve.
Therefore, he was referred to our hospital for surgical treatment. For diagnosis
of the traumatic hydrocele testis, a hydrocelectomy was scheduled. When we opened
the tunica vaginalis, we realized that the tunica albuginea had been ruptured and
the testicular parenchyma had gushed out. We tried to replace all the escaped
testicular parenchyma into the tunica albuginea, but it was impossible. Therefore
were moved some of the redundant testicular parenchyma, and replaced the remnants
into the tunica albuginea. After the operation, right hydrocele and testicular
atrophy did not occur. Traumatic testicular rupture complicated with hydrocele is
rare.
PMID- 26563626
TI - Timing of episiotomy and outcome of a non-instrumental vaginal delivery.
AB - INTRODUCTION: The aim of this study was to compare to compare immediate delivery
outcome as well as healing, pain, anal incontinence and sexuality in a short-term
and a long-term follow up after episiotomy performed before or at crowning in
nulliparous women. MATERIAL AND METHODS: This cohort study is a comparison of
prospectively collected data evaluating the importance of the timing of
episiotomy. Patients with episiotomy performed before crowning (n = 86) and at
crowning (n = 404) were compared. Obstetric anal sphincter injuries rate,
additional perineal or vaginal trauma, neonatal outcome, episiotomy length, 2nd
stage of labor duration, blood loss, infection, hematoma, dehiscence, need for
resuturing, pain, painful defecation, resumption of sexual intercourse,
dyspareunia, anal incontinence and constipation were assessed immediately after
delivery or from responses to questionnaires 24 and 72 h, 10 days, 3 and 6 months
postpartum. RESULTS: The groups did not differ in age, body mass index,
birthweight, occipito-posterior presentation, shoulder dystocia, or episiotomy
type. Significant differences between before crowning and at crowning groups were
observed in additional vaginal trauma [26 (30.2%) vs. 66 (16.3%), respectively, p
< 0.001], mean episiotomy length (42 mm vs. 36 mm, p < 0.001), and mean estimated
blood loss (367 mL vs. 344 mL, p < 0.001). Difference in obstetric anal sphincter
injuries rate did not reach statistical significance [0 (0.0%) vs. 7 (1.7%), p =
0.61]. The groups did not differ in additional perineal trauma, pain (Visual
Analogue Scale, Verbal Rating Scale and Activities of Daily Living scales),
healing complications, sexual functions or anal incontinence in short-term or
long-term follow up. CONCLUSIONS: Our results suggest that episiotomy performed
at crowning is not associated with worse anatomical or functional delivery
outcome, and support a restrictive approach to episiotomy. The effect of
episiotomy timing on pelvic organ prolapse development remains to be determined.
PMID- 26563627
TI - Highly Diastereoselective Synthesis of Methylenecyclobutanes by Merging Boron
Homologation and Boron-Allylation Strategies.
AB - A highly diastereoselective synthesis of methylenecyclobutanes possessing a
quaternary stereocenter is reported, in which boron homologation of an easily
generated cyclobutenylmetal species is performed, followed by an allylation
reaction. Combining three steps in a one-pot process further optimized the
method, which afforded the expected adducts in excellent yields and
stereoselectivity, starting from commercially available 4-bromobutyne.
PMID- 26563628
TI - Photoinduced Dynamics and Toxicity of a Cancer Drug in Proximity of Inorganic
Nanoparticles under Visible Light.
AB - Drug sensitization with various inorganic nanoparticles (NPs) has proved to be a
promising and an emergent concept in the field of nanomedicine. Rose bengal (RB),
a notable photosensitizer, triggers the formation of reactive oxygen species
under green-light irradiation, and consequently, it induces cytotoxicity and cell
death. In the present study, the effect of photoinduced dynamics of RB upon
complexation with semiconductor zinc oxide NPs is explored. To accomplish this,
we successfully synthesized nanohybrids of RB with ZnO NPs with a particle size
of 24 nm and optically characterized them. The uniform size and integrity of the
particles were confirmed by high-resolution transmission electron microscopy.
UV/Vis absorption and steady-state fluorescence studies reveal the formation of
the nanohybrids. ultrafast picosecond-resolved fluorescence studies of RB-ZnO
nanohybrids demonstrate an efficient electron transfer from the photoexcited drug
to the semiconductor NPs. Picosecond-resolved Forster resonance energy transfer
from ZnO NPs to RB unravel the proximity of the drug to the semiconductor at the
molecular level. The photoinduced ROS formation was monitored using a
dichlorofluorescin oxidation assay, which is a conventional oxidative stress
indicator. It is observed that the ROS generation under green light illumination
is greater at low concentrations of RB-ZnO nanohybrids compared with free RB.
Substantial photodynamic activity of the nanohybrids in bacterial and fungal cell
lines validated the in vitro toxicity results. Furthermore, the cytotoxic effect
of the nanohybrids in HeLa cells, which was monitored by MTT assay, is also
noteworthy.
PMID- 26563629
TI - Effects of Myoga on Memory and Synaptic Plasticity by Regulating Nerve Growth
Factor-Mediated Signaling.
AB - The flower bud of Zingiber mioga Roscoe, known as 'myoga' or Japanese ginger, has
a pungent aroma and is commonly consumed as a spice, with pickles, or as a health
supplement in Eastern Asia. Here, we evaluated the activity of myoga in the
brain, focusing especially on nerve growth factor (NGF), which is believed to
mediate synaptic plasticity, supporting learning and memory. In a rat primary
hippocampal astrocyte culture system, treatment with myoga extract for 24 h
significantly stimulated the production of NGF. In mice administered myoga
extract for 14 days, 200 and 400 mg/kg/day treatment resulted in increased NGF
levels in the hippocampus. Myoga extract treatment also regulated the
phosphorylation of extracellular signal-regulated kinases and cAMP response
element-binding protein in the mouse hippocampus, leading to increased synaptic
plasticity. In addition, it significantly increased novel object recognition time
and spontaneous alternation, indicating improvement in learning and memory. These
results suggest that myoga helps regulate NGF and synaptic plasticity, increasing
memory ability.
PMID- 26563630
TI - How to integrate research evidence on patient preferences in pharmaceutical
coverage decisions and clinical practice guidelines: A qualitative study among
Dutch stakeholders.
AB - Despite the increasing number of research publications on patient preferences,
their use in healthcare policy-making is limited. Integrating research evidence
on patient preferences in policy-making is advocated by some, but several issues
are put forward as well. There has been no systematic investigation of the
stakeholders' view on this matter so far. Objective is to explore the opinions of
Dutch stakeholders on how to integrate evidence on patient preferences in
pharmaceutical coverage decisions and clinical practice guideline (CPG)
development, and which issues may be encountered. METHODS: Qualitative study with
semi-structured interviews with Dutch researchers (N=7), policy-makers and CPG
developers (N=4) and patient representatives (N=4) involved in pharmaceutical
coverage decisions and/or CPG development. The interview scheme focused on the
definition of patient preferences; how to integrate evidence on patient
preferences in decision-making; and barriers and facilitators. RESULTS:
Respondents mentioned various barriers and facilitators for integration, of
conceptual, normative, procedural, methodological and practical nature. There is
also variety in the terms and definitions used for preferences, complicating
searching and synthesising evidence. It is not clear how to integrate evidence on
patient preferences in different decision contexts, and what weight preferences
should have in relation to other decision criteria. CONCLUSIONS: This study
revealed important issues that need guidance when integrating evidence on patient
preferences in healthcare policy decisions.
PMID- 26563631
TI - Explaining inequalities in Health Care Utilization among Turkish adults: Findings
from Health Survey 2008.
AB - BACKGROUND: Turkish health system showed major improvements in health outcomes
since initiation of the Health Transition Programme (HTP) in 2003, however little
is known regarding income-related inequalities in health care use. The aim of
this study was to assess horizontal inequities in health care use in Turkey.
METHODS: We used the data from Turkish Health Survey 2008 with 14,655
respondents. We calculated concentration index (C) and horizontal inequity index
(HI) to measure the socioeconomic inequalities in utilization of general
practitioner (GP) care, specialist care, inpatient care, dental care and
emergency care. Contributions of each factor to the observed inequality in health
care utilization were assessed through decomposition method. RESULTS: There was a
significant pro-rich inequality in specialist care and oral health care
utilization among individuals as indicated by positive values of HI (=0.1149) and
HI (=0.1137), respectively. However, the poor were more likely to utilize
emergency care (HI=-0.0461) and inpatient care (HI=-0.0731). GP care was also
slightly pro-poor distributed (HI=-0.0042). CONCLUSION: Pro-poor income-related
inequalities in health care use were largely explained by greater health care
need among low income groups, while non-need factors were the main determinants
for pro-rich utilization (education, residence area). Inequalities in dental and
specialist care linked to low income, low education level and rural areas should
be given priority by decision makers to reduce the negative impact of utilization
on health. Our results provide some evidence of inequity in 2008, after the
introduction of HTP and provide a baseline against which the effects of the new
reforms can be assessed.
PMID- 26563632
TI - Valuing technology: A qualitative interview study with physicians about insulin
pump therapy for children with type 1 diabetes.
AB - Insulin pumps for children with type 1 diabetes have been broadly adopted despite
equivocal evidence about comparative effectiveness. To understand why and inform
policy related to public funding for new technologies, we explored how physicians
interpret the value of pumps. We conducted open-ended, semi-structured interviews
with 16 physicians from a pediatric diabetes network in Ontario, Canada, and
analyzed the data using interpretive description. Respondents recognized that
pumps fell short of expectations because they required hard work, as well as
family and school support. Yet, pumps were valued for their status as new
technologies and as a promising step in developing future technology. In
addition, they were valued for their role within a therapeutic relationship,
given the context of chronic childhood disease. These findings identify the types
of beliefs that influence the adoption and diffusion of technologies. Some
beliefs bear on hopes for new technology that may inappropriately hasten
adoption, creating excess cost with little benefit. On the other hand, some
beliefs identify potential benefits that are not captured in effectiveness
studies, but may warrant consideration in resource allocation decisions. Still
others suggest the need for remediation, such as those bearing on disparity in
pump use by socioeconomic status. Understanding how technologies are valued can
help stakeholders decide how to address such beliefs and expectations in funding
decisions and implementation protocols.
PMID- 26563633
TI - Health workforce governance in Europe: Where are we going?
PMID- 26563634
TI - Well-Steered Charge-Carrier Transfer in 3D Branched CuxO/ZnO@Au Heterostructures
for Efficient Photocatalytic Hydrogen Evolution.
AB - Multi-component hetero-nanostructures exhibit multifunctional properties or
synergistic performance and are thus considered as attractive materials for
energy conversion applications. There is a long-standing demand to construct more
sophisticated heterostructures for steering charge-carrier flow in semiconductor
systems. Herein we fabricate a large-scale quantity of three-dimensional (3D)
branched CuxO/ZnO@Au heterostructure consisting of CuO nanowires (NWs) and
grafted ZnO nanodisks (NDs) decorated with Au nanoparticles via sequential
hierarchical assemblies. This treelike hetero-nanostructure ensures well-steered
transfer of photogenerated electrons to the exposed ZnO NDs, while holes to the
CuO backbone NWs with concerted efforts from multi-node p-n junctions, polar ZnO
facets, and Au plasmon, resulting in the significantly enhanced photocatalytic
hydrogen evolution performance.
PMID- 26563635
TI - Metabonomic diagnosis of bladder cancer: what are the advantages and
considerations?
PMID- 26563636
TI - Understanding psychological traumatic birth experiences: A literature review.
AB - BACKGROUND: Traumatic birth experiences can cause postnatal mental health
disturbance, fear of childbirth in subsequent pregnancies and disruption to
mother-infant bonding, leading to impaired child development. Some women may
develop postnatal Post Traumatic Stress Disorder, which is a particularly
undesirable outcome. This paper aimed to gain a better understanding of factors
contributing to birth trauma, and the efficacy of interventions that exist in the
literature. METHODS: A literature search was undertaken in April 2015. Articles
were limited to systematic reviews or original research of either high to
moderate scientific quality. A total of 21 articles were included in this
literature review. FINDINGS: Women with previous mental health disorders were
more prone to experiencing birth as a traumatic event. Other risk factors
included obstetric emergencies and neonatal complications. Poor Quality of
Provider Interactions was identified as a major risk factor for experiencing
birth trauma. Evidence is inconclusive on the best treatment for Post Traumatic
Stress Disorder; however midwifery-led antenatal and postnatal interventions,
such as early identification of risk factors for birth trauma and postnatal
counselling showed benefit. CONCLUSION: Risk factors for birth trauma need to be
addressed prior to birth. Consideration needs to be taken regarding quality
provider interactions and education for maternity care providers on the value of
positive interactions with women. Further research is required into the benefits
of early identification of risk factors for birth trauma, improving Quality of
Provider Interactions and how midwifery-led interventions and continuity of
midwifery carer models could help reduce the number of women experiencing birth
trauma.
PMID- 26563637
TI - Midwifery capacity building in Papua New Guinea: Key achievements and ways
forward.
AB - BACKGROUND: Papua New Guinea has some of the poorest health outcomes in the Asia
Pacific region. Maternal mortality is unacceptably high and there is a severe
midwifery shortage requiring a quadrupling of the workforce. AIM: This paper
outlines the findings of an evaluation of the Maternal Child Health Initiative
(MCHI) (2012-2013) to determine key factors contributing to maternal health
workforce strengthening. METHOD: A descriptive mixed methods study was
undertaken. Data were gathered through interviews, focus group discussions and
surveys with clinicians, midwifery students and staff from nursing and midwifery
schools and National Department of Health staff. Documentation from stakeholder
meetings and regular site reports were reviewed. Each data set was analysed
separately and meta-inferences were drawn across all data. FINDINGS: Learning
opportunities were found to have increased for midwifery educators and
improvements were described in midwifery educators teaching capacity and student
clinical education experience. There was an increase in the number of midwifery
graduates and improvements were noted in the working environment and skills of
clinical staff. Education challenges were described including the lack of
clinical preceptoring and limited continuing education for clinical educators.
Participants recommended increasing clinical education hours and extending the
length of the midwifery program. Ongoing efforts to accredit the midwifery
curricula and regulate midwifery graduates were noted. CONCLUSION: The MCHI has
contributed to strengthening the midwifery workforce nationally. However, scaling
up and sustaining these achievements requires leadership and funding commitments
from the midwifery schools and government alongside the accreditation of
midwifery curricula and regulation of new graduates.
PMID- 26563638
TI - The perspectives of obese women receiving antenatal care: A qualitative study of
women's experiences.
AB - BACKGROUND: The prevalence of overweight and obesity is increasing amongst women
of child bearing age. Maternal obesity has implications for both mother and baby
including increased health risks from gestational hypertensive disorders,
caesarean section and stillbirth. Despite the increasing prevalence of maternal
obesity little is known of the experiences of these women within the health care
system. The aim of this research was to investigate the perspectives of pregnant
women with a body mass index (BMI) of >=30kg/m(2) receiving antenatal care.
METHODS: A qualitative study using individual interviews was undertaken. Sixteen
pregnant women with a BMI >=30kg/m(2) participated. Interviews were audio
recorded, transcribed, cross checked for consistency and then entered into a word
processing document for analysis. Data was analysed using Interpretative
Phenomenological Analysis. In any phenomenological study the researcher's
objective is to elicit the participant's views on their lived experiences.
FINDINGS: Four major themes emerged: (1) obese during pregnancy as part of a long
history of obesity; (2) lack of knowledge of the key complications of obesity for
both mother and child; (3) communication about weight and gestational weight gain
can be conflicting, confusing and judgmental; (4) most women are motivated to eat
well during pregnancy and want help to do so. CONCLUSION: Specialist lifestyle
interventions for obese women should be a priority in antenatal care. Extra
support is required to assist obese women in pregnancy achieve recommended
nutritional and weight goals. Health professionals should approach the issue of
maternal obesity in an informative but non-judgmental way.
PMID- 26563639
TI - The structure and organisation of home-based postnatal care in public hospitals
in Victoria, Australia: A cross-sectional survey.
AB - BACKGROUND: There is limited evidence regarding the provision of home-based
postnatal care, resulting in a weak evidence-base for policy formulation and the
further development of home-based postnatal care services. AIM: To explore the
structure and organisation of public hospital home-based postnatal care in
Victoria, Australia. METHODS: An online survey including mostly closed-ended
questions was sent to representatives of all public maternity providers in July
2011. FINDINGS: The response rate of 87% (67/77) included rural (70%; n=47),
regional (15%; n=10) and metropolitan (15%; n=10) services. The majority (96%,
64/67) provided home-based postnatal care. The median number of visits for
primiparous women was two and for multiparous women, one. The main reason for no
visit was the woman declining. Two-thirds of services attempted to provide some
continuity of carer for home-based postnatal care. Routine maternal and infant
observations were broadly consistent across the services, and various systems
were in place to protect the safety of staff members during home visits. Few
services had a dedicated home-based postnatal care coordinator. DISCUSSION AND
CONCLUSION: This study demonstrates that the majority of women receive at least
one home-based postnatal visit, and that service provision on the whole is
similar across the state. Further work should explore the optimum number and
timing of visits, what components of care are most valued by women, and what
model best ensures the timely detection and prevention of postpartum
complications, be they psychological or physiological.
PMID- 26563640
TI - Source apportionment of emissions from light-duty gasoline vehicles and other
sources in the United States for ozone and particulate matter.
AB - Federal Tier 3 motor vehicle emission and fuel sulfur standards have been
promulgated in the United States to help attain air quality standards for ozone
and PM2.5 (particulate matter with an aerodynamic diameter <2.5 MUm). The authors
modeled a standard similar to Tier 3 (a hypothetical nationwide implementation of
the California Low Emission Vehicle [LEV] III standards) and prior Tier 2
standards for on-road gasoline-fueled light-duty vehicles (gLDVs) to assess
incremental air quality benefits in the United States (U.S.) and the relative
contributions of gLDVs and other major source categories to ozone and PM2.5 in
2030. Strengthening Tier 2 to a Tier 3-like (LEV III) standard reduces the
summertime monthly mean of daily maximum 8-hr average (MDA8) ozone in the eastern
U.S. by up to 1.5 ppb (or 2%) and the maximum MDA8 ozone by up to 3.4 ppb (or
3%). Reducing gasoline sulfur content from 30 to 10 ppm is responsible for up to
0.3 ppb of the improvement in the monthly mean ozone and up to 0.8 ppb of the
improvement in maximum ozone. Across four major urban areas-Atlanta, Detroit,
Philadelphia, and St. Louis-gLDV contributions range from 5% to 9% and 3% to 6%
of the summertime mean MDA8 ozone under Tier 2 and Tier 3, respectively, and from
7% to 11% and 3% to 7% of the maximum MDA8 ozone under Tier 2 and Tier 3,
respectively. Monthly mean 24-hr PM2.5 decreases by up to 0.5 MUg/m(3) (or 3%) in
the eastern U.S. from Tier 2 to Tier 3, with about 0.1 MUg/m(3) of the reduction
due to the lower gasoline sulfur content. At the four urban areas under the Tier
3 program, gLDV emissions contribute 3.4-5.0% and 1.7-2.4% of the winter and
summer mean 24-hr PM2.5, respectively, and 3.8-4.6% and 1.5-2.0% of the mean 24
hr PM2.5 on days with elevated PM2.5 in winter and summer, respectively.
IMPLICATIONS: Following U.S. Tier 3 emissions and fuel sulfur standards for
gasoline-fueled passenger cars and light trucks, these vehicles are expected to
contribute less than 6% of the summertime mean daily maximum 8-hr ozone and less
than 7% and 4% of the winter and summer mean 24-hr PM2.5 in the eastern U.S. in
2030. On days with elevated ozone or PM2.5 at four major urban areas, these
vehicles contribute less than 7% of ozone and less than 5% of PM2.5, with sources
outside North America and U.S. area source emissions constituting some of the
main contributors to ozone and PM2.5, respectively.
PMID- 26563641
TI - Candida infection in the intensive care unit: A study of antifungal
susceptibility pattern of Candida species in Milad hospital, Tehran, Iran.
AB - OBJECTIVE: The occurrence of Candida infections has improved during the past two
decades as a result of increase in the number of immunocompromised patients. In
this study the antifungal susceptibility patterns of Candida species isolated
from sterile body sites of patients admitted in Milad Intensive Care Unit (ICU)
during 6 months were determined. METHODS: Candidal isolates were obtained from 50
patients admitted in Milad ICUs from April to September 2013. Identification of
the isolates was performed by using morphological and polymerase chain reaction
assay. Resistance to the antifungal agents containing caspofungin, posoconazole,
voriconazole and amphotericin B was determined using E-test method. RESULTS: Out
of 67 Candida isolates 47.8% were Candida glabrata, 28.3% were C. albicans, 7.5%
were C. tropicalis, 7% were C. guilliermondii, 3% were C. krusei and 2% were C.
dubliniensis. C. glabrata was the least susceptible species, with 9.4% of the
isolates resistant to amphotericin B and 6.3% resistant to posoconazole and
voriconazole. No resistance to caspofungin was observed among C. glabrata
isolates. One of the C. krusei isolates was resistant to amphotericin B while no
resistance to voriconazole, caspofungin and posoconazole was detected among C.
krusei strains. Increase in the prevalence of antifungal-resistant non-C.
albicans species in recent years has become a problematic event amongst
clinicians caring for ICU patients. C. glabrata as the most common species
isolated from ICU patients in this study indicated higher levels of antifungal
resistance in comparison with other species. This observation accentuates the
importance of managing preventive treatments to avoid development of resistance
to the current antifungal drugs.
PMID- 26563642
TI - The expression of PLP/DM-20 mRNA is restricted to the oligodendrocyte-lineage
cells in the adult rat spinal cord.
AB - Proteolipid protein (PLP) is the major component of myelin; its gene encodes two
major splicing variants: PLP and DM-20. Compared with PLP, DM-20 lacks the amino
acids encoded by exon IIIb. The expression of PLP/DM-20 in cells outside the
oligodendrocyte-lineage is unclear. To address this issue, we analyzed the
detailed expression pattern of PLP/DM-20 mRNA in the adult rat spinal cord by in
situ hybridization (ISH) with a cRNA probe complementary to DM-20 mRNA, which has
been used to detect both PLP and DM-20 both mRNA. ISH did not label the cells
expressing NeuN nor glial fibrillary acidic protein but detected those expressing
Olig2, indicating that PLP/DM-20 mRNA are expressed only in oligodendrocyte
lineage cells. This cell population was expected to contain NG2-expressing
oligodendrocyte precursor cells (OPCs), because some exhibited the expression of
glutathione S-transferase pi isoform in the nucleus. A recent publication showed
that OPCs express PLP but not DM-20 mRNA. However, no OPCs were detected. We
performed ISH with a cRNA probe that specifically recognizes PLP mRNA to
successfully detect some OPCs. Additionally, OPCs were detected by ISH with a
cRNA probe complementary to DM-20 mRNA that was digested via alkaline hydrolysis
prior to ISH. These findings collectively demonstrate that PLP and DM-20 mRNA
expression is restricted to oligodendrocyte-lineage cells, and imply that the
undigested cRNA probe complementary to the full-length DM-20 mRNA sequence only
recognizes DM-20 mRNA and not the PLP counterpart when applied to ISH without
denaturation/digestion methods.
PMID- 26563644
TI - Kinematics and energetics of swimming performance during acute warming in brown
trout Salmo trutta.
AB - This study examined how acute warming of water temperature affects the mechanical
efficiency of swimming and aerobic capabilities of the brown trout Salmo trutta.
Swimming efficiency was assessed using the relationship between swimming
kinematics and forward speed (U), which is thought to converge upon an optimum
range of a dimensionless parameter, the Strouhal number (St ). Swim-tunnel
intermittent stopped-flow respirometry was used to record kinematics and measure
oxygen consumption (MO2) of S. trutta during warming and swimming challenges.
Salmo trutta maintained St between 0.2 and 0.3 at any given U over a range of
temperatures, irrespective of body size. The maintenance of St within the range
for maximum efficiency for oscillatory propulsion was achieved through an
increase in tail-beat frequency (ftail) and a decrease in tail-beat amplitude (A)
as temperature increased. Maintenance of efficient steady-state swimming was
fuelled by aerobic metabolism, which increased as temperature increased up to 18
degrees C but declined above this temperature, decreasing the apparent metabolic
scope. As St was maintained over the full range of temperatures whilst metabolic
scope was not, the results may suggest energetic trade-offs at any given U at
temperatures above thermal optima.
PMID- 26563643
TI - Validating the Implementation Climate Scale (ICS) in child welfare organizations.
AB - There is increasing emphasis on the use of evidence-based practices (EBPs) in
child welfare settings and growing recognition of the importance of the
organizational environment, and the organization's climate in particular, for how
employees perceive and support EBP implementation. Recently, Ehrhart, Aarons, and
Farahnak (2014) reported on the development and validation of a measure of EBP
implementation climate, the Implementation Climate Scale (ICS), in a sample of
mental health clinicians. The ICS consists of 18 items and measures six critical
dimensions of implementation climate: focus on EBP, educational support for EBP,
recognition for EBP, rewards for EBP, selection or EBP, and selection for
openness. The goal of the current study is to extend this work by providing
evidence for the factor structure, reliability, and validity of the ICS in a
sample of child welfare service providers. Survey data were collected from 215
child welfare providers across three states, 12 organizations, and 43 teams.
Confirmatory factor analysis demonstrated good fit to the six-factor model and
the alpha reliabilities for the overall measure and its subscales was acceptable.
In addition, there was general support for the invariance of the factor structure
across the child welfare and mental health sectors. In conclusion, this study
provides evidence for the factor structure, reliability, and validity of the ICS
measure for use in child welfare service organizations.
PMID- 26563645
TI - Cancer immunotherapy--Converting immune failure to clinical response.
PMID- 26563647
TI - Is a synthetic augmentation in medial open wedge high tibial osteotomies superior
to no augmentation in terms of bone-healing?
AB - INTRODUCTION: Medial open-wedge high tibial osteotomy (MOWHTO) is an established
method to treat unicompartimental osteoarthritis of the knee joint. However,
augmentation of the created tibial gap after osteotomy is controversially
discussed. METHODS: We performed a prospective investigation of 49 consecutive
cases of MOWHTO at our department. Patients were divided into two groups: group A
consisted of 19 patients while group B consisted of 30 patients. In group A, the
augmentation of the opening gap after osteotomy was filled with a synthetic bone
graft, whereas group B received no augmentation. As an indicator for bone healing
we investigated the non-union rate in our study population and compared the non
union-rate between the two groups. RESULTS: The non-union rate was 28% in group A
(five of 19 patients had to undergo revision) which received synthetic
augmentation, while it was 3.3% in group B (one of 30 patients had to undergo
revision) which received no augmentation. The difference between the groups was
statistically significant (p-value 0.027). CONCLUSIONS: With regard to bone
healing after MOWHTO, synthetic augmentation was not superior to no augmentation
in terms of non-union rates after surgery. In fact, we registered a significantly
higher rate of non-union after augmentation with synthetic bone graft. LEVEL OF
EVIDENCE: III.
PMID- 26563648
TI - How to conduct systematic reviews more expeditiously?
AB - Healthcare consumers, researchers, patients and policy makers increasingly use
systematic reviews (SRs) to aid their decision-making process. However, the
conduct of SRs can be a time-consuming and resource-intensive task. Often,
clinical practice guideline developers or other decision-makers need to make
informed decisions in a timely fashion (e.g. outbreaks of infection, hospital
based health technology assessments). Possible approaches to address the issue of
timeliness in the production of SRs are to (a) implement process parallelisation,
(b) adapt and apply innovative technologies, and/or (c) modify SR processes (e.g.
study eligibility criteria, search sources, data extraction or quality
assessment). Highly parallelised systematic reviewing requires substantial
resources to support a team of experienced information specialists, reviewers and
methodologists working alongside with clinical content experts to minimise the
time for completing individual review steps while maximising the parallel
progression of multiple steps. Effective coordination and management within the
team and across external stakeholders are essential elements of this process.
Emerging innovative technologies have a great potential for reducing workload and
improving efficiency of SR production. The most promising areas of application
would be to allow automation of specific SR tasks, in particular if these tasks
are time consuming and resource intensive (e.g. language translation, study
selection, data extraction). Modification of SR processes involves restricting,
truncating and/or bypassing one or more SR steps, which may risk introducing bias
to the review findings. Although the growing experiences in producing various
types of rapid reviews (RR) and the accumulation of empirical studies exploring
potential bias associated with specific SR tasks have contributed to the
methodological development for expediting SR production, there is still a dearth
of research examining the actual impact of methodological modifications and
comparing the findings between RRs and SRs. This evidence would help to inform as
to which SR tasks can be accelerated or truncated and to what degree, while
maintaining the validity of review findings. Timely delivered SRs can be of value
in informing healthcare decisions and recommendations, especially when there is
practical urgency and there is no other relevant synthesised evidence.
PMID- 26563649
TI - Effect of Obesity on Complication Rate After Elbow Arthroscopy in a Medicare
Population.
AB - PURPOSE: To use a national insurance database to explore the association of
obesity with the incidence of complications after elbow arthroscopy in a Medicare
population. METHODS: Using Current Procedural Terminology (CPT) and International
Classification of Diseases, 9th Revision (ICD-9) procedure codes, we queried the
PearlDiver database for patients undergoing elbow arthroscopy. Patients were
divided into obese (body mass index [BMI] >30) and nonobese (BMI <30) cohorts
using ICD-9 codes for BMI and obesity. Nonobese patients were matched to obese
patients based on age, sex, tobacco use, diabetes, and rheumatoid arthritis.
Postoperative complications were assessed with ICD-9 and Current Procedural
Terminology codes, including infection, nerve injury, stiffness, and medical
complications. RESULTS: A total of 2,785 Medicare patients who underwent elbow
arthroscopy were identified from 2005 to 2012; 628 patients (22.5%) were coded as
obese or morbidly obese, and 628 matched nonobese patients formed the control
group. There were no differences between the obese patients and matched control
nonobese patients regarding type of elbow arthroscopy, previous elbow fracture or
previous elbow arthroscopy. Obese patients had greater rates of all assessed
complications, including infection (odds ratio [OR] 2.8, P = .037), nerve injury
(OR 5.4, P = .001), stiffness (OR 1.9, P = .016) and medical complications (OR
6.9, P < .0001). CONCLUSIONS: Obesity is associated with significantly increased
rates of all assessed complications after elbow arthroscopy in a Medicare
population, including infection, nerve injury, stiffness, and medical
complications. LEVEL OF EVIDENCE: Therapeutic Level III, case-control study.
PMID- 26563646
TI - CAR T-cell immunotherapy: The path from the by-road to the freeway?
AB - Chimeric antigen receptors are genetically encoded artificial fusion molecules
that can re-program the specificity of peripheral blood polyclonal T-cells
against a selected cell surface target. Unparallelled clinical efficacy has
recently been demonstrated using this approach to treat patients with refractory
B-cell malignancy. However, the approach is technically challenging and can
elicit severe toxicity in patients. Moreover, solid tumours have largely proven
refractory to this approach. In this review, we describe the important structural
features of CARs and how this may influence function. Emerging clinical
experience is summarized in both solid tumours and haematological malignancies.
Finally, we consider the particular challenges imposed by solid tumours to the
successful development of CAR T-cell immunotherapy, together with a number of
innovative strategies that have been developed in an effort to reverse the
balance in favour of therapeutic benefit.
PMID- 26563650
TI - Composition of Lycium barbarum polysaccharides and their apoptosis-inducing
effect on human hepatoma SMMC-7721 cells.
AB - BACKGROUND: Lycium barbarum polysaccharide (LBP) is a natural functional
component that has a variety of biological activities. The molecular structures
and apoptosis-inducing activities on human hepatoma SMMC-7721 cells of two LBP
fractions, LBP-d and LBP-e, were investigated. RESULTS: The results showed that
LBP-d and LBP-e both consist of protein, uronic acid, and neutral sugars in
different proportions. The structure of LBP was characterized by gas
chromatography, periodate oxidation, and Smith degradation. LBP-d was composed of
eight kinds of monosaccharides (fucose, ribose, rhamnose, arabinose, xylose,
mannose, galactose, and glucose), while LBP-e was composed of six kinds of
monosaccharides (fucose, rhamnose, arabinose, mannose, galactose, and glucose).
LBP-d and LBP-e blocked SMMC-7721 cells at the G0/G1 and S phases with an
inhibition ratio of 26.70 and 45.13%, respectively, and enhanced the
concentration of Ca(2+) in the cytoplasm of SMMC-7721. CONCLUSION: The contents
of protein, uronic acid, and galactose in LBP-e were much higher than those in
LBP-d, which might responsible for their different bioactivities. The results
showed that LBP can be provided as a potential chemotherapeutic agent drug to
treat cancer.
PMID- 26563651
TI - Targeting anti-apoptotic Bcl2 proteins with scyllatoxin-based BH3 domain
mimetics.
AB - BH3 domain mimetics based on the small protein scyllatoxin (ScTx) were designed
to target the anti-apoptotic protein Bcl2 in vitro. Intrinsically disordered ScTx
variants were found to bind Bcl2 with nanomolar affinity, indicating that an
induced fit binding mechanism is required for favorable BH3 : Bcl2 interaction.
PMID- 26563652
TI - Development and characterization of human monoclonal antibodies that neutralize
multiple TGFbeta isoforms.
AB - Transforming growth factor (TGF)beta levels are elevated in, and drive the
progression of, numerous disease states such as advanced metastatic cancer and
systemic and ocular fibrosis. There are 3 main isoforms, TGFbeta1, 2, and 3. As
multiple TGFbeta isoforms are involved in disease processes, maximal therapeutic
efficacy may require neutralization of 2 or more of the TGFbeta isoforms. Fully
human antibody phage display libraries were used to discover a number of
antibodies that bind and neutralize various combinations of TGFbeta1, 2 or 3. The
primary panning did not yield any uniformly potent pan-isoform neutralizing
antibodies; therefore, an antibody that displayed potent TGFbeta 1, 2 inhibition,
but more modest affinity versus TGFbeta3, was affinity matured by shuffling with
a light chain sub-library and further screening. This process yielded a high
affinity pan-isoform neutralizing clone. Antibodies were analyzed and compared by
binding affinity, as well as receptor and epitope competition by surface plasmon
resonance methods. The antibodies were also shown to neutralize TGFbeta effects
in vitro in 3 assays: 1) interleukin (IL)-4 induced HT-2 cell proliferation; 2)
TGFbeta-mediated IL-11 release by A549 cells; and 3) decreasing SMAD2
phosphorylation in Detroit 562 cells. The antibodies' potency in these in vitro
assays correlated well with their isoform-specific affinities. Furthermore, the
ability of the affinity-matured clone to decrease tumor burden in a Detroit 562
xenograft study was superior to that of the parent clone. This affinity-matured
antibody acts as a very potent inhibitor of all 3 main isoforms of TGFbeta and
may have utility for therapeutic intervention in human disease.
PMID- 26563653
TI - Which colours are seen by the patient during cataract surgery? Results of an
intraoperative interview.
AB - PURPOSE: To discover what cataract patients see during phacoemulsification and if
these light phenomena influence their anxiety levels during surgery. METHODS: In
all, 200 patients were interviewed intraoperatively at the Eye Hospital,
Petrisberg, Trier, Germany. The quality of the visual experiences was described
and if these were pleasant, neutral or unpleasant. Systemic sedation was noted.
RESULTS: Among 200 patients (209 eyes): 88 were men (91 eyes; 44%) and 112 were
women (118 eyes; 56%). Median age (years): men (71), women (70). Mean operating
time was 8 min. 49/209 (23%) were not anxious before and during surgery. 110/209
(52%) were more anxious before than during surgery, 50/209 (24%) were still
anxious during surgery, 27/209 (13%) got sedation with midazolam (1-5 mg).
Colours in descending order seen: blue, red, pink, yellow, green, purple,
turquois, and orange. The most dominant colour combination was red/blue.
Structures were seen by 162/209 (78%). Most (61%) intraoperative visual
experiences were pleasant, 38% were neutral, and 1% found them transiently
unpleasant. Three patients felt blinded by the light of the operating microscope.
CONCLUSIONS: The experience of colours and other light phenomena was pleasant for
most patients during phacoemulsification under topical anaesthesia. They occur
spontaneously when the patient is fixating on the operating light. They are not
dependent on the individual or environment. Sedation only in 13%. Direct
questioning for visual sensations by the operating surgeon may lead to less need
for sedation and lead to less side effects for elderly and multimorbidity people
postoperatively. The surgeon can use this knowledge to reassure patients during
surgery.
PMID- 26563654
TI - Reply to: 'TLP: a premature concept'.
PMID- 26563655
TI - TLP: a premature concept.
PMID- 26563656
TI - A 12-year review on the aetiology and surgical outcomes of paediatric
rhegmatogenous retinal detachments in Hong Kong.
AB - PURPOSE: To evaluate the aetiology, clinical features, and surgical outcomes of
paediatric rhegmatogenous retinal detachments (RRD) in Hong Kong.Patients and
methodsThis is a retrospective consecutive case series of all patients aged 18 or
under who underwent primary retinal detachment repair in the Hong Kong Eye
Hospital from January 2000 to December 2012.ResultsForty-nine eyes of 47 patients
were included. The mean age was 14, and the mean follow-up duration was 6.2
years. The most common aetiology for RRD was idiopathic (28.6%), followed by high
myopia (24.5%), atopic dermatitis (AD) (18.4%), congenital and developmental
abnormalities (16.3%), trauma (8.2%), and intraocular inflammation (4.1%). The
mean preoperative visual acuity was LogMAR 1.0+/-0.8 (Snellen equivalent 6/60).
The primary anatomical success rate in this series was 65.3%, and the final
anatomical success rate was 85.7%. The mean postoperative visual acuity was
LogMAR 0.9+/-1.2 (Snellen equivalent 6/48). Patients with congenital and
developmental abnormalities or AD had worse anatomical and functional outcomes
than patients who had no predisposing factor or high myopia. CONCLUSIONS: The
primary and overall anatomical success rates in our series were comparable with
existing literature. High myopia is the most commonly identifiable risk factor in
Hong Kong and AD is associated with a higher re-detachment rates and a poor
visual outcome.
PMID- 26563657
TI - Addressing the 'forgotten art of fundoscopy': evaluation of a novel teaching
ophthalmoscope.
AB - BACKGROUND: Direct ophthalmoscopy is an essential skill that students struggle to
learn. A novel 'teaching ophthalmoscope' has been developed that allows a third
person to observe the user's view of the fundus. OBJECTIVES: To evaluate the
potential use of this device as an aid to learning, and as a tool for objective
assessment of competence. METHODS: Participants were randomised to be taught
fundoscopy either with a conventional direct ophthalmoscope (control) or with the
teaching device (intervention). Following this teaching session, participant
competence was assessed within two separate objective structured clinical
examination (OSCE) stations: the first with the conventional ophthalmoscope and
the second with the teaching device. Each station was marked by two independent
masked examiners. Students were also asked to rate their own confidence in
fundoscopy on a scale of 1-10. Scores of competence and confidence were compared
between groups. The agreement between examiners was used as a marker for inter
rater reliability and compared between the two OSCE stations. RESULTS: Fifty-five
medical students participated. The intervention group scored significantly better
than controls on station 2 (19.8 vs 17.6; P=0.01). They reported significantly
greater levels of confidence in fundoscopy (7.3 vs 4.9; P<0.001). Independent
examiner scores showed significantly improved agreement when using the teaching
device during assessment of competence, compared to the conventional
ophthalmoscope (r=0.90 vs 0.67; P<0.001). CONCLUSION: The teaching ophthalmoscope
is associated with improved confidence and objective measures of competence, when
compared with a conventional direct ophthalmoscope. Used to assess competence,
the device offers greater reliability than the current standard.
PMID- 26563658
TI - Evaluation of ocular pulse amplitude and choroidal thickness in diabetic macular
edema.
AB - PURPOSE: The aim of this study is to evaluate the ocular pulse amplitude (OPA)
and choroidal thickness (CT) measurements in patients with diabetic macular edema
(DME) and healthy subjects. METHODS: A total of 34 patients (12 male and 22
female) who had type 2 diabetes mellitus with DME and 34 sex-matched healthy
subjects (13 male and 21 female) were included in this prospective study. The
intraocular pressure (IOP) and OPA were measured with Dynamic contour tonometer
(Pascal DCT, Switzerland). The subfoveal CT was measured using the Cirrus HD-OCT
(Carl Zeiss Meditec). The CT at 1500 MUm and 3000 MUm nasal and temporal to the
central fovea was also measured. RESULTS: The mean IOP values were 18.4+/-3.5 and
17.1+/-2.1 mm Hg in DME patients and healthy controls, respectively (P= 0.091).
The mean OPA values in patients with DME (2.58+/-0.96) and controls (3.52+/-1.03)
were statistically different (P<0.001). The mean subfoveal CT value was 273.5+/
30.2 MUm in the eyes with DME and 321.4+/-36.5 MUm in the control group (P<
0.001). In both groups, linear regression analysis showed no significant
association between OPA and CT measurements. The IOP showed a significantly
positive correlation with OPA in both DME (P=0.002, r=0.526) and controls
(P=0.004, r=0.483). CONCLUSIONS: The current study suggests that both pulsatile
choroidal blood flow and CT are decreased in patients with DME.
PMID- 26563659
TI - Vision, eye disease, and art: 2015 Keeler Lecture.
AB - The purpose of this study was to examine normal vision and eye disease in
relation to art. Ophthalmology cannot explain art, but vision is a tool for
artists and its normal and abnormal characteristics may influence what an artist
can do. The retina codes for contrast, and the impact of this is evident
throughout art history from Asian brush painting, to Renaissance chiaroscuro, to
Op Art. Art exists, and can portray day or night, only because of the way retina
adjusts to light. Color processing is complex, but artists have exploited it to
create shimmer (Seurat, Op Art), or to disconnect color from form (fauvists,
expressionists, Andy Warhol). It is hazardous to diagnose eye disease from an
artist's work, because artists have license to create as they wish. El Greco was
not astigmatic; Monet was not myopic; Turner did not have cataracts. But when eye
disease is documented, the effects can be analyzed. Color-blind artists limit
their palette to ambers and blues, and avoid greens. Dense brown cataracts
destroy color distinctions, and Monet's late canvases (before surgery) showed
strange and intense uses of color. Degas had failing vision for 40 years, and his
pastels grew coarser and coarser. He may have continued working because his
blurred vision smoothed over the rough work. This paper can barely touch upon the
complexity of either vision or art. However, it demonstrates some ways in which
understanding vision and eye disease give insight into art, and thereby an
appreciation of both art and ophthalmology.
PMID- 26563660
TI - Diurnal intraocular pressure fluctuation and its risk factors in angle-closure
and open-angle glaucoma.
AB - PURPOSE: To evaluate intraocular pressure (IOP) fluctuation during office hours
and its predictive factors in untreated primary angle-closure suspects (PACS);
post-iridotomy primary angle closure (PAC) and primary angle-closure glaucoma
(PACG) eyes with or without IOP-lowering medication(s) as appropriate and
medically treated primary open-angle glaucoma (POAG) eyes. METHODS: One-hundred
seventeen eyes (29 PACS, 30 PAC, 28 PACG, and 30 POAG) of 117 patients were
included in this cross-sectional study. The subjects underwent hourly IOP
measurements with Goldmann tonometer from 0800 to 1700 hours. Subjects with PAC
and PACG had laser peripheral iridotomy at least 2 weeks prior to the inclusion.
SD of office-hour IOP readings was the main outcome measure. RESULTS: IOP
fluctuation differed between the groups (P=0.01; Kruskal-Wallis Test). Post hoc
Mann-Whitney U-tests showed significantly less IOP fluctuation in PACS compared
with PACG (P<0.01). Peak office-hour IOP was observed in the morning in untreated
subjects and in the early afternoon in treated subjects. A stepwise linear
regression model identified the presence of peripheral anterior synechiae (PAS),
thickness of lens, large vertical cup-to-disc ratio (VCDR), and PAC category as
significant predictive factors associated with office-hour IOP fluctuation.
CONCLUSIONS: Diurnal IOP fluctuation in asymptomatic PACSs was less than that in
treated PACG subjects and was at least comparable to that in treated PAC and POAG
subjects. The greater the amount of PAS, the thicker the lens, the larger the
VCDR, the greater was the IOP fluctuation during office hours.
PMID- 26563661
TI - Why rods and cones?
AB - Under twenty-first-century metropolitan conditions, almost all of our vision is
mediated by cones and the photopic system, yet cones make up barely 5% of our
retinal photoreceptors. This paper looks at reasons why we additionally possess
rods and a scotopic system, and asks why rods comprise 95% of our retinal
photoreceptors. It considers the ability of rods to reliably signal the arrival
of individual photons of light, as well as the ability of the retina to process
these single-photon signals, and it discusses the advantages that accrue.
Drawbacks in the arrangement, including the very slow dark adaptation of scotopic
vision, are also considered. Finally, the timing of the evolution of cone and rod
photoreceptors, the retina, and the camera-style eye is summarised.
PMID- 26563662
TI - [Intravenous thrombolysis in ischemic stroke: Therapeutic perspectives].
AB - New therapeutic strategies are under evaluation to improve the treatment of acute
ischemic stroke (AIS). Approaches combining intravenous (IV) thrombolysis with
recombinant tissue plasminogen activator (rt-PA) and antithrombotic agents are
currently evaluated. The combination of IV rt-PA and aspirin showed a high rate
of intracranial hemorrhage whereas the association of rt-PA and eptifibatide
seems more promising. The results of recent studies evaluating the administration
of eptifibatide or argatroban in conjunction with conventional IV thrombolysis
with rt-PA are expected to clarify the safety and efficacy of these treatments.
More fibrin-specific plasminogen activators, tenecteplase and desmoteplase, are
also investigated. These fibrinolytic agents showed a favorable safety profile
but their efficacy in AIS remains uncertain. While phase III studies, DIAS-3 and
DIAS-4, evaluating IV desmoteplase up to nine hours after stroke onset did not
meet the primary endpoint, the results of studies comparing IV tenecteplase and
IV rt-PA are expected.
PMID- 26563663
TI - [Is Parkinson's disease a prion disease?].
AB - The accumulation of a specific protein in aggregated form is a common phenomenon
in human neurodegenerative diseases. In Parkinson's disease, this protein is
alpha-synuclein which is a neuronal protein of 143 amino acids. With a monomeric
conformation in solution, it also has a natural capacity to aggregate into
amyloid structures (dimers, oligomers, fibrils and Lewy bodies or neurites). It
therefore fulfils the characteristics of a prion protein (different
conformations, seeding and spreading). In vitro and in vivo experimental evidence
in transgenic and wild animals indicates a prion-like propagation of Parkinson's
disease. The sequential and predictive distribution of alpha-synuclein
demonstrated by Braak et al. and its correlation with non-motor signs are
consistent with the prion-like progression. Although the triggering factor
causing the misfolding and aggregation of the target protein is unknown,
Parkinson's disease is a highly relevant model for the study of these mechanisms
and also to test specific treatments targeting the assemblies of alpha-synuclein
and propagation from pre-motor phase of the disease. Despite this prion-like
progression, there is currently no argument indicating a risk of human
transmission of Parkinson's disease.
PMID- 26563664
TI - [Creation and normalisation of a verbal episodic memory task in elderly adults:
"GERIA-12"].
AB - INTRODUCTION: Early damage to episodic memory encoding and consolidation
processes has been demonstrated in dementia of the Alzheimer type. However, in
the domain of verbal episodic memory assessment, there are few diagnostic tools
adapted to the old and oldest old as far as ease of administration and accuracy
of normative data are concerned. Classic tasks are either too effortful (like the
free recall/cued recall of 16 items), not sensitive enough (like the 5 words
test), or insufficiently accurate for people above 70 years old in terms of
normative data. AIM: The aim of this study was to develop a reduced task (in
terms of number of items and number of trials) assessing verbal episodic memory
in people aged between 70 and 89 years old. METHODS: The task (GERIA-12) used the
same procedure as the RL/RI-16 task but the list comprised only 12 words and
there were only 2 learning trials. In order to assess consolidation processes, we
included 2 delayed recall trials, one after 20 minutes and the other after 24
hours. We also calculated indexes adapted from the Item-Specific Deficit Approach
developed by Wright et al., which has the advantage of providing measures
specific to encoding, consolidation and retrieval processes. Standardization was
done with data from 220 people aged between 70 and 89 years old and belonging to
3 education levels. RESULTS: We obtained a significant effect of age and
education level: scores decrease with age and increase with education. Norms have
thus been calculated taking those two variables into consideration. Concerning
the standardization, Barona method has been used for free recall scores while
percentiles have been used for all other scores (total recall, free recall,
encoding, consolidation and retrieval indexes). Normative data are also provided
for intrusions and perseverations. CONCLUSION: This new task allows encoding,
consolidation and retrieval processes assessment in older people and has the
following advantages: the procedure is more suitable (ease and time of
administration), there are accurate normative data for old and oldest old people,
and there are normative data for two delayed recalls (at 20 minutes and at 24
hours).
PMID- 26563665
TI - [An unusual revelation of miliary tuberculosis due to Mycobacterium bovis in two
immunocompetent patients].
PMID- 26563666
TI - Association study of apolipoprotein E promoter polymorphism (-427 T/C) and
Alzheimer's disease in a Tunisian population.
AB - BACKGROUND: Alzheimer's disease (AD) is a progressive neurodegenerative disorder
with an as yet poorly understood etiology. Both environmental and genetic factors
have been implicated as predisposing factors. The apolipoprotein E (APOE) E4
allele is an established genetic susceptibility factor for AD for several
populations including the Tunisian population. Polymorphism rs769446 (-427 T/C)
at the promoter region of the APOE gene is postulated to affect the expression of
the gene through differential binding of transcription factors. AIMS: This study
aims at examining the APOE promoter polymorphism rs769446 for possible
association with AD in a Tunisian population. METHODS: Using a case-control study
design, a sample of 85 patients and 90 controls were investigated for association
with the rs769446 polymorphism. RESULTS: No evidence of association was found in
this population upon comparison between patients and healthy controls or upon
stratification by APOE E4. CONCLUSIONS: Investigations of potential gene-gene and
gene-environmental interactions for this polymorphism need to be further
conducted.
PMID- 26563667
TI - Triage practices in stroke units: Physicians' perceptions and ethical issues.
AB - OBJECTIVE: We aimed to explore acute stroke admission decisions and to discuss
ethical issues in triage practices in stroke units (SUs) in France. METHODS: In
this study, 337 questionnaires were sent to physicians involved in acute
admission to SUs in Ile-de-France (neurologists and physicians from emergency
medical services). The questionnaires comprised questions about physicians'
perceptions of the reasonable allocation of SU beds and admission criteria for
patients in SU in clinical vignettes illustrating complex situations. RESULTS: In
total, 162 questionnaires were fully completed. There were some discrepancies in
perceptions and reporting practices between emergency physicians and neurologists
concerning patient admission criteria. Triage choices were more frequently
declared by emergency physicians than by neurologists and were related to the
difficulty of obtaining a positive response for the admission of certain complex
patients (particularly those with comorbidities). CONCLUSIONS: Despite
recommendations stating that all patients with stroke should be admitted to SUs,
this study has shown that triage practices exist in stroke admission decisions.
The triage depends on the role and perceptions of each physician in acute stroke
management. These decisions suggest reflections on the applicability of
distributive justice theories and on ethical issues in triage practices in
medicine.
PMID- 26563669
TI - Long-term variability of exhaled nitric oxide measurements.
PMID- 26563670
TI - The Effect of Tiotropium in Symptomatic Asthma Despite Low- to Medium-Dose
Inhaled Corticosteroids: A Randomized Controlled Trial.
AB - BACKGROUND: Tiotropium, a once-daily long-acting anticholinergic bronchodilator,
has demonstrated efficacy in patients with asthma who were symptomatic despite
treatment with medium- to high-dose inhaled corticosteroids (ICS). OBJECTIVE: The
objective of this study was to evaluate the efficacy and safety of once-daily
tiotropium Respimat (5 MUg or 2.5 MUg), compared with placebo Respimat, as add-on
therapy to low- to medium-dose ICS for adults with symptomatic asthma. METHODS: A
phase III, double-blind, placebo-controlled trial was conducted (NCT01316380).
Adults with symptomatic asthma receiving low- to medium-dose ICS (200-400 MUg
budesonide or equivalent dose) and a pre-bronchodilator forced expiratory volume
in 1 second (FEV1) >=60% and <=90% of predicted normal were randomized to 12
weeks of treatment with once-daily tiotropium Respimat 5 MUg or 2.5 MUg, or
placebo Respimat, as add-on therapy to ICS. The primary endpoint was peak FEV1(0
3h) response. RESULTS: In total, 464 patients were randomized (61% female; mean
age 43 years; mean baseline FEV1 78% of predicted normal). After 12 weeks, both
tiotropium Respimat doses were superior to placebo (adjusted mean difference from
placebo: 5 MUg, 128 mL; 2.5 MUg, 159 mL; both P < .001). Both doses of tiotropium
Respimat were also superior to placebo with regard to the secondary endpoints of
adjusted mean trough FEV1 and FEV1 area under the curve(0-3h) responses, and the
other endpoints of morning and evening peak expiratory flow. Adverse events were
comparable across the treatment groups. CONCLUSIONS: Once-daily tiotropium
Respimat add-on therapy to low- to medium-dose ICS in adults with symptomatic
asthma is an efficacious bronchodilator, and its safety and tolerability are
comparable with those of placebo Respimat.
PMID- 26563671
TI - Development of eosinophilic endomyocardial disease in a patient with episodic
angioedema and eosinophilia.
PMID- 26563668
TI - International Consensus Document (ICON): Common Variable Immunodeficiency
Disorders.
PMID- 26563673
TI - Shrimp allergy: Gastrointestinal symptoms commonly occur in the absence of IgE
sensitization.
PMID- 26563672
TI - Behavioral Interventions to Improve Asthma Outcomes for Adolescents: A Systematic
Review.
AB - BACKGROUND: Factors at multiple ecological levels, including the child, family,
home, medical care, and community, impact adolescent asthma outcomes. OBJECTIVE:
This systematic review characterizes behavioral interventions at the child,
family, home, medical system, and community level to improve asthma management
among adolescents. METHODS: A systematic search of PubMed, SCOPUS, OVID,
PsycINFO, CINAHL, and reference review databases was conducted from January 1,
2000, through August 10, 2014. Articles were included if the title or abstract
included asthma AND intervention AND (education OR self-management OR behavioral
OR technology OR trigger reduction), and the mean and/or median age of
participants was between 11 and 16 years. We compared populations, intervention
characteristics, study designs, outcomes, settings, and intervention levels
across studies to evaluate behavioral interventions to improve asthma management
for adolescents. RESULTS: Of 1230 articles identified and reviewed, 24 articles
(21 unique studies) met inclusion criteria. Promising approaches to improving
adherence to daily controller medications include objective monitoring of inhaled
corticosteroid adherence with allergist and/or immunologist feedback on
medication-taking behavior and school nurse directly observed therapy. Efficacy
at increasing asthma self-management skills was demonstrated using group
interactive learning in the school setting. This systematic review is not a meta
analysis, thus limiting its quantitative assessment of studies. Publication bias
may also limit our findings. CONCLUSIONS: Novel strategies to objectively
increase controller medication adherence for adolescents include allergist and/or
immunologist feedback and school nurse directly observed therapy. Schools, the
most common setting across studies in this review, provide the opportunity for
group interactive learning to improve asthma knowledge and self-management
skills.
PMID- 26563674
TI - The Immune Phenotype of Patients with CHARGE Syndrome.
AB - BACKGROUND: Recurrent sinopulmonary infections are common in children with CHARGE
(Coloboma, Heart disease, choanal Atresia, growth/mental Retardation,
Genitourinary malformations, Ear abnormalities) syndrome, but no prospective
studies on immune function have been conducted. OBJECTIVE: This study aims to
examine and compare the immune phenotype of patients with CHARGE syndrome to
those with 22q11.2 deletion and healthy controls. METHODS: A total of 21 patients
attended a multidisciplinary CHARGE clinic. All patients had CHD7 mutational
analysis performed. Patients with CHARGE syndrome had lymphocyte subsets,
immunoglobulins (IgG, A, M), functional protein, and polysaccharide vaccine
responses measured at initial evaluation. A total of 55 healthy controls were
prospectively recruited, whereas 40 patients with 22q11.2 deletion were
retrospectively identified through medical records. A separate analysis compared
serial lymphocyte counts and ionized calcium levels between patients with CHARGE
syndrome and those with 22q11.2 deletion in the first 72 months of life. RESULTS:
Despite recurrent childhood ear and chest infections, only 2 children with CHARGE
syndrome had an identifiable immune defect (reduced serum IgA). In contrast, T
cell lymphopenia, low immunoglobulin levels, and specific antibody deficiency
were noted in patients with 22q11.2 deletion. A greater proportion of patients
with 22q11.2 deletion had persistent lymphopenia (57% vs 30%) and hypocalcemia
(60% vs 37.5%) compared with patients with CHARGE syndrome in the first 72 months
of life. CONCLUSIONS: Although phenotypic overlap exists between CHARGE and
22q11.2 deletion syndromes, no significant immune defects were detected in this
cohort of patients with CHARGE syndrome at the time of testing. Lymphopenia and
hypocalcemia occur in both conditions early in life, but is more pronounced in
patients with 22q11.2 deletion.
PMID- 26563675
TI - High adherence to hymenoptera venom subcutaneous immunotherapy over a 5-year
follow-up: A real-life experience.
PMID- 26563677
TI - Modal engineering of Surface Plasmons in apertured Au Nanoprisms.
AB - Crystalline gold nanoprisms of sub-micrometric size sustain high order plasmon
modes in the visible and near infrared range that open a new realm for plasmon
modal design, integrated coplanar devices and logic gates. In this article, we
explore the tailoring of the surface plasmon local density of states (SP-LDOS) by
embedding a single defect, namely a small hole, carved in the platelet by focused
ion beam (FIB). The change in the SP-LDOS of the hybrid structure is monitored by
two-photon luminescence (TPL) microscopy. The dependency of the two-dimensional
optical field intensity maps on the linear polarization of the tightly focused
femtosecond laser beam reveals the conditions for which the hole defect
significantly affects the initial modes. A detailed numerical analysis of the
spectral characteristics of the SP-LDOS based on the Green dyadic method clearly
indicates that the hole size and location can be exploited to tune or remove
selected SP modes.
PMID- 26563676
TI - Depression, Asthma, and Bronchodilator Response in a Nationwide Study of US
Adults.
AB - BACKGROUND: Little is known about the relation between 2 common comorbidities
(depression and anxiety) and asthma or bronchodilator response (BDR). OBJECTIVE:
To examine the association between depressive symptoms and asthma or BDR in US
adults. METHODS: Cross-sectional study of 20,272 adults aged 20 to 79 years from
the 2007-2012 National Health and Nutrition Examination Survey. Depressive
symptoms were measured using the 9-item Patient Health Questionnaire, and
classified as none to minimal, mild, moderate, moderately severe, and severe.
Major depression (comprising moderately severe to severe symptoms) was defined as
a 9-item Patient Health Questionnaire score of 15 or more. Anxiety was defined as
5 or more days feeling anxious in the previous month. Current asthma was defined
as having been diagnosed with asthma by a doctor or health professional and 1 or
more asthma attack in the previous year. BDR (as percentage of baseline FEV1) was
measured in 1356 participants with FEV1/forced vital capacity of less than 0.70
and/or FEV1 less than 70% of predicted. Logistic or linear regression was used
for the multivariable analysis. RESULTS: Depressive symptoms were significantly
and linearly associated with asthma, independently of anxiety symptoms. Subjects
with major depression had 3.4 times higher odds of asthma than did those with
minimal or no depressive symptoms (95% CI, 2.6-4.5; P < .01). Among adults with
asthma, major depression was associated with a 4.2% reduction in BDR (95% CI,
7.5% to -0.8%; P = .02). Major depression was not associated with BDR among
adults without asthma. Anxiety was not associated with asthma or BDR.
CONCLUSIONS: Depressive symptoms are associated with asthma in adults,
independently of anxiety symptoms. Major depression is associated with reduced
BDR in adults with asthma.
PMID- 26563678
TI - Pro-Anorexia and Anti-Pro-Anorexia Videos on YouTube: Sentiment Analysis of User
Responses.
AB - BACKGROUND: Pro-anorexia communities exist online and encourage harmful weight
loss and weight control practices, often through emotional content that enforces
social ties within these communities. User-generated responses to videos that
directly oppose pro-anorexia communities have not yet been researched in depth.
OBJECTIVE: The aim was to study emotional reactions to pro-anorexia and anti-pro
anorexia online content on YouTube using sentiment analysis. METHODS: Using the
50 most popular YouTube pro-anorexia and anti-pro-anorexia user channels as a
starting point, we gathered data on users, their videos, and their commentators.
A total of 395 anorexia videos and 12,161 comments were analyzed using positive
and negative sentiments and ratings submitted by the viewers of the videos. The
emotional information was automatically extracted with an automatic sentiment
detection tool whose reliability was tested with human coders. Ordinary least
squares regression models were used to estimate the strength of sentiments. The
models controlled for the number of video views and comments, number of months
the video had been on YouTube, duration of the video, uploader's activity as a
video commentator, and uploader's physical location by country. RESULTS: The 395
videos had more than 6 million views and comments by almost 8000 users. Anti-pro
anorexia video comments expressed more positive sentiments on a scale of 1 to 5
(adjusted prediction [AP] 2.15, 95% CI 2.11-2.19) than did those of pro-anorexia
videos (AP 2.02, 95% CI 1.98-2.06). Anti-pro-anorexia videos also received more
likes (AP 181.02, 95% CI 155.19-206.85) than pro-anorexia videos (AP 31.22, 95%
CI 31.22-37.81). Negative sentiments and video dislikes were equally distributed
in responses to both pro-anorexia and anti-pro-anorexia videos. CONCLUSIONS:
Despite pro-anorexia content being widespread on YouTube, videos promoting help
for anorexia and opposing the pro-anorexia community were more popular, gaining
more positive feedback and comments than pro-anorexia videos. Thus, the anti-pro
anorexia content provided a user-generated counterforce against pro-anorexia
content on YouTube. Professionals working with young people should be aware of
the social media dynamics and versatility of user-generated eating disorder
content online.
PMID- 26563679
TI - Electronic band-gap modified passive silicon optical modulator at
telecommunications wavelengths.
AB - The silicon optical modulator is considered to be the workhorse of a revolution
in communications. In recent years, the capabilities of externally driven active
silicon optical modulators have dramatically improved. Self-driven passive
modulators, especially passive silicon modulators, possess advantages in
compactness, integration, low-cost, etc. Constrained by a large indirect band-gap
and sensitivity-related loss, the passive silicon optical modulator is scarce and
has been not advancing, especially at telecommunications wavelengths. Here, a
passive silicon optical modulator is fabricated by introducing an impurity band
in the electronic band-gap, and its nonlinear optics and applications in the
telecommunications-wavelength lasers are investigated. The saturable absorption
properties at the wavelength of 1.55 MUm was measured and indicates that the
sample is quite sensitive to light intensity and has negligible absorption loss.
With a passive silicon modulator, pulsed lasers were constructed at wavelengths
at 1.34 and 1.42 MUm. It is concluded that the sensitive self-driven passive
silicon optical modulator is a viable candidate for photonics applications out to
2.5 MUm.
PMID- 26563680
TI - Comorbid risks of deep vein thrombosis and pulmonary thromboembolism in patients
with chronic pancreatitis: a nationwide cohort study.
AB - ESSENTIALS: Risks of deep vein thrombosis (DVT) and pulmonary embolism (PE) in
chronic pancreatitis (CP) are unclear. We conducted a nationwide cohort study to
evaluate the risks of DVT and PE in CP patients. 17 778 patients with CP and 71
106 without CP were followed for 86 740 and 429 116 person-years, respectively.
Patients with CP had a 2.95-fold increased rate of DVT and a 4.51-fold increased
rate of PE. BACKGROUND: Studies on the association between chronic pancreatitis
(CP) and cardiovascular diseases are scarce. We conducted a nationwide cohort
study to evaluate the risks of deep vein thrombosis (DVT) and pulmonary embolism
(PE) in CP patients. METHODS: Using the data from the Taiwan National Health
Insurance Research Database, we randomly selected a non-CP cohort from insurants
without a history of CP, and frequency-matched them at a ratio of 4 : 1 according
to age, sex and index year with each patient newly diagnosed with CP between 2000
and 2010. The follow-up period ranged from the index date of new CP diagnosis to
the diagnosis of DVT or PE, censoring, or the end of 2011. We used univariable
and multivariable Cox proportional hazard regression models to determine the
risks of DVT and PE. RESULTS: In total, 17 778 patients in the CP cohort (82.6%
men; mean age of 48.6 years) and 71 106 persons in the non-CP cohort were
observed for 86 740 and 429 116 person-years, respectively. The CP cohort showed
a 2.95-fold greater adjusted hazard ratio (aHR) for DVT (95% confidence interval
[CI] 2.06-4.22) and a 4.51-fold greater aHR for PE (95% CI 2.86-7.11) than the
non-CP cohort. Substantial risks of DVT and PE were evident in patients with CP
aged < 55 years. The CP cohort with comorbidities showed increased risks of DVT
and PE as compared with the non-CP cohort with no comorbidities. CONCLUSIONS: The
risks of DVT and PE are significantly higher in CP patients than in the general
population.
PMID- 26563681
TI - Contact dermatitis as an adverse reaction to some topically used European herbal
medicinal products - Part 3: Mentha * piperita - Solanum dulcamara.
AB - This review focuses on contact dermatitis as an adverse effect of a selection of
topically used herbal medicinal products for which the European Medicines Agency
has completed an evaluation up to the end of November 2013 and for which a
Community herbal monograph - now (since 2015)(?) called a European Union herbal
monograph - has been produced. Part 3: Mentha * piperita L.-Solanum dulcamara L.
PMID- 26563682
TI - Macronutrient intake regulates sexual conflict in decorated crickets.
AB - Sexual conflict results in a diversity of sex-specific adaptations, including
chemical additions to ejaculates. Male decorated crickets (Gryllodes sigillatus)
produce a gelatinous nuptial gift (the spermatophylax) that varies in size and
free amino acid composition, which influences a female's willingness to fully
consume this gift. Complete consumption of this gift maximizes sperm transfer
through increased retention of the sperm-containing ampulla, but hinders post
copulatory mate choice. Here, we examine the effects of protein (P) and
carbohydrate (C) intake on the weight and amino acid composition of the
spermatophylax that describes its gustatory appeal to the female, as well as the
ability of this gift to regulate sexual conflict via ampulla attachment time.
Nutrient intake had similar effects on the expression of these traits with each
maximized at a high intake of nutrients with a P : C ratio of 1 : 1.3. Under
dietary choice, males actively regulated their nutrient intake but this
regulation did not coincide with the peak of the nutritional landscape for any
trait. Our results therefore demonstrate that a balanced intake of nutrients is
central to regulating sexual conflict in G. sigillatus, but males are constrained
from reaching the optima needed to bias the outcome of this conflict in their
favour.
PMID- 26563683
TI - Regarding long-term outcome after kidney donation.
PMID- 26563685
TI - Modeling skeletal bone development with hidden Markov models.
AB - This paper presents a tool for automatic assessment of skeletal bone age
according to a modified version of the Tanner and Whitehouse (TW2) clinical
method. The tool is able to provide an accurate bone age assessment in the range
0-6 years by processing epiphysial/metaphysial ROIs with image-processing
techniques, and assigning TW2 stage to each ROI by means of hidden Markov models.
The system was evaluated on a set of 360 X-rays (180 for males and 180 for
females) achieving a high success rate in bone age evaluation (mean error rate of
0.41+/-0.33 years comparable to human error) as well as outperforming other
effective methods. The paper also describes the graphical user interface of the
tool, which is also released, thus to support and speed up clinicians' practices
when dealing with bone age assessment.
PMID- 26563684
TI - Effects of unsaturated fatty acids on the kinetics of voltage-gated proton
channels heterologously expressed in cultured cells.
AB - KEY POINTS: Arachidonic acid (AA) greatly enhances the activity of the voltage
gated proton (Hv) channel, although its mechanism of action and physiological
function remain unclear. In the present study, we analysed the effects of AA on
proton currents through Hv channels heterologously expressed in HEK293T cells.
The dramatic increase in proton current amplitude elicited by AA was accompanied
by accelerated activation kinetics and a leftward shift in the voltage-dependence
of activation. Mutagenesis studies suggest the two aforementioned effects of AA
reflect two distinct structural mechanisms. Application of phospholipase A2 ,
which liberates AA from phospholipids in the membrane, also enhances Hv channel
activity, supporting the idea that AA modulates Hv channel activity within
physiological contexts. Unsaturated fatty acids are key components of the
biological membranes of all cells, and precursors of mediators for cell
signalling. Arachidonic acid (AA) is an unsaturated fatty acid known to modulate
the activities of various ion channels, including the voltage-gated proton (Hv)
channel, which supports the rapid production of reactive oxygen species (ROS) in
phagocytes through regulation of pH and membrane potential. However, the
molecular mechanisms and physiological functions of the effects of AA on Hv
channels remain unclear. In the present study, we report an electrophysiological
analysis of the effects of AA on the mouse Hv channel (mHv1) heterologously
expressed in HEK293T cells. Application of AA to excised inside-out patch
membranes rapidly induced a robust increase in the amplitude of the proton
current through mHv1. The current increase was accompanied by accelerated
activation kinetics and a small leftward shift of the current-voltage
relationship. In monomeric channels lacking the coiled-coil region of the channel
protein, the shift in the current-voltage relationship was diminished but
activation and deactivation remained accelerated. Studies with several AA
derivatives showed that double bonds and hydrophilic head groups are essential
for the effect of AA, although charge was not important. The application of
phospholipase A2 (PLA2), which generates AA from cell membrane phospholipids,
stimulated mHv1 activity to a similar extent as direct application of ~ 20 MUM
AA, suggesting that endogenous AA may regulate Hv channel activity.
PMID- 26563686
TI - Exploiting ensemble learning for automatic cataract detection and grading.
AB - Cataract is defined as a lenticular opacity presenting usually with poor visual
acuity. It is one of the most common causes of visual impairment worldwide. Early
diagnosis demands the expertise of trained healthcare professionals, which may
present a barrier to early intervention due to underlying costs. To date, studies
reported in the literature utilize a single learning model for retinal image
classification in grading cataract severity. We present an ensemble learning
based approach as a means to improving diagnostic accuracy. Three independent
feature sets, i.e., wavelet-, sketch-, and texture-based features, are extracted
from each fundus image. For each feature set, two base learning models, i.e.,
Support Vector Machine and Back Propagation Neural Network, are built. Then, the
ensemble methods, majority voting and stacking, are investigated to combine the
multiple base learning models for final fundus image classification. Empirical
experiments are conducted for cataract detection (two-class task, i.e., cataract
or non-cataractous) and cataract grading (four-class task, i.e., non-cataractous,
mild, moderate or severe) tasks. The best performance of the ensemble classifier
is 93.2% and 84.5% in terms of the correct classification rates for cataract
detection and grading tasks, respectively. The results demonstrate that the
ensemble classifier outperforms the single learning model significantly, which
also illustrates the effectiveness of the proposed approach.
PMID- 26563687
TI - Compound identification in forensic toxicological analysis with untargeted LC-MS
based techniques.
AB - Untargeted LC-MS/MS techniques have become indispensable tools for systematic
toxicological analysis. Compound identification is based on the mass
spectrometric information obtained, and this may include m/z, isotopic pattern,
retention time and fragmentation information. All these different kinds of
analytical features can be stored in libraries and databases. Currently, the most
competent approach for compound identification involves tandem mass spectral
library search. State-of-the-art databases were shown to be sensitive, specific,
robust and instrument-independent. Low- and high-resolution instruments can both
be used to develop efficient screening workflows. For automated and unattended
acquisition of tandem mass spectral data, data-dependent acquisition control is
the method of choice. Due to their impressive detection sensitivity, data
independent acquisition techniques are finding increased applicability.
PMID- 26563688
TI - Utilisation of echocardiography in Australia.
PMID- 26563689
TI - Editor's note.
PMID- 26563690
TI - Shortfalls in clinical and health system leadership.
PMID- 26563691
TI - Antibiotic resistance: are we all doomed?
AB - Antibiotic resistance is a growing and worrying problem associated with increased
deaths and suffering for people. Overall, there are only two factors that drive
antimicrobial resistance, and both can be controlled. These factors are the
volumes of antimicrobials used and the spread of resistant micro-organisms and/or
the genes encoding for resistance. The One Health concept is important if we want
to understand better and control antimicrobial resistance. There are many things
we can do to better control antimicrobial resistance. We need to prevent
infections. We need to have better surveillance with good data on usage patterns
and resistance patterns available across all sectors, both human and agriculture,
locally and internationally. We need to act on these results when we see either
inappropriate usage or resistance levels rising in bacteria that are of concern
for people. We need to ensure that food and water sources do not spread multi
resistant micro-organisms or resistance genes. We need better approaches to
restrict successfully what and how antibiotics are used in people. We need to
restrict the use of 'critically important' antibiotics in food animals and the
entry of these drugs into the environment. We need to ensure that 'One Health'
concept is not just a buzz word but implemented. We need to look at all sectors
and control not only antibiotic use but also the spread and development of
antibiotic resistant bacteria - both locally and internationally.
PMID- 26563692
TI - B12 deficiency in chronic kidney disease: early recognition matters.
PMID- 26563693
TI - Linear erythematous cutaneous adverse reaction during intravenous iloprost
administration.
PMID- 26563694
TI - Colonoscopy in young women.
PMID- 26563695
TI - Author reply: To PMID 25644364.
PMID- 26563696
TI - Author reply: To PMID 25109312.
PMID- 26563697
TI - When paperwork does not represent the patient's wishes for surrogate decision
making.
PMID- 26563698
TI - Efficacy of non-invasive ventilation for hypercapnic acute respiratory failure in
a general ward: details and comments.
PMID- 26563699
TI - Author reply: To PMID 25684643.
PMID- 26563700
TI - Facile Synthesis of Novel Networked Ultralong Cobalt Sulfide Nanotubes and Its
Application in Supercapacitors.
AB - Ultralong cobalt sulfide (CoS(1.097)) nanotube networks are synthesized by a
simple one-step solvothermal method without any surfactant or template. A
possible formation mechanism for the growth processes is proposed. Owing to the
hollow structure and large specific area, the novel CoS(1.097) materials present
outstanding electrochemical properties. Electrochemical measurements for
supercapacitors show that the as-prepared ultralong CoS(1.097) nanotube networks
exhibit high specific capacity, good capacity retention, and excellent Coulombic
efficiency.
PMID- 26563702
TI - Liquid chromatography/tandem mass spectrometry for simultaneous determination of
undeclared corticosteroids in cosmetic creams.
AB - RATIONALE: Undeclared corticosteroids in creams intended for frequent use might
cause serious side-effects, especially in children. In order to prevent this or
find the cause, it was essential to develop a method for quick detection and
quantification of low levels of corticosteroids. METHODS: Eleven corticosteroids
were used in this study: prednisolone, methylprednisolone, prednisolone-21
acetate, fluocinolone acetonide, fluocinolone acetonide-21-acetate,
hydrocortisone-21-acetate, dexamethasone, betamethasone, betamethasone
dipropionate, clobetasol propionate and triamcinolone. Separation was achieved
via liquid chromatography (LC), and mass spectrometric analysis was conducted by
electrospray ionization triple-quadrupole mass spectrometry (MS/MS) in the
multiple reaction monitoring mode using corticosterone as internal standard.
RESULTS: Good separation by using a gradient-elution LC/MS/MS method with run
time of 25 min enabled the use of a segmented detection method and consecutive
decrease in detection limits. The proposed method has been validated in the
linearity range of 10-1000 ng/mL with coefficients of determination higher than
0.990. The method has shown to have very low limits of quantification (0.75-3
ng/mL) with satisfactory precision and accuracy for each of the corticosteroids.
CONCLUSIONS: An LC/MS/MS method for the rapid and simultaneous determination of
low levels of eleven topical corticosteroids in creams was developed, optimized
and validated. The proposed method can be used for testing of different products
indicated for the treatment of atopic dermatitis, including "natural products",
and "herbal creams" with "miraculous effects".
PMID- 26563701
TI - Idiopathic Noncirrhotic Portal Hypertension: An Appraisal.
AB - Idiopathic noncirrhotic portal hypertension is a poorly defined clinical
condition of unknown etiology. Patients present with signs and symptoms of portal
hypertension without evidence of cirrhosis. The disease course appears to be
indolent and benign with an overall better outcome than cirrhosis, as long as the
complications of portal hypertension are properly managed. This condition has
been recognized in different parts of the world in diverse ethnic groups with
variable risk factors, resulting in numerous terminologies and lack of
standardized diagnostic criteria. Therefore, although the diagnosis of idiopathic
noncirrhotic portal hypertension requires clinical exclusion of other conditions
that can cause portal hypertension and histopathologic confirmation, this entity
is under-recognized clinically as well as pathologically. Recent studies have
demonstrated that variable histopathologic entities with different terms likely
represent a histologic spectrum of a single entity of which obliterative portal
venopathy might be an underlying pathogenesis. This perception calls for
standardization of the nomenclature and formulation of widely accepted diagnostic
criteria, which will facilitate easier recognition of this disorder and will
highlight awareness of this entity.
PMID- 26563703
TI - Analysis of stable isotope ratios in blood of tracked wandering albatrosses fails
to distinguish a delta(13) C gradient within their winter foraging areas in the
southwest Atlantic Ocean.
AB - RATIONALE: The main limitation of isotopic tracking for inferring distribution is
the lack of detailed reference maps of the isotopic landscape (i.e. isoscapes) in
the marine environment. Here, we attempt to map the marine delta(13) C isoscape
for the southwestern sector of the Atlantic Ocean, and assess any temporal
variation using the wandering albatross as a model species. METHODS: Tracking
data and blood and diet samples were collected monthly from wandering albatrosses
rearing chicks at Bird Island, South Georgia, during the austral winter between
May and October 2009. The delta(13) C and delta(15) N values were measured by
mass spectrometry in plasma and blood cells, and related to highly accurate data
on individual movements and feeding activity obtained using three types of
device: GPS, activity (immersion) loggers and stomach temperature probes.
RESULTS: The tracked birds foraged in waters to the north or northwest of South
Georgia, including the Patagonian shelf-break, as far as 2000 km from the colony.
The foraging region encompassed the two main fronts in the Southern Ocean (Polar
and Subantarctic fronts). The delta(13) C values varied by only 2.1 0/00 in
plasma and 2.5 0/00 in blood cells, and no relationships were found between the
delta(13) C values in plasma and the mean latitude or longitude of landings or
feeding events of each individual. CONCLUSIONS: The failure to distinguish a
major biogeographic gradient in delta(13) C values suggest that these values in
the south Atlantic Ocean are fairly homogeneous. There was no substantial
variation among months in either the delta(13) C or the delta(15) N values of
plasma or blood cells of tracked birds. As birds did not show a significant
change in diet composition or foraging areas during the study period, these
results provide no evidence for major temporal variation in stable isotope ratios
in consumer tissues, or in the regional marine isoscape in the austral winter of
2009.
PMID- 26563704
TI - Analytical method for simultaneous determination of bulk and intramolecular (13)
C-isotope compositions of acetic acid.
AB - RATIONALE: Headspace solid-phase microextraction (HS-SPME) combined with gas
chromatography/pyrolysis-gas chromatography/combustion-isotope ratio mass
spectrometry (GC/Py-GC/C-IRMS) was developed for the simultaneous determination
of the intramolecular and molecular carbon-isotopic composition (delta(13) C
value) of acetic acid. METHODS: The delta(13) C values of carboxyl and methyl
carbon were standardized using calibration curves constructed from the regression
between the measured delta(13) C values and the delta(13) C values of working
standards determined in a previous study. We applied this developed HS-SPME-GC/Py
GC/C-IRMS technique to commercial vinegars. RESULTS: In one injection analysis,
the bulk and intramolecular delta(13) C values of pure acetic acid standards can
be obtained. The repeatability (1sigma) of the bulk delta(13) C values is within
+/-0.40/00, and that of the delta(13) Ccarboxyl and delta(13) Cmethyl values is
within +/-0.60/00. The intramolecular delta(13) C values of acetic acid in
vinegars exhibit a similar pattern. The average Deltadelta value (delta(13) CCOOH
- delta(13) CCH3 ) is 4.3 +/- 2.00/00. CONCLUSIONS: The approach presented herein
for the molecular and intramolecular delta(13) C determination of acetic acid
avoids switching between configuration systems and thereby reduces systematic
errors. It is expected to be useful for examining isotope fractionation
associated with processes related to organic acid (bio)transformations.
PMID- 26563705
TI - Stable chlorine isotope analysis of chlorinated acetic acids using gas
chromatography/quadrupole mass spectrometry.
AB - RATIONALE: The environmental occurrence of chlorinated acetic acids (CAAs) has
been extensively studied, but the sources and transport are still not yet fully
understood. A promising approach for source apportionment and process studies is
the isotopic characterization of target compounds. We present the first on-line
stable chlorine isotope analysis of CAAs by use of gas chromatography/quadrupole
mass spectrometry (GC/qMS). METHODS: Following approved procedures for
concentration analysis, CAAs extracted into MTBE were methylated to GC-amenable
methyl esters (mCAAs). These mCAAs were then analyzed by GC/qMS for their stable
chlorine isotope composition using a sample/standard-bracketing approach (CAA
standards in the range delta(37) Cl -6.3 to -0.2 0/00, Standard Mean Ocean
Chloride). RESULTS: Cross-calibration of the herein presented method with off
line reference methods (thermal ionization and continuous-flow GC isotope ratio
mass spectrometry; TI-MS and CF-GC/IRMS, respectively) shows good agreement
between the methods (regression slope for GC/qMS vs reference method data sets:
0.92 +/- 0.29). Sample amounts as small as 10 pmol Cl can herewith be analyzed
with a precision of 0.1 to 0.4 0/00. CONCLUSIONS: This method should be useful
for environmental studies of CAAs at ambient concentrations in precipitations
(<0.06 to 100 nmol L(-1) ), surface waters (<0.2 to 5 nmol L(-1) ) and soil (<0.6
to 2000 nmol kg(-1) dry soil) where conventional off-line methods cannot be
applied.
PMID- 26563706
TI - Optimization of a large-volume injection method for compound-specific isotope
analysis of polycyclic aromatic compounds at trace concentrations.
AB - RATIONALE: Compound-specific isotope analysis (CSIA) of persistent organic
contaminants can be used for source apportioning in the environment if
appropriate sensitivity can be achieved. This paper describes the optimization
and validation of a sensitive analytical approach for the determination of the
carbon isotope composition of semi-volatile organic compounds, such as polycyclic
aromatic hydrocarbons (PAHs). METHODS: Analyses are based on the introduction of
up to 150 MUL of organic extracts by means of programmed temperature vaporization
large-volume injection combined with gas chromatography coupled to isotope ratio
mass spectrometry (PTV-LVI-GC/IRMS). To allow for the analysis of more volatile,
low-molecular-weight PAHs, the PTV injector was equipped with a sub
ambient/cryogenic cooling. Accuracy, precision, linearity and determination
limits for application in isotope analysis were evaluated for a set of individual
PAHs ranging from two- to five-ring molecular structures. The method was
exemplified by determining the delta(13) C values of individual PAHs in soil
samples in a source apportionment study at a contaminated site. RESULTS: The
choice of PTV injection parameters is crucial to prevent isotope fractionation
during injection and largely depends on the analytes to be determined. The
observed isotope fractionation effect on semi-volatiles depends on the applied
solvent and injection temperature and demonstrates the importance of performing
appropriate tests with given PTV parameters for each of the compounds of
interest. The proposed PTV-LVI-GC/IRMS method allows the carbon isotope ratio
(delta(13) C value) of individual PAHs to be determined accurately and precisely
at concentrations of 0.04-0.1 ng MUL(-1) even for volatile PAHs such as
naphthalene or acenaphthene. CONCLUSIONS: LVI with PTV injector cooling allows
for the isotopic analysis of volatile and semi-volatile PAHs at trace
concentrations, thus considerably expanding the applicability of CSIA in
environmental studies.
PMID- 26563707
TI - Development of a suspect and non-target screening approach to detect veterinary
antibiotic residues in a complex biological matrix using liquid
chromatography/high-resolution mass spectrometry.
AB - RATIONALE: Swine manure can contain a wide range of veterinary antibiotics, which
could enter the environment via manure spreading on agricultural fields. A
suspect and non-target screening method was applied to swine manure samples to
attempt to identify veterinary antibiotics and pharmaceutical compounds for a
future targeted analysis method. METHODS: A combination of suspect and non-target
screening method was developed to identify various veterinary antibiotic families
using liquid chromatography coupled with high-resolution mass spectrometry
(LC/HRMS). The sample preparation was based on the physicochemical parameters of
antibiotics for the wide scope extraction of polar compounds prior to LC/HRMS
analysis. The amount of data produced was processed by applying restrictive
thresholds and filters to significantly reduce the number of compounds found and
eliminate matrix components. RESULTS: The suspect and non-target screening was
applied on swine manure samples and revealed the presence of seven common
veterinary antibiotics and some of their relative metabolites, including
tetracyclines, beta-lactams, sulfonamides and lincosamides. However, one steroid
and one analgesic were also identified. The occurrence of the identified
compounds was validated by comparing their retention times, isotopic abundance
patterns and fragmentation patterns with certified standards. CONCLUSIONS: This
identification method could be very useful as an initial step to screen for and
identify emerging contaminants such as veterinary antibiotics and pharmaceuticals
in environmental and biological matrices prior to quantification.
PMID- 26563708
TI - Effects of fatty acyl chain length, double-bond number and matrix on
phosphatidylcholine responses in matrix-assisted laser desorption/ionization on
an Orbitrap mass spectrometer.
AB - RATIONALE: Matrix-assisted laser desorption/ionization mass spectrometry (MALDI
MS) is used for the fast qualitative and quantitative analysis of
phosphatidylcholines (PC). Fatty acyl chain lengths and the number of double
bonds (DB) affect relative responses of PC; hence the determination of correction
factors of individual PC is important for the accurate quantitation. The signal
intensity in MALDI-MS strongly depends on the matrix; therefore, the following
matrices typically used in lipidomics are studied in the present work: 2,5
dihydroxybenzoic acid (DHB), 1,5-diaminonaphthalene (DAN) and 9-aminoacridine
(9AA). METHODS: Series of PC with various fatty acyl chain lengths are
synthesized for this study. PC concentrations over two orders of magnitude are
studied with MALDI-MS. These experiments provide sets of calibration curves for
each of the synthesized PC and the further analysis of parameters of calibration
curves is performed. RESULTS: Correction factors for PC decrease with increasing
fatty acyl chain length for all matrices. These dependences are steeper for
unsaturated PC than for saturated ones. MALDI matrices also have a significant
effect on this dependence. The weakest dependence on fatty acyl chain length is
found for saturated PC in 9AA. In the case of the other matrices, the effect of
fatty acyl chain length on the response is essential for both saturated and
unsaturated PC. Calibration curves and parameters of calibration curves for both
saturated and monounsaturated PC are fitted by a linear function with regression
coefficients decreasing in the order 9AA > DAN > DHB. CONCLUSIONS: Differences in
relative responses for PC in MALDI-MS measurements must be taken into account for
accurate quantitation. Parameters of calibration curves can be used for the
determination of PC concentrations using a single internal standard (IS). This
method gives good results for the 9AA matrix, but the reproducibility of
measurements for the DHB and DAN matrices is lower and the method can be used for
a rough estimation only. These matrices are less convenient for the quantitation
of PC.
PMID- 26563709
TI - An ultrahigh-resolution mass spectrometry index to estimate natural organic
matter lability.
AB - RATIONALE: Determining the chemical constituents of natural organic matter (NOM)
by Fourier Transform Ion Cyclotron Resonance Mass Spectrometry (FTICRMS) remains
the ultimate measure for probing its source material, evolution, and transport;
however, lability and the fate of organic matter (OM) in the environment remain
controversial. FTICRMS-derived elemental compositions are presented in this study
to validate a new interpretative method to determine the extent of NOM lability
from various environments. METHODS: FTICRMS data collected over the last decade
from the same 9.4 tesla instrument using negative electrospray ionization at the
National High Magnetic Field Laboratory in Tallahassee, Florida, was used to
validate the application of a NOM lability index. Solid-phase extraction
cartridges were used to isolate the NOM prior to FTICRMS; mass spectral peaks
were calibrated internally by commonly identified NOM homologous series, and
molecular formulae were determined for NOM composition and lability analysis.
RESULTS: A molecular lability boundary (MLB) was developed from the FTICRMS
molecular data, visualized from van Krevelen diagrams, dividing the data into
more and less labile constituents. NOM constituents above the MLB at H/C >=1.5
correspond to more labile material, whereas NOM constituents below the MLB, H/C
<1.5, exhibit less labile, more recalcitrant character. Of all marine,
freshwater, and glacial environments considered for this study, glacial
ecosystems were calculated to contain the most labile OM. CONCLUSIONS: The MLB
extends our interpretation of FTICRMS NOM molecular data to include a metric of
lability, and generally ranked the OM environments from most to least labile as
glacial > marine > freshwater. Applying the MLB is useful not only for individual
NOM FTICRMS studies, but also provides a lability threshold to compare and
contrast molecular data with other FTICRMS instruments that survey NOM from
around the world.
PMID- 26563710
TI - Comparing ion-pairing reagents and counter anions for ion-pair reversed-phase
liquid chromatography/electrospray ionization mass spectrometry analysis of
synthetic oligonucleotides.
AB - RATIONALE: Ion-pair reversed-phase liquid chromatography/electrospray ionization
mass spectrometry (IP-RP-LC/ESI-MS) has been widely used for the quality control
of oligonucleotides. However, researchers are still looking to improve mobile
phase systems for IP-RP-LC/ESI-MS analysis of oligonucleotides. This study
compared the performance of six ion-pairing reagents with three different counter
anions for IP-RP-LC/ESI-MS analysis of oligonucleotides. METHODS: The study was
performed using a Waters ultra-performance liquid chromatography (UPLC(r)) system
coupled to a Waters LCT Premier XE mass spectrometer by using a UPLC(r) OST
column (2.1 mm * 100 mm, 1.7 um). Buffer systems containing acetate, bicarbonate,
and hexafluoroisopropanolate salts of six ion-pairing reagents (triethylamine,
tripropylamine, hexylamine, N,N-dimethylbutylamine, dibutylamine, N,N
diisopropylethylamine), respectively, were optimized for IP-RP-LC/ESI-MS analysis
of oligonucleotides, and then the optimized conditions were applied for the
separation of oligonucleotides. RESULTS: Counter anions definitely play a role in
IP-RP-LC/ESI-MS analysis of oligonucleotides. Buffer containing 30 mM
diisopropylethylamine and 200 mM hexafluoroisopropanol provided the highest
separation of unmodified heterogeneous oligonucleotides, but tripropylammonium
hexafluoroisopropanolate achieved the most enhanced separation of sequence
isomers. However, triethylammonium acetate and bicarbonate had equally the
highest separation for positional isomers. CONCLUSIONS: IP-RP-LC/ESI-MS
separation of oligonucleotides is mainly sequence dependent, but it is also
dependent on both the type of ion-pairing reagent and counter anion present in
the mobile phase.
PMID- 26563711
TI - Extensive fragmentation of pheophytin-a by infrared multiphoton dissociation
tandem mass spectrometry.
AB - RATIONALE: In a previous tandem mass spectrometry (MS/MS) study on chlorophyll-a,
infrared multiphoton dissociation (IRMPD) was demonstrated as a more effective
fragmentation method than collision-induced dissociation (CID) and electron
induced dissociation (EID), where odd-electron product ions were observed
ubiquitously in CID and IRMPD. To further understand the role of the macrocycle
and the central Mg atom in the MS/MS process, the fragmentation behaviour of
pheophytin-a, the Mg-free chlorophyll-a, was investigated. METHODS: CID, IRMPD,
and EID were applied to the singly protonated pheophytin-a using an ultra-high
resolution Fourier transform ion cyclotron resonance (FTICR) mass spectrometer.
The result is compared with the MS/MS study of chlorophyll-a. RESULTS: For
pheophytin-a, some different fragmentation patterns from chlorophyll-a were
obtained by all three MS/MS methods, but IRMPD still appears the most efficient
method of generating product ions. The detection of odd-electron fragments in the
CID and IRMPD spectra of protonated pheophytin-a suggests that the macrocyclic
structure effectively stabilizes radicals, and these radical ions seem to have a
relatively higher abundance in the presence of the central Mg atom. CONCLUSIONS:
The strong absorption in the infrared region of pheophytin-a and secondary free
radical rearrangement are proposed to explain the extensive frgmentation in IRMPD
spectra. In addition, a comparison of the IRMPD spectra of chlorophyll-a and
pheophytin-a shows that the macrocycle in the absence of the Mg atom is much more
fragile.
PMID- 26563713
TI - Examination of the Factor Structure of a Global Cognitive Function Battery across
Race and Time.
AB - Older African Americans tend to perform more poorly on cognitive function tests
than older Whites. One possible explanation for their poorer performance is that
the tests used to assess cognition may not reflect the same construct in African
Americans and Whites. Therefore, we tested measurement invariance, by race and
over time, of a structured 18-test cognitive battery used in three epidemiologic
cohort studies of diverse older adults. Multi-group confirmatory factor analyses
were carried out with full-information maximum likelihood estimation in all
models to capture as much information as was present in the observed data. Four
different aspects of the data were fit to each model: comparative fit index
(CFI), standardized root mean square residuals (SRMR), root mean square error of
approximation (RMSEA), and model $$?chi ^{2} $$ . We found that the most
constrained model fit the data well (CFI=0.950; SRMR=0.051; RMSEA=0.057 (90%
confidence interval: 0.056, 0.059); the model $$?chi ^{2} $$ =4600.68 on 862 df),
supporting the characterization of this model of cognitive test scores as
invariant over time and racial group. These results support the conclusion that
the cognitive test battery used in the three studies is invariant across race and
time and can be used to assess cognition among African Americans and Whites in
longitudinal studies. Furthermore, the lower performance of African Americans on
these tests is not due to bias in the tests themselves but rather likely reflect
differences in social and environmental experiences over the life course. (JINS,
2016, 22, 66-75).
PMID- 26563714
TI - Comparative results of endoscopic and open methods of vein harvesting for
coronary artery bypass grafting: a prospective randomized parallel-group trial.
AB - BACKGROUND: We compared wound complications between endoscopic and open great
saphenous vein harvesting for coronary artery bypass surgery. METHODS: A total of
228 consecutive patients were prospectively randomized into two groups: open vein
harvesting (OVH), 115 patients; and endoscopic vein harvesting (EVH), 113
patients. Each group was assessed for post-operative wound complications, pain
intensity, and neuropathy in the early post-surgical period. Lymphoscintigraphy
of the lower limbs as well as morphological studies of vein walls using light and
electron scanning microscopy were performed. RESULTS: Vein harvesting time was
shorter for EVH than OVH: 31.8 +/- 6.2 min and 40.3 +/- 15.8 min, respectively (p
< 0.01). There were fewer complications after vein harvesting in the EVH group
(11.5 %) than in the OVH group (44.4 %) (r = 0.001). Multivariate analysis showed
that diabetes mellitus was the only risk factor for post-surgical complications
after OVH (odds ratio = 3.95 %; 95 % confidence interval 1.03-8.6).
Lymphoscintigraphic data in the EVH group did not demonstrate considerable
disturbances in lymph drainage after surgery. In the OVH group, the accumulation
of radiopharmaceutical drugs in the lymphatic nodes reduced two-fold (r <=
0.001). Histological evaluation of vein samples did not show considerable damage
to the vein wall in either group. CONCLUSIONS: Using electron microscopy of vein
fragments, this study demonstrated that EVH reduces wound complications and
provides good-quality conduits.
PMID- 26563715
TI - Management of gastric cancer: East vs West.
PMID- 26563716
TI - Seasonal dynamics of the fish assemblage in a floodplain lake at the confluence
of the Negro and Amazon Rivers.
AB - The temporal effect of discharge and limnology on fish composition and species
diversity in a floodplain lake at the confluence of the Amazon and Negro Rivers
was evaluated. Species richness, abundance and assemblage composition were
strongly influenced by seasonal discharge of the Amazon and Negro Rivers, which
affects lateral connectivity, water conductivity and temperature. As a
consequence, temporal beta-diversity was high in the lake and the assemblage was
dominated by seasonally transient species. Relatively large species known to feed
on resources within the floodplain were captured almost exclusively during the
flood period. During the dry season, the assemblage was dominated by fishes
adapted to harsh conditions of high temperature and low dissolved oxygen
concentrations. An open system with high spatial and temporal heterogeneity
created by the meeting of two large rivers with different water chemistry, Lago
Catalao has a dynamic fish assemblage. Given its high temporal beta-diversity and
abundance of fishes, many of great importance in local fisheries, Lago Catalao
and other floodplain lakes in this region merit special attention for
conservation.
PMID- 26563717
TI - Hasday: Treatment of obesity in 10th century.
PMID- 26563718
TI - The occurrence of musculoskeletal complaints among professional musicians: a
systematic review.
AB - PURPOSE: This study gives a systematic overview of the literature on the
occurrence of musculoskeletal complaints in professional instrumental musicians.
METHODS: A systematic review. Nine literature databases were searched without
time limits on June 25, 2015, also the complete index of the journal Medical
Problems of Performing Artists (MPPA) until June 2015 (30;2) was searched, and
citation tracking and reference checking of the selected articles were performed.
The search consisted of the combination of three groups of keywords: musician
(e.g., musician, violin, music student, instrument player) AND musculoskeletal
(e.g., musculoskeletal, tendon, shoulder, arthritis) AND epidemiology (e.g.,
prevalence, incidence, occurrence). RESULTS: The initial literature search
strategy resulted in 1258 potentially relevant articles. Finally, 21 articles
describing 5424 musicians were included in this review. Point prevalences of
musculoskeletal complaints in professional musicians range between 9 and 68 %; 12
month prevalences range between 41 and 93 %; and lifetime prevalences range
between 62 and 93 %. Ten out of 12 studies show a higher prevalence of
musculoskeletal complaints among women. Brass instrumentalists are reported to
have the lowest prevalence rates of musculoskeletal complaints. The neck and
shoulders are the anatomic areas most affected; the elbows are least affected.
Although some information is reported concerning age, the high risk of bias in
and between these studies makes it impossible to present reliable statements with
respect to this. CONCLUSION: Musculoskeletal symptoms are highly prevalent among
musicians, especially among women instrumentalists. Future research concerning
the epidemiology of musculoskeletal complaints among musicians should focus on
associated risk factors and follow the current guidelines to optimize scientific
quality.
PMID- 26563719
TI - Sensory modulation of movement, posture and locomotion.
AB - During voluntary movement, there exists a well known functional sensory
attenuation of afferent inputs, which allows us to discriminate between
information related to our own movements and those arising from the external
environment. This attenuation or 'gating' prevents some signals from interfering
with movement elaboration and production. However, there are situations in which
certain task-relevant sensory inputs may not be gated. This review begins by
identifying the prevalent findings in the literature with specific regard to the
somatosensory modality, and reviews the many cases of classical sensory gating
phenomenon accompanying voluntary movement and their neural basis. This review
also focuses on the newer axes of research that demonstrate that task-specific
sensory information may be disinhibited or even facilitated during engagement in
voluntary actions. Finally, a particular emphasis will be placed on postural
and/or locomotor tasks involving strong somatosensory demands, especially for the
setting of the anticipatory postural adjustments observed prior the initiation of
locomotion.
PMID- 26563720
TI - The discovery of sofosbuvir: a revolution for therapy of chronic hepatitis C.
AB - INTRODUCTION: Hepatitis C virus (HCV) infection is a worldwide health problem,
whose management has been revolutionized after the availability of sofosbuvir, a
direct-acting antiviral (DAAs). Sofosbuvir is a HCV NS5B polymerase inhibitor.
Antiviral regimens including sofosbuvir are associated with success rates >90%,
even in the case of "difficult-to-treat" patients such as subjects with liver
cirrhosis as well as prior null response to IFN and ribavirin. AREAS COVERED:
This drug discovery case history focuses on the pre-clinical and clinical
development of sofosbuvir. The authors analyze all of the main steps leading to
the global approval of sofosbuvir. The paper also highlights the encouraging data
from the subsequent trials wherein sofosbuvir was tested in combination with
other DAAs (IFN- and often ribavirin-free regimens) and from first real life
studies. EXPERT OPINION: Sofosbuvir is a very powerful weapon in the new
armamentarium against HCV. Thanks to its valuable features including its
pangenotypic activity, once-daily oral administration, its excellent
tolerability, and safety profile, it represents the backbone of several effective
regimens, in combination with IFN or with other DAAs (IFN-free therapies).
Regimens including sofosbuvir have quickly become the touchstone for all the
novel anti-HCV treatments.
PMID- 26563721
TI - Foreword: Association Between Prenatal Exposure to Maternal Infection and
Offspring Mood Disorders: A Review of the Literature.
PMID- 26563722
TI - Deep and surface learning in problem-based learning: a review of the literature.
AB - In problem-based learning (PBL), implemented worldwide, students learn by
discussing professionally relevant problems enhancing application and integration
of knowledge, which is assumed to encourage students towards a deep learning
approach in which students are intrinsically interested and try to understand
what is being studied. This review investigates: (1) the effects of PBL on
students' deep and surface approaches to learning, (2) whether and why these
effects do differ across (a) the context of the learning environment (single vs.
curriculum wide implementation), and (b) study quality. Studies were searched
dealing with PBL and students' approaches to learning. Twenty-one studies were
included. The results indicate that PBL does enhance deep learning with a small
positive average effect size of .11 and a positive effect in eleven of the 21
studies. Four studies show a decrease in deep learning and six studies show no
effect. PBL does not seem to have an effect on surface learning as indicated by a
very small average effect size (.08) and eleven studies showing no increase in
the surface approach. Six studies demonstrate a decrease and four an increase in
surface learning. It is concluded that PBL does seem to enhance deep learning and
has little effect on surface learning, although more longitudinal research using
high quality measurement instruments is needed to support this conclusion with
stronger evidence. Differences cannot be explained by the study quality but a
curriculum wide implementation of PBL has a more positive impact on the deep
approach (effect size .18) compared to an implementation within a single course
(effect size of -.05). PBL is assumed to enhance active learning and students'
intrinsic motivation, which enhances deep learning. A high perceived workload and
assessment that is perceived as not rewarding deep learning are assumed to
enhance surface learning.
PMID- 26563723
TI - [Infantile pyknocytosis: A cause of noenatal hemolytic anemia. Is recombinant
erythropoietin an alternative to transfusion?].
AB - Infantile pyknocytosis is a neonatal hemolytic disorder which causes anemia and
icterus and is characterized by the presence of an increased number of distorted
red blood cells called pyknocytes. Resolution spontaneously occurs in the first
semester of life. It has been generally described as a rare entity, with an
occasional family history. We report seven cases of infantile pyknocytosis
observed in our hospital in 3 years. Most of the infants presented with hemolytic
icterus and profound anemia that was reaching its peak by the 3rd week of life.
Three neonates received one to three red blood cell transfusions, according to
former recommendations. However, the following four received a treatment with
recombinant erythropoietin administered subcutaneously. Only one of these four
cases required a transfusion. All of them were free of hematological disease 2-3
months after completion of treatment. Infantile pyknocytosis is a recognized
cause of neonatal hemolytic anemia, which requires careful examination of red
cell morphology on a peripheral blood smear. The cause of this transient disorder
remains unknown. Our observations show that recombinant erythropoietin therapy is
effective in treating infantile pyknocytosis and increases the reticulocyte
response, thus improving the hemoglobin level.
PMID- 26563724
TI - [Peroneal nerve palsy in children: Uncommon diagnosis of a proximal tibiofibular
synovial cyst].
AB - Compression of the common peroneal nerve by synovial cysts of the tibiofibular
joint is a rare disease. Two macroscopic forms may be encountered: extraneural
cysts and intraneural cysts. In a review of the literature, we found only three
pediatric cases of common peroneal nerve palsy due to extraneural cysts and about
60 cases reported in adults. Taking advantage of the clinical history of two
children operated in our department for this disease, we describe this uncommon
entity, which must be diagnosed and treated rapidly. Even in the presence of
severe clinical and electrophysiological symptoms, a full clinical recovery is
possible, as observed in these two children. However, follow-up is needed because
recurrence is possible.
PMID- 26563725
TI - [Acute renal failure after dengue virus infection: A pediatric case report].
AB - Dengue is an emerging, rapidly expanding disease, whose clinical and biological
manifestations vary. Kidney injury is not usual but can be severe, and it is most
often associated with dengue hemorrhagic fever or shock. Guadeloupe, which is
located in an endemic area, experienced an epidemic from 2013 to 2014. During
this outbreak, a case of renal failure during dengue was observed in a 10-year
old child. No evidence of dengue hemorrhagic fever or shock syndrome was found.
The clinical and biological course improved with symptomatic treatment. The
association of acute renal failure with hemolytic anemia suggested a diagnosis of
hemolytic uremic syndrome. However, this could not be confirmed in the absence of
thrombocytopenia and cytopathologic evidence. This case illustrates the diversity
of clinical presentations of dengue, and the possibility of severe renal
impairment unrelated to the usual factors encountered in dengue.
PMID- 26563726
TI - [Vaccine news].
PMID- 26563727
TI - Lyophilized mucoadhesive-dendrimer enclosed matrix tablet for extended oral
delivery of albendazole.
AB - Dendrimers are multifunctional carriers widely employed for delivering drugs in a
variety of disease conditions including HIV/AIDS and cancer. Albendazole (ABZ) is
a commonly used anthelmintic drug in human as well as veterinary medicine. In
this investigation, ABZ was formulated as a "muco-dendrimer" based sustained
released tablet. The mucoadhesive complex was synthesized by anchoring chitosan
to fifth generation PPI dendrimer (Muco-PPI) and characterized by UV, FTIR, (1)H
NMR spectroscopy and electron microscopy. ABZ was entrapped inside Muco-PPI
followed by lyophilization and tableting as matrix tablet. A half-life (t1/2) of
8.06+/-0.15, 8.17+/-0.47, 11.04+/-0.73, 11.49+/-0.92, 12.52+/-1.04 and 16.9+/
1.18h was noted for ABZ (free drug), conventional ABZ tablet (F1), conventional
ABZ matrix tablet (F2), PPI-ABZ complex, PPI-ABZ matrix tablet (F3) and Muco-PPI
ABZ matrix tablet (F4), respectively. Thus the novel mucoadhesive-PPI based
formulation of ABZ (F4) increased the t1/2 of ABZ significantly by almost twofold
as compared to the administration of free drug. The in vivo drug release data
showed that the Muco-PPI based formulations have a significantly higher Cmax
(2.40+/-0.02MUg/mL) compared with orally administered free ABZ (0.19+/
0.07MUg/mL) as well as conventional tablet (0.20+/-0.05MUg/mL). In addition, the
Muco-PPI-ABZ matrix tablet displayed increased mean residence time (MRT) and is
therefore a potential candidate to appreciably improve the pharmacokinetic
profile of ABZ.
PMID- 26563728
TI - (11)C- and (18)F-Labeled Radioligands for P-Glycoprotein Imaging by Positron
Emission Tomography.
AB - P-Glycoprotein (P-gp) is an efflux transporter widely expressed at the human
blood-brain barrier. It is involved in xenobiotics efflux and in onset and
progression of neurodegenerative disorders. For these reasons, there is great
interest in the assessment of P-gp expression and function by noninvasive
techniques such as positron emission tomography (PET). Three radiolabeled
aryloxazole derivatives: 2-[2-(2-methyl-((11)C)-5-methoxyphenyl)oxazol-4
ylmethyl]-6,7-dimethoxy-1,2,3,4-tetrahydroisoquinoline ([(11)C]-5); 2-[2-(2
fluoromethyl-((18)F)-5-methoxyphenyl)oxazol-4-ylmethyl]-6,7-dimethoxy-1,2,3,4
tetra-hydroisoquinoline ([(18)F]-6); and 2-[2-(2-fluoroethyl-((18)F)-5
methoxyphenyl)oxazol-4-ylmethyl]-6,7-dimethoxy-1,2,3,4-tetrahydroisoquinoline
([(18)F]-7), were tested in several in vitro biological assays to assess the
effect of the aryl substituent in terms of potency and mechanism of action toward
P-gp. Methyl derivative [(11)C]-5 is a potent P-gp substrate, whereas the
corresponding fluoroethyl derivative [(18)F]-7 is a P-gp inhibitor. Fluoromethyl
compound [(18)F]-6 is classified as a non-transported P-gp substrate, because its
efflux increases after cyclosporine A modulation. These studies revealed a
promising substrate and inhibitor, [(11)C]-5 and [(18)F]-7, respectively, for in
vivo imaging of P-gp by using PET.
PMID- 26563729
TI - Primary Tumor Resection Is Associated with Improved Survival in Stage IV
Colorectal Cancer: An Instrumental Variable Analysis.
AB - Primary tumor resection (PTR) is recommended for patients with unresectable stage
IV colorectal cancer (CRC) who present with symptoms related to their primary
tumor. However, the survival benefit of PTR for asymptomatic patients is
controversial. We investigated the change in PTR rates and the contribution of
PTR to survival in patients with unresectable stage IV CRC over the past two
decades in the United States. Clinicopathological factors and long-term survival
were compared for 44 514 patients diagnosed with unresectable stage IV CRC from
January 1, 1988, through December 31, 2010, who had or had not undergone PTR.
Multivariable Cox regression and the instrumental variable method were used to
identify independent factors for survival. Of the 44 514 patients with
unresectable stage IV CRC, 27 931 (62.7%) had undergone PTR. The annual rate of
PTR decreased from 74.4% to 50.2% diagnosed in 1988 and 2010, and the median
overall survival increased for both PTR and non-PTR patients. Instrumental
variable analyses revealed that PTR was associated with better overall, cancer
specific, and other-cause survival of patients with unresectable stage IV CRC.
PMID- 26563730
TI - Pre-clinical evaluation of therapies to prevent or treat bone non-union: a
systematic review protocol.
AB - BACKGROUND: Non-union of fractured bone is a major cause of morbidity in the
orthopaedic population. Despite this, optimal management of non-union is still
unclear and remains a significant clinical challenge. Research continues in
animal models in an attempt to identify an effective clinical treatment. The
proposed systematic review will evaluate current therapies of bone non-union in
animal models, in order to identify those that may translate successfully to
clinical therapies. METHODS/DESIGN: The methodology for the systematic review
will be in accordance with standard guidelines. All potential sources for pre
clinical studies will be interrogated and the search strategy written in
conjunction with a specialist in this field. Data extraction will be conducted by
two reviewers to minimise bias. Analysis will be predominantly qualitative
because of the heterogeneity that is likely to exist between the studies.
However, quantitative synthesis will be performed where homogeneity in a sub
group of studies exists. Quality assessment will be undertaken utilising a risk
of bias tool. DISCUSSION: To date, there has not been a systematic review
addressing bone non-union therapies in animal models despite the plethora of pre
clinical research currently being undertaken. This protocol details and outlines
the methodology and justification for such a review.
PMID- 26563731
TI - Cyclopent-2-enylaluminium as allylzinc precursor for the diastereoselective
allylmetallation of non-racemic imines: applications to the synthesis of
enantiomerically enriched heterocycles.
AB - The generation of cyclopent-2-enylzinc from cyclopentadiene based on a titanium
catalyzed hydroalumination/transmetallation sequence is described. Applied to the
allylmetallation of phenylglycinol-derived imines, this sequence leads to
homoallylic amines with moderate to good stereoselectivities. The synthesis of
disubstituted azetidines and piperidines illustrates the potential of the method.
PMID- 26563732
TI - Evaluation of executive functions in children and adolescents with familial
Mediterranean fever.
AB - The aim of the present study was to investigate neuropsychological test
performance in children and adolescents with familial Mediterranean fever (FMF).
A total of 88 children and adolescents aged 8 to 17 years were included, 52 with
FMF and 36 healthy controls. After the participants were administered the
Children Depression Inventory (CDI) and the Screen for Child Anxiety Related
Emotional Disorders (SCARED), they completed the battery tests of the Central
Nervous System Vital Signs (CNSVS), a neurocognitive test battery, via computer.
The battery calculates seven domain scores (Memory, Psychomotor Speed, Processing
Speed, Reaction Time, Complex Attention, Executive Function, and Cognitive
Flexibility) and a summary score (Neurocognition Index [NCI]). A statistically
significant difference between the FMF and control groups was found in six out of
seven domains, where the scores of the participants with FMF were found to be
significantly lower than those of the control participants (p < .05). Although
the mean Reaction Time score of the participants with FMF was found to be lower
than that of the control participants, the finding was not statistically
significant (p > .05). The mean CDI and SCARED scores of the participants with
FMF were found to be significantly higher than those of the control participants
(p < .05). Low scores in the Processing Speed and Psychomotor Speed domains of
the CNSVS were significantly correlated with higher SCARED scores (r = -.37, p =
.01). Impaired cognitive functions should be taken into consideration in children
and adolescents with FMF when assessing and managing this population.
PMID- 26563733
TI - Suppression of autophagy by extracellular vesicles promotes myofibroblast
differentiation in COPD pathogenesis.
AB - Extracellular vesicles (EVs), such as exosomes and microvesicles, encapsulate
proteins and microRNAs (miRNAs) as new modulators of both intercellular crosstalk
and disease pathogenesis. The composition of EVs is modified by various triggers
to maintain physiological homeostasis. In response to cigarette smoke exposure,
the lungs develop emphysema, myofibroblast accumulation and airway remodelling,
which contribute to chronic obstructive pulmonary disease (COPD). However, the
lung disease pathogenesis through modified EVs in stress physiology is not
understood. Here, we investigated an EV-mediated intercellular communication
mechanism between primary human bronchial epithelial cells (HBECs) and lung
fibroblasts (LFs) and discovered that cigarette smoke extract (CSE)-induced HBEC
derived EVs promote myofibroblast differentiation in LFs. Thorough evaluations of
the modified EVs and COPD lung samples showed that cigarette smoke induced
relative upregulation of cellular and EV miR-210 expression of bronchial
epithelial cells. Using co-culture assays, we showed that HBEC-derived EV miR-210
promotes myofibroblast differentiation in LFs. Surprisingly, we found that miR
210 directly regulates autophagy processes via targeting ATG7, and expression
levels of miR-210 are inversely correlated with ATG7 expression in LFs.
Importantly, autophagy induction was significantly decreased in LFs from COPD
patients, and silencing ATG7 in LFs led to myofibroblast differentiation. These
findings demonstrate that CSE triggers the modification of EV components and
identify bronchial epithelial cell-derived miR-210 as a paracrine autophagy
mediator of myofibroblast differentiation that has potential as a therapeutic
target for COPD. Our findings show that stressor exposure changes EV compositions
as emerging factors, potentially controlling pathological disorders such as
airway remodelling in COPD.
PMID- 26563734
TI - Diversity of extracellular vesicles in human ejaculates revealed by cryo-electron
microscopy.
AB - Human ejaculates contain extracellular vesicles (EVs), that to a large extent are
considered to originate from the prostate gland, and are often denominated
"prostasomes." These EVs are important for human fertility, for example by
promoting sperm motility and by inducing immune tolerance of the female immune
system to the spermatozoa. So far, the EVs present in human ejaculate have not
been studied in their native state, inside the seminal fluid without prior
purification and isolation procedures. Using cryo-electron microscopy and
tomography, we performed a comprehensive inventory of human ejaculate EVs. The
sample was neither centrifuged, fixed, filtered or sectioned, nor were heavy
metals added. Approximately 1,500 extracellular structures were imaged and
categorized. The extracellular environment of human ejaculate was found to be
diverse, with 5 major subcategories of EVs and 6 subcategories of extracellular
membrane compartments, including lamellar bodies. Furthermore, 3 morphological
features, including electron density, double membrane bilayers and coated
surface, are described in all subcategories. This study reveals that the
extracellular environment in human ejaculate is multifaceted. Several novel
morphological EV subcategories are identified and clues to their cellular origin
may be found in their morphology. This inventory is therefore important for
developing future experimental approaches, and to interpret previously published
data to understand the role of EVs for human male fertility.
PMID- 26563735
TI - Handling and storage of human body fluids for analysis of extracellular vesicles.
AB - Because procedures of handling and storage of body fluids affect numbers and
composition of extracellular vesicles (EVs), standardization is important to
ensure reliable and comparable measurements of EVs in a clinical environment. We
aimed to develop standard protocols for handling and storage of human body fluids
for EV analysis. Conditions such as centrifugation, single freeze-thaw cycle,
effect of time delay between blood collection and plasma preparation and storage
were investigated. Plasma is the most commonly studied body fluid in EV research.
We mainly focused on EVs originating from platelets and erythrocytes and
investigated the behaviour of these 2 types of EVs independently as well as in
plasma samples of healthy subjects. EVs in urine and saliva were also studied for
comparison. All samples were analysed simultaneously before and after freeze
thawing by resistive pulse sensing, nanoparticle tracking analysis, conventional
flow cytometry (FCM) and transmission (scanning) electron microscopy. Our main
finding is that the effect of centrifugation markedly depends on the cellular
origin of EVs. Whereas erythrocyte EVs remain present as single EVs after
centrifugation, platelet EVs form aggregates, which affect their measured
concentration in plasma. Single erythrocyte and platelet EVs are present mainly
in the range of 100-200 nm, far below the lower limit of what can be measured by
conventional FCM. Furthermore, the effects of single freeze-thaw cycle, time
delay between blood collection and plasma preparation up to 1 hour and storage up
to 1 year are insignificant (p>0.05) on the measured concentration and diameter
of EVs from erythrocyte and platelet concentrates and EVs in plasma, urine and
saliva. In conclusion, in standard protocols for EV studies, centrifugation to
isolate EVs from collected body fluids should be avoided. Freezing and storage of
collected body fluids, albeit their insignificant effects, should be performed
identically for comparative EV studies and to create reliable biorepositories.
PMID- 26563736
TI - Exercise, immune function and respiratory infection: An update on the influence
of training and environmental stress.
AB - This review outlines recent advancements in the understanding of athlete immune
health. Controversies discussed include whether high levels of athletic training
and environmental stress (for example, heat acclimation, cryotherapy and hypoxic
training) compromise immunity and increase upper respiratory tract infection
(URTI). Recent findings challenge early exercise immunology doctrine by showing
that international athletes performing high-volume training suffer fewer, not
greater, URTI episodes than lower-level performers and URTI incidence decreases,
not increases, around the time of competition compared with heavy training.
Herein we raise the possibility of host genetic influences on URTI and modifiable
behavioural and training-related factors underpinning these recent observations.
Continued controversy concerns the proportion of URTI symptoms reported by
athletes that are due to infectious pathogens, airway inflammation or as yet
unknown causes and indeed whether the proportion differs in athletes and non
athletes. Irrespective of the cause of URTI symptoms (infectious or non
infectious), experts broadly agree that self-reported URTI hinders high-volume
athletic training but, somewhat surprisingly, less is known about the influence
on athletic performance. In athletes under heavy training, both innate and
acquired immunity are often observed to decrease, typically 15-25%, but whether
relatively modest changes in immunity increase URTI susceptibility remains a
major gap in knowledge. With the exception of cell-mediated immunity that tends
to be decreased, exercising in environmental extremes does not provide an
additional threat to immunity and host defence. Recent evidence suggests that
immune health may actually be enhanced by regular intermittent exposures to
environmental stress (for example, intermittent hypoxia training).
PMID- 26563737
TI - Vascular comorbidities and demographics of patients with ruptured abdominal
aortic aneurysms.
AB - BACKGROUND: The results of previous studies reporting the epidemiology of
ruptured abdominal aortic aneurysm (RAAA) are highly heterogeneous. Furthermore,
although RAAA and the preceding abnormal dilation of the abdominal aorta (AAA)
are associated with other cardiovascular comorbidities, the demographic profile
of all patients with RAAA has not been thoroughly investigated. OBJECTIVE: To
document accurately the epidemiology, survival, and demographic data (including
vascular comorbidities and previous use of health care services) in RAAA
patients. MATERIAL AND METHODS: We conducted a comprehensive retrospective
register study of all RAAA patients (operatively treated RAAA patients as well as
in- and out-of-hospital nonsurvivors) in a well-defined geographic area during an
11-year period. RESULTS: We found 488 RAAA cases in a catchment area of 689,000.
The annual incidence of RAAA was 6.5/100,000. Of these patients 43.2% were
underwent surgery. Overall mortality was 79.5%, and 27.5% of the population died
out-of-hospital. Age was the only independent risk factor affecting survival (P <
.0001). The mean age at the time of RAAA was 73.6 years for men and 82.3 years
for women. Off all unexpected RAAA patients, 40% had a previous history of
cardiovascular disease (most often coronary artery disease: 32%), and 38% had
visited a physician within the previous year. CONCLUSION: RAAA remains a highly
lethal condition with age as the only significant risk factor for mortality. The
proportion of women increases strongly with age. A large proportion of patients
with unexpected RAAA have history of cardiovascular disease.
PMID- 26563738
TI - Expanding the role of rosuvastatin as a pulmonary-protective agent.
PMID- 26563739
TI - Using interstimulus interval to maximise sensitivity of the Psychomotor Vigilance
Test to fatigue.
AB - There is some evidence that short interstimulus intervals (ISIs) on the
Psychomotor Vigilance Test (PVT) are associated with longer and more varied
reaction times (RTs). Preparation processes may impede RT following short ISIs,
resulting in additional unexplained variance. The aims of this study were to
investigate whether there is an effect of ISI on RT and errors within the PVT,
and whether such an effect changes with three elements of fatigue: time of day,
prior wake and time on task. Twelve male participants completed 49 PVTs across 7*
28h periods of forced desynchrony. For analysis, RTs, reciprocal reaction times
(1/RT), false starts and lapse responses within each 10min session were assigned
to a 1-s ISI group, a 2-min time of task group, a 2.5-h PW level and a 60 degrees
phase of the circadian rhythm of core body temperature (as a measure of time of
day). Responses following short ISIs (2-5s) were significantly slower and more
varied than responses following longer ISIs (5-10s). The likelihood of a lapse
was also higher for short ISIs, while the probability of a false start increased
as a function of ISI. These effects were independent of the influences of time of
day, prior wake and time on task. Hence, mixed model ANOVAs comprising only long
ISIs (5-10s) contained stronger effect sizes for fatigue than a model of all ISIs
(2-10s). Including an ISI variable in a model improved the model fit and
explained more variance associated with fatigue. Short ISIs resulted in long RTs
both in the presence and absence of fatigue, possibly due to preparation
processes or ISI conditioning. Hence, omitting short ISI trials from RT means or
including an ISI variable in analysis can reduce unwanted variance in PVT data,
improving the sensitivity of the PVT to fatigue.
PMID- 26563740
TI - Vibrational Properties of h-BN and h-BN-Graphene Heterostructures Probed by
Inelastic Electron Tunneling Spectroscopy.
AB - Inelastic electron tunneling spectroscopy is a powerful technique for
investigating lattice dynamics of nanoscale systems including graphene and small
molecules, but establishing a stable tunnel junction is considered as a major
hurdle in expanding the scope of tunneling experiments. Hexagonal boron nitride
is a pivotal component in two-dimensional Van der Waals heterostructures as a
high-quality insulating material due to its large energy gap and chemical
mechanical stability. Here we present planar graphene/h-BN-heterostructure
tunneling devices utilizing thin h-BN as a tunneling insulator. With much
improved h-BN-tunneling-junction stability, we are able to probe all possible
phonon modes of h-BN and graphite/graphene at Gamma and K high symmetry points by
inelastic tunneling spectroscopy. Additionally, we observe that low-frequency out
of-plane vibrations of h-BN and graphene lattices are significantly modified at
heterostructure interfaces. Equipped with an external back gate, we can also
detect high-order coupling phenomena between phonons and plasmons, demonstrating
that h-BN-based tunneling device is a wonderful playground for investigating
electron-phonon couplings in low-dimensional systems.
PMID- 26563742
TI - Allergic contact dermatitis in children: trends in allergens, 10 years on. A
retrospective study of 500 children tested between 2005 and 2014 in one UK
centre.
AB - BACKGROUND: Contact allergy in children is becoming increasingly recognized as a
cause of eczema. The causal agents may change with time, but there are few
comparative data for this age group. OBJECTIVES: To compare data from the past 10
years with a similar study from the previous decade. METHODS: Between 2005 and
2014, 500 consecutive children who had been patch tested at Leeds Teaching
Hospitals were identified, and the results were reviewed. RESULTS: Twenty-seven
per cent (134 cases) of children had one or more positive patch test findings.
The mean +/- standard deviation age of patients with a positive test reaction was
11.9 +/- 4.1 years, which was significantly higher than that of patients with a
negative result (10.9 +/- 4.0 years) (p = 0.01; Mann-Whitney U-test). No
significant relationship between sex and a positive patch test result was found
(p = 0.48, chi-squared). Allergy to nickel was the most frequent finding,
although this accounted for 18%, rather than 33% (1995-2004), of all positive
reactions. The next most common allergens included fragrance mix 1 (17%), p
phenylenediamine (16%), and methylchloroisothiazolinone/methylisothiazolinone
(6%). CONCLUSIONS: Although the overall incidence of contact allergy in our
paediatric population is static, there has been a significant change in the
allergens detected, probably as a result of changes in European legislation and
cosmetic product use in children.
PMID- 26563743
TI - Prediction models for intracranial hemorrhage or major bleeding in patients on
antiplatelet therapy: a systematic review and external validation study.
AB - ESSENTIALS: Prediction models may help to identify patients at high risk of
bleeding on antiplatelet therapy. We identified existing prediction models for
bleeding and validated them in patients with cerebral ischemia. Five prediction
models were identified, all of which had some methodological shortcomings.
Performance in patients with cerebral ischemia was poor. SUMMARY: Background
Antiplatelet therapy is widely used in secondary prevention after a transient
ischemic attack (TIA) or ischemic stroke. Bleeding is the main adverse effect of
antiplatelet therapy and is potentially life threatening. Identification of
patients at increased risk of bleeding may help target antiplatelet therapy.
OBJECTIVE: This study sought to identify existing prediction models for
intracranial hemorrhage or major bleeding in patients on antiplatelet therapy and
evaluate their performance in patients with cerebral ischemia. METHODS: We
systematically searched PubMed and Embase for existing prediction models up to
December 2014. The methodological quality of the included studies was assessed
with the CHARMS checklist. Prediction models were externally validated in the
European Stroke Prevention Study 2, comprising 6602 patients with a TIA or
ischemic stroke. We assessed discrimination and calibration of included
prediction models. RESULTS: Five prediction models were identified, of which two
were developed in patients with previous cerebral ischemia. Three studies
assessed major bleeding, one studied intracerebral hemorrhage and one
gastrointestinal bleeding. None of the studies met all criteria of good quality.
External validation showed poor discriminative performance, with c-statistics
ranging from 0.53 to 0.64 and poor calibration. CONCLUSION: A limited number of
prediction models is available that predict intracranial hemorrhage or major
bleeding in patients on antiplatelet therapy. The methodological quality of the
models varied, but was generally low. Predictive performance in patients with
cerebral ischemia was poor. In order to reliably predict the risk of bleeding in
patients with cerebral ischemia, development of a prediction model according to
current methodological standards is needed.
PMID- 26563741
TI - Hydrogen peroxide-activatable antioxidant prodrug as a targeted therapeutic agent
for ischemia-reperfusion injury.
AB - Overproduction of hydrogen peroxide (H2O2) causes oxidative stress and is the
main culprit in the pathogenesis of ischemia/reperfusion (I/R) injury.
Suppression of oxidative stress is therefore critical in the treatment of I/R
injury. Here, we report H2O2-activatable antioxidant prodrug (BRAP) that is
capable of specifically targeting the site of oxidative stress and exerting anti
inflammatory and anti-apoptotic activities. BRAP with a self-immolative boronic
ester protecting group was designed to scavenge H2O2 and release HBA (p
hydroxybenzyl alcohol) with antioxidant and anti-inflammatory activities. BRAP
exerted potent antioxidant and anti-inflammatory activity in lipopolysaccharide
(LPS)- and H2O2-stimulated cells by suppressing the generation of ROS and pro
inflammatory cytokines. In mouse models of hepatic I/R and cardiac I/R, BRAP
exerted potent antioxidant, anti-inflammatory and anti-apoptotic activities due
to the synergistic effects of H2O2-scavenging boronic esters and therapeutic HBA.
In addition, administration of high doses of BRAP daily for 7 days showed no
renal or hepatic function abnormalities. Therefore BRAP has tremendous
therapeutic potential as H2O2-activatable antioxidant prodrug for the treatment
of I/R injuries.
PMID- 26563744
TI - Dutch Young Adults Ratings of Behavior Change Techniques Applied in Mobile Phone
Apps to Promote Physical Activity: A Cross-Sectional Survey.
AB - BACKGROUND: Interventions delivered through new device technology, including
mobile phone apps, appear to be an effective method to reach young adults.
Previous research indicates that self-efficacy and social support for physical
activity and self-regulation behavior change techniques (BCT), such as goal
setting, feedback, and self-monitoring, are important for promoting physical
activity; however, little is known about evaluations by the target population of
BCTs applied to physical activity apps and whether these preferences are
associated with individual personality characteristics. OBJECTIVE: This study
aimed to explore young adults' opinions regarding BCTs (including self-regulation
techniques) applied in mobile phone physical activity apps, and to examine
associations between personality characteristics and ratings of BCTs applied in
physical activity apps. METHODS: We conducted a cross-sectional online survey
among healthy 18 to 30-year-old adults (N=179). Data on participants' gender,
age, height, weight, current education level, living situation, mobile phone use,
personality traits, exercise self-efficacy, exercise self-identity, total
physical activity level, and whether participants met Dutch physical activity
guidelines were collected. Items for rating BCTs applied in physical activity
apps were selected from a hierarchical taxonomy for BCTs, and were clustered into
three BCT categories according to factor analysis: "goal setting and goal
reviewing," "feedback and self-monitoring," and "social support and social
comparison." RESULTS: Most participants were female (n=146), highly educated
(n=169), physically active, and had high levels of self-efficacy. In general, we
observed high ratings of BCTs aimed to increase "goal setting and goal reviewing"
and "feedback and self-monitoring," but not for BCTs addressing "social support
and social comparison." Only 3 (out of 16 tested) significant associations
between personality characteristics and BCTs were observed: "agreeableness" was
related to more positive ratings of BCTs addressing "goal setting and goal
reviewing" (OR 1.61, 95% CI 1.06-2.41), "neuroticism" was related to BCTs
addressing "feedback and self-monitoring" (OR 0.76, 95% CI 0.58-1.00), and
"exercise self-efficacy" was related to a high rating of BCTs addressing
"feedback and self-monitoring" (OR 1.06, 95% CI 1.02-1.11). No associations were
observed between personality characteristics (ie, personality, exercise self
efficacy, exercise self-identity) and participants' ratings of BCTs addressing
"social support and social comparison." CONCLUSIONS: Young Dutch physically
active adults rate self-regulation techniques as most positive and techniques
addressing social support as less positive among mobile phone apps that aim to
promote physical activity. Such ratings of BCTs differ according to personality
traits and exercise self-efficacy. Future research should focus on which behavior
change techniques in app-based interventions are most effective to increase
physical activity.
PMID- 26563745
TI - Iron-Carbonyl Aqueous Vesicles (MCsomes) by Hydration of
[Fe(CO){CO(CH2)5CH3}(Cp)(PPh3)] (FpC6): Highly Integrated Colloids with
Aggregation-Induced Self-Enhanced IR Absorption (AI-SEIRA).
AB - Self-assembly of hydrophobic molecules into aqueous colloids contradicts common
chemical intuition, but has been achieved through hydration of
[Fe(CO){CO(CH2)5CH3}(Cp)(PPh3)] (FpC6). FpC6 has no surface activity, no NMR
signals in D2O and no critical aggregation concentration (CAC) in H2O. The
molecule, however, contains both acyl and terminal CO groups that are prone to
being hydrated. By adding water to a solution in THF, self-assembly of FpC6 can
be initiated through water-carbonyl interactions (WCIs) with the highly polarized
acyl CO groups. This aggregation subsequently enhances the hydration of the acyl
CO groups and also induces the WCI of otherwise unhydrated terminal CO groups.
The resultant metal-carbonyl aggregates have been proved to be bilayer vesicles
with iron complexes exposed towards water and alkyl chains forming inner walls
(MCsomes). These MCsomes show high structure integration upon dilution due to the
hydrophobic nature of the building blocks. The highly polarized CO groups on the
surface of the MCsomes result in a negative zeta potential (-65 mV) and create a
local electric field, which significantly enhances the IR absorption of CO groups
by more than 100-fold. This is the first discovery of aggregation-induced self
enhanced IR absorption (AI-SRIRA) without the assistant of external dielectric
substrates. Highly integrated MCsomes are, therefore, promising as a novel group
of materials, for example, for IR-based sensing and imaging.
PMID- 26563746
TI - Letter to the Editor: Regarding HPV vaccination in patients who are infected with
an oncogenic subtype.
PMID- 26563747
TI - Dopamine modulation of transient receptor potential vanilloid type 1 (TRPV1)
receptor in dorsal root ganglia neurons.
AB - The transient receptor potential vanilloid type 1 (TRPV1) receptor plays a key
role in the modulation of nociceptor excitability. To address whether dopamine
can modulate the activity of TRPV1 channels in nociceptive neurons, the effects
of dopamine and dopamine receptor agonists were tested on the capsaicin-activated
current recorded from acutely dissociated small diameter (<27 MUm) dorsal root
ganglia (DRG) neurons. Dopamine or SKF 81297 (an agonist at D1/D5 receptors),
caused inhibition of both inward and outward currents by ~60% and ~48%,
respectively. The effect of SKF 81297 was reversed by SCH 23390 (an antagonist at
D1/D5 receptors), confirming that it was mediated by activation of D1/D5 dopamine
receptors. In contrast, quinpirole (an agonist at D2 receptors) had no
significant effect on the capsaicin-activated current. Inhibition of the
capsaicin-activated current by SKF 81297 was mediated by G protein coupled
receptors (GPCRs), and highly dependent on external calcium. The inhibitory
effect of SKF 81297 on the capsaicin-activated current was not affected when the
protein kinase A (PKA) activity was blocked with H89, or when the protein kinase
C (PKC) activity was blocked with bisindolylmaleimide II (BIM). In contrast, when
the calcium-calmodulin-dependent protein kinase II (CaMKII) was blocked with KN
93, the inhibitory effect of SKF 81297 on the capsaicin-activated current was
greatly reduced, suggesting that activation of D1/D5 dopamine receptors may be
preferentially linked to CaMKII activity. We suggest that modulation of TRPV1
channels by dopamine in nociceptive neurons may represent a way for dopamine to
modulate incoming noxious stimuli.
PMID- 26563748
TI - Surfactant protein D induces immune quiescence and apoptosis of mitogen-activated
peripheral blood mononuclear cells.
AB - Surfactant protein D (SP-D) is an integral molecule of the innate immunity
secreted by epithelial cells lining the mucosal surfaces. The C-type lectin
domain of SP-D performs pattern recognition functions while it binds to putative
receptors on immune cells to modify cellular functions. Activation of immune
cells and increased serum SP-D is observed in a range of patho-physiological
conditions including infections. We speculated if SP-D can modulate systemic
immune response via direct interaction with activated PBMCs. In this study, we
examined interaction of a recombinant fragment of human SP-D (rhSP-D) on PHA
activated PBMCs. We report a significant downregulation of activation receptors
such as TLR2, TLR4, CD11c and CD69 upon rhSP-D treatment. rhSP-D inhibited
production of Th1 (TNF-alpha and IFN-gamma) and Th17 (IL-17A) cytokines along
with IL-6. Interestingly, levels of IL-2, Th2 (IL-4) and regulatory (IL-10 and
TGF-beta) cytokines remained unaltered. Analysis of co-stimulatory CD28 and co
inhibitory CTLA4 receptors along with their ligands CD80 and CD86 revealed a
selective up-regulation of CTLA4 in the lymphocyte subset. rhSP-D induced
apoptosis in the activated but not in non-activated lymphocytes. Blockade of
CTLA4 inhibited rhSP-D mediated apoptosis of activated lymphocytes, confirming
involvement of CTLA4. We conclude that SP-D restores immune homeostasis. It
regulates expression of immunomodulatory receptors and cytokines, which is
followed by induction of apoptosis in activated lymphocytes. These findings
suggest a critical role of SP-D in immune surveillance against activated immune
cells.
PMID- 26563750
TI - Heterogeneity of the Mac-1 expression on peripheral blood neutrophils in patients
with different types of epithelial ovarian cancer.
AB - The expression level of Mac-1 on the surface of neutrophils is an important
indicator of neutrophil activation. Under pathological conditions, Mac-1 is
believed a key adhesion molecule that facilitates cancer progression and mediates
the adhesion of tumour cells to the endothelium of blood vessels. Our previous
findings indicated that circulating peripheral blood neutrophils in patients with
advanced epithelial ovarian cancer (EOC) expressed enhanced levels of Mac-1,
which was functionally associated with an increased adhesive function of
neutrophils. The objective of the current study was to analyse whether the value
of individual components of the differential white cell count, including the
neutrophil and lymphocyte ratios, which are markers of blood neutrophil
activation, might be associated with certain types of ovarian cancer. We showed
the increase in Mac-1 expression along with a parallel decrease of L-selectin and
PSGL-1 on peripheral blood neutrophils of patients with EOC of early and advanced
FIGO stages, which indicates an activated state of neutrophils in comparison to
neutrophils of individuals without cancer. Despite a significant difference
between Mac-1 expression in patients with and without cancer, a dramatic increase
in Mac-1 expression was observed in the blood of patients with undifferentiated
carcinomas compared with patients with other histological types of EOC. Moreover,
the expression level of Mac-1 correlated with the number of neutrophils in
patients with serous, endometrioid and undifferentiated EOC. The results of an
ROC analysis demonstrated that the patients with the undifferentiated type of EOC
form a distinct group with regard to Mac-1 expression on blood neutrophils. The
results suggested a diverse biological cadre of immune cells in patients with
undifferentiated ovarian carcinomas compared with patients with other
histological types of EOC.
PMID- 26563749
TI - ISG15 expression correlates with HIV-1 viral load and with factors regulating T
cell response.
AB - Given the multifactorial nature of action of type I interferon (IFN) in HIV-1
infection and the need to firmly establish the action of key components of IFN
pathways, we compared the IFN stimulated gene (ISG)15 expression with that of
other well-characterized ISGs, evaluating its relationship with immunosuppressive
factors regulating T-cell response in HIV-1 patients. PBMC from 225 subjects were
included: healthy donors (n=30), naive (n=93) and HAART treated HIV-1 subjects
(n=102). Levels of ISG15-mRNA, ISG56-mRNA, APOBEC3G/3F-mRNA, TRAIL-mRNA, IDO
mRNA, proviral load andISG15 (rs15842 and rs1921) SNPs were evaluated by using
TaqMan assays. We found that ISG15, ISG56, APOBEC3G/3F levels were increased in
untreated HIV-1 patients compared to healthy donors, being ISG15 the highest ISG
expressed. The amount of ISG15 correlated with viral load and with CD4+ T cell
counts whereas no relationship was found between all ISGs analyzed and proviral
load or HIV-1 tropism. ISG15 expression was reduced following long-term
antiretroviral therapy. In addition, ISG15 levels were correlated with those of
TRAIL and IDO in HIV-1 viremic patients. Lastly, ISG15 SNPs had no influence on
ISG15 levels. We demonstrates that ISG15 is elevated in viremic HIV-1 patients
and is associated with high TRAIL and IDO levels.
PMID- 26563751
TI - 16th Annual Land O'Lakes Bioanalytical Conference.
AB - This Land O'Lakes Conference is presented each year by the Division of Pharmacy
Professional Development within the School of Pharmacy at the University of
Wisconsin-Madison (USA). The purpose of this 3-day conference is to provide an
educational forum to discuss issues and applications associated with the analysis
of xenobiotics, metabolites, biologics and biomarkers in biological matrices. The
conference is designed to include and encourage an open exchange of scientific
and methodological applications for bioanalysis. To increase the interactive
nature of the conference, the program is a mixture of lectures, interactive
discussions and a poster session. This report summarized the presentations at the
16th Annual Conference. 6th Annual Land O'Lakes Bioanalytical Conference, Fluno
Center Madison, WI, USA, 13-16 July 2015.
PMID- 26563752
TI - Abiotic mediation of a mutualism drives herbivore abundance.
AB - Species abundance is typically determined by the abiotic environment, but the
extent to which such effects occur through the mediation of biotic interactions,
including mutualisms, is unknown. We explored how light environment (open meadow
vs. shaded understory) mediates the abundance and ant tending of the aphid Aphis
helianthi feeding on the herb Ligusticum porteri. Yearly surveys consistently
found aphids to be more than 17-fold more abundant on open meadow plants than on
shaded understory plants. Manipulations demonstrated that this abundance pattern
was not due to the direct effects of light environment on aphid performance, or
indirectly through host plant quality or the effects of predators. Instead, open
meadows had higher ant abundance and per capita rates of aphid tending and,
accordingly, ants increased aphid population growth in meadow but not understory
environments. The abiotic environment thus drives the abundance of this herbivore
exclusively through the mediation of a protection mutualism.
PMID- 26563753
TI - Discrimination of Umami Tastants Using Floating Electrode-Based Bioelectronic
Tongue Mimicking Insect Taste Systems.
AB - We report a floating electrode-based bioelectronic tongue mimicking insect taste
systems for the detection and discrimination of umami substances. Here, carbon
nanotube field-effect transistors with floating electrodes were hybridized with
nanovesicles containing honeybee umami taste receptor, gustatory receptor 10 of
Apis mellifera (AmGr10). This strategy enables us to discriminate between l
monosodium glutamate (MSG), best-known umami tastant, and non-umami substances
with a high sensitivity and selectivity. It could also be utilized for the
detection of MSG in liquid food such as chicken stock. Moreover, we demonstrated
the synergism between MSG and disodium 5'-inosinate (IMP) for the umami taste
using this platform. This floating electrode-based bioelectronic tongue mimicking
insect taste systems can be a powerful platform for various applications such as
food screening, and it also can provide valuable insights on insect taste
systems.
PMID- 26563754
TI - Advancing Geriatrics Education Through a Faculty Development Program for
Geriatrics-Oriented Clinician Educators.
AB - Geriatrician and nongeriatrician faculty need instruction as teachers to provide
quality training for a broader community of physicians who can care for the
expanding population of older adults. Educators at Duke University designed a
program to equip geriatrician and nongeriatrician faculty to develop quality
educational programs and teach medical learners about geriatrics. Eighty-three
faculty representing 52 institutions from across the United States participated
in mini-fellowship programs (2005-09) consisting of workshops and 1-year follow
up mentoring by Duke faculty. Participants attended 1-week on-campus sessions on
curriculum development and teaching skills and designed and implemented a
curriculum in their home institution. Participant specialties included general
medicine (nearly 50%), family medicine, surgery, psychiatry, rehabilitation
medicine, and emergency medicine. Pre- and postprogram self-efficacy surveys,
program evaluation surveys, and 6- and 12-month progress reports on scholars'
educational projects were used to assess the effect of the Duke mini-fellowship
programs on participants' educational practices. Forty-four scholars (56%)
completed the end-of-year self-efficacy survey and end-of-program evaluation.
Self-efficacy results indicated significant gains (P < .001) in 12 items assessed
at 1 week and 1 year. Scholars reported the largest average gains at 1 year in
applying adult learning principles in the design of educational programs (1.72),
writing measurable learning objectives (1.51), and identifying optimal
instructional methods to deliver learning objectives (1.50). Participants
described improved knowledge and skills in designing curricula, implemented new
and revised geriatrics curricula, and demonstrated commitment to faculty
development and improving learning experiences for medical learners. This faculty
development program improved participants' self-efficacy in curriculum design and
teaching and enhanced geriatrics education in their home institutions.
PMID- 26563755
TI - Rs12976445 Polymorphism is Associated with Risk of Diabetic Nephropathy Through
Modulating Expression of MicroRNA-125 and Interleukin-6R.
AB - BACKGROUND: Diabetic nephropathy (DN) is one of the most significant long-term
complications of diabetes mellitus (DM), and it is a primary risk factor for end
stage renal disease. MicroRNAs (miRNAs) play important roles in regulating the
expression of genes, including interleukin-6R (IL-6R), which has been reported to
be involved in the development of DNDN. The aim of this study was to identify the
dysregulation of miRNA and its target responsible for the development of DN in
DM. MATERIAL AND METHODS: We collected the kidney tissues from patients with DN
(N=36) and control patients (N=28), and performed real-time PCR and Western blot
analysis to determine the expression of IL-6R. Computational analysis and
luciferase assay were used to identify the miRNA that regulates IL-6R. To explore
the association between rs12976445 polymorphism and risk of DN, we enrolled 594
DM patients with (N=282) or without DN (N=312), and studied the association
between a variant in miR-125a and risk of DN in DM. RESULTS: The expression of IL
6R was barely detected in the control groups, while in the DN group, the IL-6R
was clearly detectable. Next, miR-125a was identified as a regulator of IL-6R by
using informatics analysis and luciferase assay. A single-nucleotide polymorphism
(rs12976445) in pri-miR-125a has been shown to compromise the mature processing
of miR-125a, and we showed that the expression levels of miR-125a was comparable
between individuals carrying TT and CT, and when combined into 1 group, the miR
125a expression was approximately 3 times lower than in the CC group. We found
significant differences regarding rs12976445 genotype distribution between the DN
and the control (OR=1.45, 95% C.I.=1.02-2.08, p<0.05) with the possible
confounding factors adjusted for by using logistic regression analysis.
CONCLUSIONS: We identified miR-125a as a direct regulator of IL-6R, and the
genotype of rs12976445 might be a novel predictor of the development of DN in DM.
PMID- 26563756
TI - Chronic Methamphetamine Self-Administration Dysregulates Oxytocin Plasma Levels
and Oxytocin Receptor Fibre Density in the Nucleus Accumbens Core and Subthalamic
Nucleus of the Rat.
AB - The neuropeptide oxytocin attenuates reward and abuse for the psychostimulant
methamphetamine (METH). Recent findings have implicated the nucleus accumbens
(NAc) core and subthalamic nucleus (STh) in oxytocin modulation of acute METH
reward and relapse to METH-seeking behaviour. Surprisingly, the oxytocin receptor
(OTR) is only modestly involved in both regions in oxytocin attenuation of METH
primed reinstatement. Coupled with the limited investigation of the role of the
OTR in psychostimulant-induced behaviours, we primarily investigated whether
there are cellular changes to the OTR in the NAc core and STh, as well as changes
to oxytocin plasma levels, after chronic METH i.v. self-administration (IVSA) and
after extinction of drug-taking. An additional aim was to examine whether changes
to central corticotrophin-releasing factor (CRF) and plasma corticosterone levels
were also apparent because of the interaction of oxytocin with stress-regulatory
mechanisms. Male Sprague-Dawley rats were trained to lever press for i.v. METH
(0.1 mg/kg/infusion) under a fixed-ratio 1 schedule or received yoked saline
infusions during 2-h sessions for 20 days. An additional cohort of rats underwent
behavioural extinction for 15 days after METH IVSA. Subsequent to the last day of
IVSA or extinction, blood plasma was collected for enzyme immunoassay, and
immunofluorescence was conducted on NAc core and STh coronal sections. Rats that
self-administered METH had higher oxytocin plasma levels, and decreased OTR
immunoreactive (-IR) fibres in the NAc core than yoked controls. In animals that
self-administered METH and underwent extinction, oxytocin plasma levels remained
elevated, OTR-IR fibre density increased in the STh, and a trend towards
normalisation of OTR-IR fibre density was evident in the NAc core. CRF-IR fibre
density in both brain regions and corticosterone plasma levels did not change
across treatment groups. These findings demonstrate that oxytocin systems, both
centrally within the NAc core and STh, as well as peripherally through plasma
measures, are dysregulated after METH abuse.
PMID- 26563757
TI - Baby-Led Introduction to SolidS (BLISS) study: a randomised controlled trial of a
baby-led approach to complementary feeding.
AB - BACKGROUND: In 2002, the World Health Organization recommended that the age for
starting complementary feeding should be changed from 4 to 6 months of age to 6
months. Although this change in age has generated substantial debate,
surprisingly little attention has been paid to whether advice on how to introduce
complementary foods should also be changed. It has been proposed that by 6 months
of age most infants will have developed sufficient motor skills to be able to
feed themselves rather than needing to be spoon-fed by an adult. This has the
potential to predispose infants to better growth by fostering better energy self
regulation, however no randomised controlled trials have been conducted to
determine the benefits and risks of such a "baby-led" approach to complementary
feeding. This is of particular interest given the widespread use of "Baby-Led
Weaning" by parents internationally. METHODS/DESIGN: The Baby-Led Introduction to
SolidS (BLISS) study aims to assess the efficacy and acceptability of a modified
version of Baby-Led Weaning that has been altered to address potential concerns
with iron status, choking and growth faltering. The BLISS study will recruit 200
families from Dunedin, New Zealand, who book into the region's only maternity
hospital. Parents will be randomised into an intervention (BLISS) or control
group for a 12-month intervention with further follow-up at 24 months of age.
Both groups will receive the standard Well Child care provided to all parents in
New Zealand. The intervention group will receive additional parent contacts (n =
8) for support and education on BLISS from before birth to 12 months of age.
Outcomes of interest include body mass index at 12 months of age (primary
outcome), energy self-regulation, iron and zinc intake and status, diet quality,
choking, growth faltering and acceptability to parents. DISCUSSION: This study is
expected to provide insight into the feasibility of a baby-led approach to
complementary feeding and the extent to which this method of feeding affects
infant body weight, diet quality and iron and zinc status. Results of this study
will provide important information for health care professionals, parents and
health policy makers. TRIAL REGISTRATION: Australian New Zealand Clinical Trials
Registry ACTRN12612001133820 .
PMID- 26563758
TI - Identification of plasma microRNA profiles for primary resistance to EGFR-TKIs in
advanced non-small cell lung cancer (NSCLC) patients with EGFR activating
mutation.
AB - BACKGROUND: EGFR mutation is a strong predictor of efficacy of epidermal growth
factor receptor tyrosine kinase inhibitor (EGFR-TKIs) therapy in advanced non
small cell lung cancer (NSCLC). However, around 20-30 % of EGFR-mutated cases
showed no response to EGFR-TKIs, suggesting that other determinants beyond EGFR
mutation likely exist. This study analyzed the role of microRNAs (miRNAs) in
primary resistance to EGFR-TKIs in advanced NSCLC patients with EGFR mutation.
METHODS: Training group: 20 advanced NSCLC patients with EGFR 19 deletion treated
with first-line EGFR-TKIs were enrolled; half of them had dramatic responses
while the other half had primary resistance. Matched plasma samples were
collected for miRNA profiling using TaqMan low-density array (TLDA).
Bioinformatics analyses were used to identify related miRNAs possibly accounted
for resistance. Testing group: Quantitative reverse transcriptase PCR (qRT-PCR)
was employed to detect the level of miRNA with significant differential
expression in the training set. Validation group: Another cohort with EGFR 19
deletion mutations, who had dramatically different responses to EGFR-TKI, was
used to validate the difference of miRNA expression between the sensitive and
resistant groups using RT-PCR. RESULTS: Training group: 153 miRNAs were found to
be differentially expressed between the sensitive and resistant groups. Potential
target genes were predicted with a target scan database. Twelve differentially
expressed miRNAs were selected for the analysis because of their known roles in
tumorigenesis of lung cancer, resistance to drugs, and regulation of EGFR
pathway. Training group: three out of the 12 miRNAs (miR-21, AmiR-27a, and miR
218) were verified to have significantly higher expression (P miR-21 = 0.004, P
miR-27a = 0.009, P miR-218 = 0.041, respectively) in the resistant group compared
to the sensitive group. Validation group: The expression levels of these three
miRNAs were validated to be significantly different (P = 0.011, 0.011, 0.026,
respectively) in the validation cohort (n = 34). CONCLUSIONS: Higher expression
levels of miR-21, AmiR-27a, and miR-218 detected in this study suggest potential
roles of these miRNAs in primary resistance to EGFR-TKI in advanced NSCLC
patients with EGFR exon 19 deletion mutations. These findings need to be further
confirmed in a study with a larger sample size.
PMID- 26563759
TI - Visualization of Vibrational Modes in Real Space by Tip-Enhanced Non-Resonant
Raman Spectroscopy.
AB - We present a general theory to model the spatially resolved non-resonant Raman
images of molecules. It is predicted that the vibrational motions of different
Raman modes can be fully visualized in real space by tip-enhanced non-resonant
Raman scattering. As an example, the non-resonant Raman images of water clusters
were simulated by combining the new theory and first-principles calculations.
Each individual normal mode gives rise its own distinct Raman image, which
resembles the expected vibrational motions of the atoms very well. The
characteristics of intermolecular vibrations in supermolecules could also be
identified. The effects of the spatial distribution of the plasmon as well as
nonlinear scattering processes were also addressed. Our study not only suggests a
feasible approach to spatially visualize vibrational modes, but also provides new
insights in the field of nonlinear plasmonic spectroscopy.
PMID- 26563760
TI - Cost-Utility Analysis of Three U.S. HIV Linkage and Re-engagement in Care
Programs from Positive Charge.
AB - Linking and retaining people living with HIV in ongoing, HIV medical care is
vital for ending the U.S. HIV epidemic. Yet, 41-44 % of HIV+ individuals are out
of care. In response, AIDS United initiated Positive Charge, a series of five HIV
linkage and re-engagement projects around the U.S. This paper investigates
whether three Positive Charge programs were cost effective and calculates a
return on investment for each program. It uses standard methods of cost utility
analysis and WHO-CHOICE thresholds. All three projects were found to be cost
effective, and two were highly cost effective. Cost utility ratios ranged from
$4439 to $137,271. These results suggest that HIV linkage to care programs are a
productive and efficient use of public health funds.
PMID- 26563761
TI - HIV, Hepatitis C, and Other Sexually Transmitted Infections Among Male Sex
Workers in Ho Chi Minh City, Vietnam.
AB - There is little data on the burden of HIV and other infections that affect male
sex workers (MSW) in Vietnam. We conducted behavioral and biological sexual
health surveys with 300 MSW in Ho Chi Minh City. Generalized estimating equation
models were built to assess factors associated with HIV, hepatitis C, and other
sexually transmitted infections (STI). Of 300 MSW, 19 (6.3 %) were diagnosed
seropositive for HIV, 11 (3.7 %) had hepatitis C, and 26 (8.7 %) had at least one
prevalent STI. In a multivariable model, opiate use was significantly associated
with HIV infection (aOR 6.46, 95 % CI 1.28-32.7) and hepatitis C (aOR = 19.6, 95
% CI 2.35-163.6). Alcohol dependency was associated with increased odds of
hepatitis C (aOR = 4.79, 95 % CI 1.02-22.5) and decreased odds of other STI (aOR
= 0.30, 95 % CI 0.10-0.97). These findings suggest that MSW in Vietnam would
benefit from regular HIV and STI testing, as well as linkage to care and
substance use rehabilitation services.
PMID- 26563762
TI - Urinary Neutrophil Gelatinase-Associated Lipocalin Levels in Neonates.
AB - BACKGROUND: Acute kidney injury (AKI) is common in preterm infants and is
associated with high mortality and morbidity. New biomarkers for the early
detection of AKI have been identified. Specifically, urinary neutrophil
gelatinase-associated lipocalin (uNGAL) is a new and powerful biomarker for AKI
and sepsis. Our study evaluated the reference range of uNGAL in healthy neonates
in Taiwan. METHODS: This study examined 24 preterm and 38 term infants without
clinical complications. Urine samples were collected and the uNGAL values were
measured at postnatal age (PNA) 3 days, 7 days, 14 days, and 21 days in the
preterm infants and at PNA 3 days in the term infants. The uNGAL values were
tested using enzyme-linked immunosorbent assay. RESULTS: The median uNGAL values
in the preterm infants at PNA 3 days, 7 days, 14 days, and 21 days were 41.52
ng/mL, 35.82 ng/mL, 43.79 ng/mL, and 30.85 ng/mL, respectively. The median value
at PNA 3 days in the term infants was 88.1 ng/mL. No significant differences
associated with gestational age, birth body weight, or PNA were observed among
the preterm infants. However, the uNGAL values in the female term infants were
higher than those in the male term infants (p = 0.003). CONCLUSION: This study
presents preliminary data on uNGAL levels in neonates in Taiwan. A large-scale
study investigating the correlations between uNGAL and with gestational age,
birth body weight, sex, and PNA is recommended.
PMID- 26563763
TI - Fluid strategies and outcomes in patients with acute respiratory distress
syndrome, systemic inflammatory response syndrome and sepsis: a protocol for a
systematic review and meta-analysis.
AB - BACKGROUND: Fluid administration to critically ill patients remains the subject
of considerable controversy. While intravenous fluid given for resuscitation may
be life-saving, a positive fluid balance over time is associated with worse
outcomes in critical illness. The aim of this systematic review is to summarise
the existing evidence regarding the relationship between fluid administration or
balance and clinically important patient outcomes in critical illness. METHODS:
We will search Medline, EMBASE, the Cochrane Central Register of Controlled
Trials from 1980 to the present and key conference proceedings from 2009 to the
present. We will include studies of critically ill adults and children with acute
respiratory distress syndrome (ARDS), sepsis and systemic inflammatory response
syndrome (SIRS). We will include randomised controlled trials comparing two or
more fluid regimens of different volumes of fluid and observational studies
reporting the relationship between volume of fluid administered or fluid balance
and outcomes including mortality, lengths of intensive care unit and hospital
stay and organ dysfunction. Two independent reviewers will assess articles for
eligibility, data extraction and quality appraisal. We will conduct a narrative
and/or meta-analysis as appropriate. DISCUSSION: While fluid management has been
extensively studied and discussed in the critical care literature, no systematic
review has attempted to summarise the evidence for post-resuscitation fluid
strategies in critical illness. Results of the proposed systematic review will
inform practice and the design of future clinical trials. SYSTEMATIC REVIEW
REGISTRATION: PROSPERO CRD42013005608. ( http://www.crd.york.ac.uk/PROSPERO/ ).
PMID- 26563765
TI - The Role of Individual Differences and Situational Variables in the Use of
Workplace Sexual Identity Management Strategies.
AB - Examination of individual difference variables have been largely ignored within
research on the use of workplace sexual identity management strategies. The
current study examined personality traits (extraversion, openness, and
neuroticism), facets of sexual identity development (identity confusion,
internalized heterosexism), and situational variables (e.g., perceptions of
workplace climate and heterosexism) in explaining the use of management
strategies, as well as possible interactions between individual and situational
factors. Perceptions of the workplace climate toward lesbian and gay individuals
significantly related to the use each of the management strategies, and
Internalized Heterosexism was found to significantly predict the use of the
Explicitly Out strategy. Most interactions between individual difference and
situational variables were not supported, with the exception of an interaction
between workplace heterosexism and internalized homophobia in explaining the use
of the Explicitly Out strategy.
PMID- 26563764
TI - Determinants of ligand binding and catalytic activity in the myelin enzyme 2',3'
cyclic nucleotide 3'-phosphodiesterase.
AB - 2',3'-cyclic nucleotide 3'-phosphodiesterase (CNPase) is an enzyme highly
abundant in the central nervous system myelin of terrestrial vertebrates. The
catalytic domain of CNPase belongs to the 2H phosphoesterase superfamily and
catalyzes the hydrolysis of nucleoside 2',3'-cyclic monophosphates to nucleoside
2'-monophosphates. The detailed reaction mechanism and the essential catalytic
amino acids involved have been described earlier, but the roles of many amino
acids in the vicinity of the active site have remained unknown. Here, several
CNPase catalytic domain mutants were studied using enzyme kinetics assays,
thermal stability experiments, and X-ray crystallography. Additionally, the
crystal structure of a perdeuterated CNPase catalytic domain was refined at
atomic resolution to obtain a detailed view of the active site and the catalytic
mechanism. The results specify determinants of ligand binding and novel essential
residues required for CNPase catalysis. For example, the aromatic side chains of
Phe235 and Tyr168 are crucial for substrate binding, and Arg307 may affect active
site electrostatics and regulate loop dynamics. The beta5-alpha7 loop, unique for
CNPase in the 2H phosphoesterase family, appears to have various functions in the
CNPase reaction mechanism, from coordinating the nucleophilic water molecule to
providing a binding pocket for the product and being involved in product release.
PMID- 26563766
TI - Patients with carotid atherosclerosis who underwent or did not undergo carotid
endarterectomy: outcome on mood, cognition and quality of life.
AB - BACKGROUND: To compare the six-month outcome on mood, cognition and quality of
life (QoL) in patients with severe carotid atherosclerosis (CA) who underwent
carotid endarterectomy (CEA) with subjects who refused treatment. METHODS: Cohort
study on consecutive inpatients with CA (stenosis >= 50 %) (N = 46; age 72.56 +/-
7.26; male 65.2 %). Intervention cohort: subjects who decided to undergo CEA (N =
35); Control cohort patients who refused CEA (N = 11). DSM-IV-Psychiatric
diagnosis made by clinicians using interviews, QoL measured by Short Form Health
Survey (SF-12); cognitive performance by WAIS Intelligent Coefficient (IC).
RESULTS: The study showed a better improvement during six months in Overall IC,
Performance IC and Verbal IC in the group that underwent CEA. QoL in the two
cohorts did not reach statistical significance. Percentages of patients who
improved in the CEA group were significantly higher with regard to Overall and
Verbal IC scores, and at the limits of statistical significance in Performance
IC. The differences of subject with improvement in SF-12 score in the two groups
did not reach statistical significance. Ages below 68 were found to be
determinant of a good outcome in Overall IC score. Limit: study conducted with a
small sample size. CONCLUSIONS: Patients with severe carotid atherosclerosis who
underwent CEA enhanced their cognitive performance.
PMID- 26563767
TI - Acute coronary syndromes with significant troponin increase in patients with hip
fracture prior to surgical repair: differential diagnosis and clinical
implications.
AB - Myocardial infarction after hip fracture but before surgical repair is associated
with a 30-day mortality as high as 30 % at 1 month. In Florence, since 2011, hip
fractures are referred to a multidisciplinary hip fracture team including
internal medicine specialists, anesthesiologists, and orthopaedic surgeons. The
aim of the present investigation was to evaluate the clinical characteristics of
patients with hip fracture who had at hospital admission a significant increase
of troponin (>10 times reference levels), the diagnostic and therapeutic
strategies adopted, and overall 1-year survival. Protocol at admission included
careful clinical evaluation (including bedside echocardiography) in order to
stratify surgical risk and schedule surgery and anaesthesiology strategy. 21/1025
patients had preoperative significant troponin increase. In sixteen patients, a
diagnosis of NSTEMI was made, five presented with ST elevation. In five patients
with NSTEMI considered at very high surgical risk (ASA >= 3, severe cognitive and
functional impairment), surgery was not performed. None survived at 1 year. Hip
surgery was performed in the other 11. Four underwent coronary revascularization
after hip surgery. In this group, 1-year survival was 80 %. Four of five ST
elevation patients fulfilled criteria for stress cardiomyopathy confirmed by
angiography. Hip surgery was performed, and the patients are alive at 1-year
follow-up. Close to 2 % of patients with hip fracture are found to have a
significant troponin increase before surgery. Three out of four have an NSTEMI
diagnosis. In patients undergoing hip surgery, survival at 1 year is close to 80
%. In patients with ST elevation at admission, stress cardiomyopathy should be
considered in the differential diagnosis. This clinical condition is associated
with a favourable prognosis after hip surgery.
PMID- 26563768
TI - Does inferior vena cava respiratory variability predict fluid responsiveness in
spontaneously breathing patients?
AB - INTRODUCTION: We have almost no information concerning the value of inferior vena
cava (IVC) respiratory variations in spontaneously breathing ICU patients (SBP)
to predict fluid responsiveness. METHODS: SBP with clinical fluid need were
included prospectively in the study. Echocardiography and Doppler ultrasound were
used to record the aortic velocity-time integral (VTI), stroke volume (SV),
cardiac output (CO) and IVC collapsibility index (cIVC) ((maximum diameter
(IVCmax)- minimum diameter (IVCmin))/ IVCmax) at baseline, after a passive leg
raising maneuver (PLR) and after 500 ml of saline infusion. RESULTS: Fifty-nine
patients (30 males and 29 females; 57 +/- 18 years-old) were included in the
study. Of these, 29 (49 %) were considered to be responders (>=10 % increase in
CO after fluid infusion). There were no significant differences between
responders and nonresponders at baseline, except for a higher aortic VTI in
nonresponders (16 cm vs. 19 cm, p = 0.03). Responders had a lower baseline IVCmin
than nonresponders (11 +/- 5 mm vs. 14 +/- 5 mm, p = 0.04) and more marked IVC
variations (cIVC: 35 +/- 16 vs. 27 +/- 10 %, p = 0.04). Prediction of fluid
responsiveness using cIVC and IVCmax was low (area under the curve for cIVC at
baseline 0.62 +/- 0.07; 95 %, CI 0.49-0.74 and for IVCmax at baseline 0.62 +/-
0.07; 95 % CI 0.49-0.75). In contrast, IVC respiratory variations >42 % in SBP
demonstrated a high specificity (97 %) and a positive predictive value (90 %) to
predict an increase in CO after fluid infusion. CONCLUSIONS: In SBP with
suspected hypovolemia, vena cava size and respiratory variability do not predict
fluid responsiveness. In contrast, a cIVC >42 % may predict an increase in CO
after fluid infusion.
PMID- 26563769
TI - The Relationship Between Provider Competence, Content Exposure, and Consumer
Outcomes in Illness Management and Recovery Programs.
AB - Provider competence may affect the impact of a practice. The current study
examined this relationship in sixty-three providers engaging in Illness
Management and Recovery with 236 consumers. Improving upon previous research, the
present study utilized a psychometrically validated competence measure in the
ratings of multiple Illness Management and Recovery sessions from community
providers, and mapped outcomes onto the theory underlying the practice. Provider
competence was positively associated with illness self-management and adaptive
coping. Results also indicated baseline self-management skills and working
alliance may affect the relationship between competence and outcomes.
PMID- 26563770
TI - Is vitamin D supplementation a viable treatment for Crohn's disease?
AB - Vitamin D, important for maintaining bone health in Crohn's disease (CD), may
have potential as a treatment for the core inflammatory disease process. There is
plausible evidence in favor of vitamin D as an anti-inflammatory from animal
models, epidemiological and cross sectional studies of CD. Few clinical trials,
however, have been published and therefore the translation of this promise into
clinical benefit for people with CD remains unclear. The purpose of this piece is
to consider the viability of vitamin D as a treatment for CD based on the current
available evidence.
PMID- 26563771
TI - Household food security and infant feeding practices in rural Bangladesh.
AB - OBJECTIVE: To determine the association between household food security and
infant complementary feeding practices in rural Bangladesh. DESIGN: Prospective,
cohort study using structured home interviews during pregnancy and 3 and 9 months
after delivery. We used two indicators of household food security at 3-months'
follow-up: maternal Food Composition Score (FCS), calculated via the World Food
Programme method, and an HHFS index created from an eleven-item food security
questionnaire. Infant feeding practices were characterized using WHO definitions.
SETTING: Two rural sub-districts of Kishoreganj, Bangladesh. SUBJECTS: Mother
child dyads (n 2073) who completed the 9-months' follow-up. RESULTS:
Complementary feeding was initiated at age <=4 months for 7 %, at 5-6 months for
49 % and at >=7 months for 44 % of infants. Based on 24 h dietary recall, 98 % of
infants were still breast-feeding at age 9 months, and 16 % received >=4 food
groups and >=4 meals (minimally acceptable diet) in addition to breast milk.
Mothers' diet was more diverse than infants'. The odds of receiving a minimally
acceptable diet for infants living in most food-secure households were three
times those for infants living in least food-secure households (adjusted OR=3.0;
95 % CI 2.1, 4.3). Socio-economic status, maternal age, literacy, parity and
infant sex were not associated with infant diet. CONCLUSIONS: HHFS and maternal
FCS were significant predictors of subsequent infant feeding practices.
Nevertheless, even the more food-secure households had poor infant diet.
Interventions aimed at improving infant nutritional status need to focus on both
complementary food provision and education.
PMID- 26563772
TI - High-resolution NMR-based metabolic detection of microgram biopsies using a 1 mm
HRMUMAS probe.
AB - A prototype 1 mm High-Resolution micro-Magic Angle Spinning (HRMUMAS) probe is
described. High quality (1)H NMR spectra were obtained from 490 MUg of
heterogeneous biospecimens, offering a rich-metabolite profiling. The results
demonstrate the potential of HRMUMAS as a new NMR analytical tool in
metabolomics.
PMID- 26563773
TI - Mask-like Metastases: Skin Metastases With a Very Poor Prognosis.
PMID- 26563774
TI - Malignant Spiradenoma: a Giant Cutaneous Adnexal Tumor.
PMID- 26563775
TI - Optimization of an automated IS addition system for use in high-throughput
quantitative DBS analysis.
AB - BACKGROUND: Automated DBS direct elution systems are available that incorporate
IS spray modules which, unlike conventional IS addition via the extraction
solvent, apply IS prior to DBS samples prior to extraction, allowing analyte and
IS to be coextracted. RESULTS: IS spray system parameters were optimized to
identify the conditions that produced the best analytical performance in
quantitative bioanalytical assays, without interfering with the integrity of the
DBS sample prior to extraction. CONCLUSION: LC-MS/MS method validations across
four representative small molecule assays using the optimized IS spray conditions
were demonstrated to produce analytical performance comparable to conventional
methods of IS addition, demonstrating that the spray technique is a viable
alternative.
PMID- 26563776
TI - Assessing the feasibility of a mobile health-supported clinical decision support
system for nutritional triage in oncology outpatients using Arden Syntax.
AB - BACKGROUND: Nutritional screening procedures followed by regular nutrition
monitoring for oncological outpatients are no standard practice in many European
hospital wards and outpatient settings. As a result, early signs of malnutrition
are missed and nutritional treatment is initiated when patients have already
experienced severe weight loss. OBJECTIVE: We report on a novel clinical decision
support system (CDSS) for the global assessment and nutritional triage of the
nutritional condition of oncology outpatients. The system combines clinical and
laboratory data collected in the clinical setting with patient-generated data
from a smartphone application for monitoring the patients' nutritional status.
Our objective is to assess the feasibility of a CDSS that combines the
aforementioned data sources and describe its integration into a hospital
information system. Furthermore, we collected patients' opinions on the value of
the system, and whether they would regard the system as a useful aid in coping
with their condition. MATERIALS AND METHODS: The system implements the Patient
Generated Subjective Global Assessment (PG-SGA) to monitor nutritional status in
the outpatient setting. A smartphone application is used to collect patient
generated data by performing weekly mini-surveys on patients concerning their
eating habits, weight, and overall well-being. Data are uploaded on completion of
each mini-survey and stored on a secure server at the Medical University of
Vienna (MUV). The data are then combined with relevant clinical information from
the Vienna General Hospital (VGH) information system. The knowledge base for the
CDSS is implemented in medical logic modules (MLMs) using Arden Syntax. A three
month pilot clinical trial was performed to test the feasibility of the system.
Qualitative questionnaires were used to obtain the patients' opinions on the
usability and personal value of the system during the four-week test period.
RESULTS: We used the existing separation between the scientific and clinical data
domains in the secured network environment (SNE) at the MUV and VGH to our
advantage by importing, storing, and processing both patient-generated and
routine data in the scientific data domain. To limit exposure to the SNE, patient
generated data stored outside the SNE were imported to the scientific domain once
a day. The CDSS created for nutritional assessment and triage comprised ten MLMs,
each including either a sub-assessment or the final results of the PG-SGA.
Finally, an interface created for the hospital information system showed the
results directly in clinical routine. In all 22 patients completed the clinical
study. The results of the questionnaires showed that 91% of the patients were
generally happy with the usability of the system, 91% believed that the
application was of additional value in detecting cancer-related malnutrition, and
82% found it helpful as a long-term monitoring tool. DISCUSSION AND CONCLUSION:
Despite strict protection of the clinical data domain, a CDSS employing patient
generated data can be integrated into clinical routine. The CDSS discussed in
this report combined the information entered into a smartphone application with
clinical data in order to inform the physician of a patient's nutritional status
and thus permit suitable and timely intervention. The initial results show that
the smartphone application was well accepted by patients, who considered it
useful, but not many oncological outpatients were willing to participate in the
clinical study because they did not possess an Android phone or lacked smartphone
expertise. Furthermore, the results indicate that patient-generated data could be
employed to augment clinical data and calculate metrics such as the PG-SGA
without excessive effort by using a secure intermediate location as the locus of
data storage and processing.
PMID- 26563777
TI - Phylogenetic structural equation modelling reveals no need for an 'origin' of the
leaf economics spectrum.
AB - The leaf economics spectrum (LES) is a prominent ecophysiological paradigm that
describes global variation in leaf physiology across plant ecological strategies
using a handful of key traits. Nearly a decade ago, Shipley et al. (2006) used
structural equation modelling to explore the causal functional relationships
among LES traits that give rise to their strong global covariation. They
concluded that an unmeasured trait drives LES covariation, sparking efforts to
identify the latent physiological trait underlying the 'origin' of the LES. Here,
we use newly developed phylogenetic structural equation modelling approaches to
reassess these conclusions using both global LES data as well as data collected
across scales in the genus Helianthus. For global LES data, accounting for
phylogenetic non-independence indicates that no additional unmeasured traits are
required to explain LES covariation. Across datasets in Helianthus, trait
relationships are highly variable, indicating that global-scale models may poorly
describe LES covariation at non-global scales.
PMID- 26563779
TI - Principles and practice of occupational neurology: an overview.
AB - Diseases of both central and peripheral nervous systems may be the consequence of
chemical and physical exposures occurring in the workplace. The resulting
syndromes depend upon the type of hazard, of exposure (acute vs chronic), and of
neuronal organization that is affected. Diagnosis of occupational neurologic
diseases may prove to be difficult because they rarely display pathognomonic
signs and almost always a quantitative assessment of exposure is missing.
Therefore medical and occupational histories are of paramount importance and
often represent the only source of information to establish causality. Prevention
of occupational neurologic disorders requires industrial hygiene programs,
ergonomic interventions, and the monitoring of workers. Neuroepidemiology may
detect unrecognized and subtle subclinical effects of exposure, including
behavioral disorders, and it may also represent the appropriate tool to
investigate outbreaks of neurologic diseases in a community. However, in some
circumstances the results of neuroepidemiologic studies are controversial because
of defects in study design.
PMID- 26563778
TI - AKAP6 inhibition impairs myoblast differentiation and muscle regeneration:
Positive loop between AKAP6 and myogenin.
AB - Skeletal muscle regeneration occurs continuously to repair muscle damage incurred
during normal activity and in chronic disease or injury. Herein, we report that A
kinase anchoring protein 6 (AKAP6) is important for skeletal myoblast
differentiation and muscle regeneration. Compared with unstimulated skeletal
myoblasts that underwent proliferation, differentiated cells show significant
stimulation of AKAP6 expression. AKAP6 knockdown with siRNA effectively halts the
formation of myotubes and decreases the expression of the differentiation markers
myogenin and myosin heavy chain. When shAKAP6-lentivirus is delivered to mice
with cardiotoxin (CTX)-induced muscle injury, muscle regeneration is impaired
compared with that of mice injected with control shMock-lentivirus. The motor
functions of mice infected with shAKAP6-lentivirus (CTX+shAK6) are significantly
worse than those of mice infected with shMock-lentivirus (CTX+shMock).
Mechanistic analysis showed that AKAP6 promotes myogenin expression through
myocyte enhancer factor 2A (MEF2A). Notably, myogenin increases AKAP6 expression
as well. The results of chromatin immunoprecipitation and luciferase assays
showed that myogenin binds to an E-box site on the AKAP6 promoter. Taken
together, our findings demonstrate a novel interplay between AKAP6 and myogenin,
and we suggest that AKAP6 is an important regulator of myoblast differentiation,
myotube formation, and muscle regeneration.
PMID- 26563780
TI - Evaluating suspected work-related neurologic disorders (clinical diagnosis).
AB - The clinical diagnosis of work-related neurologic disorders is essentially one of
exclusion because symptoms and signs are often nonspecific. The clinical
reasoning requires a three-step approach: (1) establish the characteristics of
the presenting disease; (2) ascertain that observed clinical features are
consistent with those caused by the suspected agent(s); and (3) assess
occupational exposures. A detailed history is of paramount importance in
evaluating patients with suspected work-related neurologic disorders as it is in
other clinical contexts, especially because in some circumstances it may
represent the only criterion to establish causality. Thus, besides
characterization of neurologic symptoms, including their location, quality,
timecourse, and possible other associated symptoms, the work environment of the
patient should be understood in full detail. In this respect, when a neurotoxin
is suspected, then the history collection can be guided by the knowledge of the
likely syndromes it produces. Similarly, physical examination should be directed
to the target of toxicity/entrapment based on information from the work history.
Although specific sites and elements of the nervous system may be affected
depending on the offending agent, most neurotoxic disorders are characterized by
generalized rather than focal neurologic abnormalities. Laboratory toxicologic
tests have limited application for the etiologic diagnosis of neurotoxic
disorders, except in cases of acute poisoning and in patients exposed to
neurotoxic chemicals with prolonged half-life. In most cases examination takes
place after the end of exposure, when the offending chemical is no longer
detectable in body fluids. Electrophysiologic studies, in particular evoked
potentials, electromyography, and conduction velocities, are important to confirm
the organic basis of symptoms, particularly to detect subclinical or early
neurologic involvement and to reduce the number of disorders to be considered in
the differential diagnoses. In general, imaging studies with computed tomography
and magnetic resonance are of limited utility in the evaluation of suspected
neurotoxic disorders, except for helping to exclude other causes of the patient's
clinical state. Improved conditions and safer practices in the workplace have led
to a gradual shift in application of neuropsychologic evaluation from the
assessment of severe neurotoxic damage to the evaluation of mild subclinical
disturbances, and these tests are nowadays extensively used in screening workers
exposed to neurotoxicants. Tools used in the screening of large groups of workers
exposed to neurotoxicants may differ from those used in the clinic. Whereas some
are obviously impractical, such as physical examination, others, such as, for
instance, toxicologic tests, are used for biologic monitoring of exposure to
ascertain compliance with occupational exposure limits.
PMID- 26563781
TI - Neuropsychologic evaluation and exposure to neurotoxicants.
AB - The history of neuropsychologic assessment describes the development of a
psychometric approach to neuropsychologic measurement, based on the initial
clinical/theoretical approach exemplified by pioneers, who also discussed
different brain functions and hypotheses for clinical exploration and treatment.
Early neuropsychologic assessment practices in the USA arose out of the need to
screen, diagnose, and treat World War II veterans who returned with brain
injuries. Clinical testing was used to determine treatment and rehabilitation
potential. Clinical psychologists had previously developed educational tests to
investigate students' abilities and disabilities. Using population studies,
primarily in the USA, Canada and Europe, neuropsychologists developed
standardized test scores, permitting comparisons of scores based on the normal
curve and evolving knowledge of brain/behavior relationships. In clinical
interpretations, neuropsychologists use extensive normative data based on
cognitive, mood, executive, neurologic, and motor brain functions of groups with
different cultural and educational backgrounds and psychiatric illnesses. Large
groups of workers can be screened with a brief neuropsychologic screening test
battery to assess the psychologic status of personnel. Commonly used tests by
domain are described, as well as patterns of acute and chronic neurotoxicant
exposures, treatment, and rehabilitation. Future developments will relate imaging
studies to neuropsychologic performance.
PMID- 26563782
TI - Exposure assessment for chemical and physical agents.
AB - Exposure assessment to either chemical or physical agents, as applicable, is a
necessary step for both etiologic diagnosis of occupational disease and health
surveillance of workers. The assessment can be carried out by measurements of
concentrations in air of the substance by either fixed or personal samplers. The
former give an indication of the general environment, the latter, applied near
the mouth of the worker, provide personalized information. Dermal exposure
(deposition) and absorption occur in the occupational setting, but their
measurement is so complex that there are no routine methods and no exposure
limits established. Oral exposure is accidental or associated with poor hygienic
practices, is very difficult to assess, and there are no occupational exposure
limits of oral intake. Also accidental injuries caused by needles or other sharp
objects that might be contaminated by chemicals occur but exposure assessment in
this condition is almost impossible. Exposure is also assessed by biologic
monitoring that entails measurements of the substance and/or of its metabolite(s)
in accessible fluids, generally urine, blood, or expired air. Biochemical
changes, e.g., enzyme inhibition, associated with exposure are also measured. The
results of these measurements are compared with existing limit values in order to
conclude on the safety of the working conditions. Since the limit values are
derived with the application of conservative assumptions, there are no fine lines
between health and disease. Therefore, in the clinical setting values below the
limit can be generally regarded as safe. Values above the limits should be
considered in conjunction with all other information to judge on the likelihood
that the disease under investigation may have been caused by exposure to the
substance.
PMID- 26563783
TI - The mechanisms of neurotoxicity and the selective vulnerability of nervous system
sites.
AB - The spatial heterogeneity of the structure, function, and cellular composition of
the nervous system confers extraordinary complexity and a multiplicity of
mechanisms of chemical neurotoxicity. Because of its relatively high metabolic
demands and functional dependence on postmitotic neurons, the nervous system is
vulnerable to a variety of xenobiotics that affect essential homeostatic
mechanisms that support function. Despite protection from the neuroglia and blood
brain barrier, the central nervous system is prone to attack from lipophilic
toxicants and those that hijack endogenous transport, receptor, metabolic, and
other biochemical systems. The inherent predilection of chemicals for highly
conserved biochemical systems confers selective vulnerability of the nervous
system to neurotoxicants. This chapter discusses selective vulnerability of the
nervous system in the context of neuron-specific decrements (axonopathy,
myelinopathy, disruption of neurotransmission), and the degree to which neuronal
damage is facilitated or ameliorated by surrounding nonneural cells in both the
central and peripheral nervous systems.
PMID- 26563784
TI - Occupation and the risk of chronic toxic leukoencephalopathy.
AB - Among the hundreds of environmental insults capable of inducing nervous system
injury, a small number can produce clinically significant damage to the brain
white matter. The use of magnetic resonance imaging (MRI) in affected individuals
has greatly illuminated this previously obscure area of neurotoxicology. Toxic
leukoencephalopathy has acute and chronic forms, in both of which cognitive
dysfunction is the major clinical manifestation. Chronic toxic
leukoencephalopathy (CTL) has been most thoroughly described in individuals with
intense and prolonged exposure to leukotoxins, but the consequences of lesser
degrees of exposure are not well understood. Rare cases of CTL have been reported
in workers exposed to culpable leukotoxins, but study of this syndrome is
hindered by many confounds such as uncertain level of toxin exposure, the
presence of multiple toxins, vague dose-response relationship, comorbid medical
or neurologic disorders, psychiatric illness, and legal issues. The risk of CTL
in workers is low, although it is not possible to determine quantitative risk
estimates. More knowledge can be expected with the application of advanced MRI
techniques to the assessment of workers who may have been exposed to known or
potential leukotoxins. Preventive measures for avoiding workplace CTL will be
informed by clinical assessment involving the use of advanced neuroimaging and
neuropsychologic evaluation in combination with accurate measurement of
leukotoxin exposure.
PMID- 26563785
TI - Neurotoxicity of solvents.
AB - Worldwide, several hundred million tons of organic solvents are used annually in
household, industry, and other occupational settings. Millions of workers are
regularly exposed to organic solvents considered neurotoxic. Acute neurotoxicity
due to high exposure of solvent is usually evident, but the nature of long-term
effects, such as chronic solvent encephalopathy (CSE), has raised uncertainty
even among experts. Earlier studies were criticized for their methodology, mainly
epidemiologic studies or investigations of exposed groups with many possible
confounders and inadequate exposure assessment. However, an increasing number of
studies have been performed since, also on workers with defined CSE based on
differential diagnostics. During the last decade, evidence has emerged to enable
identification of CSE, a necessity for the early recognition and prevention of
progression of dysfunction and disability. Selected chemicals are presented here
due to their widespread use, neurotoxic potential, and ability to cause solvent
encephalopathy. Constant introduction of new chemicals may introduce new
hazardous chemicals or known chemicals may reveal new health effects. It is
important to keep an open mind for new findings of solvent-related
neurobehavioral effects.
PMID- 26563786
TI - Hydrogen sulfide intoxication.
AB - Hydrogen sulfide (H2S) is a hazard primarily in the oil and gas industry,
agriculture, sewage and animal waste handling, construction (asphalt operations
and disturbing marshy terrain), and other settings where organic material
decomposes under reducing conditions, and in geothermal operations. It is an
insoluble gas, heavier than air, with a very low odor threshold and high
toxicity, driven by concentration more than duration of exposure. Toxicity
presents in a unique, reliable, and characteristic toxidrome consisting, in
ascending order of exposure, of mucosal irritation, especially of the eye ("gas
eye"), olfactory paralysis (not to be confused with olfactory fatigue), sudden
but reversible loss of consciousness ("knockdown"), pulmonary edema (with an
unusually favorable prognosis), and death (probably with apnea contributing). The
risk of chronic neurcognitive changes is controversial, with the best evidence at
high exposure levels and after knockdowns, which are frequently accompanied by
head injury or oxygen deprivation. Treatment cannot be initiated promptly in the
prehospital phase, and currently rests primarily on supportive care, hyperbaric
oxygen, and nitrite administration. The mechanism of action for sublethal
neurotoxicity and knockdown is clearly not inhibition of cytochrome oxidase c, as
generally assumed, although this may play a role in overwhelming exposures. High
levels of endogenous sulfide are found in the brain, presumably relating to the
function of hydrogen sulfide as a gaseous neurotransmitter and immunomodulator.
Prevention requires control of exposure and rigorous training to stop doomed
rescue attempts attempted without self-contained breathing apparatus, especially
in confined spaces, and in sudden release in the oil and gas sector, which result
in multiple avoidable deaths.
PMID- 26563787
TI - The neurotoxicity of organochlorine and pyrethroid pesticides.
AB - Organochlorine and pyrethroid compounds represent an old and a new class,
respectively, of insecticides. Organochlorines such as DDT, dieldrin, or
chlordecone, have been banned, primarily because of environmental issues. DDT is
still used in certain countries to fight malaria-bearing mosquitoes, while
lindane still finds some limited used against head lice. In contrast, pyrethroids
find widespread use because of their efficacy, low environmental persistence, and
relatively low mammalian toxicity. Like all insecticides, organochlorines and
pyrethroids target the nervous system of insects and of nontarget species. All
pyrethroids and DDT interact with the sodium channel; by keeping it open longer,
they increase the likelihood of action potentials developing, thus creating a
condition of hyperexcitability, whose main clinical sign is tremors. Most other
organochlorines (except chlordecone), as well as certain (type II) pyrethroids,
block the chloride channels of the GABA-A receptor, and cause seizures. Evidence
of an association between exposure to organochlorine and pyrethroid insecticides
and neurodegenerative diseases (e.g., Parkinson's disease) is weak, at best.
PMID- 26563788
TI - Organophosphorus and carbamate insecticide poisoning.
AB - Both organophosphorus (OP) and carbamate insecticides inhibit
acetylcholinesterase (AChE), which results in accumulation of acetylcholine (ACh)
at autonomic and some central synapses and at autonomic postganglionic and
neuromuscular junctions. As a consequence, ACh binds to, and stimulates,
muscarinic and nicotinic receptors, thereby producing characteristic features.
With OP insecticides (but not carbamates), "aging" may also occur by partial
dealkylation of the serine group at the active site of AChE; recovery of AChE
activity requires synthesis of new enzyme in the liver. Relapse after apparent
resolution of cholinergic symptoms has been reported with OP insecticides and is
termed the intermediate syndrome. This involves the onset of muscle paralysis
affecting particularly upper-limb muscles, neck flexors, and cranial nerves some
24-96 hours after OP exposure and is often associated with the development of
respiratory failure. OP-induced delayed neuropathy results from phosphorylation
and subsequent aging of at least 70% of neuropathy target esterase. Cramping
muscle pain in the lower limbs, distal numbness, and paresthesiae are followed by
progressive weakness, depression of deep tendon reflexes in the lower limbs and,
in severe cases, in the upper limbs. The therapeutic combination of oxime,
atropine, and diazepam is well established experimentally in the treatment of OP
pesticide poisoning. However, there has been controversy as to whether oximes
improve morbidity and mortality in human poisoning. The explanation may be that
the solvents in many formulations are primarily responsible for the high
morbidity and mortality; oximes would not be expected to reduce toxicity in these
circumstances. even if given in appropriate dose.
PMID- 26563789
TI - Neurotoxicity of metals.
AB - Metals are frequently used in industry and represent a major source of toxin
exposure for workers. For this reason governmental agencies regulate the amount
of metal exposure permissible for worker safety. While essential metals serve
physiologic roles, metals pose significant health risks upon acute and chronic
exposure to high levels. The central nervous system is particularly vulnerable to
metals. The brain readily accumulates metals, which under physiologic conditions
are incorporated into essential metalloproteins required for neuronal health and
energy homeostasis. Severe consequences can arise from circumstances of excess
essential metals or exposure to toxic nonessential metal. Herein, we discuss
sources of occupational metal exposure, metal homeostasis in the human body,
susceptibility of the nervous system to metals, detoxification, detection of
metals in biologic samples, and chelation therapeutic strategies. The neurologic
pathology and physiology following aluminum, arsenic, lead, manganese, mercury,
and trimethyltin exposures are highlighted as classic examples of metal-induced
neurotoxicity.
PMID- 26563790
TI - Carbon monoxide intoxication.
AB - Carbon monoxide (CO) is a colorless, odorless, nonirritant gas that accounts for
numerous cases of CO poisoning every year from a variety of sources of incomplete
combustion of hydrocarbons. These include poorly functioning heating systems,
indoor propane-powered forklifts, indoor burning of charcoal burning briquettes,
riding in the back of pick-up trucks, ice skating rinks using propane-powered
resurfacing machines, and gasoline-powered generators that are not in correct
locations. Once CO is inhaled it binds with hemoglobin to form carboxyhemoglobin
(COHb) with an affinity 200 times greater than oxygen that leads to decreased
oxygen-carrying capacity and decreased release of oxygen to tissues leading to
tissue hypoxia. Ischemia occurs with CO poisoning when there is loss of
consciousness that is accompanied by hypotension and ischemia in the arterial
border zones of the brain. Besides binding to many heme-containing proteins, CO
disrupts oxidative metabolism leading to the formation of free radicals. Once
hypotension and unconsciousness occur with CO poisoning, lipid peroxidation and
apoptosis follow. Because COHb has a short half-life, examination of other
biomarkers of CO neurotoxicity that reflect inflammation or neuronal damage has
not demonstrated consistent results. The initial symptoms with CO exposure when
COHb is 15-30% are nonspecific, namely, headache, dizziness, nausea, fatigue, and
impaired manual dexterity. However individuals with ischemic heart disease may
experience chest pain and decreased exercise duration at COHb levels between 1%
and 9%. COHb levels between 30% and 70% lead to loss of consciousness and
eventually death. Following resolution of acute symptoms there may be a lucid
interval of 2-40 days before the development of delayed neurologic sequelae
(DNS), with diffuse demyelination in the brain accompanied by lethargy, behavior
changes, forgetfulness, memory loss, and parkinsonian features. Seventy-five
percent of patients with DNS recover within 1 year. Neuropsychologic
abnormalities with chronic CO exposure are found even when magnetic resonance
imaging (MRI) and magnetic resonance spectroscopy are normal. White-matter damage
in the centrum semiovale and periventricular area and abnormalities in the globus
pallidus are most commonly seen on MRI following CO exposure. Though not as
common, toxic or ischemic peripheral neuropathies are associated with CO exposure
in humans and animals. The cornerstone for treatment for CO poisoning is 100%
oxygen using a tight-fitting mask for greater than 6 hours. The indications for
treatment with hyperbaric oxygen to decrease the half-life of COHb remain
controversial.
PMID- 26563791
TI - Extrapyramidal system neurotoxicity: animal models.
AB - The central nervous system's extrapyramidal system provides involuntary motor
control to the muscles of the head, neck, and limbs. Toxicants that affect the
extrapyramidal system are generally clinically characterized by impaired motor
control, which is usually the result of basal ganglionic dysfunction. A variety
of extrapyramidal syndromes are recognized in humans and include Parkinson's
disease, secondary parkinsonism, other degenerative diseases of the basal
ganglia, and clinical syndromes that result in dystonia, dyskinesia, essential
tremor, and other forms of tremor and chorea. This chapter briefly reviews the
anatomy of the extrapyramidal system and discusses several naturally occurring
and experimental models that target the mammalian (nonhuman) extrapyramidal
system. Topics discussed include extrapyramidal syndromes associated with
antipsychotic drugs, carbon monoxide, reserpine, cyanide, rotenone, paraquat, 1
methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP), and manganese. In most cases,
animals are used as experimental models to improve our understanding of the
toxicity and pathogenesis of these agents. Another agent discussed in this
chapter, yellowstar thistle poisoning in horses, however, represents an important
spontaneous cause of parkinsonism that naturally occurs in animals. The central
focus of the chapter is on animal models, especially the concordance between
clinical signs, neurochemical changes, and neuropathology between animals and
people.
PMID- 26563792
TI - Occupational exposures and parkinsonism.
AB - In recent years, the contribution of exposure to environmental toxicants has been
recognized as a significant contributor to the etiopathogenesis of parkinsonism.
Of these toxicants, exposure to pesticides, metals, solvents used in
manufacturing processes, as well as flame-retardant chemicals used in consumer
and commercial products, has received the greatest attention as possible risk
factors. Related to this, individuals who are exposed to these compounds at high
concentrations or for prolonged periods of time in an occupational setting appear
to be one of the more vulnerable populations to these effects. Our understanding
of which compounds are involved and the potential molecular pathways that are
susceptible to these chemicals and may underlie the pathogenesis has greatly
improved. However, there are still hundreds of chemicals that we are exposed to
in the environment for which we do not have any information on their potential
neurotoxicity on the nigrostriatal dopamine system. Thus, using our past
accomplishments as a blueprint, future endeavors should focus on elaborating upon
these initial findings in order to identify specific and relevant chemical
toxicants in our environment that can impact the risk of parkinsonism and work
towards a means to attenuate or abolish their effects on the human population.
PMID- 26563793
TI - Tremor secondary to neurotoxic exposure: mercury, lead, solvents, pesticides.
AB - Lead, mercury, solvents, and pesticide exposures are common in certain
occupations and may cause nervous system dysfunction. Tremors may be the herald
manifestation among a constellation of acute toxicity signs and symptoms.
However, since tremors may also be the only sign on clinical presentation and
since tremors also occur in other diseases, relating tremors to a specific
occupational exposure can be challenging. Diagnosis of tremor etiology must be
based on other findings on physical exam, laboratory results, and/or imaging.
Discerning whether the tremor resulted from the occupational environment versus
other etiologies requires knowledge of potential exposure sources, additional
detail in history taking, and support of other health and industrial
professionals. Reduction or removal from the exposure source remains the key
first step in treating patients suffering from tremor that had resulted from
occupational exposure toxicity.
PMID- 26563794
TI - Clinical description of toxic neuropathies.
AB - Toxic neuropathy, although rare, is an important consideration in the setting of
a known or suspected toxic exposure in the workplace or other environment. This
chapter discusses the clinical and electrodiagnostic evaluation of peripheral
neuropathies, highlighting findings that direct further workup and may point to
specific toxins as etiology. The difficulty of establishing causality of a toxin
in relation to peripheral neuropathy is discussed; guidelines for establishing
causality are presented. Examples of common industrial toxins are listed,
including their typical industrial uses and their mechanisms of action in
producing neuropathy. Characteristic clinical presentations of specific toxic
neuropathies are highlighted with selected case studies.
PMID- 26563795
TI - Neurotoxic exposure and impairment of the chemical senses of taste and smell.
AB - The chemical senses of taste and smell determine the flavor of foods and
beverages, guide appropriate food intake, and warn of such environmental hazards
as spoiled or poisonous food, leaking natural gas, smoke, and airborne
pollutants. This chapter addresses the influences of neurotoxic exposures on
human chemoreception and provides basic information on the adverse influences of
such exposures on rodent epithelia. The focus of the chapter is in olfaction,
given dearth of empiric research on the effects of neurotoxic chemical exposures
on the sense of taste, i.e., sweet, sour, bitter, salty, and savory sensations.
As will be apparent from the chapter, numerous neurotoxins--many of which are
encountered in industrial workplaces--alter the ability to smell, including
solvents, metals, and particulate matter. The olfactory system is particularly
vulnerable to such agents since its receptors are more or less directly exposed
to the outside environment. Importantly, some such agents can enter the brain via
the olfactory nerve or surrounding perineural spaces, bypassing the blood-brain
barrier and damaging central nervous system structures and inducing pathologic
processes that appear to be similar to those seen in neurodegenerative diseases
such as Alzheimer's and Parkinson's.
PMID- 26563796
TI - Retinal and visual system: occupational and environmental toxicology.
AB - Occupational chemical exposure often results in sensory systems alterations that
occur without other clinical signs or symptoms. Approximately 3000 chemicals are
toxic to the retina and central visual system. Their dysfunction can have
immediate, long-term, and delayed effects on mental health, physical health, and
performance and lead to increased occupational injuries. The aims of this chapter
are fourfold. First, provide references on retinal/visual system structure,
function, and assessment techniques. Second, discuss the retinal features that
make it especially vulnerable to toxic chemicals. Third, review the clinical and
corresponding experimental data regarding retinal/visual system deficits produced
by occupational toxicants: organic solvents (carbon disulfide, trichloroethylene,
tetrachloroethylene, styrene, toluene, and mixtures) and metals (inorganic lead,
methyl mercury, and mercury vapor). Fourth, discuss occupational and
environmental toxicants as risk factors for late-onset retinal diseases and
degeneration. Overall, the toxicants altered color vision, rod- and/or cone
mediated electroretinograms, visual fields, spatial contrast sensitivity, and/or
retinal thickness. The findings elucidate the importance of conducting multimodal
noninvasive clinical, electrophysiologic, imaging and vision testing to monitor
toxicant-exposed workers for possible retinal/visual system alterations. Finally,
since the retina is a window into the brain, an increased awareness and
understanding of retinal/visual system dysfunction should provide additional
insight into acquired neurodegenerative disorders.
PMID- 26563797
TI - Hearing.
AB - The main hazard for hearing in the workplace is noise. Organic solvents and heavy
metals may increase the danger of developing occupational hearing loss,
particularly in the case of co-exposure with noise. While noise produces damage
predominantly to the cochlea, chemicals may be responsible for pathologic changes
in both peripheral and central parts of the auditory pathway. Noise-induced
hearing loss develops slowly over the years, although its progression is most
dynamic during the first 10-15 years of exposure. Pure-tone audiometry indicates
a bilateral sensorineural hearing loss, affecting predominantly high frequencies,
with typical notch at 3-6 kHz in the early stages of the disease. Where there is
co-exposure to noise and chemicals, the noise effect on hearing threshold shifts
is dominant; however chemicals seem to increase the vulnerability of the cochlea
to the damage by noise, particularly at its low and moderate levels. According to
European Directive 2003/10/EC, the employer is obliged to implement hearing
prevention programs when the A-weighted equivalent 8-hour level of noise (LAEX8
hr) exceeds 80 dB. Since chemicals may impair intelligibility of speech despite a
lack of audiometric hearing threshold shift, implementation of speech audiometry,
particularly speech in noise tests, is recommended in prevention programs.
PMID- 26563798
TI - Mechanical stress.
AB - This chapter discusses mechanical stressors that are believed to be associated
with work-related musculoskeletal disorders. It starts with an introduction to
work-related musculoskeletal disorders (particularly those with high incidences
in workplaces, such as disorders of low back, neck/shoulder, hand/wrist, elbow,
and knee) in high-risk industries, and those mechanical stressors (such as high
forces, awkward postures, high repetitions, excessive contact stress, and harmful
human vibrations) in workplaces that are responsible for these disorders.
Quantification methods of these mechanical stressors are then discussed. Although
various quantification methods such as self-report, observational technique, and
direct measurement are discussed, details are focused on many of those well
published observational techniques used by practitioners to assess risk levels of
these mechanical stressors in jobs. These methods can quantify job mechanical
stressors and provide risk level indications that can be used by practitioners to
facilitate their decision making. These methods can also be used to evaluate the
improvements of ergonomics interventions by comparing the risk levels
quantitatively before and after the interventions.
PMID- 26563799
TI - Low-back pain.
AB - Low-back pain is one of the most common painful conditions experienced by humans
throughout their life. Some occupational risk factors (namely, heavy manual
material handling) may also contribute to the development of low-back pain: due
to the high prevalence of both low-back pain and manual material handling in the
adult working population, it has been estimated that low-back pain is probably
the most common occupational disorder worldwide. Lifetime prevalence of low-back
pain has been reported to be as high as 84%, depending on the case definition
used, and no age group is spared, even children. Although low-back pain is not a
lethal condition, it was estimated at the third rank among all diseases by
disability-adjusted life-years in 2010 in the USA, after ischemic heart disease
and chronic obstructive pulmonary disease, and at the first rank by years lived
with disability. It also ranked high (13th) globally for the same year, in
disability-adjusted life-years. Low-back pain is currently classified as
nonspecific/specific as to putative cause and as acute (lasting less than 6
weeks), subacute (6-12 weeks), or chronic (more than 12 weeks) according to
duration of symptoms. The distinction between nonspecific/specific and
acute/subacute/chronic low-back pain is useful not only for epidemiologic
studies, but also (mainly) for choosing the appropriate strategy for the
diagnosis and treatment of the disorder. Workplace risk factors for low-back pain
include manual lifting and whole-body vibration exposure. This chapter will
provide an overview of modern concepts of low-back pain (in general) and will
then outline some distinctive features of work-related low-back pain.
PMID- 26563800
TI - Occupational mononeuropathies in industry.
AB - Peripheral nerve injuries have the potential to cause significant disability and
can be commonly associated with recreational and occupational activities. Acute
nerve injuries are mainly related to violent trauma, while repeated mechanical
trauma due to external forces or repetitive motions can produce chronic nerve
compression injury. This chapter will present a narrative review of the existing
evidence of the association between peripheral compressive nerve disorders and
work-related risk factors. Carpal tunnel syndrome (CTS) is the most common
peripheral neuropathy in the general population and in working populations
employed in manual repetitive and forceful activities. The work-relatedness of
CTS is essentially based on epidemiologic evidence and the results of
experimental studies showing the capability of repetitive wrist extreme postures,
associated with hand-wrist forceful exertions, to increase the pressure inside
the carpal tunnel and to compress the median nerve. Assembly industry, food
processing and packaging, hand-arm vibrating tools, and jobs involving high
repetition, high-force tasks put workers at risk for CTS. Less strong evidence
exists of the association between ulnar elbow neuropathy and manual tasks or
repetitive stretch on squatting and peroneal nerve neuropathy at the fibular
head. Very few reports are available about the association between occupation and
other compressive peripheral nerve injuries.
PMID- 26563801
TI - Upper-extremity and neck disorders associated with keyboard and mouse use.
AB - Musculoskeletal disorders are frequently related to computer use in the
workplace. The aim of this chapter is to provide an overview of the evidence in
the literature concerning the putative association between neck, shoulder, and
upper-limb disorders and occupational exposure to use of a computer and its
devices. We searched the scientific literature via PubMed, using specific search
strategies, including substrings tailored to retrieve papers about: (1)
occupational etiology; (2) computer use; and (3) different upper-limb disorders.
We intended to include, in our evaluation, systematic reviews and relevant,
informative papers published later on. We were able to retrieve 11 systematic
reviews and 11 informative studies regarding neck, shoulder, and upper-limb
disorders. There is limited/insufficient and/or inconsistent evidence indicating
that computer work may be associated to neck, shoulder, or distal arm complaints.
There is sufficient evidence indicating no association between carpal tunnel
syndrome and computer work. There are no studies regarding the use of computers
and some neck, shoulder, and upper-limb diseases, such as tennis elbow and
trigger finger. Applying the general principles of ergonomics to computer work is
probably the correct strategy to pursue, with the aim of maintaining office
workers' well-being.
PMID- 26563802
TI - Sleep deprivation due to shift work.
AB - Sleep deprivation due to shift work is related to perturbation of the sleep/wake
cycle, associated with the modified activity/rest pattern. This may cause a
significant disruption of circadian rhythms of biologic functions, driven by the
body clock located in the suprachiasmatic nuclei of the hypothalamus. Shift and
night workers have to change sleep times and strategies according to their duty
periods; consequently, both sleep length and quality can be considerably affected
depending on the variable start and finish times on different shifts. About 10%
of night and rotating shift workers, aged between 18 and 65 years, have been
estimated to have a diagnosable "shift-work sleep disorder," according to the
International Classification of Sleep Disorders, version 2 (ICSD-2). In the long
run, this may lead to persistent and severe disturbances of sleep, chronic
fatigue and psychoneurotic syndromes, besides being a risk or aggravating factor
for accidents, gastrointestinal, cardiovascular, and reproductive disorders, as
well as, probably, for cancer. Preventive and corrective actions deal with the
organization of shift schedules according to ergonomic criteria, careful health
surveillance, appropriate education and training on effective countermeasures, in
particular, sleep hygiene and napping.
PMID- 26563803
TI - Headache and migraine: a leading cause of absenteeism.
AB - Migraine and tension-type headache, the two dominant primary headache disorders,
are among the most common causes of lost work time. The population impact of both
disorders is similar, but the demographics and individual impact differ.
Approximately 18% of females and 6% of males have migraine in the general
population. Prevalence is highest around age 40, when individuals are at the peak
of their work abilities. Headaches cause substantial individual impact on work
productivity and employer and societal burden from direct medical costs, lost
work time, and underemployment, and, in more severe persistent headache,
unemployment. The lost work time costs greatly exceed medical care costs. Chronic
daily headache (15 or more headache days per month) represents a widely accepted
stage of pain progression that occurs in 2-4% of the population. Treatment of
headaches can be acute or preventive. The goals of acute treatment are timely
alleviation of pain and associated symptoms without recurrence, the restoring of
ability to function, minimizing the use of back-up and rescue medications with
minimal adverse events, and providing the best cost-effective management.
Migraine-preventive medications are used to decrease future attack frequency,
severity, and duration, improving responsiveness to acute treatments, and
improving overall function and decreasing disability. Preventing analgesic
overuse that leads to chronic daily headache is another goal of using preventive
treatment. Preventive medications should be considered in migraine patients
reporting either 3-6 or more headache days per month, depending on how headaches
impair function.
PMID- 26563804
TI - Considerations for return to work following traumatic brain injury.
AB - Population-based studies have demonstrated that a history positive for traumatic
brain injury (TBI) can result in cognitive impairment, behavioral alterations,
and pain. These outcomes can and do influence occupational function, can affect
others in the workplace, and raise concerns about workplace safety upon re-entry
to the workplace. Risk for long-term impairment and disability can in some cases
be mitigated by assessment of capabilities relative to job duties, conservative
return-to-work schedules, and, in some cases, interventions to support that
return. For those in occupations at high risk for brain injury, including first
responders, soldiers, and construction workers, the long-term risk of brain
injury as a risk factor for neurodegenerative disease must and should inform
increased concern for those with repeated injuries to the brain over the course
of their lifetime and career. This chapter reviews the risks of TBI, considers
factors that optimize functional recovery, and discusses potential interventions
and factors that aid in return to the workplace.
PMID- 26563805
TI - The complex regional pain syndrome.
AB - Complex regional pain syndrome (CRPS) is the current consensus-derived name for a
syndrome usually triggered by limb trauma. Required elements include prolonged,
disproportionate distal-limb pain and microvascular dysregulation (e.g., edema or
color changes) or altered sweating. CRPS-II (formerly "causalgia") describes
patients with identified nerve injuries. CRPS-I (formerly "reflex sympathetic
dystrophy") describes most patients who lack evidence of specific nerve injuries.
Diagnosis is clinical and the pathophysiology involves combinations of small
fiber axonopathy, microvasculopathy, inflammation, and brain
plasticity/sensitization. Females have much higher risk and workplace accidents
are a well-recognized cause. Inflammation and dysimmunity, perhaps facilitated by
injury to the blood-nerve barrier, may contribute. Most patients, particularly
the young, recover gradually, but treatment can speed healing. Evidence of
efficacy is strongest for rehabilitation therapies (e.g., graded-motor imagery),
neuropathic pain medications, and electric stimulation of the spinal cord,
injured nerve, or motor cortex. Investigational treatments include ketamine,
botulinum toxin, immunoglobulins, and transcranial neuromodulation. Nonrecovering
patients should be re-evaluated for neurosurgically treatable causal lesions
(nerve entrapment, impingement, infections, or tumors) and treatable potentiating
medical conditions, including polyneuropathy and circulatory insufficiency.
Earlier impressions that CRPS represents malingering or psychosomatic illness
have been replaced by evidence that CRPS is a rare complication of limb injury in
biologically susceptible individuals.
PMID- 26563806
TI - Accommodation of workers with chronic neurologic disorders.
AB - The ability to work is important to those with chronic neurologic disorders (CND)
and to the aging workforce. Many signs and symptoms are similar in those with CND
and normal aging, but may interfere with the ability to work if not appropriately
accommodated. This requires the healthcare provider to recognize the specific
features of the CND that interferes with work and how it can be accommodated.
Review of the American with Disabilities Act and the subsequent amendment informs
the healthcare provider as to what is covered under the law and how the
disability can be accommodated. Overall employers want to retain qualified
employees and therefore accommodating workers is beneficial to both the employee
with CND and the employer.
PMID- 26563807
TI - Preface.
PMID- 26563808
TI - Foreword.
PMID- 26563810
TI - The tendon approximator device in traumatic injuries.
AB - BACKGROUND: Precise and tension-free approximation of two tendon endings is the
key predictor of outcomes following tendon lacerations and repairs. We evaluate
the efficacy of a new tendon approximator device in tendon laceration repairs.
MATERIALS & METHODS: In a comparative study, we used our new tendon approximator
device in 99 consecutive patients with laceration of 266 tendons who attend a
university hospital and evaluated the operative time to repair the tendons,
surgeons' satisfaction as well as patient's outcomes in a long-term follow-up.
Data were compared with the data of control patients undergoing tendon repair by
conventional method. RESULTS: Totally 266 tendons were repaired by approximator
device and 199 tendons by conventional technique. 78.7% of patients in first
group were male and 21.2% were female. In approximator group 38% of patients had
secondary repair of cut tendons and 62% had primary repair. Patients were
followed for a mean period of 3years (14-60 months). Time required for repair of
each tendon was significantly reduced with the approximator device (2 min vs. 5.5
min, p<0.0001). After 3-4 weeks of immobilization, passive and active
physiotherapy was started. Functional Results of tendon repair were identical in
the two groups and were not significantly different. 1% of tendons in group A and
1.2% in group B had rupture that was not significantly different. DISCUSSION: The
new nerve approximator device is cheap, feasible to use and reduces the time of
tendon repair with sustained outcomes comparable to the conventional methods.
PMID- 26563809
TI - Effect of low-dose mineralocorticoid receptor antagonists on metabolic profile
and endothelial dysfunction in metabolic syndrome.
PMID- 26563811
TI - A review of recent patents on the ASICs as a key drug target.
AB - Acid-sensing ion channels (ASICs) are scattered various cells of human body.
Drugs like amiloride has demonstrated nonspecific antagonism ASICs. Toxins, such
as Psalmotoxin-1, have been used in animal models. There are no drugs available
in the market whose action mechanism acts through these channels. We revised all
patents relating to pharmaceutical formulations of applicability in ASICs. Drugs
acting as antagonist in ASIC1 or ASIC3 channels seem to be the most promising
targets. Patent data have suggested a variety of approaches for selective ASICs
drugs, such as neuroprotective and analgesic. Studies analysis suggested that
ASICs are promising targets for new drugs.
PMID- 26563812
TI - Bioprospecting of Brevibacillus brevis isolated from soil.
AB - It is obviously essential to find new compounds that possess industrial and
medicinal importance and potential to improve the lifestyle of human population.
Bioprospecting these compounds from natural resources has additional benefits
since they are less toxic as well as biocompatible. In this study, Brevibacillus
brevis was isolated from soil and its enzymes production, antibacterial activity
and anticancer activity were assessed. The organism was found to be a promising
source of amylase enzymes, antibacterial as well as anticancer compounds.
PMID- 26563813
TI - Response surface optimization of the thermal acid pretreatment of sugar beet pulp
for bioethanol production using Trichoderma viride and Saccharomyces cerevisiae.
AB - BACKGROUND: Worldwide nowadays, relying on the second generation bioethanol from
the lignocellulosic feedstock is a mandatory aim. However, one of the major
drawbacks for high ethanol yield is the physical and chemical pretreatment of
this kind of feedstock. As the pretreatment is a crucial process operation that
modifies the lignocellulosic structure and enhances its accessibility for the
high cost hydrolytic enzymes in an attempt to maximize the yield of the
fermentable sugars. The objective of this work was to optimize and integrate a
physicochemical pretreatment of one of the major agricultural wastes in Egypt;
the sugar beet pulp (SBP) and the enzymatic saccharification of the pretreated
SBP using a whole fungal cells with a separate bioethanol fermentation batch
processes to maximize the bioethanol yield. METHODS AND RESULTS: The response
surface methodology was employed in this study to statistically evaluate and
optimize the conditions for a thermal acid pretreatment of SBP. The significance
and the interaction effects of the concentrations of HCl and SBP and the reaction
temperature and time were studied using a three-level central composite design of
experiments. A quadratic model equation was obtained to maximize the production
of the total reducing sugars. The validity of the predicted model was confirmed.
The thermally acid pretreated SBP was further subjected to a solid state
fermentation batch process using Trichoderma viride F94. The thermal acid
pretreatment and fungal hydrolyzes were integrated with two parallel batch
fermentation processes of the produced hydrolyzates using Saccharomyces
cerevisiae Y39, that yielded a total of ~ 48 g/L bioethanol, at a conversion rate
of ~ 0.32 g bioethanol/ g SBP. CONCLUSION: Applying the proposed integrated
process, approximately 97.5 gallon of ethanol would be produced from a ton (dry
weight) of SBP.
PMID- 26563814
TI - The effective role of mycorrhizal symbiosis in sinking CO2 from atmosphere of
mega cities.
AB - An effort was made after detailed literature survey and few experiments,
conducted at Laboratory conditions about the VAM fungus inoculated plants; they
have large surface area and more photosynthetic rate, can assimilate more CO2,
even can grow in drought condition including water deficiency and high
temperature. For this purpose, a greenhouse pot experiment was conducted in which
soil manifested with fungi was used and Conocarpus erectus L (common on green
belt of Karachi Streets) was selected for testing the fungal engineering. Results
demonstrated a well-developed strong roots system and branching pattern of shoots
rather than larger surface area of leaves of the fungal engineered plant when
compared with non-treated ones. The long root system indicates the stability of
plant and water transport system in high temperature and low water conditions.
While increased branching pattern of areal part may be directly related to an
increase in net photosynthetic rates or increase CO2 absorption in the fungal
inoculated plants. This investigation showed an interesting use of VAM services
for technology development of root organ culture development in areas of low
water availability and high temperature condition with elevated concentration of
CO2. A mechanism of absorption of CO2 related with the alteration in plant bio
physical metabolism is discussed in relation with phosphorus uptake under VAM
inoculation.
PMID- 26563815
TI - Body fat mass and the proportion of very large adipocytes in pregnant women are
associated with gestational insulin resistance.
AB - BACKGROUND/OBJECTIVES: Pregnancy is accompanied by fat gain and insulin
resistance. Changes in adipose tissue morphology and function during pregnancy
and factors contributing to gestational insulin resistance are incompletely
known. We sought to characterize adipose tissue in trimesters 1 and 3 (T1/T3) in
normal weight (NW) and obese pregnant women, and identify adipose tissue-related
factors associated with gestational insulin resistance. SUBJECTS/METHODS: Twenty
two NW and 11 obese women were recruited early in pregnancy for the Pregnancy
Obesity Nutrition and Child Health study. Examinations and sampling of blood and
abdominal adipose tissue were performed longitudinally in T1/T3 to determine fat
mass (air-displacement plethysmography); insulin resistance (homeostasis model
assessment of insulin resistance, HOMA-IR); size, number and lipolytic activity
of adipocytes; and adipokine release and density of immune cells and blood
vessels in adipose tissue. RESULTS: Fat mass and HOMA-IR increased similarly
between T1 and T3 in the groups; all remained normoglycemic. Adipocyte size
increased in NW women. Adipocyte number was not influenced, but proportions of
small and large adipocytes changed oppositely in the groups. Lipolytic activity
and circulating adipocyte fatty acid-binding protein increased in both groups.
Adiponectin release was reduced in NW women. Fat mass and the proportion of very
large adipocytes were most strongly associated with T3 HOMA-IR by multivariable
linear regression (R(2)=0.751, P<0.001). CONCLUSIONS: During pregnancy, adipose
tissue morphology and function change comprehensively. NW women accumulated fat
in existing adipocytes, accompanied by reduced adiponectin release. In comparison
with the NW group, obese women had signs of adipocyte recruitment and maintained
adiponectin levels. Body fat and large adipocytes may contribute significantly to
gestational insulin resistance.
PMID- 26563817
TI - [Formula: see text]Executive functions and social information processing in
adolescents with severe behavior problems.
AB - One tradition in research for explaining aggression and antisocial behavior has
focused on social information processing (SIP). Aggression and antisocial
behavior have also been studied from the perspective of executive functions
(EFs), the higher-order cognitive abilities that affect other cognitive
processes, such as social cognitive processes. The main goal of the present study
is to provide insight into the relation between EFs and SIP in adolescents with
severe behavior problems. Because of the hierarchical relation between EFs and
SIP, we examined EFs as predictors of SIP. We hypothesized that, first, focused
attention predicts encoding and interpretation, second, inhibition predicts
interpretation, response generation, evaluation, and selection, and third,
working memory predicts response generation and selection. The participants
consisted of 94 respondents living in residential facilities aged 12-20 years,
all showing behavior problems in the clinical range according to care staff. EFs
were assessed using subtests from the Amsterdam Neuropsychological Test battery.
Focused attention was measured by the Flanker task, inhibition by the GoNoGo
task, and working memory by the Visual Spatial Sequencing task. SIP was measured
by video vignettes and a structured interview. The results indicate that positive
evaluation of aggressive responses is predicted by impaired inhibition and
selection of aggressive responses by a combination of impaired focused attention
and inhibition. It is concluded that different components of EFs as higher-order
cognitive abilities affect SIP.
PMID- 26563816
TI - Hypothalamic Effects of Tamoxifen on Oestrogen Regulation of Luteinising Hormone
and Prolactin Secretion in Female Rats.
AB - Oestradiol (E2) acts in the hypothalamus to regulate luteinising hormone (LH) and
prolactin (PRL) secretion. Tamoxifen (TX) has been extensively used as a
selective oestrogen receptor modulator, although its neuroendocrine effects
remain poorly understood. In the present study, we investigated the hypothalamic
effects of TX in rats under low or high circulating E2 levels. Ovariectomised
(OVX) rats treated with oil, E2 or TX, or E2 plus TX, were evaluated for hormonal
secretion and immunohistochemical analyses in hypothalamic areas. Both E2 and TX
reduced LH levels, whereas TX blocked the E2 -induced surges of LH and PRL. TX
prevented the E2 -induced expression of progesterone receptor (PR) in the
anteroventral periventricular nucleus (AVPV) and arcuate nucleus (ARC), although
it did not alter PR expression in OVX rats. TX blocked the E2 induction of c-Fos
in AVPV neurones, consistent with the suppression of LH surge. However, TX failed
to prevent E2 inhibition of kisspeptin expression in the ARC. In association with
the blockade of PRL surge, TX increased the phosphorylation of tyrosine
hydroxylase (TH) in the median eminence of OVX, E2 -treated rats. TX also
precluded the E2 -induced increase in TH expression in the ARC. In all
immunohistochemical analyses, TX treatment in OVX rats caused no measurable
effect on the hypothalamus. Thus, TX is able to prevent the positive- but not
negative-feedback effect of E2 on the hypothalamus. TX also blocks the effects of
E2 on tuberoinfundibular dopaminergic neurones and PRL secretion. These findings
further characterise the anti-oestrogenic actions of TX in the hypothalamus and
provide new information on the oestrogenic regulation of LH and PRL.
PMID- 26563818
TI - CO2 foam properties and the stabilizing mechanism of sodium bis(2
ethylhexyl)sulfosuccinate and hydrophobic nanoparticle mixtures.
AB - In this work, we have prepared CO2-in-water foam by mixing partially hydrophobic
SiO2 nanoparticles and sodium bis(2-ethylhexyl)sulfosuccinate (AOT) and studied
its properties. The observation of the appearance of the foam revealed that, with
the continuous addition of AOT, the phase behavior of the SiO2 nanoparticle and
the AOT mixed system transformed from that of a two-phase system of aggregated
nanoparticles into that of a uniform dispersed phase. Both foaming ability and
foam stability were optimized when the nanoparticles and the AOT were mixed in a
proportion of 1 : 5. On the basis of our findings from measurements of the
dispersion properties, including measurements of the adsorption isotherm of the
surfactant on the nanoparticles, zeta potentials, interfacial tension and the
three-phase contact angle, we concluded that the synergistic interactions between
the SiO2 nanoparticles and the AOT led to the adsorption of nanoparticles around
the bubble surface and the formation of a spatial network structure of
nanoparticles in the film, thereby enhancing the mechanical strength of the
bubble and improving the resistance to outside disturbances, deformation and
drainage. Laser scanning confocal microscopy (LCSM) analysis of the same foams
further confirmed the existence of a "viscoelastic shell" wrapped around and
protecting the bubble.
PMID- 26563819
TI - Utility of stereolithographic cranial biomodeling for the surgical management of
a large skull base osteoma.
PMID- 26563820
TI - Circulating tumor cells in breast cancer--current status and perspectives.
AB - The phenomenon of tumor cell dissemination through the blood stream has been
known since the 19th century. Circulating tumor cells (CTCs) may be detected in
peripheral blood of patients with breast cancer and may serve as a surrogate
marker for minimal residual disease. Prognostic relevance of CTCs has already
been demonstrated in early and metastatic breast cancer and commercially
available detection systems are currently employed in various clinical trials.
Since peripheral blood is an easily accessible compartment, serial reevaluation
of CTCs is possible and may contribute to better therapy monitoring. Another
potential of CTCs lies in the characterization of tumor cells. Expression
profiles may differ between CTCs and primary tumor, which may result in different
responses to treatment. Assessment of molecular features of CTCs may be an
important step for the optimization of adjuvant and metastatic systemic therapy.
PMID- 26563821
TI - [Erythema palmare hereditarium (Lane's disease): Report of a paediatric case].
AB - BACKGROUND: Palmar erythema may be either congenital or acquired, hereditary or
non-hereditary. Its diagnosis and management differs according to whether or not
it is acquired, hereditary or associated with symptoms. Herein, we report the
case of a child with hereditary palmoplantar erythema (Lane's disease).
OBSERVATION: A 21/2-year-old girl consulted for palmar erythema, present since
birth, predominantly on the thenar and hypothenar eminences and on the palmar
aspect of her fingers. She also presented mild diffuse erythema on the soles of
her feet. Both her mother and grandmother had similar signs. The girl had neither
impairment nor pain linked to this erythema, and she had no associated symptoms.
Physical examination was otherwise normal, as were the results of standard
laboratory tests. DISCUSSION: We diagnosed Lane's disease based on the girl's
hereditary erythema with autosomal dominant transmission, and the congenital and
asymptomatic nature of her palmoplantar erythema. Nine publications have reported
cases of Lane's disease, but this condition is probably highly under-reported.
PMID- 26563822
TI - [Spontaneous cutaneous fistula of hydatid liver cysts].
AB - BACKGROUND: Spontaneous cutaneous fistula of hydatid liver cysts is a rare
complication. Its genesis involves anatomic factors as well as other local
factors. AIMS: An exhaustive literature review was conducted to identify the
characteristics of this complication, treatment modalities and the results
obtained. PATIENTS AND METHODS: An exhaustive bibliographic search was made for
all articles published in French and English relating to parietal complications
of hydatid liver cyst, from which we retained only those involving cases of
cutaneous cyst fistulas (communicating rupture), to which we added our own case.
RESULTS: Seventeen cases of cystocutaneous fistula have been reported. The reason
for consultation was productive cutaneous fistula. Morphological investigations
were highly evocative of the diagnosis. Thirteen patients were treated by
surgery, two underwent percutaneous debridement, and two declined treatment.
DISCUSSION: Cutaneous fistula constitutes a rare mode of discovery of hydatid
cyst. Fistulography and CT scan are extremely useful for diagnosis. Percutaneous
debridement of the hydatid cyst represents a debatable alternative to surgical
therapy.
PMID- 26563823
TI - Akkermansia muciniphila inversely correlates with the onset of inflammation,
altered adipose tissue metabolism and metabolic disorders during obesity in mice.
AB - Recent evidence indicates that the gut microbiota plays a key role in the
pathophysiology of obesity. Indeed, diet-induced obesity (DIO) has been
associated to substantial changes in gut microbiota composition in rodent models.
In the context of obesity, enhanced adiposity is accompanied by low-grade
inflammation of this tissue but the exact link with gut microbial community
remains unknown. In this report, we studied the consequences of high-fat diet
(HFD) administration on metabolic parameters and gut microbiota composition over
different periods of time. We found that Akkermansia muciniphila abundance was
strongly and negatively affected by age and HFD feeding and to a lower extend
Bilophila wadsworthia was the only taxa following an opposite trend. Different
approaches, including multifactorial analysis, showed that these changes in
Akkermansia muciniphila were robustly correlated with the expression of lipid
metabolism and inflammation markers in adipose tissue, as well as several
circulating parameters (i.e., glucose, insulin, triglycerides, leptin) from DIO
mice. Thus, our data shows the existence of a link between gut Akkermansia
muciniphila abundance and adipose tissue homeostasis on the onset of obesity,
thus reinforcing the beneficial role of this bacterium on metabolism.
PMID- 26563825
TI - Letter to the Editor.
PMID- 26563824
TI - Changes in reproductive physiology of mangrove rivulus Kryptolebias marmoratus
following exposure to environmentally relevant doses of ethinyl oestradiol.
AB - Kryptolebias marmoratus exposed to 4 ng l(-1) of ethinyl oestradiol (EE2) for 30
days experienced significant changes in endogenous 17beta-oestradiol (E2) and 11
ketotestosterone (KT) and qualitative changes in gonad morphology. Both
hermaphrodites and males showed a significant decrease in E2, whereas only males
exhibited a significant decrease in KT. Exposure to EE2 resulted in a decrease in
spermatid and spermatocyte density in males and an increase in the number of
early stage oocytes in hermaphrodites.
PMID- 26563827
TI - Tuning Electron-Conduction and Spin Transport in Magnetic Iron Oxide Nanoparticle
Assemblies via Tetrathiafulvalene-Fused Ligands.
AB - We report a strategy to coat Fe3O4 nanoparticles (NPs) with tetrathiafulvalene
fused carboxylic ligands (TTF-COO-) and to control electron conduction and
magnetoresistance (MR) within the NP assemblies. The TTF-COO-Fe3O4 NPs were
prepared by replacing oleylamine (OA) from OA-coated 5.7 nm Fe3O4 NPs. In the TTF
COO-Fe3O4 NPs, the ligand binding density was controlled by the ligand size, and
spin polarization on the Fe3O4 NPs was greatly improved. As a result, the
interparticle spacing within the TTF-COO-Fe3O4 NP assemblies are readily
controlled by the geometric length of TTF-based ligand. The shorter the distance
and the better the conjugation between the TTF's HOMO and LUMO, the higher the
conductivity and MR of the assembly. The TTF-coating further stabilized the Fe3O4
NPs against deep oxidation and allowed I2-doping to increase electron conduction,
making it possible to measure MR of the NP assembly at low temperature (<100 K).
The TTF-COO-coating provides a viable way for producing stable magnetic Fe3O4 NP
assemblies with controlled electron transport and MR for spintronics
applications.
PMID- 26563828
TI - 2-Amino-4-hydroxyethylaminoanisole sulfate - a coupler causing contact allergy
from use in hair dyes.
AB - BACKGROUND: Allergic contact dermatitis resulting from the use of permanent hair
dyes is common. Approximately 100 hair dye chemicals are permitted in Europe.
Hair dye ingredients may change over time, and hence new hair dye allergens
should be looked for continuously. OBJECTIVES: To review positive patch test
reactions to the coupler 2-amino-4-hydroxyethylaminoanisole sulfate 2% pet. from
2005 to 2014. METHODS: Patch test results from the Allergen Bank database for
eczema patients patch tested with 2-amino-4-hydroxyethylaminoanisole sulfate 2%
pet. from 2005 to 2014 were reviewed. RESULTS: A total of 902 dermatitis patients
(154 from the dermatology department and 748 from 65 practices) were patch tested
with amino-4-hydroxyethylaminoanisole sulfate 2% pet. from 2005 to 2014. Thirteen
(1.4%) patients had a positive patch test reaction. Our results do not indicate
irritant reactions. CONCLUSIONS: 2-Amino-4-hydroxyethylaminoanisole sulfate is a
new but rare contact allergen.
PMID- 26563826
TI - Differentiation of human ESCs to retinal ganglion cells using a CRISPR engineered
reporter cell line.
AB - Retinal ganglion cell (RGC) injury and cell death from glaucoma and other forms
of optic nerve disease is a major cause of irreversible vision loss and
blindness. Human pluripotent stem cell (hPSC)-derived RGCs could provide a source
of cells for the development of novel therapeutic molecules as well as for
potential cell-based therapies. In addition, such cells could provide insights
into human RGC development, gene regulation, and neuronal biology. Here, we
report a simple, adherent cell culture protocol for differentiation of hPSCs to
RGCs using a CRISPR-engineered RGC fluorescent reporter stem cell line.
Fluorescence-activated cell sorting of the differentiated cultures yields a
highly purified population of cells that express a range of RGC-enriched markers
and exhibit morphological and physiological properties typical of RGCs.
Additionally, we demonstrate that aligned nanofiber matrices can be used to guide
the axonal outgrowth of hPSC-derived RGCs for in vitro optic nerve-like modeling.
Lastly, using this protocol we identified forskolin as a potent promoter of RGC
differentiation.
PMID- 26563829
TI - Smart Polymeric Nanoparticles as Emerging Tools for Imaging--The Parallel
Evolution of Materials.
AB - The field of imaging has developed considerably over the past decade and recent
advances in the area of nanotechnology, in particular nanomaterials, have opened
new opportunities. Polymeric nanoparticles are particularly interesting and a
number of novel materials, characterized by stimuli-responsive characteristics
and fluorescent tagging, have allowed visualization, intracellular labeling and
real-time tracking. In some of the latest applications the nanoparticles have
been used for imagining of tumor cells, both in vivo and ex vivo.
PMID- 26563830
TI - Stochastic pacing reveals the propensity to cardiac action potential alternans
and uncovers its underlying dynamics.
AB - KEY POINTS: Beat-to-beat alternation (alternans) of the cardiac action potential
duration is known to precipitate life-threatening arrhythmias and can be driven
by the kinetics of voltage-gated membrane currents or by instabilities in
intracellular calcium fluxes. To prevent alternans and associated arrhythmias,
suitable markers must be developed to quantify the susceptibility to alternans;
previous theoretical studies showed that the eigenvalue of the alternating
eigenmode represents an ideal marker of alternans. Using rabbit ventricular
myocytes, we show that this eigenvalue can be estimated in practice by pacing
these cells at intervals varying stochastically. We also show that stochastic
pacing permits the estimation of further markers distinguishing between voltage
driven and calcium-driven alternans. Our study opens the perspective to use
stochastic pacing during clinical investigations and in patients with implanted
pacing devices to determine the susceptibility to, and the type of alternans,
which are both important to guide preventive or therapeutic measures. ABSTRACT:
Alternans of the cardiac action potential (AP) duration (APD) is a well-known
arrhythmogenic mechanism. APD depends on several preceding diastolic intervals
(DIs) and APDs, which complicates the prediction of alternans. Previous
theoretical studies pinpointed a marker called lambdaalt that directly quantifies
how an alternating perturbation persists over successive APs. When the propensity
to alternans increases, lambdaalt decreases from 0 to -1. Our aim was to quantify
lambdaalt experimentally using stochastic pacing and to examine whether
stochastic pacing allows discriminating between voltage-driven and Ca(2+) -driven
alternans. APs were recorded in rabbit ventricular myocytes paced at cycle
lengths (CLs) decreasing progressively and incorporating stochastic variations.
Fitting APD with a function of two previous APDs and CLs permitted us to estimate
lambdaalt along with additional markers characterizing whether the dependence of
APD on previous DIs or CLs is strong (typical for voltage-driven alternans) or
weak (Ca(2+) -driven alternans). During the recordings, lambdaalt gradually
decreased from around 0 towards -1. Intermittent alternans appeared when
lambdaalt reached -0.8 and was followed by sustained alternans. The additional
markers detected that alternans was Ca(2+) driven in control experiments and
voltage driven in the presence of ryanodine. This distinction could be made even
before alternans was manifest (specificity/sensitivity >80% for -0.4 > lambdaalt
> -0.5). These observations were confirmed in a mathematical model of a rabbit
ventricular myocyte. In conclusion, stochastic pacing allows the practical
estimation of lambdaalt to reveal the onset of alternans and distinguishes
between voltage-driven and Ca(2+) -driven mechanisms, which is important since
these two mechanisms may precipitate arrhythmias in different manners.
PMID- 26563832
TI - Reply to Letter to the Editor regarding "Sexual health in oral oncology: Breaking
the news to patients with human papillomavirus-positive oropharyngeal cancer".
PMID- 26563831
TI - Cyclic and Macrocyclic Peptides as Chemical Tools To Recognise Protein Surfaces
and Probe Protein-Protein Interactions.
AB - Targeting protein surfaces and protein-protein interactions (PPIs) with small
molecules is a frontier goal of chemical biology and provides attractive
therapeutic opportunities in drug discovery. The molecular properties of protein
surfaces, including their shallow features and lack of deep binding pockets, pose
significant challenges, and as a result have proved difficult to target. Peptides
are ideal candidates for this mission due to their ability to closely mimic many
structural features of protein interfaces. However, their inherently low
intracellular stability and permeability and high in vivo clearance have thus far
limited their biological applications. One way to improve these properties is to
constrain the secondary structure of linear peptides by cyclisation. Herein we
review various classes of cyclic and macrocyclic peptides as chemical probes of
protein surfaces and modulators of PPIs. The growing interest in this area and
recent advances provide evidence of the potential of developing peptide-like
molecules that specifically target these interactions.
PMID- 26563833
TI - Les liaisons dangereuses: resource surveillance, uranium diplomacy and secret
French-American collaboration in 1950s Morocco.
AB - This study explores the origins and consequences of a unique, secret, French
American collaboration to prospect for uranium in 1950s Morocco. This
collaboration permitted mediation between the United States and France. The
appearance of France in an American-supported project for raw nuclear materials
signalled American willingness to accept a new nuclear global order in which the
French assumed a new, higher position as regional nuclear ally as opposed to
suspicious rival. This collaboration also permitted France and the United States
to agree tacitly to the same geopolitical status for the French Moroccan
Protectorate, a status under dispute both in Morocco and outside it. The secret
scientific effort reassured the French that, whatever the Americans might say
publicly, they stood behind the maintenance of French hegemony in the centuries
old kingdom. But Moroccan independence proved impossible to deny. With its
foreseeable arrival, the collaboration went from seductive to dangerous, and the
priority of American and French geologists shifted from finding a major uranium
lode to making sure that nothing was readily available to whatever post
independence interests might prove most powerful. Ultimately, the Kingdom of
Morocco took a page out of the French book, using uranium exploration to assert
sovereignty over a different disputed territory, its de facto colony of the
Western Sahara.
PMID- 26563835
TI - [Endoscopy-guided 20-G vitrectomy in severe endophthalmitis: Report of 18 cases
and literature review].
AB - OBJECTIVE: To report the anatomical and functional outcomes after endoscopy
guided vitrectomy in a series of cases of severe endophthalmitis. Also to
identify and compare the outcomes of two different modes of clinical
presentation. PATIENTS AND METHODS: Retrospective case study, single center; all
patients who underwent endoscopic 20Ga vitrectomy (Endo optiks E4 system) for
severe endophthalmitis were included. For each case, data were recorded for:
etiology, surgical indication, preoperative and postoperative visual acuity,
comorbidity factors and postoperative complications, and whether any additional
surgery was performed. Two groups were distinguished according to their initial
mode of presentation; results were then compared in terms of complications and
visual prognosis to those found in the literature. RESULTS: Eighteen patients
were included, with mean age 62+/-19years. Severe endophthalmitis was initially
obvious for 8 cases (group 1). For 10 cases, disease progression had been
considered unfavorable despite adequate medical treatment (group 2). There was no
statistically significant difference between the two groups (P=0.55).
Endophthalmitis occurred: after intravitreal injections (4 cases), after
intraocular foreign body (n=2), after cataract surgery (n=5), following a
trabeculectomy (n=2), after epiretinal membrane peeling (n=3), 1 case caused by a
corneal infection and 1 case of endogenous leptospirosis. Mean follow-up was
13.7months. The surgical technique was a complete 20Ga vitrectomy under
endoscopic visual control. The mean operative time was 70+/-27minutes. The
causative organism was identified in 72% of cases in vitreous humor samples,
among which 77% were gram-positive organisms. The infection was controlled in 89%
of cases, and these patients have recovered useful visual acuity. CONCLUSION: The
value of endoscopic visualization in ophthalmology has been proven for 30 S.
aureus years, but its miniaturization enhances the current enthusiasm for the use
of this instrumentation. When visualization is compromised, endoscopic guided
vitrectomy is very useful and may assist the surgeon in the management of these
difficult cases. Our anatomical and functional outcomes are encouraging, but we
must keep in mind the poor long-term prognosis of severe endophthalmitis.
PMID- 26563836
TI - [Intraoperative OCT during deep anterior lamellar keratoplasty. Difference in
endothelium-Descemet's corneal thickness between donor graft and recipient
cornea].
PMID- 26563834
TI - Re-adopting classical nuclear receptors by cholesterol metabolites.
AB - Since the first cloning of the human estrogen receptor (ER) alpha in 1986 and the
subsequent cloning of human ERbeta, there has been extensive investigation of the
role of estrogen/ER. Estrogens/ER play important roles not only in sexual
development and reproduction but also in a variety of other functions in multiple
tissues. Selective Estrogen Receptor Modulators (SERMs) are ER lignds that act as
agonists or antagonists depending on the target genes and tissues, and until
recently, only synthetic SERMs have been recognized. However, the discovery of
the first endogenous SERM, 27-hydroxycholesterol (27HC), opened a new dimension
of ER action in health and disease. In addition to the identification of 27HC as
a SERM, oxysterols have been recently demonstrated as indirect modulators of ER
through interaction with the nuclear receptor Liver X Receptor (LXR) beta. In
this review, the recent progress on these novel roles of oxysterols in ER
modulation is summarized.
PMID- 26563837
TI - Acanthamoeba keratitis associated with intracorneal hydrogel inlay.
PMID- 26563838
TI - [Bilateral chronic ischemic retinopathy: A case report].
PMID- 26563839
TI - Primary orbital liposarcoma: A case report.
PMID- 26563840
TI - [Orbital dermoid cysts: Clinical spectrum and outcome].
AB - INTRODUCTION: Dermoid cysts are benign congenital orbital tumors, they are
classified as choristomas. Clinical manifestations vary according to location and
size. MATERIALS AND METHODS: We retrospectively analyzed 43 cases of dermoid
cysts surgically treated between July 1998 and March 2009. RESULTS: Mean patient
age was 18.64 years. Among patients, 48.83% were male. Preseptal location was
most common (41 cases). One of the two cases of intraorbital location required
orbitotomy. We observed no complications or recurrence. DISCUSSION: Dermoid cysts
are most often seen in young patients. The mean age of our patients was
relatively high. The majority of dermoid cysts are periorbital in location.
Superficial orbital dermoid cysts are more frequent, present earlier in life and
are more easily identified than deep dermoid cysts. Imaging plays a major role in
the management of deep orbital tumors. Complete surgical excision has remained
the mainstay of treatment. CONCLUSION: Dermoid cysts are benign orbital tumors.
Superficial dermoid cysts are the most common type. Deeper cysts require a more
challenging diagnostic and therapeutic approach.
PMID- 26563841
TI - [Acute dacryocystitis and infectious mononucleosis: An association not to be
missed].
PMID- 26563842
TI - [Post-traumatic endophthalmitis].
AB - Post-traumatic endophthalmitis is a rare but serious complication of open globe
injury, representing a major turning point for the patient's visual prognosis.
Risk factors for this complication are lens capsule rupture, an intraocular
foreign body, type of eye trauma and especially a delay in initial management of
the trauma. Although Staphylococcus epidermidis is the most common organism, as
in postoperative acute endophthalmitis, other microorganisms are more frequently
represented and the multi-microbial involvement is common. The diagnosis can be
difficult in the presence of inflammatory signs of trauma. Aside from rapid globe
repair, neither preventive nor curative treatment have been well delineated. The
class of antibiotics, the dosage, route of administration, as well as surgical
treatment by vitrectomy remain topics of discussion.
PMID- 26563843
TI - [Choroidal osteoma].
PMID- 26563844
TI - Frailty, Frailty Components, and Oral Health: A Systematic Review.
AB - A systematic review was conducted to assess the relationship between frailty or
one of its components and poor oral health. A search strategy was developed to
identify articles related to the research question in the PubMed, EMBASE,
Cochrane, LILACS, and SciELO databases that were published in English, Spanish,
or Brazilian Portuguese from 1991 to July 2013. Thirty-five studies were
identified, and 12 met the inclusion criteria, seven of which were cross
sectional and five were cohort studies. Of the 12 articles, five (41.7%) were
rated good and seven (58.3%) as fair quality. The published studies applied
different oral health and frailty criteria measures. Variations in definitions of
outcome measures and study designs limited the ability to draw strong conclusions
about the relationship between frailty or prefrailty and poor oral health. None
of the studies that were evaluated longitudinally showed whether poor oral health
increases the likelihood of developing signs of frailty, although the studies
suggest that there may be an association between frailty and oral health. More
longitudinal studies are needed to better understand the relationship between
frailty and oral health.
PMID- 26563845
TI - Triarylboron-Based Fluorescent Organic Light-Emitting Diodes with External
Quantum Efficiencies Exceeding 20 .
AB - Triarylboron compounds have attracted much attention, and found wide use as
functional materials because of their electron-accepting properties arising from
the vacant p orbitals on the boron atoms. In this study, we design and synthesize
new donor-acceptor triarylboron emitters that show thermally activated delayed
fluorescence. These emitters display sky-blue to green emission and high
photoluminescence quantum yields of 87-100 % in host matrices. Organic light
emitting diodes using these emitting molecules as dopants exhibit high external
quantum efficiencies of 14.0-22.8 %, which originate from efficient up-conversion
from triplet to singlet states and subsequent efficient radiative decay from
singlet to ground states.
PMID- 26563846
TI - The prevalence and correlates of undiagnosed HIV among Australian gay and
bisexual men: results of a national, community-based, bio-behavioural survey.
AB - INTRODUCTION: Gay and bisexual men (GBM) with undiagnosed HIV are believed to
contribute disproportionately to HIV transmission in Australia but national
prevalence estimates have been lacking. METHODS: From November 2013 to November
2014, we recruited men at gay venues and events in six Australian states and
territories. Of 7291 survey participants, 3071 men also provided an oral fluid
sample for testing and decided whether to receive their test results or not. We
calculated raw and population-weighted prevalence estimates and identified
associations with undiagnosed infection using logistic regression. RESULTS: Of
3071 participants, 213 men tested HIV-positive (6.9%, 95% confidence interval
[CI] 6.0 to 7.8%), of whom 19 (8.9%, 95% CI 5.8 to 13.5%) were previously
undiagnosed. After weighting for the size of the gay and bisexual male population
in each state or territory, national HIV prevalence was estimated to be 7.2% (95%
CI 6.3 to 8.1), of which 9.1% (95% CI 6.0 to 13.6%) were estimated to be
undiagnosed. Compared with HIV-negative participants, men with undiagnosed HIV
were more likely to report meeting partners at sex venues, using antiretroviral
drugs as pre-exposure prophylaxis, condomless anal intercourse with casual
partners, using party drugs for sex, injecting drugs and using amyl nitrite,
crystal methamphetamine or gamma hydroxybutyrate in the six months prior to the
survey. DISCUSSION: The results indicate that the prevalence of undiagnosed HIV
is relatively low among Australian GBM but is higher among men who report riskier
sex and drug practices. CONCLUSIONS: The results underline the importance of
targeted HIV prevention and frequent testing for men at increased risk of
infection.
PMID- 26563847
TI - The Addenbrooke's Cognitive Examination Revised (ACE-R) and its sub-scores:
normative values in an Italian population sample.
AB - The Addenbrooke's Cognitive Examination Revised (ACE-R) is a rapid screening
battery, including five sub-scales to explore different cognitive domains:
attention/orientation, memory, fluency, language and visuospatial. ACE-R is
considered useful in discriminating cognitively normal subjects from patients
with mild dementia. The aim of present study was to provide normative values for
ACE-R total score and sub-scale scores in a large sample of Italian healthy
subjects. Five hundred twenty-six Italian healthy subjects (282 women and 246
men) of different ages (age range 20-93 years) and educational level (from
primary school to university) underwent ACE-R and Montreal Cognitive Assessment
(MoCA). Multiple linear regression analysis revealed that age and education
significantly influenced performance on ACE-R total score and sub-scale scores. A
significant effect of gender was found only in sub-scale attention/orientation.
From the derived linear equation, a correction grid for raw scores was built.
Inferential cut-offs score were estimated using a non-parametric technique and
equivalent scores (ES) were computed. Correlation analysis showed a good
significant correlation between ACE-R adjusted scores with MoCA adjusted scores
(r = 0.612, p < 0.001). The present study provided normative data for the ACE-R
in an Italian population useful for both clinical and research purposes.
PMID- 26563848
TI - Genome-wide association analysis and differential expression analysis of
resistance to Sclerotinia stem rot in Brassica napus.
AB - Brassica napus is one of the most important oil crops in the world, and stem rot
caused by the fungus Sclerotinia sclerotiorum results in major losses in yield
and quality. To elucidate resistance genes and pathogenesis-related genes, genome
wide association analysis of 347 accessions was performed using the Illumina 60K
Brassica SNP (single nucleotide polymorphism) array. In addition, the detached
stem inoculation assay was used to select five highly resistant (R) and
susceptible (S) B. napus lines, 48 h postinoculation with S. sclerotiorum for
transcriptome sequencing. We identified 17 significant associations for stem
resistance on chromosomes A8 and C6, five of which were on A8 and 12 on C6. The
SNPs identified on A8 were located in a 409-kb haplotype block, and those on C6
were consistent with previous QTL mapping efforts. Transcriptome analysis
suggested that S. sclerotiorum infection activates the immune system, sulphur
metabolism, especially glutathione (GSH) and glucosinolates in both R and S
genotypes. Genes found to be specific to the R genotype related to the jasmonic
acid pathway, lignin biosynthesis, defence response, signal transduction and
encoding transcription factors. Twenty-four genes were identified in both the SNP
trait association and transcriptome sequencing analyses, including a tau class
glutathione S-transferase (GSTU) gene cluster. This study provides useful insight
into the molecular mechanisms underlying the plant's response to S. sclerotiorum.
PMID- 26563849
TI - An evaluation of alirocumab for the treatment of hypercholesterolemia.
AB - Proprotein convertase subtilisin/kexin type 9 (PCSK9) plays an important role in
lipoprotein metabolism, mainly by modulating LDL receptor activity. Alirocumab is
a fully human IgG1 monoclonal antibody that binds PCSK9, increases the number of
LDL receptors and decreases the levels of LDL cholesterol. The efficacy of
alirocumab has been evaluated in more than 6000 subjects with primary
hypercholesterolemia; in Phase III trials, alirocumab consistently reduced LDL
cholesterol up to 62% with every 2 weeks dosing compared with placebo and up to
36% compared with ezetimibe. Two doses, 75 and 150 mg, have been developed to
propose a tailored approach in the treatment of hypercholesterolemic patients not
controlled by maximally tolerated lipid-lowering therapy. Alirocumab was
generally well-tolerated, with an acceptable safety profile. The ongoing ODYSSEY
OUTCOMES trial will provide definitive evidence on the effect of alirocumab on
cardiovascular morbidity and mortality and complementary data on the long-term
safety and tolerability. Moreover, a cost-effectiveness analysis would be useful
to determine the appropriate price of alirocumab.
PMID- 26563850
TI - Efficacy and safety of pilocarpine for radiation-induced xerostomia in patients
with head and neck cancer: A systematic review and meta-analysis.
AB - BACKGROUND: Pilocarpine has been used widely in the treatment of dry mouth and
glaucoma. In this review, the authors assessed the efficacy and safety of
pilocarpine for patients with head and neck cancer who have radiation-induced
xerostomia. TYPES OF STUDIES REVIEWED: The authors conducted a systematic search
including meta-analyses and randomized controlled trials in the following
databases: MEDLINE, Embase, Cochrane Library, and Science Citation Index
Expanded. The primary outcome was the severity of xerostomia (measured using
visual analog scale [VAS] scores). Adverse events were other outcomes of
interest. The authors performed meta-analyses where appropriate. The authors used
the Cochrane Collaboration's tool for assessing risk of bias to assess the
quality of the study. RESULTS: The authors identified 6 studies (including 752
patients in total). The results of a meta-analysis of 3 articles showed that
pilocarpine was associated with a 12-point increase in VAS score (mean
difference, 12.00; 95% confidence interval [CI], 1.93-22.08; P = .02) and higher
rates of adverse events compared with placebo in terms of sweating (odds ratio
[OR], 3.71; 95% CI, 2.34-5.86; P < .00001). There were no differences in rhinitis
(OR, 1.21; 95% CI, 0.68-2.16; P = .52) and nausea (OR, 1.44; 95% CI, 0.83-2.49; P
= .19). CONCLUSIONS AND PRACTICAL IMPLICATIONS: On the basis of the best
available evidence, the results of this meta-analysis provide evidence that
pilocarpine offers statistically significant clinical benefits for the
symptomatic treatment of radiation-induced xerostomia in patients with head and
neck cancer. However, the authors of this systematic review found the best
available evidence in the meta-analysis in 3 studies, 1 of which showed no
effect. The authors of this systematic review suggest that these patients take 5
milligrams of pilocarpine 3 times daily, and that there is need for further
study.
PMID- 26563851
TI - Nobel Prize for artemisinin brings phytotherapy into the spotlight.
PMID- 26563852
TI - Anomalous Aortic Origin of Coronary Arteries in the Young: Echocardiographic
Evaluation With Surgical Correlation.
AB - OBJECTIVES: This study sought to compare findings from institutional
echocardiographic reports with imaging core laboratory (ICL) review of
corresponding echocardiographic images and operative reports in 159 patients with
anomalous aortic origin of a coronary artery (AAOCA). The study also sought to
develop a "best practice" protocol for imaging and interpreting images in
establishing the diagnosis of AAOCA. BACKGROUND: AAOCA is associated with sudden
death in the young. Underlying anatomic risk factors that can cause ischemia
related events include coronary arterial ostial stenosis, intramural course of
the proximal coronary within the aortic wall, interarterial course, and potential
compression between the great arteries. Consistent protocols for diagnosing and
evaluating these features are lacking, potentially precluding the ability to risk
stratify patients based on evidence and plan surgical strategy. METHODS: For a
prescribed set of anatomic AAOCA features, percentages of missing data in
institutional echocardiographic reports were calculated. For each feature,
agreement among institutional echocardiographic reports, ICL review of images,
and surgical reports was evaluated using the weighted kappa statistic. An
echocardiographic imaging protocol was developed heuristically to reduce
differences between institutional reports and ICL review. RESULTS: A total of
13%, 33%, and 62% of echocardiograms were missing images enabling diagnosis of
intra-arterial course, proximal intramural course, and high ostial takeoff,
respectively. There was poor agreement between institutional reports and ICL
review for diagnosis of origin of coronary artery, interarterial course,
intramural course, and acute angle takeoff (kappa = 0.74, 0.11, -0.03, 0.13,
respectively). Surgical findings were also significantly different from those of
reports, and to a lesser extent ICL reviews. The resulting protocol contains
technical recommendations for imaging each of these features. CONCLUSIONS: Poor
agreement between institutional reports and ICL review for AAOCA suggests need
for an imaging protocol to permit evidence-based risk stratification and surgical
planning. Even then, delineation of echocardiographic details in AAOCA will
remain imperfect.
PMID- 26563853
TI - Management Implications for Anomalous Aortic Origin of Coronary Arteries.
PMID- 26563854
TI - Interobserver Agreement of the Echocardiographic Diagnosis of LV
Hypertrabeculation/Noncompaction.
AB - OBJECTIVES: The aim of the study was to assess interobserver agreement (IOA)
between 3 observers from 2 laboratories. BACKGROUND: IOA of left ventricular
hypertrabeculation/noncompaction (LVHT) in adults has only been studied within
single echocardiographic laboratories. METHODS: Echocardiographic recordings with
and without LVHT were selected and anonymized. The "not-LVHT" cases were matched
for age and systolic function. Each observer reviewed the recordings, blinded to
the initial diagnosis and the other observers' results. Pre-defined criteria for
LVHT were: 1) >3 prominent trabeculae at end-diastole, distinct from papillary
muscles, false tendons, or aberrant bands; 2) a noncompacted part of a 2-layered
myocardial structure formed by these trabeculations; 3) a ratio of >2:1 of
noncompacted to compacted layer at end-systole; and 4) perfusion of the
intertrabecular spaces from the ventricular cavity. IOA was estimated using the
kappa measure of concordance. RESULTS: Cine-loops of 100 patients (42 women, ages
16 to 92 years), 50 from each center, and 51 with LVHT as the initial diagnosis,
were reviewed. The left ventricular end-diastolic diameter was 32 to 78 mm, and
ejection fraction, 4% to 88%. The observers agreed about presence (n = 29) or
absence (n = 36) of LVHT and disagreed in 35 cases. Agreement was higher among
the 2 observers from the same laboratory (kappa 0.793 [95% confidence interval
(CI): 0.672 to 0.915]) than from different laboratories (kappa 0.628 [95% CI:
0.472 to 0.784], kappa 0.669 [95% CI: 0.521 to 0.818]). The observers agreed with
the initial report of LVHT-presence in 53% and of absence in 67%. By reviewing
the discordant cases, consensus was achieved about LVHT presence (n = 8) or
absence (n = 16); in 11 cases, the diagnosis remained questionable. Discordance
was due to poor image quality, lack of views in different apical planes, aberrant
bands and chordae tendineae, abnormally sized or inserting papillary muscles, and
localized calcifications of the endocardium. CONCLUSIONS: IOA was substantial for
diagnosing LVHT. However, even the application of pre-defined criteria yielded
disagreement in 35% of cases; and after mutual review, there were still 11%
questionable cases.
PMID- 26563855
TI - When and How to Agree in Disagreeing on the Diagnosis of Noncompaction by
Echocardiography?
PMID- 26563856
TI - Regional Heterogeneity of LV Wall Thickness.
PMID- 26563857
TI - The Whole Is Greater Than the Sum of its Parts: Combining CT Angiography and
Highly Sensitive Troponin in the Diagnostic Work-Up of Patients With Acute Chest
Pain.
PMID- 26563858
TI - Risk Prediction Using CACS in Younger Populations: Theory or Valid Practice?
PMID- 26563859
TI - Clinical Impact of OCT Findings During PCI: The CLI-OPCI II Study.
AB - OBJECTIVES: The goal of this study was to assess the clinical impact of optical
coherence tomography (OCT) findings during percutaneous coronary intervention
(PCI). BACKGROUND: OCT provides unprecedented high-definition visualization of
plaque/stent structures during PCI; however, the impact of OCT findings on
outcome remains undefined. METHODS: In the context of the multicenter CLI-OPCI
(Centro per la Lotta contro l'Infarto-Optimisation of Percutaneous Coronary
Intervention) registry, we retrospectively analyzed patients undergoing end
procedural OCT assessment and compared the findings with clinical outcomes.
RESULTS: A total of 1,002 lesions (832 patients) were assessed. Appropriate OCT
assessment was obtained in 98.2% of cases and revealed suboptimal stent
implantation in 31.0% of lesions, with increased incidence in patients
experiencing major adverse cardiac events (MACE) during follow-up (59.2% vs.
26.9%; p < 0.001). In particular, in-stent minimum lumen area <4.5 mm(2) (hazards
ratio [HR]: 1.64; p = 0.040), dissection >200 MUm at the distal stent edge (HR:
2.54; p = 0.004), and reference lumen area <4.5 mm(2) at either distal (HR: 4.65;
p < 0.001) or proximal (HR: 5.73; p < 0.001) stent edges were independent
predictors of MACE. Conversely, in-stent minimum lumen area/mean reference lumen
area <70% (HR: 1.21; p = 0.45), stent malapposition >200 MUm (HR: 1.15; p =
0.52), intrastent plaque/thrombus protrusion >500 MUm (HR: 1.00; p = 0.99), and
dissection >200 MUm at the proximal stent edge (HR: 0.83; p = 0.65) were not
associated with worse outcomes. Using multivariable Cox hazard analysis, the
presence of at least 1 significant criterion for suboptimal OCT stent deployment
was confirmed as an independent predictor of MACE (HR: 3.53; 95% confidence
interval: 2.2 to 5.8; p < 0.001). CONCLUSIONS: Suboptimal stent deployment
defined according to specific quantitative OCT criteria was associated with an
increased risk of MACE during follow-up.
PMID- 26563860
TI - How to Decipher OCT After PCI.
PMID- 26563862
TI - Functional Evaluation of Coronary Disease by CT Angiography.
AB - In recent years, several technical developments in the field of cardiac computed
tomography (CT) have made possible the extraction of functional information from
an anatomy-based examination. Several different lines have been explored and will
be reviewed in the present paper, namely: 1) myocardial perfusion imaging; 2)
transluminal attenuation gradients and corrected coronary opacification indexes;
3) fractional flow reserve computed from CT; and 4) extrapolation from
atherosclerotic plaque characteristics. In view of these developments, cardiac CT
has the potential to become in the near future a truly 2-in-1 noninvasive
evaluation for coronary artery disease.
PMID- 26563861
TI - Value of Exercise ECG for Risk Stratification in Suspected or Known CAD in the
Era of Advanced Imaging Technologies.
AB - Exercise stress electrocardiography (ExECG) is underutilized as the initial test
modality in patients with interpretable electrocardiograms who are able to
exercise. Although stress myocardial imaging techniques provide valuable
diagnostic and prognostic information, variables derived from ExECG can yield
substantial data for risk stratification, either supplementary to imaging
variables or without concurrent imaging. In addition to exercise-induced ischemic
ST-segment depression, such markers as ST-segment elevation in lead aVR, abnormal
heart rate recovery post-exercise, failure to achieve target heart rate, and poor
exercise capacity improve risk stratification of ExECG. For example, patients
achieving >=10 metabolic equivalents on ExECG have a very low prevalence of
inducible ischemia and an excellent prognosis. In contrast, cardiac imaging
techniques add diagnostic and prognostic value in higher-risk populations (e.g.,
poor functional capacity, diabetes, or chronic kidney disease). Optimal test
selection for symptomatic patients with suspected coronary artery disease
requires a patient-centered approach factoring in the risk/benefit ratio and cost
effectiveness.
PMID- 26563863
TI - High-Risk Plaque Features on Coronary CT Angiography.
PMID- 26563864
TI - Longitudinal Strain and Type 1 Diabetes Mellitus: Are We on the Wrong Track?
PMID- 26563865
TI - Reply: Longitudinal Strain and Type 1 Diabetes Mellitus: Are We on the Wrong
Track?
PMID- 26563866
TI - A Picture is Worth a Thousand Questions: Is OCT Ready for Routine Clinical Use?
PMID- 26563867
TI - Association between breakfast consumption and educational outcomes in 9-11-year
old children - CORRIGENDUM.
PMID- 26563868
TI - Recent advances in the application of hydrophilic interaction chromatography for
the analysis of biological matrices.
AB - Hydrophilic interaction chromatography (HILIC) is being increasingly used for the
analysis of hydrophilic compounds in biological matrices. The complexity of
biological samples demands adequate sample preparation procedures, specifically
adjusted for HILIC analyses. Currently, most bioanalytical assays are performed
on bare silica and ZIC-HILIC columns. Trends in HILIC for bioanalysis include
smaller particle sizes and miniaturization of the analytical column. For complex
biological samples, multidimensional techniques can separate and identify more
compounds than 1D separations. The high volatility of the mobile phase, the added
separation power and high sensitivity make MS the detection method of choice for
bioanalysis using HILIC, although other detectors such as evaporative light
scattering detection, charged aerosol detection and nuclear magnetic resonance
have been reported.
PMID- 26563871
TI - Genomic Correlates to the Newly Proposed Grading Prognostic Groups for Prostate
Cancer.
AB - Recommendations by the International Society of Urologic Pathology and 2016 World
Health Organization blue book propose the use of a five-tiered prostate cancer
(PCa) grading system. The five prognostic grade groupings (PGGs) ranging from 1
to 5 are defined as Gleason grades <= 6, 3 + 4, 4 + 3, 8, and > 8, respectively.
Recent work suggests that each group is associated with a distinct risk of
biochemical PCa recurrence. In this study, we sought genomic support for PGGs
using whole-exome and whole-genome sequencing data for 426 clinically localized
PCas treated by radical prostatectomy. After adjustment for tumor purity for the
sequencing data, we observed a significant frequency increase in genomic
amplifications and deletions (p = 0.013) and in nonsynonymous point mutations (p
= 0.008) with increasing risk group. Interestingly, PGG1 (low risk) was entirely
haploid, whereas PGG2-5 exhibited increasing polyploidy frequency. Principal
component analysis of genomic profiles revealed that PGG1, PGG2, and PGG3
represent distinct classes, but PGG4 and PGG5 exhibit genomic similarity.
Together, these observations for the largest PCa genomic data set to date provide
support for increasing genomic alterations with increasing PGG. This is the first
genomic correlation of the PGG system. Future work will need to explore the
clinical utility of PGGs in prospective studies with long-term follow-up. PATIENT
SUMMARY: Gleason grading for prostate cancer provides important information for
guiding clinical care. A new proposal by leading pathologists favors translating
Gleason grades into five risk categories. In this study, a comprehensive analysis
of the largest genomic data set on prostate cancer to date, we demonstrate
molecular support for this new five-tiered system.
PMID- 26563870
TI - Dietary Fatty Acid Metabolism is Affected More by Lipid Level than Source in
Senegalese Sole Juveniles: Interactions for Optimal Dietary Formulation.
AB - This study analyses the effects of dietary lipid level and source on lipid
absorption and metabolism in Senegalese sole (Solea senegalensis). Juvenile fish
were fed 4 experimental diets containing either 100 % fish oil (FO) or 25 % FO
and 75 % vegetable oil (VO; rapeseed, linseed and soybean oils) at two lipid
levels (~8 or ~18 %). Effects were assessed on fish performance, body proximate
composition and lipid accumulation, activity of hepatic lipogenic and fatty acid
oxidative enzymes and, finally, on the expression of genes related to lipid
metabolism in liver and intestine, and to intestinal absorption, both pre- and
postprandially. Increased dietary lipid level had no major effects on growth and
feeding performance (FCR), although fish fed FO had marginally better growth.
Nevertheless, diets induced significant changes in lipid accumulation and
metabolism. Hepatic lipid deposits were higher in fish fed VO, associated to
increased hepatic ATP citrate lyase activity and up-regulated carnitine
palmitoyltransferase 1 (cpt1) mRNA levels post-prandially. However, lipid level
had a larger effect on gene expression of metabolic (lipogenesis and beta
oxidation) genes than lipid source, mostly at fasting. High dietary lipid level
down-regulated fatty acid synthase expression in liver and intestine, and
increased cpt1 mRNA in liver. Large lipid accumulations were observed in the
enterocytes of fish fed high lipid diets. This was possibly a result of a poor
capacity to adapt to high dietary lipid level, as most genes involved in
intestinal absorption were not regulated in response to the diet.
PMID- 26563869
TI - Magnesium homeostasis in colon carcinoma LoVo cells sensitive or resistant to
doxorubicin.
AB - Neoplastic cells accumulate magnesium, an event which provides selective
advantages and is frequently associated with TRPM7 overexpression. Little is
known about magnesium homeostasis in drug-resistant cancer cells. Therefore, we
used the colon cancer LoVo cell model and compared doxorubicin-resistant to
sensitive cells. In resistant cells the concentration of total magnesium is
higher while its influx capacity is lower than in sensitive cells. Accordingly,
resistant cells express lower amounts of the TRPM6 and 7, both involved in
magnesium transport. While decreased TRPM6 levels are due to transcriptional
regulation, post-transcriptional events are involved in reducing the amounts of
TRPM7. Indeed, the calpain inhibitor calpeptin markedly increases the levels of
TRPM7 in resistant cells. In doxorubicin-sensitive cells, silencing TRPM7 shifts
the phenotype to one more similar to resistant cells, since in these cells
silencing TRPM7 significantly decreases the influx of magnesium, increases its
intracellular concentration and increases resistance to doxorubicin. On the other
hand, calpain inhibition upregulates TRPM7, decreases intracellular magnesium and
enhances the sensitivity to doxorubicin of resistant LoVo cells. We conclude that
in LoVo cells drug resistance is associated with alteration of magnesium
homeostasis through modulation of TRPM7. Our data suggest that TRPM7 expression
may be an additional undisclosed player in chemoresistance.
PMID- 26563872
TI - [Neonatal neuroblastoma complicated with a threatening tumoral hepatomegaly
treated by irradiation: No sequel 20 years later].
AB - In this article, we report the case of a newborn who presented a life-threatening
hepatomegaly with respiratory distress at 12 days of life, complicating a
metastatic neuroblastoma. Low-dose liver radiotherapy was performed in emergency
in order to decompress. Chemotherapy has also been delivered due to a tumoral
relapse 1 month after radiotherapy. After a follow-up of 20 years, this young
woman is still in complete remission, with no long-term sequelae.
PMID- 26563873
TI - [Therapeutic outcomes of medulloblastoma in Casablanca from 2000 to 2012].
AB - PURPOSE: To describe the therapeutic results, with the aim to contribute to
improving the care of patients with medulloblastoma. PATIENTS AND METHODS: A
retrospective study of 69 cases of medulloblastoma collected in the university
hospital Ibn Rochd of Casablanca between 2000 and 2012. RESULTS: Fifty-three
children with an average age of 9 years and 16 adults with an average age of 32.4
years were included in the study. Thirty-seven children and eight adults suffered
from a high-risk tumour. The radiotherapy was received by all patients with a
mean dose of 36 Gy to the whole brain and 54 Gy in the posterior fossa. All
patients in the paediatric group and 10 patients in the adult group received
concomitant chemotherapy, 44 children and four adults received adjuvant
chemotherapy. Tumour recurrence was observed in 17 children after a mean follow
up period of 38 months. These recurrences were observed in five adults after a
mean follow-up period of 42 months. The posterior fossa was the main site of
relapses. Overall survival was 77.7% for the children and 61% for the adults.
Overall survival was better (70% versus 25%) when the interval between
radiotherapy and surgery was less than 40 days in the paediatric group. The
recurrence rate was significantly higher for the high-risk group: 41% versus 13%
for the standard risk. In the adult group, overall survival differences according
to the risk group were significant (100% for the standard risk versus 37.5% for
the high risk). CONCLUSION: The overall survival and recurrences rate obtained
are encouraging. The risk group and time between surgery and radiotherapy were
prognostic factors with significant impact on survival depending on the age
group. We recommend reducing these times to improve therapeutic results.
PMID- 26563874
TI - Burden of waterpipe smoking and chewing tobacco use among women of reproductive
age group using data from the 2012-13 Pakistan Demographic and Health Survey.
AB - BACKGROUND: Despite the general decline in cigarette smoking, use of alternative
forms of tobacco has increased particularly in developing countries. Waterpipe
(WP) and Chewing Tobacco (CT) are two such alternative forms, finding their way
into many populations. However, the burden of these alternative forms of tobacco
and their socio demographic determinants are still unclear. We assessed the
prevalence of WP and CT use among women of reproductive age group in Pakistan.
METHODS: Data from the most recent Pakistan Demographic and Health Survey 2012-13
(n = 13,558) was used for this analysis. Information obtained from ever married
women, aged between 15 and 49 years were analyzed using two separate data
subgroups; exclusive WP smokers (total n = 12,995) and exclusive CT users (total
n = 12,771). Univariate and Multivariate logistic regression analyses were
conducted and results were reported as crude and adjusted Odds Ratio with 95%
confidence intervals. RESULTS: Prevalence of WP smoking and CT were 4% and 2%,
respectively. After multivariate adjustments, ever married women who were: older
than 35 years (OR; 4.68 95% CI, 2.62-8.37), were poorest (OR = 4.03, 95% CI 2.08
7.81), and had no education (OR = 9.19, 95% CI 5.10-16.54), were more likely to
be WP smokers. Similarly, ever married women who were: older than 35 years (OR =
3.19, 95% CI 1.69-6.00), had no education (OR = 4.94, 95% CI 2.62-9.33), were
poor (OR = 1.64, 95% CI 1.07-2.48) and had visited health facility in last 12
months (OR = 1.81, 95% CI 1.22-2.70) were more likely to be CT users as well.
CONCLUSION: Older women with lower socio-economic profile were more likely to use
WP and CT. Focused policies aiming towards reducing the burden of alternate forms
of tobacco use among women is urgently needed to control the tobacco epidemic in
the country.
PMID- 26563876
TI - Breathing exercises for adults with asthma.
AB - Asthma is a common long-term condition that remains poorly controlled in many
people despite the availability of pharmacological interventions, evidence-based
treatment guidelines and care pathways.(1) There is considerable public interest
in the use of non-pharmacological approaches for the treatment of asthma.(2) A
survey of people with asthma reported that many have used complementary and
alternative medicine, often without the knowledge of their clinical team.(3) Such
interventions include breathing techniques, herbal products, homeopathy and
acupuncture. The role of breathing exercises within the management of asthma has
been controversial, partly because early claims of effectiveness were
exaggerated.(4) UK national guidance and international guidelines on the
management of asthma have included the option of breathing exercise programmes as
an adjuvant to pharmacological treatment.(5,6) Here we discuss the types of
breathing exercises used and review the evidence for their effectiveness.
PMID- 26563875
TI - Fibronectin fragment-induced expression of matrix metalloproteinases is mediated
by MyD88-dependent TLR-2 signaling pathway in human chondrocytes.
AB - INTRODUCTION: Fibronectin fragments (FN-fs) are increased in the cartilage of
patients with osteoarthritis (OA) and have a potent chondrolytic effect. However,
little is known about the cellular receptors and signaling mechanisms that are
mediated by FN-fs. We investigated whether the 29-kDa amino-terminal fibronectin
fragment (29-kDa FN-f) regulates cartilage catabolism via the Toll-like receptor
(TLR)-2 signaling pathway in human chondrocytes. METHODS: Small interfering RNA
was used to knock down TLR-2 and myeloid differentiation factor 88 (MyD88). TLR-2
was overexpressed in chondrocytes transfected with a TLR-2 expression plasmid.
The expression levels of matrix metalloproteinase (MMP)-1, MMP-3, and MMP-13 were
analyzed using quantitative real-time reverse transcription polymerase chain
reactions, immunoblotting, or enzyme-linked immunosorbent assay. The effect of
TLR-2 on 29-kDa FN-f-mediated signaling pathways was investigated by
immunoblotting. RESULTS: TLR-2, TLR-3, TLR-4, and TLR-5 mRNA were significantly
overexpressed in OA cartilage compared with normal cartilage, whereas no
significant difference of TLR-1 mRNA expression was found. 29-kDa FN-f
significantly increased TLR-2 expression in human chondrocytes in a dose- and
time-dependent manner. Knockdown of TLR-2 or MyD88, the latter a downstream
adaptor of TLR-2, significantly inhibited 29-kDa FN-f-induced MMP production at
the mRNA and protein levels. Conversely, TLR-2 overexpression led to enhanced MMP
production by 29-kDa FN-f. In addition, TLR-2 knockdown apparently inhibited 29
kDa FN-f-mediated activation of phosphorylated nuclear factor of kappa light
polypeptide gene enhancer in B-cells inhibitor, alpha, and p38, but not of c-Jun
N-terminal kinase or extracellular signal-regulated kinase. Exposure to synovial
fluid (SF) from affected joints of patients with OA elevated MMP-1, MMP-3, and
MMP-13 expression markedly in primary chondrocytes without reducing cell
viability. However, TLR-2 knockdown in chondrocytes significantly suppressed SF
induced MMP induction. CONCLUSIONS: Our data demonstrate that the MyD88-dependent
TLR-2 signaling pathway may be responsible for 29-kDa FN-f-mediated cartilage
catabolic responses. Our results will enhance understanding of cartilage
catabolic mechanisms driven by cartilage degradation products, including FN-f.
The modulation of TLR-2 signaling activated by damage-associated molecular
patterns, including 29-kDa FN-f, is a potential therapeutic strategy for the
prevention of cartilage degradation in OA.
PMID- 26563878
TI - Addendum: management of anogenital warts.
PMID- 26563877
TI - Ivermectin cream for rosacea.
AB - Rosacea is a chronic facial skin disease that mainly occurs in people aged over
30 years. It is common, with an estimated incidence of 1.7 per 1,000 person-years
in general practice in the UK.(1,2) Rosacea can cause embarrassment, anxiety, low
self-esteem and lack of confidence.(3) A new topical treatment has become
available for the treatment of one of the clinical subtypes of rosacea.
Ivermectin 10mg/g (1%) cream (Soolantra-Galderma) has received marketing
authorisation for the treatment of inflammatory lesions of papulopustular rosacea
in adults.(4) Here we review the safety and effectiveness of ivermectin cream in
the treatment of rosacea and assess how it compares with standard therapies.
PMID- 26563879
TI - Decreased Npas4 and Arc mRNA Levels in the Hippocampus of Aged Memory-Impaired
Wild-Type But Not Memory Preserved 11beta-HSD1 Deficient Mice.
AB - Mice deficient in the glucocorticoid-regenerating enzyme 11beta-HSD1 resist age
related spatial memory impairment. To investigate the mechanisms and pathways
involved, we used microarrays to identify differentially expressed hippocampal
genes that associate with cognitive ageing and 11beta-HSD1. Aged wild-type mice
were separated into memory-impaired and unimpaired relative to young controls
according to their performance in the Y-maze. All individual aged 11beta-HSD1
deficient mice showed intact spatial memory. The majority of differentially
expressed hippocampal genes were increased with ageing (e.g. immune/inflammatory
response genes) with no genotype differences. However, the neuronal-specific
transcription factor, Npas4, and immediate early gene, Arc, were reduced
(relative to young) in the hippocampus of memory-impaired but not unimpaired aged
wild-type or aged 11beta-HSD1-deficient mice. A quantitative reverse
transcriptase-polymerase chain reaction and in situ hybridisation confirmed
reduced Npas4 and Arc mRNA expression in memory-impaired aged wild-type mice.
These findings suggest that 11beta-HSD1 may contribute to the decline in Npas4
and Arc mRNA levels associated with memory impairment during ageing, and that
decreased activity of synaptic plasticity pathways involving Npas4 and Arc may,
in part, underlie the memory deficits seen in cognitively-impaired aged wild-type
mice.
PMID- 26563880
TI - [Formula: see text]Working memory and behavioral inhibition in boys with ADHD: An
experimental examination of competing models.
AB - Working memory (WM) and behavioral inhibition impairments have garnered
significant attention as candidate core features, endophenotypes, and/or
associated neurocognitive deficits of attention-deficit/hyperactivity disorder
(ADHD). The relationship between ADHD-related WM and inhibition deficits remains
relatively unclear, however, with inferences about the constructs' directional
relationship stemming predominantly from correlational research. The current
study utilized a dual-task paradigm to experimentally examine the relationship
between ADHD-related WM and behavioral inhibition deficits. A total of 31 boys
(15 ADHD and 16 typically developing [TD]) aged 8-12 years completed WM (1-back
and 2-back), behavioral inhibition (stop-signal task [SST]), and dual-condition
(1-back/SST and 2-back/SST) experimental tasks. Children with ADHD exhibited
significant, large-magnitude WM deficits for the 1-back condition but were not
significantly different from children in the TD group for the 2-back, 1-back/SST,
and 2-back/SST conditions. Children with ADHD also exhibited significant
inhibition deficits for the SST, 1-back/SST, and 2-back/SST conditions, but the
within-group effect was not significant. The findings suggest that ADHD-related
stop-signal demands are upstream, or compete for, resources involved in
controlled-focused attention and/or other central executive (CE), WM processes.
PMID- 26563881
TI - Targeted Inhibition of Rictor/mTORC2 in Cancer Treatment: A New Era after
Rapamycin.
AB - The evolutionarily conserved mechanistic target of rapamycin (mTOR) forms two
functionally distinct complexes, mTORC1 and mTORC2. mTORC1, consisting of mTOR,
raptor, and mLST8 (GbetaL), is sensitive to rapamycin and thought to control
autonomous cell growth in response to nutrient availability and growth factors.
mTORC2, containing the core components mTOR, mLST8, Rictor, mSIN1, and Protor1/2
is largely insensitive to rapamycin. mTORC2 specifically senses growth factors
and regulates cell proliferation, metabolism, actin rearrangement, and survival.
Dysregulation of mTOR signaling often occurs in a variety of human malignant
diseases, rendering it a crucial and validated target in cancer treatment.
However, the effectiveness of rapamycin as single-agent therapy is suppressed, in
part, by the numerous strong mTORC1-dependent negative feedback loops. Although
preclinical and clinical studies of ATP-competitive mTOR inhibitors that target
both mTORC1 and mTORC2 have shown greater effectiveness than rapalogs for cancer
treatment, the mTORC1 inhibition-induced negative feedback activation of PI3-
K/PDK1 and Akt (Thr308) may be sufficient to promote cell survival. Recent cancer
biology studies indicated that mTORC2 is a promising target, since its activity
is essential for the development of a number of cancers. These studies provide a
rationale for developing inhibitors specifically targeting mTORC2, which do not
perturb the mTORC1- dependent negative feedback loops and have a more acceptable
therapeutic window. This review summarizes the present understanding of mTORC2
signaling and functions, especially tumorigenic functions, highlighting the
current status and future perspectives for targeting mTORC2 in cancer treatment.
PMID- 26563882
TI - Understanding Cancer Drug Resistance by Developing and Studying Resistant Cell
Line Models.
AB - Despite the enormous number of anticancer drugs presently available in the
clinic, treatment failure due to drug resistance is very frequent. The
identification of mechanisms of resistance to different drugs is necessary, in
order to identify ways to prevent and circumvent such resistance. Indeed, the
identification of novel therapeutic targets to overcome cancer drug resistance
remains one of the major challenges in drug discovery and development. The
methods employed to identify drug resistance mechanisms and novel therapeutic
targets depend greatly on the establishment of cancer drug resistant cell lines.
The establishment of such drug resistant cell lines is laborious and time
consuming and various different approaches have been described. This manuscript
reviews the methodologies that have been used to create cancer drug resistant
cell lines and to identify their mechanisms of resistance. In addition, this
review highlights the most frequent drug resistance mechanisms found in cancer
cells.
PMID- 26563883
TI - Cytochrome P450 2W1 (CYP2W1) in Colorectal Cancers.
AB - Cytochrome P450, family 2, subfamily W, polypeptide 1 (CYP2W1) is a newly
identified monooxygenase enzyme that is expressed specifically in tumor tissues
and during fetal life. Particularly, high expression of CYP2W1 was observed in up
to 60% of colorectal cancers and its expression correlated with poor survival.
CYP2W1 has been shown to metabolize various endogenous substrates including
lysophospholipids and several procarcinogens, such as polycyclic aromatic
hydrocarbon. The specific substrate for CYP2W1, however, is currently unknown.
Due to its tumor-specific expression and its unique catalytic activities in
colorectal cancers, CYP2W1 was deemed as an interesting target in colorectal
cancer therapy. This review sought to summarize the current understanding of the
CYP2W1 biology and biochemistry, its genetic polymorphisms and cancer risk, and
its implication as a tumor-specific diagnostic and therapeutic target.
PMID- 26563884
TI - Potential Therapeutic Approaches for the Treatment of Acute Myeloid Leukemia with
AML1-ETO Translocation.
AB - BACKGROUND: Twenty percent of patients with Acute Myeloid Leukemia (AML) carry a
translocation between chromosomes 21 and chromosome 8 resulting in the formation
of a chimeric oncoprotein AML1-ETO. The patients with this translocation although
have a favourable prognosis, but the 5-year survival is only about 50%. It is
anticipated that identification of novel therapeutic targets in t(8;21) positive
AML will lead to treatment options that improve patient survival. AREAS COVERED:
The oncoprotein and the proteins required to maintain its stability and
functionality are the first obvious therapeutic targets. Further, newer
technologies like combining gene expression and DNA occupancy profiling assays,
gene expression-based high-throughput screening, etc have led to identification
of proteins or pathways that are required by AML1-ETO for leukemogenesis and the
agents that modulate these proteins to be considered good candidates for targeted
molecular therapy. Various FDA approved drugs and secondary metabolites derived
from traditional medicinal plants have been shown to possess anti-proliferative
effect on t(8:21) harboring leukemic cell lines. CONCLUSION: In order to improve
the therapeutic regime for AML patients with t(8;21), efforts are required to
translate the success achieved in identification of potent candidates for
targeted therapy into clinical setup in the best possible combination.
PMID- 26563885
TI - Biochemical measures and frailty in people with intellectual disabilities.
AB - INTRODUCTION: People with intellectual disabilities (ID) are earlier frail than
people in the general population. Although this may be explained by lifelong
unfavourable social, psychological and clinical causes, underlying physiological
pathways might be considered too. Biological measures can help identify
pathophysiological pathways. Therefore, we examined the association between
frailty and a range of serum markers on inflammation, anaemia, the metabolic
system, micronutrients and renal functioning. METHODS: Participants (n = 757)
with borderline to severe ID (50+) were recruited from three Dutch ID care and
support services. RESULTS: Frailty was measured with a frailty index, a measure
based on the accumulation of deficits. Linear regression analyses were performed
to identify associations between frailty and biochemical measures independent of
age, gender, level of ID and the presence of Down syndrome. Frailty appears
associated with inflammation (IL-6 and CRP), anaemia, metabolic markers (glucose,
cholesterol and albumin) and renal functioning (cystatin-C and creatinine).
DISCUSSION: These results are in line with results observed in the general
population. Future research needs to investigate the causal relation between
biochemical measures and frailty, with a special focus on inflammation and
nutrition. Furthermore, the possibility to screen for frailty using biochemical
measures needs to be used.
PMID- 26563886
TI - The impact of indoor air quality and contaminants on respiratory health of older
people living in long-term care residences in Porto.
AB - BACKGROUND: persons who are 65 years or older often spend an important part of
their lives indoors thus adverse indoor climate might influence their health
status. OBJECTIVE: to evaluate the influence of indoor air quality and
contaminants on older people's respiratory health. DESIGN: cross-sectional study.
SETTING: 21 long-term care residences (LTC) in the city of Porto, Portugal.
SUBJECTS: older people living in LTC with >=65 years old. METHODS: the Portuguese
version of BOLD questionnaire was administered by an interviewer to older
residents able to participate (n = 143). Indoor air contaminants (IAC) were
measured twice, during winter and summer in 135 areas. Mixed effects logistic
regression models were used to study the association between the health
questionnaire results and the monitored IAC, adjusted for age, smoking habits,
gender and number of years living in the LTC. RESULTS: cough (23%) and sputum
(12%) were the major respiratory symptoms, and allergic rhinitis (22%) the main
self-reported illness. Overall particulate matter up to 2.5 micrometres in size
median concentration was above the reference levels both in winter and summer
seasons. Peak values of particulate matter up to 10 micrometres in size (PM10),
total volatile organic compounds, carbon dioxide, bacteria and fungi exceeded the
reference levels. Older people exposed to PM10 above the reference levels
demonstrated higher odds of allergic rhinitis (OR = 2.9, 95% CI: 1.1-7.2).
CONCLUSION: high levels of PM10 were associated with 3-fold odds of allergic
rhinitis. No association was found between indoor air chemical and biological
contaminants and respiratory symptoms.
PMID- 26563887
TI - Associations of metabolic, inflammatory and oxidative stress markers with total
morbidity and multi-morbidity in a large cohort of older German adults.
AB - BACKGROUND: imbalances in metabolic, inflammatory and redox homeostasis play an
important role in the leading theories of age-related morbidity, but no large
scale epidemiological study has been conducted so far assessing their
associations with total morbidity and multi-morbidity in the same model. METHODS:
analyses were conducted in 2,547 participants of an established population-based
cohort study from Germany. The participants' median age was 70 years (range: 57
84) and 51.9% were women. End points were total somatic morbidity and multi
morbidity, assessed by the Cumulative Illness Rating Scale-Geriatric version.
RESULTS: overall, 251 study participants had multi-morbidity (9.9%). Except for
the redox marker 'total thiol levels of proteins', all other assessed metabolic
(obesity, diabetes, dyslipidaemia and hypertension), inflammatory (C-reactive
protein) and oxidative stress markers (derivatives of reactive oxygen
metabolites) were significantly associated with total somatic morbidity and multi
morbidity if assessed individually. If modelled jointly, effect estimates were
attenuated but remained statistically significant for the outcome 'total
morbidity' and for low weight, obesity, insufficiently controlled diabetes and
derivatives of reactive oxygen metabolites with respect to the outcome 'multi
morbidity'. CONCLUSIONS: results from this large sample of older adults support
hypotheses that relate imbalances in metabolic, inflammatory and redox
homeostasis to age-related morbidity. Despite over adjustment for closely related
metabolic, inflammatory and oxidative stress conditions in the full model,
independent associations of the markers with total morbidity and/or multi
morbidity were observed. Therefore, adverse metabolic, inflammatory and oxidative
stress conditions may all play important roles in the pathogenesis of age-related
morbidity, which should be investigated further in future longitudinal studies.
PMID- 26563888
TI - Ortner's syndrome: a rare cause of sudden hoarseness in the older person.
PMID- 26563889
TI - Continuous monitoring of emergency admissions of older care home residents to
hospital.
AB - BACKGROUND: evidence from inspection programmes suggest that the quality of care
provided by individual care homes for older people is very variable. Aside from
periodic inspection, there is limited information that is routinely collected and
can be used to monitor quality. OBJECTIVES: to describe a method for using
routine hospital data on admissions of older people as means for monitoring
quality of care within a care home. To explore how this might be applied and
used. METHODS: we linked hospital admissions to care homes using postcode
matching and analysed hospital admission data as a time series, using the
Cumulative Sum (CUSUM) technique to detect unusually high rates of admission.
RESULTS: if we develop the CUSUM so that the number of times it falsely signals a
high rate of admissions is limited to a rate of 0.1% per year, the chances of
successfully detecting a doubling of the admission rate within 2 years will range
from 48% for the smaller homes to 96% for the larger homes. CONCLUSION:
monitoring tools using data on admissions to hospital are both possible and
feasible, particularly for the larger homes. However, due to data limitations,
users need to be careful about how they interpret triggers and thus ensure follow
up is appropriate. Some of the problems caused by using routine national data can
be overcome if care homes used their own information for local monitoring.
PMID- 26563890
TI - Does trauma type relate to posttraumatic growth after war? A pilot study of young
Iraqi war survivors living in Turkey.
AB - Positive personal gain after adverse life events and traumas is known as
posttraumatic growth (PTG). Several factors are suggested to promote PTG after
stressful events, including type of trauma, in addition to younger age and female
gender. Although conflicting findings exist, studies suggest that there may be
less growth associated with personal traumas (i.e., physical or sexual assault,
accidents) and more growth associated with shared traumas (i.e., disasters,
loss). We examined whether certain types of war-related traumas are associated
with more PTG in a sample of 203 Iraqi students living in Turkey who had
experienced severe war-related traumatic events. They were assessed in group
sessions, using a self-report battery that included the Post-Traumatic Growth
Inventory and War Trauma Questionnaire. War experiences were categorized into
three types of trauma: trauma to self, trauma to loved ones, and adversity.
Growth was measured by the Turkish version of the Post-Traumatic Growth
Inventory. Adversity-type events positively predicted growth, whereas trauma to
self predicted growth negatively. Males and females showed a different pattern of
relationship with growth. Correlations of growth with younger age and adversity
observed in females were not seen in males. Our results show that different
trauma types may lead to differing levels of growth, and this difference may be
more pronounced when gender is taken into account.
PMID- 26563891
TI - Cognitive assessment of refugee children: Effects of trauma and new language
acquisition.
AB - Each year, approximately 60,000 children of refugee background are resettled in
Western countries. This paper reviews the effects of the refugee experience on
cognitive functioning. The distinctive influences for these children include
exposure to traumatic events and the need to acquire a new language, factors that
need to be considered to avoid overdiagnosis of learning disorders and
inappropriate educational placements. Prearrival trauma, psychological sequelae
of traumatic events, developmental impact of trauma, and the quality of family
functioning have been found to influence cognitive functioning, learning, and
academic performance. In addition, the refugee child may be semiproficient in
several languages, but proficient in none, whilst also trying to learn a new
language. The influence that the child's limited English proficiency, literacy,
and school experience may have on academic and test performance is demonstrated
by drawing on the research on refugees' English language acquisition, as well as
the more extensive literature on bilingual English language learners.
Implications for interventions are drawn at the level of government policy,
schools, and the individual. The paper concludes with the observation that there
is a major need for longitudinal research on refugee children's learning and
academic performance and on interventions that will close the academic gap,
thereby enabling refugee children to reach their educational potential.
PMID- 26563893
TI - The clinical usefulness of lymphocyte:monocyte ratios in differentiating
influenza from viral non-influenza-like illnesses in hospitalized adults during
the 2015 influenza A (H3N2) epidemic: the uniqueness of HPIV-3 mimicking
influenza A.
AB - During influenza epidemics, influenza-like illnesses (ILIs) viruses cocirculate
with influenza strains. If positive, rapid influenza diagnostic tests (RIDTs)
identify influenza A/B, but false-negative RIDTs require retesting by viral
polymerase chain reaction (PCR). Patient volume limits testing during influenza
epidemics, and non-specific laboratory findings have been used for presumptive
diagnosis pending definitive viral testing. In adults, the most useful laboratory
abnormalities in influenza include relative lymphopenia, monocytosis, and
thrombocytopenia. Lymphocyte:monocyte (L:M) ratios may be even more useful. L:M
ratios <2 have been used as a surrogate marker for influenza, but there are no
longitudinal data on L:M ratios in hospitalized adults with viral ILIs. During
the 2015 influenza A (H3N2) epidemic at our hospital, we reviewed our experience
with L:M ratios in 37 hospitalized adults with non-influenza viral ILIs. In
hospitalized adults with non-influenza A ILIs, the L:M ratios were >2 with human
metapneumovirus (hMPV), rhinoviruses/enteroviruses (R/E), and respiratory
syncytial virus (RSV), but not human parainfluenza virus type 3 (HPIV-3), which
had L:M ratios <2. HPIV-3, like influenza, was accompanied by L:M ratios <2,
mimicking influenza A (H3N2). In influenza A admitted adults, L:M ratios <2 did
not continue for >3 days, whereas with HPIV-3, L:M ratios <2 persisted for >3
days of hospitalization.
PMID- 26563892
TI - BPC 157 antagonized the general anaesthetic potency of thiopental and reduced
prolongation of anaesthesia induced by L-NAME/thiopental combination.
AB - AIM: We hypothesized that certain effects of the general anaesthetic thiopental
are dependent on NO-related mechanisms, which were consequently counteracted by
stable gastric pentadecapeptide BPC 157. MAIN METHODS: (1) All rats
intraperitoneally received thiopental (20, 30, 40, and 50 mg/kg) while medication
BPC 157 (10 MUg/kg, 10 ng/kg, and 10 pg/kg) was given intraperitoneally at 5 min
before thiopental. (2) To determine NO-related mechanisms, all rats received
intraperitoneally thiopental 40 mg/kg while BPC 157 (10 MUg/kg), L-NAME (10
mg/kg) and L-arginine (30 mg/kg) were applied alone and/or combined. BPC 157 was
given at 25 min before thiopental while L-NAME, L-arginine, alone and/or
combined, were applied at 20 min before thiopental. KEY FINDINGS: (1) BPC 157 own
effect on thiopental anaesthesia: BPC 157 (10 ng/kg and 10 MUg/kg) caused a
significant antagonism of general anaesthesia produced by thiopental with a
parallel shift of the dose-response curve to the right. (2) L-NAME-L-arginine-BPC
157 interrelations: L-NAME: Thiopental-induced anaesthesia duration was tripled.
L-arginine: Usual thiopental anaesthesia time was not influenced. Active only
when given with L-NAME or BPC 157: potentiating effects of L-NAME were lessened,
not abolished; shortening effect of BPC 157: abolished. BPC 157 and L-NAME:
Potentiating effects of L-NAME were abolished. BPC 157 and L-NAME and L-arginine:
BPC 157 +L-NAME +L-arginine rats exhibited values close to those in BPC 157 rats.
SIGNIFICANCE: Thiopental general anaesthesia is simultaneously manipulated in
both ways with NO system activity modulation, L-NAME (prolongation) and BPC 157
(shortening/counteraction) and L-arginine (interference with L-NAME and BPC 157).
PMID- 26563894
TI - Raman spectroscopy-based identification of nosocomial outbreaks of the clonal
bacterium Escherichia coli.
AB - DNA-based techniques are frequently used to confirm the relatedness of putative
outbreak isolates. These techniques often lack the discriminatory power when
analyzing closely related microbes such as E. coli. Here the value of Raman
spectroscopy as a typing tool for E. coli in a clinical setting was
retrospectively evaluated.
PMID- 26563895
TI - The mystery of the fourth clone: comparative genomic analysis of four non
typeable Streptococcus pneumoniae strains with different susceptibilities to
optochin.
AB - Optochin-resistant pneumococci can be rarely caught in clinical microbiology
laboratories because of the routine identification of all such strains as
viridans group non-pneumococci. We were lucky to find four non-typeable
Streptococcus pneumoniae clones demonstrating the different susceptibilities to
optochin: one of them (Spn_13856) was resistant to optochin, while the other
three (Spn_1719, Spn_27, and Spn_2298) were susceptible. Whole genome nucleotide
sequences of these strains were compared to reveal the differences between the
optochin-resistant and optochin-susceptible strains. Two adjacent genes coding
maltose O-acetyltransferase and uridine phosphorylase which were presented in the
genomes of all optochin-susceptible strains and missed in the optochin-resistant
strain were revealed. Non-synonymous substitutions in 14 protein-coding genes
were discovered, including the Ala49Ser mutation in the C-subunit of the F0 part
of the ATP synthase rotor usually associated with pneumococcal optochin
resistance. Modeling of a process of optochin interaction with the F0 part of the
ATP synthase rotor indicates that the complex of optochin with "domain C"
composed by wild-type C-subunits is more stable than the same complex composed of
Ala49Ser mutant C-subunits.
PMID- 26563896
TI - Prevalence of cytomegalovirus, and its effect on the expression of inducible and
endothelial nitric oxide synthases in Fallopian tubes collected from women with
and without ectopic pregnancy.
AB - To measure the prevalence of cytomegalovirus (CMV) infection in ectopic pregnancy
(EP) and its effect on the expression of inducible and endothelial nitric oxide
synthases (iNOS, eNOS) by Fallopian tubes (FT) bearing an EP. This was a
prospective case-control study. Blood and tubal samples were collected from 84
Eps and 51 controls (20 total abdominal hysterectomy (TAH) during the luteal
phase and another 31 tubal ligations). CMV IgM and IgG antibodies were measured
by ELISA, and an IVD CE PCR kit was used to detect CMV in the FTs. iNOS and eNOS
were measured by immunohistochemistry and quantitative RT-PCR in FTs obtained
from CMV-positive EP (n = 12), and the results were compared with those obtained
from CMV-negative EP (n = 11) and TAH (n = 8). The frequencies of CMV IgM (51.2 %
vs 17.6 %), IgG (77.4 % vs 52.9 %) or both antibodies (41.6 % vs 11.7 %) were
significantly higher in EP compared with control. CMV was more common by PCR in
FTs from EP (21.4 %) than controls (5.9 %). Twelve women from the PCR positive EP
cases (66.6 %) were also simultaneously positive for both CMV IgM & IgG
antibodies and had higher expression of eNOS and iNOS at the protein and gene
levels compared with negative EP and TAH. Tubal infection with CMV may lead to EP
by increasing the production of endothelial and inducible NOS by the FT
epithelial cells. Further studies are required to illustrate the role of CMV in
the pathogenesis of EP.
PMID- 26563897
TI - VCS parameters of neutrophils, monocytes and lymphocytes may indicate local
bacterial infection in cancer patients who accepted cytotoxic chemotherapeutics.
AB - Bacterial infections increased greatly in cancer patients who accepted cytotoxic
chemotherapeutics. VCS parameters of neutrophils were reported to be an indicator
for acute bacterial infection accompanied by increased WBC counts. Here we
explored the possibility of VCS parameters of neutrophils, monocytes and
lymphocytes in indicating the local bacterial infection in cancer patients. A
total of 310 cancer patients and 90 healthy controls were retrospectively
analyzed, and 190 of them were diagnosed as acute local bacterial infection. The
VCS parameters acquired from a Beckman Coulter LH750 haematology analyzer were
investigated to determine which VCS parameters could indicate local bacterial
infection in cancer patients with leucopenia caused by cytotoxic agents. VCS
parameters of cancer patients were significantly affected by infection. For
diagnosing bacterial infection of cancer patients, the best single indicator was
mean monocyte light scatter (MMS) with a sensitivity of 95.12 % and a specificity
of 58.82 % and the area under the curve (AUC) was 0.792. A combination of the
following five parameters: mean neutrophil volume (MNV), MMS, mean lymphocyte
conductivity (MLC), mean lymphocyte light scatter (MLS) and neutrophil volume
distribution width (NDW) could provide a better index in diagnosing bacterial
infection than any single parameter (sensitivity 75.8 %, specificity 64.72 %, AUC
0.763). Taking WBC counts into consideration, VCS parameters could better
indicate bacterial infection for cancer patients with abnormal WBC level than
that with normal WBC level. Aside from neutrophils, the VCS of monocytes and
lymphocytes were also ideal indicators for bacterial infection. The combination
of VCS parameters could increase the sensitivity, specificity and accuracy of
diagnosis of cancer patients.
PMID- 26563898
TI - Daptomycin for the treatment of osteomyelitis and orthopaedic device infections:
real-world clinical experience from a European registry.
AB - Osteomyelitis is a serious infection predominantly caused by Gram-positive
bacteria, including methicillin-resistant Staphylococcus aureus (MRSA).
Orthopaedic device-related infections are complex and require a careful
combination of surgical intervention and antimicrobial therapy. Daptomycin, a
cyclic lipopeptide, effectively penetrates soft tissue and bone and demonstrates
rapid concentration-dependent bactericidal activity against Gram-positive
pathogens. This retrospective, non-interventional study evaluated clinical
outcomes in patients with osteomyelitis or orthopaedic device infections treated
with daptomycin from the European Cubicin(r) Outcomes Registry and Experience (EU
CORE(SM)) study. Patients were treated between January 2006 and April 2012, with
follow-up to 2014. Clinical outcomes were assessed as success (cured or
improved), failure or non-evaluable. Of 6,075 patients enrolled, 638 (median age,
63.5 years) had primary infections of osteomyelitis or orthopaedic device
infections, 224 had non-prosthetic osteomyelitis, 208 had osteomyelitis related
to a permanent or temporary prosthetic device, and 206 had orthopaedic device
infections. The most commonly isolated pathogen was S. aureus (214 [49.1 %]; 24.8
% were MRSA). Overall, 455 (71.3 %) patients had received previous antibiotic
therapy. Patients underwent surgical interventions, including tissue (225 [35.3
%]) and bone (196 [30.7 %]) debridement, as part of their treatment. Clinical
success rates were 82.7 % and 81.7 % in S. aureus and coagulase-negative
staphylococcal infections. Adverse events (AEs) and serious AEs assessed as
possibly related to daptomycin were observed in 6.7 % and 1.9 % of patients,
respectively. Daptomycin was discontinued by 5.5 % of patients due to AEs and 10
(1.6 %) deaths were reported. In conclusion, daptomycin was effective and safe in
patients with osteomyelitis or orthopaedic device infections.
PMID- 26563899
TI - Comparison of the BD MAX(r) Enteric Bacterial Panel assay with conventional
diagnostic procedures in diarrheal stool samples.
AB - Although infectious diarrhea is one of the most predominant diseases around the
world, the identification of the causative microorganism is still challenging.
The aim of this study was the evaluation of the BD MAX(r) Enteric Bacterial Panel
assay in comparison to conventional diagnostic procedures concerning the
detection of the enteric pathogens Salmonella spp., Campylobacter spp., Shigella
spp., and Shiga toxin-producing Escherichia coli. For this purpose, 971
prospectively collected stool samples were evaluated. Utilization of the BD MAX
Enteric Bacterial Panel elevated the overall detection rate from 5.26 % to 8.06
%. The positive percent agreement of the BD MAX Enteric Bacterial Panel assay and
stool culture or enzyme immunoassay was 0.97 for Campylobacter spp., 0.75 for
Salmonella spp., 1.00 for Shigella spp., and 0.88 for Shiga toxins. Furthermore,
a negative percent agreement of 0.98 for Campylobacter spp., 0.99 for Salmonella
spp., 0.99 for Shigella spp., and 0.99 for Shiga toxins has been demonstrated.
This study highlighted the superior detection rate of molecular assays compared
to conventional diagnostic procedures.
PMID- 26563900
TI - Above-the-knee replantation in a child: a case report with a 24-year follow-up.
AB - Replantation of an amputated limb is generally contraindicated in crushing and
traction injuries. Injury to muscle tissue and skin also creates difficulties in
coverage, and bony fractures may shorten limb length which can impede lower
extremity function. Numerous cases have been reported on the successful
replantation of the lower limb in children; however, review of previous English
literature has documented only very few replantation at the thigh level, and
those with severe crushing injury resulted in subsequent amputation. We report a
case of successful thigh-level replantation in a 3-year-old child who sustained a
crushing-traction type of injury with a follow-up of 24 years. After the
replantation, early and late complications developed but these were successfully
managed. On her last visit, the patient had pain-free ambulation without
assistance, had intact protective sensation distal to the injury, and was very
satisfied with the outcome. Replantation of the lower limb in children with
crushing or avulsion type of injuries is still a worthwhile procedure. However,
both the patient and the family should be aware that multiple surgeries may be
needed to accommodate to long-term complications such as joint stiffness, scar
contractures, and limb length discrepancies.
PMID- 26563901
TI - Variations in Crystalline Structures and Electrical Properties of Single
Crystalline Boron Nitride Nanosheets.
AB - We report the studies of (1) the basic mechanism underlying the formation of
defect-free, single crystalline boron nitride nanosheets (BNNSs) synthesized
using pulsed laser plasma deposition (PLPD) technique, (2) the variation in the
crystalline structure at the edges of the hexagonal boron nitride (h-BN)
nanosheets, and (3) the basic electrical properties related to the BNNSs
tunneling effect and electrical breakdown voltage. The nanoscale morphologies of
BNNSs are characterized using scanning electron microscope (SEM) and high
resolution transmission electron microscope (HRTEM). The results show that each
sample consisted of a number of transparent BNNSs that partially overlapped one
another. Varying the deposition duration yielded different thicknesses of sample
but did not affect the morphology, structure, and thickness of individual BNNSs
pieces. Analysis of the SEM and HRTEM data revealed changes in the spatial period
of the B3-N3 hexagonal structures and the interlayer distance at the edge of the
BNNSs, which occurred due to the limited number of atomic layers and was
confirmed further by x-ray diffraction (XRD) study. The experimental results
clearly indicate that the values of the electrical conductivities of the super
thin BNNSs and the effect of temperature relied strongly on the direction of
observation.
PMID- 26563902
TI - Thyroid remnant ablation success and disease outcome in stage III or IV
differentiated thyroid carcinoma: recombinant human thyrotropin versus thyroid
hormone withdrawal.
AB - BACKGROUND: Most publications to date compare outcomes after post-surgical
thyroid remnant ablation stimulated by recombinant human thyrotropin (rhTSH)
versus thyroid hormone withholding/withdrawal (THW) in low-recurrence risk
differentiated thyroid carcinoma (DTC) patients. We sought to perform this
comparison in high-risk patients. METHODS: We retrospectively analyzed ~9-year
single-center experience in 70 consecutive adults with initial UICC (Union for
International Cancer Control) stage III/IV, M0 DTC undergoing rhTSH-aided (N.=54)
or THW-aided (N.=16) high-activity ablation. Endpoints included ablation success
and DTC outcome. Assessed >=1 year post-ablation, ablation success comprised a)
no visible scintigraphic thyroid bed uptake or pathological extra-thyroidal
uptake; b) undetectable stimulated serum thyroglobulin (Tg) without interfering
autoantibodies; c) both criteria. DTC outcome, determined at the latest visit,
comprised either 1) "no evidence of disease" (NED): undetectable Tg, negative Tg
autoantibodies, negative most recent whole-body scan, no suspicious findings
clinically, on neck ultrasonography, or on other imaging; 2) persistent disease:
failure to attain NED; or 3) recurrence: loss of NED. RESULTS: After the first
ablative activity, ablation success by scintigraphic plus biochemical criteria
was 64.8% in rhTSH patients, 56.3% in THW patients (P=NS). After 3.5-year versus
6.2-year median follow-up (P<0.05), DTC outcomes were NED, 85.2%, persistent
disease, 13.0%, recurrence, 1.9%, in the rhTSH group and NED, 87.5%, persistent
or recurrent disease, 6.3% each, in the THW group (P=NS). CONCLUSION: In patients
with initial stage III/IV, M0 DTC, rhTSH-aided and THW-assisted ablation were
associated with comparable remnant eradication or DTC cure rates.
PMID- 26563903
TI - Legume proteomics: Progress, prospects, and challenges.
AB - Legumes are the major sources of food and fodder with strong commercial
relevance, and are essential components of agricultural ecosystems owing to their
ability to carry out endosymbiotic nitrogen fixation. In recent years, legumes
have become one of the major choices of plant research. The legume proteomics is
currently represented by more than 100 reference maps and an equal number of
stress-responsive proteomes. Among the 48 legumes in the protein databases, most
proteomic studies have been accomplished in two model legumes, soybean, and
barrel medic. This review highlights recent contributions in the field of legume
proteomics to comprehend the defence and regulatory mechanisms during development
and adaptation to climatic changes. Here, we attempted to provide a concise
overview of the progress in legume proteomics and discuss future developments in
three broad perspectives: (i) proteome of organs/tissues; (ii) subcellular
compartments; and (iii) spatiotemporal changes in response to stress. Such data
mining may aid in discovering potential biomarkers for plant growth, in general,
apart from essential components involved in stress tolerance. The prospect of
integrating proteome data with genome information from legumes will provide
exciting opportunities for plant biologists to achieve long-term goals of crop
improvement and sustainable agriculture.
PMID- 26563904
TI - Development of repeatable arrays of proteins using immobilized DNA microplate
(RAPID-M) technology.
AB - BACKGROUND: Protein microarrays have enormous potential as in vitro diagnostic
tools stemming from the ability to miniaturize whilst generating maximum
evaluation of diagnostically relevant information from minute amounts of sample.
In this report, we present a method known as repeatable arrays of proteins using
immobilized DNA microplates (RAPID-M) for high-throughput in situ protein
microarray fabrication. The RAPID-M technology comprises of cell-free expression
using immobilized DNA templates and in situ protein purification onto standard
microarray slides. RESULTS: To demonstrate proof-of-concept, the repeatable
protein arrays developed using our RAPID-M technology utilized green fluorescent
protein (GFP) and a bacterial outer membrane protein (OmpA) as the proteins of
interest for microarray fabrication. Cell-free expression of OmpA and GFP
proteins using beads-immobilized DNA yielded protein bands with the expected
molecular sizes of 27 and 30 kDa, respectively. We demonstrate that the beads
immobilized DNA remained stable for at least four cycles of cell-free expression.
The OmpA and GFP proteins were still functional after in situ purification on the
Ni-NTA microarray slide. CONCLUSION: The RAPID-M platform for protein microarray
fabrication of two different representative proteins was successfully developed.
PMID- 26563905
TI - Properties of compressible elastica from relativistic analogy.
AB - Kirchhoff's kinetic analogy relates the deformation of an incompressible elastic
rod to the classical dynamics of rigid body rotation. We extend the analogy to
compressible filaments and find that the extension is similar to the introduction
of relativistic effects into the dynamical system. The extended analogy reveals a
surprising symmetry in the deformations of compressible elastica. In addition, we
use known results for the buckling of compressible elastica to derive the
explicit solution for the motion of a relativistic nonlinear pendulum. We discuss
cases where the extended Kirchhoff analogy may be useful for the study of other
soft matter systems.
PMID- 26563906
TI - Efficacy of an exercise intervention for employees with work-related fatigue:
study protocol of a two-arm randomized controlled trial.
AB - BACKGROUND: The aim of the current study is to evaluate the efficacy of an
exercise intervention to reduce work-related fatigue. Exercise is a potentially
effective intervention strategy to reduce work-related fatigue, since it may
enhance employees' ability to cope with work stress and it helps to detach from
work. However, based on available research, no clear causal inferences regarding
its efficacy can be made. This RCT therefore investigates whether exercise is
effective in reducing work-related fatigue, and in improving other indicators of
employees' mental and physical well-being and performance. METHODS/DESIGN: A two
arm parallel trial will be conducted. Participants (N = 108) who experience high
levels of work-related fatigue will be randomized at a 1:1 ratio to a 6-week
exercise intervention or wait list (control). The exercise intervention consists
of three one-hour low-intensity outdoor running sessions a week. Each week, two
sessions take place in a group under supervision of a trainer, and one session is
completed individually. The running sessions will be carried out during leisure
time. The primary outcome is work-related fatigue. Secondary outcomes include
work ability, self-efficacy, sleep quality, cognitive functioning, and aerobic
fitness. These data will be collected at pre-intervention, post-intervention, and
at 6 weeks and 12 weeks after the intervention. In addition, weekly measures of
employees' well-being, and exercise activities (i.e. type, frequency, and
duration) and experiences (i.e. pleasure, effort, and detachment) will be
collected during the intervention period. DISCUSSION: This study will compare an
exercise intervention to a wait list. This enables us to examine the effect of
exercise on work-related fatigue compared to the natural course of these
symptoms. As such, this study contributes to a better understanding of the causal
link between exercise and work-related fatigue. If the intervention is proven
effective, the results could provide a basis for future 'effectiveness' trials in
which the (implementation of the) intervention can be investigated among a
broader defined population in a less standardized way, eventually leading to
better evidence-based policies and practices to employees, employers, health
practitioners, and policy makers concerning the effect of exercise on work
related fatigue. TRIAL REGISTRATION: NTR5034. Registered 10 March 2015.
PMID- 26563907
TI - FLT3-ITD mutations do not impact the outcome of patients allografted with partial
T-cell depleted grafts for AML with normal cytogenetics in first complete
remission.
PMID- 26563908
TI - Imprinting bulk amorphous alloy at room temperature.
AB - We present investigations on the plastic deformation behavior of a brittle bulk
amorphous alloy by simple uniaxial compressive loading at room temperature. A
patterning is possible by cold-plastic forming of the typically brittle Hf-based
bulk amorphous alloy through controlling homogenous flow without the need for
thermal energy or shaping at elevated temperatures. The experimental evidence
suggests that there is an inconsistency between macroscopic plasticity and
deformability of an amorphous alloy. Moreover, imprinting of specific geometrical
features on Cu foil and Zr-based metallic glass is represented by using the
patterned bulk amorphous alloy as a die. These results demonstrate the ability of
amorphous alloys or metallic glasses to precisely replicate patterning features
onto both conventional metals and the other amorphous alloys. Our work presents
an avenue for avoiding the embrittlement of amorphous alloys associated with
thermoplastic forming and yields new insight the forming application of bulk
amorphous alloys at room temperature without using heat treatment.
PMID- 26563909
TI - Percutaneous treatment of left main and non-left main bifurcation coronary
lesions using drug-eluting stents.
AB - Current evidence and guidelines support the use of the single-stent technique as
the default treatment strategy for the treatment of coronary bifurcations. For
the single-stent technique, routine final kissing balloon inflation is not
recommended, unless side branch ostial stenosis is assumed to be functionally
significant. The double-stent technique is still a viable option for bifurcations
with large and severely diseased side branches. Due to the unique features of
bifurcation anatomy and bifurcation stenting techniques, all deployed stents
should be optimized by postdilation, kissing balloon inflation and/or the
proximal optimization technique, regardless of the stenting technique used.
Intravascular ultrasound can guide preimplantation decision-making and
postimplantation optimization by providing information on reference diameter,
plaque burden and distribution, and stent underexpansion and malapposition.
PMID- 26563910
TI - Brachycephalic airway syndrome: a comparative study between pugs and French
bulldogs.
AB - OBJECTIVE: To compare clinical features of brachycephalic airway syndrome and
long-term surgical outcomes between pugs and French bulldogs and evaluate the
influence of laryngeal collapse. METHODS: This retrospective study included 72
dogs that underwent wedge rhinoplasty and folded flap palatoplasty for
brachycephalic airway syndrome. Epidemiological data, clinical signs,
postoperative complications and owners' responses to a questionnaire at least six
months after surgery were compared between pugs and French bulldogs. Spearman's
rank correlation tests were used for associating laryngeal collapse with age and
respiratory signs before and after surgery. RESULTS: On the basis of the results
of the owners' questionnaires (available in 52/72 dogs), French bulldogs
presented with lower activity levels and more severe digestive signs than pugs.
Owners perceived clinical improvement in 88 . 5% of all dogs. The grades of
respiratory and digestive signs were not different between the breeds in the long
term follow-up, and the grade of laryngeal collapse did not influence the grade
of respiratory signs or surgical outcome. CLINICAL SIGNIFICANCE: Surgical
treatment resulted in improved clinical signs in pugs and French bulldogs with
brachycephalic airway syndrome, with a high owner satisfaction rate. There were
no correlations between the severity of laryngeal collapse and overall
respiratory signs or prognosis.
PMID- 26563912
TI - Comparison of otolith and scale readings for age and growth estimation of common
dentex Dentex dentex.
AB - Three methods of age estimation were compared for Dentex dentex. Based on
sectioned otoliths, scales appeared to be relevant only up to 5 years and whole
otoliths up to 12 years. The maximum estimated age was 36 years, which
constitutes to date the oldest age reported.
PMID- 26563911
TI - Microporous Polymers from a Carbazole-Based Triptycene Monomer: Synthesis and
Their Applications for Gas Uptake.
AB - Two kinds of novel organic microporous polymers TCPs (TCP-A and TCP-B) were
prepared by two cost-effective synthetic strategies from the monomer of
tricarbazolyltriptycene (TCT). Their structure and properties were characterized
by FT-IR, solid (13) C NMR, powder XRD, SEM, TEM, and gas absorption
measurements. TCP-B displayed a high surface area (1469 m(2) g(-1) ) and
excellent H2 storage (1.70 wt % at 1 bar/77 K) and CO2 uptake abilities (16.1 wt
% at 1 bar/273 K), which makes it a promising material for potential application
in gas storage.
PMID- 26563914
TI - Polyboramines for Hydrogen Release: Polymers Containing Lewis Pairs in their
Backbone.
AB - The one-step polycondensation of diamines and diboranes triggered by the in situ
deprotonation of the diammonium salts and concomitant reduction of bisboronic
acids leads to the assembly of polymer chains through multiple Lewis pairing in
their backbone. These new polyboramines are dihydrogen reservoirs that can be
used for the hydrogenation of imines and carbonyl compounds. They also display a
unique dihydrogen thermal release profile that is a direct consequence of the
insertion of the amine-borane linkages in the polymeric backbone.
PMID- 26563913
TI - Characterization of Interstrand DNA-DNA Cross-Links Using the alpha-Hemolysin
Protein Nanopore.
AB - Nanopore-based sensors have been studied extensively as potential tools for DNA
sequencing, characterization of epigenetic modifications such as 5
methylcytosine, and detection of microRNA biomarkers. In the studies described
here, the alpha-hemolysin protein nanopore embedded in a lipid bilayer was used
for the detection and characterization of interstrand cross-links in duplex DNA.
Interstrand cross-links are important lesions in medicinal chemistry and
toxicology because they prevent the strand separation that is required for read
out of genetic information from DNA in cells. In addition, interstrand cross
links are used for the stabilization of duplex DNA in structural biology and
materials science. Cross-linked DNA fragments produced unmistakable current
signatures in the nanopore experiment. Some cross-linked substrates gave
irreversible current blocks of >10 min, while others produced long current blocks
(10-100 s) before the double-stranded DNA cross-link translocated through the
alpha-hemolysin channel in a voltage-driven manner. The duration of the current
block for the different cross-linked substrates examined here may be dictated by
the stability of the duplex region left in the vestibule of the nanopore
following partial unzipping of the cross-linked DNA. Construction of calibration
curves measuring the frequency of cross-link blocking events (1/tauon) as a
function of cross-link concentration enabled quantitative determination of the
amounts of cross-linked DNA present in samples. The unique current signatures
generated by cross-linked DNA in the alpha-HL nanopore may enable the detection
and characterization of DNA cross-links that are important in toxicology,
medicine, and materials science.
PMID- 26563915
TI - Vitamin D status and its determinants during autumn in children at northern
latitudes: a cross-sectional analysis from the optimal well-being, development
and health for Danish children through a healthy New Nordic Diet (OPUS) School
Meal Study.
AB - Sufficient summer/autumn vitamin D status appears important to mitigate winter
nadirs at northern latitudes. We conducted a cross-sectional study to evaluate
autumn vitamin D status and its determinants in 782 Danish 8-11-year-old children
(55 degrees N) using baseline data from the Optimal well-being, development and
health for Danish children through a healthy New Nordic Diet (OPUS) School Meal
Study, a large randomised controlled trial. Blood samples and demographic and
behavioural data, including 7-d dietary recordings, objectively measured physical
activity, and time spent outdoors during school hours, were collected during
September-November. Mean serum 25-hydroxyvitamin D (25(OH)D) was 60.8 (sd 18.7)
nmol/l. Serum 25(OH)D levels <=50 nmol/l were found in 28.4 % of the children and
2.4 % had concentrations <25 nmol/l. Upon multivariate adjustment, increasing age
(per year) (beta -2.9; 95 % CI -5.1, -0.7 nmol/l), female sex (beta -3.3; 95 % CI
-5.9, -0.7 nmol/l), sampling in October (beta -5.2; 95 % CI -10.1, -0.4 nmol/l)
and November (beta -13.3; 95 % CI -17.7, -9.1), and non-white ethnicity (beta
5.7; 95 % CI -11.1, -0.3 nmol/l) were negatively associated with 25(OH)D (all
P<0.05). Likewise, immigrant/descendant background was negatively associated with
25(OH)D, particularly in females (beta -16.3; 95 % CI -21.9, -10.7) (P<0.001) (P
interaction=0.003). Moderate-to-vigorous physical activity (MVPA) (min/d) (beta
0.06; 95 % CI 0.01, 0.12), outdoor walking during school hours (min/week) (beta
0.4; 95 % CI 0.1, 0.6) and intake of vitamin D-containing supplements >=3 d/week
(beta 8.7; 95 % CI 6.4, 11.0) were positively associated with 25(OH)D (all
P<0.05). The high proportion of children with vitamin D status below the
recommended sufficiency level of 50 nmol/l raises concern as levels expectedly
drop further during winter months. Frequent intake of vitamin D supplements was
strongly associated with status. MVPA and outdoor activity during school hours
should be investigated further in interventions to improve autumn vitamin D
status in children at northern latitudes.
PMID- 26563916
TI - Optimization of ultrasound-assisted extraction of bioactive compounds from wild
garlic (Allium ursinum L.).
AB - Ultrasound-assisted extraction was used for extraction of bioactive compounds and
for production of Allium ursinum liquid extract. The experiments were carried out
according to tree level, four variables, face-centered cubic experimental design
(FDC) combined with response surface methodology (RSM). Temperature (from 40 to
80 degrees C), ethanol concentration (from 30% to 70%), extraction time (from 40
to 80 min) and ultrasonic power (from 19.2 to 38.4 W/L) were investigated as
independent variables in order to obtain the optimal conditions for extraction
and to maximize the yield of total phenols (TP), flavonoids (TF) and antioxidant
activity of obtained extracts. Experimental results were fitted to the second
order polynomial model where multiple regression and analysis of variance were
used to determine the fitness of the model and optimal condition for investigated
responses. The predicted values of the TP (1.60 g GAE/100 g DW), TF (0.35 g
CE/100 g DW), antioxidant activity, IC50 (0.71 mg/ml) and EY (38.1%) were
determined at the optimal conditions for ultrasound assisted extraction: 80
degrees C temperature, 70% ethanol, 79.8 min and 20.06 W/L ultrasonic power. The
predicted results matched well with the experimental results obtained using
optimal extraction conditions which validated the RSM model with a good
correlation.
PMID- 26563917
TI - Nonadiabatic Dynamics in Atomistic Environments: Harnessing Quantum-Classical
Theory with Generalized Quantum Master Equations.
AB - The development of methods that can efficiently and accurately treat nonadiabatic
dynamics in quantum systems coupled to arbitrary atomistic environments remains a
significant challenge in problems ranging from exciton transport in photovoltaic
materials to electron and proton transfer in catalysis. Here we show that our
recently introduced MF-GQME approach, which combines Ehrenfest mean field theory
with the generalized quantum master equation framework, is able to yield
quantitative accuracy over a wide range of charge-transfer regimes in fully
atomistic environments. This is accompanied by computational speed-ups of up to 3
orders of magnitude over a direct application of Ehrenfest theory. This
development offers the opportunity to efficiently investigate the atomistic
details of nonadiabatic quantum relaxation processes in regimes where obtaining
accurate results has previously been elusive.
PMID- 26563918
TI - GaN and GaxIn1-xN Nanoparticles with Tunable Indium Content: Synthesis and
Characterization.
AB - Semiconducting GaN and GaxIn1-xN nanoparticles (4-10 nm in diameter, depending on
the metal ratio) with tunable indium content are prepared through a chemical
synthesis (the urea-glass route). The bandgap of the ternary system depends on
its composition, and therefore, the color of the final material can be turned
from bright yellow (the color of pure GaN) to blue (the color of pure InN).
Transmission electron microscopy (TEM and HRTEM) and scanning electron microscopy
(SEM) images confirm the nanoparticle character and homogeneity of the as
prepared samples. X-ray diffraction (XRD), electron diffraction (EDX), elemental
mapping, and UV/Vis, IR, and Raman spectroscopy investigations are used to
confirm the incorporation of indium into the crystal structure of GaN. These
nanoparticles, possessing adjusted optical properties, are expected to have
potential applications in the fabrication of novel optoelectronic devices.
PMID- 26563919
TI - Exploring the factors that influence medication rating Web sites value to older
adults: A cross-sectional study.
AB - In this cross-sectional study, we evaluated factors that affected the perceived
value of medication rating Web sites to 284 people aged >= 60 years who were
taking prescription medications. The Patient Reviews of Medication Experience
(PROMEX) questionnaire score, which assessed participant opinions about the value
of online reviews of medications, was positively associated with preference to
share health care decision making with the health care provider and negatively
associated with the Physical Component Summary (PCS-12) and Mental Component
Summary scores of the Short Form 12 health survey. The Primary Care Assessment
Survey Communication score, which measured participant satisfaction with the
communication from the health care provider, was positively associated with PCS
12 and health literacy. In summary, older adults who had poor physical and mental
health-related quality of life were more likely to believe that medication rating
Web sites were useful and helpful in facilitating communication with health care
providers.
PMID- 26563920
TI - Surgical excision margins in primary cutaneous melanoma: A meta-analysis and
Bayesian probability evaluation.
AB - BACKGROUND: Surgery is the only curative treatment for primary cutaneous
melanoma, therefore it is important to determine excision margins that minimise
risk of local recurrence, distant recurrence and death. METHODS: MEDLINE, EMBASE
and Cochrane CENTRAL were searched from 2009 to 2015. Inclusion criteria were:
population/setting - patients with primary melanoma; comparison - narrow versus
wide margins; outcomes - overall survival, melanoma-specific survival, recurrence
free survival, and loco-regional recurrence; design - randomized controlled
trials (RCTs). Results were pooled using meta-analysis and data explored using
likelihood Bayesian probability plots. RESULTS: Six RCTs with 4233 patients were
included. Narrow margins were defined as 1 or 2 cm of clinically normal skin
around the melanoma; wide margins as 3, 4 or 5 cm. Hazard ratios (HR) were as
follows (HR>1 indicates wide margin better): overall survival 1.09 (95% CI 0.98
1.22; p=0.1); melanoma-specific survival 1.17 (CI 1.03-1.34; p=0.02); recurrence
free survival 1.08 (CI 0.97-1.20; p=0.2); loco-regional recurrence 1.10 (CI 0.96
1.26; p=0.2), with no evidence of heterogeneity between trials for any end point
or within subgroup analyses. There was an 94% probability that overall survival
was worse with a narrow margin and a 43% probability that it was more than 10%
worse in proportional terms (i.e. HR>1.1). Probabilities that narrow margins were
worse were 99%, 92% and 92% for melanoma-specific survival, recurrence-free
survival and loco-regional recurrence respectively. CONCLUSIONS: Contrary to
recommendations in several national guidelines that narrow margins are safe, this
systematic review and meta-analysis provides evidence that a narrow margin may
lead to a worse outcome than a wide margin.
PMID- 26563921
TI - The Time-Varying Relationship between Mortality and Business Cycles in the USA.
AB - We examine the relationship between total mortality, deaths due to motor vehicle
accidents, cardiovascular disease and measures of business cycles for the USA,
using a time-varying parameter model for the periods 1961-2010. We first present
a theoretical model to outline the transmission mechanism from business cycles to
health status, to motivate our empirical framework and to explain why the
relationship between mortality and the economy may have changed over time. We
find overwhelming evidence of structural breaks in the relationship between
mortality and business cycles over the sample period. Overall, the relationship
between total mortality, cardiovascular mortality and the economy has become less
procyclical over time and even countercyclical in recent times for certain age
groups. Deaths due to motor vehicle accidents have remained strongly procyclical.
Using drugs and medical patent data and data on hours worked, we argue that
important advances in medical technology and changes in the effects that working
hours have on health are important reasons for this time-varying relationship.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26563922
TI - High catalytic activity and pollutants resistivity using Fe-AAPyr cathode
catalyst for microbial fuel cell application.
AB - For the first time, a new generation of innovative non-platinum group metal
catalysts based on iron and aminoantipyrine as precursor (Fe-AAPyr) has been
utilized in a membraneless single-chamber microbial fuel cell (SCMFC) running on
wastewater. Fe-AAPyr was used as an oxygen reduction catalyst in a passive gas
diffusion cathode and implemented in SCMFC design. This catalyst demonstrated
better performance than platinum (Pt) during screening in "clean" conditions
(PBS), and no degradation in performance during the operation in wastewater. The
maximum power density generated by the SCMFC with Fe-AAPyr was 167 +/- 6 MUW cm(
2) and remained stable over 16 days, while SCMFC with Pt decreased to 113 +/- 4
MUW cm(-2) by day 13, achieving similar values of an activated carbon based
cathode. The presence of S(2-) and showed insignificant decrease of ORR activity
for the Fe-AAPyr. The reported results clearly demonstrate that Fe-AAPyr can be
utilized in MFCs under the harsh conditions of wastewater.
PMID- 26563923
TI - Efficacy of multimodal analgesia injection combined with corticosteroids after
arthroscopic rotator cuff repair.
AB - INTRODUCTION: Although arthroscopic rotator cuff repair is minimally invasive,
there is still considerable postoperative pain, especially during the first 48
hours. The present study assessed the short-term efficacy and safety of
multimodal analgesic (MMA) injection associated to corticosteroids in
arthroscopic rotator cuff tear surgery. MATERIAL AND METHOD: A single-center
prospective randomized study included 50 patients undergoing arthroscopic rotator
cuff tear surgery. The study group received subacromial injection of a mixture of
morphine, ropivacaine and methylprednisolone associated to intra-articular
injection of morphine plus methylprednisolone; the control group received only
isotonic saline. All patients had had 24 hours self-administered morphine
associated to standard analgesia. Postoperative data were recorded at 30 minutes
and 1, 2, 4, 6, 12, 18 and 24 hours: pain intensity, morphine intake and side
effects, and also time to first morphine bolus and additional analgesic intake.
Constant, ASES and SST functional scores were recorded at 3 months. RESULTS:
Postoperative pain was significantly less intense in the MMA group than in
controls at 30 min, H1, H4, H6, H12, H18 and H24 (P<0.05). A rebound at D10
occurred in both groups. During the first 24 hours, MMA significantly reduced
cumulative resort to morphine (P<0.05 at H1/2, P<0.001 at H1-24). Mean time to
first bolus was significantly longer in the MMA group (71.6 vs. 33 min; P<0.05).
The rate of opioid-related side effects was similar between groups. At last
follow-up, functional scores were similar between groups. There were no cases of
infection or delayed skin healing. CONCLUSION: MMA associated to corticosteroids
after arthroscopic rotator cuff tear surgery provided immediate benefit in terms
of analgesia and morphine sparing, without apparent risk of infection. The
practice is presently little known in France and deserves longer-term assessment,
especially as regards functional rehabilitation and tendon healing. LEVEL OF
EVIDENCE: 2.
PMID- 26563924
TI - Ten-year follow-up of acute arthroscopic Bankart repair for initial anterior
shoulder dislocation in young patients.
AB - INTRODUCTION: Early treatment of initial anterior glenohumeral dislocation in
young patients is controversial and the interest of surgery, and notably
arthroscopic stabilization, has not been demonstrated. A prospective study was
therefore performed to assess (1) short-to-medium-term recurrence rate, (2)
functional outcome, and (3) and medium-term osteoarthritis rate. HYPOTHESIS:
Early arthroscopic stabilization by anterior capsule-labrum reinsertion after
initial anterior shoulder dislocation is associated with low recurrence rate.
MATERIALS AND METHODS: Twenty-one patients with initial anterior dislocation were
included between June 2002 and February 2004. All patients underwent arthroscopic
Bankart repair within 30 days of dislocation. Patients were followed up
prospectively, with clinical (Duplay and Constant scores) and radiological
assessment (osteoarthritis). RESULTS: There were 5 recurrent dislocations (25%);
2 patients reported sensations of subluxation: i.e., 7 failures (35%). Mean Walch
Duplay score at 10 years was 88+/-1 (range, 30-100) and mean Rowe score 86+/-22
(range, 35-100). There was significant internal rotation deficit of one vertebral
level between operated and contralateral shoulder (P < 0.005). At 10 years, 3
shoulders (15%) showed Samilson grade 1 centered glenohumeral osteoarthritis.
CONCLUSION: Early arthroscopic capsule-labrum reinsertion by the Bankart
technique in the month following initial anterior dislocation of the shoulder in
patients under 25 years of age provided a low recurrence rate (35%) compared to
the literature, including dislocation (25%) and subluxation (10%). Functional
outcome was satisfactory, and osteoarthritis rate was low (15% Samilson grade 1).
LEVEL OF EVIDENCE: IV, prospective non-comparative study.
PMID- 26563925
TI - Reviewers needed: apply here.
AB - Peer review is an essential task in the professional publishing process.
Reviewers provide a vital service to journals and their expert reviews guide the
editor when making decisions about what will and will not be published.
PMID- 26563926
TI - Conceptual frameworks and terminology in doctoral nursing research.
AB - AIM: To define conceptual frameworks and their inherent dichotomies, and
integrate them with concomitant concepts to help early nursing doctoral
researchers to develop their understanding of and engage with discourse further,
so that nursing can demonstrate its ability to contribute to the meta-theoretical
debate of doctoral research alongside other practices and theory-based
disciplines. BACKGROUND: Conceptual frameworks are central to nursing doctoral
studies as they map and contextualise the philosophical assumptions of the
research in relation to paradigms and ontological, epistemological and
methodological foundations. They shape all aspects of the research design and
provide a structure for theorising. They can also be a challenge for researchers
and are under-discussed in the literature. REVIEW METHODS: Literature review.
DISCUSSION: The key aspects of the conceptual framework debate in terms of
objectivist, subjectivist paradigms and the wider paradigm debate, including
retroduction and abduction, are reviewed here together with consideration of how
these apply to nursing doctoral research. CONCLUSION: Conceptual frameworks are
pivotal to nursing doctoral research as they clarify and integrate philosophical,
methodological and pragmatic aspects of doctoral thesis while helping the
profession to be seen as a research-based discipline, comfortable with the
language of meta-theoretical debate. IMPLICATIONS FOR RESEARCH/PRACTICE:
Conceptual frameworks should form the methodological foundation for all nursing
doctoral research.
PMID- 26563927
TI - Ethnonursing and the ethnographic approach in nursing.
AB - AIM: To present a critical methodological review of the ethnonursing research
method. BACKGROUND: Ethnonursing was developed to underpin the study and practice
of transcultural nursing and to promote 'culturally congruent' care. Ethnonursing
claims to produce accurate knowledge about cultural groups to guide nursing care.
The idea that the nurse researcher can objectively and transparently represent
culture still permeates the ethnonursing method and shapes attempts to advance
nursing knowledge and improve patient care through transcultural nursing. DATA
SOURCES: Relevant literature published between the 19th and 21st centuries.
REVIEW METHODS: Literature review. DISCUSSION: Ethnography saw a 'golden age' in
the first half of the 20th century, but the foundations of traditional
ethnographic knowledge are being increasingly questioned today. CONCLUSION: The
authors argue that ethnonursing has failed to respond to contemporary issues
relevant to ethnographic knowledge and that there is a need to refresh the
method. This will allow nurse researchers to move beyond hitherto unproblematic
notions of objectivity to recognise the intrinsic relationship between the nurse
researcher and the researched. IMPLICATIONS FOR RESEARCH/PRACTICE: A revised
ethnonursing research method would enable nurse researchers to create reflexive
interpretations of culture that identify and embody their cultural assumptions
and prejudices.
PMID- 26563928
TI - Influence of communal and private folklore on bringing meaning to the experience
of persistent pain.
AB - AIM: To provide an overview of the relevance and strengths of using the literary
folkloristic methodology to explore the ways in which people with persistent pain
relate to and make sense of their experiences through narrative accounts.
BACKGROUND: Storytelling is a conversation with a purpose. The reciprocal bond
between researcher and storyteller enables the examination of the meaning of
experiences. Life narratives, in the context of wider traditional and communal
folklore, can be analysed to discover how people make sense of their
circumstances. DATA SOURCES: This paper draws from the experience of the author,
who has previously used this narrative approach. It is a reflection of how the
approach may be used to understand those experiencing persistent pain without a
consensual diagnosis. REVIEW METHODS: Using an integrative method, peer-reviewed
research and discussion papers published between January 1990 and December 2014
and listed in the CINAHL, Science Direct, PsycINFO and Google Scholar databases
were reviewed. In addition, texts that addressed research methodologies such as
literary folkloristic methodology and Marxist literary theory were used.
DISCUSSION: The unique role that nurses play in managing pain is couched in the
historical and cultural context of nursing. Literary folkloristic methodology
offers an opportunity to gain a better understanding and appreciation of how the
experience of pain is constructed and to connect with sufferers. CONCLUSION:
Literary folkloristic methodology reveals that those with persistent pain are
often rendered powerless to live their lives. Increasing awareness of how this
experience is constructed and maintained also allows an understanding of societal
influences on nursing practice. IMPLICATIONS FOR PRACTICE/RESEARCH: Nurse
researchers try to understand experiences in light of specific situations.
Literary folkloristic methodology can enable them to understand the inter
relationship between people in persistent pain and how they construct their
experiences.
PMID- 26563929
TI - Selecting a measure for assessing secondary trauma in nurses.
AB - AIM: To summarise the usefulness of available psychometric tools in assessing
secondary trauma in nursing staff and examine their limitations, as well as their
strengths, to enable researchers to select the most suitable measures.
BACKGROUND: Secondary trauma is an extreme persistent reaction that can be
experienced by nursing staff following exposure to a potentially life-threatening
situation. This relatively new concept is increasingly used to explore staff
distress, but is complicated by various definitions. In this growing and popular
field, few rigorously tested measures are used. Therefore, it is timely to
examine the measures available and their robustness. DATA SOURCES: In March 2014
the following databases were used: BNI, CINAHL, EMBASE, PILOTS, Medline, PsycINFO
and the Cochrane Library. REVIEW METHODS: A systematic search of nurse and health
research databases was conducted from 1980 to 2014 using the terms nurs* AND PTSD
OR Posttraumatic Stress Disorder OR secondary trauma OR secondary traumatic
stress OR STS OR compassion fatigue. DISCUSSION: To strengthen confidence in
research findings and make the most useful contribution to practice, researchers
should use the most rigorous measures available. Of the assessment tools used,
the only one subject to robust peer review is the Secondary Traumatic Stress
Scale (STSS). The scale most frequently used to assess secondary traumatic stress
is the Professional Quality of Life Scale (ProQOL); its lack of psychometric
evaluation is a potential weakness. CONCLUSION The STSS is the only validated
tool reported in the peer-reviewed, published literature and the authors suggest
greater application when secondary trauma is a suspected consequence of nursing
work. Validated tools such as the HADS and GHQ-28 are more useful in assessing
broader-based psychological morbidity. IMPLICATIONS FOR RESEARCH/PRACTICE: The
authors suggest greater application of the STSS when secondary trauma is a
suspected consequence of nursing work. Researchers interested in assessing more
than trauma responses are advised to use HADS and GHQ-28.
PMID- 26563930
TI - Advice for running a successful research team.
AB - AIM: To explore what is meant by a 'research team' and offer practical
suggestions for supporting an effective and productive, collaborative research
team. BACKGROUND: Collaborative research has become one of the main objectives of
most higher education institutions and running effective research teams is
central to achieving this aim. However, there is limited guidance in the
literature about how to run or steer a research team. DATA SOURCES: Search
engines/databases used: CINAHL, Nursing and Allied Health Source, Primo search,
Google search and Health Collection to access research articles and publications
to support this topic. Literature search was extended to the end of 2014. REVIEW
METHODS: Publications were reviewed for relevance to the topic via standard
literature search. DISCUSSION: Research teams vary in size and composition,
however they all require effective collaboration if they are to establish
successful and flexible working relationships and produce useful and trustworthy
research outputs. This article offers guidance for establishing and managing
successful collaborative research relationships, building trust and a positive
research team culture, clarifying team member roles, setting the teams' research
agenda and managing the teams' functions so that team members feel able to
contribute fully to the research goals and build a culture of support and apply
'emotional intelligence' throughout the process of building and running a
successful research team. CONCLUSION: Collaboration is a central component of
establishing successful research teams and enabling productive research outputs.
This article offers guidance for research teams to help them to function more
effectively and allow all members to contribute fully to each team's goals.
IMPLICATIONS FOR PRACTICE/RESEARCH: Research teams that have established trust
and a positive team culture will result in more efficient working relationships
and potentially greater productivity. The advice offered reinforces the value of
having research teams with diverse members from different disciplines,
philosophical roots and backgrounds. Each of these members should be able to
contribute skills and expertise so that the parts of the team are able to develop
'synergy' and result in more productive, positive and rewarding research
experiences, as well as more effective research.
PMID- 26563931
TI - An assessment of clinical pathways and missed opportunities for the diagnosis of
nodular melanoma versus superficial spreading melanoma.
AB - BACKGROUND: Missed opportunities in the diagnosis of nodular melanoma (NM) carry
high prognostic penalties due to the rapid rate of NM growth. To date, an
assessment of the pathways to diagnosis of NM versus superficial spreading
melanoma (SSM) specifically comparing numbers of opportunities missed to
undertake biopsy has not been performed. METHODS: A retrospective questionnaire
of 120 patients (60 NM patients, age and sex matched to 60 SSM patients) from the
Victorian Melanoma Service (VMS) database was undertaken to assess pathways to
diagnosis. The numbers of opportunities missed to undertake a biopsy and doctor
behaviour at such encounters were recorded. Diagnostic delay (overall, patient's
and doctor's delay) in terms of time was assessed. RESULTS: Significant
differences in opportunities missed to make a diagnosis of NM compared to SSM
were found. In all, 43% of NM were biopsied at a first encounter compared to 70%
of SSM. All SSM were diagnosed within three reviews. Overall, 33% of NM required
at least three and up six reviews until biopsy. Patients with NM were more likely
than those with SSM to be reassured that their lesions were benign. No
significant differences in terms of time delay to diagnosis between NM and SSM
were found. CONCLUSIONS: NM contributes disproportionately to melanoma mortality
in Australia. Addressing earlier diagnosis of NM with renewed focus may make the
biggest impact on the overall mortality of melanoma. The message that a period of
observation is not appropriate for patients re-presenting with lesions of concern
must be more effectively communicated.
PMID- 26563932
TI - Relationship between ubiquilin-1 and BACE1 in human Alzheimer's disease and APdE9
transgenic mouse brain and cell-based models.
AB - Accumulation of beta-amyloid (Abeta) and phosphorylated tau in the brain are
central events underlying Alzheimer's disease (AD) pathogenesis. Abeta is
generated from amyloid precursor protein (APP) by beta-site APP-cleaving enzyme 1
(BACE1) and gamma-secretase-mediated cleavages. Ubiquilin-1, a ubiquitin-like
protein, genetically associates with AD and affects APP trafficking, processing
and degradation. Here, we have investigated ubiquilin-1 expression in human brain
in relation to AD-related neurofibrillary pathology and the effects of ubiquilin
1 overexpression on BACE1, tau, neuroinflammation, and neuronal viability in
vitro in co-cultures of mouse embryonic primary cortical neurons and microglial
cells under acute neuroinflammation as well as neuronal cell lines, and in vivo
in the brain of APdE9 transgenic mice at the early phase of the development of
Abeta pathology. Ubiquilin-1 expression was decreased in human temporal cortex in
relation to the early stages of AD-related neurofibrillary pathology (Braak
stages 0-II vs. III-IV). There was a trend towards a positive correlation between
ubiquilin-1 and BACE1 protein levels. Consistent with this, ubiquilin-1
overexpression in the neuron-microglia co-cultures with or without the induction
of neuroinflammation resulted in a significant increase in endogenously expressed
BACE1 levels. Sustained ubiquilin-1 overexpression in the brain of APdE9 mice
resulted in a moderate, but insignificant increase in endogenous BACE1 levels and
activity, coinciding with increased levels of soluble Abeta40 and Abeta42. BACE1
levels were also significantly increased in neuronal cells co-overexpressing
ubiquilin-1 and BACE1. Ubiquilin-1 overexpression led to the stabilization of
BACE1 protein levels, potentially through a mechanism involving decreased
degradation in the lysosomal compartment. Ubiquilin-1 overexpression did not
significantly affect the neuroinflammation response, but decreased neuronal
viability in the neuron-microglia co-cultures under neuroinflammation. Taken
together, these results suggest that ubiquilin-1 may mechanistically participate
in AD molecular pathogenesis by affecting BACE1 and thereby APP processing and
Abeta accumulation.
PMID- 26563933
TI - A spectrum of exercise training reduces soluble Abeta in a dose-dependent manner
in a mouse model of Alzheimer's disease.
AB - Physical activity has long been hypothesized to influence the risk and pathology
of Alzheimer's disease. However, the amount of physical activity necessary for
these benefits is unclear. We examined the effects of three months of low and
high intensity exercise training on soluble Abeta40 and Abeta42 levels in
extracellular enriched fractions from the cortex and hippocampus of young Tg2576
mice. Low (LOW) and high (HI) intensity exercise training animals ran at speeds
of 15m/min on a level treadmill and 32 m/min at a 10% grade, respectively for 60
min per day, five days per week, from three to six months of age. Sedentary mice
(SED) were placed on a level, non-moving, treadmill for the same duration. Soleus
muscle citrate synthase activity increased by 39% in the LOW group relative to
SED, and by 71% in the HI group relative to LOW, indicating an exercise training
effect in these mice. Soluble Abeta40 concentrations decreased significantly in
an exercise training dose-dependent manner in the cortex. In the hippocampus,
concentrations were decreased significantly in the HI group relative to LOW and
SED. Soluble Abeta42 levels also decreased significantly in an exercise training
dose-dependent manner in both the cortex and hippocampus. Five proteins involved
in Abeta clearance (neprilysin, IDE, MMP9, LRP1 and HSP70) were elevated by
exercise training with its intensity playing a role in each case. Our data
demonstrate that exercise training reduces extracellular soluble Abeta in the
brains of Tg2576 mice in a dose-dependent manner through an up-regulation of
Abeta clearance.
PMID- 26563934
TI - The syndrome of inappropriate antidiuretic hormone secretion: Distribution and
characterization according to etiologies.
AB - PURPOSE: To determine the distribution of etiologies for the syndrome of
inappropriate antidiuretic hormone secretion (SIADH) in hospitalized patients and
to characterize patients according to the different etiologies. METHODS: A single
center retrospective study including all patients diagnosed with SIADH in a large
community hospital and tertiary center between 1.1.2007 and 1.1.2013. Two
physicians reviewed every patient's medical file for predetermined relevant
clinical data. RESULTS: The study cohort included 555 patients. The most common
etiologies were malignancies and medication-induced SIADH, followed by idiopathic
SIADH, pulmonary infections, pain and nausea, and central nervous system (CNS)
disorders. Subgroup analysis according to etiology showed that CNS disorders were
associated with more severe episodes of SIADH. Patients with idiopathic SIADH
were older than patients with a specific diagnosis, had a lower urine osmolality,
and required less treatment with hypertonic saline. Long-term survival was
determined primarily by SIADH etiology rather than hyponatremia severity, with
hazard ratios for death of up to 7.31 (95% CI 4.93-10.82, p<0.001) for patients
with malignancy-associated SIADH as compared to patients with idiopathic SIADH.
Hyponatremia grade at short-term follow-up was also predictive for long-term
survival (HR 1.42 per grade, 95% CI 1.21-1.66, p<0.001). CONCLUSIONS: Patients
with SIADH have different characteristics and a different prognosis according to
SIADH etiology. Serum sodium concentration at short-term follow-up is predictive
of long-term survival. These findings might have diagnostic and treatment-related
implications.
PMID- 26563935
TI - A cause for craniocervical pain.
PMID- 26563936
TI - Producing evidence in support of disinvestment: The experience of the Tuscany
region in Italy.
PMID- 26563937
TI - Prolonged length of stay in hospitalized internal medicine patients.
AB - BACKGROUND: Targeting patients with prolonged hospitalizations may represent an
effective strategy for reducing average hospital length of stay (LOS). OBJECTIVE:
We sought to characterize predictors of prolonged hospitalization among internal
medicine patients in an effort to guide future improvement efforts. DESIGN: We
conducted a retrospective cohort study using administrative data of internal
medicine patients from all hospitals of the Spanish Public Health Service between
January 1st, 2005 and December 31st, 2013. Multivariable logistic regression was
performed to assess the association between sociodemographic and clinical
variables and prolonged LOS, defined as >30days. KEY RESULTS: Of 5,275,139
discharges, 166,470 (3.2%) had a prolonged LOS. Prolonged hospitalizations
accounted for 17.4% of total inpatient days and contributed 0.5days to an average
LOS of 9.8days during the study period. Prolonged hospitalizations were
associated with younger age (odds ratio [OR]: 0.97 per 10-year increase in age,
95% confidence interval [CI]: 0.96-0.98) and male gender (OR 0.88 IC95% 0.87
0.89). Compared to patients without prolonged LOS, prolonged LOS patients were
more likely to require a palliative care consult (OR: 2.48, 95% CI: 2.39-2.58),
surgery (OR: 6.9 95% CI: 6.8-7.0); and be discharged to a post-acute-care
facility (OR: 2.91, 95% CI: 2.86-2.95). CONCLUSIONS: Prolonged hospitalizations
in a small proportion of patients were an important contributor to overall LOS
and particularly affected complex hospital stays who were not discharged home.
PMID- 26563938
TI - TMEM16A/ANO1 is differentially expressed in HPV-negative versus HPV-positive head
and neck squamous cell carcinoma through promoter methylation.
AB - Head and neck squamous cell carcinoma (HNSCC) has a variety of causes. Recently,
the human papilloma virus (HPV) has been implicated in the rising incidence of
oropharyngeal cancer and has led to variety of studies exploring the differences
between HPV-positive and HPV-negative HNSCC. The calcium-activated chloride
channel TMEM16A is overexpressed in a variety of cancers, including HNSCC, but
whether or not it plays different roles in HPV-positive and HPV-negative HNSCC is
unknown. Here, we demonstrate that TMEM16A is preferentially overexpressed in HPV
negative HNSCC and that this overexpression of TMEM16A is associated with
decreased patient survival. We also show that TMEM16A expression is decreased in
HPV-positive HNSCC at the DNA, RNA, and protein levels in patient samples as well
as cell lines. We demonstrate that the lower levels of TMEM16A expression in HPV
positive tumors can be attributed to both a combination of copy number alteration
and promoter methylation at the DNA level. Additionally, our cellular data show
that HPV-negative cell lines are more dependent on TMEM16A for survival than HPV
positive cell lines. Therefore, we suspect that the down-regulation of TMEM16A in
HPV-positive HNSCC makes TMEM16A a poor therapeutic target in HPV-positive HNSCC,
but a potentially useful target in HPV-negative HNSCC.
PMID- 26563939
TI - Substituted ferrocenes and iodine as synergistic thermoelectrochemical heat
harvesting redox couples in ionic liquids.
AB - Combining ferrocene and iodine results in enhanced thermoelectrochemical (or
thermogalvanic) waste heat harvesting abilities, for both the Seebeck coefficient
and the overall power output. All systems displayed a mixture of ferrocene,
ferrocenium, iodine and triiodide. The observed enhancement correlates with lower
electron-density on the ferrocene; the synergistic improvement observed for
mixtures of substituted ferrocenes and iodine is attributed to the formation of
charge-transfer complexes. Combining dibutanoylferrocene and iodine resulted in
the highest Seebeck coefficient of 1.67 mV K(-1).
PMID- 26563940
TI - Enhanced Periodontal Regeneration by Novel Single Application Sustained Release
Nano-Structured Doxycycline Films.
AB - The use of different drug classes as host modulating agents has been postulated
to have significance as an adjunctive remedy curing chronic periodontitis. In
this study nano-structured films containing doxycyclin (DOX) were evaluated for
such purpose. Nano DOX/chitosan particulate system was prepared using spray
drying technique and was then incorporated in PVA-based films. The particles were
evaluated for particle size, zeta potential and possible drug/polymer
interaction. The films were also tested for in-vitro drug release and clinical
efficacy compared with placebo and DOX-loaded films. The formed particles had a
zeta potential of + 13.8 mV and particle size of 52.86 nm with a polydispersity
of PDI=0.946. No significant drug/polymer interaction was detected by DSC thermal
analysis. In-vitro DOX release was sustained for about a week with the nano
structured films showing 23% of the drug released compared with 44% released from
DOX films. Clinical efficacy was done on 150 periodontal pockets from patients
suffering from moderate chronic periodontitis. Following scaling and root
planning they were divided into three groups; group I receiving nano-structured
(DOX), group II receiving DOX and group III receiving placebo films. Evaluation
was done both clinically and biochemically at base-line, 1 week, 1 month and 2
months following drug application. Clinical findings indicated a significant
effect of both nano-structured and DOX films in improving the measured parameters
compared with the control and placebo groups.
PMID- 26563941
TI - Preparation, Characterization and in vivo Evaluation of Simple Monolithic
Ethylcellulose-coated Pellets Containing Topiramate with Biphasic Release
Characteristics.
AB - In our previous study, polyvinylpyrrolidone (PVP) was used both as a binder and a
pore-former to prepare ethylcellulose (EC)-coated pellets to deliver topiramate
(TPM) for a controlled release profile. The objective of this work was to further
optimize the formulation and evaluate the in vivo profiles of TPM sustained
release pellets. Similar to the previous formulation with no binder, the in vitro
drug release of TPM sustained-release pellets with 50% PVP binder in drug layer
was sensitive to pore-former PVP level ranged from 27.0% to 29.0%. The higher the
level of PVP was, the quicker release rate in vitro was. Moreover, when the
proportion of poreformer PVP decreased, the Cmax decreased, and the tmax and mean
residence time of TPM coated pellets were both prolonged. The in vitro release
profile of optimal formulation showed biphasic release characteristics similar to
reference formulation Trokendi XR((r)), i.e., involving immediate release of TPM
in initial release stage followed by a sustained release up to 24 h. Moreover,
the impact of the pH of release medium on the drug release rate of TPM sustained
release pellets was not significant. The release mechanism of TPM from the
sustained-release pellets might be the interaction of diffusion (coating-film)
and corrosion (drug layer). The in vivo pharmacokinetics results showed the TPM
sustained-release pellets had the similar in vivo pattern compared with Trokendi
XR((r)). These studies provide valuable basis for further development of TPM
sustained-release pellets.
PMID- 26563942
TI - Evaluation of the Therapeutic Properties of Mastoparan- and Sifuvirtide-
Derivative Antimicrobial Peptides Using Chemical Structure-Function Relationship
in vivo and in silico Approaches.
AB - Antimicrobial peptides, also called body defense peptides, are chemical
structures widely distributed across the animal and vegetal kingdoms that have a
fundamental role as part of the immune system. These peptides are used against a
wide range of pathogens, such as Gram-negative and - positive bacteria, fungi and
viruses, etc. Their action spectrum makes them important for the pharmaceutical
industry, as they could represent templates for the design of new and more potent
structures by using drug design and drug delivery systems. Here we present the
antimicrobial activity against Bacillus subtilis (expressed as minimal inhibitory
concentration values) for 33 mastoparan analogs and their new derivatives by
quantitative structure-activity relationship method (2D, aligned and also non
aligned 3D-QSAR). We establish the contribution to antimicrobial activity of
molecular descriptors like hydrophobicity, hydrogen bond donor and steric
hindrance, correlated with contributions from the membrane environment (sodium,
potassium, chloride ions). Also the studies of HIV-1 fusion inhibitor sifuvirtide
and its analogs are presented in context of interaction with lipid structures
during fusion and delivery of these drugs.
PMID- 26563943
TI - Waste Material of Propolis as a Film Forming Agent Intended to Modify the
Metronidazole Release: Preparation and Characterization.
AB - Metronidazole is an antimicrobial agent utilized for the treatment of protozoa
and anaerobic bacteria infections. Many times, it is necessary to modify the
metronidazole release, and the development of modified release systems may be
suggested. In this study, we are able to investigate the use of the residue
normally thrown out from the preparation of propolis extracts (BP) as strategy to
modify the metronidazole release. We prepared films containing polymeric adjuvant
(gelatin or ethylcellulose) and metronidazole, by solvent casting method.
Density, mechanical properties, water vapor permeability (WVP), moisture uptake
capacity (MUC), thermogravimetry, differential scanning calorimetry, Fourier
transform infrared spectroscopy (FT-IR), and in vitro metronidazole release were
investigated. Thickness and density of the preparations indicated that the
compounds were homogeneously dispersed throughout. Mechanical properties were
influenced by film composition. Films containing gelatin showed higher resistance
to stress while those containing ethylcellulose presented greater flexibility.
The greater the adjuvant concentrations lower the resistance to rupture and the
elasticity, but higher MUC and WVP of formulations. FT-IR tests suggested
interactions between BP and the adjuvants. Films were capable to protect the
metronidazole and changed its release profile. BP films are of great practical
importance constituting a novel strategy to modify the metronidazole release.
PMID- 26563944
TI - Production of Irbesartan Nanocrystals by High Shear Homogenisation and Ultra
Probe Sonication for Improved Dissolution Rate.
AB - Irbesartan (IRB) is a BCS class II drug with poorly aqueous solubility and its
absorption is dissolution rate limited. In the present study solubility and
dissolution rate of IRB were improved by nanonization and using two poly(ethylene
oxide)-b-poly(propylene oxide)-b-poly(ethylene oxide) amphiphiles, namely
Pluronic(r) F127 and Pluronic(r) F68, as nanosuspension stabilisers. In addition,
the role of these surfactants in the solubilization of the drug was assessed. The
nanocrystals were produced by two top-down techniques- high shear homogenisation
and ultra-probe sonication. The nanocrystals were characterized for particle
size, size distribution and zeta potential and compared to the unprocessed drug
by FTIR, thermal analysis, scanning electron microscopy, solubility and
dissolution rate. IRB nanocrystals showed greater solubility and faster
dissolution rate than the original drug, solubility being higher for formulations
prepared with F127 than those with F68. Presence of an endothermic peak of drug
in the formulation confirmed its crystalline nature, regardless of the use of two
energetic methods. SEM of the nanocrystals revealed a small rod-shaped morphology
and the substantial decrease of the particles size. Overall results support these
nanonization techniques as a simple, cost-effective and scalable approach to
improve the aqueous solubility of drugs such as IRB that are classified into
Class II of the Biopharmaceutic Classification System (BCS).
PMID- 26563945
TI - CRAM-A indicates IFN-gamma-associated inflammatory response in breast cancer.
AB - Atypical chemokine receptors (ACKRs) function as endpoint regulators of chemokine
gradients. These non-signaling receptors that are transiently expressed under
inflammatory conditions have critical roles in the control or maintenance of
immune responses. Alternatively, here, CCRL2 (ACKR5) expression was determined to
be constitutive in breast cancer cells. Increased amount of CCRL2 was also found
in breast tumor tissues with high immune infiltration. Its expression was
upregulated in the presence of pro-inflammatory cytokines, IL-1beta, TNF-alpha,
IL-6, and especially IFN-gamma? Moreover, an alternative transcript of CCRL2
gene, CRAM-A, was specifically expressed in a transient fashion under the
influence of IFN-gamma. CRAM-A expression was also positively correlated with the
presence of IFN-gamma mRNA in patient samples. CCRL2-associated chemotactic
molecules, chemerin, CCL19 and CCL5, were also detected in cancer tissues and
CCL5 mRNA level was correlated with that of CRAM-A and IFN-gamma. Hence, in
breast cancer, CRAM-A becomes specifically upregulated under inflammatory stimuli
and may serve as a potential marker of immune response.
PMID- 26563946
TI - Inflammatory cytokines provide both infection-responsive and developmental
signals for blood development: Lessons from the zebrafish.
AB - Hematopoietic stem cells (HSCs) are rare, largely dormant, long-lived cells that
are capable of establishing and regenerating all mature blood cell lineages
throughout the life of the host. Given their therapeutic importance,
understanding factors that regulate HSC development and influence HSC
proliferation and differentiation is of great interest. Exploring HSC biology
through the lens of infection has altered our traditional view of the HSC. The
HSC can now be considered a component of the immune response to infection. In
response to inflammatory cytokine signaling, HSCs enhance their proliferative
state and contribute to the production of in-demand blood cell lineages. Similar
cytokine signaling pathways also participate during embryonic HSC production.
With its highly conserved hematopoietic system and experimental tractability, the
zebrafish model has made significant contributions to the hematopoietic field. In
particular, the zebrafish system has been ideally suited to help reveal the
molecular and cellular mechanisms underlying HSC development. This review
highlights recent zebrafish studies that have uncovered new mechanistic insights
into how inflammatory signaling pathways influence HSC behavior during infection
and HSC production within the embryo.
PMID- 26563947
TI - Elicitation threshold of cobalt chloride: analysis of patch test dose-response
studies.
AB - BACKGROUND: Cobalt is a strong skin sensitizer (grade 5 of 5 in the guinea-pig
maximization test) that is used in various industrial and consumer applications.
To prevent sensitization to cobalt and elicitation of allergic cobalt dermatitis,
information about the elicitation threshold level of cobalt is important.
OBJECTIVE: To identify the dermatitis elicitation threshold levels in cobalt
allergic individuals. MATERIALS AND METHODS: Published patch test dose-response
studies were reviewed to determine the elicitation dose (ED) levels in dermatitis
patients with a previous positive patch test reaction to cobalt. A logistic dose
response model was applied to data collected from the published literature to
estimate ED values. The 95% confidence interval (CI) for the ratio of mean doses
that can elicit a reaction in 10% (ED(10)) of a population was calculated with
Fieller's method. RESULTS: On the basis of five included studies, the ED10 values
of aqueous cobalt chloride ranged between 0.0663 and 1.95 ug cobalt/cm(2),
corresponding to 30.8-259 ppm. CONCLUSIONS: Our analysis provides an overview of
the doses of cobalt that are required to elicit allergic cobalt contactdermatitis
in sensitized individuals, and thereby the basis for future prevention of cobalt
allergy.
PMID- 26563949
TI - The opportunities of 2D-LC in the analysis of monoclonal antibodies.
PMID- 26563948
TI - Strategies for Disseminating Information on Biomedical Research on Autism to
Hispanic Parents.
AB - Low income Hispanic families experience multiple barriers to accessing evidence
based information on Autism Spectrum Disorders (ASD). This study utilized a mixed
strategy intervention to create access to information in published bio-medical
research articles on ASD by distilling the content into parent-friendly English-
and Spanish-language ASD Science Briefs and presenting them to participants using
two socially-oriented dissemination methods. There was a main effect for short
term knowledge gains associated with the Science Briefs but no effect for the
dissemination method. After 5 months, participants reported utilizing the
information learned and 90% wanted to read more Science Briefs. These preliminary
findings highlight the potential benefits of distilling biomedical research
articles on ASD into parent-friendly educational products for currently
underserved Hispanic parents.
PMID- 26563950
TI - Discursive constructions of youth cancer: findings from creative methods research
with healthy young people.
AB - PURPOSE: As part of work to understand the experiences of young people who had
cancer, we were keen to examine the perspectives of peers who share their social
worlds. Our study aimed to examine how cancer in young people, young people with
cancer and young cancer survivors are represented through language, metaphor and
performance. METHODS: We generated data using creative activities and focus group
discussions with three high school drama classes and used Foucauldian discourse
analysis to identify the discursive constructions of youth cancer. RESULTS: Our
analysis identified two prevailing discursive constructions: youth cancer as an
inevitable decline towards death and as overwhelming personhood by reducing the
young person with cancer to 'cancer victim'. CONCLUSIONS: If we are to understand
life after cancer treatment and how to support young people who have been treated
for cancer, we need a sophisticated understanding of the social contexts they
return to. Discourses shape the way young people talk and think about youth
cancer; cancer as an inevitable decline towards death and as overwhelming
personhood is a key discursive construction that young people draw on when a
friend discloses cancer. IMPLICATIONS FOR CANCER SURVIVORS: The way cancer is
constructed shapes how friends react to and relate to a young person with cancer.
These constructions are likely to shape challenging social dynamics, such as
bullying, that many young cancer survivors experience. Awareness of these
discursive constructions can better equip young cancer survivors, their family
and health professionals negotiate life after cancer.
PMID- 26563951
TI - Lost workdays in uterine cervical cancer survivors compared to the general
population: impact of treatment and relapse.
AB - PURPOSE: The aim of the present study was to examine the risk of lost workdays
due to sick leave and disability pension by treatment modality and relapse in a
population-based cohort of cervical cancer survivors versus matched comparators.
METHODS: We identified 1971 cervical cancer patients aged <=60 years (median 42)
at diagnosis in Sweden 2003-2009 and 9254 population comparators. Information on
sociodemographic and clinical characteristics, sick leave, and disability pension
was retrieved from nationwide prospective registers. Differences in the annual
mean number of lost workdays were calculated by linear regression, and hazard
ratios (HRs) of disability pension were calculated by Cox regression analysis,
with follow-up through September 2013. RESULTS: Cervical cancer patients had more
lost workdays annually than comparators up to 8 years following diagnosis.
Relapse-free patients had more lost workdays than comparators up to 4 years. Risk
of disability pension during follow-up was increased among the relapse-free
patients treated with hysterectomy (HR 1.8 [95 % confidence interval (CI) 1.1
2.8]), hysterectomy plus chemotherapy and/or radiotherapy (HR 2.5 [95 % CI 1.2
5.4]), or chemotherapy and/or radiotherapy alone (HR 3.0 [95 % CI 1.3-6.8]),
compared with the population. Women treated with fertility-sparing surgery did
not have more lost workdays than the population beyond the first year and were
not at increased risk of disability pension. CONCLUSION: We observed a long
standing increased risk of lost workdays among cervical cancer patients, overall,
as well as among relapse-free patients. IMPLICATIONS FOR CANCER SURVIVORS:
Extensive but not limited treatment was associated with increased risk of lost
workdays, possibly reflecting an association between treatment side effects and
work ability.
PMID- 26563952
TI - In vitro evaluation of anticancer and antibacterial activities of cobalt oxide
nanoparticles.
AB - Cobalt oxide nanoparticles (Co3O4-NPs) were synthesized using simple urea-based
thermal decomposition method. Phase purity and particle size of as-synthesized
nanoparticles were characterized through X-ray diffraction pattern (XRD) and
transmission electron microscopy. Through XRD morphology of the Co3O4-NPs was
found to be variable in size with range of 36 nm. In our present study, we
explored the potential cytotoxic and antibacterial effects of Co3O4-NPs in human
colorectal types of cancerous cells (HT29 and SW620) and also nine Gram-positive
and Gram-negative bacteria. Co3O4-NPs showed promising anticancer activity
against HT29 and SW620 cells with IC50 value of 2.26 and 394.5 MUg/mL,
respectively. However, no significant effect of Co3O4-NPs was observed against
bacterial strains. Furthermore, a detailed study has been carried out to
investigate the possible mechanism of cell death in HT29 cancer cell line through
the analysis of expression level of anti-apoptotic Bcl2 and BclxL markers.
Western blot analysis results suggested significant role of Co3O4-NPs exposure in
cell death due to apoptosis.
PMID- 26563953
TI - Effect of low-level phototherapy on delayed onset muscle soreness: a systematic
review and meta-analysis.
AB - To determine the effectiveness of low-level phototherapy (i.e. light-emitting
diode therapy [LEDtherapy] or light amplification by stimulated emission of
radiation therapy [LASERtherapy]) on pain, skeletal muscle injury (creatine
kinase [CK] levels and edema) and skeletal muscle function (range of movement and
strength) in people undergoing an exercise protocol. (Cochrane Central Register
of Controlled Trials, MEDLINE, EMBASE, PEDro, SciELO and LILACS up to May 2014),
we included randomized controlled trials, quasi-randomized controlled trials and
crossover studies in which study participants were allocated to receive either
low-level phototherapy or placebo treatment. Phototherapy should have been
applied in a single treatment session, either before or after an exercise
protocol. We identified 15 studies involving 317 participants. Meta-analyses were
limited by substantial heterogeneity. Compared to the placebo group, reduction in
CK levels was only observed when LASERtherapy was applied before an exercise
protocol (standardized mean difference = -0.66; 95 % CI = -1.30, -0.02). No
between-group difference in edema, range of movement and strength were detected
when phototherapy was applied before or after exercise. Evidence from this review
suggests that low-level phototherapy may not have substantial effect in the
treatment of skeletal muscle injury and pain caused by exercise. Definitive
conclusions are limited due to the small number of included studies in each meta
analysis, disparities across the included studies and small sample sizes.
PMID- 26563954
TI - Lasers and nevus of Ota: a comprehensive review.
AB - Nevus of Ota is a benign dermal melanocytic nevus that typically affects Asian
children and women. The nevus presents as unilateral blue-gray hyperpigmented
macules and patches scattered along the first and second divisions of the
trigeminal nerve. Individuals with nevus of Ota experience emotional and
psychosocial distress related to cosmetic disfigurement and often look for
treatment options. Unfortunately, even when treated early, lesions of nevus of
Ota are still difficult to treat. The use of lasers for the treatment of nevus of
Ota lesions has become helpful in the management of dermal nevi. Currently, Q
switched (QS) lasers have been the most studied and demonstrated positive results
for treatment of nevus of Ota. The purpose of this review article is to summarize
the clinical efficacy and side effects associated with QS lasers and the
treatment of nevus of Ota lesions.
PMID- 26563955
TI - Fractional radiofrequency combined with sonophoresis to facilitate skin
penetration of 5-aminolevulinic acid.
AB - Ablative fractional technology has been used to improve transdermal drug
delivery. However, there have been few previous in vivo investigations of the
relative potency and methodology of fractional radiofrequency (RF) combined with
sonophoresis. The purpose of this study was to investigate the effects of
fractional RF combined with sonophoresis on 5-aminolevulinic acid (ALA)
penetration of the skin. Three male domestic swine were used. The skin of the
pigs was exposed to fractional RF and/or sonophoresis, followed by topical ALA
application. Fluorescence intensity (FI) of porphyrin fluorescence was measured.
In both the epidermis and the dermis, FI increased after fractional RF and
increased additionally with the addition of sonophoresis. Fractional RF with
sonophoresis effectively enhanced ALA skin penetration. Pre-fractional RF
followed by posttreatment with sonophoresis can be used for ALA-photodynamic
therapy to achieve higher ALA uptake.
PMID- 26563956
TI - Antimicrobial photodynamic therapy in the treatment of aggressive periodontitis:
a systematic review and meta-analysis.
AB - The aim of this systematic review was to investigate whether the use of
antimicrobial photodynamic therapy (aPDT) as an adjuvant to scaling and root
planning (SRP) yields better results than SRP alone or associated with systemic
antibiotics in the treatment of aggressive periodontitis (AgP). A meta-analysis
was performed according to the Preferred Reporting Items for Systematic Reviews
and Meta-analysis (PRISMA) statements and Cochrane Collaboration recommendations.
The search for relevant studies (earliest record to January 2015) was carried out
in seven databases, followed by a manual search. Methodological quality
assessment of the studies selected was based on an analysis of the risk of bias.
At each time point of follow-up, the existence of significant differences (p <
0.05) in clinical attachment level (CAL) gain and probing depth (PD) reduction
(primary outcomes) between groups was assessed with RevMan software 5.0.
Heterogeneity between studies was assessed by the Higgin test (I (2)). Four
randomized controlled trials (RCTs) satisfied the eligibility criteria of this
review. Only one study was found to have a low risk of bias. There were no
significant differences in PD reduction (mean difference 0.33, 95 % confidence
interval -0.32 to 0.98, p = 0.32) and CAL gain (mean difference 0.20, 95 %
confidence interval -0.41 to 0.81, p = 0.53) between the test and control
interventions. At present, therefore, when compared to SRP alone or associated
with systemic antibiotics, the evidence suggests that the association of aPDT +
SRP is of no additional benefit in the nonsurgical treatment of AgP.
PMID- 26563957
TI - Laser treatment of congenital melanocytic nevi: a review of the literature.
AB - Congenital melanocytic nevi (CMN) are nevi that are present from birth and occur
in approximately 1 % of newborns. CMN may be cosmetically disfiguring and are at
risk for malignant transformation. For these two reasons, CMN are frequently
treated. A variety of treatment modalities have been utilized with variable
efficacy, including excision, dermabrasion, curettage, chemical peels, radiation
therapy, cryotherapy, electrosurgery, and lasers. The current treatment of choice
for CMN is surgical excision. However, some CMN occur in cosmetically sensitive
areas, where a surgical scar is less acceptable, or in inoperable locations. For
these reasons, there has been increasing interest in the potential for laser
treatment of CMN. The lasers that have been studied to date for the treatment of
CMN include pigment-specific lasers, including ruby (694 nm), alexandrite (755
nm), and Nd:yttrium aluminum garnet (YAG) (1064 nm), as well as ablative laser
treatment with CO2 laser (10,600 nm) and Er:YAG (2940 nm). To date, ruby lasers
have been studied most extensively in the treatment of CMN. Ruby laser has been
shown to improve the cosmetic appearance of some CMN and may be cautiously
considered for lesions located in cosmetically sensitive areas that are less
amenable to surgical excision. For very large CMN, ruby laser has been tried as
an alternative to extensive surgical and grafting procedures. Dual treatment with
Q-switched ruby laser and normal mode ruby laser may provide the best outcomes;
however, multiple treatment sessions should be anticipated. The practicality and
expense of multiple treatments should be discussed with the patient prior to
initiating treatment. Importantly, because of the persistence of dermal nevus
cells, lifelong follow-up is required for all laser-treated CMN, even those with
excellent cosmetic effect.
PMID- 26563958
TI - Helping medical students to acquire a deeper understanding of truth-telling.
AB - PROBLEM: Truth-telling is an important component of respect for patients' self
determination, but in the context of breaking bad news, it is also a distressing
and difficult task. INTERVENTION: We investigated the long-term influence of a
simulated patient-based teaching intervention, integrating learning objectives in
communication skills and ethics into students' attitudes and concerns regarding
truth-telling. We followed two cohorts of medical students from the preclinical
third year to their clinical rotations (fifth year). Open-ended responses were
analysed to explore medical students' reported difficulties in breaking bad news.
CONTEXT: This intervention was implemented during the last preclinical year of a
problem-based medical curriculum, in collaboration between the doctor-patient
communication and ethics programs. OUTCOME: Over time, concerns such as empathy
and truthfulness shifted from a personal to a relational focus. Whereas
'truthfulness' was a concern for the content of the message, 'truth-telling'
included concerns on how information was communicated and how realistically it
was received. Truth-telling required empathy, adaptation to the patient, and
appropriate management of emotions, both for the patient's welfare and for a
realistic understanding of the situation. LESSONS LEARNED: Our study confirms
that an intervention confronting students with a realistic situation succeeds in
making them more aware of the real issues of truth-telling. Medical students
deepened their reflection over time, acquiring a deeper understanding of the
relational dimension of values such as truth-telling, and honing their view of
empathy.
PMID- 26563959
TI - Student perceptions of reproductive health education in US medical schools: a
qualitative analysis of students taking family planning electives.
AB - BACKGROUND: Abortion services will be sought by an estimated one in three US
women before they reach age 45. Despite the importance of family planning (FP)
care, many medical schools do not currently offer formal education in this area,
and students are unable to meet associated competency standards prior to
graduation. PURPOSE: The purpose of this study was to explore students'
motivations in pursuing FP electives throughout the United States, their
experiences during these courses, and any impact of these rotations on their
plans for future practice. METHOD: We conducted a qualitative study consisting of
semi-structured interviews with medical students upon completing fourth-year FP
electives at US medical schools. Thirty-seven LCME-accredited US medical schools
offered fourth-year FP electives. Course directors at 21 of these institutions
recruited study participants between June 2012 and June 2013. Interviews were
transcribed, coded, and analyzed with ATLAS/ti software to identify salient
themes. RESULTS: We interviewed 29 students representing 14 institutions from all
regions of the United States (East Coast, Midwest, South, and West Coast). Five
central themes emerged. Medical students are using FP electives to fill gaps in
the standard curriculum. Elective participation did not change students' pre
elective stance on abortion. Many students intend to provide abortion in the
future but identified possible limiting factors. Proficiency in contraception and
options counseling were top competencies desired and gained. Students reported
excellent satisfaction with FP electives and would recommend it to their peers,
regardless of their personal beliefs. CONCLUSIONS: Interview data revealed that
students are using FP electives to fill gaps within preclinical and clinical
medical school curriculum. Future physicians will be unable to provide
comprehensive care for their female patients if they are not provided with this
education. Research should be directed at development and analysis of
comprehensive FP curricula, which will allow students to obtain the knowledge
necessary to best care for their patients.
PMID- 26563960
TI - Cleveland Clinic's summer research program in reproductive medicine: an inside
look at the class of 2014.
AB - BACKGROUND: The American Center for Reproductive Medicine's summer internship
course in reproductive medicine and research at Cleveland Clinic is a rigorous,
results-oriented annual program that began in 2008 to train both local and
international students in the fundamentals of scientific research and writing.
The foremost goal of the program is to encourage premedical and medical students
to aspire toward a career as a physician-scientist. The internship provides
participants with an opportunity to engage in original bench research and
scientific writing while developing theoretical knowledge and soft skills. This
study describes selected survey responses from interns who participated in the
2014 internship program. The objective of these surveys was to elicit the
interns' perspective on the internship program, its strengths and weaknesses, and
to obtain insight into potential areas for improvement. METHODS: Questionnaires
were structured around the five fundamental aspects of the program: 1)
theoretical knowledge, 2) bench research, 3) scientific writing, 4) mentorship,
and 5) soft skills. In addition, an exit survey gathered information on factors
that attracted the interns to the program, communication with mentors, and
overall impression of the research program. RESULTS: The opportunity to
experience hands-on bench research and scientific writing, personalized
mentorship, and the reputation of the institution were appreciated and ranked
highly among the interns. Nearly 90% of the interns responded that the program
was beneficial and well worth the time and effort invested by both interns and
faculty. CONCLUSION: The outcomes portrayed in this study will be useful in the
implementation of new programs or refinement of existing medical research
training programs.
PMID- 26563961
TI - Intrathecal baclofen for treating spasticity in children with cerebral palsy.
AB - BACKGROUND: Cerebral palsy is a disorder of movement and posture arising from a
non-progressive lesion in the developing brain. Spasticity, a disorder of
increased muscle tone, is the most common motor difficulty and is associated with
activity limitation to varying degrees in mobility and self care.Oral baclofen, a
gamma-aminobutyric acid (GABA) agonist, has been used in oral form to treat
spasticity for some time, but it has a variable effect on spasticity and the dose
is limited by the unwanted effect of excessive sedation. Intrathecal baclofen
produces higher local concentrations in cerebrospinal fluid at a fraction of the
equivalent oral dose and avoids this excessive sedation. OBJECTIVES: To determine
whether intrathecal baclofen is an effective treatment for spasticity in children
with cerebral palsy. SEARCH METHODS: We searched the CENTRAL, MEDLINE, EMBASE and
CINAHL databases, handsearched recent conference proceedings, and communicated
with researchers in the field and pharmaceutical and drug delivery system
companies. SELECTION CRITERIA: We included studies which compared the effect of
intrathecal baclofen treatment on spasticity, gross motor function or other areas
of function with controls. DATA COLLECTION AND ANALYSIS: Two authors selected
studies, two authors extracted data and two authors assessed the methodological
quality of included studies. MAIN RESULTS: Six studies met the inclusion
criteria. The data obtained were unsuitable for the conduct of a meta-analysis;
we have completed a qualitative summary.All studies were found to have high or
unclear risk of bias in some aspects of their methodology.Five of the six studies
reported data collected in the randomised controlled phase of the study. A sixth
study did not report sufficient results to determine the effect of intrathecal
baclofen versus placebo. Of these five studies, four were conducted using lumbar
puncture or other short-term means of delivering intrathecal baclofen. One study
assessed the effectiveness of implantable intrathecal baclofen pumps over six
months.The four short-term studies demonstrated that intrathecal baclofen therapy
reduces spasticity in children with cerebral palsy. However, two of these studies
utilised inappropriate techniques for statistical analysis of results. The single
longer-term study demonstrated minimal reduction in spasticity with the use of
intrathecal baclofen therapy.One of the short-term studies and the longer term
study showed improvement in comfort and ease of care. The longer term study found
a small improvement in gross motor function and also in some domains of health
related quality of life.Some caution is required in interpreting the findings of
the all the studies in the review due to methodological issues. In particular,
there was a high risk of bias in the methodology of the longer term study due to
the lack of placebo use in the control group and the absence of blinding to the
intervention after randomisation for both participants and investigators.
AUTHORS' CONCLUSIONS: There is some limited short-term evidence that intrathecal
baclofen is an effective therapy for reducing spasticity in children with
cerebral palsy. The effect of intrathecal baclofen on long-term spasticity
outcomes is less certain.The validity of the evidence for the effectiveness of
intrathecal baclofen in treating spasticity in children with cerebral palsy from
the studies in the review is constrained by the small sample sizes of the studies
and methodological issues in some studies.Spasticity is a impairment in the
domain of body structure and function. Consideration must also be given to the
broader context in determining whether intrathecal baclofen therapy is effective.
The aim of therapy may be, for example, to improve gross motor function, to
increase participation at a social role level, to improve comfort, to improve the
ease of care by others or to improve the overall quality of life of the
individual. Intrathecal baclofen may improve gross motor function in children
with cerebral palsy, but more reliable evidence is needed to determine this.There
is some evidence that intrathecal baclofen improves ease of care and the comfort
and quality of life of the individuals receiving it, but again small sample sizes
and methodological issues in the studies mean that these results should be
interpreted with caution.Further evidence of the effectiveness of intrathecal
baclofen for treating spasticity, increasing gross motor function and improving
comfort, ease of care and quality of life is needed from other investigators in
order to validate these results.The short duration of the controlled studies
included in this review did not allow for the exploration of questions regarding
whether the subsequent need for orthopaedic surgery in children receiving
intrathecal baclofen therapy is altered, or the safety and the economic
implications of intrathecal baclofen treatment when long-term therapy is
administered via an implanted device. Controlled studies are not the most
appropriate study design to address these questions, cohort studies may be more
appropriate.
PMID- 26563962
TI - Phytotherapy for diabetes mellitus: back to nature.
PMID- 26563963
TI - Effect of a simulation-based workshop on multidisplinary teamwork of newborn
emergencies: an intervention study.
AB - BACKGROUND: Video analyses of real-life newborn resuscitations have shown that
Neonatal Resuscitation Program (NRP) guidelines are followed in fewer than 50% of
cases. Multidisciplinary simulation is used as a first-rate tool for the
improvement of teamwork among health professionals. In the study we evaluated the
impact of the crisis resource management (CRM) and anesthesia non-technical
skills instruction on teamwork during simulated newborn emergencies. METHODS:
Ninety-nine participants of two delivery units (17 pediatricians, 16
anesthesiologists, 14 obstetricians, 31 midwives, and 21 neonatal nurses) were
divided to an intervention group (I-group, 9 teams) and a control group (C-group,
6 teams). The I-group attended a CRM and ANTS instruction before the first
scenario. After each scenario the I-group performed either self- or peer
assessment depending on whether they had acted or observed in the scenario. All
the teams participated in two and observed another two scenarios. All the
scenarios were video-recorded and scored by three experts with Team Emergency
Assessment Measure (TEAM). SPSS software and nlme package were used for the
statistical analyses. RESULTS: The total TEAM scores of the first scenario
between the I- and C-group did not differ from each other. Neither there was an
increase in the TEAM scoring between the first and second scenario between the
groups. The CRM instruction did not improve the I-group's teamwork performance.
Unfortunately the teams were not comparable because the teams had been allowed to
self-select their members in the study design. The total TEAM scores varied a lot
between the teams. Mixed-model linear regression revealed that the background of
the team leader had an impact on differences of the total teamwork scores (D =
6.50, p = 0.039). When an anesthesia consultant was the team leader the mean
teamwork improved by 6.41 points in comparison to specialists of other
disciplines (p = 0.043). CONCLUSION: The instruction of non-technical skills
before simulation training did not enhance the acquisition of teamwork skills of
the intervention groups over the corresponding set of skills of the control
groups. The teams led by an anesthesiologist scored the best. Experience of team
leaders improved teamwork over the CRM instruction.
PMID- 26563964
TI - Reference Values for Exercise Systolic Blood Pressure in 12- to 17-Year-Old
Adolescents.
AB - OBJECTIVE: In adults, blood pressure (BP) during exercise has prognostic
advantages compared to resting BP, whereas its relevance for children has not
been revealed as clearly. Because exercise BP among young subjects might be of
clinical importance, we sought to determine reference values in adolescents.
METHODS: BP recordings at rest and during a cycle ergometer test (1.5W/kg) were
assessed in 492 teenagers (12-17 years) in the Kiel EX.PRESS. Study (EXercise and
PRESSure). The resting systolic BP (SBP) values at the 90th and 95th percentile
of the German reference population were applied on our resting SBP distribution.
The resulting resting SBP percentiles were then used to propose exercise SBP
limits. RESULTS: Of our group, 12.4% exhibited a resting SBP >= 90th reference
percentile, with 7.9% >= 95th percentile. The corresponding age group- and sex
specific percentiles were assigned to the exercise SBP distribution resulting in
reference values for high normal and elevated SBP (upper limit, girls/boys, mm
Hg): 172/172 for 12-13 years, 174.7/177.3 for 14-15 years, 178.5/201.3 for 16-17
years). Using these limits, exercise SBP values were elevated in 8.1%, 5.5% were
within the high normal range. Normal resting SBP but at least high normal
exercise SBP was found in 7.7%. In contrast, 7.4% were high normal or
hypertensive at rest but normotensive during exercise. CONCLUSIONS: Exercise BP
is expected to be of additional use for the evaluation of BP in younger age
groups. As long as prognostic data for exercise BP in adolescents are not
available, the limits proposed might be considered in clinical practice.
PMID- 26563966
TI - Pulmonary hypertension in patients on chronic hemodialysis and with heart
failure.
AB - Pulmonary hypertension (PH) is linked to chronic kidney disease. However, few
studies have examined the prevalence, risk factors, or outcomes of PH in patients
with chronic hemodialysis and concomitant heart failure. This retrospective
cohort study enrolled 160 patients with a history of acute decompensated heart
failure after maintenance hemodialysis therapy. All patients were prospectively
observed until December 2013 or death. PH was defined as pulmonary artery
systolic pressure >35 mmHg, as determined through echocardiography. Fifty-one
(32%) patients had PH, more of whom were female (70% vs. 52%, P = 0.04). The
patients with PH had a lower body mass index (21.8 vs. 23.0, P = 0.03), higher
cardiothoracic ratio (55% vs. 52%, P = 0.006), larger left atrium (38.5 vs. 35.7
mm, P = 0.01), and an increased proportion of mitral regurgitation (MR) (73% vs.
38%, P < 0.001) compared with the patients who did not have PH. In the
multivariate regression analysis, MR was associated most strongly with PH (odds
ratio 3.75, 95% confidence interval [CI]: 1.67-8.43, P = 0.001). In the
multivariate Cox proportional hazard models, PH was related independently to all
cause mortality (hazard ratio [HR], 3.11; 95% CI, 1.53-6.31; P = 0.002) and
combined cardiovascular events (HR, 2.71; 95% CI, 1.66-4.44; P < 0.001) after the
model was adjusted for conventional cardiovascular risk factors. PH is related to
MR and independently associated with increased all-cause mortality and
cardiovascular events in patients with chronic hemodialysis and heart failure.
PMID- 26563965
TI - Hypertension and Its Role in Cognitive Function: Current Evidence and Challenges
for the Future.
AB - This review summarizes evidence from studies of blood pressure and dementia
related biomarkers into our understanding of cognitive health and highlights the
challenges facing studies, particularly randomized trials, of hypertension and
cognition. Several lines of research suggest that elevated blood pressure,
especially at midlife, is associated with cognitive decline and dementia and that
treatment of hypertension could prevent these conditions. Further, studies of
hypertension and brain structure show that blood pressure is associated with
several forms of small vessel disease that can result in vascular dementia or
interact with Alzheimer's pathology to lower the pathologic threshold at which
Alzheimer's signs and symptoms manifest. In addition, recent studies of
hypertension and Alzheimer's biomarkers show that elevated blood pressure and
pulse pressure are associated with the extent of brain beta amyloid (Abeta)
deposition and altered cerebral spinal fluid profiles of Abeta and tau indicative
of Alzheimer's pathology. However, in spite of strong evidence of biological
mechanisms, results from randomized trials of antihypertensive therapy for the
prevention of cardiovascular or cerebrovascular disease that include cognitive
endpoints do not strongly support the observational evidence that treatment of
hypertension should be better for cognition. We propose that future clinical
trials should consider including dementia biomarkers and assess genetic and
cardiometabolic risk factors that have been associated with progression of the
underlying disease pathology to help bridge these gaps.
PMID- 26563968
TI - Macacine Herpes Virus (B Virus).
AB - B virus is endemic in macaque monkeys, which are frequently used for research
studies. B virus is a risk for every employee working with macaque monkeys, their
tissues or cells. Although the risk for B virus infection is low, the risk of
death or permanent neurological deficit is high if an exposure is not promptly
evaluated and treated. Researcher training, routine use of personal protective
equipment, first aid protocols, and prompt reporting to a provider knowledgeable
about B virus treatment are essential to prevent this 70% lethal infection in
untreated humans. This article presents the history and pathogenesis of B virus,
first aid, treatment, and prevention.
PMID- 26563967
TI - Perceptions of Supervision Among Injured and Non-Injured Teens Working in the
Retail or Service Industry.
AB - According to the National Institute for Occupational Safety and Health (NIOSH), a
teen is injured every 9 minutes at work. Workplace supervision may affect whether
teens are injured on the job. Because research on workplace supervision among
teens is limited, the objectives of this study were to characterize the
perceptions of supervision among injured and non-injured teen workers and assess
the characteristics and perceptions of supervisors that may be associated with
work-related injuries. In 2011, a cross-sectional survey was conducted among high
school students. Teens who worked in retail or service industries (n= 270) were
included in the sample. Non-injured teens were more likely to have reported that
their supervisors cared about their safety, were helpful, listened well, and
ensured that teen workers understood workplace safety. Most teens (70%) did not
feel comfortable talking about safety issues with their supervisors. The
importance of supervision and how supervisors are perceived in the workplace may
be significant in creating a safety culture that leaves a lasting impression.
PMID- 26563969
TI - Follicular pancreatitis: a distinct form of chronic pancreatitis-an additional
mimic of pancreatic neoplasms.
AB - Follicular pancreatitis is a recently described variant of chronic pancreatitis
characterized clinically by the formation of a discrete pancreatic mass and
histologically by the presence of florid lymphoid aggregates with reactive
germinal centers. Our aim was to study the clinical and histologic features of
follicular pancreatitis, as well as to critically examine potential overlap with
autoimmune pancreatitis. Immunohistochemistry for Bcl-2, CD21, kappa and lambda
light chains as well as IgG4 and IgG were performed. We found a total of 6
patients (male-female ratio, 2:1; mean age, 57 years) who fulfilled the diagnosis
of follicular pancreatitis in our institutions. Four had an incidental diagnosis,
while two presented with abdominal pain, fatigue, and elevated liver enzymes. On
imaging, 3 patients had a discrete solid mass, whereas 2 cases showed a dilated
main pancreatic duct, mimicking an intraductal pancreatic mucinous neoplasm on
imaging. One patient had a lesion in the intra-pancreatic portion of the common
bile duct. On histopathology, all cases showed numerous lymphoid follicles with
Bcl-2-negative germinal centers either in a periductal or in a more diffuse
(periductal and intra-parenchymal) fashion, but without attendant storiform
fibrosis, obliterative phlebitis, or granulocytic epithelial lesions. IgG4-to-IgG
ratio was <40% in 5 cases. A comparison cohort revealed germinal centers in 25%
of type 1 autoimmune pancreatitis and 2% of type 2 autoimmune pancreatitis cases,
but none were periductal in location. In conclusion, follicular pancreatitis, an
under-recognized mimic of pancreatic neoplasms is characterized by
intrapancreatic lymphoid follicles with reactive germinal centers.
PMID- 26563970
TI - Quality of life in persons living with HIV in Burkina Faso: a follow-up over 12
months.
AB - BACKGROUND: In Burkina Faso, very little is known about the quality of life of
persons living with HIV through their routine follow- up. This study aimed to
assess the quality of life of persons living with HIV, and its change over a 1
year period. METHODS: Four hundred and twenty four (424) persons living with HIV
were monitored during twelve (12) months from September 2012 to September 2013 in
Ouagadougou, the capital city of Burkina Faso. Three interviews were conducted in
order to assess the quality of life of patients and its change over time, using
the World Health Organization Quality of Life assessment brief scale in patients
with Human Immunodeficiency Virus infection (WHOQOL HIV-BREF). The Friedman test
was used to assess significant differences in quantitative variables at each of
the three follow-up interviews. Groups at baseline, at 6 months and at 12 months
were compared using Wilcoxon signed rank test for quantitative data and McNemar
test for qualitative variables. Pearson Chi(2) was used when needed.
Multivariable logistic regression models were fit to estimate adjusted odds ratio
(OR) and 95% confidence intervals (95% CI). Trends in global quality of life
score and subgroups (status related to Highly Active Anti Retroviral Treatment
(HAART) using univariate repeated measures analysis of variance were assessed. A
p-value less than 0.05 was considered significant. RESULTS: At baseline, quality
of life scores were highest in the domain of spirituality, religion and personal
beliefs (SRPB) and lowest in the environmental domain. This trend was maintained
during the 12-month follow-up. The global score increased significantly from the
beginning up to the twelfth month of follow-up. Over the 12 months, the baseline
factors that were likely to predict an increase in the global quality of life
score were: not having support from relatives for medical care (P = 0.04), being
under HAART (P = 0.001), being self-perceived as healthy (P = 0.03), and having a
global quality of life score under 77 (P < 0.001). CONCLUSIONS: Our findings
suggest the need to promote interventions to empower people living with HIV/AIDS
through income generating activities. Such activities will enhance the quality of
life of persons living with HIV in Burkina Faso. This could focus mostly on
treatment-naive HIV patients, lacking support from relatives and those who
perceive themselves as ill.
PMID- 26563971
TI - Electromagnetic Initiation and Propagation of Bipolar Radiofrequency Tissue
Reactions via Invasive Non-Insulated Microneedle Electrodes.
AB - Radiofrequency (RF) energy can be emitted into the skin, either non- or
invasively, via a monopolar mode that utilizes an active electrode and a grounded
electrode or via a bipolar mode that employs two active electrodes. In this
experimental study of RF tissue reactions, bipolar RF energy was emitted in vivo
to micropig skin at varying microneedle penetration depths, signal amplitudes,
and conduction times. Immediately after RF treatment, skin samples exhibited RF
induced coagulation columns of thermal injury, separately generated around each
microneedle in the dermis. In ex vivo bovine liver tissue, the thermal
coagulation columns were found to be concentrated maximally around the pointed
tips of each electrode. After a RF conduction time of 2 seconds, the individual
areas of thermal coagulation began to converge with neighboring RF-induced
coagulation columns; the convergence of coagulation columns was found to start
from the tips of neighboring electrodes.
PMID- 26563972
TI - Language of plants: Where is the word?
AB - Plants emit biogenic volatile organic compounds (BVOCs) causing transcriptomic,
metabolomic and behavioral responses in receiver organisms. Volatiles involved in
such responses are often called "plant language". Arthropods having sensitive
chemoreceptors can recognize language released by plants. Insect herbivores,
pollinators and natural enemies respond to composition of volatiles from plants
with specialized receptors responding to different types of compounds. In
contrast, the mechanism of how plants "hear" volatiles has remained obscured. In
a plant-plant communication, several individually emitted compounds are known to
prime defense response in receiver plants with a specific manner according to the
chemical structure of each volatile compound. Further, composition and ratio of
volatile compounds in the plant-released plume is important in plant-insect and
plant-plant interactions mediated by plant volatiles. Studies on volatile
mediated plant-plant signaling indicate that the signaling distances are rather
short, usually not longer than one meter. Volatile communication from plants to
insects such as pollinators could be across distances of hundreds of meters. As
many of the herbivore induced VOCs have rather short atmospheric life times, we
suggest that in long-distant communications with plant volatiles, reaction
products in the original emitted compounds may have additional information value
of the distance to emission source together with the original plant-emitted
compounds.
PMID- 26563973
TI - Novel treatment for early-stage nasal natural killer/T-cell lymphoma: intra
maxillary arterial infusion chemotherapy with concomitant radiotherapy.
AB - Nasal natural killer (NK)/T-cell lymphoma (NNKTL) displays unusual
clinicopathological features, and the prognosis is very poor, even in the early
stages of the disease. For early stage NNKTL, we have developed a novel
chemoradiotherapy regimen incorporating arterial infusion chemotherapy,
administered via the superficial temporal artery, in combination with
radiotherapy. The novel arterial infusion regimen consists of ifosfamide,
carboplatin, methotrexate, peplomycin, and etoposide (MPVIC-P). From 2003 to
2011, 12 patients with early stage NNKTL were treated with the MPVIC-P regimen
via arterial infusion with concomitant radiotherapy (54 Gy). We have previously
reported on the presence of Epstein-Barr virus (EBV) genetic DNA in NNKTL.
Therefore, the effect of the treatment was evaluated by using both clinical
findings and serum EBV DNA copy number. The observation period ranged from 39
months to 111 months post-treatment (median: 81 months). All 12 patients achieved
and maintained complete remission and, to date, show no sign of relapse. Serum
EBV DNA copy numbers decreased to below detectable levels in all 12 patients
tested. Manageable mucositis was the most common grade 3-4 toxicity, and it was
seen in 10 (83%) patients. However, grade 3-4 hematological toxicity was only
seen in 4 (33%) patients. We conclude that our regimen of intra-maxillary
arterial chemotherapy with concomitant radiotherapy is an effective treatment
with minimal toxicity for early stage NNKTL. Copyright (c) 2015 John Wiley &
Sons, Ltd.
PMID- 26563974
TI - MPTTF-containing tripeptide-based organogels: receptor for 2,4,6-trinitrophenol
and multiple stimuli-responsive properties.
AB - A series of monopyrrolotetrathiafulvalene-tripeptide conjugates have been
synthesized and investigated as new low-molecular mass organogelators. It was
found that most of these compounds could immobilize low-polarity solvents readily
and the gelation behaviors of these gelators showed a dependence on the amino
acid residues. These organogels were thoroughly studied using various techniques
including atomic force microscopy (AFM), field-emission scanning electron
microscopy (FE-SEM), circular dichroism (CD) spectroscopy, Fourier-transform
infrared (FT-IR) spectroscopy, (1)H NMR spectroscopy, UV-Vis absorption
spectroscopy and X-ray diffraction (XRD). The results showed that the cooperative
interplay of hydrogen bonding, pi-pi stacking and SS interactions were the main
driving force for the formation of the gels. Of all the organogels, the aromatic
solvent gels, such as toluene gel, exhibited multiple-stimulus responsiveness
towards heating, shaking, chemical redox activity and the presence of anions,
thus leading to reversible sol-gel phase transitions. Most interestingly,
gelation in the presence of 2,4,6-trinitrophenol (TNP) in organic solvents could
be observed visually with a concomitant color change through donor-acceptor
interactions. The strength of the charge-transfer interaction between gelators
and TNP was proportional to the incubation time and increasing critical gelation
concentration (CGC). The gels could function as efficient absorbents for
potential application in removal of crystal violet and rhodamine B dyes from
water.
PMID- 26563975
TI - High-Performance Planar-Type Photodetector on (100) Facet of MAPbI3 Single
Crystal.
AB - Recently, the discovery of organometallic halide perovskites provides promising
routes for fabricating optoelectronic devices with low cost and high performance.
Previous experimental studies of MAPbI3 optoelectronic devices, such as
photodetectors and solar cells, are normally based on polycrystalline films. In
this work, a high-performance planar-type photodetector fabricated on the (100)
facet of a MAPbI3 single crystal is proposed. We demonstrate that MAPbI3
photodetector based on single crystal can perform much better than that on
polycrystalline-film counterpart. The low trap density of MAPbI3 single crystal
accounts for the higher carrier mobility and longer carrier diffusion length,
resulted in a significant performance increasement of MAPbI3 photodetector.
Compared with similar planar-type photodetectors based on MAPbI3 polycrystalline
film, our MAPbI3 single crystal photodetector showed excellent performance with
good stability and durability, broader response spectrum to near-infrared region,
about 10(2) times higher responsivity and EQE, and approximately 10(3) times
faster response speed. These results may pave the way for exploiting high
performance perovskites photodetectors based on single crystal.
PMID- 26563976
TI - Recent trends in epidemiology, sensitization and legal requirements of selected
relevant contact allergens.
AB - Numerous studies have focused on emerging allergens causing contact allergy and
allergic contact dermatitis in eczema populations and the general population,
whereas only a few European multicenter studies systematically manage to detect
prevalence rates of various contact allergies over time in eczema populations.
Contact allergy is a life-time condition, which may lead to allergic contact
dermatitis in individuals who do not manage to avoid exposure to the ascertained
allergen in question. It is therefore of utmost importance that clinicians and
dermatologists have sufficient knowledge on common allergens causing contact
allergies in the general and working population. This review aimed to highlight
the newest knowledge of frequent allergens of clinical importance. Literature was
sought from the PubmedTM database, GoogleTM scholar and textbooks. On the basis
of the literature within the last 5 years, a comprehensive review of
methylisothiazolinone, chromium, cobalt, rubber accelerators and fragrance
ingredients were conducted. Of each allergen we discuss in detail the temporal
trend of prevalence, source of exposure, clinical manifestation of allergic
contact dermatitis and legislative measurements on how to regulate the exposure.
PMID- 26563977
TI - Morphology of splenocaval congenital portosystemic shunts in dogs and cats.
AB - OBJECTIVE: To describe the anatomy of congenital portosystemic shunts involving
the splenic vein communicating with the caudal vena cava at the level of the
epiploic foramen. MATERIALS AND METHODS: A retrospective review of a consecutive
series of dogs and cats managed for congenital portosystemic shunts. RESULTS:
Ninety-eight dogs and eight cats met the inclusion criteria of a congenital
portosystemic shunt involving the splenic vein communicating with the prehepatic
caudal vena cava plus recorded intra-operative mesenteric portovenography or
computed tomography angiography and gross observations at surgery. All cases
(both dogs and cats) had a highly consistent shunt that involved a distended
gastrosplenic vein that communicated with the caudal vena cava at the level of
the epiploic foramen via an anomalous left gastric vein. CLINICAL SIGNIFICANCE:
The morphology of the shunt type described appeared to be a result of an abnormal
communication between the left gastric vein and the caudal vena cava and the
subsequent development of preferential blood flow through an essentially normal
portal venous system. The abnormal communication (shunt) was through the left
gastric vein and not the splenic vein, as might have been expected. This
information may help with surgical planning in cases undergoing shunt closure
surgery.
PMID- 26563979
TI - Children with classic congenital adrenal hyperplasia experience salt loss and
hypoglycemia: evaluation of adrenal crises during the first 6 years of life.
AB - OBJECTIVE: To evaluate adrenal crises after the start of treatment up to the age
of 6 years in children with classic congenital adrenal hyperplasia (CAH). DESIGN:
Analysis of data extracted from a population-based prospective long-term follow
up study of children detected in neonatal screening. METHODS: Data of 102
Bavarian children with classic CAH due to 21-hydroxylase deficiency were
analyzed, using parental questionnaires and medical reports. Parent-reported
hospital admissions of children diagnosed with acute health impairment were
included in the analysis if salt loss (hyponatremia) or hypoglycemia was
documented in the discharge summary. RESULTS: A total of 74 children (72.5%) had
no report of hospital admissions with salt loss or hypoglycemia during the
observational period. However, in 27.5% of the children, 22 salt-wasting crises
(seven of these also with low blood glucose) and 16 hypoglycemic episodes without
salt loss were reported. Furthermore, the cumulative incidence for seizures was
elevated; 13 children experienced seizures during hyponatremia or hypoglycemia.
Most adrenal crises were triggered by infections, often with inappropriate
emergency management, but in 11 cases hypoglycemia occurred unexpectedly, without
evidence of severe illness and without any management errors. Frequency of
adrenal crises was 6.5 per 100 patient years (95% CI: 4.6-8.8). CONCLUSIONS:
Crisis prevention remains a permanent challenge for families and physicians
caring for children with classic CAH. Expert care and compliance with emergency
recommendations are crucial. Further research on the interactions among
glucocorticoid deficiency, adrenomedullary dysfunction, and glucose metabolism is
necessary for the prevention of hypoglycemia, especially in young CAH patients.
PMID- 26563978
TI - GH safety workshop position paper: a critical appraisal of recombinant human GH
therapy in children and adults.
AB - Recombinant human GH (rhGH) has been in use for 30 years, and over that time its
safety and efficacy in children and adults has been subject to considerable
scrutiny. In 2001, a statement from the GH Research Society (GRS) concluded that
'for approved indications, GH is safe'; however, the statement highlighted a
number of areas for on-going surveillance of long-term safety, including cancer
risk, impact on glucose homeostasis, and use of high dose pharmacological rhGH
treatment. Over the intervening years, there have been a number of publications
addressing the safety of rhGH with regard to mortality, cancer and cardiovascular
risk, and the need for long-term surveillance of the increasing number of adults
who were treated with rhGH in childhood. Against this backdrop of interest in
safety, the European Society of Paediatric Endocrinology (ESPE), the GRS, and the
Pediatric Endocrine Society (PES) convened a meeting to reappraise the safety of
rhGH. The ouput of the meeting is a concise position statement.
PMID- 26563980
TI - ENDOCRINE TUMORS: BRAF V600E mutations in papillary craniopharyngioma.
AB - Papillary craniopharyngioma (PCP) is an intracranial tumor that results in high
levels of morbidity. We recently demonstrated that the vast majority of these
tumors harbor the oncogenic BRAF V600E mutation. The pathologic diagnosis of PCP
can now be confirmed using mutation specific immunohistochemistry and targeted
genetic testing. Treatment with targeted agents is now also a possibility in
select situations. We recently reported a patient with a multiply recurrent PCP
in whom targeting both BRAF and MEK resulted in a dramatic therapeutic response
with a marked anti-tumor immune response. This work shows that activation of the
MAPK pathway is the likely principal oncogenic driver of these tumors. We will
now investigate the efficacy of this approach in a multicenter phase II clinical
trial. Post-treatment resection samples will be monitored for the emergence of
resistance mechanisms. Further advances in the non-invasive diagnosis of PCP by
radiologic criteria and by cell-free DNA testing could someday allow neo-adjuvant
therapy for this disease in select patient populations.
PMID- 26563981
TI - A new fluorescent dye for cell tracing and mitochondrial imaging in vitro and in
vivo.
AB - Mitochondria contribute to redox and calcium balance, and apoptosis thus
regulating cellular fate. In the present study, mitochondrial staining applying a
novel dye, V07-07059, was performed in human embryonic kidney cells, a human
vascular endothelial cell line and primary human mononuclear cells. The new
fluorescent mega Stokes dye (peak excitation: 488 nm, peak emission: 554 nm)
showed superior fluorescent properties and stability. V07-07059 stains
mitochondria dependent on their membrane potential and is safe to use in vitro
and in vivo. Unlike other dyes applied in this context (e.g. Tetramethylrhodamine
methyl ester), V07-07059 only marginally inhibits mitochondrial respiration and
function. V07-07059 enables real time imaging of mitochondrial trafficking and
remodeling. Prolonged staining with V07-07059 demonstrated the dyes suitability
as a novel probe to track cells. In comparison to the widely used standard for
cell proliferation and tracking studies 5(6)-diacetate N-succinimidyl ester, V07
07059 proved superior regarding toxicity and photostability.
PMID- 26563982
TI - Consecutive parthenogenetic births in a spotted eagle ray Aetobatus narinari.
AB - Genetic evidence is given to support consecutive parthenogenesis in a spotted
eagle ray Aetobatus narinari using nuclear microsatellite genotyping. To date,
only a handful of births involving the parthenogenesis process in chondrichthyans
have been verified using microsatellite markers and even fewer verified as
recurring births. This appears to be the first documented case of this process
occurring in a myliobatid species.
PMID- 26563983
TI - Gold Nanoparticle Quantitation by Whole Cell Tomography.
AB - Many proposed biomedical applications for engineered gold nanoparticles require
their incorporation by mammalian cells in specific numbers and locations. Here,
the number of gold nanoparticles inside of individual mammalian stem cells was
characterized using fast focused ion beam-scanning electron microscopy based
tomography. Enhanced optical microscopy was used to provide a multiscale map of
the in vitro sample, which allows cells of interest to be identified within their
local environment. Cells were then serially sectioned using a gallium ion beam
and imaged using a scanning electron beam. To confirm the accuracy of single
cross sections, nanoparticles in similar cross sections were imaged using
transmission electron microscopy and scanning helium ion microscopy. Complete
tomographic series were then used to count the nanoparticles inside of each cell
and measure their spatial distribution. We investigated the influence of slice
thickness on counting single particles and clusters as well as nanoparticle
packing within clusters. For 60 nm citrate stabilized particles, the nanoparticle
cluster packing volume is 2.15 +/- 0.20 times the volume of the bare gold
nanoparticles.
PMID- 26563984
TI - Clinical presentation, gene analysis and outcomes in young patients with early
treated combined methylmalonic acidemia and homocysteinemia (cblC type) in
Shandong province, China.
AB - OBJECTIVES: To estimate the incidence of MMA on newborn screening in Shandong
province from May 2011 to May 2014 and summarize the clinical presentation,
biochemical features, mutation analysis, and treatment regime of early-treated
patients with cblC disease. METHODS: Between May 2011 and May 2014, 35,291
newborns were screened for MMA in Jinan maternal and Child Care Hospital,
Shandong province. The levels of C3, C3/C2, methionine and tHcy were measured.
Most patients received treatment with intramuscular hydroxocobalamin after
diagnosis. Metabolic parameters, clinical presentation and mental development
were followed up. RESULTS: Nine patients were identified among 35,291 by newborn
screening, giving an estimated incidence of 1:3920 live births for MMA, and all
were classified as cblC disease. Among them, five patients received treatment
with intramuscular hydroxocobalamin and two patients did not receive any
treatment. One patient died of metabolic crises triggered by infection at the age
of 38 days. Seven different mutations (c.609G>A, c.455_457delCCC, c.394C>T,
c.445_446insA, c.658_660delAAG, c.452A>G and IVS1+1G>A) were detected. The
mutations (c.455_457delCCC and IVS1+1G>A) are novel. Five patients who received
treatment had favorable metabolic response, with both reduction of urine MMA and
tHcy and increase of methionine. We obtained 7 records of DQ assessment. The five
patients who received treatment presented with developmental delay and obvious
neurological manifestations. In two patients who did not receive any treatment,
case 8 presented with severe mental retardation and developmental delay, while
case 9 had nearly normal DQ values at the age of 1(1/12)years. CONCLUSION: Our
study characterized variable phenotypes of neurodevelopment in early-treated cblC
patients diagnosed on newborn screening. The long-term outcomes of cblC disease
are unsatisfactory in spite of conventional treatment and improvement of
biochemical abnormalities. Although the number of patients is too small, the
information provided in this work is of value in highlighting possible genotype
phenotype correlation that influences outcomes in cblC disease by future studies.
PMID- 26563985
TI - Specificity of the Metalloregulator CueR for Monovalent Metal Ions: Possible
Functional Role of a Coordinated Thiol?
AB - Metal-ion-responsive transcriptional regulators within the MerR family
effectively discriminate between mono- and divalent metal ions. Herein we address
the origin of the specificity of the CueR protein for monovalent metal ions.
Several spectroscopic techniques were employed to study Ag(I) , Zn(II) , and
Hg(II) binding to model systems encompassing the metal-ion-binding loop of CueR
from E. coli and V. cholerae. In the presence of Ag(I) , a conserved cysteine
residue displays a pKa value for deprotonation of the thiol that is close to the
physiological pH value. This property is only observed with the monovalent metal
ion. Quantum chemically optimized structures of the CueR metal site with Cys 112
protonated demonstrate that the conserved Ser 77 backbone carbonyl oxygen atom
from the other monomer of the homodimer is "pulled" towards the metal site. A
common allosteric mechanism of the metalloregulatory members of the MerR family
is proposed. For CueR, the mechanism relies on the protonation of Cys 112.
PMID- 26563986
TI - Dietary magnesium, calcium:magnesium ratio and risk of reflux oesophagitis,
Barrett's oesophagus and oesophageal adenocarcinoma: a population-based case
control study.
AB - Evidence suggests a role of Mg and the ratio of Ca:Mg intakes in the prevention
of colonic carcinogenesis. The association between these nutrients and
oesophageal adenocarcinoma - a tumour with increasing incidence in developed
countries and poor survival rates - has yet to be explored. The aim of this
investigation was to explore the association between Mg intake and related
nutrients and risk of oesophageal adenocarcinoma and its precursor conditions,
Barrett's oesophagus and reflux oesophagitis. This analysis included cases of
oesophageal adenocarcinoma (n 218), Barrett's oesophagus (n 212), reflux
oesophagitis (n 208) and population-based controls (n 252) recruited between 2002
and 2005 throughout the island of Ireland. All the subjects completed a 101-item
FFQ. Unconditional logistic regression analysis was applied to determine odds of
disease according to dietary intakes of Mg, Ca and Ca:Mg ratio. After adjustment
for potential confounders, individuals consuming the highest amounts of Mg from
foods had significant reductions in the odds of reflux oesophagitis (OR 0.31; 95
% CI 0.11, 0.87) and Barrett's oesophagus (OR 0.29; 95 % CI 0.12, 0.71) compared
with individuals consuming the lowest amounts of Mg. The protective effect of Mg
was more apparent in the context of a low Ca:Mg intake ratio. No significant
associations were observed for Mg intake and oesophageal adenocarcinoma risk (OR
0.77; 95 % CI 0.30, 1.99 comparing the highest and the lowest tertiles of
consumption). In conclusion, dietary Mg intakes were inversely associated with
reflux oesophagitis and Barrett's oesophagus risk in this Irish population.
PMID- 26563987
TI - Head tremor in essential tremor: "Yes-yes", "no-no", or "round and round"?
AB - INTRODUCTION: Essential tremor (ET) is a common yet frequently misdiagnosed
movement disorder. One contributing factor may be the dearth of studies that
focus on the nuances of clinical phenomenology. A clinical feature that has
received relatively little attention is head tremor. Indeed, there is no
consensus regarding the predominant direction of head tremor in ET, and no study
has examined the clinical correlates of directionality. METHODS: We identified 51
ET cases with head tremor enrolled in a clinical-epidemiological study of ET at
Columbia University. Each had a videotaped neurological examination. Videotapes
were viewed and coded by a movement disorders neurologist for head tremor
direction ("no-no", "yes-yes", or mixed) and continuity (continuous,
intermittent, or rare). Direction was correlated with a wide range of clinical
features. RESULTS: Fourteen cases (27.5%) had "no-no" tremor, 9 (17.6%) had "yes
yes" tremor, and 28 (54.9%) had a mixed tremor. Mixed and "yes-yes" cases were
older (p = 0.004) and had a longer tremor duration (p = 0.018) than "no-no"
cases. Tremor severity (arms) was higher for mixed cases than for "yes-yes" and
"no-no" cases (p = 0.04). More mixed cases had continuously present tremor while
more "no-no" cases had rare head tremor (p < 0.001). CONCLUSIONS: Head tremor in
ET seems to start as an infrequent tremor in one direction (esp. "no-no") and
becomes more frequent while acquiring additional directionality and a mixed
phenotype as the disease progresses. These findings add to our understanding of
the clinical spectrum of ET.
PMID- 26563988
TI - Soft Lithography Using Nectar Droplets.
AB - In spite of significant advances in replication technologies, methods to produce
well-defined three-dimensional structures are still at its infancy. Such a
limitation would be evident if we were to produce a large array of simple and,
especially, compound convex lenses, also guaranteeing that their surfaces would
be molecularly smooth. Here, we report a novel method to produce such structures
by cloning the 3D shape of nectar drops, found widely in nature, using
conventional soft lithography.The elementary process involves transfer of a thin
patch of the sugar solution coated on a glass slide onto a hydrophobic substrate
on which this patch evolves into a microdroplet. Upon the absorption of water
vapor, such a microdroplet grows linearly with time, and its final size can be
controlled by varying its exposure time to water vapor. At any stage of the
evolution of the size of the drop, its shape can be cloned onto a soft elastomer
by following the well-known methods of molding and cross-linking the same. A
unique new science that emerges in our attempt to understand the transfer of the
sugar patch and its evolution to a spherical drop is the elucidation of the
mechanics underlying the contact of a deformable sphere against a solid support
intervening a thin liquid film. A unique aspect of this work is to demonstrate
that higher level structures can also be generated by transferring even smaller
nucleation sites on the surface of the primary lenses and then allowing them to
grow by absorption of water vapor. What results at the end is either a well
controlled distribution of smooth hemispherical lenses or compound structures
that could have potential applications in the fundamental studies of contact
mechanics, wettability, and even in optics.
PMID- 26563989
TI - Coating Platinum Nanoparticles with Methyl Radicals: Effects on Properties and
Catalytic Implications.
AB - It was recently reported that the reaction of methyl radicals with Pt(0)
nanoparticles (NPs), prepared by the reduction of Pt(SO4)2 with NaBH4, is fast
and yields as the major product stable (Pt(0)-NPs)-(CH3)n and as side products,
in low yields, C2H6, C2H4, and some oligomers. We decided to study the effect of
this coating on the properties of the Pt(0)-NPs. The results show that the
coating can cover up to 75% of the surface Pt(0) atoms. The rate constant of the
reaction, k((.)CH3+Pt(0)-NPs), decreases with the increase in the surface
coverage, leading to competing reaction paths in the solution, which gradually
become dominant, affecting the composition of the products. The methyl coating
also affects the zeta potential, the UV spectra, and the electrocatalytic
reduction of water in the presence of the NPs. Thus, the results suggest that
binding alkyl radicals to Pt(0) surfaces might poison the NPs catalytic activity.
When the Pt(0)-NPs are prepared by the reduction of a different precursor salt,
PtCl6(2-), nearly no C2 H4 and oligomers are formed and the methyl coating covers
a larger percentage of the surface Pt(0) atoms. The difference is attributed to
the morphology of the Pt(0)-NPs: those prepared from Pt(SO4)2 are twinned
nanocrystals, whereas those prepared from PtCl6(2-) consist mostly of single
crystals. Thus, the results indicate that the side products, or most of them at
least, are formed on the twinned Pt(0) nanocrystal edges created between (111)
facets. In addition, the results show that Pt(0)-NPs react very differently
compared with other noble metals, for example, Au(0) and Ag(0); this difference
is attributed in part to the difference in the bond strength, (M(0)-NP)-CH3, and
should be considered in heterogeneous catalytic processes involving alkyl
radicals as intermediates.
PMID- 26563990
TI - Neural synchrony indexes impaired motor slowing after errors and novelty
following white matter damage.
AB - In humans, action errors and perceptual novelty elicit activity in a shared
frontostriatal brain network, allowing them to adapt their ongoing behavior to
such unexpected action outcomes. Healthy and pathologic aging reduces the
integrity of white matter pathways that connect individual hubs of such networks
and can impair the associated cognitive functions. Here, we investigated whether
structural disconnection within this network because of small-vessel disease
impairs the neural processes that subserve motor slowing after errors and novelty
(post-error slowing, PES; post-novel slowing, PNS). Participants with intact
frontostriatal circuitry showed increased right-lateralized beta-band (12-24 Hz)
synchrony between frontocentral and frontolateral electrode sites in the
electroencephalogram after errors and novelty, indexing increased neural
communication. Importantly, this synchrony correlated with PES and PNS across
participants. Furthermore, such synchrony was reduced in participants with
frontostriatal white matter damage, in line with reduced PES and PNS. The results
demonstrate that behavioral change after errors and novelty result from
coordinated neural activity across a frontostriatal brain network and that such
cognitive control is impaired by reduced white matter integrity.
PMID- 26563991
TI - Assessment of the cortisol awakening response: Expert consensus guidelines.
AB - The cortisol awakening response (CAR), the marked increase in cortisol secretion
over the first 30-45 min after morning awakening, has been related to a wide
range of psychosocial, physical and mental health parameters, making it a key
variable for psychoneuroendocrinological research. The CAR is typically assessed
from self-collection of saliva samples within the domestic setting. While this
confers ecological validity, it lacks direct researcher oversight which can be
problematic as the validity of CAR measurement critically relies on participants
closely following a timed sampling schedule, beginning with the moment of
awakening. Researchers assessing the CAR thus need to take important steps to
maximize and monitor saliva sampling accuracy as well as consider a range of
other relevant methodological factors. To promote best practice of future
research in this field, the International Society of Psychoneuroendocrinology
initiated an expert panel charged with (i) summarizing relevant evidence and
collective experience on methodological factors affecting CAR assessment and (ii)
formulating clear consensus guidelines for future research. The present report
summarizes the results of this undertaking. Consensus guidelines are presented on
central aspects of CAR assessment, including objective control of sampling
accuracy/adherence, participant instructions, covariate accounting, sampling
protocols, quantification strategies as well as reporting and interpreting of CAR
data. Meeting these methodological standards in future research will create more
powerful research designs, thus yielding more reliable and reproducible results
and helping to further advance understanding in this evolving field of research.
PMID- 26563992
TI - Effects of Psychiatric Disorders on Labor Market Outcomes: A Latent Variable
Approach Using Multiple Clinical Indicators.
AB - In this paper, we estimate the effect of psychiatric disorders on labor market
outcomes using a structural equation model with a latent index for mental
illness, an approach that acknowledges the continuous nature of psychiatric
disability. We also address the potential endogeneity of mental illness using an
approach proposed by Lewbel (2012) that relies on heteroscedastic covariance
restrictions rather than questionable exclusion restrictions for identification.
Data come from the US National Comorbidity Survey - Replication and the National
Latino and Asian American Study. We find that mental illness adversely affects
employment and labor force participation and also reduces the number of weeks
worked and increases work absenteeism. To assist in the interpretation of
findings, we simulate the labor market outcomes of individuals meeting diagnostic
criteria for mental disorder if they had the same mental health symptom profile
as individuals not meeting diagnostic criteria. We estimate potential gains in
employment for 3.5 million individuals, and reduction in workplace costs of
absenteeism of $21.6 billion due to the resultant improvement in mental health.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26563993
TI - Large diurnal temperature range increases bird sensitivity to climate change.
AB - Climate variability is changing on multiple temporal scales, and little is known
of the consequences of increases in short-term variability, particularly in
endotherms. Using mortality data with high temporal resolution of zebra finches
living in large outdoor aviaries (5 years, 359.220 bird-days), we show that
mortality rate increases almost two-fold per 1 degrees C increase in diurnal
temperature range (DTR). Interestingly, the DTR effect differed between two
groups with low versus high experimentally manipulated foraging costs, reflecting
a typical laboratory 'easy' foraging environment and a 'hard' semi-natural
environment respectively. DTR increased mortality on days with low minimum
temperature in the easy foraging environment, but on days with high minimum
temperature in the semi-natural environment. Thus, in a natural environment DTR
effects will become increasingly important in a warming world, something not
detectable in an 'easy' laboratory environment. These effects were particularly
apparent at young ages. Critical time window analyses showed that the effect of
DTR on mortality is delayed up to three months, while effects of minimum
temperature occurred within a week. These results show that daily temperature
variability can substantially impact the population viability of endothermic
species.
PMID- 26563994
TI - DNA Methylation Changes in the IGF1R Gene in Birth Weight Discordant Adult
Monozygotic Twins.
AB - Low birth weight (LBW) can have an impact on health outcomes in later life,
especially in relation to pre-disposition to metabolic disease. Several studies
suggest that LBW resulting from restricted intrauterine growth leaves a footprint
on DNA methylation in utero, and this influence likely persists into adulthood.
To investigate this further, we performed epigenome-wide association analyses of
blood DNA methylation using Infinium HumanMethylation450 BeadChip profiles in 71
adult monozygotic (MZ) twin pairs who were extremely discordant for birth weight.
A signal mapping to the IGF1R gene (cg12562232, p = 2.62 * 10(-8)), was
significantly associated with birth weight discordance at a genome-wide false
discovery rate (FDR) of 0.05. We pursued replication in three additional
independent datasets of birth weight discordant MZ pairs and observed the same
direction of association, but the results were not significant. However, a meta
analysis across the four independent samples, in total 216 birth-weight
discordant MZ twin pairs, showed a significant positive association between birth
weight and DNA methylation differences at IGF1R (random-effects meta-analysis p =
.04), and the effect was particularly pronounced in older twins (random-effects
meta-analysis p = .008, 98 older birth-weight discordant MZ twin pairs). The
results suggest that severe intra-uterine growth differences (birth weight
discordance >20%) are associated with methylation changes in the IGF1R gene in
adulthood, independent of genetic effects.
PMID- 26563995
TI - Bcl11b: A New Piece to the Complex Puzzle of Amyotrophic Lateral Sclerosis
Neuropathogenesis?
AB - Amyotrophic lateral sclerosis (ALS) is an idiopathic, fatal, neurodegenerative
disease of the human motor system. The pathogenesis of ALS is a topic of
fascinating speculation and experimentation, with theories revolving around
intracellular protein inclusions, mitochondrial structural issues, glutamate
excitotoxicity and free radical formation. This review explores the rationale for
the involvement of a novel protein, B-cell lymphoma/leukaemia 11b (Bcl11b) in
ALS. Bcl11b is a multifunctional zinc finger protein transcription factor. It
functions as both a transactivator and genetic suppressor, acting both directly,
binding to promoter regions, and indirectly, binding to promoter-bound
transcription factors. It has essential roles in the differentiation and growth
of various cells in the central nervous system, immune system, integumentary
system and cardiovascular system, to the extent that Bcl11b knockout mice are
incompatible with extra-uterine life. It also has various roles in pathology
including the suppression of latent retroviruses, thymic tumourigenesis and
neurodegeneration. In particular its functions in neurodevelopment, viral latency
and T-cell development suggest potential roles in ALS pathology.
PMID- 26563997
TI - Emotion regulation of fear and disgust: differential effects of reappraisal and
suppression.
AB - Although excessive fear has been central to traditional conceptualisations of the
anxiety disorders, recent research suggests that disgust may also play a role in
the development of some anxiety disorders. While dysregulation of emotion may
confer risk for the development of anxiety disorders, it remains unclear if there
are differences in the extent to which fear and disgust can be effectively
regulated. To fill this important gap in the literature, unselected participants
(N = 95) experienced fear or disgust via video exposure, and they were instructed
to employ either reappraisal or suppression to regulate their emotional
experience while viewing the videos. For those exposed to fear-relevant content,
change in emotional distress did not significantly differ between those that
suppressed and those that reappraised. However, significantly less emotional
distress was observed for those that reappraised compared to those that
suppressed when exposed to disgust-relevant content. Although physiological
arousal varied over time as a function of the emotional content of the videos, it
did not vary as a function of emotion regulation strategy employed. These
findings suggest that reappraisal may be especially effective in regulating
verbal distress when exposed to disgusting cues in the environment. The
implications of these findings for the treatment of anxiety disorders that are
characterised by excessive disgust reactions will be discussed.
PMID- 26563996
TI - The Crucial Involvement of Retinoid X Receptors in DDE Neurotoxicity.
AB - Dichlorodiphenyldichloroethylene (DDE) is a primary environmental and metabolic
degradation product of the pesticide dichlorodiphenyltrichloroethane (DDT). It is
one of the most toxic compounds belonging to organochlorines. DDE has never been
commercially produced; however, the parent pesticide DDT is still used in some
developing countries for disease-vector control of malaria. DDT and DDE remain in
the environment because these chemicals are resistant to degradation and
bioaccumulate in the food chain. Little is known, however, about DDE toxicity
during the early stages of neural development. The results of the present study
demonstrate that DDE induced a caspase-3-dependent apoptosis and caused the
global DNA hypomethylation in mouse embryonic neuronal cells. This study also
provided evidence for DDE-isomer-non-specific alterations of retinoid X receptor
alpha (RXRalpha)- and retinoid X receptor beta (RXRbeta)-mediated intracellular
signaling, including changes in the levels of the receptor mRNAs and changes in
the protein levels of the receptors. DDE-induced stimulation of RXRalpha and
RXRbeta was verified using selective antagonist and specific siRNAs. Co
localization of RXRalpha and RXRbeta was demonstrated using confocal microscopy.
The apoptotic action of DDE was supported at the cellular level through Hoechst
33342 and calcein AM staining experiments. In conclusion, the results of the
present study demonstrated that the stimulation of RXRalpha- and RXRbeta-mediated
intracellular signaling plays an important role in the propagation of DDE-induced
apoptosis during early stages of neural development.
PMID- 26563998
TI - Is standing postural control more impaired in young patients with hip
disarticulation than transfemoral amputation? A pilot study.
PMID- 26563999
TI - Personalizing cardiac regenerative therapy: At the heart of Pim1 kinase.
AB - During cardiac aging, DNA damage and environmental stressors contribute to
telomeric shortening and human cardiac progenitor cells acquire a senescent
phenotype that leads to decreased stem cell function. Reversion of this phenotype
through genetic modification is essential to advance regenerative therapy.
Studies in the cardiac specific overexpression and subcellular targeting of Pim1
kinase demonstrate its influence on regeneration, proliferation, survival,
metabolism and senescence. The cardioprotective effects of Pim1 modification can
be picked apart and enhanced by targeting the kinase to distinct subcellular
compartments, allowing for selection of specific phenotypic traits after
molecular modification. In this perspective, we examine the therapeutic
implications of Pim1 to encourage the personalization of cardiac regenerative
therapy.
PMID- 26564000
TI - TLR4/TIRAP polymorphisms are associated with progression and survival of patients
with symptomatic myeloma.
AB - Myeloma cells thrive in an environment of sustained inflammation, which impacts
the development and evolution of the disease, as well as drug resistance. We
evaluated the impact of genetic polymorphisms in the Toll-like receptor 4 (TLR4)
pathway, which have been implicated in different inflammatory responses in the
outcomes of patients with symptomatic multiple myeloma (MM) who have received
contemporary therapies. We found that the presence of single nucleotide
polymorphisms (SNPs) in both the TLR4 and toll/interleukin-1 receptor (TIR)
associated protein (TIRAP) genes was associated with lower response to primary
therapy mainly for patients who received immunomodulatory drugs but not in
patients treated with bortezomib-based therapies. Furthermore, TIRAP SNP was
associated with a significantly shorter progression-free survival and overall
survival, independently of other prognostic factors, such as age, transplant,
International Staging System stage, lactate dehydrogenase and cytogenetics. This
is the first study to demonstrate the effect of SNPs in TLR4/TIRAP in MM. Our
data indicate that genetic variability in the immune system may be associated
with different responses to antimyeloma therapies and may be a critical component
affecting the natural history of the disease, providing the basis for further
investigation of the role of these pathways in myeloma.
PMID- 26564001
TI - Prevalence and underdiagnosis of airway obstruction among middle-aged adults in
northern France: The ELISABET study 2011-2013.
AB - INTRODUCTION: Airway obstruction (AO), mainly due to chronic obstructive
pulmonary disease (COPD) in adults, is a major cause of mortality and poor
quality of life. However, few data are available for France. This study was
designed to calculate the prevalence AO among middle-aged adults in northern
France, explore the associated risk factors and evaluate the underdiagnosis.
METHODS: The Enquete Littoral Souffle Air Biologie Environnement (ELISABET) was a
cross-sectional study of a representative sample of 3276 adults aged from 40 to
64 in two urban areas in northern France (Lille and Dunkirk). Participants filled
out a questionnaire and performed spirometry testing, without a reversibility
test. RESULTS: The age-standardized estimated prevalence [95% confidence
interval] of AO was 16.0% [13.9; 17.9] in Lille and 13.7% [11.7; 15.7] in Dunkirk
with the Global initiative for chronic Obstructive Lung Disease (GOLD) definition
and 10.8% [9.2; 12.5] and 9.5% [7.9; 11.2] respectively with the lower limit of
normal calculated with the Global Lung Initiative (GLI) 2012 equations. AO was
associated with age, male gender, tobacco consumption and low body mass index.
The underdiagnosis rate was greater than 70%. Previously undiagnosed participants
with AO displayed more respiratory symptoms compared with participants without AO
and less than participants with previously diagnosed AO. CONCLUSION: The
prevalence of AO in northern France ranged from 9.5 to 16.0%, depending on the
centre and definition used. The high underdiagnosis rate observed here suggests
that greater efforts should be made to identify individuals presenting with the
symptoms and/or risk factors associated with AO.
PMID- 26564002
TI - Synthesis of urea in cometary model ices and implications for Comet 67P/Churyumov
Gerasimenko.
AB - Urea is considered a fundamental building block in prebiotic chemistry. Its
formation on early Earth has not yet been explained satisfactorily and exogenous
delivery has been considered. We report on the synthesis along with the first
online and in situ identification of urea after exposing inorganic ices to
ionizing radiation.
PMID- 26564003
TI - Elevated levels of endothelial-derived microparticles, and serum CXCL9 and SCGF
beta are associated with unstable asymptomatic carotid plaques.
AB - Endothelial microparticles (EMPs) are released from dysfunctional endothelial
cells. We hypothesised that patients with unstable carotid plaque have higher
levels of circulating microparticles compared to patients with stable plaques,
and may correlate with serum markers of plaque instability and inflammation.
Circulating EMPs, platelet MPs (PMPs) and inflammatory markers were measured in
healthy controls and patients undergoing carotid endarterectomy. EMP/PMPs were
quantified using flow cytometry. Bioplex assays profiled systemic inflammatory
and bone-related proteins. Immunohistological analysis detailed the contribution
of differentially-regulated systemic markers to plaque pathology. Alizarin red
staining showed calcification. EMPs and PMPs were significantly higher in
patients with carotid stenosis (>= 70%) compared to controls, with no differences
between asymptomatic vs symptomatic patients. Asymptomatic patients with unstable
plaques exhibited higher levels of EMPs, CXCL9 and SCGF-beta compared to those
with stable plaques. CXCL9, and SCGF-beta were detected within all plaques,
suggesting a contribution to both localised and systemic inflammation.
Osteopontin and osteoprotegerin were significantly elevated in the symptomatic vs
asymptomatic group, while osteocalcin was higher in asymptomatic patients with
stable plaque. All plaques exhibited calcification, which was significantly
greater in asymptomatic patients. This may impact on plaque stability. These data
could be important in identifying patients at most benefit from intervention.
PMID- 26564004
TI - Lobular neoplasia detected in MRI-guided core biopsy carries a high risk for
upgrade: a study of 63 cases from four different institutions.
AB - There are certain criteria to recommend surgical excision for lobular neoplasia
diagnosed in mammographically detected core biopsy. The aims of this study are to
explore the rate of upgrade of lobular neoplasia detected in magnetic resonance
imaging (MRI)-guided biopsy and to investigate the clinicopathological and
radiological features that could predict upgrade. We reviewed 1655 MRI-guided
core biopsies yielding 63 (4%) cases of lobular neoplasia. Key clinical features
were recorded. MRI findings including mass vs non-mass enhancement and the reason
for biopsy were also recorded. An upgrade was defined as the presence of invasive
carcinoma or ductal carcinoma in situ in subsequent surgical excision. The
overall rate of lobular neoplasia in MRI-guided core biopsy ranged from 2 to 7%,
with an average of 4%. A total of 15 (24%) cases had an upgrade, including 5
cases of invasive carcinoma and 10 cases of ductal carcinoma in situ. Pure
lobular neoplasia was identified in 34 cases, 11 (32%) of which had upgrade. In
this group, an ipsilateral concurrent or past history of breast cancer was found
to be associated with a higher risk of upgrade (6/11, 55%) than contralateral
breast cancer (1 of 12, 8%; P=0.03). To our knowledge, this is the largest series
of lobular neoplasia diagnosed in MRI-guided core biopsy. The incidence of
lobular neoplasia is relatively low. Lobular neoplasia detected in MRI-guided
biopsy carries a high risk for upgrade warranting surgical excision. However,
more cases from different types of institutions are needed to verify our results.
PMID- 26564005
TI - Targeted genomic sequencing of follicular dendritic cell sarcoma reveals
recurrent alterations in NF-kappaB regulatory genes.
AB - Follicular dendritic cell sarcoma is a rare mesenchymal neoplasm with a variable
and unpredictable clinical course. The genetic alterations that drive
tumorigenesis in follicular dendritic cell sarcoma are largely unknown. One
recent study performed BRAF sequencing and found V600E mutations in 5 of 27 (19%)
cases. No other recurrent genetic alterations have been reported. The aim of the
present study was to identify somatic alterations in follicular dendritic cell
sarcoma by targeted sequencing of a panel of 309 known cancer-associated genes.
DNA was isolated from formalin-fixed paraffin-embedded tissue from 13 cases of
follicular dendritic cell sarcoma and submitted for hybrid capture-based
enrichment and massively parallel sequencing with the Illumina HiSeq 2500
platform. Recurrent loss-of-function alterations were observed in tumor
suppressor genes involved in the negative regulation of NF-kappaB activation (5
of 13 cases, 38%) and cell cycle progression (4 of 13 cases, 31%). Loss-of
function alterations in the NF-kappaB regulatory pathway included three cases
with frameshift mutations in NFKBIA and two cases with bi-allelic loss of CYLD.
Both cases with CYLD loss were metastases and carried concurrent alterations in
at least one cell cycle regulatory gene. Alterations in cell cycle regulatory
genes included two cases with bi-allelic loss of CDKN2A, one case with bi-allelic
loss of RB1, and one case with a nonsense mutation in RB1. Last, focal copy
number gain of chromosome 9p24 including the genes CD274 (PD-L1) and PDCD1LG2 (PD
L2) was noted in three cases, which represents a well-described mechanism of
immune evasion in cancer. These findings provide the first insight into the
unique genomic landscape of follicular dendritic cell sarcoma and suggest shared
mechanisms of tumorigenesis with a subset of other tumor types, notably B-cell
lymphomas.
PMID- 26564006
TI - Concomitant loss of SMARCA2 and SMARCA4 expression in small cell carcinoma of the
ovary, hypercalcemic type.
AB - Small cell carcinoma of the ovary, hypercalcemic type is an aggressive tumor
generally affecting young women with limited treatment options. Mutations in
SMARCA4, a catalytic subunit of the SWI/SNF chromatin remodeling complex, have
recently been identified in nearly all small cell carcinoma of the ovary,
hypercalcemic type cases and represent a signature molecular feature for this
disease. Additional biological dependencies associated with small cell carcinoma
of the ovary, hypercalcemic type have not been identified. SMARCA2, another
catalytic subunit of the SWI/SNF complex mutually exclusive with SMARCA4, is
thought to be post-translationally silenced in various cancer types. We analyzed
10 archival small cell carcinoma of the ovary, hypercalcemic type cases for
SMARCA2 protein expression by immunohistochemistry and found that SMARCA2
expression was lost in all but one case. None of the 50 other tumors that
primarily or secondarily involved the ovary demonstrated concomitant loss of
SMARCA2 and SMARCA4. Deep sequencing revealed that this loss of SMARCA2
expression is not the result of mutational inactivation. In addition, we
established a small cell carcinoma of the ovary, hypercalcemic type patient
derived xenograft and confirmed the loss of SMARCA2 in this in vitro model. This
patient-derived xenograft model, established from a recurrent tumor, also had
unexpected mutational features for this disease, including functional mutations
in TP53 and POLE. Taken together, our data suggest that concomitant loss of
SMARCA2 and SMARCA4 is another hallmark of small cell carcinoma of the ovary,
hypercalcemic type-a finding that offers new opportunities for therapeutic
interventions.
PMID- 26564007
TI - Gliomatosis peritonei: a clinicopathologic and immunohistochemical study of 21
cases.
AB - Gliomatosis peritonei, a rare condition often associated with immature ovarian
teratoma, is characterized by the presence of mature glial tissue in the
peritoneum. We retrospectively evaluated 21 patients with gliomatosis peritonei
and studied their clinicopathologic features and immunophenotype. The patients'
ages ranged from 5 to 42 years (median, 19 years). Their primary ovarian tumors
consisted of immature teratoma (n=14), mixed germ cell tumors (n=6), and mature
teratoma with a carcinoid tumor (n=1). Gliomatosis peritonei was diagnosed at the
same time as primary ovarian neoplasm in 16 patients and secondary surgery in 5
patients. Also, 11 of 21 patients had metastatic immature teratoma (n=4),
metastatic mature teratoma (n=2), or both (n=5). One patient developed glioma
arising from gliomatosis peritonei. Seventeen patients had follow-up information
and were alive with no evidence of disease (n=13), alive with disease (n=3), or
alive with an unknown disease status (n=1). The follow-up durations ranged from 1
to 229 months (mean, 49 months; median, 23 months). Immunohistochemistry results
demonstrated that SOX2 was expressed in all cases of gliomatosis peritonei and
glioma with tissue available (nine of nine cases), whereas OCT4 and NANOG were
negative in all cases with available tissue (eight of eight cases). In
conclusion, both gliomatosis peritonei and glioma arising from it show a
SOX2+/OCT4-/NANOG- immunophenotype. These findings demonstrated that gliomatosis
peritonei is associated with favorable prognosis, although it is important to
rule out potentially associated immature teratoma and malignant transformation.
SOX2 may have an important role in the development of gliomatosis peritonei.
PMID- 26564008
TI - Measurement properties of adult quality-of-life measurement instruments for
eczema: a systematic review.
AB - BACKGROUND: The Harmonising Outcome Measures for Eczema (HOME) initiative has
identified quality of life (QoL) as a core outcome domain to be evaluated in
every eczema trial. It is unclear which of the existing QoL instruments is most
appropriate for this domain. Thus, the aim of this review was to systematically
assess the measurement properties of existing measurement instruments developed
and/or validated for the measurement of QoL in adult eczema. METHODS: We
conducted a systematic literature search in PubMed and Embase identifying studies
on measurement properties of adult eczema QoL instruments. For all eligible
studies, we assessed the adequacy of the measurement properties and the
methodological quality with the COnsensus-based Standards for the selection of
health Measurement INstruments (COSMIN) checklist. A best evidence synthesis
summarizing findings from different studies was the basis to assign four degrees
of recommendation (A-D). RESULTS: A total of 15 articles reporting on 17
instruments were included. No instrument fulfilled the criteria for category A.
Six instruments were placed in category B, meaning that they have the potential
to be recommended depending on the results of further validation studies. Three
instruments had poor adequacy in at least one required adequacy criterion and
were therefore put in category C. The remaining eight instruments were minimally
validated and were thus placed in category D. CONCLUSIONS: Currently, no QoL
instrument can be recommended for use in adult eczema. The Quality of Life Index
for Atopic Dermatitis (QoLIAD) and the Dermatology Life Quality Index (DLQI) are
recommended for further validation research.
PMID- 26564009
TI - Changes in Food Choices of Participants in the Special Diabetes Program for
Indians-Diabetes Prevention Demonstration Project, 2006-2010.
AB - INTRODUCTION: American Indians/Alaska Natives (AI/ANs) have a disproportionately
high rate of type 2 diabetes. Changing food choices plays a key role in
preventing diabetes. This study documented changes in the food choices of AI/ANs
with diagnosed prediabetes who participated in a diabetes prevention program.
METHODS: The Special Diabetes Program for Indians-Diabetes Prevention
Demonstration Project implemented the evidence-based Diabetes Prevention Program
(DPP) lifestyle intervention in 36 health care programs nationwide, engaging 80
AI/AN communities. At baseline, at 30 days post-curriculum, and at the first
annual assessment, participants completed a sociodemographic survey and 27-item
food frequency questionnaire and underwent a medical examination assessing
fasting blood glucose (FBG), blood pressure, body mass index (BMI), low-density
lipoprotein [LDL], high-density lipoprotein [HDL], and triglycerides. Multiple
linear regressions were used to assess the relationship between temporal changes
in food choice and other diabetes risk factors. RESULTS: From January 2006 to
July 2010, baseline, post-curriculum, and first annual assessments were completed
by 3,135 (100%), 2,046 (65%), and 1,480 (47%) participants, respectively. An
increase in healthy food choices was associated initially with reduced
bodyweight, BMI, FBG, and LDL and increased physical activity. At first annual
assessment, the associations persisted between healthy food choices and
bodyweight, BMI, and physical activity. CONCLUSION: AI/AN adults from various
tribal and urban communities participating in this preventive intervention made
sustained changes in food choices and had reductions in diabetes risk factors.
The outcomes demonstrate the feasibility and effectiveness of translating the DPP
lifestyle intervention to community-based settings.
PMID- 26564010
TI - Consumption of Alcoholic Beverages and Liquor Consumption by Michigan High School
Students, 2011.
AB - INTRODUCTION: Excessive alcohol consumption was responsible for approximately
4,300 annual deaths in the United States among people younger than 21 from 2006
through 2010. Underage drinking cost the United States $24.6 billion in 2006.
Previous studies have shown that liquor is the most common type of alcohol
consumed by high school students. However, little is known about the types of
liquor consumed by youth or about the mixing of alcohol with energy drinks.
METHODS: The 2011 Michigan Youth Tobacco Survey was used to assess usual alcohol
beverage consumption and liquor consumption and the mixing of alcohol with energy
drinks by Michigan high school students. Beverage preferences were analyzed by
demographic characteristics and drinking patterns. RESULTS: Overall, 34.2% of
Michigan high school students consumed alcohol in the past month, and 20.8%
reported binge drinking. Among current drinkers, liquor was the most common type
of alcohol consumed (51.2%), and vodka was the most prevalent type of liquor
consumed by those who drank liquor (53.0%). The prevalence of liquor consumption
was similar among binge drinkers and nonbinge drinkers, but binge drinkers who
drank liquor were significantly more likely than nonbinge drinkers to consume
vodka and to mix alcohol with energy drinks (49.0% vs 18.2%, respectively).
CONCLUSIONS: Liquor is the most common type of alcoholic beverage consumed by
Michigan high school students; vodka is the most common type of liquor consumed.
Mixing alcohol and energy drinks is common, particularly among binge drinkers.
Community Guide strategies for reducing excessive drinking (eg, increasing
alcohol taxes) can reduce underage drinking.
PMID- 26564011
TI - Association Between Student Body Mass Index and Access to Sports Drinks in
Minnesota Secondary Schools, 2012-2013.
AB - This ecologic study evaluated the association between school policy allowing
students to purchase sports drinks from school vending machines and school stores
and student body mass index (BMI). Data were from surveillance surveys of
Minnesota secondary schools (n = 238) and students (n = 59,617), administered in
2012 and 2013, respectively. We used generalized linear models to assess the
association between policies and mean age- and sex-adjusted BMI percentile. In
adjusted multivariate analysis, school policy was positively associated with BMI
percentile (P = .005). School policy restricting student access to sports drinks
at school may contribute to decreasing consumption of sport drinks among school
aged youth and improving student weight outcomes in this population.
PMID- 26564012
TI - Health Promotion Interventions for Low-Income Californians Through Medi-Cal
Managed Care Plans, 2012.
AB - INTRODUCTION: Prevention is the most cost-effective approach to promote
population health, yet little is known about the delivery of health promotion
interventions in the nation's largest Medicaid program, Medi-Cal. The purpose of
this study was to inventory health promotion interventions delivered through Medi
Cal Managed Care Plans; identify attributes of the interventions that plans
judged to have the greatest impact on their members; and determine the extent to
which the plans refer members to community assistance programs and sponsor health
promoting community activities. METHODS: The lead health educator from each
managed care plan was asked to complete a 190-item online survey in January 2013;
20 of 21 managed care plans responded. Survey data on the health promotion
interventions with the greatest impact were grouped according to intervention
attributes and measures of effectiveness; quantitative data were analyzed using
descriptive statistics. RESULTS: Health promotion interventions judged to have
the greatest impact on Medi-Cal members were delivered in various ways;
educational materials, one-on-one education, and group classes were delivered
most frequently. Behavior change, knowledge gain, and improved disease management
were cited most often as measures of effectiveness. Across all interventions,
median educational hours were limited (2.4 h), and median Medi-Cal member
participation was low (265 members per intervention). Most interventions with
greatest impact (120 of 137 [88%]) focused on tertiary prevention. There were
mixed results in referring members to community assistance programs and investing
in community activities. CONCLUSION: Managed care plans have many opportunities
to more effectively deliver health promotion interventions. Establishing
measurable, evidence-based, consensus standards for such programs could
facilitate improved delivery of these services.
PMID- 26564013
TI - Systematic Review of Programs Treating High-Need and High-Cost People With
Multiple Chronic Diseases or Disabilities in the United States, 2008-2014.
AB - INTRODUCTION: Finding ways to provide better and less expensive health care for
people with multiple chronic conditions or disability is a pressing concern. The
purpose of this systematic review was to evaluate different approaches for caring
for this high-need and high-cost population. METHODS: We searched Medline for
articles published from May 31, 2008, through June 10, 2014, for relevant
studies. Articles were considered eligible for this review if they met the
following criteria: included people with multiple chronic conditions (behavioral
or mental health) or disabilities (2 or more); addressed 1 or more of clinical
outcomes, health care use and spending, or patient satisfaction; and compared
results from an intervention group with a comparison group or baseline
measurements. We extracted information on program characteristics, participant
characteristics, and significant (positive and negative) clinical findings,
patient satisfaction, and health care use outcomes. For each outcome, the number
of significant and positive results was tabulated. RESULTS: Twenty-seven studies
were included across 5 models of care. Of the 3 studies reporting patient
satisfaction outcomes, 2 reported significant improvements; both were randomized
controlled trials (RCTs). Of the 14 studies reporting clinical outcomes, 12
reported improvements (8 were RCTs). Of the 13 studies reporting health care use
and spending outcomes, 12 reported significant improvements (2 were RCTs). Two
models of care - care and case management and disease management - reported
improvements in all 3 outcomes. For care and case management models, most
improvements were related to health care use. For the disease management models,
most improvements were related to clinical outcomes. CONCLUSIONS: Care and case
management as well as disease management may be promising models of care for
people with multiple chronic conditions or disabilities. More research and
consistent methods are needed to understand the most appropriate care for these
high-need and high-cost patients.
PMID- 26564014
TI - Caring for People With Multiple Chronic Conditions.
PMID- 26564015
TI - Formulation Development, Physicochemical Characterization and In Vitro-In Vivo
Drug Release of Vaginal Films.
AB - PURPOSE: The purpose of this study was formulation and optimization of vaginal
film formulation containing abacavir (ABC), a potent nucleoside reverse
transcriptase inhibitor. METHODS: Vaginal films were prepared by solvent
evaporation method using hydroxypropyl methylcellulose (HPMC) blended with
polyvinyl pyrrolidone (PVP). Various physicochemical parameters of the prepared
films such as drug content, thickness, tensile strength, percentage elongation at
break, drug polymer interaction, swelling capacity, folding endurance, bio
adhesion, pH, and moisture content were evaluated with morphological studies. In
vitro release study and in vivo release study were also performed. RESULTS: Films
exhibited favorable physicochemical properties. The in vitro study showed that
HPMC-PVP combination can control the release of abacavir through vaginal films
with higher amount of PVP in the formulation resulting in an enhanced drug
release rate. During the in vivo study in rabbits, systemic absorption of the
drug was noted and the films remained intact for long in vagina without causing
any sort of irritations. CONCLUSION: Thus, in a nutshell, the findings of our
experimental work indicate that such films can be considered as a novel drug
carrier system for the treatment of AIDS and other sexually transmitted diseases
(STDs), and are suitable for local as well as systemic effects.
PMID- 26564016
TI - Monochorionic quadramniotic and triamniotic pregnancies following single embryo
transfers: two case reports and a review of the literature.
AB - PURPOSE: The purpose of this study is to report two cases of monozygotic
quadruplet and triplet pregnancies following single embryo transfer (ET).
METHODS: A 29-year-old woman and a 34-year-old woman underwent ART treatment in
two affiliated University based ART units. The first woman underwent ICSI with
day 3 embryo biopsy for pre-implantation genetic diagnosis (PGD) followed by day
4 transfer, which resulted in a monochorionic quadramniotic (MCQA) quadruplet
pregnancy. The second woman underwent conventional IVF with transfer of a single
blastocyst, which resulted in a monochorionic triamniotic (MCTA) triplet
pregnancy. RESULTS: The first patient underwent successful selective foetal
reduction at 16 + 3 and 17 + 4 weeks of gestation. Two healthy twin girls were
delivered by elective caesarean section at 35 + 6 weeks of gestation. The second
patient underwent successful selective foetal reduction at 14 + 1 weeks of
gestation. The remaining monochorionic diamniotic (MCDA) twins are well at the
time of writing this article. CONCLUSIONS: To our knowledge, these cases
represent the first case of viable MCQA pregnancy following single ET in the
world and the third case of a viable MCTA pregnancy following conventional IVF
with single ET. Several factors including blastocyst stage transfer and zona
pellucida manipulation have been thought to contribute to monozygotic twinning in
the context of ART. These two cases add to the growing literature of monozygotic
multiple pregnancies following ART.
PMID- 26564017
TI - The prevalence of hepatitis A virus and parvovirus B19 in source-plasma donors
and whole blood donors in China.
AB - AIMS: To compare the prevalence of hepatitis A virus (HAV) and human parvovirus
B19 (B19V) between source-plasma (SP) donors and whole blood (WB) donors.
BACKGROUND: In China, source plasma is in severe shortage while plasma recovered
from WB is in surplus. Thus, the government is considering transferring the
recovered plasma (RP) to produce plasma derivatives. HAV and B19V are two
pathogens threatening the safety of plasma-based derivatives. However, there is
no data about if transferring of the RP to produce plasma derivatives will
increase the risk of HAV and B19V infection. Thus, we compared the prevalence of
HAV and B19V between SP donors and WB donors in this study. METHODS: A total of
5030 samples from SP donors and 5040 samples from WB donors were collected. All
the samples were tested for HAV RNA and B19V DNA and tested for HAV IgM by enzyme
linked immunosorbent assay (ELISA). RESULTS: The prevalence of B19V DNA was 0.06%
(95% confidence interval (CI), 0-0.09%) in WB donors and 0.079% (95% CI, 0-0.12%)
in SP donors, respectively. No significant difference was found in the prevalence
of B19V DNA between SP donors and WB donors. The prevalence of anti-HAV IgM in SP
donors was 0.079% whereas no WB donor sample was found anti-HAV IgM reactive.
CONCLUSIONS: The transfer of RP to producing plasma derivatives will not increase
the risk of transmission of HAV and B19 through plasma products.
PMID- 26564018
TI - Treatment for dysphagia (swallowing difficulties) in hereditary ataxia.
AB - BACKGROUND: Hereditary ataxias are a heterogeneous group of disorders resulting
in progressive inco-ordination. Swallowing impairment, also known as dysphagia,
is a common and potentially life threatening sequel of disease progression. The
incidence and nature of dysphagia in these conditions is largely unknown. The
loss of an effective and safe swallow can dramatically affect the health and well
being of an individual. Remediation of difficulties of eating and drinking is an
important goal in the clinical care of people with hereditary ataxia. OBJECTIVES:
To assess the effects of interventions for swallowing impairment (dysphagia) in
people with hereditary ataxias. SEARCH METHODS: We searched the Cochrane
Neuromuscular Disease Group Specialized Register, the Cochrane Central Register
of Controlled Trials (CENTRAL), MEDLINE, EMBASE, CINAHL Plus, PsycINFO, and the
Education Resources Information Center (ERIC) on 14 September 2015. We also
searched Linguistics and Language Behavior Abstracts (LLBA), Dissertation
Abstracts, and Trials Registries on 24 September 2015. SELECTION CRITERIA: We
considered all randomised controlled trials (RCTs) and quasi-RCTs that compared
treatments for hereditary ataxia with placebo or no treatment. We only included
studies measuring dysphagia. DATA COLLECTION AND ANALYSIS: Three review authors
(ES, KJ, MK) independently screened all titles and abstracts. In the event of any
disagreement or uncertainty over the inclusion of a particular paper, the review
authors planned to meet and reach consensus. MAIN RESULTS: We identified no RCTs
from the 519 titles and abstracts screened. We excluded papers primarily for not
including participants with a hereditary ataxia (that is, being focused on other
neurological conditions), being theoretical reviews rather than intervention
studies, or being neither randomised nor quasi-randomised trials.We identified
five papers of various design that described treatment for dysphagia, or
improvement to swallow as a by-product of treatment, in people with hereditary
ataxia. None of these studies were RCTs or quasi-RCTs. AUTHORS' CONCLUSIONS:
There is an absence of any significant evidence supporting the use of any
dysphagia intervention in hereditary ataxia. The lack of evidence highlights the
critical need for well-controlled treatment trials in the field.
PMID- 26564019
TI - Longitudinal associations between conduct problems and depressive symptoms among
girls and boys with early conduct problems.
AB - Youth with conduct problems (CP) may experience high rates of depressive symptoms
(DS). However, little is known about the direction of the longitudinal
associations between CP and DS in this specific population. Although girls with
CP appear at greater risk than boys for presenting comorbid depression, empirical
research on gender differences in these associations is even sparser. The current
study used autoregressive latent trajectory models to compare four perspectives
with hypotheses regarding the longitudinal associations between CP and DS, while
taking into account the evolution of both problems. We also examined gender
differences in the longitudinal associations. A total of 345 children (40.6 %
female) presenting with a high level of CP in early elementary school (mean age
at study inception = 8.52; SD = .94) were evaluated annually over a four-year
period (5 measurement time points). The results revealed that CP and DS were
quite stable over time. Moreover, CP and DS showed strong covariation at each
measurement time point, but only one significant positive cross-lagged
association between the two processes, indicating that higher levels of DS at
time 3 were associated with higher levels of CP 1 year later. No differences were
observed in the longitudinal associations between CP and DS in boys and girls.
Given the comorbidity and stability of CP and DS, these findings suggest that DS
should be systematically evaluated among children with early clinically
significant CP, and treatment plans should include interventions aimed at both CP
and DS among children who present with both types of problems.
PMID- 26564021
TI - Transdiagnostic heterogeneity, hierarchical dimensional models, and societal,
cultural, and individual differences in the developmental understanding of
psychopathology.
PMID- 26564020
TI - Effect of socioeconomic status on psychosocial problems in 5- to 6-year-old
preterm- and term-born children: the ABCD study.
AB - This study aimed at analysing the association between socioeconomic status (SES)
and psychosocial problems in preterm- and term-born children. Scores of mothers
and teachers on the Strengths and Difficulties Questionnaire (SDQ) regarding 217
preterm-born children (<37 weeks' gestation, mean 34 weeks) were compared with
4336 term-born children in the Amsterdam Born Children and their Development
(ABCD) cohort at age 5-6 years. Associations between SDQ scores and SES (maternal
education and perceived income adequacy) were examined with multivariate linear
regression analysis. The mean mother-reported total difficulties score was
significantly higher for preterm children (6.1 +/- 4.7) than for term children
(5.2 +/- 4.1). After covariate adjustment, this difference was 0.5 (95 % CI 0.0
1.0). For preterm children 16.1 % of the mothers reported psychosocial problems
compared with 10.1 % for term children. Lower maternal education and lower income
adequacy were significantly related to higher SDQ scores of mothers and teachers.
Differences in mothers' SDQ score between preterm and term children were larger
in the high-education (Delta0.9, 95 % CI 0.2-1.5) and high-income group
(Delta0.9, 95 % CI 0.3-1.6). No significant differences were found between
preterm and term children in the SDQ scores reported by teachers. Low level of
maternal education and inadequate income showed a much stronger association with
psychosocial problems than preterm birth. No combined effect of low SES and
preterm birth was found. This study corroborates the evidence for the strength of
the disadvantageous effects of low SES on early psychosocial development.
PMID- 26564022
TI - A biomechanical approach to distal radius fractures for the emergency
radiologist.
AB - Distal radius fractures are the most common upper extremity fracture and account
for approximately one sixth of all fractures treated in US emergency departments.
These fractures are associated with significant morbidity and have a major
economic impact. Radiographic evaluation of distal radius fractures is frequently
performed in the emergency department setting, has a profound impact on initial
management, and is essential to assessing the quality and relative success of the
initial reduction. While the most appropriate definitive management of distal
radius fractures remains controversial, overarching treatment principles reflect
distal radius injury mechanisms and biomechanics. An intuitive understanding of
the biomechanics of the distal radius and of common mechanisms of injury informs
and improves the emergency radiologist's ability to identify key imaging findings
with important management implications and to communicate the critical
information that emergency physicians and orthopedic surgeons need to best manage
distal radius fractures.
PMID- 26564023
TI - Quality of life of patients with fibrodysplasia ossificans progressiva.
AB - INTRODUCTION: Fibrodysplasia ossificans progressiva (FOP) is a rare disorder
characterized by episodes of acute pain and heterotopic ossification of soft
tissue, and progressively limited physical function and social participation.
OBJECTIVE: We aimed to determine the impact of FOP on quality of life, specifying
areas or dimensions most affected. MATERIALS AND METHODS: This was a transverse
observational study; patients with FOP were assessed using the Short Form 36.
Questionnaire results were obtained using Quality Metric software and analyzed
using frequency distribution, percentages and measures of central tendency.
RESULTS: Eight patients, mean age 30.2 years, were included. The physical
dimension was the most affected, with an average of 25.5 points. The most
representative items were impaired function and physical role. Physical pain was
found with an average of 44.5 points. The best scores were reported in the areas
of emotional role and mental health, with an average of 79 and 76 respectively.
CONCLUSIONS: FOP is a severely disabling disease, generating a significant
deterioration in quality of life secondary to progressive deterioration in
physical abilities. The findings of this study demonstrate good self-rated health
of participants.
PMID- 26564025
TI - Impact of glomerular filtration rate in colchicine toxicity.
PMID- 26564024
TI - Cinacalcet, dialysate calcium concentration, and cardiovascular events in the
EVOLVE trial.
AB - Among patients receiving hemodialysis, abnormalities in calcium regulation have
been linked to an increased risk of cardiovascular events. Cinacalcet lowers
serum calcium concentrations through its effect on parathyroid hormone secretion
and has been hypothesized to reduce the risk of cardiovascular events. In
observational cohort studies, prescriptions of low dialysate calcium
concentration and larger observed serum-dialysate calcium gradients have been
associated with higher risks of in-dialysis facility or peri-dialytic sudden
cardiac arrest. We performed this study to examine the risks associated with
dialysate calcium and serum-dialysate gradients among participants in the
Evaluation of Cinacalcet Hydrochloride Therapy to Lower Cardiovascular Events
(EVOLVE) trial. In EVOLVE, 3883 hemodialysis patients were randomized 1:1 to
cinacalcet or placebo. Dialysate calcium was administered at the discretion of
treating physicians. We examined whether baseline dialysate calcium concentration
or the serum-dialysate calcium gradient modified the effect of cinacalcet on the
following adjudicated endpoints: (1) primary composite endpoint (death or first
non-fatal myocardial infarction, hospitalization for unstable angina, heart
failure, or peripheral vascular event); (2) cardiovascular death; and (3) sudden
death. In EVOLVE, use of higher dialysate calcium concentrations was more
prevalent in Europe and Latin America compared with North America. There was a
significant fall in serum calcium concentration in the cinacalcet group;
dialysate calcium concentrations were changed infrequently in both groups. There
was no association between baseline dialysate calcium concentration or serum
dialysate calcium gradient and the endpoints examined. Neither the baseline
dialysate calcium nor the serum-dialysate calcium gradient significantly modified
the effects of cinacalcet on the outcomes examined. The effects of cinacalcet on
cardiovascular death and major cardiovascular events are not altered by the
dialysate calcium prescription and serum-dialysate calcium gradient.
PMID- 26564026
TI - Successful cutting balloon angioplasty in a child with resistant renal artery
stenosis.
AB - BACKGROUND: Although renovascular hypertension is a rare disease, it is
associated with 5-10% of cases of childhood hypertension. It is a potentially
treatable cause of hypertension, and is often caused by renal artery stenosis
(RAS). The most common cause of RAS in children is fibromuscular dysplasia (FMD).
The options for treating RAS depend on the location, severity and abnormality
underlying the condition. CASE PRESENTATION: A previously healthy 7-year-old
Korean boy presented to our clinic with hypertension and headache. Renal
ultrasonography and multi-detector computed tomography (MDCT) showed severe focal
stenosis at the middle portion of the left renal artery (LRA) and multiple
collateral vessels. Percutaneous balloon angioplasty was performed as an initial
treatment, but yielded unsatisfactory results. The presence of intimal-type FMD
was suspected based on his clinical features, angiographic appearance, and
resistance to percutaneous transluminal renal angioplasty. Thereafter, his blood
pressure was normalized using antihypertensive medication. Follow-up multi
detector computed tomography at 11 years of age showed persistent severe stenosis
of the LRA. After unsuccessful attempts to perform balloon angioplasty, 3.5-mm
cutting balloon angioplasty (CBA) was performed and yielded satisfactory results.
He was discharged without any medication. At 1 year and 6 months after the
intervention, he has been normotensive and had not required any antihypertensive
medication. CONCLUSION: The author describes a case of resistant RAS that was
detected on MDCT and successfully treated using percutaneous (CBA). Although
cutting balloon angioplasty is useful in many clinical conditions, including the
current case, clinicians should carefully consider the associated risk of
arterial disruption and pseudoaneurysm formation.
PMID- 26564027
TI - Time-Domain Filtering of Metasurfaces.
AB - In general electromagnetic response of each material to a continuous wave does
not vary in time domain if the frequency component remains the same. Recently, it
turned out that integrating several circuit elements including schottky diodes
with periodically metallised surfaces, or the so-called metasurfaces, leads to
selectively absorbing specific types of waveforms or pulse widths even at the
same frequency. These waveform-selective metasurfaces effectively showed
different absorbing performances for different widths of pulsed sine waves by
gradually varying their electromagnetic responses in time domain. Here we study
time-filtering effects of such circuit-based metasurfaces illuminated by
continuous sine waves. Moreover, we introduce extra circuit elements to these
structures to enhance the time-domain control capability. These time-varying
properties are expected to give us another degree of freedom to control
electromagnetic waves and thus contribute to developing new kinds of
electromagnetic applications and technologies, e.g. time-windowing wireless
communications and waveform conversion.
PMID- 26564028
TI - Glycemic and non-glycemic targets in younger and older North Indian subjects with
type 2 diabetes in a Tertiary care hospital: A 10 year's retrospective data
analysis.
AB - BACKGROUND: Although optimizing glycemic and non-glycemic targets reduced micro-
and macro-vascular complications in type 2 diabetes, multiple barriers hinder
turning evidence into practice. Mounting evidence suggests that those with onset
of disease in early or mid-adult life, compared with those with onset at an older
age, may have a more severe disease course and worse glycemic control. AIMS &
OBJECTIVE: We tested the hypothesis that those diagnosed at younger age would
have worse glycemic control, even after adjustment for duration of diabetes,
higher BMI and other known risk factors for worse glycemic control. MATERIALS &
METHODS: A cross-sectional analysis of 560 type 2 diabetic subjects from North
Indian populace in the year 1999-2012 who reported to endocrine clinic was
performed. Sixty patients did not report in the successive year and final data
analyses were done in 500 patients attending clinic regularly over a period of 10
years for evaluation of glycemic and non-glycemic targets. They were followed up
at 3 monthly intervals with all patients undergoing anthropometric measurement
(BMI (weight in kg/height in m(2)), diet and lifestyle advice by a diabetic
educator and consultation by endocrinologist. Fasting and postprandial plasma
glucose, A1c (3 monthly), besides evaluation of SMBG that was performed in 50% of
these patients regularly. Fasting lipids, S. creatinine and microalbuminuria were
assessed annually and blood pressure recoding was done at each visit. The
treatment was modified as per the investigation reports. We classified age at
diabetes diagnosis as younger (<60 years) vs older (>=60 years). The primary
outcome of interest was HbA1c >=9%. Secondary outcomes were HbA1c >=8% to <9% and
HbA1c >=7% to <8%. RESULTS: After adjustment for sex, duration of diabetes,
hyperglycemic medications, BMI, co-morbid conditions, age <60 years at diagnosis
remains significantly associated with greater odds of HbA1c >=9% [OR 0.95(0.84
1.07)], HbA1c >=8% to <9% [OR 1.04(0.93-1.15)] and HbA1c >=7% to <8% [OR
1.05(0.85-1.17)] for female sex. Seventy two (72.7%) of patients <60 years
achieved BP <140/90mmHg (p<0.001) as compared to 62.3% of patients >=60 years who
achieved BP <150/90mmHg (p<0.001) and LDL-cholesterol <100mg/dl in 33.7% patients
and 39.1% respectively (p<0.002). CONCLUSION: Younger age (<60 years) at type 2
diabetes diagnosis is significantly associated with worse subsequent glycemic
control and lipid control, as younger patients at diagnosis have fewer competing
co-morbidities and complications. As patient-centeredness is a priority in type 2
diabetes care, safe, aggressive and individualized treatment could benefit this
higher-risk group.
PMID- 26564029
TI - The Arabidopsis CROWDED NUCLEI genes regulate seed germination by modulating
degradation of ABI5 protein.
AB - In Arabidopsis, the phytohormone abscisic acid (ABA) plays a vital role in
inhibiting seed germination and in post-germination seedling establishment. In
the ABA signaling pathway, ABI5, a basic Leu zipper transcription factor, has
important functions in the regulation of seed germination. ABI5 protein localizes
in nuclear bodies, along with AFP, COP1, and SIZ1, and was degraded through the
26S proteasome pathway. However, the mechanisms of ABI5 nuclear body formation
and ABI5 protein degradation remain obscure. In this study, we found that the
Arabidopsis CROWDED NUCLEI (CRWN) proteins, predicted nuclear matrix proteins
essential for maintenance of nuclear morphology, also participate in ABA
controlled seed germination by regulating the degradation of ABI5 protein. During
seed germination, the crwn mutants are hypersensitive to ABA and have higher
levels of ABI5 protein compared to wild type. Genetic analysis suggested that
CRWNs act upstream of ABI5. The observation that CRWN3 colocalizes with ABI5 in
nuclear bodies indicates that CRWNs might participate in ABI5 protein degradation
in nuclear bodies. Moreover, we revealed that the extreme C-terminal of CRWN3
protein is necessary for its function in the response to ABA in germination. Our
results suggested important roles of CRWNs in ABI5 nuclear body organization and
ABI5 protein degradation during seed germination.
PMID- 26564030
TI - An Optimal Set of Flesh Points on Tongue and Lips for Speech-Movement
Classification.
AB - PURPOSE: The authors sought to determine an optimal set of flesh points on the
tongue and lips for classifying speech movements. METHOD: The authors used
electromagnetic articulographs (Carstens AG500 and NDI Wave) to record tongue and
lip movements from 13 healthy talkers who articulated 8 vowels, 11 consonants, a
phonetically balanced set of words, and a set of short phrases during the
recording. We used a machine-learning classifier (support-vector machine) to
classify the speech stimuli on the basis of articulatory movements. We then
compared classification accuracies of the flesh-point combinations to determine
an optimal set of sensors. RESULTS: When data from the 4 sensors (T1: the
vicinity between the tongue tip and tongue blade; T4: the tongue-body back; UL:
the upper lip; and LL: the lower lip) were combined, phoneme and word
classifications were most accurate and were comparable with the full set
(including T2: the tongue-body front; and T3: the tongue-body front). CONCLUSION:
We identified a 4-sensor set--that is, T1, T4, UL, LL--that yielded a
classification accuracy (91%-95%) equivalent to that using all 6 sensors. These
findings provide an empirical basis for selecting sensors and their locations for
scientific and emerging clinical applications that incorporate articulatory
movements.
PMID- 26564031
TI - Complete Genome Sequences of Two Japanese Eel Endothelial Cell-Infecting Virus
Strains Isolated in Japan.
AB - Japanese eel endothelial cell-infecting virus (JEECV) causes viral endothelial
cell necrosis of eel (VECNE), resulting in severe economic losses in eel
aquaculture in Japan. Here, we report the complete genome sequences of two new
JEECV strains isolated from farmed Japanese eels.
PMID- 26564032
TI - Genome Sequence of Salmonella enterica subsp. enterica Serovar Typhi Isolate
PM016/13 from Untreated Well Water Associated with a Typhoid Outbreak in Pasir
Mas, Kelantan, Malaysia.
AB - Salmonella enterica subsp. enterica serovar Typhi is a human-restricted pathogen
that causes typhoid fever. Even though it is a human-restricted pathogen, the
bacterium is also isolated from environments such as groundwater and pond water.
Here, we describe the genome sequence of the Salmonella enterica subsp. enterica
serovar Typhi PM016/13 which was isolated from well water during a typhoid
outbreak in Kelantan, Malaysia, in 2013.
PMID- 26564033
TI - Full-Length Genome Sequences of Senecavirus A from Recent Idiopathic Vesicular
Disease Outbreaks in U.S. Swine.
AB - Since July 2015, vesicular lesions affecting growing pigs and sows accompanied
with neonatal mortality have been reported in multiple U.S. states. Senecavirus A
has been consistently detected from these cases. The complete genome sequences of
3 recent U.S. Senecavirus A isolates were determined to further characterize this
virus.
PMID- 26564034
TI - Genome Sequence of Bacillus anthracis Larissa, Associated with a Case of
Cutaneous Anthrax in Greece.
AB - We report the genome sequence of Bacillus anthracis strain Larissa, isolated from
a diseased sheep associated with a human case of cutaneous anthrax in Central
Greece from 2012. Genome sequence analysis of strain Larissa may aid in
describing phylogenetic relationships of B. anthracis isolates in Southeastern
European countries.
PMID- 26564035
TI - Complete Genome Sequence of Salmonella enterica subsp. enterica Serovar Typhi
Isolate B/SF/13/03/195 Associated with a Typhoid Carrier in Pasir Mas, Kelantan,
Malaysia.
AB - We report here the complete genome sequence of Salmonella enterica subsp.
enterica serovar Typhi B/SF/13/03/195 obtained from a typhoid carrier, who is a
food handler in Pasir Mas, Kelantan.
PMID- 26564036
TI - A Novel Member of Chitinophagaceae Isolated from a Human Peritoneal Tumor.
AB - Peritoneal tumors from a rare malignancy, pseudomyxoma peritonei, frequently
contain bacteria. Evidence suggests that tumor-associated bacteria contribute to
pseudomyxoma peritonei development and/or progression. One unique isolate
(PMP191F) was characterized via whole-genome sequencing using the Illumina MiSeq
platform. PMP191F shows similarities to the Chitinophaga, Niastella, and
Flavitalea genera.
PMID- 26564037
TI - Draft Genome Sequence of the Pandoraea apista LMG 16407 Type Strain.
AB - Pandoraea species, in particular Pandoraea apista, are opportunistic, multidrug
resistant pathogens in persons with cystic fibrosis (CF). To aid in understanding
the role of P. apista in CF lung disease, we used Illumina MiSeq and nanopore
MinION technology to sequence the whole genome of the P. apista LMG 16407(T).
PMID- 26564038
TI - Draft Genome Sequence of Bacillus amyloliquefaciens XK-4-1, a Plant Growth
Promoting Endophyte with Antifungal Activity.
AB - Here, we report the draft genome sequence of a bacterial plant-growth-promoting
endophyte, Bacillus amyloliquefaciens XK-4-1, which consists of one circular
chromosome of 3,941,805 bp with 3,702 coding sequences (CDSs). The data presented
highlight multiple sets of functional genes associated with its plant-beneficial
characteristics.
PMID- 26564039
TI - Genome Sequence of a Clinical Klebsiella pneumoniae Sequence Type 6 Strain.
AB - We report here the genome sequence of Klebsiella pneumoniae CH1034, a sequence
type 6 (ST6) strain isolated in 2012 from a central venous catheter of a
hospitalized patient.
PMID- 26564040
TI - Draft Genome Sequence of Bacillus tequilensis Strain FJAT-14262a.
AB - Bacillus tequilensis FJAT-14262a is a Gram-positive rod-shaped bacterium. Here,
we report the 4,038,551-bp genome sequence of B. tequilensis FJAT-14262a, which
will provide useful information for genomic taxonomy and phylogenomics of
Bacillus.
PMID- 26564041
TI - Complete Genome Sequence of Klebsiella pneumoniae Strain HKUOPLC, a Cellulose
Degrading Bacterium Isolated from Giant Panda Feces.
AB - We report here the complete genome sequence of Klebsiella pneumoniae strain
HKUOPLC, isolated from a giant panda fecal sample collected from Ocean Park, Hong
Kong. The complete genome of this bacterium may contribute to the discovery of
efficient cellulose-degrading pathways.
PMID- 26564042
TI - Whole-Genome Sequence of Mycobacterium bovis BCG-1 (Russia).
AB - BCG vaccine (Mycobacterium bovis BCG-1 [Russia]) is the most important component
of tuberculosis prophylaxis in Russia. This study represents the complete genome
sequence and genetic characteristics of M. bovis BCG-1 (Russia), which has been
used to manufacture BCG vaccine in Russia and in some other countries.
PMID- 26564043
TI - Genome Sequence of Hydrocarbon-Degrading Cronobacter sp. Strain DJ34 Isolated
from Crude Oil-Containing Sludge from the Duliajan Oil Fields, Assam, India.
AB - We report here the 4,856,096-bp draft genome sequence of hydrocarbon-degrading
Cronobacter sp. strain DJ34 isolated from crude oil-containing sludge from the
Duliajan oil fields, India. DJ34 contains genes that mediate hydrocarbon
degradation, metal resistance, and biosurfactant production. This is the first
report of the genome sequence of Cronobacter sp. inhabiting an oil-contaminated
environment.
PMID- 26564044
TI - Complete Genome Sequence of a Human-Invasive Salmonella enterica Serovar
Typhimurium Strain of the Emerging Sequence Type 213 Harboring a Multidrug
Resistance IncA/C Plasmid and a blaCMY-2-Carrying IncF Plasmid.
AB - Salmonella enterica subsp. enterica serovar Typhimurium strain 33676 was isolated
in Mexico City, Mexico, from a patient with a systemic infection, and its
complete genome sequence was determined using PacBio single-molecule real-time
technology. Strain 33676 harbors an IncF plasmid carrying the extended-spectrum
cephalosporin gene blaCMY-2 and a multidrug resistance IncA/C plasmid.
PMID- 26564045
TI - Draft Genome Sequence of Desulfatitalea tepidiphila S28bFT.
AB - Desulfatitalea tepidiphila S28bF(T) is a sulfate-reducing bacterium closely
related to Desulfosarcina species. Here, the draft genome sequence of strain
S28bF(T) is reported.
PMID- 26564046
TI - Draft Genome Sequence of Burkholderia cenocepacia Strain 869T2, a Plant
Beneficial Endophytic Bacterium.
AB - An endophytic bacterium, Burkholderia cenocepacia 869T2, isolated from vetiver
grass, has shown its abilities for both in planta biocontrol and plant growth
promotion. Its draft genome sequence was determined to provide insights into
those metabolic pathways involved in plant-beneficial activity. This is the first
genome report for endophytic B. cenocepacia.
PMID- 26564047
TI - Draft Genome Sequence of a Benzo[a]pyrene-Degrading Bacterium, Olleya sp. Strain
ITB9.
AB - Olleya sp. ITB9 is a benzo[a]pyrene-degrading bacterium, isolated from surface
water near a waste treatment plant at Tokyo Bay, Japan. Here, we present the
draft genome sequence of this strain, which consists of 58 contigs corresponding
to 3.4 Mb and a G+C content of 31.2%.
PMID- 26564048
TI - Complete Genome Sequences of an H5N1 Highly Pathogenic Avian Influenza Virus
Isolated from Pigeon in China in 2012.
AB - An avian influenza virus strain, A/pigeon/Hubei/RP25/2012 (H5N1), was isolated
from pigeons in Hubei province, China. Phylogenetic analysis indicates that the
HA gene belongs to clade 2.3.4 and the other internal genes present different
recombination events. Information about the strain provides basic research data
for epidemiological evidences for revealing influenza evolution.
PMID- 26564049
TI - Draft Genome Sequence of Halostagnicola sp. A56, an Extremely Halophilic Archaeon
Isolated from the Andaman Islands.
AB - The first draft genome of Halostagnicola sp. A56, isolated from the Andaman
Islands is reported here. The A56 genome comprises 3,178,490 bp in 26 contigs
with a G+C content of 60.8%. The genome annotation revealed that A56 could have
potential applications for the production of polyhydroxyalkanoate or bioplastics.
PMID- 26564050
TI - Draft Genome Sequence of the Intermediate Rough Vaccine Strain Brucella abortus
S19Deltaper Mutant.
AB - Here, we report the genome sequence of the intermediate rough vaccine strain
mutant, Brucella abortus S19Deltaper. The length of the draft genome was
3,271,238 bp, with 57.2% G+C content. A total of 3,204 protein-coding genes and
56 RNA genes were predicted.
PMID- 26564051
TI - Complete Genome Sequence of a Novel Recombinant GII.Pe_GII.17 Norovirus Strain
from Hong Kong in 2015.
AB - The complete genome sequence of a novel recombinant GII.Pe_GII.17 norovirus
strain, tentatively named GII.17 Hong Kong 2015, was determined. RNA-dependent
RNA polymerase has 95.6% and 98.4% and viral protein 1 has 90.6% and 95.9%
identity at the nucleotide and amino acid levels, respectively, to the closest
sequences in GenBank.
PMID- 26564052
TI - Complete Genome Sequence of the Drug-Naive Classical Staphylococcus aureus Strain
FDA209P.
AB - We report the complete genome sequence of the methicillin-sensitive
Staphylococcus aureus (MSSA) strain FDA209P (ATCC 6538P and NCTC 7447).
PMID- 26564053
TI - Draft Genome Sequences of Four Streptomyces Isolates from the Populus trichocarpa
Root Endosphere and Rhizosphere.
AB - Draft genome sequences for four Actinobacteria from the genus Streptomyces are
presented. Streptomyces is a metabolically diverse genus that is abundant in
soils and has been reported in association with plants. The strains described in
this study were isolated from the Populus trichocarpa endosphere and rhizosphere.
PMID- 26564054
TI - Draft Genome Sequence of a Metronidazole-Resistant Derivative of Gardnerella
vaginalis Strain ATCC 14019.
AB - We report the genome sequence of a metronidazole-resistant derivative of
Gardnerella vaginalis ATCC 14019. This strain was obtained after serial selection
to increase the MIC from 4 to >=500 ug/ml. Two coding changes, in genes encoding
a response regulator and an NAD(+) synthetase, arose during selection.
PMID- 26564055
TI - Draft Genome Sequence of Thermus scotoductus Strain K1, Isolated from a
Geothermal Spring in Karvachar, Nagorno Karabakh.
AB - The 2,379,636-bp draft genome sequence of Thermus scotoductus strain K1, isolated
from geothermal spring outlet located in the Karvachar region in Nagorno Karabakh
is presented. Strain K1 shares about 80% genome sequence similarity with T.
scotoductus strain SA-01, recovered from a deep gold mine in South Africa.
PMID- 26564057
TI - Dopamine transporter scanning in the evaluation of patients with suspected
Parkinsonism: a case-based user's guide.
AB - Given the wide range of manifestations of parkinsonism and its mimics, the
diagnosis may remain elusive or be misattributed in some patients. Dopamine
transporter (DAT) single photon emission computed tomography (SPECT) (DaTscan),
an imaging technique that probes the integrity of the presynaptic nigrostriatal
system, can be useful in the evaluation of clinically complex parkinsonian
disorders in the appropriate context and when adequately interpreted. Pearls and
pitfalls in the use of DaTscan for the differential diagnosis of parkinsonisms
are reviewed using a case-based format. While the DaTscan is no replacement for a
careful neurological examination in ascertaining the likelihood of Parkinson
disease or other parkinsonisms in most clinical scenarios, it can be useful in
the assessment of disorders where an abducting resting tremor, a prominent
postural tremor, or incongruent features are not sufficiently clear on exam to
distinguish neurodegenerative parkinsonism from dystonia, drug-induced
parkinsonism and functional (psychogenic) parkinsonism, respectively.
PMID- 26564056
TI - CD4+CD25+ cells in multiple myeloma related renal impairment.
AB - CD4(+)CD25(+) cells are critical regulators in almost all of the animal models of
human organ-specific autoimmune diseases, transplant rejection and allergic
diseases. We aimed to explore the role of CD4(+)CD25(+) cells in the pathogenesis
of multiple myeloma (MM) related renal impairment (RI). Thirty patients with MM
related RI and 30 healthy volunteers were studied. The number of CD4(+)CD25(+)
cells was examined by flow cytometry. Clinical and laboratory data were collected
from each subject. Glomerular injury was assessed by histopathology. Serum IL-2,
IL-4 and IL-6 were analyzed by ELISA. CD4(+)CD25(+) cells significantly decreased
in MM related RI patients compared to the controls (P<0.05). CD4(+)CD25(+) cell
number was negatively associated with blood urea nitrogen (BUN), supernatant IL
4, serum IL-6, monoclonal immunoglobulin and beta2-microglobulin, as well as bone
marrow plasma cell percentage and proteinuria; whereas positively associated with
estimated glomerular filtration rate (eGFR) (all P < 0.05). CD4(+)CD25(+) cells
gradually decreased as the Clinic Stage increased. The number of CD4(+)CD25(+)
cells reduced in MM related RI patients, and was correlated with disease
severity. CD4(+)CD25(+) cells may play an important role in the pathogenesis of
MM related RI.
PMID- 26564058
TI - 405 nm versus 633 nm for protoporphyrin IX excitation in fluorescence-guided
stereotactic biopsy of brain tumors.
AB - Fluorescence diagnosis may be used to improve the safety and reliability of
stereotactic brain tumor biopsies using biopsy needles with integrated fiber
optics. Based on 5-aminolevulinic-acid-induced protoporphyrin IX (PpIX)
fluorescence, vital tumor tissue can be localized in vivo during the excision
procedure to reduce the number of necessary samples for a reliable diagnosis. In
this study, the practical suitability of two different PpIX excitation
wavelengths (405 nm, 633 nm) was investigated on optical phantoms. Violet
excitation at 405 nm provides a 50-fold higher sensitivity for the bulk tumor;
this factor increases up to 100 with decreasing fluorescent volume as shown by
ray tracing simulations. Red excitation at 633 nm, however, is noticeably
superior with regard to blood layers obscuring the fluorescence. Experimental
results on the signal attenuation through blood layers of well-defined
thicknesses could be confirmed by ray tracing simulations. Typical interstitial
fiber probe measurements were mimicked on agarose-gel phantoms. Even in direct
contact, blood layers of 20-40 um between probe and tissue must be expected,
obscuring 405-nm-excited PpIX fluorescence almost completely, but reducing the
633-nm-excited signal only by 25.5%. Thus, 633 nm seems to be the wavelength of
choice for PpIX-assisted detection of high-grade gliomas in stereotactic biopsy.
PpIX signal attenuation through clinically relevant blood layers for 405 nm
(violet) and 633 nm (red) excitation.
PMID- 26564059
TI - Relationship between spontaneous sympathetic baroreflex sensitivity and cardiac
baroreflex sensitivity in healthy young individuals.
AB - Low baroreflex sensitivity (BRS) is associated with elevated cardiovascular risk.
However, the evidence is based primarily on measurements of cardiac BRS. It
cannot be assumed that cardiac or sympathetic BRS alone represent a true
reflection of baroreflex control of blood pressure. The aim of this study was to
examine the relationship between spontaneous sympathetic and cardiac BRS in
healthy, young individuals. Continuous measurements of blood pressure, heart
rate, and muscle sympathetic nerve activity (MSNA) were made under resting
conditions in 50 healthy individuals (18-28 years). Sympathetic BRS was
quantified by plotting MSNA burst incidence against diastolic pressure
(sympathetic BRSinc), and by plotting total MSNA against diastolic pressure
(sympathetic BRStotal). Cardiac BRS was quantified by plotting R-R interval
against systolic pressure using the sequence method. Significant sympathetic
BRSinc and cardiac BRS slopes were obtained for 42 participants. A significant
positive correlation was found between sympathetic BRSinc and cardiac BRS (r =
0.31, P = 0.049). Among this group, significant sympathetic baroreflex slopes
were obtained for 39 participants when plotting total MSNA against diastolic
pressure. In this subset, a significant positive correlation was observed between
sympathetic BRStotal and cardiac BRS (r = 0.40, P = 0.012). When males and
females were assessed separately, these modest relationships only remained
significant in females. Analysis by gender revealed correlations in the females
between sympathetic BRSinc and cardiac BRS (r = 0.49, P = 0.062), and between
sympathetic BRStotal and cardiac BRS (r = 0.57, P = 0.025). These findings
suggest that gender interactions exist in baroreflex control of blood pressure,
and that cardiac BRS is not appropriate for estimating overall baroreflex
function in healthy, young populations. This relationship warrants investigation
in aging and clinical populations.
PMID- 26564060
TI - Contraction stimulates muscle glucose uptake independent of atypical PKC.
AB - Exercise increases skeletal muscle glucose uptake, but the underlying mechanisms
are only partially understood. The atypical protein kinase C (PKC) isoforms
lambda and zeta (PKC-lambda/zeta) have been shown to be necessary for insulin-,
AICAR-, and metformin-stimulated glucose uptake in skeletal muscle, but not for
treadmill exercise-stimulated muscle glucose uptake. To investigate if PKC
lambda/zeta activity is required for contraction-stimulated muscle glucose
uptake, we used mice with tibialis anterior muscle-specific overexpression of an
empty vector (WT), wild-type PKC-zeta (PKC-zeta(WT)), or an enzymatically
inactive T410A-PKC-zeta mutant (PKC-zeta(T410A)). We also studied skeletal muscle
specific PKC-lambda knockout (MlambdaKO) mice. Basal glucose uptake was similar
between WT, PKC-zeta(WT), and PKC-zeta(T410A) tibialis anterior muscles. In
contrast, in situ contraction-stimulated glucose uptake was increased in PKC
zeta(T410A) tibialis anterior muscles compared to WT or PKC-zeta(WT) tibialis
anterior muscles. Furthermore, in vitro contraction-stimulated glucose uptake was
greater in soleus muscles of MlambdaKO mice than WT controls. Thus, loss of PKC
lambda/zeta activity increases contraction-stimulated muscle glucose uptake.
These data clearly demonstrate that PKC-lambda?zeta activity is not necessary for
contraction-stimulated glucose uptake.
PMID- 26564061
TI - Early structural remodeling and deuterium oxide-derived protein metabolic
responses to eccentric and concentric loading in human skeletal muscle.
AB - We recently reported that the greatest distinguishing feature between eccentric
(ECC) and concentric (CON) muscle loading lays in architectural adaptations: ECC
favors increases in fascicle length (Lf), associated with distal vastus lateralis
muscle (VL) hypertrophy, and CON increases in pennation angle (PA). Here, we
explored the interactions between structural and morphological remodeling,
assessed by ultrasound and dual x-ray absorptiometry (DXA), and long-term muscle
protein synthesis (MPS), evaluated by deuterium oxide (D2O) tracing technique.
Ten young males (23 +/- 4 years) performed unilateral resistance exercise
training (RET) three times/week for 4 weeks; thus, one-leg trained concentrically
while the contralateral performed ECC exercise only at 80% of either CON or ECC
one repetition maximum (1RM). Subjects consumed an initial bolus of D2O (150 mL),
while a 25-mL dose was thereafter provided every 8 days. Muscle biopsies from VL
midbelly (MID) and distal myotendinous junction (MTJ) were collected at 0 and 4
weeks. MPS was then quantified via GC-pyrolysis-IRMS over the 4-week training
period. Expectedly, ECC and CON RET resulted in similar increases in VL muscle
thickness (MT) (7.5% vs. 8.4%, respectively) and thigh lean mass (DXA) (2.3% vs.
3%, respectively), albeit through distinct remodeling: Lf increasing more after
ECC (5%) versus CON (2%) and PA increasing after CON (7% vs. 3%). MPS did not
differ between contractile modes or biopsy sites (MID-ECC: 1.42 vs. MID-CON: 1.4%
day(-1); MTJ-ECC: 1.38 vs. MTJ-CON: 1.39% day(-1)). Muscle thickness at MID site
increased similarly following ECC and CON RET, reflecting a tendency for a
contractile mode-independent correlation between MPS and MT (P = 0.07; R(2) =
0.18). We conclude that, unlike MT, distinct structural remodeling responses to
ECC or CON are not reflected in MPS; the molecular mechanisms of distinct protein
deposition, and/or the role of protein breakdown in mediating these responses
remain to be defined.
PMID- 26564062
TI - Reduced vocal variability in a zebra finch model of dopamine depletion:
implications for Parkinson disease.
AB - Midbrain dopamine (DA) modulates the activity of basal ganglia circuitry
important for motor control in a variety of species. In songbirds, DA underlies
motivational behavior including reproductive drive and is implicated as a
gatekeeper for neural activity governing vocal variability. In the zebra finch,
Taeniopygia guttata, DA levels increase in Area X, a song-dedicated subregion of
the basal ganglia, when a male bird sings his courtship song to a female (female
directed; FD). Levels remain stable when he sings a less stereotyped version that
is not directed toward a conspecific (undirected; UD). Here, we used a mild dose
of the neurotoxin 6-hydroxydopamine (6-OHDA) to reduce presynaptic DA input to
Area X and characterized the effects on FD and UD behaviors. Immunoblots were
used to quantify levels of tyrosine hydroxylase (TH) as a biomarker for DA
afferent loss in vehicle- and 6-OHDA-injected birds. Following 6-OHDA
administration, TH signals were lower in Area X but not in an adjacent subregion,
ventral striatal-pallidum (VSP). A postsynaptic marker of DA signaling was
unchanged in both regions. These observations suggest that effects were specific
to presynaptic afferents of vocal basal ganglia. Concurrently, vocal variability
was reduced during UD but not FD song. Similar decreases in vocal variability are
observed in patients with Parkinson disease (PD), but the link to DA loss is not
well-understood. The 6-OHDA songbird model offers a unique opportunity to further
examine how DA loss in cortico-basal ganglia pathways affects vocal control.
PMID- 26564063
TI - Renal glucose release during hypoglycemia is partly controlled by sympathetic
nerves - a study in pigs with unilateral surgically denervated kidneys.
AB - Catecholamines are known to increase renal glucose release during hypoglycemia.
The specific extent of the contribution of different sources of catecholamines,
endocrine delivery via circulation or release from autonomous sympathetic renal
nerves, though, is unknown. We tested the hypothesis that sympathetic renal
innervation plays a major role in the regulation of renal gluconeogenesis. For
this purpose, instrumented adolescent pigs had one kidney surgically denervated
while the other kidney served as a control. A hypoglycemic clamp with arterial
blood glucose below 2 mmol/L was maintained for 75 min. Arteriovenous blood
glucose difference, inulin clearance, p-aminohippurate clearance, and sodium
excretion were measured in intervals of 15 min separately for both kidneys. Blood
glucose was lowered to 0.84 +/- 0.33 mmol/L for 75 min. The side-dependent renal
net glucose release (SGN) decreased significantly after the unilateral ablation
of renal nerves. In the linear mixed model, renal denervation had a significant
inhibitory effect on renal net glucose release (P = 0.036). The SGN of the
ablated kidney decreased by 0.02 mmol/min and was equivalent to 43.3 +/- 23.2% of
the control (nonablated) kidney in the pigs. This allows the conclusion that
renal glucose release is partly controlled by sympathetic nerves. This may be
relevant in humans as well, and could explain the increased risk of severe
hypoglycemia of patients with diabetes mellitus and autonomous neuropathy. The
effects of denervation on renal glucose metabolism should be critically taken
into account when considering renal denervation as a therapy in diabetic
patients.
PMID- 26564064
TI - Role of the Na+/H+ exchanger 3 in angiotensin II-induced hypertension in NHE3
deficient mice with transgenic rescue of NHE3 in small intestines.
AB - The role of Na(+/)H(+) exchanger 3 (NHE3) in the kidney in angiotensin II (ANG
II)-induced hypertension remains unknown. The present study used global NHE3
deficient mice with transgenic rescue of the Nhe3 gene in small intestines
(tgNhe3(-/-)) to test the hypothesis that genetic deletion of NHE3 selectively in
the kidney attenuates ANG II-induced hypertension. Six groups of wild-type
(tgNhe3(+/+)) and tgNhe3(-/-) mice were infused with either vehicle or ANG II
(1.5 mg/kg/day, i.p., 2 weeks, or 10 nmol/min, i.v., 30 min), treated with or
without losartan (20 mg/kg/day, p.o.) for 2 weeks. Basal systolic blood pressure
(SBP) and mean intra-arterial blood pressure (MAP) were significantly lower in
tgNhe3(-/-) mice (P < 0.01). Basal glomerular filtration rate, 24 h urine
excretion, urinary Na(+) excretion, urinary K(+) excretion, and urinary Cl(-)
excretion were significantly lower in tgNhe3(-/-) mice (P < 0.01). These
responses were associated with significantly elevated plasma ANG II and
aldosterone levels, and marked upregulation in aquaporin 1, the Na(+)/HCO3
cotransporter, the alpha1 subunit isoform of Na(+)/K(+)-ATPase, protein kinase
Calpha, MAP kinases ERK1/2, and glycogen synthase kinase 3 alpha/beta in the
renal cortex of tgNhe3(-/-) mice (P < 0.01). ANG II infusion markedly increased
SBP and MAP and renal cortical transporter and signaling proteins in tgNhe3(+/+),
as expected, but all of these responses to ANG II were attenuated in tgNhe3(-/-)
mice (P < 0.01). These results suggest that NHE3 in the kidney is necessary for
maintaining normal blood pressure and fully developing ANG II-dependent
hypertension.
PMID- 26564065
TI - Effects of urine composition on epithelial Na+ channel-targeted protease
activity.
AB - We examined human urinary proteolytic activity toward the Epithelial Sodium
Channel (ENaC). We focused on two sites in each of alpha and gamma ENaC that are
targets of endogenous and exogenous proteases. We examined the effects of ionic
strength, pH and urinary H(+)-buffers, metabolic intermediates, redox molecules,
and large urinary proteins. Monoatomic cations caused the largest effect, with
sodium inhibiting activity in the 15-515 mEq range. Multivalent cations zinc and
copper inhibited urinary proteolytic activity at concentrations below 100
MUmol/L. Similar to sodium, urea caused a 30% inhibition in the 0-500 mmol/L
range. This was not observed with acetone and ethanol. Modulating urinary redox
status modified activity with H2O2 stimulated and ascorbate inhibited activity.
Minimal effects (<10%) were observed with caffeine, glucose, several TCA cycle
intermediates, salicylic acid, inorganic phosphate, albumin, creatinine, and Tamm
Horsfall protein. The cumulative activity of ENaC-cleaving proteases was highest
at neutral pH, however, alpha and gamma proteases exhibited an inverse dependence
with alpha stimulated at acidic and gamma stimulated at alkaline pH. These data
indicate that ENaC-targeting urinary proteolytic activity is sensitive to sodium,
urea and pH and changes in these components can modify channel cleavage and
activation status, and likely downstream sodium absorption unrelated to changes
in protein or channel density.
PMID- 26564066
TI - Sigh-induced changes of breathing pattern in preterm infants.
AB - Sighs are thought to play an important role in control of breathing. It is
unclear how sighs are triggered, and whether preterm birth and lung disease
influence breathing pattern prior to and after a sigh in infants. To assess
whether frequency, morphology, size, and short-term variability in tidal volume
(VT) before, during, and after a sigh are influenced by gestational age at birth
and lung disease (bronchopulmonary dysplasia, BPD) in former preterm infants and
healthy term controls measured at equivalent postconceptional age (PCA). We
performed tidal breathing measurements in 143 infants during quiet natural sleep
at a mean (SD) PCA of 44.8 (1.3) weeks. A total of 233 sighs were analyzed using
multilevel, multivariable regression. Sigh frequency in preterm infants increased
with the degree of prematurity and severity of BPD, but was not different from
that of term controls when normalized to respiratory rate. After a sigh, VT
decreased remarkably in all infants (paired t-test: P < 0.001). There was no
major effect of prematurity or BPD on various indices of sigh morphology and
changes in VT prior to or after a sigh. Short-term variability in VT modestly
increased with maturity at birth and infants with BPD showed an earlier return to
baseline variability in VT following a sigh. In early infancy, sigh-induced
changes in breathing pattern are moderately influenced by prematurity and BPD in
preterm infants. The major determinants of sigh-related breathing pattern in
these infants remain to be investigated, ideally using a longitudinal study
design.
PMID- 26564067
TI - Calcium transport in bovine rumen epithelium as affected by luminal Ca
concentrations and Ca sources.
AB - The quantitative role of different segments of the gastrointestinal tract for Ca
absorption, the respective mechanisms, and their regulation are not fully
identified for ruminants, that is, cattle. In different in vitro experiments the
forestomach wall has been demonstrated to be a major site for active Ca
absorption in sheep and goats. In order to further clarify the role of the bovine
rumen for Ca transport with special attention to luminal Ca concentrations, its
ionic form, and pH, electrophysiological and unidirectional flux rate
measurements were performed with isolated bovine rumen epithelial tissues. For Ca
flux studies (Jms, Jsm) in vitro Ussing chamber technique was applied. Standard
RT-PCR method was used to characterize TRPV6 and PMCA1 as potential contributors
to transepithelial active Ca transport. At Ca concentrations of 1.2 mmol L(-1) on
both sides of the tissues, Jms were higher than Jsm resulting under some
conditions in significant Ca net flux rates (Jnet), indicating the presence of
active Ca transport. In the absence of an electrical gradient, Jnet could
significantly be stimulated in the presence of luminal short-chain fatty acids
(SCFAs). Increasing the luminal Ca concentrations up to 11.2 mmol L(-1) resulted
in significant increases in Jms without influencing Jsm. Providing Ca in its form
as respective chloride, formate, or propionate salts there was no significant
effect on Jms. No transcripts specific for Ca channel TRPV6 could be
demonstrated. Our results indicate different mechanisms for Ca absorption in
bovine rumen as compared with those usually described for the small intestines.
PMID- 26564068
TI - Genetics of neurocutaneous disorders: basic principles of inheritance as they
apply to neurocutaneous syndromes.
AB - Neurocutaneous disorders vary widely in clinical presentation as well as genetic
cause and inheritance pattern. Recent advancements in genetic research have
identified many of the causal genes for neurocutaneous disorders, allowing
families to receive genetic testing and genetic counseling to better understand
carrier risks, recurrence risks for future generations, and reproductive options
such as prenatal testing and preimplantation diagnosis. Examples of specific
neurocutaneous disorders are utilized to illustrate the various inheritance
patterns seen in this heterogeneous group of disorders, including autosomal
dominant, autosomal recessive, X-linked dominant, X-linked recessive, de novo,
and somatic and germline mosaicism.
PMID- 26564069
TI - Phenotype/genotype correlations in epidermal nevus syndrome as a
neurocristopathy.
AB - Epidermal nevus syndrome (ENS) is a term that encompasses several phenotypes
defined by the association of an epidermal nevus with one or more congenital
systemic anomalies, mainly ocular, osseous and cerebral. The two most frequent,
keratinocytic nevus syndrome and linear sebaceous nevus syndrome, also correspond
to the neurological phenotypes. They both exhibit overlapping and distinctive
features but same etiology: post-zygotic mosaic mutations in RAS genes. Their
pathogenesis is due to defective neural crest, further confirming that they are
the same basic entity contradicting the concept that they are a group of
heterogeneous syndromes with different etiologies. Both have been reported for
more than a century. The sebaceous nevus, hallmark of linear sebaceous nevus
syndrome, was defined by Jadassohn in 1895; the large number of subsequent
contributors in defining this syndrome precludes the introduction of eponyms.
Three other distinctive phenotypes within the spectrum of ENS with CNS
involvement are CLOVES, SCALP and Heide's syndromes. Recognition of neurological
phenotypes with multisystemic involvement should invoke multidisciplinary
investigation and management. In some ENS phenotypes the association of
melanocytic nevi with keratinocytic and sebaceous nevi, all sharing RAS
mutations, predicts multisystemic involvement, in particular severe rickets and
osseous anomalies. Phenotype is, therefore, the starting point for clinicians to
guide genetic, neurological and other systemic investigations for patient
management. The most frequent brain malformation in neurological phenotypes of
ENS is hemimegalencephaly (HME). Epilepsy is the most frequent neurological
symptom, in particular infantile spasms, with or without HME. The impact of
neurological and systemic manifestations is related to onset and extent of the
mutations. Timing of the mutation determines phenotype and severity. Proteus
syndrome is a neurological phenotype of epidermal keratinocytic nevus syndrome
not an independent, separate syndrome.
PMID- 26564070
TI - Acquired neurocutaneous disorders.
AB - A variety of neurologic diseases have cutaneous manifestations. These may
precede, coincide with, or follow the neurologic findings. An array of
autoimmune, genetic, and environmental factors play a role in expression and
severity of the neurologic burden in these conditions. This chapter emphasizes
congenital and genetic disorders, but we also discuss the pathophysiology and
manifestation of various acquired neurocutaneous disorders with an emphasis
Behcet's disease, dermatomyositis, Sjogren's syndrome, systemic lupus
erythematosus, scleroderma, Parry-Romberg syndrome and Degos disease.
PMID- 26564071
TI - Neurofibromatosis type 1.
AB - Neurofibromatosis type 1 (NF1), previously known as von Recklinghausen disease,
is a neurogenetic disorder distinct from neurofibromatosis type 2 (NF2).
Approximately 1:2500 to 1:3500 individuals worldwide are affected, regardless of
ethnicity or race. The classic manifestations of NF1 include cafe-au-lait
macules, skinfold freckling, neurofibromas, brain tumors, iris hamartomas, and
characteristic bony lesions. In addition, patients with NF1 are at increased risk
for learning and intellectual disabilities, aqueductal stenosis,
pheochromocytoma, vascular dysplasia, scoliosis, and cancer. In this chapter, we
discuss the clinical and molecular features of NF1 as well as how insights into
its underlying molecular pathophysiology have revealed new targets for
therapeutic drug design.
PMID- 26564072
TI - Neurofibromatosis type 2.
AB - Type 2 neurofibromatosis (NF2) is an autosomal dominant disorder caused by
mutations in the NF2 tumor suppressor gene NF2 on chromosome 22. Around 1 in
33000 people are born with an NF2 mutation although more than one-third of the
60% of de novo cases are not conceived with the mutation but this develops later
in embryogenesis (mosaics). NF2 has a substantial effect on life expectancy and
individuals with a constitutional truncating mutation have the worst prognosis.
The vast majority of people with NF2 will develop bilateral vestibular
schwannomas with many developing schwannomas on other cranial, spinal and
peripheral nerves. Cranial and spinal meningiomas and intraspinal low grade
indolent ependymomas are the other major tumor features. Cutaneous features can
be subtle with only 70% having evidence of intracutaneous plaque-like schwannomas
or subcutaneous lesions on peripheral nerves. Cafe-au-lait patches are more
frequent than in the general population but in only around 1% will meet NIH
criteria for NF1.
PMID- 26564073
TI - Tuberous sclerosis complex.
AB - Tuberous sclerosis complex (TSC) is a neurocutaneous syndrome that can affect the
brain, skin, eyes, kidneys, heart, and lungs. TSC alters cellular proliferation
and differentiation, resulting in hamartomas of various organs, tumor formation,
and altered neuronal migration. The phenotype is highly variable. Most
individuals have seizures, commonly including infantile spasms, and there is
variable intellectual disability and autism. Neonates can present with cardiac
failure due to intracardiac rhabdomyomas. The likelihood of renal angiomyolipomas
increases with age, and renal disease is the most common cause of death in adults
with TSC. Pulmonary involvement occurs predominantly in women and carries a high
morbidity and mortality. TSC is inherited as an autosomal dominant trait, but
spontaneous mutations are common. A mutation of either TSC1 on chromosome 9 or
TSC2 on chromosome 16 leads to dysfunction of hamartin or tuberin, respectively.
These two proteins form a functional complex that modulates the mammalian target
of rapamycin (mTOR) pathway. Medications that inhibit mTOR are being used to
treat TSC-related tumors, and current studies are investigating whether these
agents could alleviate other TSC complications. Consensus statements guide
identification and optimal management of many of the TSC-related complications at
diagnosis and throughout the lifespan. A multidisciplinary approach is necessary
for optimal management of individuals with TSC.
PMID- 26564074
TI - Neurocutaneous melanosis.
AB - Neurocutaneous melanosis is a rare neurocutaneous syndrome that is associated
with a high rate of mortality early in life. Individuals with large cutaneous
melanocytic nevi (LCMN) are at risk, especially when the nevi are posterior,
midline and accompanied by satellite nevi. Disrupted production and migration of
melanocytic precursors from neural crest likely are responsible. Although the
cutaneous lesions are at risk for melanoma, the most likely source of morbidity
and mortality comes from "benign" melanocytic proliferation in the brain or
central nervous system melanoma. Seizures and hydrocephalus are the common
neurologic manifestations and typically arise in the first years of life. Brain
magnetic resonance imaging in infants before myelination has matured is most
sensitive for detecting abnormal melanosis in the brain, which preferentially
involves the leptomeninges, cerebellum and anterior temporal lobes. Treatment is
symptomatic and death occurs in many within 3 years of onset of neurologic
symptoms. This prognosis may limit the extent to which extensive procedures or
interventions are undertaken.
PMID- 26564075
TI - Basal cell nevus syndrome or Gorlin syndrome.
AB - Basal cell nevus syndrome (BCNS) or Gorlin syndrome is a rare neurocutaneous
syndrome sometimes known as the fifth phacomatosis, inherited in autosomal
dominant fashion with complete penetrance and variable expressivity. Gorlin
syndrome is characterized by development of multiple basal cell carcinomas
(BCCs), jaw cysts, palmar or plantar pits, calcification of falx cerebri, various
developmental skeletal abnormalities such as bifid rib, hemi- or bifid vertebra
and predisposition to the development of various tumors. BCNS is caused by a
mutation in the PTCH1 gene localized to 9q22.3. Its estimated prevalence varies
between 1/55600 and 1/256000 with an equal male to female ratio. The
medulloblastoma variant seen in Gorlin syndrome patients is of the desmoplastic
type, characteristically presenting during the first 3 years of life. Therefore,
children with desmoplastic medulloblastoma should be carefully screened for other
features of BCNS. Radiation therapy for desmoplastic medulloblastoma should be
avoided in BCNS patients as it may induce development of invasive BCCs and other
tumors in the skin area exposed to radiation. This syndrome is a multisystem
disorder so involvement of multiple specialists with a multimodal approach to
detect and treat various manifestations at early stages will reduce the long-term
sequelae and severity of the condition. Life expectancy is not significantly
altered but morbidity from complications and cosmetic scarring can be
substantial.
PMID- 26564076
TI - PTEN hamartoma tumor syndrome.
AB - PTEN hamartoma tumor syndrome (PHTS) is the molecular diagnostic term describing
patients with Cowden syndrome, Bannayan-Riley-Ruvalcaba syndrome, and other
clinical presentations with germline mutation of the PTEN tumor suppressor gene.
PHTS confers increased risks for specific malignancies, most notably breast,
thyroid, renal, and endometrial cancers. Benign tumors are common, affecting a
variety of tissues, and can range from subtle skin papules requiring no treatment
to devastating vascular anomalies. There is also a broad range of
neurodevelopmental effects, with some patients having no challenges and others
with severe autism spectrum disorder and mental retardation. While most cases are
inherited in a family for generations, following an autosomal dominant pattern,
at least 10% and perhaps as many as 44% of cases are due to a new (de novo)
mutation. Clinical presentations can vary dramatically from patient to patient,
even among those in the same family. Features of this condition that may assist
in diagnosis prior to cancer development can be subtle and difficult to
recognize. This chapter will help the reader identify which patients should be
referred for genetics evaluation and how to manage patients diagnosed with this
rare condition.
PMID- 26564078
TI - Sturge-Weber syndrome.
AB - Sturge-Weber syndrome is the third most common neurocutaneous disorder, after
neurofibromatosis and tuberous sclerosis, and impacts approximately 1 in 20000
live births. Sturge-Weber syndrome is not inherited, but rather occurs
exclusively sporadically, in both males and females and in all races and ethnic
backgrounds. Sturge-Weber syndrome presents at birth with a capillary
malformation on the face (port-wine birthmark) with later diagnosis of abnormal
vasculature in the eye and the brain which result in a range of complications.
The underlying somatic mosaic mutation causing both Sturge-Weber syndrome and
isolated port-wine birthmarks was recently discovered and is an activating
mutation in GNAQ. When a newborn presents with a facial port-wine birthmark on
the upper face, that child has a 15-50% risk of developing Sturge-Weber syndrome
brain and/or eye involvement, depending on the extent of the birthmark, and close
monitoring and appropriate screening is essential for early diagnosis and optimal
treatment. Treatment options include laser therapy for lightening of the
birthmark, eye drops and surgery for glaucoma management, and aggressive
anticonvulsant treatment, low dose aspirin, and neurosurgery where necessary.
Future possible treatments based upon new knowledge of the somatic mutation and
downstream pathways are currently being considered and studied.
PMID- 26564079
TI - PHACE(S) syndrome.
AB - PHACE(S) syndrome is a neurocutaneous disorder of unknown etiology. The acronym
refers to the commonest features of PHACE: posterior fossa malformations, large
facial hemangiomas, cerebral arterial anomalies, cardiovascular anomalies, and
eye anomalies. When ventral developmental defects such as sternal clefting or
supraumbilical raphe occur, the PHACES acronym may be used. The hallmark feature
of PHACE is the presence of one or more large facial infantile hemangiomas that
occupy at least one facial segment. Infantile hemangiomas differ from the
capillary malformation (port wine stain) of Sturge-Weber syndrome, and the
arteriovenous malformation of Wyburn-Mason syndrome, distinguishing PHACE
syndrome from other neurocutaneous disorders with red birthmarks. The true
incidence of PHACE has not yet been established. Girls are more commonly affected
than boys. Cerebral vascular anomalies are probably the most common
extracutaneous feature. Given that several organ systems are involved, a
multidisciplinary approach to disease surveillance and treatment is advised.
PMID- 26564080
TI - Hereditary hemorrhagic telangiectasia.
AB - Hereditary hemorrhagic telangiectasia (HHT) also known as Osler-Weber-Rendu
syndrome, is an autosomal dominant disorder that is characterized by multiple
arteriovenous malformations (AVMs) involving the skin, mucosal surfaces, and
internal organs. HHT has an age-dependent penetrance and usually initially
presents with recurrent epistaxis followed by the characteristic telangiectasias
of the face, oropharynx, and hands over time. Patients often have vascular
malformations that involve their lungs, brain, spinal cord, and gastrointestinal
tract as well, which are the main causes of morbidity in patients with HHT. The
sequelae of visceral organ involvement include ischemic stroke, cerebral
bacterial abscesses, intracranial hemorrhage, chronic hypoxia, dyspnea with
exertion, pulmonary hypertension, high output heart failure, gastrointestinal
bleeds and liver failure.
PMID- 26564077
TI - Von Hippel-Lindau disease.
AB - von Hippel-Lindau (VHL) disease is an inheritable condition with an incidence of
1 in 36000 live births. Individuals with VHL develop benign and malignant tumors
including retinal and central nervous system hemangioblastomas, clear cell renal
cell carcinomas (RCC), pheochromocytomas, pancreatic neuroendocrine tumors and
endolymphatic sac tumors (ELSTs). VHL is caused by germline loss of function of
the VHL gene on one allele at chromosome 3p25-26. A somatic "second hit" event
leads to the loss of the other allele and tumor formation. Loss of VHL function
in cells leads to increased expression and stabilization of hypoxia inducible
factor (HIF). VHL protein/HIF pathway has been implicated in tumorigenesis for
hemangioblastomas, RCC and other VHL tumors. Clinical examination, imaging, and
genetic testing for VHL mutations confirm VHL disease. Management of VHL disease
largely consists of surgical resection of symptomatic tumors (hemangioblastomas),
tumors prone to metastasize (RCC larger than 3cm), or tumors causing hormonal
symptoms (pheochromocytomas). Despite advances in early diagnosis and management
of VHL disease, life expectancy for VHL patients remains low at 40-52 years.
Secondary effects from VHL manifestations are mitigated by routine surveillance
and early detection. In this chapter, we summarize the current state of knowledge
in VHL disease.
PMID- 26564081
TI - Ataxia telangiectasia.
AB - Ataxia telangiectasia (AT) is an autosomal recessive multisystem genetic disorder
caused by a mutation in the ATM gene encoding for the ATM protein. AT systemic
manifestations include cutaneous telangiectasias, radiosensitivity, immune
deficiency with recurrent sinopulmonary infections, and a tendency to develop
lymphoid malignancies. These complications are explained by the major role played
by ATM in DNA repair. AT is also the second most common childhood onset
neurodegenerative disorder of the cerebellum, presenting with progressive ataxia
and oculomotor apraxia and often accompanied by extrapyramidal movement
disorders. Ataxia typically begins around the time children start to walk at
about 1 year of age and leads to wheelchair dependence by the second decade of
life. Cerebellar atrophy is evident on imaging after 2 years of life and is
progressive. Abnormal DNA repair mechanisms do not entirely explain the
pathophysiology in nondividing neurons. The nervous system involvement is better
explained by the role ATM plays in antioxidative defense, mitochondrial
homeostasis, and DNA chromatin packing. A better understanding of the underlying
pathophysiologic mechanisms of this devastating disease may enable disease
modifying treatments in the future. Meanwhile, treatment is mainly supportive and
does not change the poor prognosis of the disease although it improves the
patient's quality of life.
PMID- 26564082
TI - Pseudoxanthoma elasticum.
AB - Pseudoxanthoma elasticum (PXE) is characterized by elastic tissue fragmentation
and calcification. The deterioration of elastic fibers leads to characteristic
yellowish papules and plaques (pseudoxanthomas) and retinal angioid streaks.
Although these findings may begin in childhood, the diagnosis is typically not
made until the second or third decade after the skin and retinal findings become
more prominent. Cerebrovascular complications include brain infarction due to
narrowing and occlusion of cerebral arteries and aneurysm formation. Intracranial
hemorrhage can occur in the absence of aneurysm, and gastrointestinal hemorrhage
is common. Peripheral arterial vascular disease can lead to intermittent leg
claudication. A skin biopsy often demonstrates calcified elastic fibers, even in
a mildly affected area of skin. The inheritance is autosomal recessive, although
heterozygotes may exhibit some features of the disease. PXE is due to mutation of
the ABCC6 gene on chromosome 16. There is no treatment, but certain lifestyle
modifications may limit the complications. The potential for retinal hemorrhage
has led to recommendations for limitations of contact sports or other activities
that might facilitate eye trauma. Other recommendations include maintaining a
normal lipid profile, avoidance of aspirin and nonsteroidal anti-inflammatory
agents, and limiting dietary calcium intake.
PMID- 26564083
TI - Blue bleb rubber nevus syndrome.
AB - Vascular malformations are errors of development of vessels that occur during
embryogenesis. They are rheologically divided into slow-flow (capillary,
lymphatic, venous and combined) and fast-flow (arterial, arteriovenous, and
combined) malformations. These congenital lesions grow proportionally with the
patient and never spontaneously regress. Venous malformations (VM) are composed
of ectatic venous-like channels. They are mainly sporadic but can be inherited as
an autosomal pattern. Any tissue and organ can be affected. They can be isolated
or part of syndromes. Blue rubber bleb naevus syndrome is characterized by
multifocal rubbery cutaneous venous malformations, especially on palm and sole,
that are associated with multiple gastrointestinal VM. Localized intravascular
coagulopathy, with high D-dimer level and normal-to-low fibrinogen level, is
commonly seen. As cerebral VM can also occur in this syndrome, brain MRI should
be part of the screening of patients affected with BRBN. Management is often
multidisciplinary and can include medical, interventional radiological and/or
surgical treatment.
PMID- 26564084
TI - Fabry disease.
AB - Fabry disease, an X-linked disorder of glycosphingolipids that is caused by
mutations of the GLA gene that codes for alpha-galactosidase A, leads to
dysfunction of many cell types and includes a systemic vasculopathy. As a result,
patients have a markedly increased risk of developing ischemic stroke, small
fiber peripheral neuropathy, cardiac dysfunction and chronic kidney disease.
Virtually all complications of Fabry disease are non-specific in nature and
clinically indistinguishable from similar abnormalities that occur in the context
of more common disorders in the general population. Recent studies suggested a
much higher incidence of mutations of the GLA gene, suggesting that this disorder
is under-diagnosed. However, some of the gene variants may be benign. Although
the etiology of Fabry disease has been known for many years, the mechanism by
which the accumulating alpha-D-galactosyl moieties cause this multi organ
disorder has only recently been studied and is yet to be completely elucidated.
Specific therapy for Fabry disease has been developed in the last few years but
its role in the management of the disorder is still being investigated.
Fortunately, standard 'non-specific' medical and surgical therapy is effective in
slowing deterioration or compensating for organ failure in patients with Fabry
disease.
PMID- 26564085
TI - Hutchinson-Gilford progeria syndrome.
AB - Hutchinson-Gilford progeria syndrome (HGPS) is an extremely rare, uniformly
fatal, segmental "premature aging" disease in which children exhibit phenotypes
that may give us insights into the aging process at both the cellular and
organismal levels. Initial presentation in early childhood is primarily based on
growth and dermatologic findings. Primary morbidity and mortality for children
with HGPS is from atherosclerotic cardiovascular disease and strokes with death
occurring at an average age of 14.6 years. There is increasing data to support a
unique phenotype of the craniofacial and cerebrovascular anatomy that accompanies
the premature aging process. Strokes in HGPS can occur downstream of carotid
artery and/or vertebral artery occlusion, stenosis, and calcification, with
prominent collateral vessel formation. Both large and small vessel disease are
present, and strokes are often clinically silent. Despite the presence of
multisystem premature aging, children with HGPS do not appear to have cognitive
deterioration, suggesting that some aspects of brain function may be protected
from the deleterious effects of progerin, the disease-causing protein. Based on
limited autopsy material, there is no pathologic evidence of dementia or
Alzheimer-type changes. In a transgenic mouse model of progeria with expression
of the most common HGPS mutation in brain, skin, bone, and heart, there are
distortions of neuronal nuclei at the ultrastructural level with irregular shape
and severe invaginations, but no evidence of inclusions or aberrant tau in brain
sections. Importantly, the nuclear distortions did not result in significant
changes in gene expression in hippocampal neurons. This chapter will discuss both
preclinical and clinical aspects of the genetics, pathobiology, clinical
phenotype, clinical care, and treatment of HGPS, with special attention toward
neurologic and cutaneous findings.
PMID- 26564086
TI - Encephalocraniocutaneous lipomatosis.
AB - Encephalocraniocutaneous lipomatosis (ECCL) is an unusual condition marked by
characteristic dermatologic and neurologic findings presenting in a mosaic
fashion. These are now being found to be due to specific genetic mutations.
Traditionally, the diagnostic features include ocular dermoids, scalp changes,
and spinal lipomas. While there are other similar diagnostic considerations,
ECCL, is sufficiently distinct clinically to allow differentiation. Such
information is of use when considering pathogenesis and in counseling.
Consideration of possible associated clinical findings is key for correct
clinical assessment and management. The condition highlights the need for a
collaborative approach to diagnosis and management. Dermatology, ophthalmology,
genetics, neurology, and neurosurgery can be engaged in the care of such
patients.
PMID- 26564087
TI - Incontinentia pigmenti (Bloch-Sulzberger syndrome).
AB - Incontinentia pigmenti (IP; Bloch-Sulzberger syndrome; OMIM #308300) is an X
linked dominant neurocutaneous disorder with presumed male lethality. It is
usually diagnosed in female newborns based on skin features (erythematous,
vesicular, or bullous eruption in linear streaks). The skin lesions evolve into a
verrucous stage, followed by atrophy and scarring, leaving linear areas of
hypopigmentation and hyperpigmented macules in bizarre patterns following
Blaschko's lines. Systemic and neurologic complications include focal seizures
and hemorrhagic cerebral infarction in infants, and retinal vasculopathy leading
to blindness. Hypodontia, conical or pegged teeth, and linear areas of alopecia
persist into adulthood. IP is caused by mutation of the IKBKG/NEMO gene on Xq28.
Deletion of exons 4 to 10 (NEMODelta4-10) accounts for about 80% of cases
(familial and sporadic). NEMO mutation leads to loss of function of NF-kappaB, a
critical protein that modulates cellular proliferation, apoptosis, and response
to proinflammatory factors, leading to the characteristic features of IP. In
female carriers, selective loss of cells expressing the mutant X-chromosome
results in completely skewed X-inactivation in the majority of cases. Study of
mouse models in which various components of the NF-kappaB pathway (including
NEMO) have been knocked out has contributed significantly to our understanding of
disease pathogenesis.
PMID- 26564088
TI - Hypomelanosis of Ito.
AB - Hypomelanosis of Ito, initially referred to as incontinentia pigmenti achromians,
is a rare neurocutaneous disorder. Hypopigmented lesions following the lines of
Blaschko are usually the presenting feature. Multiple organ systems can be
involved including brain, musculoskeletal, cardiovascular, eyes, kidneys, and
teeth. The neurologic complications can include seizures, hemimegalencephaly,
developmental delay and abnormalities in tone. Genetic mosaicism is the most
likely explanation for its inheritance. It must be distinguished from
incontinentia pigmenti because at early stages, skin lesions can appear similar
between the two conditions. Consensus recommendations for screening of associated
extracutaneous conditions do not exist and management is symptomatic, but regular
evaluation of somatic growth, neurodevelopment, endocrine status, eyes, and teeth
should occur. Initial screening of renal function has also been recommended.
Awareness of this disorder will allow for diagnosis, genetic counseling and
appropriate screening.
PMID- 26564089
TI - Epidermal nevus syndromes.
AB - The term epidermal nevus syndrome (ENS) has been used to describe the association
of epidermal hamartomas and extracutaneous abnormalities. Although many continue
to use the term "ENS," it is now understood that this is not one disease, but
rather a heterogeneous group with distinct genetic profiles defined by a common
cutaneous phenotype: the presence of epidermal and adnexal hamartomas that are
associated with other organ system involvement. One commonality is that epidermal
nevi often follow the lines of Blaschko and it appears the more widespread the
cutaneous manifestations, the greater the risk for extracutaneous manifestations.
The majority of the extracutaneous manifestations involve the brain, eye, and
skeletal systems. The CNS involvement is wide ranging and involves both clinical
manifestations such as intellectual disability and seizures, as well as
structural anomalies. Several subsets of ENS with characteristic features have
been delineated including the nevus sebaceus syndrome, Proteus syndrome, CHILD
syndrome, Becker's nevus syndrome, nevus comedonicus syndrome, and phakomatosis
pigmentokeratotica. Advances in molecular biology have revealed that the
manifestations of ENS are due to genomic mosaicism. It is likely that the varied
clinical manifestations of ENS are due in great part to the functional effects of
specific genetic defects. Optimal management of the patient with ENS involves an
interdisciplinary approach given the potential for multisystem involvement. Of
note, epidermal nevi have been associated with both benign and malignant
neoplasms, and thus ongoing clinical follow-up is required.
PMID- 26564090
TI - Lipoid proteinosis.
AB - Lipoid proteinosis is a rare autosomal recessive disorder caused by mutations in
ECM1, encoding extracellular matrix protein 1, a glycoprotein expressed in many
organs and which has important protein-protein interactions in tissue
homeostasis. Although the disease usually presents clinically with warty
infiltration of the skin and mucous membranes and a hoarse voice,
neuropsychological and neuropsychiatric abnormalities are often prominent
features. There may be bean- or comma-shaped intracranial calcifications, often
selectively affecting the amygdala. Patients with lipoid proteinosis therefore
have been used as models for demonstrating physiologic and pathologic
abnormalities of the amygdala with respect to fear processing, affect and
cognition, anxiety and memory. Clinically, patients may also have epilepsy,
especially involving the temporal lobes. Less common or rare disease associations
are headache (including migraine), ataxia, dizziness, schizophrenia, generalized
dystonia, transient brachiofacial paralysis, and intracerebral hemorrhage. Beyond
the foci of calcification, the cause of the neurologic abnormalities in lipoid
proteinosis is unknown, although the ECM1 protein can normally bind to various
extracellular matrix proteins and glycosaminoglycans as well as certain enzymes,
including matrix metalloproteinase 9. Loss of key protein-protein interactions
may underscore some of the disease pathophysiology. There is currently no
effective treatment for lipoid proteinosis and clinical care is largely
supportive.
PMID- 26564091
TI - Preface.
PMID- 26564092
TI - Foreword.
PMID- 26564093
TI - Comparison of the frequency of the growth hormone pseudogene between juvenile and
adult female masu salmon Oncorhynchus masou.
AB - The proportions of individual masu salmon Oncorhynchus masou whose genotypic and
phenotypic sex differed were compared among juvenile and adult fish in three
rivers, and genotypically male but phenotypically female individuals were
observed 6-16% more often among adults than among juveniles. This suggests that
during the transition from juvenile to adult, survival rates of genotypically
male but phenotypically female individuals are higher than those of normal
females. In contrast, genotypically female but phenotypically male individuals
were only found in the juvenile period, which suggests that they exhibit a
decreased survival rate in comparison with normal males.
PMID- 26564094
TI - VRACs swallow platinum drugs.
PMID- 26564095
TI - Gold Nanorod Rotary Motors Driven by Resonant Light Scattering.
AB - Efficient and robust artificial nanomotors could provide a variety of exciting
possibilities for applications in physics, biology and chemistry, including
nanoelectromechanical systems, biochemical sensing, and drug delivery. However,
the application of current man-made nanomotors is limited by their sophisticated
fabrication techniques, low mechanical output power and severe environmental
requirements, making their performance far below that of natural biomotors. Here
we show that single-crystal gold nanorods can be rotated extremely fast in
aqueous solutions through optical torques dominated by plasmonic resonant
scattering of circularly polarized laser light with power as low as a few mW. The
nanorods are trapped in 2D against a glass surface, and their rotational dynamics
is highly dependent on their surface plasmon resonance properties. They can be
kept continuously rotating for hours with limited photothermal side effects and
they can be applied for detection of molecular binding with high sensitivity.
Because of their biocompatibility, mechanical and thermal stability, and record
rotation speeds reaching up to 42 kHz (2.5 million revolutions per minute), these
rotary nanomotors could advance technologies to meet a wide range of future
nanomechanical and biomedical needs in fields such as nanorobotics, nanosurgery,
DNA manipulation and nano/microfluidic flow control.
PMID- 26564096
TI - Body composition: Where and when.
AB - The in vivo evaluation of body composition is essential in many clinical
investigations, in order to accurately describe and monitor the nutritional
status of a range of medical conditions and physiological processes, including
sick and malnourished patients, pregnant women, breastfeeding women and the
elderly, as well as in patients with cancer, osteoporosis and many other
diseases. This research area is also important to the field of human nutrition
and exercise physiology. Several research investigations have indicated the
importance of measuring fat deposition in different body compartments, in order
to gain a fuller understanding of the genetic factors that contribute to obesity,
obesity-related disorders, such as dyslipidemia, and thereby to a fuller
understanding of obesity associated cardio-metabolic disorders, with relevance to
the relationship between body composition and energy expenditure. The spatial and
temporal dimension, where and when, may influence the physiological relevance and
the pathological implications of the fat composition of different body
compartments, and, as such, is a new element to be considered when assessing body
composition.
PMID- 26564097
TI - Current status of fasciolosis in Vietnam: an update and perspectives.
AB - Vietnam is recognized to be endemic for fasciolosis. However, most of the
available publications have not been published in international journals. This
review is based on national and international Vietnamese publications and
highlights the current status of fasciolosis in Vietnam. It also provides some
information available for neighbouring countries. Updated data on responsible
species, distribution, transmission and control aspects are summarized. The
central region of Vietnam is reported as being highly endemic for fasciolosis,
with a high number of human patients (more than 20,000 in 2011). Fasciola
gigantica is reported to be the main species in Vietnam. However, hybrids between
F. gigantica and F. hepatica were identified. Both humans and animals are
infected by the ingestion of raw vegetables and possibly contaminated drinking
water. Three lymnaeid snail species (Austropeplea viridis, Radix auricularia and
Radix rubiginosa) may act as intermediate hosts of Fasciola spp. However, due to
the likely misidentification of snail species and cercariae during the past
decade the critical analysis of published data is difficult. A better
understanding of transmission aspects of fasciolosis would allow the
implementation of preventive measures of this important neglected zoonotic
disease.
PMID- 26564098
TI - Tetraalkylammonium Salts as Hydrogen-Bonding Catalysts.
AB - Although the hydrogen-bonding ability of the alpha hydrogen atoms on
tetraalkylammonium salts is often discussed with respect to phase-transfer
catalysts, catalysis that utilizes the hydrogen-bond-donor properties of
tetraalkylammonium salts remains unknown. Herein, we demonstrate hydrogen-bonding
catalysis with newly designed tetraalkylammonium salt catalysts in Mannich-type
reactions. The structure and the hydrogen-bonding ability of the new ammonium
salts were investigated by X-ray diffraction analysis and NMR titration studies.
PMID- 26564099
TI - Strengthening training in rural practice in Germany: new approach for
undergraduate medical curriculum towards sustaining rural health care.
AB - CONTEXT: After decades of providing a dense network of quality medical care,
Germany is facing an increasing shortage of medical doctors in rural areas.
Current graduation rates of generalists do not counterbalance the loss due to
retirement. Informed by international evidence, different strategies to ensure
rural medical care are under debate, including innovative teaching approaches
during undergraduate training. ISSUES: The University of Magdeburg in Saxony
Anhalt was the first medical school in Germany to offer a rural elective for
graduate students. During the 2014 summer semester, 14 medical students attended
a two-weekend program in a small village in Northern Saxony-Anhalt that allowed
them to become more familiar with a rural community and rural health issues.
LESSONS LEARNED: The elective course raised a series of relevant topics for
setting up rural practice and provided students with helpful insight into living
and working conditions in rural practice. Preliminary evaluations indicate that
the rural medicine course allowed medical students to reduce pre-existing
concerns and had positive impact on their willingness to set up a rural medical
office after graduation. Even short-term courses in rural practice can help
reduce training-related barriers that prevent young physicians from working in
rural areas. Undergraduate medical training is promising to attenuate the
emerging undersupply in rural areas.
PMID- 26564100
TI - Multipurpose Nature of Rapid Covalent Functionalization on Carbon Nanotubes.
AB - In the vast field of functionalization routes to carbon nanoforms, the
fulfillment of such critical requirements as quick and nonharsh methods, good
dispersibility, introduction of reactive groups, short reaction time, and low
cost can be quite challenging. Traditional thermally induced diazonium chemistry
on single-walled carbon nanotubes (SWCNTs) is revisited by using commercial
anilines and providing useful insight into the versatility of this approach.
Functionalized SWCNTs with multiple controllable features, such as degree (and
ratio) of coverage, orthogonalization, doping, and high water dispersibility, are
obtained by introducing benzenesulfonic acid and benzylamine moieties. The
scenario opens up an avenue to address relevant applications in which most
functionalization methods could not be applied in a straightforward way.
PMID- 26564101
TI - [Telephone consultations in a geriatrics clinic].
PMID- 26564102
TI - Cerebrolysin and Recovery After Stroke (CARS): A Randomized, Placebo-Controlled,
Double-Blind, Multicenter Trial.
AB - BACKGROUND AND PURPOSE: The aim of this trial was to investigate whether stroke
patients who receive Cerebrolysin show improved motor function in the upper
extremities at day 90 compared with patients who receive a placebo. METHODS: This
study was a prospective, randomized, double-blind, placebo-controlled,
multicenter, parallel-group study. Patients were treated with Cerebrolysin (30
mL/d) or a placebo (saline) once daily for 21 days, beginning at 24 to 72 hours
after stroke onset. The patients also participated in a standardized
rehabilitation program for 21 days that was initiated within 72 hours after
stroke onset. The primary end point was the Action Research Arm Test score on day
90. RESULTS: The nonparametric effect size on the Action Research Arm Test score
on day 90 indicated a large superiority of Cerebrolysin compared with the placebo
(Mann-Whitney estimator, 0.71; 95% confidence interval, 0.63-0.79; P<0.0001). The
multivariate effect size on global status, as assessed using 12 different outcome
scales, indicated a small-to-medium superiority of Cerebrolysin (Mann-Whitney
estimator, 0.62; 95% confidence interval, 0.58-0.65; P<0.0001). The rate of
premature discontinuation was <5% (3.8%). Cerebrolysin was safe and well
tolerated. CONCLUSIONS: Cerebrolysin had a beneficial effect on function and
global outcome in early rehabilitation patients after stroke. Its safety was
comparable with that of the placebo, suggesting a favorable benefit/risk ratio.
Because this study was exploratory and had a relatively small sample size, the
results should be confirmed in a large-scale, randomized clinical trial. CLINICAL
TRIAL REGISTRATION: URL: http://www.clinicaltrialsregister.eu. Unique identifier:
2007-000870-21.
PMID- 26564103
TI - Cytosolic Receptor Melanoma Differentiation-Associated Protein 5 Mediates
Preconditioning-Induced Neuroprotection Against Cerebral Ischemic Injury.
AB - BACKGROUND AND PURPOSE: Preconditioning with poly-l-lysine and
carboxymethylcellulose (ICLC) provides robust neuroprotection from cerebral
ischemia in a mouse stroke model. However, the receptor that mediates
neuroprotection is unknown. As a synthetic double-stranded RNA, poly-ICLC may
bind endosomal Toll-like receptor 3 or one of the cytosolic retinoic acid
inducible gene-I-like receptor family members, retinoic acid-inducible gene-I, or
melanoma differentiation-associated protein 5. Activation of these receptors
culminates in type I interferons (IFN-alpha/beta) induction-a response required
for poly-ICLC-induced neuroprotection. In this study, we investigate the receptor
required for poly-ICLC-induced neuroprotection. METHODS: Toll-like receptor 3,
melanoma differentiation-associated protein 5-, and IFN-promoter stimulator 1
deficient mice were treated with poly-ICLC 24 hours before middle cerebral artery
occlusion. Infarct volume was measured 24 hours after stroke to identify the
receptor signaling pathways involved in protection. IFN-alpha/beta induction was
measured in plasma samples collected 6 hours after poly-ICLC treatment. IFN-beta
deficient mice were used to test the requirement of IFN-beta for poly-ICLC
induced neuroprotection. Mice were treated with recombinant IFN-alpha-A to test
the role of IFN-alpha as a potential mediator of neuroprotection. RESULTS: Poly
ICLC induction of both neuroprotection and systemic IFN-alpha/beta requires the
cytosolic receptor melanoma differentiation-associated protein 5 and the adapter
molecule IFN-promoter stimulator 1, whereas it is independent of Toll-like
receptor 3. IFN-beta is not required for poly-ICLC-induced neuroprotection. IFN
alpha treatment protects against stroke. CONCLUSIONS: Poly-ICLC preconditioning
is mediated by melanoma differentiation-associated protein 5 and its adaptor
molecule IFN-promoter stimulator 1. This is the first evidence that a cytosolic
receptor can mediate neuroprotection, providing a new target for the development
of therapeutic agents to protect the brain from ischemic injury.
PMID- 26564104
TI - Reduced Nicotinamide Adenine Dinucleotide Phosphate, a Pentose Phosphate Pathway
Product, Might Be a Novel Drug Candidate for Ischemic Stroke.
AB - BACKGROUND AND PURPOSE: Our previous study has defined a role of TP53-induced
glycolysis and apoptosis regulator in neuroprotection against ischemic injury
through increasing the flow of pentose phosphate pathway. We hypothesized that
the pentose phosphate pathway product nicotinamide adenine dinucleotide phosphate
(NADPH) could be a novel drug for treatment of ischemic stroke. METHODS: The
NADPH was given before, at the onset, or after stroke onset with single or
repeated intravenous (mice and rats) or intraperitoneal injections (monkey). The
short- and long-term therapeutic effects of NADPH were evaluated in male adult
ICR mice (total=614) with transient middle cerebral artery occlusion, in male
adult Sprague-Dawley rats (total=114) with permanent middle cerebral artery
occlusion, and in male adult rhesus monkey (total=12) with thrombotic middle
cerebral artery occlusion. RESULTS: Administration of NADPH led to a dramatic
increase in the levels of ATP and reduced form of glutathione, whereas it
decreased the levels of reactive oxygen species. NADPH significantly reduced
infarct volume, improved poststroke survival, and recovery of neurological
functions in mouse and rat models of stroke. Robust neuroprotection of a single
dose of NADPH was seen when it was administered within 5 hours after reperfusion;
however, repeat administration of NADPH twice a day for 7 days starting 24 hours
after the onset of stroke also offered therapeutic effects. Pretreatment with
NADPH also significantly improved the outcome of stroke insult. CONCLUSIONS:
Administration of exogenous NADPH significantly protected neurons against
ischemia/reperfusion-induced injury in 2 rodent stroke models. Thus, NADPH might
be a promising drug candidate for treatment of ischemic stroke.
PMID- 26564105
TI - Investigation of the origin of stromal and endothelial cells at the desmoplastic
interface in xenograft tumor in mice.
AB - Carcinoma-associated fibroblasts found at the interface between a tumor and the
normal stroma play several roles in the development of cancer, including cancer
initiation, growth, and progression, thereby also affecting patient prognosis.
Although recent studies have focused on carcinoma-associated fibroblasts as
potential treatment targets, the origin of these fibroblasts remains unclear. One
theory suggests that these cells arise from tumor cells undergoing the epithelial
mesenchymal transition, i.e., tumor cells transform into carcinoma-associated
fibroblasts. Therefore, in this study, we aimed to elucidate the cellular origin
of carcinoma-associated fibroblasts in a mouse xenograft model. Mice were
transplanted with human lung cancer cells (H226 and A549 cells). After sacrifice,
tumor masses and surrounding tissues were excised. Interestingly, the excised
xenograft tissues contained a significant proportion of desmoplastic fibroblasts
that exhibited strong expression of alpha-smooth muscle actin (SMA).
Immunohistochemical staining with pan-cytokeratin, vimentin, beta-catenin, E
cadherin, and CD34 showed no evidence of the epithelial-mesenchymal transition.
Additional evaluation using dual-color silver in situ hybridization with
dinitrophenyl-labeled human epidermal growth factor receptor 2 (HER2) and
digoxigenin-labeled chromosome 17 centromere probes also showed similar results.
In conclusion, our results revealed that the epithelial-mesenchymal transition
may not occur in tumor xenograft models, regardless of evidence supporting this
phenomenon in humans.
PMID- 26564106
TI - Hyaline ring granuloma of vegetable: Report of two cases with histochemical and
immunohistochemical study.
AB - BACKGROUND: Peripheral hyaline ring granuloma is a rare lesion characterized by
the presence of hyaline rings and multinucleated giant cells. Its pathogenesis is
related to exogenous factors such as vegetal origin, resulting in foreign body
reaction mediated by macrophages against cellulose particles. We report two
cases: a 58-year-old male with a lesion in the maxillary alveolar mucosa
measuring 1.0cm*1.0cm; and a 50-year-old female presenting a slight swelling in
the alveolar mucosa, measuring 0.7cm*0.7cm and diagnosed as asymptomatic sessile
nodule of fibrous consistency. Microscopic examination revealed a dense
connective tissue with focal area of concentric hyaline collagen deposition and
multinucleated giant cell granulomas of foreign body type. Immunohistochemical
study was positive for anti-CD68/anti-alpha-SMA, confirming the foreign body
reaction and vascular integrity. Histochemical analysis for PAS with and without
diastase and van Gieson highlighted the vegetable exogenous origin of foreign
material. Additionally, we performed a review of 7 cases published in the
literature in the last 10 years.
PMID- 26564107
TI - MTHFR C677T polymorphism and anatomopathological characteristics with prognostic
significance in sporadic colorectal cancer.
AB - Methylenetetrahydrofolate reductase (MTHFR) plays a key role in folate
metabolism, and folate is implicated in carcinogenesis due to its role in DNA
methylation, repair and synthesis. The MTHFR C677T polymorphism is associated
with decreased risk of CRC and increased sensitivity to 5-FU treatment. The
present study addressed the relationship between this polymorphism and
histopathological and immunohistochemical characteristics of prognostic
significance in 50 patients from the Canary Islands. No differences were found
between the MTHFR C677T genotypes with respect to tumor budding, tumor necrosis,
desmoplastic fibrosis and tumoral eosinophilia. No significant differences were
found in Ki-67, bcl-2 (cytoplasmic and nuclear), CD31, CD3+ T lymphocytes (both
stromal and intraepithelial) and peritumoral CD20+ B lymphocytes. In carriers of
the MTHFR CC variant, tumor margins were infiltrative more frequently (68.7%)
than in CT+TT carriers (33.3%, p=0.03). In addition, wild-type CC genotype showed
stromal CD20+ B lymphocytes (68.8%) more often than CT+TT carriers (33.3%,
p=0.03). Both parameters indicate a better tumor prognosis when the MTHFR 677T
variant is present.
PMID- 26564108
TI - Weight fluctuation during adulthood and weight gain since breast cancer diagnosis
predict multiple dimensions of body image among rural breast cancer survivors.
AB - OBJECTIVE: Obesity and weight gain after breast cancer treatment are common among
survivors, yet the relationship between weight and body image has received little
attention. The purpose of the current study was to examine the relationship
between current body mass index, weight gain since diagnosis, and largest weight
fluctuation in adulthood with six dimensions of body image among overweight/obese
breast cancer survivors. METHODS: The current study used data obtained from a
weight control trial with 210 rural overweight/obese breast cancer survivors.
Using data collected at baseline, multiple regression models were constructed to
examine the relative association of the three weight variables with breast cancer
specific dimensions of body image while controlling for demographic
characteristics and cancer treatment-related variables. RESULTS: Largest weight
fluctuation in adulthood significantly predicted overall body image (p = 0.01)
and was associated with the three socially oriented dimensions of body image:
social activity restriction, embarrassment about appearance, and sexuality (all
ps = 0.01). Weight gain since diagnosis approached statistical significance in
predicting overall body image (p = 0.05) and was associated with embarrassment
about appearance (p = 0.03). Current body mass index was not significantly
associated with overall body image when controlling for the other weight
variables (p = 0.07) and was negatively associated with social activity
restriction (p = 0.01) and sexuality (p = 0.01). CONCLUSIONS: Obese breast cancer
survivors with a history of a large weight fluctuation in adulthood may be prone
to poorer breast cancer-specific body image several years after treatment.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26564109
TI - A novel resource for studying function and dysfunction of alpha-synuclein: mouse
lines for modulation of endogenous Snca gene expression.
AB - Pathological modification of alpha-synuclein is believed to be an important event
in pathogenesis of Parkinson's disease and several other neurodegenerative
diseases. In normal cells this protein has been linked to many intracellular
processes and pathways. However, neither normal function of alpha-synuclein in
neuronal and certain other types of cells nor its exact role in the disease
pathogenesis is well understood, which is largely due to limitations of animal
models used for studying this protein. We produced and validated several novel
mouse lines for manipulating expression of the endogenous Snca gene coding for
alpha-synuclein. These include a line for conditional Cre-recombinase-driven
inactivation of the gene; a line for conditional Flp-driven restoration of a neo
cassete-blocked alpha-synuclein expression; a new line with a "clean" constituent
knockout of the gene as well as a line carrying this knockout locus and Rosa26
stop-lacZ reporter locus linked at the same mouse chromosome 6. Altogether these
lines represent a set of new useful tools for studies of alpha-synuclein normal
function and the role of this protein in disease pathogenesis.
PMID- 26564110
TI - Upper ureteric transitional cell carcinoma, extending to the renal pelvis,
presenting as duodenal obstruction.
AB - A 61-year-old man presented with weight loss, dysphagia and vomiting. A barium
swallow revealed a duodenal obstruction at D3. CT of the abdomen and pelvis
showed a left upper ureteric tumour extending to the renal pelvis compressing the
duodenum and causing left-sided hydronephrosis. Cystoscopy and left-sided
ureteroscopy proved difficult and were unable to visualise or biopsy the mass,
but a left ureteric stent was placed. Laparoscopic biopsy of the mass was
completed and histology revealed transitional cell carcinoma. The patient went on
to receive palliative chemotherapy, which relieved the small bowel obstruction,
and the patient was able to eat solid food 8 weeks later. This case highlights a
previously unreported cause of duodenal obstruction.
PMID- 26564111
TI - A healthy patient with bilateral frozen hips preceding bilateral frozen
shoulders: a cautionary tale.
AB - Adhesive capsulitis of the shoulder (frozen shoulder) is a common disease
characterised by spontaneous onset of pain and restriction of movement, followed
by 'thawing', with complete or near-complete resolution. Adhesive capsulitis of
the hip has been reported in around a dozen patients. This report describes an
otherwise-healthy middle-aged woman with apparent sequential resolving adhesive
capsulitis of all four ball-and-socket joints over a 9-year period, initially
affecting each hip and then each shoulder sequentially. The likely hip diagnosis
became clear only retrospectively with development of the second frozen shoulder,
5 years after the first pain. All joints subsequently resolved within the
expected timeframe and the patient remains healthy, other than having mild
hypertension. This case illustrates that, when hip precedes shoulder involvement,
there is the potential for the frozen hip to receive alternate diagnoses for
which invasive open hip surgery could unnecessarily be recommended.
PMID- 26564112
TI - Neck swelling after thyroidectomy: not always a haematoma.
AB - We present a case of a patient who returned with a neck swelling 6 days following
thyroidectomy and central neck compartment lymphadenectomy for suspected thyroid
carcinoma. The initial clinical suspicion pointed to a haematoma, but a needle
aspiration showed chyle. Chyle leak is a rare complication of thyroid surgery. In
the described case, this was successfully managed conservatively with repeated
aspirations and a low-fat diet. We discuss the aetiology, presentation and
management of this complication.
PMID- 26564113
TI - Not just another thunderclap headache: a case of isolated cortical vein
thrombosis and subarachnoid haemorrhage.
PMID- 26564114
TI - DPG-plus syndrome: new report of a rare entity.
AB - Pituitary gland duplication is a particularly rare finding. Different theories
have been proposed to explain its pathogenesis, however, this phenomenon is not
yet totally understood. Recently, duplication of the pituitary gland (DPG)-plus
syndrome has been described, associating DPG with other blastogenic defects. We
present the clinical and imaging findings of a newborn girl with DPG, associated
with multiple other midline anomalies, including a nasopharyngeal teratoma,
palate cleft deformity, bifid nasal bridge, tongue and uvula, hypoplasia of the
basis pontis and corpus callosum, duplication of the basilar artery and
hypothalamic hamartoma. We describe our patient's multidisciplinary team approach
and emphasise the importance of reporting upcoming cases, in order to give more
insight into the understanding of this complex entity.
PMID- 26564115
TI - Vertebral artery dissection in evolution found during chiropractic examination.
AB - A 30-year-old woman presented to an emergency department with sudden onset of
transient loss of left peripheral vision. Owing to a history of migraine
headaches, she was released with a diagnosis of ocular migraine. Two days later,
she sought chiropractic care for the chief symptom of severe neck pain. The
chiropractor suspected the possibility of vertebral artery dissection (VAD). No
manipulation was performed; instead, MR angiography (MRA) of the neck was
obtained, which revealed an acute left VAD with early thrombus formation. The
patient was placed on aspirin therapy. Repeat MRA of the neck 3 months later
revealed resolution of the thrombus, without progression to stroke. This case
illustrates the importance for all healthcare providers who see patients with
neck pain and headache to be attentive to the symptomatic presentation of
possible VAD in progress.
PMID- 26564116
TI - Metastatic choriocarcinoma induced separate simultaneous intracerebral
haemorrhages: a very rare occurrence and its novel association with Klinefelter
syndrome.
AB - Non-traumatic separate simultaneous intracerebral haemorrhages (SSIHs) are rare.
Relevant aetiologies are diverse and their diagnosis challenging. We report a
unique case of SSIH in an 18-year-old male with a background of previously
undiagnosed testicular choriocarcinoma and Klinefelter syndrome. The patient was
admitted to Auckland City Hospital with headaches, drowsiness and vomiting. A CT
scan revealed SSIH in a background of tumorous lesions. His beta human chorionic
gonadotropin titre was elevated at 355 000 IU/L. The SSIH and the associated
tumorous lesions were acutely surgically resected and the patient started on
bleomycin, etoposide and cisplatin combination chemotherapy with excellent
results. In this article, we underline choriocarcinoma as a rare aetiology of
SSIH and present an example of the clinical presentation, investigation and
management of this very rare pathological entity.
PMID- 26564117
TI - Atypical CML with massive splenic infarct: an extremely rare presentation.
AB - We report a case of a 47-year-old man who presented with hepatosplenomegaly,
anaemia and massive splenic infarct. A series of investigations led us to a
diagnosis of atypical chronic myeloid leucaemia, a rare variety of a mixed
myelodysplastic/myeloproliferative neoplasm. This is the first case of atypical
CML presenting with massive splenic infarction.
PMID- 26564118
TI - Sensorineural deafness following routine transurethral resection of the prostate.
AB - A man in his 50s presented to a rural Australian emergency department with
complete unilateral hearing loss following transurethral resection of the
prostate. His hearing impairment progressed from 'muffled hearing' with tinnitus
on emergence from anaesthesia, to total sensorineural deafness by day three. His
surgery and anaesthesia were uncomplicated and he had remained normotensive
throughout. He had no pre-existing auditory disease. He had received 240 mg of
intravenous gentamicin intraoperatively for surgical prophylaxis. Renal function
was normal. Brain imaging was negative for structural pathology, stroke and
circulatory insufficiency. Ear nose and throat advised 7 days of oral
corticosteroids, transtympanic dexamethasone and hyperbaric oxygen therapy. A
working diagnosis of gentamicin-induced ototoxicity was applied. Intervention has
proven unsuccessful and there is no possibility for rehabilitation. The patient
is permanently disabled.
PMID- 26564119
TI - Unusual mode of failure of intertrochanteric femur fracture fixation.
AB - The dynamic hip screw fixation is a commonly used modality of treatment for
intertrochanteric femur (ITF) fractures. Various modes of failure in an operated
case of ITF fracture have been described. The most frequent mode of failure is
screw cut-out through the head. Occurrence of mechanical failure is rare and
usually involves the shaft of the plate. We describe an unusual case with failure
at the barrel of the plate and hip screw. A middle-aged man with a previously
operated ITF fracture presented with pain in the same hip. Radiographs revealed
non-union of the fracture with an implant failure. Revision surgery was performed
during which a unique mode of implant failure was found to have occurred. The
barrel of the plate had broken resulting in a bent Richard's screw. This case
underlines the importance of high stress failure in non-union as opposed to high
cycle, low stress failure, which is more frequently seen.
PMID- 26564120
TI - VIPoma with multiple endocrine neoplasia type 1 identified as an atypical gene
mutation.
AB - A 47-year-old man presented with persistent diarrhoea and hypokalaemia. CT
revealed 4 pancreatic tumours that appeared to be VIPomas, because the patient
had an elevated plasma vasoactive intestinal polypeptide level. MRI showed a low
intensity area in the pituitary suggestive of a pituitary tumour, and a
parathyroid tumour was detected by ultrasonography and 99Tc-MIBI scintigraphy.
Given these results, the patient was diagnosed with multiple endocrine neoplasia
type 1 (MEN1) and scheduled for surgery. MEN1 is an autosomal dominant disorder
associated with MEN1 mutations. Genetic testing indicated that the patient had a
MEN1 gene mutation; his 2 sons had the same mutations. Most MEN1 tumours are
benign, but some pancreatic and thymic tumours could become malignant. Without
treatment, such tumours would result in earlier mortality. Despite its rarity, we
should perform genetic testing for family members of patients with MEN1 to
identify mutation carriers and improve the patients' prognosis.
PMID- 26564121
TI - Portal hypertensive gastropathy and gastric antral vascular ectasia.
AB - Portal hypertensive gastropathy (PHG) and gastric antral vascular ectasia (GAVE)
are gastric mucosal lesions that mostly present as chronic anemia and rarely
cause the acute gastrointestinal hemorrhage. Despite similar clinical
manifestations, their pathophysiology and management are entirely different. PHG
is seen exclusively in patients with portal hypertension, but GAVE can also be
observed in patients with other conditions. Their diagnosis is endoscopic, and
although generally each of them has a characteristic endoscopic appearance and
distribution, there are cases in which the differential is difficult and must
rely on histology. This review focuses on the management of both entities. The
mainstay of management of PHG is based on portal-hypotensive pharmacological
treatment while GAVE benefits from hormonal therapy, endoscopic Nd:YAG laser, and
argon plasma coagulation. More invasive options should be reserved for refractory
cases.
PMID- 26564122
TI - Calibration Model for Apnea-Hypopnea Indices: Impact of Alternative Criteria for
Hypopneas.
AB - STUDY OBJECTIVE: To characterize the association among apnea-hypopnea indices
(AHIs) determined using three common metrics for defining hypopnea, and to
develop a model to calibrate between these AHIs. DESIGN: Cross-sectional analysis
of Sleep Heart Health Study Data. SETTING: Community-based. PARTICIPANTS: There
were 6,441 men and women age 40 y or older. MEASUREMENT AND RESULTS: Three
separate AHIs have been calculated, using all apneas (defined as a decrease in
airflow greater than 90% from baseline for >= 10 sec) plus hypopneas (defined as
a decrease in airflow or chest wall or abdominal excursion greater than 30% from
baseline, but not meeting apnea definitions) associated with either: (1) a 4% or
greater fall in oxyhemoglobin saturation-AHI4; (2) a 3% or greater fall in
oxyhemoglobin saturation-AHI3; or (3) a 3% or greater fall in oxyhemoglobin
saturation or an event-related arousal-AHI3a. Median values were 5.4, 9.7, and
13.4 for AHI4, AHI3, and AHI3a, respectively (P < 0.0001). Penalized spline
regression models were used to compare AHI values across the three metrics and to
calculate prediction intervals. Comparison of regression models demonstrates
divergence in AHI scores among the three methods at low AHI values and gradual
convergence at higher levels of AHI. CONCLUSIONS: The three methods of scoring
hypopneas yielded significantly different estimates of the apnea-hypopnea index
(AHI), although the relative difference is reduced in severe disease. The
regression models presented will enable clinicians and researchers to more
appropriately compare AHI values obtained using differing metrics for hypopnea.
PMID- 26564123
TI - A Four-Year Longitudinal Study on Restless Legs Syndrome in Parkinson Disease.
AB - STUDY OBJECTIVES: Restless legs syndrome (RLS) prevalence estimates range from 0%
to 52% in Parkinson disease (PD), but the causal relationship between the two
disorders is still debated. The present study aims to evaluate RLS prevalence in
de novo PD subjects, its incidence during the first 4 years from diagnosis, and
possible relationships with clinical, laboratory, and neuroradiological data.
METHODS: One hundred nine newly diagnosed, drug-naive PD subjects were evaluated
at the time of PD diagnosis, and after 2- and 4-years. RLS diagnosis was
performed with the RLS Diagnostic Index at each visit. Motor features, additional
non-motor symptoms (NMS), and concomitant dopaminergic and nondopaminergic
treatments were also gathered. Moreover, at baseline, 65 subjects were randomly
selected to undergo a FP-CIT SPECT to study dopamine transporter availability.
RESULTS: RLS prevalence rose from 4.6% at baseline evaluation to 6.5% after 2
years and to 16.3% after 4 years (P = 0.007). A multinomial logistic stepwise
regression model selected NMS Questionnaire items more likely to be associated
with RLS at diagnosis (insomnia, OR = 15.555; P = 0.040) and with occurrence of
RLS during follow-up (dizziness, OR = 1.153; P = 0.022; and daytime sleepiness;
OR = 9.557; P = 0.001), as compared to patients without RLS. Older age was more
likely associated to increased RLS occurrence during follow-up in a random effect
logistic regression model (OR = 1.187; P = 0.036). A multinomial logistic
stepwise model found increased dopaminergic transporter availability of affected
caudate and putamen to be more likely associated with RLS presence at diagnosis
(n = 5; OR = 75.711; P = 0.077), and RLS occurrence during follow-up (n = 16; OR
= 12.004; P = 0.059), respectively, as compared to patients without RLS (n = 88).
CONCLUSIONS: RLS is present since PD diagnosis, and increases in prevalence
during the course of PD. PD subjects with RLS have higher age at PD onset, more
preserved dopaminergic pathways, and worse sleep and cardiovascular disturbances.
PMID- 26564124
TI - Altered Sleep Homeostasis in Rev-erbalpha Knockout Mice.
AB - STUDY OBJECTIVES: The nuclear receptor REV-ERBalpha is a potent, constitutive
transcriptional repressor critical for the regulation of key circadian and
metabolic genes. Recently, REV-ERBalpha's involvement in learning, neurogenesis,
mood, and dopamine turnover was demonstrated suggesting a specific role in
central nervous system functioning. We have previously shown that the brain
expression of several core clock genes, including Rev-erbalpha, is modulated by
sleep loss. We here test the consequences of a loss of REV-ERBalpha on the
homeostatic regulation of sleep. METHODS: EEG/EMG signals were recorded in Rev
erbalpha knockout (KO) mice and their wild type (WT) littermates during baseline,
sleep deprivation, and recovery. Cortical gene expression measurements after
sleep deprivation were contrasted to baseline. RESULTS: Although baseline
sleep/wake duration was remarkably similar, KO mice showed an advance of the
sleep/wake distribution relative to the light-dark cycle. After sleep onset in
baseline and after sleep deprivation, both EEG delta power (1-4 Hz) and sleep
consolidation were reduced in KO mice indicating a slower increase of homeostatic
sleep need during wakefulness. This slower increase might relate to the smaller
increase in theta and gamma power observed in the waking EEG prior to sleep onset
under both conditions. Indeed, the increased theta activity during wakefulness
predicted delta power in subsequent NREM sleep. Lack of Rev-erbalpha increased
Bmal1, Npas2, Clock, and Fabp7 expression, confirming the direct regulation of
these genes by REV-ERBalpha also in the brain. CONCLUSIONS: Our results add
further proof to the notion that clock genes are involved in sleep homeostasis.
Because accumulating evidence directly links REV-ERBalpha to dopamine signaling
the altered homeostatic regulation of sleep reported here are discussed in that
context.
PMID- 26564125
TI - Changes in Sleep Duration and Sleep Timing Associated with Retirement
Transitions.
AB - STUDY OBJECTIVES: Investigate whether retirement transitions are associated with
changes in sleep duration and sleep timing, and whether these associations are
modified by age, sex, mental health, or circadian preference. METHODS: The
Retirement and Sleep Trajectories (REST) study is a longitudinal study consisting
of four annual mailed surveys that collected information about employment, sleep,
and health. Differences in reported sleep duration, bedtime and wake time between
successive surveys were calculated to estimate change over 1, 2, and 3 y. Linear
regression models were used to estimate changes in these sleep parameters
associated with retirement 1, 2, and 3 y posttransition. RESULTS: Retiring from
full-time work was associated with bedtimes that were 30, 31, and 36 min later 1,
2, and 3 y postretirement; wake times that were 63, 69, and 78 min later; and
sleep durations that were 15, 16, and 22 min longer 1, 2, and 3 y postretirement.
These associations did not differ by sex or mental health status. Age and
circadian preference modified the associations between retirement and change in
sleep parameters; the increase in sleep duration was shorter and the wake time
extension was lesser with advancing retirement age; those with evening preference
had longer wake time extensions than those with morning preference. CONCLUSION:
Transitioning to retirement is associated with longer sleep duration, later
bedtimes, and later wake times. These changes were detectable about 1 y postwork
transition and were persistent up to 3 y later.
PMID- 26564126
TI - RGS Proteins and Galphai2 Modulate Sleep, Wakefulness, and Disruption of Sleep/
Wake States after Isoflurane and Sevoflurane Anesthesia.
AB - STUDY OBJECTIVES: This study tested the hypothesis that Regulators of G protein
Signaling (RGS) proteins contribute to the regulation of wakefulness, non-rapid
eye movement (NREM) sleep, and rapid eye movement (REM) sleep, and to sleep
disruption caused by volatile anesthetics. METHODS: The three groups used in this
study included wild-type (WT; n = 7) mice and knock-in mice that were
heterozygous (+/GS; n = 7) or homozygous (GS/GS; n = 7) for an RGS-insensitive
allele that causes prolonged Galphai2 signaling. Mice were implanted with
electrodes for recording sleep and conditioned for 1 week or more to sleep in the
laboratory. Using within and between groups designs, 24-h recordings of
wakefulness, NREM sleep, and REM sleep were compared across three interventions:
(1) baseline (control) and after 3 h of being anesthetized with (2) isoflurane or
(3) sevoflurane. RESULTS: Baseline recordings during the light phase revealed
that relative to WT mice, homozygous RGS-insensitive (GS/GS) mice exhibit
significantly increased wakefulness and decreased NREM and REM sleep. During the
dark phase, these state-specific differences remained significant but reversed
direction of change. After cessation of isoflurane and sevoflurane anesthesia
there was a long-lasting and significant disruption of sleep and wakefulness. The
durations of average episodes of wakefulness, NREM sleep, and REM sleep were
significantly altered as a function of genotype and isoflurane and sevoflurane
anesthesia. CONCLUSIONS: RGS proteins and Galphai2 play a significant role in
regulating states of wakefulness, NREM sleep, and REM sleep. Genotype-specific
differences demonstrate that RGS proteins modulate sleep disruption caused by
isoflurane and sevoflurane anesthesia. The results also support the conclusion
that isoflurane and sevoflurane anesthesia do not satisfy the homeostatic drive
for sleep.
PMID- 26564127
TI - Longer Sleep Duration and Midday Napping Are Associated with a Higher Risk of CHD
Incidence in Middle-Aged and Older Chinese: the Dongfeng-Tongji Cohort Study.
AB - STUDY OBJECTIVES: To analyze the independent and combined relations of sleep
duration and midday napping with coronary heart diseases (CHD) incidence along
with the underlying changes of cardiovascular disease (CVD) risk factors among
Chinese adults. METHODS: We included 19,370 individuals aged 62.8 years at
baseline from September 2008 to June 2010, and they were followed until October
2013. Cox proportional hazards models and general linear models were used for
multivariate longitudinal analyses. RESULTS: Compared with sleeping 7- < 8
h/night, the hazard ratio (HR) of CHD incidence was 1.33 (95% CI = 1.10 to 1.62)
for sleeping >= 10 h/night. The association was particularly evident among
individuals who were normal weight and without diabetes. Similarly, the HR of
incident CHD was 1.25 (95% CI = 1.05 to 1.49) for midday napping > 90 min
compared with 1-30 min. When sleep duration and midday napping were combined,
individuals having sleep duration >= 10 h and midday napping > 90 min were at a
greater risk of CHD than those with sleeping 7- < 8 h and napping 1-30 min: the
HR was 1.67 (95% CI = 1.04 to 2.66; P for trend = 0.017). In addition, longer
sleep duration >= 10 h was significantly associated with increases in
triglycerides and waist circumference, and a reduction in HDL-cholesterol; while
longer midday napping > 90 min was related to increased waist circumference.
CONCLUSIONS: Both longer sleep duration and midday napping were independently and
jointly associated with a higher risk of CHD incidence, and altered lipid profile
and waist circumference may partially explain the relationships.
PMID- 26564128
TI - Impact of Restless Legs Syndrome on Cardiovascular Autonomic Control.
AB - STUDY OBJECTIVES: To examine whether patients with restless legs syndrome
demonstrate specific alterations in cardiovascular autonomic control. METHODS:
Patients with moderate-severe restless legs syndrome (n = 20, 80% female) and
controls (n = 20) matched for age, sex, body mass index, and free of hypertension
and cardiovascular disease were enrolled. We assessed cardiovagal baroreflex gain
via the modified Oxford technique, sympathetically mediated vascular responses to
isometric exercise to fatigue, bradycardiac response to Valsalva maneuver, and
respiratory sinus arrhythmia during paced breathing. Standard
electrocardiography, beat-by-beat arterial pressure, respiration, and popliteal
blood flow velocity were recorded continuously. RESULTS: Resting blood pressure
and heart rate were similar between groups. However, baroreflex gain averaged
14.3 +/- 1.4 msec/mm Hg in restless legs syndrome and was lower than in controls
(22.6 +/- 3.5 msec/mm Hg, P = 0.04). Hemodynamic responses to isometric exercise
were similar between groups, though participants with restless legs syndrome had
lower leg blood flow (P < 0.001), with greater leg vascular resistance (P <
0.0001), before and during isometric exercise. Respiratory sinus arrhythmia and
Valsalva ratios were similar between groups. Neither baroreflex gain nor vascular
resistance was correlated with sleep duration, sleep quality, or symptom
duration. CONCLUSION: Patients with restless legs syndrome demonstrate
compromised cardiovagal control, specific to the arterial baroreflex, with
greater peripheral vascular resistance, potentially due to heightened sympathetic
outflow. These autonomic alterations may directly relate to the higher prevalence
of cardiovascular disease in restless legs syndrome.
PMID- 26564129
TI - Smoking, Alcohol, Drug Use, Abuse and Dependence in Narcolepsy and Idiopathic
Hypersomnia: A Case-Control Study.
AB - STUDY OBJECTIVES: Basic experiments support the impact of hypocretin on
hyperarousal and motivated state required for increasing drug craving. Our aim
was to assess the frequencies of smoking, alcohol and drug use, abuse and
dependence in narcolepsy type 1 (NT1, hypocretin-deficient), narcolepsy type 2
(NT2), idiopathic hypersomnia (IH) (non-hypocretin-deficient conditions), in
comparison to controls. We hypothesized that NT1 patients would be less
vulnerable to drug abuse and addiction compared to other hypersomniac patients
and controls from general population. METHODS: We performed a cross-sectional
study in French reference centres for rare hypersomnia diseases and included 450
adult patients (median age 35 years; 41.3% men) with NT1 (n = 243), NT2 (n =
116), IH (n = 91), and 710 adult controls. All participants were evaluated for
alcohol consumption, smoking habits, and substance (alcohol and illicit drug)
abuse and dependence diagnosis during the past year using the Mini International
Neuropsychiatric Interview. RESULTS: An increased proportion of both tobacco and
heavy tobacco smokers was found in NT1 compared to controls and other
hypersomniacs, despite adjustments for potential confounders. We reported an
increased regular and frequent alcohol drinking habit in NT1 versus controls but
not compared to other hypersomniacs in adjusted models. In contrast, heavy
drinkers were significantly reduced in NT1 versus controls but not compared to
other hypersomniacs. The proportion of patients with excessive drug use (codeine,
cocaine, and cannabis), substance dependence, or abuse was low in all subgroups,
without significant differences between either hypersomnia disorder categories or
compared with controls. CONCLUSIONS: We first described a low frequency of
illicit drug use, dependence, or abuse in patients with central hypersomnia,
whether Hcrt-deficient or not, and whether drug-free or medicated, in the same
range as in controls. Conversely, heavy drinkers were rare in NT1 compared to
controls but not to other hypersomniacs, without any change in alcohol dependence
or abuse frequency. Although disruption of hypocretin signaling in rodents
reduces drug-seeking behaviors, our results do not support that hypocretin
deficiency constitutes a protective factor against the development of drug
addiction in humans.
PMID- 26564130
TI - Expanded Insights into Idiopathic REM Sleep Behavior Disorder.
PMID- 26564132
TI - Waking Up to the Importance of Sleep and Circadian Rhythms for Metabolic Health:
The Need for In-Depth Phenotyping.
PMID- 26564133
TI - Is Sleep Health a Potential Pathway to Global Mental Health?
PMID- 26564131
TI - Impact of Sleep and Circadian Disruption on Energy Balance and Diabetes: A
Summary of Workshop Discussions.
AB - A workshop was held at the National Institute for Diabetes and Digestive and
Kidney Diseases with a focus on the impact of sleep and circadian disruption on
energy balance and diabetes. The workshop identified a number of key principles
for research in this area and a number of specific opportunities. Studies in this
area would be facilitated by active collaboration between investigators in
sleep/circadian research and investigators in metabolism/diabetes. There is a
need to translate the elegant findings from basic research into improving the
metabolic health of the American public. There is also a need for investigators
studying the impact of sleep/circadian disruption in humans to move beyond
measurements of insulin and glucose and conduct more in-depth phenotyping. There
is also a need for the assessments of sleep and circadian rhythms as well as
assessments for sleep-disordered breathing to be incorporated into all ongoing
cohort studies related to diabetes risk. Studies in humans need to complement the
elegant short-term laboratory-based human studies of simulated short sleep and
shift work etc. with studies in subjects in the general population with these
disorders. It is conceivable that chronic adaptations occur, and if so, the
mechanisms by which they occur needs to be identified and understood. Particular
areas of opportunity that are ready for translation are studies to address
whether CPAP treatment of patients with pre-diabetes and obstructive sleep apnea
(OSA) prevents or delays the onset of diabetes and whether temporal restricted
feeding has the same impact on obesity rates in humans as it does in mice.
PMID- 26564134
TI - CBT-I Cannot Rest Until the Sleepy Teen Can.
PMID- 26564135
TI - A Step Forward for Better Interpreting the Apnea-Hypopnea Index.
PMID- 26564136
TI - Banking Sleep and Biological Sleep Need.
PMID- 26564137
TI - Fragmented Sleep and Cortical Thinning in Old Adults: Time to Wake Up?
PMID- 26564138
TI - Locus Coeruleus Neural Fatigue: A Potential Mechanism for Cognitive Impairment
during Sleep Deprivation.
PMID- 26564139
TI - Sleep, Sleep Alterations, Stress--Combined Effects on Memory?
PMID- 26564140
TI - The Promise of Digital CBT-I.
PMID- 26564141
TI - Memantine and Kynurenic Acid: Current Neuropharmacological Aspects.
AB - Glutamatergic neurotransmission, of special importance in the human brain, is
implicated in key brain functions such as synaptic plasticity and memory. The
excessive activation of N-methyl- D-aspartate (NMDA) receptors may result in
excitotoxic neuronal damage; this process has been implicated in the
pathomechanism of different neurodegenerative disorders, such as Alzheimer's
disease (AD). Memantine is an uncompetitive antagonist of NMDA receptors with a
favorable pharmacokinetic profile, and is therefore clinically well tolerated.
Memantine is approved for the treatment of AD, but may additionally be beneficial
for other dementia forms and pain conditions. Kynurenic acid (KYNA) is an
endogenous antagonist of NMDA receptors which has been demonstrated under
experimental conditions to be neuroprotective. The development of a well
tolerated NMDA antagonist may offer a novel therapeutic option for the treatment
of neurodegenerative disease and pain syndromes. KYNA may be a valuable candidate
for future drug development.
PMID- 26564142
TI - Mappability of drug-like space: towards a polypharmacologically competent map of
drug-relevant compounds.
AB - Intuitive, visual rendering--mapping--of high-dimensional chemical spaces (CS),
is an important topic in chemoinformatics. Such maps were so far dedicated to
specific compound collections--either limited series of known activities, or
large, even exhaustive enumerations of molecules, but without associated property
data. Typically, they were challenged to answer some classification problem with
respect to those same molecules, admired for their aesthetical virtues and then
forgotten--because they were set-specific constructs. This work wishes to address
the question whether a general, compound set-independent map can be generated,
and the claim of "universality" quantitatively justified, with respect to all the
structure-activity information available so far--or, more realistically, an
exploitable but significant fraction thereof. The "universal" CS map is expected
to project molecules from the initial CS into a lower-dimensional space that is
neighborhood behavior-compliant with respect to a large panel of ligand
properties. Such map should be able to discriminate actives from inactives, or
even support quantitative neighborhood-based, parameter-free property prediction
(regression) models, for a wide panel of targets and target families. It should
be polypharmacologically competent, without requiring any target-specific
parameter fitting. This work describes an evolutionary growth procedure of such
maps, based on generative topographic mapping, followed by the validation of
their polypharmacological competence. Validation was achieved with respect to a
maximum of exploitable structure-activity information, covering all of Homo
sapiens proteins of the ChEMBL database, antiparasitic and antiviral data, etc.
Five evolved maps satisfactorily solved hundreds of activity-based ligand
classification challenges for targets, and even in vivo properties independent
from training data. They also stood chemogenomics-related challenges, as
cumulated responsibility vectors obtained by mapping of target-specific ligand
collections were shown to represent validated target descriptors, complying with
currently accepted target classification in biology. Therefore, they represent,
in our opinion, a robust and well documented answer to the key question "What is
a good CS map?"
PMID- 26564143
TI - Insights into resistance mechanism of the macrolide biosensor protein MphR(A)
binding to macrolide antibiotic erythromycin by molecular dynamics simulation.
AB - Macrolide biosensor protein MphR(A) has been known as a key regulatory protein in
metabolite sensing and genetic expression regulating. MphR(A) protein binds to
macrolide antibiotic erythromycin (Ery) and releases the gene operon, thus
activates expression of the mphA gene and initiates Ery resistance. The two
mutant amino acid residues (V66L and V126L) might potentially disrupt Ery binding
to MphR(A). In these studies, the binding of macrolide antibiotic Ery to wild
type (Wt) MphR(A) and double mutant (V66L/V126L) MphR(A) are explored by
molecular dynamics simulations. Compared to the Apo-MphR(A) protein and Wt
MphR(A)-Ery complex, many interesting effects owing to the double mutant
(V66L/V126L) are discovered. In the case of Ery, Helix I which plays an important
role in transcription shows itself a right-hand alpha helix in Wt-MphR(A)-Ery,
whereas the activated helix is broken down in double mutant-V66L/V126L-MphR(A)
Ery. The calculated results exhibit that the double mutant V66L/V126L reduces the
binding affinity of the V66L/V126L-MphR(A) to Ery, resulting in the block of Ery
resistance. The binding free energy decomposition analysis reveals that the
decrease of the binding affinity for the variant V66L/V126L-MphR(A)-Ery is mainly
attributed to the gas phase electrostatic energies. The residue Leu66, Thr154,
and Arg122 enhance the binding affinity of V66L/V126L-MphR(A) to Ery. The
residues Tyr103 and His147 contributes mainly to binding energies in the Wt
MphR(A)-Ery complex, whereas the two residues have no contribution to the binding
free energy inV66L/V126L-MphR(A)-Ery complex. Our study gives useful insights
into the nature of amino acids mutation effect, the mechanism of blocking drug
resistance at the atomic level and the characteristics in binding affinity for
Ery to double mutant (V66L/V126L) MphR(A), which will contribute to the design of
more effective macrolide antibiotics.
PMID- 26564144
TI - The developing paradigm of urologic training in the USA.
PMID- 26564145
TI - Tripterysium glycosides preconditioning attenuates renal ischemia/reperfusion
injury in a rat model.
AB - BACKGROUND: Ischemia-reperfusion (I/R) injury to the kidney occurs commonly in
organ transplantation from donation after cardiac death, involving many
pathologic processes. In this study, we used rat model to assess whether
tripterysium glycosides (TG) preconditioning could exert protective effects in
renal I/R injury. MATERIALS AND METHODS: All male SD rats were randomly divided
into four groups (6 each): sham group, TG group, I/R group and TG + I/R group.
Groups TG and TG + I/R were pretreated with TG at 0.1 mg/kg for 14 days; groups
sham and I/R were administered with the same dosage of normal saline. Groups TG +
I/R and I/R underwent 45 min of renal ischemia of left kidney after right
nephrectomy, and then, they were subjected to 72-h reperfusion. Groups sham and
TG were only received right nephrectomy. The indicators of apoptosis, fibrosis
and inflammation were analyzed to evaluate the effect of tripterysium glycosides
preconditioning on renal I/R injury. RESULTS: Pretreatment with TG significantly
inhibited the levels of serum creatine and blood urea nitrogen and improved
histologic lesions induced by I/R injury. Moreover, for the apoptosis signal
pathway, pretreatment with TG markedly decreased the expression of caspase-3 and
Bax and increased the level of Bcl-2. HMGB1, which was regarded as one of
inflammation marker molecule, it was inhibited in the TG + I/R group. For the
fibrosis signal pathway, the pretreatment with TG before I/R could down-regulate
the expression level of typical molecules of fibrosis (TGF-beta1, Smad3, p
Smad3). CONCLUSIONS: Pretreatment with tripterysium glycosides exhibited
protective effect on kidney ischemia/reperfusion injury, which might be related
to the alleviation of inflammation, fibrosis and the reduction in apoptosis.
PMID- 26564146
TI - Product rotational angular momentum polarization of H+FCl (v=0-5; j=0, 3, 6, 9)
> HF+Cl and HCl+F at Erel=0.5-20 kcal mol(-1).
AB - The rotational angular momentum polarizations of product molecules of the title
reactions on the ground potential energy surface 1 (2)A' of DHTSN [Deskevic et
al. J Chem Phys 2006, 124, 224303] have been studied using the quasi-classical
trajectory method. Reaction dynamic results of the HF product channel comparing
with another channel of HCl with 100,000 trajectories can be accurately resolved.
We show the value of the polar p(thetar) in the range of 0 degrees <= thetar <=
180( degrees ), azimuthal p(phir) in the range of 0 degrees <= phir <= 360(
degrees ), and dihedral p(thetar, phir) in the ranges of 0( degrees ) <= thetar
<= 180( degrees ) and 0( degrees ) <= phir <= 360( degrees ); the angular
distributions of the product molecules HF and HCl at relative Erel = 0.5, 1, 2,
5, 10, 15, and 20 kcal mol(-1); and four polarization-dependent differential
cross sections (PDDCSs) of HF and HCl at Erel = 0.5, 1, 2, 5, 10, and 15 kcal
mol(-1). p(phir) distributions at v = 0-5, and j = 0, 3, 6, 9 at every Erel are
plotted cylindrically together. The stereo dynamic transformation reaction
dependent upon the rovibrational states of the reactant molecule FCl and its
relative translational energies around 0.5-5 kcal mol(-1) can be significantly
differentiated. Translational and rovibrational enhancements of the title
reactions on both early barrier potential energy surfaces have been shown in
great detail and clarified. Reaction mechanisms of forward and backward
scattering of the product molecules HF and HCl, respectively, have been obtained.
Graphical Abstract H + FCl -> either HF + Cl (left) or HCl + F (right) is moving
along a trajectory on the respective PES.
PMID- 26564147
TI - Functional insights from a comparative study on the dynamics of Antigen85
proteins and MPT51 from Mycobacterium tuberculosis.
AB - Antigen85 (Ag85) proteins of Mycobacterium tuberculosis are mycolyl transferases
that aid in cell wall biosynthesis. MPT51 (Ag85D) is closely related to Ag85
proteins. We have performed a comparative molecular dynamics (MD) simulation
study of Ag85 proteins (Ag85A, Ag85B, and Ag85C) and MPT51. We observe that helix
alpha5, beta7-alpha9 loop, and N-terminal region of helix alpha9 of Ag85 proteins
are mobile, suggestive of lid like movement over the active site. Further, in
Ag85B, we observe the proposed scooting mode of the hydrophobic gating residue
Phe232. Our simulations also show a similar scooting mode for Phe232 of Ag85A and
Trp158 of Ag85C. We also found aromatic residue clusters at the ends of the
hydrophobic channel of Ag85 proteins, which may have functional significance.
Although MPT51 lacks the tunnel, it has the aromatic clusters. The aromatic
cluster region has the ability to bind trehalose. From an immunoinformatics
study, a promiscuous linear epitope was identified in MPT51 which could be useful
in subunit vaccine studies. Recent studies have shown that a mycobacterial
protein HupB, interacts with Ag85 proteins and has a regulatory role in cell wall
biogenesis, with implications in growth rate and latency. We performed molecular
docking studies of HupB protein with Ag85 proteins and predicted potential sites
of interaction in Ag85 proteins. The insights gained through the current study
can potentially pave way for newer therapeutic interventions. Graphical Abstract
Dynamics of antigen85 proteins and MPT51 from Mycobacterium tuberculosis.
PMID- 26564148
TI - Management strategy for bilateral complex vertebral artery aneurysms.
AB - Bilateral complex vertebral artery aneurysms (BCoVAAns) have no established
strategy of management. We retrospectively reviewed five consecutive patients
with unruptured BCoVAAns between January 2006 and December 2012. Considering
surgical risks of lower cranial nerve (LCN) injuries and eventual growth of an
opposite side lesion after unilateral vertebral artery (VA) occlusion, we
proposed a strategy of combined open and interventional treatment using
revascularization. We applied the following several specific techniques: (1)
proximal clipping and occipital artery-posterior inferior cerebellar artery (OA
PICA) and/or superficial temporary artery (STA)-superior cerebellar artery (SCA)
bypasses; (2) Distal blood pressure, motor evoked potentials (MEPs), and
somatosensory evoked potentials (SEPs) monitoring after parent artery temporary
occlusion for safe permanent occlusion of the proximal portions of VA and PICA;
(3) V3 to V4 bypass using radial artery (RA) graft with proximal clipping or
trapping, two of them combined with OA-PICA bypass; (4) VA fenestration as an
opportunity to preserve the flow of the parent artery. Two patients were treated
bilaterally and 3 unilaterally, with modified Rankin scale assessed at 39 months
postoperatively in average 0 in 2, 1 in 2, and 2 in 1, respectively, and the
untreated opposite side lesions without regrowth or bleeding. Two patients with
patent V3-RA-V4 bypass complained of dysphagia due to LCN palsies. One of them
however suffered a cerebellar infarction due to occlusion of the OA-PICA bypass.
When BCoVAAns require surgical treatment, revascularization or preservation of
the VA should be considered at the first operation. By doing so, the opposite
aneurysm can be effectively occluded by coil embolization, even with VA sacrifice
if required.
PMID- 26564149
TI - Difference of language cortex reorganization between cerebral arteriovenous
malformations, cavernous malformations, and gliomas: a functional MRI study.
AB - The authors attempted to demonstrate the difference in language cortex
reorganization between cerebral malformations (AVMs), cavernous malformations
(CMs), and gliomas by blood oxygen level-dependent (BOLD) functional magnetic
resonance imaging. Clinical and imaging data of 27 AVM patients (AVM-L group), 29
CM patients (CM-L group), and 20 glioma patients (Glioma-L group) were
retrospectively reviewed, with lesions overlying the left inferior frontal gyrus
(Broca area). As a control, patients with lesions involving the right inferior
frontal gyrus were also enrolled, including 14 AVM patients (AVM-R group), 20 CM
patients (CM-R group), and 14 glioma patients (Glioma-R group). All patients were
right-handed. Lateralization indices (LI) of BOLD signal activations were
calculated separately for Broca and Wernicke areas. In AVM-L group, right-sided
lateralization of BOLD signals was observed in 10 patients (37.0%), including 6
in the Broca area alone, 1 in the Wernicke area alone, and 3 in both areas. Three
patients (10.3%) of CM-L group showed right-sided lateralization in both Broca
and Wernicke areas, and 1 patient (5.0%) of Glioma-L group had right-sided
lateralization in the Wernicke area alone. A significant difference of right
sided lateralization was observed between the AVM-L group and CM-L group (P =
0.018) and also between the AVM-L group and Glioma-L group (P = 0.027). No
patient in AVM-R, CM-R, or Glioma-R groups showed right-sided lateralization.
Language cortex reorganization may occur in AVM, CM, and glioma patients when the
traditional language cortex was involved by lesions, but the potential of
reorganization for CM and glioma patients seems to be insufficient compared with
AVM patients.
PMID- 26564150
TI - Syringomatous adenoma of the nipple: a case report.
AB - INTRODUCTION: Syringomatous adenoma of the nipple is a very rare benign tumor. To
the best of our knowledge, there are no reports of a syringomatous adenoma of the
nipple metastasizing, although these tumors are known to infiltrate locally and
to recur if not totally resected. CASE PRESENTATION: Our patient was a 41-year
old Japanese woman who complained of stiffness of her right nipple with abnormal
discharge. Local resection of the tumor was performed. The pathological diagnosis
was syringomatous adenoma of the nipple, and the resection margin was found to be
positive. Accordingly, additional resection was recommended, but our patient did
not allow another operation. After 1.5 years of careful follow-up, no local
recurrence or distant metastasis has been observed. CONCLUSION: The optimal
initial management of syringomatous adenoma of the nipple demands complete
resection with histologically negative margins. However, from a cosmetic
viewpoint, nipple-sparing resection could represent an alternative option for the
treatment of syringomatous adenoma of the nipple.
PMID- 26564151
TI - The thyroid status reprograms T cell lymphoma growth and modulates immune cell
frequencies.
AB - In spite of considerable evidence on the regulation of immunity by thyroid
hormones, the impact of the thyroid status in tumor immunity is poorly
understood. Here, we evaluated the antitumor immune responses evoked in mice with
different thyroid status (euthyroid, hyperthyroid, and hypothyroid) that
developed solid tumors or metastases after inoculation of syngeneic T lymphoma
cells. Hyperthyroid mice showed increased tumor growth along with increased
expression of cell cycle regulators compared to hypothyroid and control tumor
bearing mice. However, hypothyroid mice showed a higher frequency of metastases
than the other groups. Hyperthyroid mice bearing tumors displayed a lower number
of tumor-infiltrating T lymphocytes, lower percentage of functional IFN-gamma
producing CD8(+) T cells, and higher percentage of CD19(+) B cells than euthyroid
tumor-bearing mice. However, no differences were found in the distribution of
lymphocyte subpopulations in tumor-draining lymph nodes (TDLNs) or spleens among
different experimental groups. Interestingly, hypothyroid TDLN showed an
increased percentage of regulatory T (Treg) cells, while hyperthyroid mice
displayed increased number and activity of splenic NK cells, which frequency
declined in spleens from hypothyroid mice. Moreover, a decreased number of
splenic myeloid-derived suppressor cells (MDSCs) were found in tumor-bearing
hyperthyroid mice as compared to hypothyroid or euthyroid mice. Additionally,
hyperthyroid mice showed increased cytotoxic activity, which declined in
hypothyroid mice. Thus, low levels of intratumoral cytotoxic activity would favor
tumor local growth in hyperthyroid mice, while regional and systemic antitumor
response may contribute to tumor dissemination in hypothyroid animals. Our
results highlight the importance of monitoring the thyroid status in patients
with T cell lymphomas. KEY MESSAGES: T cell lymphoma phenotype is paradoxically
influenced by thyroid status. Hyperthyroidism favors tumor growth and
hypothyroidism rises tumor dissemination. Thyroid status affects the distribution
of immune cell types in the tumor milieu. Thyroid status also modifies the nature
of local and systemic immune responses.
PMID- 26564152
TI - The RING Finger Ubiquitin E3 Ligase OsHTAS Enhances Heat Tolerance by Promoting
H2O2-Induced Stomatal Closure in Rice.
AB - Heat stress often results in the generation of reactive oxygen species, such as
hydrogen peroxide, which plays a vital role as a secondary messenger in the
process of abscisic acid (ABA)-mediated stomatal closure. Here, we characterized
the rice (Oryza sativa) HEAT TOLERANCE AT SEEDLING STAGE (OsHTAS) gene, which
plays a positive role in heat tolerance at the seedling stage. OsHTAS encodes a
ubiquitin ligase localized to the nucleus and cytoplasm. OsHTAS expression was
detected in all tissues surveyed and peaked in leaf blade, in which the
expression was concentrated in mesophyll cells. OsHTAS was responsive to multiple
stresses and was strongly induced by exogenous ABA. In yeast two-hybrid assays,
OsHTAS interacted with components of the ubiquitin/26S proteasome system and an
isoform of rice ascorbate peroxidase. OsHTAS modulated hydrogen peroxide
accumulation in shoots, altered the stomatal aperture status of rice leaves, and
promoted ABA biosynthesis. The results suggested that the RING finger ubiquitin
E3 ligase OsHTAS functions in leaf blade to enhance heat tolerance through
modulation of hydrogen peroxide-induced stomatal closure and is involved in both
ABA-dependent and DROUGHT AND SALT TOLERANCE-mediated pathways.
PMID- 26564153
TI - Quinoline-Based Compound BPIQ Exerts Anti-Proliferative Effects on Human
Retinoblastoma Cells via Modulating Intracellular Reactive Oxygen Species.
AB - Retinoblastoma (Rb) is the most common primary intraocular malignant tumor of
childhood. It is important to develop the strategy for Rb treatment. We have
tested a quinolone derivative 2,9-bis[2-(pyrrolidin-1-yl)ethoxy]-6-{4-[2
(pyrrolidin-1-yl)ethoxy]phenyl}-11H-indeno[1,2-c]quinolin-11-one (BPIQ) for its
anti-cancer effects against Rb via cultured human Rb cell line Y79. Our results
showed that BPIQ significantly inhibits cell growth of Y79. Furthermore, the flow
cytometer-based assays and Western blotting showed that BPIQ induces the
apoptosis of Y79 via increasing the level of reactive oxygen species (ROS).
Besides, the activation of gammaH2AX, a DNA damage sensor in human Y79 cells was
also observed, indicating the potential of BPIQ for causing DNA damage of Rb
cells. On the contrary, BPIQ-induced apoptosis of Y79 cells was attenuated
significantly by N-acetyl-L-cysteine (NAC), an ROS scavenger. The results of
Western blot showed that BPIQ down-regulates the levels of anti-apoptotic
proteins Bcl-2, survivin and XIAP while up-regulates the pro-apoptotic proteins
Bad, Bax and Bid. Our present study demonstrated the anti-proliferative effect of
BPIQ in human Y79 cells. The inhibitory effect of BPIQ on the proliferation of
Y79 cells is, at least, partly mediated by the regulation of ROS and DNA damage
pathway. In conclusion, BPIQ may provide an alternative option in the
chemotherapeutics or chemoprevention on the Rb therapy in the future.
PMID- 26564156
TI - Editorial: Nanotechnology for Drug Delivery: Part III.
PMID- 26564154
TI - Fetal/Neonatal Alloimmune Thrombocytopenia: Pathogenesis, Diagnostics and
Prevention.
AB - Fetal/neonatal alloimmune thrombocytopenia (FNAIT) is a relatively rare condition
(1/1000-1/2000) that was granted orphan status by the European Medicines Agency
in 2011. Clinical consequences of FNAIT, however, may be severe. A
thrombocytopenic fetus or new-born is at risk of intracranial hemorrhage that may
result in lifelong disability or death. Preventing such bleeding is thus vital
and requires a solution. Anti-HPA1a antibodies are the most frequent cause of
FNAIT in Caucasians. Its pathogenesis is similar to hemolytic disease of the
newborn (HDN) due to anti-RhD antibodies, but is characterized by platelet
destruction and is more often observed in the first pregnancy. In 75 % of these
women, alloimmunization by HPA-1a antigens, however, occurs at delivery, which
enables development of antibody-mediated immune suppression to prevent maternal
immunization. As for HDN, the recurrence rate of FNAIT is high. For advancing
diagnostic efforts and treatment, it is thereby crucial to understand the
pathogenesis of FNAIT, including cellular immunity involvement. This review
presents the current knowledge on FNAIT. Also described is a program for HPA-1a
screening in identifying HPA-1a negative pregnant women at risk of immunization.
This program is now performed at the Institute of Hematology and Transfusion
Medicine in cooperation with the Department of Obstetrics and Gynecology of the
Medical Centre of Postgraduate Education in Warsaw as well as the UiT The Arctic
University of Norway.
PMID- 26564155
TI - The effect of renin-angiotensin system blockade on the incidence of end-stage
renal disease in IgA nephropathy.
AB - BACKGROUND: The impact of renin-angiotensin system blockade (RASB) on the
incidence of end-stage renal disease (ESRD) remains unclear in IgA nephropathy
(IgAN). METHODS: This study assessed associations between RASB treatment and the
incidence of ESRD in IgAN using propensity score approaches. We retrospectively
analyzed 1273 patients with IgAN biopsied between 1979 and 2010. Propensity
scores were calculated using logistic regression. Associations between RASB and
ESRD were examined using a Cox regression model adjusted by inverse probability
of treatment weighted, regression, stratification and matching. RESULTS: During
follow-up (median 5.1 years), 130 patients developed ESRD. With Cox regression
adjusted by inverse probability of treatment weighted, RASB use was significantly
associated with a lower risk of ESRD (hazard ratio 0.58; 95 % confidence interval
0.42-0.80). Significant associations were observed for other propensity score
based approaches. In stratified analysis, a beneficial association between RASB
and ESRD was observed in patients >=35 years, with hypertension, reduced
estimated glomerular filtration rate (<60 mL/min/1.73 m2), mesangial
proliferation and segmental glomerulosclerosis (P for interaction <0.05), and
tended to be greater in patients with proteinuria (>=1.0 g/24 h), extracapillary
proliferation and receiving methylprednisolone pulse therapy (P for interaction
<0.10). CONCLUSION: Treatment with RASB was associated with a lower incidence of
ESRD in the real-world practice of IgAN.
PMID- 26564157
TI - Combined Interactions of Plant Homeodomain and Chromodomain Regulate NuA4
Activity at DNA Double-Strand Breaks.
AB - DNA double-strand breaks (DSBs) represent one of the most threatening lesions to
the integrity of genomes. In yeast Saccharomyces cerevisiae, NuA4, a histone
acetylation complex, is recruited to DSBs, wherein it acetylates histones H2A and
H4, presumably relaxing the chromatin and allowing access to repair proteins. Two
subunits of NuA4, Yng2 and Eaf3, can interact in vitro with methylated H3K4 and
H3K36 via their plant homeodomain (PHD) and chromodomain. However, the roles of
the two domains and how they interact in a combinatorial fashion are still poorly
characterized. In this study, we generated mutations in the PHD and chromodomain
that disrupt their interaction with methylated H3K4 and H3K36. We demonstrate
that the combined mutations in both the PHD and chromodomain impair the NuA4
recruitment, reduce H4K12 acetylation at the DSB site, and confer sensitivity to
bleomycin that induces DSBs. In addition, the double mutant cells are defective
in DSB repair as judged by Southern blot and exhibit prolonged activation of
phospho-S129 of H2A. Cells harboring the H3K4R, H3K4R, K36R, or set1Delta
set2Delta mutant that disrupts H3K4 and H3K36 methylation also show very similar
phenotypes to the PHD and chromodomain double mutant. Our results suggest that
multivalent interactions between the PHD, chromodomain, and methylated H3K4 and
H3K36 act in a combinatorial manner to recruit NuA4 and regulate the NuA4
activity at the DSB site.
PMID- 26564159
TI - Synergistic Pleiotropy Overrides the Costs of Complexity in Viral Adaptation.
AB - Adaptive evolution progresses as a series of steps toward a multidimensional
phenotypic optimum, and organismal or environmental complexity determines the
number of phenotypic dimensions, or traits, under selection. Populations evolving
in complex environments may experience costs of complexity such that improvement
in one or more traits is impeded by selection on others. We compared the fitness
effects of the first fixed mutations for populations of single-stranded DNA
bacteriophage evolving under simple selection for growth rate to those of
populations evolving under more complex selection for growth rate as well as
capsid stability. We detected a cost of complexity manifested as a smaller growth
rate improvement for mutations fixed under complex conditions. We found that,
despite imposing a cost for growth rate improvement, strong complex selection
resulted in the greatest overall fitness improvement, even for single mutations.
Under weaker secondary selective pressures, tradeoffs between growth rate and
stability were pervasive, but strong selection on the secondary trait resulted
largely in mutations beneficial to both traits. Strength of selection therefore
determined the nature of pleiotropy governing observed trait evolution, and
strong positive selection forced populations to find mutations that improved
multiple traits, thereby overriding costs incurred as a result of a more complex
selective environment. The costs of complexity, however, remained substantial
when considering the effects on a single trait in the context of selection on
multiple traits.
PMID- 26564161
TI - [Not Available].
PMID- 26564158
TI - Spindle Assembly and Chromosome Segregation Requires Central Spindle Proteins in
Drosophila Oocytes.
AB - Oocytes segregate chromosomes in the absence of centrosomes. In this situation,
the chromosomes direct spindle assembly. It is still unclear in this system which
factors are required for homologous chromosome bi-orientation and spindle
assembly. The Drosophila kinesin-6 protein Subito, although nonessential for
mitotic spindle assembly, is required to organize a bipolar meiotic spindle and
chromosome bi-orientation in oocytes. Along with the chromosomal passenger
complex (CPC), Subito is an important part of the metaphase I central spindle. In
this study we have conducted genetic screens to identify genes that interact with
subito or the CPC component Incenp. In addition, the meiotic mutant phenotype for
some of the genes identified in these screens were characterized. We show, in
part through the use of a heat-shock-inducible system, that the Centralspindlin
component RacGAP50C and downstream regulators of cytokinesis Rho1, Sticky, and
RhoGEF2 are required for homologous chromosome bi-orientation in metaphase I
oocytes. This suggests a novel function for proteins normally involved in mitotic
cell division in the regulation of microtubule-chromosome interactions. We also
show that the kinetochore protein, Polo kinase, is required for maintaining
chromosome alignment and spindle organization in metaphase I oocytes. In
combination our results support a model where the meiotic central spindle and
associated proteins are essential for acentrosomal chromosome segregation.
PMID- 26564160
TI - Genomic Analyses of Sperm Fate Regulator Targets Reveal a Common Set of Oogenic
mRNAs in Caenorhabditis elegans.
AB - Germ cell specification as sperm or oocyte is an ancient cell fate decision, but
its molecular regulation is poorly understood. In Caenorhabditis elegans, the FOG
1 and FOG-3 proteins behave genetically as terminal regulators of sperm fate
specification. Both are homologous to well-established RNA regulators, suggesting
that FOG-1 and FOG-3 specify the sperm fate post-transcriptionally. We predicted
that FOG-1 and FOG-3, as terminal regulators of the sperm fate, might regulate a
battery of gamete-specific differentiation genes. Here we test that prediction by
exploring on a genomic scale the messenger RNAs (mRNAs) associated with FOG-1 and
FOG-3. Immunoprecipitation of the proteins and their associated mRNAs from
spermatogenic germlines identifies 81 FOG-1 and 722 FOG-3 putative targets.
Importantly, almost all FOG-1 targets are also FOG-3 targets, and these common
targets are strongly biased for oogenic mRNAs. The discovery of common target
mRNAs suggested that FOG-1 and FOG-3 work together. Consistent with that idea, we
find that FOG-1 and FOG-3 proteins co-immunoprecipitate from both intact
nematodes and mammalian tissue culture cells and that they colocalize in germ
cells. Taking our results together, we propose a model in which FOG-1 and FOG-3
work in a complex to repress oogenic transcripts and thereby promote the sperm
fate.
PMID- 26564162
TI - Electronic cigarette use among Korean adults.
AB - OBJECTIVES: We investigated the prevalence and correlates of electronic cigarette
(e-cigarette) use in a representative sample of Korean adults. METHODS: This
cross-sectional study used data from the Korean National Health and Nutrition
Examination Survey of 2013. We analyzed 5338 subjects (>=19 years old) who
provided data on e-cigarette use, sex, age, socioeconomic status, and health risk
behaviors. Multivariate analyses were performed using logistic regression.
RESULTS: Using weighted samples, the prevalence of ever and current e-cigarette
use were 6.6 and 1.1 %, respectively (11.2 and 2.0 % in men and 2.0 and 0.4 % in
women). In multivariate analysis, the probability of ever e-cigarette use was
highest in current smokers (OR 29.3, 95 % CI 15.5-55.3), former smokers (OR 6.1,
95 % CI 3.3-11.2), and daily heavy drinkers (OR 1.9, 95 % CI 1.1-3.4). Current e
cigarette use was associated with current smoking (OR 16.2, 95 % CI 4.7-55.4) and
weekly heavy drinking (OR 2.7, 95 % CI 1.1-6.7). CONCLUSIONS: E-cigarette use was
strongly associated with conventional cigarette use and with frequent heavy
drinking. Dual use e-cigarettes and conventional cigarette use as well as the
association between heavy alcohol use and e-cigarettes need further exploration.
PMID- 26564163
TI - Injuries can prolong lifespan in Drosophila melanogaster males.
AB - Previous studies have shown that a range of different stresses can increase mean
lifespan. Here we investigated the effect of injuries and bacterial inoculation
on mean lifespan in lines selected for increased longevity and their controls.
The three lines from each selection regime were subjected to one of five
treatments ranging from control, over perforating the cuticle with a sterile
needle, to inoculating with peptidoglycan or one of two strains of live bacteria.
The flies were subjected to the infection stress at two ages and the experiment
was conducted on both males and females of replicate lines of each selection
regime. The individual lines and sexes differed in response to the treatment.
However, overall the sterile injury of young males resulted in prolonged mean
lifespan from both selection regimes, whereas inoculating had no additional
effect to stabbing with a sterile needle. In middle-aged males only treatment
with peptidoglycan had a significant hormetic effect and this was only in
longevity-selected flies. In females only one of the tested contrasts was
significant and here the effect of the treatment was to reduce average lifespan.
As could be expected, the results showed a significant interaction between the
effects of sex and infection on survival.
PMID- 26564164
TI - Does smoke cross the border? Cigarette tax avoidance in France.
AB - This paper examines the impact on cigarette sales of the successive increases in
cigarette prices in France from 2002 to 2004. Since the price differential
between France and neighboring countries increased over the period in question,
cross-border purchases became more financially attractive for smokers living near
borders. Results from difference-in-differences estimates indicate that the
decrease in cigarette sales observed in French border departments was around 20 %
higher from 2004 to 2007 compared to non-border departments. The loss of fiscal
revenue due to cross-border shopping since the tax increase amounts to 2 billion
euros over the period 2002-2007. Our findings highlight the need for improved
coordination of policies aimed at reducing tobacco consumption across European
Union countries.
PMID- 26564166
TI - Penciling in details of the Hadean.
PMID- 26564165
TI - Generation of clinical-grade human induced pluripotent stem cells in Xeno-free
conditions.
AB - INTRODUCTION: Human induced pluripotent stem cells (hiPSCs) are considered as one
of the most promising seed cell sources in regenerative medicine. Now hiPSC-based
clinical trials are underway. To ensure clinical safety, cells used in clinical
trials or therapies should be generated under GMP conditions, and with Xeno-free
culture media to avoid possible side effects like immune rejection that induced
by the Xeno reagents. However, up to now there are no reports for hiPSC lines
developed completely under GMP conditions using Xeno-free reagents. METHODS:
Clinical-grade human foreskin fibroblast (HFF) cells used as feeder cells and
parental cells of the clinical-grade hiPSCs were isolated from human foreskin
tissues and cultured in Xeno-free media. Clinical-grade hiPSCs were derived by
integration-free Sendai virus-based reprogramming kit in Xeno-free pluritonTM
reprogramming medium or X medium. Neural cells and cardiomyocytes differentiation
were conducted following a series of spatial and temporal specific signals
induction according to the corresponding lineage development signals. Biological
safety evaluation of the clinical-grade HFF cells and hiPSCs were conducted
following the guidance of the "Pharmacopoeia of the People's Republic of China,
Edition 2010, Volume III". RESULTS: We have successfully derived several
integration-free clinical-grade hiPSC lines under GMP-controlled conditions and
with Xeno-free reagents culture media in line with the current guidance of
international and national evaluation criteria. As for the source of hiPSCs and
feeder cells, biological safety evaluation of the HFF cells have been strictly
reviewed by the National Institutes for Food and Drug Control (NIFDC). The hiPSC
lines are pluripotent and have passed the safety evaluation. Moreover, one of the
randomly selected hiPSC lines was capable of differentiating into functional
neural cells and cardiomyocytes in Xeno-free culture media. CONCLUSION: The
clinical-grade hiPSC lines therefore could be valuable sources for future hiPSC
based clinical trials or therapies and for drug screening.
PMID- 26564167
TI - Costs and benefits of group living are neither simple nor linear.
PMID- 26564168
TI - Weak association between sleep bruxism and obstructive sleep apnea. A sleep
laboratory study.
AB - PURPOSE: No definitive associations or causal relationships have been determined
between obstructive sleep apnea-hypopnea (OSAH) and sleep bruxism (SB). The
purpose of this study was to investigate, in a population reporting awareness of
both OSAH and SB, the associations between each specific breathing and jaw muscle
event. METHODS: Polysomnography and audio-video data of 59 patients reporting
concomitant OSAH and SB history were analyzed. Masseteric bursts after sleep
onset were scored and classified into three categories: (1) sleep rhythmic
masticatory muscle activity with SB (RMMA/SB), (2) sleep oromotor activity other
than RMMA/SB (Sleep-OMA), and (3) wake oromotor activity after sleep onset (Wake
OMA). Spearman's rank correlation coefficient analyses were performed. Dependent
variables were the number of RMMA/SB episodes, RMMA/SB bursts, Sleep-OMA, and
Wake-OMA; independent variables were apnea-hypopnea index (AHI), arousal
index(AI), body mass index(BMI), gender, and age. RESULTS: Although all subjects
had a history of both SB and OSAH, sleep laboratory results confirmed that these
conditions were concomitant in only 50.8 % of subjects. Moderate correlations
were found in the following combinations (p < 0.05); RMMA/SB episode with AI,
RMMA/SB burst with AI and age, Sleep-OMA burst with AHI, and Wake-OMA burst with
BMI. CONCLUSIONS: The results suggest that (1) sleep arousals in patients with
concomitant SB and OSAH are not strongly associated with onset of RMMA/SB and (2)
apnea-hypopnea events appear to be related to higher occurrence of other types of
sleep oromotor activity, and not SB activity. SB genesis and OSAH activity during
sleep are probably influenced by different mechanisms.
PMID- 26564169
TI - Impaired sustained attention and lapses are present in patients with mild
obstructive sleep apnea.
AB - BACKGROUND: Severe obstructive sleep apnea (OSA) directly affects the quality of
life, mood, and sustained attention of individuals, but it has not yet been
established in the literature, if these changes also affect patients with mild
OSA. The purpose of this study was to investigate such negative effects on the
parameters described above. METHODS: A controlled study was held at the
Universidade Federal de Sao Paulo, Department of Psychobiology. Thirty-nine mild
OSA patients and 25 controls were included. Volunteers could be of both genders
with body mass index (BMI) <=35 kg/m(2) and age between 18 and 65 years. Both
groups were subjected to full-night polysomnography (PSG), the subjective
assessment of mood (Beck Inventory of Anxiety and Depression), Functional
Outcomes of Sleep Questionnaire (FOSQ), and the psychomotor vigilance task (PVT)
five times during the day. We considered mild OSA patients those with apnea
hypopnea index (AHI) score between 5 and 15. The control group included subjects
with AHI scores <5, respiratory disturbance index (RDI) scores <=5, arousal index
values <=15, and Epworth Sleepiness Scale (ESS) values <=9. RESULTS: Mild OSA
patients were older and more obese than the controls. After adjusting for age,
BMI, and schooling years, there was an increased number of total lapses (3.90 +/-
4.16 and 2.43 +/- 5.55, p = 0.004). CONCLUSIONS: Patients with mild OSA showed
increased sustained attention lapses compared with normal subjects.
PMID- 26564170
TI - Use of the sleep clinical record in the follow-up of children with obstructive
sleep apnea (OSA) after treatment.
AB - PURPOSE: The aim of our study was to evaluate the utility of the sleep clinical
record (SCR) in the follow-up of children with obstructive sleep apnea (OSA)
after treatment. METHODS: SCR was completed and overnight polysomnography (PSG)
was performed in all enrolled children (T0), with SCR considered positive for
scores >=6.5, as previously validated. Patients underwent adenotonsillectomy
(T&A), rapid maxillary expansion (RME), and medical therapy according to severity
of OSA and clinical features. Six months after completing therapy, the second
overnight PSG and SCR (T1) were performed. RESULTS: For all subjects, both Apnea
Hypopnea Index (AHI) and total SCR score decreased significantly (<0.005) from T0
to T1. For SCR items, clinical examination (item 1) and reported sleep
respiratory symptoms (item 2) ameliorated significantly (<0.005). However,
hyperactivity or inattention (item 3) decreased significantly (<0.005) after
treatment only in T&A group, while no differences in AHI and SCR scores occurred
in the medically treated group. At T1, SCR was positive in 95.6 % of children
with AHI >=1, with a concordance of 100 % in the T&A and RME groups, resulting in
a positive predictive value of 100 %. A poor concordance (38.3 % in T&A group and
53.4 % in RME group) was found when SCR < 6.5. Children with SCR >= 6.5 at T1
showed higher AHI compared to patients with SCR < 6.5 (5.7 +/- 5.9 ev/h vs 1.78
+/- 1.76 ev/h; p < 0.005). CONCLUSIONS: SCR emerges as a potentially useful
instrument for follow-up of children with OSA after treatment.
PMID- 26564172
TI - Free vibration analysis of microtubules based on the molecular mechanics and
continuum beam theory.
AB - A molecular structural mechanics (MSM) method has been implemented to investigate
the free vibration of microtubules (MTs). The emphasis is placed on the effects
of the configuration and the imperfect boundaries of MTs. It is shown that the
influence of protofilament number on the fundamental frequency is strong, while
the effect of helix-start number is almost negligible. The fundamental frequency
is also found to decrease as the number of the blocked filaments at boundaries
decreases. Subsequently, the Euler-Bernoulli beam theory is employed to reveal
the physics behind the simulation results. Fitting the Euler-Bernoulli beam into
the MSM data leads to an explicit formula for the fundamental frequency of MTs
with various configurations and identifies a possible correlation between the
imperfect boundary conditions and the length-dependent bending stiffness of MTs
reported in experiments.
PMID- 26564171
TI - Roles of interleukin (IL)-6 gene polymorphisms, serum IL-6 levels, and treatment
in obstructive sleep apnea: a meta-analysis.
AB - BACKGROUND: Inconsistent results regarding the relationship between interleukin
(IL)-6 gene polymorphisms, serum IL-6 levels, and the treatment in obstructive
sleep apnea (OSA) have been reported. This meta-analysis assessed the
associations between IL-6 gene polymorphisms and OSA susceptibility, IL-6 levels
in OSA, and CPAP (continuous positive airway pressure) and T&A (tonsillectomy and
adenoidectomy) therapy for IL-6 in OSA. METHODS: Studies regarding IL-6
polymorphisms, serum IL-6 levels, and OSA treatment were identified using PubMed
and Embase. The associations between IL-6 gene polymorphisms and OSA risk
(estimated by pooling odds ratios (ORs) with 95 % confidence intervals (CIs))
were assessed using an allele model. The pooled standardized mean differences
(SMDs) with 95 % CI of IL-6 were estimated using a random-effects model. Meta
regression, sensitivity analysis, and publication bias were also evaluated.
RESULTS: In total, 53 studies were included. In adults, a significant association
between -174 G/C and OSA susceptibility was observed (OR = 1.46, 95 % CI = 1.14
1.87) and IL-6 levels were higher in OSA compared to controls (SMD = 1.56, 95 %
CI = 1.18-1.95); however, no association was observed for the -572 G/C allele (OR
= 1.13, 95 % CI = 0.87-1.47) and OSA susceptibility and there was no significant
change in IL-6 in pre- and post-CPAP therapy (SMD = -0.24, 95 % CI = -0.73 to
0.26). In children, IL-6 levels were also higher in OSA (SMD = 1.27, 95 % CI =
0.29-2.26) and T&A treatment significantly decreased them (SMD = -0.97, 95 % CI =
-1.72 to -0.22). CONCLUSIONS: This meta-analysis indicates that the IL-6 gene
polymorphism -174 G/C, and not -572 G/C, is associated with adult OSA risk.
Although IL-6 levels increased in OSA, CPAP did not significantly suppress them
in adults with OSA. In children with OSA, IL-6 levels also increased and T&A
therapy significantly decreased them.
PMID- 26564173
TI - Multiscale modelling of solid tumour growth: the effect of collagen
micromechanics.
AB - Here we introduce a model of solid tumour growth coupled with a multiscale
biomechanical description of the tumour microenvironment, which facilitates the
explicit simulation of fibre-fibre and tumour-fibre interactions. We hypothesise
that such a model, which provides a purely mechanical description of tumour-host
interactions, can be used to explain experimental observations of the effect of
collagen micromechanics on solid tumour growth. The model was specified to mouse
tumour data, and numerical simulations were performed. The multiscale model
produced lower stresses than an equivalent continuum-like approach, due to a more
realistic remodelling of the collagen microstructure. Furthermore, solid tumour
growth was found to cause a passive mechanical realignment of fibres at the
tumour boundary from a random to a circumferential orientation. This is in
accordance with experimental observations, thus demonstrating that such a
response can be explained as purely mechanical. Finally, peritumoural fibre
network anisotropy was found to produce anisotropic tumour morphology. The
dependency of tumour morphology on the peritumoural microstructure was reduced by
adding a load-bearing non-collagenous component to the fibre network constitutive
equation.
PMID- 26564174
TI - Investigating the effects of membrane deformability on artificial capsule
adhesion to the functionalized surface.
AB - Understanding, manipulating and controlling cellular adhesion processes can be
critical in developing biomedical technologies. Adhesive mechanisms can be used
to the target, pattern and separate cells such as leukocytes from whole blood for
biomedical applications. The deformability response of the cell directly affects
the rolling and adhesion behavior under viscous linear shear flow conditions. To
that end, the primary objective of the present study was to investigate
numerically the influence of capsule membrane's nonlinear material behavior (i.e.
elastic-plastic to strain hardening) on the rolling and adhesion behavior of
representative artificial capsules. Specifically, spherical capsules with radius
of [Formula: see text] were represented using an elastic membrane governed by a
Mooney-Rivlin strain energy functions. The surfaces of the capsules were coated
with P-selectin glycoprotein-ligand-1 to initiate binding interaction with P
selectin-coated planar surface with density of [Formula: see text] under linear
shear flow varying from 100 to [Formula: see text]. The numerical model is based
on the Immersed Boundary Method for rolling of deformable capsule in shear flow
coupled with Monte Carlo simulation for receptor/ligand interaction modeled using
Bell model. The results reveal that the mechanical properties of the capsule play
an important role in the rolling behavior and the binding kinetics between the
capsule contact surface and the substrate. The rolling behavior of the strain
hardening capsules is relatively smoother and slower compared to the elastic
plastic capsules. The strain hardening capsules exhibits higher contact area at
any given shear rate compared to elastic-plastic capsules. The increase in
contact area leads to decrease in rolling velocity. The capsule contact surface
is not in complete contact with the substrate because of thin lubrication film
that is trapped between the capsule and substrate. This creates a concave shape
on the bottom surface of the capsule that is referred to as a dimple. In
addition, the present study demonstrates that the average total bond force from
the capsules lifetime increases by 37 % for the strain hardening capsules
compared to elastic-plastic capsules at shear rate of [Formula: see text].
Finally, the model demonstrates the effect of finite membrane deformation on the
coupling between hydrodynamic and receptor/ligand interaction.
PMID- 26564176
TI - The Difficulties in the Management of Breast Cancer and Pregnancy.
AB - INTRODUCTION: Breast cancer and pregnancy is rare. It is defined by the discovery
of breast cancer during pregnancy or within one year after delivery. Throughout
this study, we analyzed the characteristics of this entity with review of the
literature. MATERIALS AND METHODS: We report through a retrospective study of
breast cancer in pregnant women, a series of 11 cases collected at the oncology
department in Sfax between 1994 and 2012. RESULT: The average age of our patients
at diagnosis was 35 years. The average term of pregnancy was 22 weeks. Nine cases
were diagnosed during pregnancy. The pregnancy outcome was well with babies in a
good health, in 10 cases. The prognosis was excellent with complete remission
after a mean follow of 39 months in 7 cases (2years-9years). CONCLUSION: The
diagnosis of breast cancer in pregnant women is often delayed because of
physiological changes of pregnancy and the young age which explain the poor
prognosis of this entity. The prognosis was excellent in our series compared to
the literature.
PMID- 26564175
TI - Quality and performance measures of strain on intensive care capacity: a protocol
for a systematic review.
AB - BACKGROUND: The matching of critical care service supply with demand is
fundamental for the efficient delivery of advanced life support to patients in
urgent need. Mismatch in this supply/demand relationship contributes to
"intensive care unit (ICU) capacity strain," defined as a time-varying disruption
in the ability of an ICU to provide well-timed and high-quality intensive care
support to any and all patients who are or may become critically ill. ICU
capacity strain leads to suboptimal quality of care and may directly contribute
to heightened risk of adverse events, premature discharges, unplanned
readmissions, and avoidable death. Unrelenting strain on ICU capacity contributes
to inefficient health resource utilization and may negatively impact the
satisfaction of patients, their families, and frontline providers. It is unknown
how to optimally quantify the instantaneous and temporal "stress" an ICU
experiences due to capacity strain. METHODS: We will perform a systematic review
to identify, appraise, and evaluate quality and performance measures of strain on
ICU capacity and their association with relevant patient-centered, ICU-level, and
health system-level outcomes. Electronic databases (i.e., MEDLINE, EMBASE,
CINAHL, Cochrane Database of Systematic Reviews, Cochrane Central Register of
Controlled Trials, Web of Science, and the Agency of Healthcare Research and
Quality (AHRQ) - National Quality Measures Clearinghouse (NQMC)) will be searched
for original studies of measures of ICU capacity strain. Selected gray literature
sources will be searched. Search themes will focus on intensive care, quality,
operations management, and capacity. Analysis will be primarily narrative. Each
identified measure will be defined, characterized, and evaluated using the
criteria proposed by the US Strategic Framework Board for a National Quality
Measurement and Reporting System (i.e., importance, scientific acceptability,
usability, feasibility). DISCUSSION: Our systematic review will comprehensively
identify, define, and evaluate quality and performance measures of ICU capacity
strain. This is a necessary step towards understanding the impact of capacity
strain on quality and performance in intensive care and to develop innovative
interventions aimed to improve efficiency, avoid waste, and better anticipate
impending capacity shortfalls. SYSTEMATIC REVIEW REGISTRATION: PROSPERO,
CRD42015017931.
PMID- 26564177
TI - Transcription factor Ets-1 links glucotoxicity to pancreatic beta cell
dysfunction through inhibiting PDX-1 expression in rodent models.
AB - AIMS/HYPOTHESIS: 'Glucotoxicity' is a term used to convey the negative effect of
hyperglycaemia on beta cell function; however, the underlying molecular
mechanisms that impair insulin secretion and gene expression are poorly defined.
Our objective was to define the role of transcription factor v-ets avian
erythroblastosis virus E26 oncogene homologue 1 (Ets-1) in beta cell
glucotoxicity. METHODS: Primary islets and Min6 cells were exposed to high
glucose and Ets-1 expression was measured. Recombinant adenovirus and transgenic
mice were used to upregulate Ets-1 expression in beta cells in vitro and in vivo,
and insulin secretion was assessed. The binding activity of H3/H4 histone on the
Ets-1 promoter, and that of forkhead box (FOX)A2, FOXO1 and Ets-1 on the Pdx-1
promoter was measured by chromatin immunoprecipitation and quantitative real-time
PCR assay. RESULTS: High glucose induced upregulation of Ets-1 expression and
hyperacetylation of histone H3 and H4 at the Ets-1 gene promoter in beta cells.
Ets-1 overexpression dramatically suppressed insulin secretion and biosynthesis
both in vivo and in vitro. Besides, Ets-1 overexpression increased the activity
of FOXO1 but decreased that of FOXA2 binding to the pancreatic and duodenal
homeobox 1 (PDX-1) homology region 2 (PH2), resulting in inhibition of Pdx-1
promoter activity and downregulation of PDX-1 expression and activity. In
addition, high glucose promoted the interaction of Ets-1 and FOXO1, and the
activity of Ets-1 binding to the Pdx-1 promoter. Importantly, PDX-1
overexpression reversed the defect in pancreatic beta cells induced by Ets-1
excess, while knockdown of Ets-1 prevented hyperglycaemia-induced dysfunction of
pancreatic beta cells. CONCLUSIONS/INTERPRETATION: Our observations suggest that
Ets-1 links glucotoxicity to pancreatic beta cell dysfunction through inhibiting
PDX-1 expression in type 2 diabetes.
PMID- 26564178
TI - Vaccinations and childhood type 1 diabetes mellitus: a meta-analysis of
observational studies.
AB - AIMS/HYPOTHESIS: The aim of this study was to investigate the association between
routine vaccinations and the risk of childhood type 1 diabetes mellitus by
systematically reviewing the published literature and performing meta-analyses
where possible. METHODS: A comprehensive literature search was performed of
MEDLINE and EMBASE to identify all studies that compared vaccination rates in
children who subsequently developed type 1 diabetes mellitus and in control
children. ORs and 95% CIs were obtained from published reports or derived from
individual patient data and then combined using a random effects meta-analysis.
RESULTS: In total, 23 studies investigating 16 vaccinations met the inclusion
criteria. Eleven of these contributed to meta-analyses which included data from
between 359 and 11,828 childhood diabetes cases. Overall, there was no evidence
to suggest an association between any of the childhood vaccinations investigated
and type 1 diabetes mellitus. The pooled ORs ranged from 0.58 (95% CI 0.24, 1.40)
for the measles, mumps and rubella (MMR) vaccination in five studies up to 1.04
(95% CI 0.94, 1.14) for the haemophilus influenza B (HiB) vaccination in 11
studies. Significant heterogeneity was present in most of the pooled analyses,
but was markedly reduced when analyses were restricted to study reports with high
methodology quality scores. Neither this restriction by quality nor the original
authors' adjustments for potential confounding made a substantial difference to
the pooled ORs. CONCLUSIONS/INTERPRETATION: This study provides no evidence of an
association between routine vaccinations and childhood type 1 diabetes.
PMID- 26564179
TI - Influence of HLA-DR and -DQ alleles on autoantibody recognition of distinct
epitopes within the juxtamembrane domain of the IA-2 autoantigen in type 1
diabetes.
AB - AIMS/HYPOTHESIS: Insulinoma-associated protein 2 (IA-2) is a major target of
autoimmunity in type 1 diabetes. When first detected, IA-2-autoantibodies
commonly bind epitopes in the juxtamembrane (JM) domain of IA-2 and antibody
responses subsequently spread to the tyrosine phosphatase domain. Definition of
structures of epitopes in the JM domain, and genetic requirements for
autoimmunity to these epitopes, is important for our understanding of initiation
and progression of autoimmunity. The aims of this study were to investigate the
contribution of individual amino acids in the IA-2 JM domain to antibody binding
to these epitopes and the role of HLA genotypes in determining epitope
specificity. METHODS: Regions of the JM domain recognised by autoantibodies were
identified by peptide competition and inhibitory effects of alanine substitutions
of residues within the JM region. Antibody binding was determined by radioligand
binding assays using sera from patients genotyped for HLA-DRB1 and -DQB1 alleles.
RESULTS: Patients were categorised into two distinct groups of JM antibody
reactivity according to peptide inhibition. Inhibition by substitutions of
individual amino acids within the JM domain differed between patients, indicating
heterogeneity in epitope recognition. Cluster analysis defined six groups of
residues having similar inhibitory effects on antibody binding, with three
clusters showing differences in patients affected or unaffected by peptide. One
cluster demonstrated significant differences in antibody binding between HLA
DRB1*04 and HLA-DRB1*07 patients and within DRB1*04 individuals; antibody
recognition of a second cluster depended on expression of HLA-DQB1*0302.
CONCLUSIONS/INTERPRETATION: The results identify amino acids contributing to
distinct epitopes on IA-2, with both HLA-DR and HLA-DQ alleles influencing
epitope specificity.
PMID- 26564180
TI - RBP4 functions as a hepatokine in the regulation of glucose metabolism by the
circadian clock in mice.
AB - AIMS/HYPOTHESIS: As one of the key adipokines, retinol binding protein 4 (RBP4)
is suggested to positively correlate with insulin resistance; however, not all
clinical studies support this association. Although some explanations are
proposed for this discrepancy, the temporal aspect of RBP4 secretion has not been
considered. Aryl hydrocarbon receptor nuclear translocator-like (also known as
BMAL1) and its target D site-binding protein (DBP) are both pivotal transcription
factors of the circadian core clock. Given the overwhelming presence of circadian
control in metabolism and the principal role of the liver in RBP4 secretion, we
hypothesised that RBP4 may oscillate under the control of BMAL1 and act as a
hepatokine, participating in the maintenance of glucose homeostasis by the
circadian clock. METHODS: We used liver-specific Bmal1 (also known as Arntl)
knockout mice and recombinant adenoviruses expressing short-hairpin RNA (shRNA)
specific for Dbp or Rbp4 in the liver. RESULTS: RBP4 displayed diurnal
oscillations in the liver and plasma, which were dampened in liver-specific-Bmal1
knockout mice. BMAL1 regulated hepatic RBP4 expression via its direct target,
DBP. Hepatic knockdown of RBP4 or DBP increased whole-body insulin sensitivity in
mice in a time-of-day-dependent manner. Conversely, hepatic overexpression of
RBP4 reversed the insulin-sensitising effects of liver-specific depletion of
BMAL1. CONCLUSIONS/INTERPRETATION: Our results not only provide a novel mechanism
for circadian regulation of RBP4, but also unveil a critical role of RBP4, acting
as a hepatokine in the regulation of glucose metabolism by the circadian clock.
PMID- 26564181
TI - [Troponin elevation in ischemic stroke patients].
AB - Cerebrovascular and cardiovascular diseases are major causes of death and
disability worldwide. Ischemic stroke is a frequent complication in cardiac
diseases and, vice versa, cardiac complications commonly cause early clinical
worsening and death after stroke. In the emergency setting, cardiac biomarkers
(preferably troponin, cTn) are measured frequently in patients presenting with
acute ischemic stroke. The measurement of cTn is recommended by the guidelines
for early management of patients with acute ischemic stroke from the American
Heart Association. In case of pathologic cTn elevation, physicians are confronted
with diagnostic and therapeutic uncertainties. Up-to-date recommendations on
interpretation and consecutive actions remain ambiguous because cTn elevations
may originate from causes other than acute coronary disease and because clinical
signs and symptoms of acute coronary disease may be obscured by neurological
deficits of the stroke. The application of modern, high-sensitive cTn assays that
detect even minor cTn elevations has rather aggravated the dilemma of how to
interpret this finding in patients with ischemic stroke.This article gives an
overview on possible mechanisms of the frequently observed cTn elevation in
ischemic stroke patients and offers help on interpretation and meaningful
actions.
PMID- 26564182
TI - Impact of water composition on association of Ag and CeO2 nanoparticles with
aquatic macrophyte Elodea canadensis.
AB - In this study, the potential association of (citrate-stabilized) Ag (14.1 +/- 1.0
nm) and CeO2 (6.7 +/- 1.2 nm) engineered nanoparticles (ENPs), or their ionic
counterparts, with the submerged aquatic plant Elodea canadensis, was examined
and, in particular, parameters affecting the distribution of the nanoparticles
(or metal ions) between plant biomass and the water phase were assessed using
five distinct aqueous matrices (i.e. tap water, 10 % Hoagland's solution and
three natural surface water samples). Individual plants were exposed to varying
concentrations of Ag and CeO2 ENPs or Ag(+) and Ce(3+) ions during 72-h-lasting
batch experiments. A dose-dependent increase of silver or cerium in plant biomass
was observed for both the nanoparticles and the ions, whereby exposure to the
latter systematically resulted in significantly higher biomass concentrations.
Furthermore, the apparent plant uptake of CeO2 ENPs appeared to be higher than
that for Ag ENPs when comparing similar exposure concentrations. These findings
suggest that association with E. canadensis might be affected by particle
characteristics such as size, composition, surface charge or surface coating.
Moreover, the stability of the ENPs or ions in suspension/solution may be another
important aspect affecting plant exposure and uptake. The association of the
nanoparticles or ions with E. canadensis was affected by the physicochemical
characteristics of the water sample. The silver biomass concentration was found
to correlate significantly with the electrical conductivity (EC), dry residue
(DR) and Cl(-), K, Na and Mg content in the case of Ag ENPs or with the EC,
inorganic carbon (IC) and Cl(-), NO3 (-), Na and Mg content in the case of Ag(+)
ions, whereas significant relationships between the cerium biomass concentration
and the EC, DR, IC and Ca content or the pH, EC, DR, IC and Cl(-), Ca and Mg
content were obtained for CeO2 ENPs or Ce(3+) ions, respectively. Results also
indicated that the Ag ENPs and Ag(+) ions might potentially be toxic towards E.
canadensis whereas no evidence of phytotoxicity was noted in the case of CeO2
ENPs or Ce(3+) ions.
PMID- 26564183
TI - Mulberry trees conserved soil and protected water quality in the riparian zone of
the Three Gorges Reservoir, China.
AB - China's Sloping Land Conversion Program (SLCP) was designed to restore perennial
plant cover on sloping land in western China, in part to protect the Three Gorges
Reservoir (TGR). In this study, we examined use of white mulberry (Morus alba L.)
in the SLCP to protect water quality and conserve soil. We established nine
runoff monitoring plots divided among three categories (vegetable farming, fallow
control, and mulberry plantation) on a bank of the Liangtan River situated at the
western margin of the TGR. The land had been used previously by farmers for
growing vegetables. We found that soil loss and surface water runoff were lowest
in the mulberry plots and highest in the vegetable plots. We used inductively
coupled plasma atomic emission spectroscopy (ICP-AES) to assess the concentration
of selected heavy metal pollution indicators (Zn, Hg, As, Ni, Pb, Cr, Cd, and Cu)
in the monitoring plot soils at the beginning of the experiment in May 2009. The
heavy metals were assessed again at the end of the experiment in October 2012,
and we found that the concentrations of these pollutants had been reduced in all
fallow and mulberry plots, and to the greatest extent in the mulberry plots. We
found that levels of Hg, Pb, and Cu increased in the vegetable plots. For these
reasons, we conclude that riparian mulberry plantations are useful for reducing
rapid runoff of storm water, conserving soil, and sequestering heavy metal
pollutants in the TGR region.
PMID- 26564184
TI - Reactions to cadmium stress in a cadmium-tolerant variety of cabbage (Brassica
oleracea L.): is cadmium tolerance necessarily desirable in food crops?
AB - Cadmium is a cumulative, chronic toxicant in humans for which the main exposure
pathway is via plant foods. Cadmium-tolerant plants may be used to create
healthier food products, provided that the tolerance is associated with the
exclusion of Cd from the edible portion of the plant. An earlier study identified
the cabbage (Brassica oleracea L.) variety, Pluto, as relatively Cd tolerant. We
exposed the roots of intact, 4-week-old seedlings of Pluto to Cd (control ~1 mg
L(-1) treatment 500 MUg L(-1)) for 4 weeks in flowing nutrient solutions and
observed plant responses. Exposure began when leaf 3 started to emerge, plants
were harvested after 4 weeks of Cd exposure and the high Cd treatment affected
all measured parameters. The elongation rate of leaves 4-8, but not the duration
of elongation was reduced; consequently, individual leaf area was also reduced (P
< 0.001) and total leaf area and dry weight were approximately halved. A/C i
curves immediately before harvest showed that Cd depressed the photosynthetic
capacity of the last fully expanded leaf (leaf 5). Despite such large impairments
of the source and sink capacities, specific leaf weight and the partitioning of
photosynthate between roots, stems and leaves were unaffected (P > 0.1).
Phytochelatins (PCs) and glutathione (GSH) were present in the roots even at the
lowest Cd concentration in the nutrient medium, i.e. ~1 MUg Cd L(-1), which would
not be considered contaminated if it were a soil solution. The Cd concentration
in these roots was unexpectedly high (5 mg kg(-1) DW) and the molar ratio of -SH
(in PCs plus GSH) to Cd was large (>100:1). In these control plants, the Cd
concentration in the leaves was 1.1 mg kg(-1) DW, and PCs were undetectable. For
the high Cd treatment, the concentration of Cd in roots exceeded 680 mg kg(-1) DW
and the molar -SH to Cd ratio fell to ~1.5:1. For these plants, Cd flooded into
the leaves (107 mg kg(-1) DW) where it probably induced synthesis of PCs, and the
molar -SH to Cd ratio was ~3:1. Nonetheless, this was insufficient to sequester
all the Cd, as evidenced by the toxic effects on photosynthesis and growth noted
above. Lastly, Cd accumulation in the leaves was associated with lowered
concentrations of some trace elements, such as Zn, a combination of traits that
is highly undesirable in food plants.
PMID- 26564185
TI - Large scale groundwater flow and hexavalent chromium transport modeling under
current and future climatic conditions: the case of Asopos River Basin.
AB - In recent years, high concentrations of hexavalent chromium, Cr(VI), have been
observed in the groundwater system of the Asopos River Basin, raising public
concern regarding the quality of drinking and irrigation water. The work
described herein focuses on the development of a groundwater flow and Cr(VI)
transport model using hydrologic, geologic, and water quality data collected from
various sources. An important dataset for this goal comprised an extensive time
series of Cr(VI) concentrations at various locations that provided an indication
of areas of high concentration and also served as model calibration locations.
Two main sources of Cr(VI) contamination were considered in the area:
anthropogenic contamination originating from Cr-rich industrial wastes buried or
injected into the aquifer and geogenic contamination from the leaching process of
ophiolitic rocks. The aquifer's response under climatic change scenario A2 was
also investigated for the next two decades. Under this scenario, it is expected
that rainfall, and thus infiltration, will decrease by 7.7 % during the winter
and 15 % during the summer periods. The results for two sub-scenarios (linear and
variable precipitation reduction) that were implemented based on A2 show that the
impact on the study aquifer is moderate, resulting in a mean level decrease less
than 1 m in both cases. The drier climatic conditions resulted in higher Cr(VI)
concentrations, especially around the industrial areas.
PMID- 26564186
TI - Assessing trace metal pollution through high spatial resolution of surface
sediments along the Tunis Gulf coast (southwestern Mediterranean).
AB - Tunis Gulf (northern Tunisia, Mediterranean Sea) is of great economic importance
due to its abundant fish resources. Rising urbanization and industrial
development in the surrounding area have resulted in an increase in untreated
effluents and domestic waste discharged into the gulf via its tributary streams.
Metal (Cd, Pb, Hg, Cu, Zn, Fe, and Mn) and major element (Mg, Ca, Na, and K)
concentrations were measured in the grain fine fraction <63 MUm by atomic
absorption spectrophotometry. Results showed varying spatial distribution
patterns for metals, indicating complex origins and controlling factors such as
anthropogenic activities. Sediment metal concentrations are ranked as follows: Fe
> Mg > Zn > Mn > Pb > Cu > Cd > Hg. Metals tend to be concentrated in proximity
to source points, suggesting that the mineral enrichment elements come from
sewage of coastal towns and pollution from industrial dumps and located along
local rivers, lagoons, and on the gulf shore itself. This study showed that trace
metal and major element concentrations in surface sediments along the Tunis Gulf
shores were lower than those found in other coastal areas of the Mediterranean
Sea.
PMID- 26564187
TI - Bioaccessibility of heavy metals in vegetables and its association with the
physicochemical characteristics.
AB - The bioaccessibilites of heavy metals in vegetables grown around a waste
incinerator site were estimated using the physiologically based extraction test
(PBET) method, to assess potential health risk to the local consumers. The
average gastric and intestinal bioaccessibilities of Cd, Cr, Cu, Ni, and Pb in
vegetables varied within 3.2-9.4 and 0.8-5.3 %, 1.4-2.3 and 1.1-1.9 %, 25-46 and
13-26 %, 6.6-30 and 2.6-5.3 %, 11-29 and 7.1-23 %, respectively. Strong negative
correlations were found between electrochemical potential (DeltaE 0) and
bioaccessibility for leaf mustard samples (r (2) = 0.857) and leaf lettuce
samples (r (2) = 0.696). In addition, softness index (sigmap) and electrochemical
potential (DeltaE 0) exhibited a moderate but not significant relationship with
bioaccessibilities on the basis of the multiple regression analysis (0.05 < p <
0.1). The total bioaccessible target hazard quotient (TBTHQ) of the five heavy
metals was 2.5, with Pb being the major risk contributor. According to the TBTHQs
of each group of vegetables, local consumers are experiencing adverse health
effects by consuming most of the vegetables around waste-incinerator site.
PMID- 26564188
TI - Using natural Chinese zeolite to remove ammonium from rainfall runoff following
urea fertilization of a paddy rice field.
AB - The potential of natural Chinese zeolite to remove ammonium from rainfall runoff
following urea applications to a paddy rice field is assessed in this study.
Laboratory batch kinetic and isotherm experiments were carried out first to
investigate the ammonium adsorption capacity of the natural zeolite. Field
experiments using zeolite adsorption barriers installed at drain outlets in a
paddy rice field were also carried out during natural rainfall events to evaluate
the barrier's dynamic removal capacity of ammonium. The results demonstrate that
the adsorption kinetics are accurately described by the Elovich model, with a
coefficient of determination (R (2)) ranging from 0.9705 to 0.9709, whereas the
adsorption isotherm results indicate that the Langmuir-Freundlich model provides
the best fit (R (2) = 0.992) for the equilibrium data. The field experiments show
that both the flow rate and the barrier volume are important controls on ammonium
removal from rainfall runoff. A low flow rate leads to a higher ammonium removal
efficiency at the beginning of the tests, while a high flow rate leads to a
higher quantity of ammonium adsorbed over the entire runoff process.
PMID- 26564189
TI - Migration and transformation rule of heavy metals in sludge during hydrolysis for
protein extraction.
AB - The content and speciation of heavy metals can fundamentally affect the
hydrolysis of sludge. This research study investigates the migration and
transformation rule of heavy metals during the hydrolysis process by measuring
the content of exchangeables (F1), bound to carbonates (F2), bound to Fe-Mn
oxides (F3), bound to organic matter (F4), and residuals (F5) under different
periods of time undergoing hydrolysis. The results show that the hydrolysis
process generally stabilized Cu, Zn, Mn, Ni, Pb, Cr, and As by transforming the
unstable states into structurally stable states. Such transformations and
stabilization were primarily caused by the changes in local metal ion environment
and bonding structure, oxidation of sulfides, pyrolyzation of organic matter, and
evaporation of resulting volatile materials. An X-ray diffractometry (XRD) of the
residuals conducted after hydrolysis indicated that hydrolysis did have a
significant influence on the transportation and transformation of heavy metals.
PMID- 26564191
TI - Thermodynamic analysis of in situ gasification-chemical looping combustion (iG
CLC) of Indian coal.
AB - Chemical looping combustion (CLC) is an inherent CO2 capture technology. It is
gaining much interest in recent years mainly because of its potential in
addressing climate change problems associated with CO2 emissions from power
plants. A typical chemical looping combustion unit consists of two reactors-fuel
reactor, where oxidation of fuel occurs with the help of oxygen available in the
form of metal oxides and, air reactor, where the reduced metal oxides are
regenerated by the inflow of air. These oxides are then sent back to the fuel
reactor and the cycle continues. The product gas from the fuel reactor contains a
concentrated stream of CO2 which can be readily stored in various forms or used
for any other applications. This unique feature of inherent CO2 capture makes the
technology more promising to combat the global climate changes. Various types of
CLC units have been discussed in literature depending on the type of fuel burnt.
For solid fuel combustion three main varieties of CLC units exist namely: syngas
CLC, in situ gasification-CLC (iG-CLC) and chemical looping with oxygen
uncoupling (CLOU). In this paper, theoretical studies on the iG-CLC unit burning
Indian coal are presented. Gibbs free energy minimization technique is employed
to determine the composition of flue gas and oxygen carrier of an iG-CLC unit
using Fe2O3, CuO, and mixed carrier-Fe2O3 and CuO as oxygen carriers. The effect
of temperature, suitability of oxygen carriers, and oxygen carrier circulation
rate on the performance of a CLC unit for Indian coal are studied and presented.
These results are analyzed in order to foresee the operating conditions at which
economic and smooth operation of the unit is expected.
PMID- 26564190
TI - Advanced low carbon-to-nitrogen ratio wastewater treatment by electrochemical and
biological coupling process.
AB - Nitrogen pollution in ground and surface water significantly affects the
environment and its organisms, thereby leading to an increasingly serious
environmental problem. Such pollution is difficult to degrade because of the lack
of carbon sources. Therefore, an electrochemical and biological coupling process
(EBCP) was developed with a composite catalytic biological carrier (CCBC) and
applied in a pilot-scale cylindrical reactor to treat wastewater with a carbon-to
nitrogen (C/N) ratio of 2. The startup process, coupling principle, and dynamic
feature of the EBCP were examined along with the effects of hydraulic retention
time (HRT), dissolved oxygen (DO), and initial pH on nitrogen removal. A stable
coupling system was obtained after 51 days when plenty of biofilms were
cultivated on the CCBC without inoculation sludge. Autotrophic denitrification,
with [Fe(2+)] and [H] produced by iron-carbon galvanic cells in CCBC as electron
donors, was confirmed by equity calculation of CODCr and nitrogen removal.
Nitrogen removal efficiency was significantly influenced by HRT, DO, and initial
pH with optimal values of 3.5 h, 3.5 +/- 0.1 mg L(-1), and 7.5 +/- 0.1,
respectively. The ammonia, nitrate, and total nitrogen (TN) removal efficiencies
of 90.1 to 95.3 %, 90.5 to 99.0 %, and 90.3 to 96.5 % were maintained with
corresponding initial concentrations of 40 +/- 2 mg L(-1) (NH3-N load of 0.27 +/-
0.01 kg NH3-N m(-3) d(-1)), 20 +/- 1 mg L(-1), and 60 +/- 2 mg L(-1) (TN load of
0.41 +/- 0.02 kg TN m(-3) d(-1)). Based on the Eckenfelder model, the kinetics
equation of the nitrogen transformation along the reactor was N e = N 0 exp (
0.04368 h/L(1.8438)). Hence, EBCP is a viable method for advanced low C/N ratio
wastewater treatment.
PMID- 26564192
TI - Sensory determinants of valve rhythm dynamics provide in situ biodetection of
copper in aquatic environments.
AB - This study successfully applied an improved valvometry technique to measure
waterborne copper (Cu), based on valve activity dynamics of the freshwater clam
Corbicula fluminea. The improved valvometry technique allows the use of free
range bivalves and avoids causing stresses from experimental artifacts. The
proposed daily valve rhythm models and a toxicodynamics-based Hill model were
linked to predict valve dynamic responses under different Cu exposures with a
circadian valve rhythm endpoint. Cu-specific detection threshold was 5.6 (95 % CI
2.1-9.3) and 19.5 (14.6-24.3) MUg L(-1) for C. fluminea, based on response times
of 300 and 30 min, respectively. Upon exposure to Cu concentrations in excess of
50 MUg L(-1), the alteration of valve rhythm behavior was correlated with Cu
concentration within 30 min, indicating notable sensing ability. This study
outlines the feasibility of an in situ early warning dynamic biomonitoring system
for detection of waterborne Cu based on circadian valve activities of C.
fluminea.
PMID- 26564193
TI - Photocatalytic degradation of phenol using Ag core-TiO2 shell (Ag@TiO2)
nanoparticles under UV light irradiation.
AB - Ag@TiO2 nanoparticles were synthesized by one pot synthesis method with
postcalcination. These nanoparticles were tested for their photocatalytic
efficacies in degradation of phenol both in free and immobilized forms under UV
light irradiation through batch experiments. Ag@TiO2 nanoparticles were found to
be the effective photocatalysts for degradation of phenol. The effects of factors
such as pH, initial phenol concentration, and catalyst loading on phenol
degradation were evaluated, and these factors were found to influence the process
efficiency. The optimum values of these factors were determined to maximize the
phenol degradation. The efficacy of the nanoparticles immobilized on cellulose
acetate film was inferior to that of free nanoparticles in UV photocatalysis due
to light penetration problem and diffusional limitations. The performance of
fluidized bed photocatalytic reactor operated under batch with recycle mode was
evaluated for UV photocatalysis with immobilized Ag@TiO2 nanoparticles. In the
fluidized bed reactor, the percentage degradation of phenol was found to increase
with the increase in catalyst loading.
PMID- 26564194
TI - Event mean concentration and first flush effect from different drainage systems
and functional areas during storms.
AB - This study aimed to investigate the characteristics of the event mean
concentration (EMC) and first flush effect (FFE) during typical rainfall events
in outfalls from different drainage systems and functional areas. Stormwater
outfall quality data were collected from five outfalls throughout Fuzhou City
(China) during 2011-2012. Samples were analyzed for water quality parameters,
such as COD, NH3-N, TP, and SS. Analysis of values indicated that the order of
the event mean concentrations (EMCs) in outfalls was intercepting combined system
> direct emission combined system > separated system. Most of the rainfall events
showed the FFE in all outfalls. The order of strength of the FFE was residential
area of direct emission combined system > commercial area of separated system >
residential area of intercepting combined system > office area of separated
system > residential area of separated system. Results will serve as guide in
managing water quality to reduce pollution from drainage systems.
PMID- 26564195
TI - Fouling analysis of membrane bioreactor treating antibiotic production wastewater
at different hydraulic retention times.
AB - Membrane fouling, including foulants and factors, was investigated during
hydraulic retention time (HRT) optimization of a membrane bioreactor (MBR) that
treated wastewater from the production of antibiotics. The results showed that
HRT played an important role in membrane fouling. Trans-membrane pressure (TMP),
membrane flux, and resistance were stable at -6 kPa, 76 L m-2 h-1 bar-1, and 4.5
* 1012 m-1 when HRT was at 60, 48, and 36 h, respectively. Using Fourier
transform infrared spectroscopy, foulants were identified as carbohydrates and
proteins, which correlated with effluent organic matter and effluent chemical
oxygen demand (COD) compounds. Therefore, membrane fouling trends would benefit
from low supernatant COD (378 mg L-1) and a low membrane removal rate (26 %) at a
HRT of 36 h. Serious membrane fouling at 72 and 24 h was related to soluble
microbial products and extracellular polymeric substances in mixed liquor,
respectively. Based on the TMP decrease and flux recovery after physical and
chemical cleaning, irremovable fouling aggravation was related to extracellular
polymeric substances' increase and soluble microbial products' decrease.
According to changes in the specific oxygen uptake rate (SOUR) and mixed liquor
suspended solids (MLSSs) during HRT optimization in this study, antibiotic
production wastewater largely inhibited MLSS growth, which only increased from
4.5 to 5.0 g L-1 when HRT was decreased from 72 to 24 h, but did not limit sludge
activity. The results of a principal component analysis highlighted both proteins
and carbohydrates in extracellular polymeric substances as the primary foulants.
Membrane fouling associated with the first principal component was positively
related to extracellular polymeric substances and negatively related to soluble
microbial products. Principal component 2 was primarily related to proteins in
the influent. Additional membrane fouling factors included biomass
characteristics, operational conditions, and feed characteristics.
PMID- 26564196
TI - Adsorption of bentazone herbicide onto mesoporous silica: application to
environmental water purification.
AB - Within the last few years, the presence of bentazone herbicide has been observed
in many water resources. For the first time, removal of bentazone using
mesoporous silica was investigated revealing reversible adsorption. The
adsorption isotherm was well described using the Freundlich model. The affinity
towards bentazone is strongly affected by pH in the range of 2-7, decreasing with
the increase of the pH, becoming negligible at the neutrality. Regeneration of
the adsorbent was possible, and a recovery as high as 70 % was obtained using
CH3OH-NaOH solution. Furthermore, appreciable recovery (47 %) was also obtained
using water. Applications on the purification of lake water and wastewaters, both
characterized by a significant organic carbon load, spiked with 2 mg L(-1)
bentazone were tested, observing removal yields in the range of 61-73 %. Taking
advantage of the fast adsorption kinetics observed, an in-flow purification
treatment was set-up, with quantitative removal of bentazone from polluted water.
PMID- 26564197
TI - Characterization of Cd translocation and accumulation in 19 maize cultivars grown
on Cd-contaminated soil: implication of maize cultivar selection for minimal risk
to human health and for phytoremediation.
AB - Maize (Zea mays) has low Cd accumulation in grains and a high biomass compared to
other crops. The capacities for Cd accumulation in different maize cultivars are,
however, not fully understood. To reduce human health risk from maize grown in Cd
contaminated soil and to provide promising maize cultivars for the
phytoremediation of Cd-polluted soil, a field experiment was conducted to screen
low-Cd- and high-Cd-accumulation maize cultivars by evaluating the yield, Cd
uptake, translocation, and accumulation differences among 19 maize cultivars.
There were differences in straw dry weight (DW), root DW, and yield among the 19
cultivars. The cultivars Yudan19, Zhengda999, and Xianyu508 had a higher
production compared to that of the other cultivars. The Cd concentrations in the
roots were much higher than those in the straws and grains in all cultivars. The
Cd accumulation factors (AFS) decreased in the order of accumulation factors in
root (AFrs) > accumulation factors in straw (AFss) > accumulation factors in
grain (AFgs). The Cd translocation factors (TFs) from root to straw (TFrs) were
significantly (p < 0.05) larger than those from straw to grain (TFsg) among all
of the cultivars. The TFs for all of the cultivars was less than 1, and the
lowest TFsg (0.23) was found in cultivar Xiangyongdan3. The correlation analysis
indicated that Cd concentrations in straws showed a significant (p < 0.01) as
well as positive correlation with TFrs while a negative correlation with TFsg (p
< 0.01). Moreover, Cd accumulation in different tissues decreased in the order
straw > grain > root. Among the 19 maize cultivars, Jixiang2118 and Kangnong18
accumulated the highest Cd amount in the aboveground tissues, and the
corresponding values were 7,206.51 and 6,598.68 mg hm(-2), respectively. A
hierarchical cluster analysis based on the Cd concentrations in grains and straws
classified the 19 maize cultivars into four and two groups for a 0.4 minimum
distance between clusters, respectively. Yudan19, Zhengda999, and Xianyu508 can
be classified into one group in which low Cd in grains meeting the Cd tolerance
limit in foods set by China National Standard, suggesting that those cultivars
are safety for food and human health. However, Jixiang2118 and Kangnong18 can be
classified as another group with potential application for phytoremediation in
slightly or moderately Cd-polluted soil because of the high Cd accumulation in
the aboveground tissues.
PMID- 26564198
TI - Brain cholinesterase reactivation as a marker of exposure to anticholinesterase
pesticides: a case study in a population of yellow-legged gull Larus michahellis
(Naumann, 1840) along the northern coast of Portugal.
AB - Between late 2010 to early 2011, an increased mortality in gulls was observed
along the northern coast of Portugal, with individuals exhibiting neurologic
disorders consistent with an eventual anticholinesterase pesticide poisoning
event. To clarify if this mortality was related to organophosphate (OP) and/or
carbamate (CB) poisoning, chemical and spontaneous cholinesterase (ChE)
reactivation was tested in the brain of the yellow-legged gull (Larus
michahellis). Initial brain ChE activity in L. michahellis was 40.92 +/- 5.23
U/mg of protein (average +/- SE). Following chemical and spontaneous
reactivation, ChE activity increased in average 70.38 +/- 48.59% and 131.95 +/-
92.64%, respectively. ChE reactivation was found to decrease at increasing
concentrations of the oxime pyridine-2-aldoxime methochloride and dilution
factor, underscoring the importance of first optimizing the assay conditions
prior to its use on bird species. These results suggest that birds analysed could
have been exposed to OP and CB pesticide compounds and that in most cases CB
exposure appeared to be the main cause of birds poisoning. These results are an
important contribution to environmental monitoring as it demonstrates the
suitability of L. michaellis as sentinel species of OP and CB pesticides within
an urban environment.
PMID- 26564199
TI - Dynamics and polyphasic characterization of odor-producing cyanobacterium
Tychonema bourrellyi from Lake Erhai, China.
AB - The previous studies indicated that Tychonema-like strains from Lake Erhai could
release geosmin so that the species was listed as the potential harmful
cyanobacteria influencing the drinking water safety around Lake Erhai. But, the
dynamics and biological information of this species were too limited. In this
study, the polyphasic approach was used to reveal its biological characterization
and the dynamics in Lake Erhai. The characters of trichomes, including filaments
with solitary or bundle state, reddish-brown or blue-green color, planktonic
habitat, and presence of keritomized content, were examined by the microscopic
method. The 16S rDNA sequences of these strains were used for phylogenetic
analysis and molecular identification. The strains were morphologically
classified as Tychonema bourrellyi, and geosmin and beta-ionone were identified
as the major volatile substances using gas chromatography-mass spectrometry (GC
MS) analysis. No strains of T. bourrellyi were found to produce microcystin by
the HPLC and mcy gene approaches. Cell numbers at 12 sampling sites in Lake Erhai
were shown as an average of 3 * 10(4) cells L(-1) in 2009 and 2010. The obvious
peaks occurred in July and August each year. This was the first report on
occurrence of T. bourrellyi from outside of Europe, and T. bourrellyi was also a
newly recorded species in China. Such a result demonstrated that T. bourrellyi
could distribute extending from cold waters in North Europe to the warm waters in
subtropical regions. It was interesting to observe the coincidence of the
occurrence of T. bourrellyi with slightly eutrophicated waters since Lake Erhai
had been regarded as an early phase of eutrophicated lake.
PMID- 26564200
TI - Phenotypes of orthostatic blood pressure behaviour and association with visual
acuity.
AB - BACKGROUND: Manifestations of neurocardiovascular instability (NCVI), including
orthostatic hypotension (OH) orthostatic hypertension (OHTN) and impaired blood
pressure variability (BPV), have been associated with cardiovascular (CV) events.
The eye is highly vascular and we propose an ideal target end organ to
investigate pathological implications of NCVI. OBJECTIVE: To identify and define
clinically applicable phenotypes of orthostatic blood pressure (BP) behaviour,
analogous to OH, OHTN, and orthostatic BPV and to investigate their relationship
to vision. METHODS: Wave one data from the Irish Longitudinal Study on Ageing
(TILDA) were used. Orthostatic BP (OBP) phenotypes were identified and defined
from beat-to-beat BP data, measured by digital photoplethysmography during an
active stand (AS) lasting 110 s (s). Visual acuity (VA) was assessed using the
Early Treatment Diabetic Retinopathy Study (EDTRS) LogMAR chart. The relationship
between OBP phenotypes and VA in 4355 adults aged >=50 years was investigated
through multivariate linear regression models. RESULTS: There was a wide
fluctuation in the prevalence of OH and OHTN up to 20 s after standing. After 30
s, four distinct OBP phenotypes were identified: in 70 % BP stabilised to within
20/10 mmHg of baseline BP, 4 % had persistent OH, 2 % had persistent OHTN and 25
% had exaggerated orthostatic blood pressure variability BPV. Systolic BPV was
associated with worse VA (P = 0.02) as was diastolic BPV (P = 0.03), following
adjustment for demographics, health behaviours, self-report eye diseases and
diabetes, uncorrected refractive error, objective hypertension and
antihypertensives. CONCLUSIONS: The hypothesis that NCVI may independently
modulate CV risk is supported the independent association of exaggerated BPV and
worse VA.
PMID- 26564202
TI - An AhR-Luciferase Adenovirus Infection System for Rapid Screening of Dioxins in
Soils.
AB - Our goal was to develop a fast-screening method for measuring dioxin levels in
soils. The adenovirus (Ad)-dioxin-responsive (DR) bioassay system (AdEasy-6XDRE
TATA-Luc) combined with a fast-cleanup system was examined under conventional
conditions (i.e., with incubation at 37 degrees C) and three alternative
conditions [incubation at 37 degrees C with addition of phorbol-12-myristate-13
acetate (PMA), incubation at 33 degrees C, and incubation at 33 degrees C with
addition of PMA]. The best conditions for carrying out the Ad-DR bioassay was 33
degrees C and no addition of PMA. The background level of soil dioxins determined
by the chemical assay [6.49 ng I-TEQ/kg dry weight (dw)] was well correlated
(Pearson's r = 0.873, p < 0.001) with that by the Ad-DR bioassay [expressed in ng
bioanalytical equivalents (BEQ) 81.1 ng BEQ/kg dw] (n = 17). When surveyed in
contaminated soil samples (n = 114) from industrial areas by the Ad-DR bioassay,
dioxin levels were 117, 102, 98.5, and 112 ng BEQ/kg dw, respectively, in
northern, central, southern, and eastern Taiwan.
PMID- 26564201
TI - Combined ultra-low input mRNA and whole-genome sequencing of human embryonic stem
cells.
AB - BACKGROUND: Next Generation Sequencing has proven to be an exceptionally powerful
tool in the field of genomics and transcriptomics. With recent development it is
nowadays possible to analyze ultra-low input sample material down to single
cells. Nevertheless, investigating such sample material often limits the analysis
to either the genome or transcriptome. We describe here a combined analysis of
both types of nucleic acids from the same sample material. METHODS: The method
described enables the combined preparation of amplified cDNA as well as amplified
whole-genome DNA from an ultra-low input sample material derived from a sub
colony of in-vitro cultivated human embryonic stem cells. cDNA is prepared by the
application of oligo-dT coupled magnetic beads for mRNA capture, first strand
synthesis and 3'-tailing followed by PCR. Whole-genome amplified DNA is prepared
by Phi29 mediated amplification. Illumina sequencing is applied to short fragment
libraries prepared from the amplified samples. RESULTS: We developed a protocol
which enables the combined analysis of the genome as well as the transcriptome by
Next Generation Sequencing from ultra-low input samples. The protocol was
evaluated by sequencing sub-colony structures from human embryonic stem cells
containing 150 to 200 cells. The method can be adapted to any available
sequencing system. CONCLUSIONS: To our knowledge, this is the first report where
sub-colonies of human embryonic stem cells have been analyzed both at the genomic
as well as transcriptome level. The method of this proof of concept study may
find useful practical applications for cases where only a limited number of cells
are available, e.g. for tissues samples from biopsies, tumor spheres, circulating
tumor cells and cells from early embryonic development. The results we present
demonstrate that a combined analysis of genomic DNA and messenger RNA from ultra
low input samples is feasible and can readily be applied to other cellular
systems with limited material available.
PMID- 26564203
TI - Crystal structure of the homocysteine methyltransferase MmuM from Escherichia
coli.
AB - Homocysteine S-methyltransferases (HMTs, EC 2.1.1.0) catalyse the conversion of
homocysteine to methionine using S-methylmethionine or S-adenosylmethionine as
the methyl donor. HMTs play an important role in methionine biosynthesis and are
widely distributed among micro-organisms, plants and animals. Additionally, HMTs
play a role in metabolite repair of S-adenosylmethionine by removing an inactive
diastereomer from the pool. The mmuM gene product from Escherichia coli is an
archetypal HMT family protein and contains a predicted zinc-binding motif in the
enzyme active site. In the present study, we demonstrate X-ray structures for
MmuM in oxidized, apo and metallated forms, representing the first such
structures for any member of the HMT family. The structures reveal a
metal/substrate-binding pocket distinct from those in related enzymes. The
presented structure analysis and modelling of co-substrate interactions provide
valuable insight into the function of MmuM in both methionine biosynthesis and
cofactor repair.
PMID- 26564204
TI - An integrated biochemical system for nitrate assimilation and nitric oxide
detoxification in Bradyrhizobium japonicum.
AB - Rhizobia are recognized to establish N2-fixing symbiotic interactions with legume
plants. Bradyrhizobium japonicum, the symbiont of soybeans, can denitrify and
grow under free-living conditions with nitrate (NO3 (-)) or nitrite (NO2 (-)) as
sole nitrogen source. Unlike related bacteria that assimilate NO3 (-), genes
encoding the assimilatory NO3 (-) reductase (nasC) and NO2 (-) reductase (nirA)
in B. japonicum are located at distinct chromosomal loci. The nasC gene is
located with genes encoding an ABC-type NO3 (-) transporter, a major facilitator
family NO3 (-)/NO2 (-) transporter (NarK), flavoprotein (Flp) and single-domain
haemoglobin (termed Bjgb). However, nirA clusters with genes for a NO3 (-)/NO2 (
)-responsive regulator (NasS-NasT). In the present study, we demonstrate NasC and
NirA are both key for NO3 (-) assimilation and that growth with NO3 (-), but not
NO2 (-) requires flp, implying Flp may function as electron donor to NasC. In
addition, bjgb and flp encode a nitric oxide (NO) detoxification system that
functions to mitigate cytotoxic NO formed as a by-product of NO3 (-)
assimilation. Additional experiments reveal NasT is required for NO3 (-)
responsive expression of the narK-bjgb-flp-nasC transcriptional unit and the nirA
gene and that NasS is also involved in the regulatory control of this novel
bipartite assimilatory NO3 (-)/NO2 (-) reductase pathway.
PMID- 26564205
TI - Peroneal tendon dislocation.
AB - INTRODUCTION: Peroneal tendon instability goes often mis- or undiagnosed in the
acute setting of evaluation of ankle sprains. The current article provides a
concise overview regarding peroneal tendon instability. METHODS: A proper
history, clinical assessment and imaging help to establish the correct diagnosis.
Conventional radiography, ultrasound, magnetic resonance imaging and sometimes
computed tomography may help to elucidate the pattern of injury. RESULTS:
Nonoperative treatment can be considered in the acute setting. However, high
failure rates up to 50 % have been reported in the literature. This is even
better reflected in the chronic stage of peroneal instability, in which most of
the patients need surgical treatment. In contrast, surgical treatment attempts to
restore structural stabilization of the peroneal tendon and retinacular complex.
The simple reconstruction and repair of the damaged retinacular structures and
tendons achieve excellent results. In patients with structural abnormalities of
the retromalleolar groove, groove-deepening procedures may be considered. Most of
chronic personal tendon instabilities need to be addressed by surgery due to the
frustrating results obtained by nonoperative measures. However, reconstruction of
the tendinous and retinacular structures can yield good-to-excellent outcomes.
PMID- 26564206
TI - Subantimicrobial-dose doxycycline monohydrate in dermatology.
AB - Subantimicrobial doxycycline is an anti-inflammatory drug that decreases
cathelicidin, kallikrein 5, reactive oxygen species, nitric oxide, and matrix
metalloproteinases. Clinical trials demonstrated a comparable efficacy to 100-mg
doxycycline in papulopustular rosacea with improvement of inflammatory lesions,
quality of life, and improved safety profile. Case series and case reports
suggested efficacy in other inflammatory skin diseases. The response of
papulopustular rash during targeted anticancer therapies is mixed. Further
studies are needed.
PMID- 26564207
TI - [EOS imaging acquisition system : 2D/3D diagnostics of the skeleton].
AB - The application spectrum of the EOS imaging acquisition system is versatile. It
is especially useful in the diagnostics and planning of corrective surgical
procedures in complex orthopedic cases. The application is indicated when
assessing deformities and malpositions of the spine, pelvis and lower
extremities. It can also be used in the assessment and planning of hip and knee
arthroplasty. For the first time physicians have the opportunity to conduct
examinations of the whole body under weight-bearing conditions in order to
anticipate the effects of a planned surgical procedure on the skeletal system as
a whole and therefore on the posture of the patient. Compared to conventional
radiographic examination techniques, such as x-ray or computed tomography, the
patient is exposed to much less radiation. Therefore, the pediatric application
of this technique can be described as reasonable.
PMID- 26564208
TI - Metabolomics screening identifies reduced L-carnitine to be associated with
progressive emphysema.
AB - Chronic obstructive pulmonary disease (COPD) is characterized by chronic
bronchitis, small airway remodelling and emphysema. Emphysema is the destruction
of alveolar structures, leading to enlarged airspaces and reduced surface area
impairing the ability for gaseous exchange. To further understand the
pathological mechanisms underlying progressive emphysema, we used MS-based
approaches to quantify the lung, bronchoalveolar lavage fluid (BALF) and serum
metabolome during emphysema progression in the established murine porcine
pancreatic elastase (PPE) model on days 28, 56 and 161, compared with PBS
controls. Partial least squares (PLS) analysis revealed greater changes in the
metabolome of lung followed by BALF rather than serum during emphysema
progression. Furthermore, we demonstrate for the first time that emphysema
progression is associated with a reduction in lung-specific L-carnitine, a
metabolite critical for transporting long-chain fatty acids into the mitochondria
for their subsequent beta-oxidation. In vitro, stimulation of the alveolar
epithelial type II (ATII)-like LA4 cell line with L-carnitine diminished
apoptosis induced by both PPE and H2O2. Moreover, PPE-treated mice demonstrated
impaired lung function compared with PBS-treated controls (lung compliance;
0.067+/-0.008 ml/cmH20 compared with 0.035+/-0.005 ml/cmH20, P<0.0001), which
improved following supplementation with L-carnitine (0.051+/-0.006, P<0.01) and
was associated with a reduction in apoptosis. In summary, our results provide a
new insight into the role of L-carnitine and, importantly, suggest therapeutic
avenues for COPD.
PMID- 26564209
TI - Stereoscopic perception of 3-D images by patients after surgery for esotropia.
AB - PURPOSE: Patients with esotropia (ET) have generally poor stereopsis; however, it
is not clear whether they can see the recently developed 3-D images
stereoscopically. We investigated the ability of postoperative ET patients to
have stereoscopic perception of 3-D attraction images which have large crossed
disparities, and also 3-D movies which have generally small uncrossed
disparities. METHODS: Twenty-seven ET patients (infantile ET = 12, late-onset ET
= 15) were examined postoperatively. They were examined with the 4-dot test,
Titmus fly test, and questionnaires to determine whether they had stereoscopic
vision when observing 3-D attraction images and 3-D movies. McNemar tests were
used for statistical evaluations. RESULTS: The number of patients who passed the
Titmus fly test was smaller than the number that were able to see 3-D attraction
images stereoscopically (fly test 13; 48%, 3-D attraction 22; 81%; P = 0.016).
However, the number was not significantly different from that of those who could
perceive 3-D movies stereoscopically. The number of patients who passed the
Titmus fly test was significantly smaller than the number who can perceive 3-D
attraction images stereoscopically in the infantile ET group (fly test 2, 17%, 3
D attraction 10, 83%; P = 0.013) but was not different in the late-onset ET group
postoperatively. The minimum angle of fusion for the 4-dot test was smaller in
the Titmus fly-positive patients than in the Titmus fly-negative patients (P =
0.03). CONCLUSIONS: These results suggest that children who cannot pass the
Titmus fly test might be able to experience 3-D attractions stereoscopically but
not be able to see 3-D movies stereoscopically.
PMID- 26564210
TI - Understanding why resistant bacteria are associated with higher mortality in ICU
patients.
PMID- 26564211
TI - The reliability of the Glasgow Coma Scale: a systematic review.
AB - INTRODUCTION: The Glasgow Coma Scale (GCS) provides a structured method for
assessment of the level of consciousness. Its derived sum score is applied in
research and adopted in intensive care unit scoring systems. Controversy exists
on the reliability of the GCS. The aim of this systematic review was to summarize
evidence on the reliability of the GCS. METHODS: A literature search was
undertaken in MEDLINE, EMBASE and CINAHL. Observational studies that assessed the
reliability of the GCS, expressed by a statistical measure, were included.
Methodological quality was evaluated with the consensus-based standards for the
selection of health measurement instruments checklist and its influence on
results considered. Reliability estimates were synthesized narratively. RESULTS:
We identified 52 relevant studies that showed significant heterogeneity in the
type of reliability estimates used, patients studied, setting and characteristics
of observers. Methodological quality was good (n = 7), fair (n = 18) or poor (n =
27). In good quality studies, kappa values were >=0.6 in 85%, and all intraclass
correlation coefficients indicated excellent reliability. Poor quality studies
showed lower reliability estimates. Reliability for the GCS components was higher
than for the sum score. Factors that may influence reliability include education
and training, the level of consciousness and type of stimuli used. CONCLUSIONS:
Only 13% of studies were of good quality and inconsistency in reported
reliability estimates was found. Although the reliability was adequate in good
quality studies, further improvement is desirable. From a methodological
perspective, the quality of reliability studies needs to be improved. From a
clinical perspective, a renewed focus on training/education and standardization
of assessment is required.
PMID- 26564212
TI - Remnant-preserving and re-tensioning technique to cover the graft in anterior
cruciate ligament reconstruction.
AB - PURPOSE: This study set out to assess the clinical and radiographic outcomes and
the extent of synovial coverage on second-look arthroscopy of anterior cruciate
ligament (ACL) reconstruction using a remnant-preserving and re-tensioning
technique to easily cover the graft with a remnant. METHODS: Forty-three subjects
with ACL rupture underwent remnant-preserving and re-tensioning ACL
reconstruction using a free tendon Achilles allograft between 2011 and 2013. The
clinical outcomes were assessed by Lysholm knee score, Lachman stress test, pivot
shift test, International Knee Documentation Committee (IKDC) classification, and
Tegner Activity Scale score. Side-to-side difference (SSD) was assessed on stress
radiographs. The extent of synovialization was evaluated on second-look
arthroscopy. RESULTS: The mean Lysholm score was 54 +/- 11 before surgery and 94
+/- 5 at the last follow-up (p < 0.001). On Lachman stress test, 42 subjects had
grade 0 or 1 on the Lachman stress test, and 42 had grade 0 or 1 on the pivot
shift test. Forty-one subjects had IKDC classification A or B; two were
classified as C or D. The median Tegner Activity Scale score was 6.5 (range 5-9)
before injury and 6 (range 4-8) at the last follow-up (p = 0.048). Mean SSD on
stress radiographs was 9.9 +/- 2.6 mm preoperatively and 1.0 +/- 1.7 mm at the
last follow-up (p < 0.001). In the assessment of the extent of synovial coverage
of the graft, 39 subjects were in group 1 (>75 %) for synovial coverage of the
graft, three were in group 2 (50-75 %), and one was in group 4 (<=25 %).
CONCLUSIONS: The remnant-preserving and re-tensioning technique resulted in
satisfying short-term results clinically and radiologically and good synovial
coverage on second-look arthroscopy. LEVEL OF EVIDENCE: Case series, Level IV.
PMID- 26564213
TI - Collagen application reduces complication rates of mid-substance ACL tears
treated with dynamic intraligamentary stabilization.
AB - PURPOSE: Dynamic intraligamentary stabilization was recently proposed as an
option for the treatment of acute ACL ruptures. The aim of this study was to
investigate the feasibility of the procedure in mid-substance ACL ruptures and
examine whether the additional application of a bilayer collagen I/III membrane
would provide for a superior outcome. METHODS: The study group consisted of
patients presenting with a mid-substance ACL rupture undergoing dynamic
intraligamentary stabilization using the LigamysTM device along with application
of a collagen I/III membrane to the surface of the ACL (group A, n = 23). The
control group comprised a matched series of patients presenting with a mid
substance ACL rupture also treated by dynamic intraligamentary stabilization
LigamysTM repair, however, without additional collagen application (group B, n =
33). Patients were evaluated preoperatively and at 24-month follow-up for
stability as well as Tegner and Lysholm scores. Knee laxity was measured as a
difference in anterior translation (DeltaAP) and pivot shift. Any events
occurring during the follow-up period of 24 months were documented. Logistic
regression of complications was performed, and adjustment undertaken where
necessary. RESULTS: A high total complication rate of 78.8 % was noted in group
B, compared to group A (8.7 %) (p = 0.002). The addition of a collagen membrane
was the only independent prognostic factor associated with reduced complications
(OR 8.0, CI 2.0-32.2, p = 0.003, for collagen-free treatment). In group B, 6
patients suffered a re-rupture with subsequent instability requiring secondary
hamstring reconstruction surgery, and 11 developed extension loss requiring
arthroscopic debridement, whilst in group A, 2 patients required arthroscopic
debridement for loss of exension, with no further encountered complication.
Median Lysholm score was significantly higher in group A compared to group B
(median 100 range 93-100 vs median 95 range 60-100, p = 0.03) at final follow-up.
CONCLUSIONS: A high complication rate following ACL LigamysTM repair of mid
substance ruptures was noted. Application of a collagen membrane to the surface
of the ACL resulted in a reduced incidence of extension deficit and re-ruptures.
The results indicate that solitary ACL LigamysTM repair does not present an
appropriate treatment modality for mid-substance ACL ruptures. Collage
application proved to provide healing benefits with superior clinical outcome
after ACL repair. LEVEL OF EVIDENCE: Case control study, Level III.
PMID- 26564214
TI - The influence of suprapectoral arthroscopic biceps tenodesis for isolated biceps
lesions on elbow flexion force and clinical outcomes.
AB - PURPOSE: To prospectively evaluate elbow flexion force, cosmetic and clinical
outcome of all-arthroscopic suprapectoral biceps tenodesis for isolated biceps
lesions. METHODS: Tenodesis was performed using a 6.25-mm absorbable interference
screw for intraosseous fixation. Seventeen out of 24 patients (70.8 %, median age
49.0 +/- 10.1 years; 10 = male) could be included for 24 months follow-up. Elbow
flexion strength in 10 degrees and 90 degrees elbow flexion, the upward
directed force of the upper arm in the O'Brien position, objective evaluation of
a Popeye-sign deformity and validated clinical scores (CMS, SST, ASES) were
assessed preoperatively, 3, 6, 12 and 24 months postoperatively. RESULTS: Elbow
flexion strength in 90 degrees improved significantly from 12 months onwards (P
= 0.001) without significant difference to the contralateral arm from 3 months
postoperatively (n.s.). At 24 months, an average increase of 46.4 % (median 37.7
%) from preoperative could be seen. The dominant arm was affected in 70.6 %. All
scores showed a significant improvement 3 months postoperatively: SST (P =
0.003), ASES (P = 0.006) and total CMS (P < 0.001). Three patients (17.6 %)
developed a distalization of the maximum biceps circumference of more than 20 %
compared to preoperative. CONCLUSIONS: All-arthroscopic proximal suprapectoral
intraosseous single-limb biceps tenodesis for the treatment of isolated biceps
lesions provides good-to-excellent clinical results with significant improvement
of elbow flexion strength and clinical scores and no significant difference to
the unaffected contralateral arm. LEVEL OF EVIDENCE: IV.
PMID- 26564215
TI - Similar results comparing early and late surgery in open repair of traumatic
rotator cuff tears.
AB - PURPOSE: The purpose was to investigate whether surgical repair earlier or later
than 3 months after injury may result in similar outcomes and patient
satisfaction. METHODS: Seventy-three patients (75 shoulders, 58 males, mean age
59) who had undergone surgical intervention for traumatic rotator cuff tears from
1999 to 2011 were assessed by MRI, clinical examination and Western Ontario
Rotator Cuff Index (WORC) as a primary outcome measure and Oxford Shoulder score
(OSS), Constant-Murley score (CS) and EQ-5D as secondary. The patients treated
less than 3 months after injury (n = 39) were compared with patients treated more
than 3 months after injury (n = 36). The average follow-up time was 56 months
(range 14-149), and the average time from injury to repair for all patients was
16 weeks (range 3-104). A single senior radiologist performed a blinded
evaluation of all the MRIs. Rotator cuff integrity, presence of arthritis, fatty
degeneration and muscle atrophy were evaluated. RESULTS: No differences were
found for any of the assessed outcomes (WORC, OSS, CS and EQ-5D) between the two
groups. The mean WORC % was 77 % for both groups. Re-tear frequency was 24 %,
nine in both groups. Patients with re-tear reported less satisfaction with their
outcome. CONCLUSIONS: The surgical treatment of symptomatic traumatic rotator
cuff tears repairable later than 3 months after injury yields a good functional
outcome, a high level of subjective patient satisfaction, and at the same level
for patients receiving earlier treatment. Based on our findings, surgical repair
could be encouraged whenever technically possible. LEVEL OF EVIDENCE:
Retrospective Comparative Study, Level III.
PMID- 26564216
TI - Posterior vaginal compartment repairs: Where are the main anatomical defects?
AB - INTRODUCTION AND HYPOTHESIS: Traditionally, it has been believed that posterior
vaginal compartment prolapse was largely due to defects in the rectovaginal
fascia, with surgical repairs concentrating on addressing this defect. We aimed
to determine the relative size of defects at the different vaginal levels (I-III)
following a large number of posterior vaginal compartment repairs (PRs) to
determine whether this traditional viewpoint is still appropriate. METHODS: In a
cross-sectional study of 300 consecutive PRs, mostly following prior or
concomitant hysterectomy, two sets of markers of posterior compartment prolapse
were used to measure anatomical defects at levels I-III: (i) from Pelvic Organ
Prolapse Quantification (POP-Q) system points C, Ap, Bp, and genital hiatus (GH),
and from Posterior Repair Quantification (PR-Q) perineal gap (PG), posterior
vaginal-vault descent (PVVD), midvaginal laxity (MVL)-vault undisplaced, and
rectovaginal fascial laxity (RVFL). RESULTS: The largest defects were found at
level I (PVVD: mean 6.0 cm; point C, mean minus 0.9 cm), and level III (PG, mean
2.9 cm; GH, mean 3.7 cm). Level II defects (MVL-vault undisplaced, mean 1.3 cm;
RVFL, mean 1.1 cm; points Ap, Bp, both mean 1.0 cm) were relatively small.
CONCLUSIONS: This study suggests that the defects found at surgery for posterior
vaginal compartment prolapse were more frequent at the vaginal vault (level I)
and vaginal introitus (level III) than at midvagina (level II). These findings
should have implications for surgical planning.
PMID- 26564217
TI - Sacrocolpopexy: is there a consistent surgical technique?
AB - INTRODUCTION: Sacrocolpopexy is the gold standard treatment for vault prolapse.
Current reported standards regarding surgical approach and technique vary. Our
aim was to evaluate the surgical techniques used and identify any consistency.
METHODS: Electronic surveys were sent to 148 candidates enrolled in a
sacrocolpopexy workshop at the 2012 American Urogynecologic Society (AUGS) annual
meeting and as a link in the International Urogynecology Association (IUGA) e
magazine. The survey assessed demographics, specific surgical steps including
dissection techniques, number and type of sutures, graft materials, and the
approach to intraoperative complications. RESULTS: Within the AUGS group, 61
candidates responded (41 %). From the IUGA membership, 128 responded for a total
of 189. Overall, 59 % identified their primary practice as urogynaecology, 43 %
having completed a fellowship. Only 33 % reported performing sacrocolpopexy as
the primary surgery for vault prolapse. Technical aspects: 99.4 % used
polypropylene mesh, with 57 % attaching it to the vagina using non-absorbable
monofilament sutures. An average of 3-4 sutures were used on the anterior and
posterior walls respectively. Suture location: 22.5 % reported not placing apical
sutures and 55.7 % place their anterior wall sutures midway down the vagina.
Posteriorly, 47 (30 %) placed sutures through the uterosacral ligaments, 19 (12.4
%) through the levator ani and 15 % extend the mesh to the perineal body. The
mesh was attached to the sacrum using permanent sutures by 75 %. Dissection of
the sacrum was deemed the most technically difficult aspect. CONCLUSION: Surgical
technique varies widely despite the level of expertise and training. This study
highlights the need for an evaluation of the effect of surgical technique on
outcomes.
PMID- 26564218
TI - Response to the letter to the editor: the correct episiotomy--does it exist?
PMID- 26564219
TI - The correct episiotomy: Does it exist?
PMID- 26564220
TI - A novel insight into the treatment of an intravesical eroded mesh: endoscopic
assisted cystoscopic approach.
PMID- 26564221
TI - Age at hysterectomy as a predictor for subsequent pelvic organ prolapse repair.
AB - INTRODUCTION AND HYPOTHESIS: The aim of this study was to investigate the
association between patient age at the time of hysterectomy and subsequent pelvic
organ prolapse (POP) surgery. METHODS: We gathered data on all benign
hysterectomies and POP surgeries performed in Denmark on Danish women from 1977
to 2009 from the Danish National Patient Registry. The cohort consisted of
154,882 hysterectomized women, who were followed up for up to 32 years. Survival
analysis for each age group at hysterectomy was performed using Kaplan-Meier
product limit methods. RESULTS: For all hysterectomized women, we found that low
age at hysterectomy yielded a lower risk of subsequent POP surgery than did
hysterectomy at an older age. This difference diminished after stratification by
indication; all non-POP hysterectomies had a low cumulative incidence at 8-11 %
at the end of the follow-up period. For all women hysterectomized, the
predominant compartment for POP surgery was the posterior. Women hysterectomized
when aged over 66 years had a higher proportion of POP surgery in the apical
compartment than in the other age groups (p = 0.000). CONCLUSION: Our findings
indicate that age at hysterectomy only marginally influences the risk of
subsequent POP surgery for women hysterectomized for indications other than POP.
If POP is the indication for hysterectomy, the risk of undergoing subsequent POP
surgery increases substantially.
PMID- 26564222
TI - Surgical management of urethral diverticula in women: a systematic review.
AB - Urethral diverticula (UD) are pouch-like outgrowths of the urethral lumen and
surgery is by far the most common approach in symptomatic patients. The aim of
this systematic review was to evaluate surgical techniques and outcomes in adult
women with urethral diverticula. Our secondary objective was to determine the
types of study designs. A systematic review of the literature was conducted.
Medline, Cinahl and Embase were used as data sources. One hundred and eight
studies, including 1,947 patients, remained for final analysis. We summarised 40
single case reports and 68 case series. Overall, transvaginal resection of the UD
+/- reconstruction was performed in the majority of patients (84 %), followed by
marsupialisation (3.8 %) and transurethral endoscopic unroofing (2.0 %). Various
other surgical techniques were reported in 181 out of 1,858 cases (9.7 %).
Nineteen studies, dealing with 584 patients in all, evaluated a combination of
vaginal diverticulectomy with an additional surgical procedure. Fifty-six out of
108 studies (52 %) documented the resolution of symptoms, describing 717 out of
1,044 patients in all being completely symptom-free after surgery. Only 50 out of
108 studies (46.2 %) provided detailed information on the length of follow-up,
but showed a poor reporting standard regarding prognosis. Complications were
studied only selectively. Because of the inconsistency of these data, it was
impossible to analyse them collectively. There were no comparative studies on the
different types of surgery in women with urethral diverticula. Overall, the non
comparative nature of the current evidence on the surgical management of UD does
not allow any accurate estimation of success and complication rates.
PMID- 26564223
TI - Validation of the Polish version of the Pelvic Organ Prolapse/Urinary
Incontinence Sexual Questionnaire.
AB - INTRODUCTION AND HYPOTHESIS: The aim of the study was to develop a Polish version
of the Pelvic Organ Prolapse/Urinary Incontinence Sexual Questionnaire (PISQ) to
evaluate sexual function in patients with stress urinary incontinence (SUI) and
pelvic organ prolapse (POP) versus a control group. METHODS: Before the
validation study, a pilot study of the Polish version of the PISQ was performed
in 22 women with pelvic floor dysfunctions. The respondents completed the
questionnaire at recruitment and 2 weeks later. Test-retest reliability and
internal consistency were determined. The validation study was performed in 249
sexually active women (123 with urodynamic SUI and POP; 126 healthy controls).
The study group reported urinary incontinence (UI) at the urogynecological
ambulatory clinic, where they underwent urogynecological and urodynamic
examinations. All participants completed the questionnaire. PISQ results from
both groups were compared and correlated with those of the King's Health
Questionnaire (KHQ) and patient age. RESULTS: Test-retest reliability was good
according to Pearson's correlation coefficient (r = 0.89, p < 0.001). PISQ had
high internal consistency (Cronbach's alpha = 0.878). The total PISQ score was
significantly lower in the study group compared with the controls (83.6 +/- 14.9
vs 95.7 +/- 10.3, p < 0.001), as were scores for individual domains:
Behavioral/Emotive, Physical, Partner-Related (33.9 +/- 10.2 vs 39.8 +/- 7.8,
31.7 +/- 6.9 vs 37.1 +/- 2.8, and 18.0 +/- 3.1 vs 19.4 +/- 2.6 respectively; p <
0.001), confirming the lower quality of sexual function in women with SUI and
POP. A correlation between PISQ and KHQ scores and patient age was confirmed.
CONCLUSIONS: The Polish version of the PISQ is a reliable tool for evaluating
sexual function in women with POP and UI.
PMID- 26564224
TI - Effect of norfloxacin therapy for acute, uncomplicated lower urinary tract
infection on vaginal Candida prevalence.
AB - INTRODUCTION AND HYPOTHESIS: Acute uncomplicated lower urinary tract infections
(UTI) and vulvovaginal candidiasis (VVC) both occur frequently in women. Although
VVC is believed to commonly occur after antibiotic therapy, few studies have
demonstrated this association. Thus, the aim of the study was to estimate the
prevalence of colonization by Candida spp. and VVC after norfloxacin (NOR) use
for UTI and the effects on the vaginal microbiota and inflammatory process.
METHODS: This was a prospective cohort study of women with culture-proven UTI who
were treated with NOR (antibiotic group). The control group consisted of women
with noninfectious diseases or in preventive care. Candida vaginal infections
were monitored both clinically and mycologically at baseline and at the follow-up
evaluation. RESULTS: All women showed UTI remission after NOR treatment, and no
woman in either group, antibiotic and control, showed symptoms of VVC. Both
groups showed similar ratios of a positive Candida culture at baseline (6.7 % and
12.8 %, respectively) and at follow-up (3.3 % and 8.5 %, respectively) (p =
0.2768 and p = 0.5035, respectively). The antibiotic group showed no increased
risk of Candida colonization or VVC after NOR treatment compared with the control
group [odds ratio (OR) 0.556, 95 % confidence interval (CI) 0.2407-10.05].
CONCLUSIONS: NOR was effective for UTI treatment, did not increase the risk of
vaginal colonization by Candida or VVC, and did not lead to major disturbances of
the vaginal microbiota.
PMID- 26564225
TI - Association of osteoporosis with genetic variants of circadian genes in Chinese
geriatrics.
AB - This study was designed to investigate the association of circadian gene single
nucleotide polymorphisms (SNPs) with the risk of osteoporosis. We found that the
rs3781638 GG genotype was positively associated with osteoporosis prevalence in
females, whereas the rs2292910 AC genotype was negatively associated with
osteoporosis prevalence in a geriatric cohort. INTRODUCTION: Studies have shown
that disruption of endogenous circadian rhythms may increase the risk of
developing type II diabetes and obesity, which are reportedly associated with
osteoporosis (OP). Thus, abnormalities of circadian genes may indirectly induce
OP. Here, we investigated the association of OP with 14 SNPs located in seven
circadian genes. METHODS: The research subjects, geriatric residents of Shanghai
Minhang, China, diagnosed with OP (N = 171) or osteopenia (N = 226) or without
specific diseases (N = 200), were genotyped for 14 genetic variants of circadian
genes by competitive allele-specific polymerase chain reaction. The prevalence of
polymorphisms among the subject groups and the association between the SNPs and
osteoporosis were investigated. RESULTS: Among the 14 genotyped SNPs, we found an
association between the CRY2 gene rs2292910 SNP and osteoporosis (r = -0.082, p =
0.045) in the geriatric cohort. We found a decreased risk between cryptochrome 2
rs2292910 and OP (A/C odds ratio = 0.647, p = 0.044) but an increased risk
between MTNR1B rs3781638 and OP (G/G odds ratio = 2.058, p = 0.044). CONCLUSION:
For the first time, we show that Cry 2 rs2292910 and MTNR1B rs3781638 are
associated with osteoporosis in a Chinese geriatric cohort. Therefore, targeting
the abnormalities of the CRY2 and MTNR1B genes may be a potential strategy to
treat and/or to prevent osteoporosis.
PMID- 26564226
TI - The real enemy is fragility fracture, not osteoporosis.
PMID- 26564227
TI - A BMD threshold for treatment efficacy in osteoporosis? A need to consider the
whole evidence base.
PMID- 26564228
TI - Using self-reports of pain and other variables to distinguish between older women
with back pain due to vertebral fractures and those with back pain due to
degenerative changes.
AB - Women with back pain and vertebral fractures describe different pain experiences
than women without vertebral fractures, particularly a shorter duration of back
pain, crushing pain and pain that improves on lying down. This suggests a
questionnaire could be developed to identify older women who may have
osteoporotic vertebral fractures. INTRODUCTION: Approximately 12 % of
postmenopausal women have vertebral fractures (VFs), but less than a third come
to clinical attention. Distinguishing back pain likely to relate to VF from other
types of back pain may ensure appropriate diagnostic radiographs, leading to
treatment initiation. This study investigated whether characteristics of back
pain in women with VF are different from those in women with no VFs. METHODS: A
case control study was undertaken with women aged >=60 years who had undergone
thoracic spinal radiograph in the previous 3 months. Cases were defined as those
with VFs identified using the algorithm-based qualitative (ABQ) method. Six
hundred eighty-three potential participants were approached. Data were collected
by self-completed questionnaire including the McGill Pain Questionnaire. Chi
squared tests assessed univariable associations; logistic regression identified
independent predictors of VFs. Receiver operating characteristic (ROC) curves
were used to evaluate the ability of the combined independent predictors to
differentiate between women with and without VFs via area under the curve (AUC)
statistics. RESULTS: One hundred ninety-seven women participated: 64 cases and
133 controls. Radiographs of controls were more likely to show moderate/severe
degenerative change than cases (54.1 vs 29.7 %, P = 0.011). Independent
predictors of VF were older age, history of previous fracture, shorter duration
of back pain, pain described as crushing, pain improving on lying down and pain
not spreading down the legs. AUC for combination of these factors was 0.85 (95 %
CI 0.79 to 0.92). CONCLUSION: We present the first evidence that back pain
experienced by women with osteoporotic VF is different to back pain related
solely to degenerative change.
PMID- 26564229
TI - Anticancer activity of cryptotanshinone on acute lymphoblastic leukemia cells.
AB - Cryptotanshinone, a well-known diterpene quinone from a widely used traditional
Chinese herb named Salvia miltiorrhiza, has been reported for its therapeutical
potentials on diverse activities. In this study, pharmacological effects of
cryptotanshinone on acute lymphoblastic leukemia cells were investigated. IC50
values of 5.0 and 4.8 were obtained in CEM/ADR5000 and CCRF-CEM. Microarray-based
mRNA expression revealed that cryptotanshinone regulated genes associated with
cell cycle, DNA damage, reactive oxygen species (ROS), NFkappaB signaling and
cellular movement. The involvement of these pathways in the mode of action of
cryptotanshinone was subsequently validated by additional independent in vitro
studies. Cryptotanshinone stimulated ROS generation and induced DNA damage. It
arrested cells in G2/M phase of the cell cycle and induced apoptosis as measured
by annexin V-FITC-conjugating fluorescence. The induction of the intrinsic
apoptotic pathway by cryptotanshinone was proved by loss of mitochondrial
membrane potential and increased cleavage of caspase 3/7, caspase 9 and poly ADP
ribose polymerase (PARP). DNA-binding motif analysis of the microarray-retrieved
deregulated genes in the promoter region revealed NFkappaB as potential
transcription factor involved in cryptotanshinone's mode of action. Molecular
docking and Western blotting provided supportive evidence, suggesting that
cryptotanshinone binds to IKK-beta and inhibits the translocation of p65 from the
cytosol to the nucleus. In addition, cryptotanshinone inhibited cellular movement
as shown by a fibronectin-based cellular adhesion assay, indicating that this
compound exerts anti-invasive features. In conclusion, cryptotanshinone exerts
profound cytotoxicity, which is caused by multispecific modes of actions,
including G2/M arrest, apoptosis and inhibition of cellular movement. The
inhibitory activities of this compound may be explained by inhibition of
NFkappaB, which orchestrates all these mechanisms.
PMID- 26564231
TI - [The blindness of Antonio Maria Esquivel y Suarez de Urbina].
PMID- 26564230
TI - DNA damage response in cisplatin-induced nephrotoxicity.
AB - Cisplatin and its derivatives are widely used chemotherapeutic drugs for cancer
treatment. However, they have debilitating side effects in normal tissues and
induce ototoxicity, neurotoxicity, and nephrotoxicity. In kidneys, cisplatin
preferentially accumulates in renal tubular cells causing tubular cell injury and
death, resulting in acute kidney injury (AKI). Recent studies have suggested that
DNA damage and the associated DNA damage response (DDR) are an important
pathogenic mechanism of AKI following cisplatin treatment. Activation of DDR may
lead to cell cycle arrest and DNA repair for cell survival or, in the presence of
severe injury, kidney cell death. Modulation of DDR may provide novel
renoprotective strategies for cancer patients undergoing cisplatin chemotherapy.
PMID- 26564232
TI - Novelty response and 50 kHz ultrasonic vocalizations: Differential prediction of
locomotor and affective response to amphetamine in Sprague-Dawley rats.
AB - RATIONALE: Novelty and sensation seeking (NSS) predisposes humans and rats to
experiment with psychostimulants. In animal models, different tests of NSS
predict different phases of drug dependence. Ultrasonic vocalizations (USVs) are
evoked by psychomotor stimulants and measure the affective/motivation response to
stimuli, yet the role NSS has on USVs in response to amphetamine is not
determined. OBJECTIVES: The aim of the present study was to determine if
individual differences in NSS and USVs can predict locomotor and USV response to
amphetamine (0.0, 0.3, and 1.0 mg/kg) after acute and chronic exposure. METHODS:
Thirty male rats were tested for their response to novelty (IEN), choice to
engage in novelty (NPP), and heterospecific play (H-USV). Rats were administered
non-contingent amphetamine or saline for seven exposures, and USVs and locomotor
activity were measured. After a 14-day rest, rats were administered a challenge
dose of amphetamine. RESULTS: Regression analyses indicated that amphetamine dose
dependently increased locomotor activity and the NPP test negatively predicted
treatment-induced locomotor activity. The H-USV test predicted treatment-induced
frequency-modulated (FM) USVs, but the strength of prediction depended on IEN
response. CONCLUSIONS: Results provide evidence that locomotor activity and FM
USVs induced by amphetamine represent different behavioral responses. The
prediction of amphetamine-induced FM USVs by the H-USV screen was changed by the
novelty response, indicating that the affective value of amphetamine-measured by
FM USVs-depends on novelty response. This provides evidence that higher novelty
responders may develop a tolerance faster and may escalate intake faster.
PMID- 26564234
TI - Contributions to drug abuse research of Steven R. Goldberg's behavioral analysis
of stimulus-stimulus contingencies.
AB - By the mid-1960s, the concept that drugs can function as reinforcing stimuli
through response-reinforcer contingencies had created a paradigm shift in drug
abuse science. Steve Goldberg's first several publications focused instead on
stimulus-stimulus contingencies (respondent conditioning) in examining Abraham
Wikler's two-factor hypothesis of relapse involving conditioned withdrawal and
reinforcing effects of drugs. Goldberg provided a compelling demonstration that
histories of contingencies among stimuli could produce lasting withdrawal
reactions in primates formerly dependent on opioids. Other studies conducted by
Goldberg extended the analysis of effects of stimulus-stimulus contingencies on
behavior maintained by opioid reinforcing effects and showed that withdrawal
inducing antagonist administration can produce conditioned increases in self
administration. Subsequent studies of the effects of stimuli associated with
cocaine injection under second-order schedules showed that the maintenance of
behavior with drug injections was in most important aspects similar to the
maintenance of behavior with more conventional reinforcers when the behavior
disrupting pharmacological effects of the drugs were minimized. Studies on second
order schedules demonstrated a wide array of conditions under which behavior
could be maintained by drug injection and further influenced by stimulus-stimulus
contingencies. These schedules present opportunities to produce in the laboratory
complex situations involving response- and stimulus-stimulus contingencies, which
go beyond simplistic pairings of stimuli and more closely approximate those found
with human drug abusers. A focus on the response- and stimulus-stimulus
contingencies, and resulting quantifiable changes in objective and quantifiable
behavioral endpoints exemplified by the studies by Steve Goldberg, remains the
most promising way forward for studying problems of drug dependence.
PMID- 26564233
TI - Activation of adenosine A2A receptors suppresses the emission of pro-social and
drug-stimulated 50-kHz ultrasonic vocalizations in rats: possible relevance to
reward and motivation.
AB - RATIONALE: Rats emit 50-kHz ultrasonic vocalizations (USVs) in response to
pleasurable stimuli, and these USVs are considered a tool for investigating
reward and motivation. OBJECTIVES: This study aimed to clarify how activity of
adenosine A2A receptors, which modulate reward and motivation, influences 50-kHz
USV emission in rats. METHODS: Rats received one of the following treatments in a
test cage: (1) acute administration of the A2A receptor agonist CGS 21680 (0.05
0.2 mg/kg, i.p.) during social interactions; (2) long-term amphetamine (1 or 2
mg/kg, i.p.) or morphine (7.5 mg/kg, s.c.) administration on alternate days,
alone or with CGS 21680, followed after 7 days of discontinuation by test cage re
exposure, to assess drug-conditioning effects, and thereafter drug challenge; (3)
acute administration of the D1/D2 receptor agonist apomorphine (4 mg/kg, i.p.),
alone or with CGS 21680; and (4) long-term administration of the non-selective
A1/A2A receptor antagonist caffeine (15 mg/kg, i.p.), on alternate days. USVs and
locomotor activity were evaluated throughout the treatments. RESULTS: CGS 21680
attenuated 50-kHz USV emission stimulated by social interactions, amphetamine,
apomorphine, and morphine, and rats administered CGS 21680 with amphetamine or
morphine emitted fewer conditioned 50-kHz USVs upon test cage re-exposure,
compared with rats administered amphetamine or morphine alone. Moreover, CGS
21680 administration prevented long-term changes in locomotor activity in
amphetamine- and morphine-treated rats. Finally, caffeine had no effect on 50-kHz
USVs. CONCLUSIONS: These results indicate that activation of A2A receptors
attenuates 50-kHz USV emission in rats and further elucidate how these receptors
modulate the motivational properties of natural and pharmacological stimuli.
PMID- 26564235
TI - Local structure based method for prediction of the biochemical function of
proteins: Applications to glycoside hydrolases.
AB - Thousands of protein structures of unknown or uncertain function have been
reported as a result of high-throughput structure determination techniques
developed by Structural Genomics (SG) projects. However, many of the putative
functional assignments of these SG proteins in the Protein Data Bank (PDB) are
incorrect. While high-throughput biochemical screening techniques have provided
valuable functional information for limited sets of SG proteins, the biochemical
functions for most SG proteins are still unknown or uncertain. Therefore,
computational methods for the reliable prediction of protein function from
structure can add tremendous value to the existing SG data. In this article, we
show how computational methods may be used to predict the function of SG
proteins, using examples from the six-hairpin glycosidase (6-HG) and the
concanavalin A-like lectin/glucanase (CAL/G) superfamilies. Using a set of
predicted functional residues, obtained from computed electrostatic and chemical
properties for each protein structure, it is shown that these superfamilies may
be sorted into functional families according to biochemical function. Within
these superfamilies, a total of 18 SG proteins were analyzed according to their
predicted, local functional sites: 13 from the 6-HG superfamily, five from the
CAL/G superfamily. Within the 6-HG superfamily, an uncharacterized protein
BACOVA_03626 from Bacteroides ovatus (PDB 3ON6) and a hypothetical protein BT3781
from Bacteroides thetaiotaomicron (PDB 2P0V) are shown to have very strong active
site matches with exo-alpha-1,6-mannosidases, thus likely possessing this
function. Also in this superfamily, it is shown that protein BH0842, a putative
glycoside hydrolase from Bacillus halodurans (PDB 2RDY), has a predicted active
site that matches well with a known alpha-L-galactosidase. In the CAL/G
superfamily, an uncharacterized glycosyl hydrolase family 16 protein from
Mycobacterium smegmatis (PDB 3RQ0) is shown to have local structural similarity
at the predicted active site with the known members of the GH16 family, with the
closest match to the endoglucanase subfamily. The method discussed herein can
predict whether an SG protein is correctly or incorrectly annotated and can
sometimes provide a reliable functional annotation. Examples of application of
the method across folds, comparing active sites between two proteins of different
structural folds, are also given.
PMID- 26564236
TI - Simultaneous multicolor detection of RNA and proteins using super-resolution
microscopy.
AB - A number of non-membranous cellular bodies have been identified in higher
eukaryotes, and these bodies contain a specific set of proteins and RNAs that are
used to fulfill their functions. The size of these RNA-containing cellular bodies
is usually on a submicron scale, making it difficult to observe fine structures
using optical microscopy due to the diffraction limitation of visible light.
Recently, microscope companies have released super-resolution microscopes that
were developed using different principles, enabling the observation of sub-micron
structures not resolvable in conventional fluorescent microscopy. Here, we
describe multi-color fluorescent in situ hybridization techniques optimized for
the simultaneous detection of RNA and proteins using super-resolution microscopy,
namely structured illumination microscopy (SIM).
PMID- 26564237
TI - Super-resolution measurement of distance between transcription sites using RNA
FISH with intronic probes.
AB - Nascent transcripts being copied from specific human genes can be detected using
RNA FISH (fluorescence in situ hybridization) with intronic probes, and the
distance between two different nascent transcripts is often measured when
studying structure-function relationships. Such distance measurements are limited
by the resolution of the light microscope. Here we describe methods for measuring
these distances in cultured cells with a precision of a few tens of nanometers,
using equipment found in most laboratories (i.e., a wide-field fluorescence
microscope equipped with a charged-coupled-device camera). Using images of pairs
of transcripts that are often co-transcribed, we discuss how selection of cell
type, design of FISH probes, image acquisition, and image processing affect the
precision that can be achieved.
PMID- 26564238
TI - Quantitative gene expression analysis in Caenorhabditis elegans using single
molecule RNA FISH.
AB - Advances in fluorescent probe design and synthesis have allowed the uniform in
situ labeling of individual RNA molecules. In a technique referred to as single
molecule RNA FISH (smRNA FISH), the labeled RNA molecules can be imaged as
diffraction-limited spots and counted using image analysis algorithms. Single RNA
counting has provided valuable insights into the process of gene regulation. This
microscopy-based method has often revealed a high cell-to-cell variability in
expression levels, which has in turn led to a growing interest in investigating
the biological significance of gene expression noise. Here we describe the
application of the smRNA FISH technique to samples of Caenorhabditis elegans, a
well-characterized model organism.
PMID- 26564240
TI - The issue of consent in medical practice.
AB - Following a recent court judgment, legal and moral issues surrounding consent
have been thrown into the spotlight. Elizabeth Larner, Solicitor, and Rachel
Carter, Partner, of Wollen Michelmore LLP, look at the issues surrounding
consent, including where problems arise in the fields of clinical negligence and
non-accidental injury and what health care professionals can do to ensure that
their advice to a patient about a procedure or treatment is sufficiently cogent
and appropriately recorded so as to avoid later criticism and possible legal
action. This annotation gives an overview of the legal principles surrounding
consent in medical practice including informed consent, refusal of treatment and
issues of capacity.
PMID- 26564239
TI - Impact of 14-day bed rest on serum adipokines and low-grade inflammation in
younger and older adults.
AB - Ageing and inactivity both contribute to systemic inflammation, but the effects
of inactivity on inflammation in healthy elderly individuals have not been
elucidated. We hypothesised that 14-day bed rest could affect the pro- and anti
inflammatory markers in young subjects differently than in older adults. A short
term 14-day horizontal bed rest study (BR14) has been used as a model of
inactivity in two groups of healthy male volunteers: 7 aged 18-30 years (young)
and 16 aged 55-65 years (older adults). The effects of inactivity on inflammation
were compared. Key low-grade inflammation mediators, tumour necrosis factor alpha
(TNF-alpha), interleukin-6 (IL-6), visfatin, resistin, and anti-inflammatory
adiponectin were measured in fasting serum samples, collected at baseline (BDC)
and post BR14. Young responded to BR14 by increasing serum visfatin and resistin
while older adults responded to BR14 by increasing IL-6 and TNF-alpha. In
addition, serum adiponectin increased in all participants. Data from correlation
analysis demonstrated positive association between Delta serum visfatin and Delta
IL-6 in both groups, while Delta serum adiponectin was negatively associated with
Delta TNF-alpha in young and positively associated with Delta resistin in the
older adults. As little as 14 days of complete physical inactivity (BR14)
negatively affected markers of low-grade inflammation in both groups, but the
inflammation after BR14 was more pronounced in older adults. The effect of BR14
on IL-6 and resistin differed between young and older adults. Inflammatory
responses to BR14 in older adults differed from those reported in the literature
for obese or subjects in pathological states, suggesting potentially different
mechanisms between inactivity- and obesity-induced inflammations.
PMID- 26564241
TI - A novel violet/blue light-emitting device based on Ce2Si2O7.
AB - Rare-earth silicates are highly efficient materials for silicon-based light
sources. Here we report a novel light-emitting device based on Ce2Si2O7. Intense
violet/blue electroluminescence was observed, with a turn-on voltage of about 13
V. The violet/blue emission is attributed to 4f-5d transitions of the Ce(3+) ions
in Ce2Si2O7, which are formed by interfacial reaction of CeO2 and Si.
Electroluminescence and photoluminescence mechanisms of the Ce2Si2O7 light
emitting device are also discussed.
PMID- 26564242
TI - Computerized System for Staging Peritoneal Surface Malignancies.
AB - BACKGROUND: Peritoneal surface malignancies (PSMs) are usually staged using
Sugarbaker's Peritoneal Cancer Index (PCI) and completeness of cytoreduction
score (CC-s). Although these staging tools are essential for selecting patients
and evaluating outcome after cytoreductive surgery (CRS) plus hyperthermic
intraperitoneal chemotherapy (HIPEC), both scoring models lack some anatomic
information, thus making staging laborious and unreliable. Maintaining
Sugarbaker's original concepts, we therefore developed a computerized digital
tool, including a new anatomic scheme for calculating PCI and CC-s corresponding
closely to patients' real anatomy. Our new anatomic model belongs in a web-based
application known as the PSM Staging System, which contains essential clinical
and pathological data for the various PSMs currently treated. METHODS: The new
digital tool for staging PSM runs on a personal computer or tablet and comprises
male and female colored anatomic models for the 13 endoabdominal regions, with
borders defined according to real anatomic landmarks. A drag-and-drop tool allows
users to compute the PCI and CC-s, making it easier to localize and quantify
disease at diagnosis and throughout treatment, and residual disease after CRS.
CONCLUSIONS: Once tested online by registered users, our computerized application
should provide a modern, shareable, comprehensive, user-friendly PSM staging
system. Its anatomic features, along with the drag-and-drop tool, promise to make
it easier to compare preoperative and postoperative PCIs, thus improving the
criteria for selecting patients to undergo CRS plus HIPEC. By specifying the
size, site, and number of residual lesions after CRS plus HIPEC, our digital tool
should help stratify patients into outcome classes.
PMID- 26564243
TI - Long-Term Survival in Patients with Gastroesophageal Junction Cancer Treated with
Preoperative Therapy: Do Thoracic and Abdominal Approaches Differ?
AB - BACKGROUND: The optimal surgical approach for gastroesophageal junction (GEJ)
cancer treated with preoperative therapy remains controversial. We compared the
outcomes of patients who underwent either esophagectomy or gastrectomy and
identified variables associated with overall survival (OS). METHODS: We reviewed
records of patients with Siewert types II and III GEJ adenocarcinoma who were
treated with preoperative therapy followed by resection from 1995 to 2013. OS was
assessed using Kaplan-Meier curves and associated variables were analyzed using
Cox proportional hazards models. RESULTS: Of 143 patients, 110 (76.9 %) had type
II and 33 (23.1 %) had type III tumors. Most (86 %) patients had stage T3 or T4
disease, and more than half had N+ (62 %) disease. The majority (93 %) received
neoadjuvant chemoradiation; 7 % received chemotherapy alone. Patients with type
II tumors underwent either esophagectomy (75 %) or gastrectomy (25 %). Patients
with type III tumors primarily underwent gastrectomy (88 %). Eighty-six (60 %)
patients underwent extended (D1+/D2) abdominal lymphadenectomy. We saw no
differences between esophagectomy and gastrectomy patients in R0 resection rate
(94 vs. 95 %; p = 0.9), number of nodes removed (mean, 18.3 vs. 19.3; p = 0.6),
or 60-day mortality rate (4 vs. 4 %; p = 1.0). The median follow-up period for
survivors was 65 months. Esophagectomy and gastrectomy showed similar 5-year OS
rates (49 vs. 53 %; p = 0.8). Surgical approach was not associated with OS
[hazard ratio (HR) 1.30; 95 % confidence interval (CI) 0.68-2.45; p = 0.43]. The
strongest predictor of OS was extended lymphadenectomy (HR 0.55; 95 % CI, 0.32
0.94; p = 0.03). CONCLUSIONS: R0 resection and OS rates were similar in patients
undergoing esophagectomy or gastrectomy after neoadjuvant therapy; however,
extended abdominal lymphadenectomy may improve OS rates.
PMID- 26564244
TI - A High RORgammaT/CD3 Ratio is a Strong Prognostic Factor for Postoperative
Survival in Advanced Colorectal Cancer: Analysis of Helper T Cell Lymphocytes
(Th1, Th2, Th17 and Regulatory T Cells).
AB - BACKGROUND: Tumor-infiltrating lymphocytes (TILs), part of the host immune
response, have been widely reported as influential factors in the tumor
microenvironment for the clinical outcome of colorectal cancer (CRC). However,
the network of helper T cells is very complex, and which T-cell subtypes affect
the progression of CRC and postoperative prognosis remains unclear. This study
investigated the expression of several subtypes of TILs including T helper type 1
(Th1), Th2, Th17, and regulatory T (Treg) cells to determine their correlation
with clinicopathologic features and postoperative prognosis. METHODS: The study
investigated the expression of TILs using immunohistochemistry of tissue
microarray samples for 199 CRC patients. The number of each T-cell subtype
infiltrating tumors was counted using ImageJ software. The relationship between
TIL marker expression, clinicopathologic features, and prognosis was analyzed.
RESULTS: A high RORgammaT/CD3 ratio (Th17 ratio) was significantly correlated
with lymph node metastasis (p = 0.002), and a high of Foxp3/CD3 ratio (Treg
ratio) was correlated with tumor location in the colon (p = 0.04), as shown by
the Chi square test. In multivariate analysis, a high RORgammaT/CD3 ratio was the
only independent prognostic factor for overall survival (p = 0.04; hazard ratio
[HR], 1.84; 95% confidence interval [CI] 1.02-3.45). CONCLUSIONS: This study
confirmed a high RORgammaT/CD3 ratio as a strong prognostic marker for
postoperative survival. The immunohistochemistry results suggest that Th17 may
affect lymph node metastasis in CRC. If new immunotherapies reducing Th17
expression are established, they may improve the efficiency of cancer treatment
and prolong the survival of patients with CRC.
PMID- 26564245
TI - On the power of autobiographical memories: from threat and challenge appraisals
to actual behaviour.
AB - Autobiographical memories are a major feature of mental life in humans. However,
research on the influence of autobiographical recall on actual behaviour is
scarce. We predicted and found that general memories of failure and specific
memories of success resulted in worse performance than general memories of
success and specific memories of failure. This performance pattern was mediated
by task appraisal, suggesting that autobiographical memories (of failure and
success) impact performance by shaping the perception of the upcoming task.
Combined with the fact that these effects occurred even when the content of
autobiographical memories was unrelated to the upcoming task, the present
research represents an important step forward in understanding how
autobiographical recall influences actual behaviour.
PMID- 26564246
TI - Serum retinol in post-partum mothers and newborns from an impoverished South
African community where liver is frequently eaten and vitamin A deficiency is
absent.
AB - Serum retinol was assessed in mothers and newborns from an impoverished South
African community where liver is frequently eaten and vitamin A deficiency known
to be absent. Paired cord and maternal blood (n = 201) were collected after
delivery and analysed for serum retinol and C-reactive protein (CRP). Liver
intake during pregnancy and intention to breastfeed were also assessed. Mean
serum retinol was 1.03 umol/L +/- 0.40 in mothers and 0.73 +/- 0.24 umol/L in
newborns, with 21.4% and 49.3% having serum retinol <0.70 umol/L (<20 ug/dL),
respectively. Raised CRP was found in 59.9% of mothers, with a significant
negative correlation between serum retinol and CRP (r = -0.273; p < 0.0001).
Liver was eaten by 87.6% of mothers, and 99% indicated their intention to
breastfeed. Despite consumption of liver, serum retinol was low in both the
mother and the newborn. The conventional cut-off for serum retinol, i.e. <0.70
umol/L may therefore not apply for the mother and newborn in the period
immediately after delivery. Serum retinol may be influenced by factors other than
vitamin A status, e.g. the haemodilution of pregnancy, as well as the acute phase
response induced by the birth process, as suggested by raised CRP in 60% of
mothers. In the newborns, the low serum retinol is likely to increase rapidly, as
liver is frequently eaten by mothers and practically all of them intended to
breastfeed. Our results confirm the need for better indicators of vitamin A
status or alternative cut-off values during this period.
PMID- 26564247
TI - Structures, Not Strings: Linguistics as Part of the Cognitive Sciences.
AB - There are many questions one can ask about human language: its distinctive
properties, neural representation, characteristic uses including use in
communicative contexts, variation, growth in the individual, and origin. Every
such inquiry is guided by some concept of what 'language' is. Sharpening the core
question--what is language?--and paying close attention to the basic property of
the language faculty and its biological foundations makes it clear how
linguistics is firmly positioned within the cognitive sciences. Here we will show
how recent developments in generative grammar, taking language as a computational
cognitive mechanism seriously, allow us to address issues left unexplained in the
increasingly popular surface-oriented approaches to language.
PMID- 26564248
TI - The Social Regulation of Emotion: An Integrative, Cross-Disciplinary Model.
AB - Research in emotion regulation has largely focused on how people manage their own
emotions, but there is a growing recognition that the ways in which we regulate
the emotions of others also are important. Drawing on work from diverse
disciplines, we propose an integrative model of the psychological and neural
processes supporting the social regulation of emotion. This organizing framework,
the 'social regulatory cycle', specifies at multiple levels of description the
act of regulating another person's emotions as well as the experience of being a
target of regulation. The cycle describes the processing stages that lead
regulators to attempt to change the emotions of a target person, the impact of
regulation on the processes that generate emotions in the target, and the
underlying neural systems.
PMID- 26564249
TI - Optimally conductive networks in randomly dispersed CNT:graphene hybrids.
AB - A predictive model is proposed that quantitatively describes the synergistic
behavior of the electrical conductivities of CNTs and graphene in CNT:graphene
hybrids. The number of CNT-to-CNT, graphene-to-graphene, and graphene-to-CNT
contacts is calculated assuming a random distribution of CNTs and graphene
particles in the hybrids and using an orientation density function. Calculations
reveal that the total number of contacts reaches a maximum at a specific
composition and depends on the particle sizes of the graphene and CNTs. The
hybrids, prepared using inkjet printing, are distinguished by higher electrical
conductivities than that of 100% CNT or graphene at certain composition ratios.
These experimental results provide strong evidence that this approach involving
constituent element contacts is suitable for investigating the properties of
particulate hybrid materials.
PMID- 26564250
TI - Optimization of human mesenchymal stem cell manufacturing: the effects of
animal/xeno-free media.
AB - Due to their immunosuppressive properties, mesenchymal stem cells (MSC) have been
evaluated for the treatment of immunological diseases. However, the animal
derived growth supplements utilized for MSC manufacturing may lead to clinical
complications. Characterization of alternative media formulations is imperative
for MSC therapeutic application. Human BMMSC and AdMSC were expanded in media
supplemented with either human platelet lysates (HPL), serum-free media/xeno-free
FDA-approved culture medium (SFM/XF), or fetal bovine serum (FBS) and the effects
on their properties were investigated. The immunophenotype of resting and IFN
gamma primed BMMSC and AdMSC remained unaltered in all media. Both HPL and SFM/XF
increased the proliferation of BMMSC and AdMSC. Expansion of BMMSC and AdMSC in
HPL increased their differentiation, compared to SFM/XF and FBS. Resting BMMSC
and AdMSC, expanded in FBS or SFM/XF, demonstrated potent immunosuppressive
properties in both non-primed and IFN-gamma primed conditions, whereas HPL
expanded MSC exhibited diminished immunosuppressive properties. Finally, IFN
gamma primed BMMSC and AdMSC expanded in SFM/XF and HPL expressed attenuated
levels of IDO-1 compared to FBS. Herein, we provide strong evidence supporting
the use of the FDA-approved SFM/XF medium, in contrast to the HPL medium, for the
expansion of MSC towards therapeutic applications.
PMID- 26564251
TI - Hormonal and Reproductive Factors and Risk of Myeloproliferative Neoplasms in
Postmenopausal Women.
AB - BACKGROUND: Hormonal and reproductive history has been associated with risk of
some hematologic malignancies, but their role in myeloproliferative neoplasms
(MPN) is largely unknown. METHODS: Using a population-based cohort study, we
evaluated the association of these factors with risk of MPN overall, and for
essential thrombocythemia (ET) and polycythemia vera (PV) specifically. Incident
MPN cases from 1993 to 2004 were identified via linkage to Medicare. RR and 95%
confidence intervals (CI) were estimated utilizing Cox proportional hazard
regression. RESULTS: After >250,000 person-years of follow-up, 257 cases of MPN
were identified (172 ET, 64 PV). Ever use of hormone therapy (HT) was associated
with an increased risk of ET (RR = 1.63; 95% CI, 1.19-2.23) but a decreased risk
of PV (RR = 0.58; 95% CI, 0.34-0.98). There were no statistically significant
associations of oral contraceptives or reproductive factors with MPN risk
overall, or by MPN subtype. Bilateral oophorectomy was associated with increased
risk of ET (RR = 1.58; 95% CI, 1.11-2.25) and decreased risk of PV (RR = 0.32;
95% CI, 0.12-0.88). There was no association of ovulatory years with ET risk;
however, there was increased risk of PV (RR = 1.68 for >36.8 compared with <=27.6
years; P trend = 0.045). Adjustment for potential confounding factors did not
alter these associations. CONCLUSIONS: HT use and bilateral oophorectomy had
opposite associations for ET and PV. Except for ovulatory years and PV risk,
reproductive history did not appear to play a role in the etiology of MPN.
IMPACT: This study suggests different mechanistic impacts of estrogen, and
perhaps distinct etiologies, for the two major MPN subtypes.
PMID- 26564252
TI - Electrodiagnostic Evaluation of Individuals Implanted With Extracellular Matrix
for the Treatment of Volumetric Muscle Injury: Case Series.
AB - BACKGROUND: Electrodiagnosis can reveal the nerve and muscle changes following
surgical placement of an extracellular matrix (ECM) bioscaffold for treatment of
volumetric muscle loss (VML). OBJECTIVE: The purpose of this study was to
characterize nerve conduction study (NCS) and electromyography (EMG) changes
following ECM bioscaffold placement in individuals with VML. The ability of
presurgical NCS and EMG to be used as a tool to help identify candidates who are
likely to display improvements postsurgically also was explored. DESIGN: A
longitudinal case series design was used. METHODS: The study was conducted at the
McGowan Institute for Regenerative Medicine at the University of Pittsburgh.
Eight individuals with a history of chronic VML participated. The intervention
was surgical placement of an ECM bioscaffold at the site of VML. The strength of
the affected region was measured using a handheld dynamometer, and
electrophysiologic evaluation was conducted on the affected limb with standard
method of NCS and EMG. All measurements were obtained the day before surgery and
repeated 6 months after surgery. RESULTS: Seven of the 8 participants had a
preoperative electrodiagnosis of incomplete mononeuropathy within the site of
VML. After ECM treatment, 5 of the 8 participants showed improvements in NCS
amplitude or needle EMG parameters. The presence of electrical activity within
the scaffold remodeling site was concomitant with clinical improvement in muscle
strength. LIMITATIONS: This study had a small sample size, and participants
served as their own controls. The electromyographers and physical therapists
performing the evaluation were not blinded. CONCLUSIONS: Electrodiagnostic data
provide objective evidence of physiological improvements in muscle function
following ECM placement at sites of VML. Future studies are warranted to further
investigate the potential of needle EMG as a predictor of successful outcomes
following ECM treatment for VML.
PMID- 26564253
TI - Examining the Association Between Comorbidity Indexes and Functional Status in
Hospitalized Medicare Fee-for-Service Beneficiaries.
AB - BACKGROUND: Medicare data from acute hospitals do not contain information on
functional status. This lack of information limits the ability to conduct
rehabilitation-related health services research. OBJECTIVE: The purpose of this
study was to examine the associations between 5 comorbidity indexes derived from
acute care claims data and functional status assessed at admission to an
inpatient rehabilitation facility (IRF). Comorbidity indexes included tier
comorbidity, Functional Comorbidity Index (FCI), Charlson Comorbidity Index,
Elixhauser Comorbidity Index, and Hierarchical Condition Category (HCC). DESIGN:
This was a retrospective cohort study. METHODS: Medicare beneficiaries with
stroke, lower extremity joint replacement, and lower extremity fracture
discharged to an IRF in 2011 were studied (N=105,441). Data from the beneficiary
summary file, Medicare Provider Analysis and Review (MedPAR) file, and Inpatient
Rehabilitation Facility-Patient Assessment Instrument (IRF-PAI) file were linked.
Inpatient rehabilitation facility admission functional status was used as a proxy
for acute hospital discharge functional status. Separate linear regression models
for each impairment group were developed to assess the relationships between the
comorbidity indexes and functional status. Base models included age, sex,
race/ethnicity, disability, dual eligibility, and length of stay. Subsequent
models included individual comorbidity indexes. Values of variance explained
(R(2)) with each comorbidity index were compared. RESULTS: Base models explained
7.7% of the variance in motor function ratings for stroke, 3.8% for joint
replacement, and 7.3% for fracture. The R(2) increased marginally when
comorbidity indexes were added to base models for stroke, joint replacement, and
fracture: Charlson Comorbidity Index (0.4%, 0.5%, 0.3%), tier comorbidity (0.2%,
0.6%, 0.5%), FCI (0.4%, 1.2%, 1.6%), Elixhauser Comorbidity Index (1.2%, 1.9%,
3.5%), and HCC (2.2%, 2.1%, 2.8%). LIMITATION: Patients from 3 impairment
categories were included in the sample. CONCLUSIONS: The 5 comorbidity indexes
contributed little to predicting functional status. The indexes examined were not
useful as proxies for functional status in the acute settings studied.
PMID- 26564254
TI - Opportunities for Regenerative Rehabilitation and Advanced Technologies in
Physical Therapy: Perspective From Academia.
AB - As rehabilitation specialists, physical therapists must continue to stay current
with advances in technologies to provide appropriate rehabilitation protocols,
improve patient outcomes, and be the preferred clinician of choice. To accomplish
this vision, the physical therapy profession must begin to develop a culture of
lifelong learning at the early stages of education and clinical training in order
to embrace cutting-edge advancements such as stem cell therapies, tissue
engineering, and robotics, to name a few. The purposes of this article are: (1)
to provide a current perspective on faculty and graduate student awareness of
regenerative rehabilitation concepts and (2) to advocate for increased
integration of these emerging technologies within the doctor of physical therapy
(DPT) curriculum. An online survey was designed to gauge awareness of principles
in regenerative rehabilitation and to determine whether the topic was included
and assessed in doctoral curricula. The survey yielded 1,006 responses from 82
DPT programs nationwide and indicated a disconnect in familiarity with the term
"regenerative rehabilitation" and awareness of the inclusion of this material in
the curriculum. To resolve this disconnect, the framework of the curriculum can
be used to integrate new material via guest lecturers, interdisciplinary
partnerships, and research opportunities. Successfully mentoring a generation of
clinicians and rehabilitation scientists who incorporate new medical knowledge
and technology into their own clinical and research practice depends greatly on
sharing the responsibility among graduate students, professors, the American
Physical Therapy Association (APTA), and DPT programs. Creating an
interdisciplinary culture and integrating regenerative medicine and
rehabilitation concepts into the curriculum will cultivate individuals who will
be advocates for interprofessional behaviors and will ensure that the profession
meets the goals stated in APTA Vision 2020.
PMID- 26564255
TI - Individual Differences in Premotor Brain Systems Underlie Behavioral Apathy.
AB - Lack of physical engagement, productivity, and initiative-so-called "behavioral
apathy"--is a common problem with significant impact, both personal and economic.
Here, we investigate whether there might be a biological basis to such lack of
motivation using a new effort and reward-based decision-making paradigm, combined
with functional and diffusion-weighted imaging. We hypothesized that behavioral
apathy in otherwise healthy people might be associated with differences in brain
systems underlying either motivation to act (specifically in effort and reward
based decision-making) or in action processing (transformation of an intention
into action). The results demonstrate that behavioral apathy is associated with
increased effort sensitivity as well as greater recruitment of neural systems
involved in action anticipation: supplementary motor area (SMA) and cingulate
motor zones. In addition, decreased structural and functional connectivity
between anterior cingulate cortex (ACC) and SMA were associated with increased
behavioral apathy. These findings reveal that effort sensitivity and translation
of intentions into actions might make a critical contribution to behavioral
apathy. We propose a mechanism whereby inefficient communication between ACC and
SMA might lead to increased physiological cost--and greater effort sensitivity-
for action initiation in more apathetic people.
PMID- 26564257
TI - Recovery of manganese and zinc from spent Zn-C cell powder: Experimental design
of leaching by sulfuric acid solution containing glucose.
AB - The spent Zn-C cell powder, containing ZnMn2O4, ZnO, MnO(OH) and possibly Mn2O3
and Mn3O4, can be leached by a sulfuric acid solution mixed with some glucose.
The leaching is found to be dependent on solid to liquid (S/L) ratio, amount of
glucose, concentration of sulfuric acid solution, time and pulp agitation speed.
For 5g powder (S), 1h leaching time and 300rpm pulp agitation speed, two-level
four-factor (2(4)) experimental designs have been carried out to derive models
for extraction of both Mn(II) and Zn(II). Amount of glucose (G, g), concentration
of H2SO4 solution (C, mol/L), volume of H2SO4 solution as leachant (L, mL) and
leaching temperature (T, degrees C) are considered as factors (variables). The
model in both cases consists of mean, factor effects and interaction effects. The
four-factor interaction effect is observed in neither of the cases. Some two
factor and three-factor effects are found to have produced positive or negative
contributions to dissolution percentage in both cases. The models are examined
for comparison with experimental results with good fits and also used for
optimization of factors. At optimized condition (G=0.50g, C=2mol/L, L=250mL and
T=100 degrees C), an aliquot of 5g powder in 1h and at 300rpm produces a solution
containing (7.08+/-0.10)g/L Mn(II) and (2.20+/-0.06)g/L Zn(II) corresponding to
almost 100% extraction of both metal ions.
PMID- 26564258
TI - Cobalt products from real waste fractions of end of life lithium ion batteries.
AB - An innovative process was optimized to recover Co from portable Lithium Ion
Batteries (LIB). Pilot scale physical pretreatment was performed to recover
electrodic powder from LIB. Co was extracted from electrodic powder by a
hydrometallurgical process including the following main stages: leaching (by acid
reducing conditions), primary purification (by precipitation of metal
impurities), solvent extraction with D2EPHA (for removal of metal impurities),
solvent extraction with Cyanex 272 (for separation of cobalt from nickel), cobalt
recovery (by precipitation of cobalt carbonate). Tests were separately performed
to identify the optimal operating conditions for precipitation (pH 3.8 or 4.8),
solvent extraction with D2EHPA (pH 3.8; Mn/D2EHPA=4; 10% TBP; two sequential
extractive steps) and solvent extraction with Cyanex 272 (pH 3.8;
Cyanex/Cobalt=4, 10% TBP, one extractive step). The sequence of optimized process
stages was finally performed to obtain cobalt carbonate. Products with different
degree of purity were obtained depending on the performed purification steps
(precipitation with or without solvent extraction). 95% purity was achieved by
implementation of the process including the solvent extraction stages with D2EHPA
and Cyanex 272 and final washing for sodium removal.
PMID- 26564259
TI - Editorial.
PMID- 26564256
TI - Thalamocortical Connections Drive Intracortical Activation of Functional Columns
in the Mislaminated Reeler Somatosensory Cortex.
AB - Neuronal wiring is key to proper neural information processing. Tactile
information from the rodent's whiskers reaches the cortex via distinct anatomical
pathways. The lemniscal pathway relays whisking and touch information from the
ventral posteromedial thalamic nucleus to layer IV of the primary somatosensory
"barrel" cortex. The disorganized neocortex of the reeler mouse is a model system
that should severely compromise the ingrowth of thalamocortical axons (TCAs) into
the cortex. Moreover, it could disrupt intracortical wiring. We found that
neuronal intermingling within the reeler barrel cortex substantially exceeded
previous descriptions, leading to the loss of layers. However, viral tracing
revealed that TCAs still specifically targeted transgenically labeled spiny layer
IV neurons. Slice electrophysiology and optogenetics proved that these
connections represent functional synapses. In addition, we assessed intracortical
activation via immediate-early-gene expression resulting from a behavioral
exploration task. The cellular composition of activated neuronal ensembles
suggests extensive similarities in intracolumnar information processing in the
wild-type and reeler brains. We conclude that extensive ectopic positioning of
neuronal partners can be compensated for by cell-autonomous mechanisms that allow
for the establishment of proper connectivity. Thus, genetic neuronal fate seems
to be of greater importance for correct cortical wiring than radial neuronal
position.
PMID- 26564261
TI - ASCO 2015 update on melanoma.
PMID- 26564260
TI - Assesment of apoptosis induced changes in scattering using optical coherence
tomography.
AB - The aim of this study is to identify changes in scattering with optical coherence
tomography (OCT) and relate these measurements with mitochondrial changes during
the initiation of apoptosis. Human retinal pigment epithelial cells were cultured
and apoptosis was induced using 10% alcohol. Using the attenuation coefficient
and backscattering, changes were measured during cell death in a cell-pellet and
monolayer respectively. To confirm apoptosis, fluorescent activated cell sorting
was used. Mitochondrial activity during apoptosis was assessed using an oxidative
stress assay and fluorescent confocal microscopy. Pelleted apoptotic cells
measured with OCT showed a clear rise while untreated cells showed a very small
increase in attenuation coefficient. Monolayered apoptotic cells displayed a
distinct increase, while untreated cells showed a small increase in the
backscattering. Apoptosis was confirmed by FACS experiments. Mitochondrial
changes during the onset of apoptosis were also measured. The results demonstrate
that apoptotic cell death could be monitored in real-time by OCT. Changes in the
scattering after induction of apoptosis are likely to be related to changes in
the intracellular morphology. Oxidative stress-induced mitochondrial swelling
could be responsible for the initial increase, while cell blebbing and secondary
necrosis subsequently for the observed decrease in scattering.
PMID- 26564262
TI - Emotion in obesity discourse: understanding public attitudes towards regulations
for obesity prevention.
AB - Intense concern about obesity in the public imagination and in political,
academic and media discourses has catalysed advocacy efforts to implement
regulatory measures to reduce the occurrence of obesity in Australia and
elsewhere. This article explores public attitudes towards the possible
implementation of regulations to address obesity by analysing emotions within
popular discourses. Drawing on reader comments attached to obesity-relevant news
articles published on Australian news and current affairs websites, we examine
how popular anxieties about the 'obesity crisis' and vitriol directed at obese
individuals circulate alongside understandings of the appropriate role of
government to legitimise regulatory reform to address obesity. Employing Ahmed's
theorisation of 'affective economies' and broader literature on emotional
cultures, we argue that obesity regulations achieve popular support within
affective economies oriented to neoliberal and individualist constructions of
obesity. These economies preclude constructions of obesity as a structural
problem in popular discourse; instead positioning anti-obesity regulations as a
government-endorsed vehicle for discrimination directed at obese people. Findings
implicate a new set of ethical challenges for those championing regulatory reform
for obesity prevention.
PMID- 26564263
TI - Synthesis of apoptotic chalcone analogues in HepG2 human hepatocellular carcinoma
cells.
AB - Eight chalcone analogues were prepared and evaluated for their cytotoxic effects
in human hepatoma HepG2 cells. Compound 5 had a potent cytotoxic effect. The
percentage of apoptotic cells was significantly higher in compound 5-treated
cells than in control cells. Exposure to compound 5 for 24h induced cleavage of
caspase-8 and -3, and poly (ADP-ribose) polymerase (PARP). Our findings suggest
that compound 5 is the active chalcone analogue that contributes to cell death in
HepG2 cells via the extrinsic apoptotic pathway.
PMID- 26564264
TI - Charge-switching amino acids-based cationic lipids for efficient gene delivery.
AB - A series of charge-switching amino acids-based cationic lipids 4a-4e bearing a
benzyl ester at the terminus of the acyl chain, but differing in the polar-head
group were prepared. The physicochemical properties of these lipids, including
size, zeta potential and cellular uptake of the lipoplexes formed from with DNA,
as well as the transfection efficiency (TE), were investigated. The results
showed that the chemical structure of the cationic head-group clearly affects the
physicochemical parameters of the amino acid-based lipids and especially the TE.
The selected lipid, 4c gave 2.1 times higher TE than bPEI 25k in the presence of
10% serum in HeLa cells, with little toxicity.
PMID- 26564265
TI - Click-based synthesis of bromotyrosine alkaloid analogs as potential anti-biofilm
leads for SAR studies.
AB - A library of triazole-based analogs of bromotyramine alkaloids such as
verongamines, hemibastadins, pseudoceramine D and clavatidine E was designed in
order to identify promising leads that may help in the control of bacterial
biofilms. Twenty-three compounds were screened for their biofilm inhibitory
activity against three strains of Gram-negative bacteria. SAR studies revealed
that hemibastadins analogs were the most active compounds which act as inhibitors
of biofilm development (EC50 8.8-29MUM) without effect on bacterial growth even
at high concentrations (100MUM).
PMID- 26564266
TI - Identification, synthesis and pharmacological evaluation of novel anti-EV71
agents via cyclophilin A inhibition.
AB - In this work, the relationship between cyclophilin A (CypA) and EV71 prompted us
to screen a series of small molecular CypA inhibitors which were previously
reported by our group. Among them, compounds 1 and 2 were discovered as non
immunosuppressive anti-EV71 agents with an EC50 values of 1.07+/-0.17MUM and
3.36+/-0.45MUM in virus assay, respectively, which were desirably for the further
study. The subsequent chemical modifications derived a novel class of molecules,
among which compound 11 demonstrated the most potent anti-EV71 activity in virus
assay (EC50=0.37+/-0.17MUM), and low cytotoxicity (CC50>25MUM). The following
CypA enzyme inhibition studies indicated that there was not only the enzyme
inhibition activity, undoubtedly important, functioning in the antiviral process,
but also some unknown mechanisms worked in combination, and the further study is
underway in our laboratory. Nevertheless, to the best of our knowledge, compound
11 was probably the most potent small molecular anti-EV71 agent via CypA
inhibitory mechanism to date. Consequently, our study provided a new potential
small molecule for curing EV71 infection.
PMID- 26564267
TI - Towards Carbon-Neutral CO2 Conversion to Hydrocarbons.
AB - With fossil fuels still predicted to contribute close to 80 % of the primary
energy consumption by 2040, methods to limit further CO2 emissions in the
atmosphere are urgently needed to avoid the catastrophic scenarios associated
with global warming. In parallel with improvements in energy efficiency and CO2
storage, the conversion of CO2 has emerged as a complementary route with
significant potential. In this work we present the direct thermo-catalytic
conversion of CO2 to hydrocarbons using a novel iron nanoparticle-carbon nanotube
(Fe@CNT) catalyst. We adopted a holistic and systematic approach to CO2
conversion by integrating process optimization-identifying reaction conditions to
maximize conversion and selectivity towards long chain hydrocarbons and/or short
olefins-with catalyst optimization through the addition of promoters. The result
is the production of valuable hydrocarbons in a manner that can approach carbon
neutrality under realistic industrial process conditions.
PMID- 26564268
TI - Whatever happened to the Norwegian Medical Need Clause? Lessons for current
debates in EU pharmaceutical regulation.
AB - Until 1994, pharmaceutical products seeking market authorisation in Norway were
required to demonstrate a fulfilment of unmet medical need. This clause enabled
the national regulator to dramatically limit the number of products on the market
whilst encouraging price competition to keep drug expenditure low and was
credited with encouraging the development of drugs with genuine added therapeutic
value and reducing the incidence of antimicrobial resistance. Norway was forced
to abandon its Medical Need Clause (MNC) when it joined the European Economic
Area as it was incompatible with the acquis communautaire of the European Union.
This article reviews Norway's experience with its MNC in light of contemporary
debates in European health policy. It discusses the potential contribution of an
MNC-style regulation to improving health, reducing illness, ensuring sustainable
health systems and fostering pharmaceutical innovation. It concludes by asking
how these findings can inform current European Union debates over the growing
cost of prescription drugs and direction of pharmaceutical development.
PMID- 26564269
TI - Insights into body composition adaptation: should we reconsider the use of Body
Mass Index in some sports?
AB - BACKGROUND: The purposes of this study were to indentify the
under/overweight/obese frequencies by Body Mass Index (BMI) and body fat
percentage (BF%) in athletes within groups of sport and to investigate the
accuracy of the BMI as a measure of BF%. METHODS: Cross-sectional design study on
elite male athletes (N.=2234, aged 22+/-4 years) from 51 sports disciplines who
were classified according to two different sport classifications: predominant
characteristic of training (four group model) and type and intensity of exercise
(nine group model). All athletes underwent full anthropometric testing. RESULTS:
After stratification, the majority of athletes were in normal weight category.
According to 4 group model, BMI is showed as statistically significant, reliable
and independent predictor of BF% in all groups of sports. In nine groups model
all correlated parameters were positive for athletes being statistically
significant (P<0.001) with exception of group LSMD, MSMD and HSMD (P>0.05). The
highest positive correlation between BMI and BF% was in group MSLD (r=0.53;
P<0.001) and in power sports group (r=0.24; P<0.001). CONCLUSIONS: BMI could be
an accurate predictor of BF% in athletes but that depends on group of sport. Our
results suggest the BMI could use only in power and MSLD groups of sport.
PMID- 26564270
TI - The effect of the oxygen uptake-power output relationship on the prediction of
supramaximal oxygen demands.
AB - BACKGROUND: The aim of this study was to investigate the relationship between
oxygen uptake (VO2) and power output at intensities below and above the lactate
threshold (LT) in cyclists; and to determine the reliability of supramaximal
power outputs linearly projected from these relationships. METHODS: Nine male
cyclists (mean+/-standard deviation age: 41+/-8 years; mass: 77+/-6 kg, height:
1.79+/-0.05 m and VO2max: 54+/-7 mL?kg-1?min-1) completed two cycling trials each
consisting of a step test (10*3 min stages at submaximal incremental intensities)
followed by a maximal test to exhaustion. The lines of best fit for VO2 and power
output were determined for: the entire step test; stages below and above the LT,
and from rolling clusters of five consecutive stages. Lines were projected to
determine a power output predicted to elicit 110% peak VO2. RESULTS: There were
strong linear correlations (r>=0.953; P<0.01) between VO2 and power output using
the three approaches; with the slope, intercept, and projected values of these
lines unaffected (P>=0.05) by intensity. The coefficient of variation of the
predicted power output at 110% VO2max was 6.7% when using all ten submaximal
stages. CONCLUSIONS: Cyclists exhibit a linear VO2 and power output relationship
when determined using 3 min stages, which allows for prediction of a supramaximal
intensity with acceptable reliability.
PMID- 26564271
TI - Effects of a physical activity program on the urinary collagen crosslinks in pre-
and postmenopausal women.
AB - BACKGROUND: This study analyzes the effects of physical exercise on urinary
collagen crosslinks, pyridinoline (PYD) and deoxipyridinoline (DPD), in women.
METHODS: Thirty premenopausal (PRE) and 40 postmenopausal (PST) women took part
in a six month randomized controlled trial of moderate physical exercise.
Moreover, skinfold thickness, muscle strength and flexibility were evaluated.
RESULTS: Basal values of urinary PYD and DPD were higher in postmenopausal women
versus premenopausal women (P<0.01). Physical exercise increased in both groups
urinary PYD levels (P<0.05) and urinary DPD levels in postmenopausal women
(P<0.05). After the exercise program, no changes were observed in the levels of
urinary DPD in premenopausal women. Significant improvements in flexibility,
muscle strength and decrease in skinfolds thickness were observed in the exercise
group. No changes were observed in non-exercise group. CONCLUSIONS: In
conclusion, the physical activity program produced beneficial effects on muscle
strength and flexibility and changes in the musculoskeletal system. Also, the
physical activity program led to a non-pathological increase in the urinary
elimination of bone reabsorption and collagen metabolism biomarkers.
PMID- 26564272
TI - The effect of a gluteal activation protocol on sprint and drop jump performance.
AB - BACKGROUND: This study examined the acute effect of gluteal activation exercises
on 10 m sprints and drop jumps (DJ)s performed on a force sledge apparatus.
METHODS: Twelve participants completed pre-tests of 10 sprints and 10 DJs with
one minute recovery between sprints or jumps. For the 10 m sprints, contact,
flight, 5 m and 10 m times were recorded using an Optojump Next system. For DJs,
height jumped, contact and flight times, Reactive Strength Index and peak forces
were recorded via a force-sledge system. In the post-tests, the participants
completed a gluteal activation exercise protocol immediately before the sprint or
DJ tests. Results were analyzed using an adapted typical error method and
repeated measures ANOVA. RESULTS: The repeated measures ANOVA found significant
performance related improvements in 5 m and 10 m sprint times, flight and contact
times for each step and significant improvements in all jump measures (P<0.05).
By contrast, the typical error method showed relatively few instances of
potentiation and no clear patterns of fatigue followed by potentiation across all
participants in sprint and DJ performances following the gluteal activation
protocol. CONCLUSIONS: The results suggest that gluteal activation exercises do
not produce a consistent acute performance enhancing affect; however, their role
in injury prevention is unclear.
PMID- 26564273
TI - When celebrations go wrong: a case series of injuries after celebrating in
sports.
AB - BACKGROUND: Athletes often engage in various celebration maneuvers during sports
events. These celebrations can result in acute injuries. Our objective was to
document publicized injuries in collegiate and professional athletes resulting
from celebrations and examine associated variables. METHODS: A retrospective case
series study was performed based on internet searches performed using the
following major sporting news websites: espn.com, SI.com, bleacherreport.com,
totalprosports.com, cbssports.com, larrybrownspots.com, nfl.com, and mlb.com and
PubMed. Keywords used during these searches included "celebration injury", "score
celebration", and "surgery after celebration". These same sources were used to
document the sport, athlete's age at time of injury, celebration action, type of
injury, previous play, and whether surgery was required. RESULTS: A total of 62
athletes sustained 62 injuries resulting from various types of celebrations. All
but two athletes were males, and the average age was 26.5 years old. The injuries
occurred between 1993 and 2015. Sixteen (25.8%) of these injuries required
surgery. Professional soccer players accounted for the greatest number of these
injuries with a total of 22 injuries. One celebration in a professional soccer
player resulted in a cervical spinal cord injury and subsequent death. Common
celebration maneuvers included leaping into the air, pile ups, sliding, and
somersaults. CONCLUSIONS: Serious injuries occur in a diversity of sports after
celebrations. The most prevalent celebration maneuvers resulting in injuries
included sliding and pile ups. The most common injuries were ACL ruptures and
ankle sprains. The most serious injuries were a spinal cord injury and ankle
fractures. Sixteen (25.8%) of the injuries required surgery. By encouraging
athletes to temper excessive celebrations and prohibiting certain types of
celebrations, many injuries may be prevented.
PMID- 26564275
TI - [Nothing to disclose?].
PMID- 26564274
TI - Impact of physical activity during pregnancy on obstetric outcomes in obese
women.
AB - BACKGROUND: Maternal obesity is associated with complications and adverse
outcomes during the labor and delivery process. In pregnant women with a healthy
body weight, maternal physical activity during pregnancy is associated with
better obstetric outcomes; however, the effect of maternal physical activity
during pregnancy on obstetric outcomes in obese women is not known. The purpose
of the study was to determine the influence of self-reported physical activity
levels on obstetric outcomes in pregnant obese women. METHODS: A retrospective
chart review was performed on 48 active obese women and 48 inactive obese women
(N.=96) who received prenatal care and delivered at the medical center during the
past five years. Obstetric and neonatal outcomes were compared between the active
and inactive groups. RESULTS: Obese women who were active during pregnancy spent
less total time in labor (13.4 hours vs. 19.2 hours, P=0.048) and were less
likely to request an epidural (92% vs. 100%, P=0.04). When stratified by parity,
active multiparous women spent significantly less total time in labor compared to
inactive multiparous (6.2 hours vs. 16.7 hours, P=0.018). There were no
statistical differences between groups in rates of cesarean deliveries or
neonatal outcomes. CONCLUSIONS: Maternal physical activity during pregnancy
appears to improve obstetric outcomes in obese women, and this improvement may be
more pronounced among multiparous women. Our finding is of particular importance
as pregnant obese women are at higher risk for adverse labor and delivery
outcomes.
PMID- 26564276
TI - Inactivation of Clostridium difficile in sewage sludge by anaerobic thermophilic
digestion.
AB - There has been an increase in community-associated Clostridium difficile
infections with biosolids derived from wastewater treatment being identified as
one potential source. The current study evaluated the efficacy of thermophilic
digestion in decreasing levels of C. difficile ribotype 078 associated with
sewage sludge. Five isolates of C. difficile 078 were introduced (final density
of 5 log CFU/g) into digested sludge and subjected to anaerobic digestion at
mesophilic (36 or 42 degrees C) or thermophilic (55 degrees C) temperatures for
up to 60 days. It was found that mesophilic digestion at 36 degrees C did not
result in a significant reduction in C. difficile spore levels. In contrast,
thermophilic sludge digestion reduced endospore levels at a rate of 0.19-2.68 log
CFU/day, depending on the strain tested. The mechanism of lethality was indirect
by stimulating germination then inactivating the resultant vegetative cells.
Acidification of sludge by adding acetic acid (6 g/L) inhibited the germination
of spores regardless of the sludge digestion temperature. In conclusion,
thermophilic digestion can be applied to reduce C. difficile in biosolids,
thereby reducing the environmental burden of the enteric pathogen.
PMID- 26564277
TI - Three-dimensional reconstruction of human placental vascular network using in
vitro MRI data.
PMID- 26564278
TI - The Meryon Lecture at the 18th Annual Meeting of the Meryon Society Wolfson
College, Oxford, UK, 12th September 2014: Neuromuscular disorders in Roma
(Gypsies)--collaborative studies, epidemiology, community-based carrier testing
program and social activities.
PMID- 26564279
TI - Urea-induced binding between diclofenac sodium and bovine serum albumin: a
spectroscopic insight.
AB - We investigated the interaction of diclofenac sodium (Dic.Na) with bovine serum
albumin (BSA) in the absence and presence of urea using different spectroscopic
techniques. A fluorescence quenching study revealed that the Stern-Volmer
quenching constant decreases in the presence of urea, decreasing further at
higher urea concentrations. The binding constant and number of binding sites were
also evaluated for the BSA-Dic.Na interaction system in the absence and presence
of urea using a modified Stern-Volmer equation. The binding constant is greater
at high urea concentrations, as shown by the fluorescence results. In addition,
for the BSA-Dic.Na interaction system, a static quenching mechanism was observed,
which was further confirmed using time-resolved fluorescence spectroscopy. UV-vis
spectroscopy provided information about the formation of a complex between BSA
and Dic.Na. Circular dichroism was carried out to explain the conformational
changes in BSA induced by Dic.Na in the absence and presence of urea. The
presence of urea reduced the alpha-helical content of BSA as the Dic.Na
concentration varied. The distance r between the donor (BSA) and acceptor
(Dic.Na) was also obtained in the absence and presence of urea, using
fluorescence resonance energy transfer. Copyright (c) 2015 John Wiley & Sons,
Ltd.
PMID- 26564280
TI - Metabolic Syndrome: The drums are beating.
PMID- 26564281
TI - Comparison of letrazole and clomiphene citrate in women with polycystic ovaries
undergoing ovarian stimulation.
AB - OBJECTIVE: To compare the efficacy of letrazole in the induction of ovulation
with clomiphene citrate in patients with polycystic ovary syndrome and primary
infertility. METHODS: The prospective clinical trial was conducted at Basrah
Maternity and Child Hospital, Basrah, Iraq, between January 2012 and April 2013,
and comprised women with polycystic ovarian syndrome and primary infertility who
were randomised into 2 groups. Group A received100-200mg clomiphene citrate daily
while group 2 received letrazole (2.5-5mg) daily. Both groups were followed by
ultrasound until the dominant follicle reached a diameter >18mm, human chorionic
gonadotropin10.000 U/L was given and timed intercourse was advised. RESULTS: Of
the 75 subjects in the study, 40(53.3%) were in group A and 35(46.6%) in group B.
The mean age in group A was 25.3+2.1 years versus 26.1+1.3 years in group B
(p=0.05). The number of mature follicles was significantly lower, but the
endometrial thickness and ovulation were significantly higher in group B than in
group A (p<0.05 each). There was no significant difference in pregnancy rate
between the two groups (p>0.05). CONCLUSIONS: Letrazole may have a role as the
first-line treatment for unovulatory patients with polycystic ovary syndrome.
PMID- 26564282
TI - The association between 25-dehydroxy vitamin D and lower respiratory infection in
children aged less than "5" years in Imam Reza hospital, Bojnurd, Iran.
AB - OBJECTIVE: To clarify the association between vitamin D deficiency and acute
respiratory infection in children below age 5 years. METHODS: The cross-sectional
study was conducted at Imam Reza Hospital in Bojnurd, Iran, in June 2013 and
comprised 90 children below 5 years of age suffering from respiratory infections.
They was selected on the basis of purposive sampling and were then categorised
into two equal groups of 'acute' and 'non-acute' respiratory infection. Data
collection was done using a questionnaire and serum level of 25
dehydroxycalcciferol was measured. SPSS 11 was used to analyse and interpret the
data. RESULTS: In the group of children with respiratory disorders, 9 (42.9%)
exhibited vitamin D deficiency. There were no significant differences between the
two groups in terms of demographic characteristics such as age, intrauterine age,
weight, birth-weight, head circumference, height, gender, living area and
respiratory distress (p>0.05 each). Vitamin D deficiency showed no meaningful
statistical relation with acute respiratory infections (p>0.05). CONCLUSIONS:
More studies with higher sample size and are recommended.
PMID- 26564283
TI - Bedside heart type fatty acid binding protein (H-FABP): Is an early predictive
marker of cardiac syncope.
AB - OBJECTIVE: To determine the value of bedside heart-type fatty acid binding
protein in diagnosis of cardiac syncope in patients presenting with syncope or
presyncope. METHODS: The prospective study was conducted at Ankara Numune
Training and Research Hospital, Ankara, Turkey, between September 1, 2010, and
January 1, 2011, and comprised patients aged over 18 years who presented with
syncope or presyncope. Patients presenting to emergency department within 4 hours
of syncope or presyncope underwent a bedside heart-type fatty acid binding
protein test measurement. SPSS 16 was used for statistical analysis. RESULTS: Of
the 100 patients evaluated, 22(22%) were diagnosed with cardiac syncope. Of them,
13(59.1%) patients had a positive and 9(40.9%) had a negative heart-type fatty
acid binding protein result. Consequently, the test result was 12.64 times more
positive in patients with cardiac syncope compared to those without. CONCLUSIONS:
Bedside heart-type fatty acid binding protein, particularly at early phase of
myocardial injury, reduces diagnostic and therapeutic uncertainity of cardiac
origin in syncope patients.
PMID- 26564285
TI - Role of acute pain service in optimizing postoperative pain relief in a tertiary
care teaching hospital.
AB - OBJECTIVE: To assess the frequency and types of adjustments that acute pain
service makes to postoperative analgesic regimes to improve pain relief or treat
side effects. METHODS: The prospective observational study was conducted at the
Aga Khan University Hospital, Karachi, from December 1, 2012, to March 31, 2013.
Data was collected from Acute Pain Service register four hours after the pain
rounds by a Service member not involved in rounds. Data was collected on a
standardised form and analysed using SPSS 19. RESULTS: Of the 323 patients,
209(65%) were receiving epidural infusions and 114(35%) were receiving
intravenous patient-controlled analgesia. Overall, 114(35%) required action by
Acute Pain Service; 76(66.6%) with epidural infusions and 38(33.3%) intravenous
analgesia. Besides, 98(85.9%) had inadequate pain relief, 61(62%) with epidural
and 37(38%) with analgesia. Post-intervention, motor block occurred in 13(11.4%)
patients, who were managed by change of patient?'s position and/or lowering the
concentration of epidural solution. Improvement was seen in all patients after
the adjustments. CONCLUSIONS: Acute Pain Service played an important role in
improving the quality of postoperative pain relief and management of analgesia
related side effects. Regular feedback to the primary anaesthesiologist can lead
to improved practices.
PMID- 26564284
TI - Consanguinity ratio in b-thalassemia major patients in District Bannu.
AB - OBJECTIVE: To assess the frequency of consanguinity in b-thalassemia major
patients and its association with age, gender and hepatitis C virus antibody
positivity. METHODS: The cross-sectional study was conducted from June 2013 to
July 2014 at various hospitals of district Bannu in the North Western Khyber
Pakhtunkhwa province of Pakistan. Data was recorded on a predesigned
questionnaire. RESULTS: Out of 180 subjects, 133(74%) parents were cousins, while
47(26%) were unrelated. The frequency of anti-hepatitis C virus antibody
positivity was 14(7.77%). CONCLUSIONS: High prevalence of the disease in the
study region was due to consanguineous marriages.
PMID- 26564286
TI - The association of factor V leiden mutation with recurrent pregnancy loss.
AB - OBJECTIVE: To determine the association of factor V Leiden mutation with
recurrent pregnancy loss. METHODS: The case-control study was conducted at the
Department of Haematology, Armed Forces Institute of Pathology, Rawalpindi,
Pakistan, from January to June 2012, and comprised women of 18 to 45 years of age
who had a history of recurrent pregnancy loss, and controls with no history of
pregnancy loss. All the subjects belonged to Punjabi ethnic group. Three ml blood
was taken from cases and controls and deoxyribonucleic acid was extracted. In
order to identify Factor V Leiden mutation, polymerase chain reaction method was
utilised combined with the amplification refractory mutation system. Data was
analysed using SPSS 17. RESULTS: Of the 112 subjects, 56(50%) were in each of the
two groups. The presence of factor V Leiden mutation among the cases was 3(5.4%)
while it was absent among the controls. The mutation was significantly associated
with recurrent pregnancy loss (p=0.017).Recurrent pregnancy loss was higher in
cases than controls (p=0.001). CONCLUSIONS: Factor V Leiden mutation, Recurrent
pregnancy loss, PCR (Polymerase chain reaction).
PMID- 26564287
TI - Frequency and factors leading to recurrent pre-eclampsia.
AB - OBJECTIVE: To determine the frequency and factors leading to recurrent
preeclampsia. METHODS: The cross-sectional study was conducted at the Jinnah Post
Graduate Medical Centre, Karachi, from January 2011 to February 2012, and
comprised parous subjects <40 years of age with history of preeclampsia in
previous pregnancy/pregnancies with singleton pregnancy and gestational age of
>20 weeks. Gestational age was determined by early scan with preeclampsia in
index pregnancy. Data was collected through a specialised questionnaire and
analysed using SPSS 16. RESULTS: Of the 479 patients seen with preeclampsia,
121(25.26%) were of recurrent preeclampsia. The mean age of such patients was
29.7+/-4.9 years (range: 20-39 years). Further, 84(69.42%) patients were
multipara and 40(33.05%) were grand multipara. Mean body mass index was 29.97+/
6.2 (range: 18-54). Besides, 28(23.14%) patients had gestational diabetes;
7(5.78%) were known diabetics; 24(19.83%) had chronic hypertension; 2(1.7%)
patients had chronic renal disease; and 1(0.8%) had connective tissue disorder.
CONCLUSIONS: Being over-weight, having gestational diabetes and chronic
hypertension were main risk factors leading to recurrent preeclampsia.
PMID- 26564288
TI - Frequency of preterm delivery in proteinuric verses non proteinuric pregnancy
induced hypertension.
AB - OBJECTIVE: To compare the frequency of preterm labour associated with gestational
proteinuric hypertension versus gestational non-proteinuric hypertension.
METHODS: The prospective cohort study was conducted at the Department of
Obstetrics & Gynaecology, Dow University of Health Sciences and Civil Hospital
Karachi, from April 1 to September 30, 2012, and comprised primigravidas of more
than or equal to 20th weeks of gestation having blood pressure >140/90 mm Hg.
Those with gestational hypertension with proteinuria represented the exposed
group, while the non-exposed group had primigravidas with gestational
hypertension without proteinuria. SPSS 10 was used to analyse data. RESULTS:
There were 112 subjects, with 56(50%) in each of the two groups. Mean maternal
age in exposed group was 28.3+/-4.49 years and in the non-exposed group 26.08+/
0.04 years. Mean gestational age in the exposed group was 36.89+/-4.04 weeks and
in the non-exposed group 37.75+/-3.428 weeks. Women with gestational hypertension
with proteinuria were more likely to deliver preterm infants compared to women
with gestational hypertension without proteinuria (p=0.009). CONCLUSIONS:
Gestational proteinuric hypertension increased the risk of preterm labour,
therefore vigilant monitoring of gestational proteinuric hypertension is
important.
PMID- 26564289
TI - Elevated serum level of human alkaline phosphatase in obesity.
AB - OBJECTIVE: To investigate a correlation between serum alkaline phosphatase level
and body mass index in human subjects. METHODS: The comparative cross-sectional
study was carried out at the National Institute for Biotechnology and Genetic
Engineering, Faisalabad, Pakistan, from April 2012 to June 2013. Blood serum
alkaline phosphatase levels were estimated and the subjects were divided into
three sub-groups on the basis of their body mass. INDEX: normal weight
(<25kg/m2), overweight (25-27kg/m2) and obese (>27kg/m2) subjects. The serum
samples were used for the estimation of clinically important biochemical
parameters, using commercial kits on clinical chemistry analyser. RESULTS: Of the
197 subjects, 97(49%) were obese and 100(51%) were non-obese. The serum alkaline
phosphatase level increased in obese (214+/-6.4 IU/L) compared to the non-obese
subjects (184.5+/-5 IU/L). Furthermore, a significant linear relationship
(r=0.3;p-0.0001) was found between serum alkaline phosphatase and body mass
index. Other biochemical variables were not correlated to the body mass index.
CONCLUSIONS: Over activity and higher amounts of alkaline phosphatase were linked
to the development of obesity.
PMID- 26564290
TI - Bcl-2 and p53 expression in hepatic tissues of Egyptian patients with Chronic
Hepatitis C.
AB - OBJECTIVE: To investigate B-cell-lymphoma-2 and tumour protein p53 expression in
hepatic tissues of human cases of Chronic Hepatitis C. METHODS: The case-control
study was conducted from December 2011 to February 2014 at the out-patient
department of Gastroenterology Surgical Centre, Faculty of Medicine, Mansoura
University, Mansoura, Egypt, and comprised healthy individuals and treatment
naive chronic hepatitis C patients who had undergone liver biopsy. Liver biopsy
was taken from patients prior to antiviral therapy or any other anti-fibrotic
therapy. Serum marker levels were investigated on the day of biopsy or within 5
days after it. Blood platelet count was also investigated using standard methods.
Formalin-fixed, paraffin-embedded sections were stained with haematoxylin and
eosin and for apoptosis detection, B-cell-lymphoma-2 and tumour protein p53
expression in tissue was investigated through immunohistochemistry. Slides were
labelled with patient identification numbers and then reviewed and graded blindly
by a senior pathologist. SPSS 16 was used for statistical analysis. RESULTS: Of
the 140 subjects in the study, 120(85.7%) were patients with a mean age of 39+/
8.7 years (range: 11-64 years) and 20(14.3%) were healthy controls with a mean
age of 38.6+/-7.4 years (range: 14-66 years). The patients had higher body mass
index but the difference was not significant (p>0.05), while the difference in
the levels of alanine transaminase, aspartate aminotransferase, alpha fetoprotein
and platelet count was significant (p<0.05 each). The highest expression of B
cell-lymphoma-2 was detected in chronic hepatitis C stage, while the highest
expression of p53 was detected in hepatocellular carcinoma stage. CONCLUSIONS:
The expression of both B-cell-lymphoma-2 and tumour protein p53 might play
diagnostic role during the different stages of the disease.
PMID- 26564291
TI - Efficacy and safety of semirigid ureteroscopy combined with holmium: YAG laser in
the treatment of upper urinary tract calculi: Is it a good alternative treatment
option of flexible ureteroscopy for developing countries?
AB - OBJECTIVE: To assess the success rates of endoscopic management of upper ureteral
stones using semirigid ureterorenoscopy and holmium: YAG laser lithotripters.
METHODS: A total of 74 patients with an established diagnosis of upper ureteric
stone and scheduled for endoscopic ureteral stone treatment were retrospectively
evaluated. Failure was defined as the inability to contact or fragment the stone,
migration of the stone into the renal pelvis and difficulty in visualizing the
stone for the second time. Success rates and influencing factors as for stone
free state were investigated. RESULTS: Mean age of our patients was 45.99+/-15.00
(range: 24-82) years. In 14 of 74 patients procedural failure was observed.
Double J stents were implanted in 65 % of the patients and ureteral
catheterization was performed on 20% of them. The total success rate was 81.1 %.
Major complication rate was 1.1 percent. Factors effecting the success rate as
gender, age, body mass index, grade of hydronephrosis and stone size were
evaluated and only age was found to be statistically significant. CONCLUSIONS:
Despite some potential risks, semirigid ureterorenoscopic stone extraction and
holmium: YAG laser lithotripters are still safe and effective treatment
alternatives for management of upper ureteral stones.
PMID- 26564292
TI - Is chlorhexidine-gluconate superior than Povidone-Iodine in preventing surgical
site infections? A multicenter study.
AB - OBJECTIVE: To compare the efficacy of povidone-iodine and chlorhexidine gluconate
scrubs in preventing surgical site infections. METHODS: The randomised controlled
clinical trial was conducted from May 2012 to April 2013 in two public-sector
hospitals of Pakistan; one each in Karachi and Islamabad. Patients undergoing
clean or clean contaminated surgeries were included and were randomly assigned to
one of the two groups: group I comprised patients whose skin was preoperatively
disinfected using 10% povidone-iodine, and in group II by 2% chlorhexidine
gluconate in 70% alcohol. A predesigned proforma was filled for all patients to
record demographic data, diagnosis, surgical procedure and antibiotic used.
Patients in both groups were followed up for one month postoperatively to monitor
any signs of surgical site infections. SPSS 16 was used for statistical analysis.
RESULTS: Of the 388 patients from the two hospitals, 220(57%) were in group I and
168(43%) were in group II. Surgical site infection was observed in 22(10%) cases
in group I and 12(7.1%) in group II (p=0.324). Pseudomonas aeruginosa (23.5%) was
the predominant pathogen associated with surgical site infections followed by
Staphylococcus aureus (17.6%). CONCLUSIONS: Chlorhexidine gluconate was
associated with lower infection rates compared to povidone-iodine; but it was not
statistically significant.
PMID- 26564293
TI - Prevalence of rheumatoid arthritis in population with arthralgia presenting to a
tertiary care hospital.
AB - OBJECTIVE: To evaluate patients presenting to healthcare facilities because of
joint pain and subsequently diagnosed with rheumatoid arthritis. METHODS: The
prospective study was conducted from August 1, 2013,to January 20, 2014, at
Jinnah Postgraduate Medical Centre, Karachi, and comprised patients presenting
with joint pain. A questionnaire was used to collect data, and patients were
diagnosed using American College of Rheumatology / European League Against
Rheumatism Rheumatoid Arthritis Classification Criteria. Data was analyzed using
SPSS 20. RESULTS: Of the 320 patients approached, 316(98.7%) filled the
questionnaire. Eighty five (26.9%) were diagnosed as rheumatoid arthritis. Sixty
(70.5%) were females and 25 (26.3%) were males. The frequency of rheumatoid
arthritis was higher in people in 4th, 5th and 6th decades of life. Twenty five
(7.9%) patients had positive family history, 77 (90.2%) were chronic sufferers
and only 53 (16%) agreed to follow recommended immunosuppressive therapies. 212
(67.1%) patients belonged to highly literate class. CONCLUSIONS: Proper guidance
regarding the disease was found to be lacking in the urban centre.
PMID- 26564294
TI - Clinical spectrum, management and outcome of neonatal candidiasis.
AB - OBJECTIVE: To identify clinical spectrum, management and outcome of neonatal
candidiasis. METHODS: The retrospective study was conducted at the Shifa
International Hospital, Islamabad, Pakistan, and comprised microbiological
records of all the babies admitted to the Neonatal Intensive Care Unit from
January 2009 to January 2014 that were reviewed to identify those with positive
candida cultures. Medical records were analysed for demographic and clinical
spectrum features, management and outcome. SPSS 16 was used statistical analysis.
RESULTS: Of the total 1550 neonatal admissions, 560 (36%) had positive cultures,
and, of them, candida was isolated in 49(8.8%) neonates. Among them, 13(26%) had
candida albicans and the rest had candida species. Majority were males 34(70%),
and preterm with 30(61%) being <37 weeks. The mean birth weight was 2000+/-873
grams. Mean age at admission was 6+/-7.6 days. Overall, 39(80%) had >=2 risk
factors. The commonest site of isolation was blood in 41(84%). Besides, 32 (65%)
received fluconazole alone for treatment. Mean duration of anti-fungal therapy
was 10+/-5 days (range: 1-21 days). Twelve (24%) neonates expired and the cause
of death was candida sepsis in 10(20%) cases. Mortality was not significantly
associated with gender, place of birth, gestation, risk factors, length of stay,
prior antibiotic exposure or receipt of antifungal prophylaxis except those who
were <=1500 grams (p<0.05). CONCLUSIONS: Approximately one in ten at-risk
neonates may develop candida sepsis with high mortality. Early institution of
anti-fungal therapy may prove to be life-saving.
PMID- 26564295
TI - Respiratory tract infections in diabetic and non-diabetic individuals are linked
with serum surfactant protein-D.
AB - OBJECTIVE: To find out the rate of respiratory tract infections in diabetic and
non-diabetic individuals and their relation with surfactant protein D. METHODS:
The cross-sectional study was conducted at Dow University of Health Sciences,
Karachi, from September 2011 to April 2012, and comprised subjects of both
genders between ages of 30 and 60 years. The subjects were divided into four
groups: diabetic obese, non-diabetic obese, diabetic non-obese, and non-diabetic
non-obese. A structured questionnaire was used to collect information about
respiratory tract infections. Serum surfactant protein D levels were analysed
using human surfactant protein D enzyme-linked immunosorbent assay kit.
Statistical analysis was performed using SPSS 16. RESULTS: Of the 90 subjects,
there were 20(22.2%) diabetic obese, 30(33.3%) non-diabetic obese, 10(11.1%)
diabetic non-obese, and 30(33.3%) non-diabetic-non-obese. The overall mean age
was 36.6+/-103 years. Among the diabetic obese, 15(75%) had respiratory tract
infections which was higher than the other study groups, and patients having
respiratory tract infections had lower surfactant protein D levels than those who
did not have infections (p=0.01). CONCLUSIONS: Diabetic obese subjects had
greater rate of recurrent respiratory tract infections and had lower
concentration of serum surfactant protein D compared to subjects without
respiratory tract infections.
PMID- 26564296
TI - Apprehensions and problems after laryngectomy: Patients' perspective.
AB - OBJECTIVE: To evaluate the apprehensions, social, sexual and financial problems
in patients with advanced laryngeal cancer after total laryngectomy and the
impact of attending laryngeal club on these problems. METHODS: The analytical
study was conducted at the Dow Medical College and Civil Hospital Karachi from
January 1996 to December 2011. Patients with total laryngectomy, operated for
advanced laryngeal cancer at various centres of Sindh and Balochistan, attending
Laryngeal Club of Pakistan, situated at Civil Hospital Karachi, were included.
All the patients were evaluated through a questionnaire covering their
apprehensions regarding social, sexual and financial impact on their lives after
total laryngectomy. Data was analysed using SPSS 16. RESULTS: Of the 125
patients, 120(96%) were males, and 5(4%) were females; all housewives. The
overall mean age was 54.8+/-0.5 years (range: 31-65 years). Further, 92(74%)
participants were worried about financial uncertainty, while 84(67%) had regrets
over loss of their voice; patients worried about losing family support and facing
social rejection were 23(18%) and 15(12%) respectively. Only 7(5%) patients
feared losing sexual relationship with their spouse. All these apprehensions were
subdued after attending the Laryngeal Club of Pakistan. Severe financial impact
was faced by 55(44%) patients due to loss of job, while 05(4%) had moderate
impact due to change of job with lower income and 60(48%) patients had no
financial problem. A good 102(82%) participants thought the support from their
friends and family was upto their expectations; 98(78%) enjoyed satisfactory sex
life although with reduced frequency of 1 to 2 intercourses per month; 21(17%)
were having the frequency of 3-10 per month; and 3(2%) had more than 10 per
month. Only 16(13%) patients were not involved in sexual relations with their
spouses due to various reasons. CONCLUSIONS: Majority of laryngectomised patients
expressed apprehensions and showed some social problems after laryngectomy,
especially in the initial phase which improved either with passage of time or
after attending Laryngectomy Club. The main problem was financial constraints;
majority had good friends and family support and enjoyed satisfactory sexual
relationship with their spouse.
PMID- 26564297
TI - Intraocular pressure changes associated with tracheal extubation: Comparison of
sugammadex with conventional reversal of neuromuscular blockade.
AB - OBJECTIVE: To compare the effects of neostigmine/atropine combination and
sugammadex on intraocular pressure during tracheal extubation period. METHODS:
The single-blind prospective randomised controlled study was conducted at Ordu
University Research and Training Hospital from August to October 2014, and
comprised patients who were randomly assigned to 2 groups according to the agent
used for reversal of neuromuscular blockade. Group N received 0.05mgkg-1
neostigmine and 0.02 mgkg-1 atropine and the patients in Group S received 2mgkg-1
sugammadex intravenously. Heart rate, mean arterial pressure and intraocular
pressure were measured at baseline, before the induction (T1), after the
application of reversal agent (T2), and 1 (T3), 3 (T4), 5 (T5) and 10 (T6)
minutes after the extubation. SPSS 16 was used for statistical analysis. RESULTS:
There were 36 patients in the study; 18(50%) in each group. There was no
significant difference between the groups in terms of age, gender and body mass
index (p>0.05 each). Intraocular pressure was significantly higher when the
baseline level was compared with all measurement intervals in Group N (p<0.05
each). In Group S, it showed no significant difference at T2 (p>0.05) whereas it
was significantly higher at all other measurement intervals (p<0.05 each).
Intergroup comparisons showed statistically significant difference in heart rate
and mean arterial pressure levels at T2 interval which were higher in Group N
(p<0.01). Intraocular pressure levels at T2 and T3 intervals were significantly
higher in Group N (p<0.01). CONCLUSIONS: Lower end-extubation intraocular
pressure levels were obtained when sugammadex was used as a neuromuscular block
reversal agent in comparison with neostigmine-atropine combination. Sugammadex
may be a better option for the reversal of neuromuscular blockade and intraocular
pressure increase should be avoided in patients with glaucoma or penetrating eye
injury.
PMID- 26564298
TI - Oral manifestations of asthmatic patients.
AB - The effect of asthma on oral health is the subject of debate among dental
practitioners. The current study was planned to investigate the oral
manifestations of asthmatics compared to healthy subjects. The study group
composed of 100 asthmatics and 100 age-matched healthy controls. The caries
status based on Decayed/Missing/Filled Teeth (DMFT) criteria and oral lesion were
evaluated in all subjects. The mean age of the asthmatics group was 47.5+/-3.5
years and in the control group it was 43.5+/-3.0 years. Asthmatics included
45(45%) males and 55(55%) females. There was no statistical difference between
caries prevalence in both groups. The most prevalent oral lesions in asthmatics
group were geographic tongue 10(10%), fissured tongue 13(13%), chronic atrophic
candidiasis13(13%), and in the control group were fissured tongue(11%) and
lichenoid reaction(2%). The dental professional must be familiar with all signs
and symptoms of this disease in order to offer effective and safe treatment.
PMID- 26564299
TI - Laparoscopic resection of gastro-intestinal stromal tumour.
AB - Gastro-Intestinal Stromal Tumours are rare tumours, constituting less than 1% of
gastrointestinal tumours. They are the most common mesenchymal origin tumours of
gastro-intestinal tract. Tyrosine kinase c-kit oncogene mutation is found in all
cases. These tumours are sensitive to imatinib. They are usually noted
incidentally on endoscopy or present with haematemesis after ulceration. We are
reporting the laparoscopic resection of GIST in a 67 year old male who had
presented with haematemesis. He was found to have a gastric polyp on endoscopy.
Endoscopic ultra-soundshowed the tumour to be arising from the submucosa. Histo
pathology showed the tumour to be GIST. Patient is currently undergoing
chemotherapy.
PMID- 26564300
TI - Acute dystonic reaction due to dexketoprofen trometamol.
AB - Dexketoprofentrometamol (DKP), is a tromethamine salt of the water-soluble S
enantiomer of ketoprofen. As with all other non-steroidal anti-inflammatory
agents, the most common side effect of DKP is gastric complications. In this
paper, we report a case of dystonic reaction after intravenous DKP use. A 24-year
old man was admitted to our hospital after suffering a leg burn from boiling oil.
He had no drug hypersensitivity. An intravenous preparation containing the active
ingredient DKP was injected for analgesia, after which the patient experienced an
involuntary flexion response in both upper extremities. With a suspected
diagnosis of dystonia, biperiden lactate 5 mg/ml was administered via the
intramuscular route and the contractions abated within 30 seconds of the
injection.As non-steroidal anti-inflammatory agents are commonly used and
prescribed in emergency departments, it should be kept in mind that an acute
dystonic reaction can develop against one of these agents, DKP.
PMID- 26564301
TI - An isolated hyoid bone fracture caused by blunt trauma to the neck.
AB - Hyoid bone fractures due to blunt trauma are exceedingly rare. Here, we present
an isolated hyoid bone fracture caused by blunt trauma as well as a detailed
discussion of the injury and treatment options. A 32-year-old male was admitted
to emergency department with odynophagia and severe neck pain. He had been hit in
the neck with a metal rod during a fight. Computed tomography scan revealed a
fracture on hyoid bone and local swelling of adjacent soft tissues. The
patient?'s head was elevated, and ice packs were used to reduce the swelling.
Diclofenac sodium and prednisolone were administered. Patient was discharged with
a recommendation of out-patient control. Odynophagia, dysphagia and dyspnoea
should alert the physician to possible hyoid or laryngeal damage. Fibre optic
laryngoscopy and neck CT are important diagnostic steps to reveal a possible life
threatening injury. Conservative treatment is usually adequate, and patients
rarely require surgical intervention.
PMID- 26564302
TI - A rare case of isolated macronodular hepatic tuberculosis (Tuberculous) in an
immunocompetent patient.
AB - Tuberculosis is one of the most common and well described infectious diseases,
with a world wide distribution and a vast spectrum of clinical manifestations.
There are three forms of hepatic tuberculosis. Diffuse hepatic involvement with
pulmonary or miliary tuberculosis, diffuse hepatic infiltration without
recognizable pulmonary involvement is the second form and the third very rare
form presents as a focal/local tuberculoma or abscess. In this case report we
describe an unusual appearance of macronodular tuberculomas of the liver.
PMID- 26564303
TI - The metabolic score: A decision making tool in diabetes care.
AB - The heterogeneity of diabetes mellitus, and the various metabolic abnormalities
associated with it, are well known. Current management guidelines used to help
choose glucose-lowering drugs in diabetes mellitus describe various drug classes
in detail, but do not take the overall metabolic profile into consideration. To
help physicians choose appropriate oral therapy, we propose a discrete metabolic
score, based upon the presence and absence of metabolic comorbidities included in
the definition of metabolic syndrome. This communication describes how to choose
an appropriate oral antidiabetic drug using such a score. The metabolic score
based decision making aid should be able to prove its utility in all health care
settings, especially resource constrained societies.
PMID- 26564304
TI - Pre-conception management of diabetes.
AB - Pre-conception management of diabetes implies the optimization of all biological,
social, and psychological factors, prior to conception, in a woman with
preexisting type 1 or type 2 diabetes. Pre-conception management includes
appropriate counseling, investigations, glycaemic and supportive management. This
brief communication encapsulates the essential features of pre-conception
management.
PMID- 26564305
TI - Increased incidence of measles in vaccinated population of Pakistan.
PMID- 26564306
TI - A rare cause of ileus in an infant: a case of gossypiboma.
PMID- 26564307
TI - An audit of clinical laboratory data of 25 [OH]D at Aga Khan University as
reflecting vitamin D deficiency in Pakistan.
AB - OBJECTIVE: To estimate the burden of Vitamin D deficiency in people from
different geographical areas of Pakistan. METHODS: The retrospective study was
conducted at the Aga Khan University Hospital, Karachi, and comprised specimens
of subjects tested for 25-hydroxy D at the clinical laboratory between September
2010 and September 2011. Serum samples received from the phlebotomy centres all
over Pakistan and the main laboratory in Karachi were included. SPSS 19 was used
for statistical analysis. RESULTS: Of the 60937 specimens in the study,
18721(30.7%) related to men. The overall mean age was 40.5+/-19.7 years, and
median 25-hydroxy D level was 13.5ng/ml (interquartile range: 25.1-7.4
ng/ml).Overall, mean log 25-hydroxy D was 1.14+/-0.39ng/ml (median: 13.5ng/ml;
interquartile range: 25.1-7.4 ng/ml). Out of the total, 40279(66.1%) subjects
were vitamin D-deficient. CONCLUSIONS: Vitamin D deficiency was common among the
subjects.
PMID- 26564308
TI - Takotsubo cardiomyopathy (Broken heart syndrome).
AB - Takotsubo cardiomyopathy is an acute reversible cardiomyopathy characterised by
transient regional left ventricular (LV) motion abnormalities. It is diagnosed on
a coronary angiography and left ventriculography. We report the case of a 50-year
old lady who presented with sudden onset of chest pain, with no history of
cardiac disease and no risk factors. Remarkably though, she had lost her husband
the previous night. Coronary and LV angiography was done which revealed findings
typical of takotsubo cardiomyopathy. We report this case for its rarity. Informed
consent was taken from the patient before undertaking and reporting this study.
PMID- 26564309
TI - Prediction model to predict critical weight loss in patients with head and neck
cancer during (chemo)radiotherapy.
AB - OBJECTIVES: Patients with head and neck cancer (HNC) frequently encounter weight
loss with multiple negative outcomes as a consequence. Adequate treatment is best
achieved by early identification of patients at risk for critical weight loss.
The objective of this study was to detect predictive factors for critical weight
loss in patients with HNC receiving (chemo)radiotherapy ((C)RT). MATERIALS AND
METHODS: In this cohort study, 910 patients with HNC were included receiving RT
(+/-surgery/concurrent chemotherapy) with curative intent. Body weight was
measured at the start and end of (C)RT. Logistic regression and classification
and regression tree (CART) analyses were used to analyse predictive factors for
critical weight loss (defined as >5%) during (C)RT. Possible predictors included
gender, age, WHO performance status, tumour location, TNM classification,
treatment modality, RT technique (three-dimensional conformal RT (3D-RT) vs
intensity-modulated RT (IMRT)), total dose on the primary tumour and RT on the
elective or macroscopic lymph nodes. RESULTS: At the end of (C)RT, mean weight
loss was 5.1+/-4.9%. Fifty percent of patients had critical weight loss during
(C)RT. The main predictors for critical weight loss during (C)RT by both logistic
and CART analyses were RT on the lymph nodes, higher RT dose on the primary
tumour, receiving 3D-RT instead of IMRT, and younger age. CONCLUSION: Critical
weight loss during (C)RT was prevalent in half of HNC patients. To predict
critical weight loss, a practical prediction tree for adequate nutritional advice
was developed, including the risk factors RT to the neck, higher RT dose, 3D-RT,
and younger age.
PMID- 26564310
TI - Swallowing outcome measures in head and neck cancer--How do they compare?
AB - OBJECTIVES: Dysphagia is a common and debilitating side effect of
chemoradiotherapy. Assessment is difficult; swallowing is multifactorial and
studies choose from a range of dysphagia assessments. This study intended to
investigate the relationship between swallowing assessments of dysphagia in a
cohort of patients and to evaluate whether clinical swallowing measures can
predict patient reported swallowing outcomes. MATERIALS AND METHODS: One hundred
and seventy-three head and neck cancer patients from two teaching hospitals were
recruited prospectively over 25 months. At three months follow-up patients were
assessed using Rosenbeck's Penetration-Aspiration Scale (PAS), The 100 ml Water
Swallow Test (WST), The Performance Status Scale: Normalcy of Diet and the MD
Anderson Dysphagia Inventory (MDADI). RESULTS: The highest correlation was
observed between the MDADI and Normalcy of Diet (rho 0.68) and the lowest between
the MDADI and the PAS (rho 0.34). Using multiple regression the PAS and WST
accounted for 44% of the variance in the MDADI scores (R2 = 0.44, F = 37.8, p <
0.001). On stepwise regression, the model only retained the Normalcy of Diet
scores (R2 = 0.42, F=107.9, p < 0.001). Separating the PAS into subgroups, those
with no penetration or aspiration on the PAS scored significantly higher on the
MDADI (p = <0.001). CONCLUSION: Patient reported swallowing outcomes were
strongly aligned with diet restrictions but poorly aligned with clinical
assessment. The WST, however, was more correlated than the PAS score,
representing a more functional assessment. Clinical dysphagia, associated with
significant morbidity, and patient reported dysphagia related to quality of life
are not interchangeable and must be measured separately.
PMID- 26564311
TI - Simultaneous determination of water-soluble whitening ingredients and adenosine
in different cosmetic formulations by high-performance liquid chromatography
coupled with photodiode array detection.
AB - OBJECTIVE: The Korean Cosmetic Act regulates the use of functional cosmetics) by
the law. Four functional cosmetic groups, whitening, anti-wrinkle, UV protection
and combination of whitening and anti-wrinkle, were categorized according to the
Korean Cosmetic Act and Functional Cosmetics Codex. In this study, high
performance liquid chromatography (HPLC) coupled with photodiode array detection
(DAD) was employed for the simultaneous detection of arbutin (and its
decomposition product, hydroquinone), niacinamide, ascorbyl glucoside, ethyl
ascorbyl ether and adenosine in functional cosmetic products such as creams,
emulsions and lotions. METHODS: Separation by HPLC-DAD was conducted using a C18
column with a gradient elution of 5 mm KH2PO4 buffer (containing 0.1% phosphoric
acid) and methanol (containing 0.1% phosphoric acid). The wavelengths for the
detection of arbutin, hydroquinone, niacinamide, adenosine, ascorbyl glucoside
and ethyl ascorbyl ether were 283, 289, 261, 257, 238 and 245 nm, respectively.
RESULTS: This method exhibited good linearity (R(2) >= 0.999), precision
(expressed as relative standard deviation (RSD) < 2%) and mean recoveries (89.42
104.89%). The results obtained by monitoring 100 market samples showed that the
detected levels of the tested materials are within the acceptable authorized
concentration. CONCLUSION: The method developed herein is simple and can be used
for market survey and quality control of functional cosmetics.
PMID- 26564312
TI - Designing Photoelectrodes for Photocatalytic Fuel Cells and Elucidating the
Effects of Organic Substrates.
AB - Photocatalytic fuel cells (PFCs) are constructed from anodized photoanodes with
the aim of effectively converting organic materials into solar electricity. The
syntheses of the photoanodes (TiO2 , WO3 , and Nb2 O5 ) were optimized using the
statistical 2(k) factorial design. A systematic study was carried out to catalog
the influence of eleven types of organic substrate on the photocurrent responses
of the photoanodes, showing dependence on the adsorption of the organic
substrates and on the associated photocatalytic degradation mechanisms. Strong
adsorbates, such as carboxylic acids, generated high photocurrent enhancements.
Simple and short-chained molecules, such as formic acid and methanol, are the
most efficient in the corresponding carboxylic acid and alcohol groups as a
result of their fast degradation kinetics. The TiO2 -based PFC yielded the
highest photocurrent and obtainable power, whereas the Nb2 O5 -based PFC achieved
the highest open-circuit voltage, which is consistent with its most negative
Fermi level.
PMID- 26564313
TI - The potential diagnostic power of circulating tumor cell analysis for non-small
cell lung cancer.
AB - In non-small-cell lung cancer (NSCLC), genotyping tumor biopsies for targetable
somatic alterations has become routine practice. However, serial biopsies have
limitations: they may be technically difficult or impossible and could incur
serious risks to patients. Circulating tumor cells (CTCs) offer an alternative
source for tumor analysis that is easily accessible and presents the potential to
identify predictive biomarkers to tailor therapies on a personalized basis.
Examined here is our current knowledge of CTC detection and characterization in
NSCLC and their potential role in EGFR-mutant, ALK-rearranged and ROS1-rearranged
patients. This is followed by discussion of the ongoing issues such as the
question of CTC partnership as diagnostic tools in NSCLC.
PMID- 26564314
TI - Brazilin isolated from the heartwood of Caesalpinia sappan L induces endothelium
dependent and -independent relaxation of rat aortic rings.
AB - AIM: Brazilin is one of the major constituents of Caesalpinia sappan L with
various biological activities. This study sought to investigate the vasorelaxant
effect of brazilin on isolated rat thoracic aorta and explore the underlying
mechanisms. METHODS: Endothelium-intact and -denuded aortic rings were prepared
from rats. The tension of the preparations was recorded isometrically with a
force displacement transducer connected to a polygraph. The phosphorylation
levels of ERK1/2 and myosin light chain (MLC) were analyzed using Western
blotting assay. RESULTS: Application of brazilin (10-100 MUmol/L) dose
dependently relaxed the NE- or high K(+)-induced sustained contraction of
endothelium-intact aortic rings (the EC50 was 83.51+/-5.6 and 79.79+/-4.57
MUmol/L, respectively). The vasorelaxant effect of brazilin was significantly
attenuated by endothelium removal or by pre-incubation with L-NAME, methylene
blue or indomethacin. In addition, pre-incubation with brazilin dose-dependently
attenuated the vasoconstriction induced by KCl, NE or Ang II. Pre-incubation with
brazilin also markedly suppressed the high K(+)-induced extracellular Ca(2+)
influx and NE-induced intracellular Ca(2+) release in endothelium-denuded aortic
rings. Pre-incubation with brazilin dose-dependently inhibited the NE-stimulated
phosphorylation of ERK1/2 and MLC in both endothelium-intact and -denuded aortic
rings. CONCLUSION: Brazilin induces relaxation in rat aortic rings via both
endothelium-dependent and -independent ways as well as inhibiting NE-stimulated
phosphorylation of ERK1/2 and MLC. Brazilin also attenuates vasoconstriction via
blocking voltage- and receptor-operated Ca(2+) channels.
PMID- 26564315
TI - Pro forma for ultrasound reporting in suspected abnormally invasive placenta
(AIP): an international consensus.
PMID- 26564316
TI - Non-Cell-Autonomous Regulation of Cellular Senescence in Cancer.
AB - Cellular senescence is a permanent growth arrest that is broadly recognized to
act as a barrier against tumorigenesis. Senescence is predominant in premalignant
tumors, and senescence escape is thought to be required for tumor progression.
Importantly, evidences indicate that cell-autonomous mechanisms, such as genetic
alterations or therapeutic interventions targeting specific genetic pathways, can
affect the senescence response in cancer. Nevertheless, new findings have emerged
in the last few years that indicate a fundamental role for the tumor
microenvironment in the regulation of cellular senescence. Indeed, cytokines
belonging to the senescent secretome, as well as tumor-infiltrating immune
subsets, have been described to modulate the senescence response in tumors. Such
evidence demonstrates that senescence initiation also relies on non-cell
autonomous mechanisms, which are discussed in the present review.
PMID- 26564317
TI - Oxygen-Promoted Suzuki-Miyaura Reaction for Efficient Construction of Biaryls.
AB - As one of the most powerful and versatile methods for the construction of carbon
carbon bonds, the Suzuki-Miyaura cross-coupling reaction has attracted great
attention over the past three decades. In recent years, a huge amount of interest
has been focused on the development of ligand-free Suzuki-Miyaura reaction
systems, which have the advantages of low cost, mild reaction conditions, and
easy operation. So far, a number of ligand-free Suzuki-Miyaura reaction systems
have been developed by using simple palladium salts, nanopalladium, or supported
palladium catalysts. In this account, we will review our recent research on the
oxygen-promoted ligand-free Suzuki-Miyaura reaction. Interestingly, the oxygen
promoting effect has been observed in different reaction media, including
polyethylene glycol, organic/water mixed solvents and pure water. The oxygen
promoted reaction systems demonstrate high efficiency for the construction of
biaryls.
PMID- 26564319
TI - Evaluation of 6-11C-Methyl-m-Tyrosine as a PET Probe for Presynaptic Dopaminergic
Activity: A Comparison PET Study with beta-11C-l-DOPA and 18F-FDOPA in Parkinson
Disease Monkeys.
AB - We recently developed a novel PET probe, 6-(11)C-methyl-m-tyrosine ((11)C
6MemTyr), for quantitative imaging of presynaptic dopamine synthesis in the
living brain. In the present study, (11)C-6MemTyr was compared with beta-(11)C-l
DOPA and 6-(18)F-fluoro-l-dopa ((18)F-FDOPA) in the brains of normal and
Parkinson disease (PD) model monkeys (Macaca fascicularis). METHODS: PD model
monkeys were prepared by 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP)
administration, and (11)C-beta-CFT was applied to assess neuronal damage as
dopamine transporter (DAT) availability. (11)C-6MemTyr, beta-(11)C-l-DOPA, or
(18)F-FDOPA was injected with and without carbidopa, a specific inhibitor of
peripheral aromatic L-amino acid decarboxylase. In normal and PD monkeys, the
dopamine synthesis rates calculated using PET probes were analyzed by the
correlation plot with DAT availability in the striatum. RESULTS: In normal
monkeys, whole-brain uptake of beta-(11)C-l-DOPA and (18)F-FDOPA were
significantly increased by carbidopa at the clinical dose of 5 mg/kg by mouth. In
contrast, (11)C-6MemTyr was not affected by carbidopa at this dose, and the
metabolic constant value of (11)C-6MemTyr in the striatum was significantly
higher than those of the other 2 PET probes. Significant reduction of the
presynaptic DAT availability in the striatum was detected in MPTP monkeys, and
correlation analyses demonstrated that (11)C-6MemTyr could detect dopaminergic
damage in the striatum with much more sensitivity than the other PET probes.
CONCLUSION: (11)C-6MemTyr is a potential PET probe for quantitative imaging of
presynaptic dopamine activity in the living brain with PET.
PMID- 26564318
TI - Dynamic In Vivo SPECT Imaging of Neural Stem Cells Functionalized with
Radiolabeled Nanoparticles for Tracking of Glioblastoma.
AB - There is strong clinical interest in using neural stem cells (NSCs) as carriers
for targeted delivery of therapeutics to glioblastoma. Multimodal dynamic in vivo
imaging of NSC behaviors in the brain is necessary for developing such tailored
therapies; however, such technology is lacking. Here we report a novel strategy
for mesoporous silica nanoparticle (MSN)-facilitated NSC tracking in the brain
via SPECT. METHODS: (111)In was conjugated to MSNs, taking advantage of the large
surface area of their unique porous feature. A series of nanomaterial
characterization assays was performed to assess the modified MSN. Loading
efficiency and viability of NSCs with (111)In-MSN complex were optimized.
Radiolabeled NSCs were administered to glioma-bearing mice via either
intracranial or systemic injection. SPECT imaging and bioluminescence imaging
were performed daily up to 48 h after NSC injection. Histology and
immunocytochemistry were used to confirm the findings. RESULTS: (111)In-MSN
complexes show minimal toxicity to NSCs and robust in vitro and in vivo
stability. Phantom studies demonstrate feasibility of this platform for NSC
imaging. Of significance, we discovered that decayed (111)In-MSN complexes
exhibit strong fluorescent profiles in preloaded NSCs, allowing for ex vivo
validation of the in vivo data. In vivo, SPECT visualizes actively migrating NSCs
toward glioma xenografts in real time after both intracranial and systemic
administrations. This is in agreement with bioluminescence live imaging, confocal
microscopy, and histology. CONCLUSION: These advancements warrant further
development and integration of this technology with MRI for multimodal
noninvasive tracking of therapeutic NSCs toward various brain malignancies.
PMID- 26564320
TI - Uncertainty Quantification in Internal Dose Calculations for Seven Selected
Radiopharmaceuticals.
AB - Dose coefficients of radiopharmaceuticals have been published by the
International Commission on Radiological Protection (ICRP) and the MIRD Committee
but without information concerning uncertainties. The uncertainty information of
dose coefficients is important, for example, to compare alternative diagnostic
methods and choose the method that causes the lowest patient exposure with
appropriate and comparable diagnostic quality. For the study presented here, an
uncertainty analysis method was developed and used to calculate the uncertainty
of the internal doses of 7 common radiopharmaceuticals. METHODS: On the basis of
the generalized schema of dose calculation recommended by the ICRP and MIRD
Committee, an analysis based on propagation of uncertainty was developed and
applied for 7 radiopharmaceuticals. The method takes into account the
uncertainties contributed from pharmacokinetic models and the so-called S values
derived from several voxel computational phantoms previously developed at
Helmholtz Zentrum Munchen. Random and Latin hypercube sampling techniques were
used to sample parameters of pharmacokinetic models and S values, and the
uncertainties of absorbed doses and effective doses were calculated. RESULTS: The
uncertainty factors (square root of the ratio between 97.5th and 2.5th
percentiles) for organ-absorbed doses are in the range of 1.1-3.3. Uncertainty
values of effective doses are lower in comparison to absorbed doses, the maximum
value being approximately 1.4. The ICRP reference values showed a deviation
comparable to the effective dose calculated in this study. CONCLUSION: A general
statistical method was developed for calculating the uncertainty of absorbed
doses and effective doses for 7 radiopharmaceuticals. The dose uncertainties can
be used to further identify the most important parameters in the dose calculation
and provide reliable dose coefficients for risk analysis of the patients in
nuclear medicine.
PMID- 26564321
TI - DNA Damage in Peripheral Blood Lymphocytes of Thyroid Cancer Patients After
Radioiodine Therapy.
AB - The aim of the study was to investigate DNA double-strand break (DSB) formation
and its correlation to the absorbed dose to the blood in patients with surgically
treated differentiated thyroid cancer undergoing their first radioiodine therapy
for remnant ablation. METHODS: Twenty patients were included in this study. At
least 7 peripheral blood samples were obtained before and between 0.5 and 120 h
after administration of radioiodine. From the time-activity curves of the blood
and the whole body, residence times for the blood self-irradiation and the
irradiation from the whole body were determined. Peripheral blood lymphocytes
were isolated, ethanol-fixed, and subjected to immunofluorescence staining for
colocalizing gamma-H2AX/53BP1 DSB-marking foci. The average number of DSB foci
per cell per patient sample was analyzed as a function of the absorbed dose to
the blood and compared with an in vitro calibration curve for (131)I and (177)Lu
established previously in our institution. RESULTS: The average number of
radiation-induced foci (RIF) per cell increased over the first 3 h after
radionuclide administration and decreased thereafter. A linear fit from 0 to 2 h
as a function of the absorbed dose to the blood agreed with our in vitro
calibration curve. At later time points, RIF numbers diminished, along with
dropping dose rates, indicating progression of DNA repair. Individual patient
data were characterized by a linear dose-dependent increase and a biexponential
response function describing a fast and a slow repair component. CONCLUSION: With
the experimental results and model calculations presented in this work, a dose
response relationship is demonstrated, and an analytic function describing the
time course of the in vivo damage response after internal irradiation of patients
with (131)I is established.
PMID- 26564324
TI - Therapeutic Nuclear Medicine.
PMID- 26564322
TI - 68Ga-DOTATATE PET/CT in the Localization of Head and Neck Paragangliomas Compared
with Other Functional Imaging Modalities and CT/MRI.
AB - Pheochromocytomas/paragangliomas overexpress somatostatin receptors, and recent
studies have already shown excellent results in the localization of sympathetic
succinate dehydrogenase complex, subunit B, mutation-related metastatic
pheochromocytomas/paragangliomas using (68)Ga-DOTATATE PET/CT. Therefore, the
goal of our study was to assess the clinical utility of this functional imaging
modality in parasympathetic head and neck paragangliomas (HNPGLs) compared with
anatomic imaging with CT/MRI and other functional imaging modalities, including
(18)F-fluorohydroyphenylalanine ((18)F-FDOPA) PET/CT, currently the gold standard
in the functional imaging of HNPGLs. METHODS: (68)Ga-DOTATATE PET/CT was
prospectively performed in 20 patients with HNPGLs. All patients also underwent
(18)F-FDOPA PET/CT, (18)F-FDG PET/CT, and CT/MRI, with 18 patients also
undergoing (18)F-fluorodopamine ((18)F-FDA) PET/CT. (18)F-FDOPA PET/CT and CT/MRI
served as the imaging comparators. RESULTS: Thirty-eight lesions in 20 patients
were detected, with (18)F-FDOPA PET/CT identifying 37 of 38 and CT/MRI
identifying 23 of 38 lesions (P < 0.01). All 38 and an additional 7 lesions (P =
0.016) were detected on (68)Ga-DOTATATE PET/CT. Significantly fewer lesions were
identified by (18)F-FDG PET/CT (24/38, P < 0.01) and (18)F-FDA PET/CT (10/34, P <
0.01). CONCLUSION: (68)Ga-DOTATATE PET/CT identified more lesions than other
imaging modalities. With the results of the present study, and the increasing
availability and use of DOTA analogs in the therapy of neuroendocrine tumors, we
expect that (68)Ga-DOTATATE PET/CT will become the preferred functional imaging
modality for HNPGLs in the near future.
PMID- 26564325
TI - SUVpeak Performance in Lung Cancer: Comparison to Average SUV from the 40 Hottest
Voxels.
AB - The performance of an average SUV over a 1-mL-volume sphere within an (18)F-FDG
positive lesion resulting in the highest possible value (SUVpeakW) was compared
with that of an average SUV computed from the 40 hottest voxels, irrespective of
their location within the lesion (SUVmax-40). METHODS: Dynamic PET performed in
20 lung cancer lesions yielded for each SUV metric its mean value, relative
measurement error, and repeatability (MEr-R). RESULTS: SUVpeakW mean value was
significantly 9.66% lower than that of SUVmax-40 (P < 0.0001). SUVpeakW and
SUVmax-40 MEr-R were significantly lower than the MEr-R of SUVmax (the hottest
voxel): 9.35%-13.21% and 8.84%-12.49% versus 13.86%-19.59%, respectively, (95%
confidence limit; P < 0.0001). Although being marginal, SUVpeakW MEr-R was not
significantly greater than SUVmax-40 MEr-R (P = 0.086). CONCLUSION: SUVmax-40 is
more likely to represent the most metabolically active portions of tumors than
SUVpeakW, with close variability performance.
PMID- 26564323
TI - First-in-Human Experience of CXCR4-Directed Endoradiotherapy with 177Lu- and 90Y
Labeled Pentixather in Advanced-Stage Multiple Myeloma with Extensive Intra- and
Extramedullary Disease.
AB - Chemokine receptor 4 (CXCR4) is a key factor for tumor growth and metastasis in
several types of human cancer. Based on promising experiences with a radiolabeled
CXCR4 ligand ((68)Ga-pentixafor) for diagnostic receptor targeting, (177)Lu- and
(90)Y-pentixather were recently developed as endoradiotherapeutic vectors. Here,
we summarize the first-in-human experience in 3 heavily pretreated patients with
intramedullary and extensive extramedullary manifestations of multiple myeloma
undergoing CXCR4-directed endoradiotherapy. METHODS: CXCR4 target expression was
demonstrated by baseline (68)Ga-pentixafor PET. Each treatment was approved by
the clinical ethics committee. Pretherapeutic (177)Lu-pentixather dosimetry was
performed before (177)Lu-pentixather or (90)Y-pentixather treatment.
Subsequently, patients underwent additional chemotherapy and autologous stem cell
transplantation for bone marrow rescue. RESULTS: A remarkable therapeutic effect
was visualized in 2 patients, who showed a significant reduction in (18)F-FDG
uptake. CONCLUSION: CXCR4-targeted radiotherapy with pentixather appears to be a
promising novel treatment option in combination with cytotoxic chemotherapy and
autologous stem cell transplantation, especially for patients with advanced
multiple myeloma.
PMID- 26564326
TI - Diagnostic Reference Levels of CT Radiation Dose in Whole-Body PET/CT.
AB - The role of CT in PET/CT imaging includes acquisition techniques for diagnostic,
anatomic localization, and attenuation correction purposes. Diagnostic reference
levels of the volumetric CT dose index (CTDIvol) are available for dedicated CT
procedures on selected body regions, but similar reference levels for whole-body
CT used in PET/CT examinations are limited. This work reports CTDIvol values from
sites that conduct whole-body oncologic PET/CT examinations and participated in
the scanner validation program of the Society of Nuclear Medicine and Molecular
Imaging Clinical Trials Network. METHODS: From 2010 to 2014, a total of 154 sites
submitted CT acquisition parameters used in their clinical (18)F-FDG PET/CT
oncology protocols. From these parameters, the CTDIvol was estimated using the
ImPACT CTDI dosimetry tables. Histograms of CTDIvol values were created for each
year, and descriptive statistics, including mean, median, and 75th percentile,
were reported. Repeated-measures ANOVA was performed to determine whether
significant differences occurred between reporting years. RESULTS: A wide range
of technical parameters was reported, most notably in tube current. Between 2010
and 2014, the median CTDIvol ranged from 4.9 to 6.2 mGy and the 75th percentile
from 9.7 to 10.2 mGy. There was no significant change in CTDIvol between
reporting years (repeated-measures ANOVA, P = 0.985). CONCLUSION: The 75th
percentile CTDIvol reported in this work was 9.8 mGy averaged over all reporting
years. These data provide a resource for establishing CTDIvol reference values
specific to performing CT in PET/CT whole-body examinations. The wide ranges of
CT acquisition parameters reported by sites suggest that CTDIvol reference levels
may be beneficial for optimization of CT protocols.
PMID- 26564327
TI - [Association between food and nutrition insecurity with cardiometabolic risk
factors in childhood and adolescence: a systematic review].
AB - OBJECTIVE: To address the association between food and nutrition insecurity and
cardiometabolic risk factors in childhood and adolescence. DATA SOURCE: Articles
were selected from the Medline, Lilacs and SciELO databases with no publication
date limit, involving children and adolescents, using the descriptors: food and
nutrition security, diabetes mellitus, hypertension, metabolic syndrome, stress
and dyslipidemia. The terms were used in Portuguese, English and Spanish. The
search was carried out systematically and independently by two reviewers. DATA
SYNTHESIS: Exposure to food insecurity during childhood and adolescence ranged
from 3.3% to 82% in the selected publications. Exposure to food insecurity was
associated with stress, anxiety, greater chance of hospitalization, nutritional
deficiencies, excess weight and inadequate diets with reduced intake of fruits
and vegetables and increased consumption of refined carbohydrates and fats.
CONCLUSIONS: Food and nutrition insecurity was associated with the presence of
cardiometabolic risk factors in the assessed publications. Childhood and
adolescence constitute a period of life that is vulnerable to food insecurity
consequences, making it extremely important to ensure the regular and permanent
access to food. Because this is a complex association, some difficulties are
found, such as the synergy between risk factors, the assessment of heterogeneous
groups and extrapolation of data to other populations, in addition to the
influence of environmental factors.
PMID- 26564328
TI - [Acute effect of vigorous aerobic exercise on the inhibitory control in
adolescents].
AB - OBJECTIVE: To assess the acute effect of vigorous aerobic exercise on the
inhibitory control in adolescents. METHODS: Controlled, randomized study with
crossover design. Twenty pubertal individuals underwent two 30-minute sessions:
1) aerobic exercise session performed between 65%-75% of heart rate reserve,
divided into 5minutes of warm-up, 20minutes at the target intensity and 5minutes
of cool down; and 2) control session watching a cartoon. Before and after the
sessions, the computerized Stroop test-TestinpacsTM was applied to evaluate the
inhibitory control. Reaction time (ms) and errors (n) were recorded. RESULTS: The
control session reaction time showed no significant difference. On the other
hand, the reaction time of the exercise session decreased after the intervention
(p<0.001). The number of errors made at the exercise session were lower than in
the control session (p=0.011). Additionally, there was a positive association
between reaction time (Delta) of the exercise session and age (r(2)=0.404,
p=0.003). CONCLUSIONS: Vigorous aerobic exercise seems to promote acute
improvement in the inhibitory control in adolescents. The effect of exercise on
the inhibitory control performance was associated with age, showing that it was
reduced at older age ranges.
PMID- 26564329
TI - Services Receipt Following Veteran Outpatients' Positive Screen for Homelessness.
AB - INTRODUCTION: The Veterans Health Administration seeks to reduce homelessness
among Veterans by identifying, and providing prevention and supportive services
to, patients with housing concerns. The objectives of this study were to assess
the proportion of Veterans Health Administration patients who received homeless
or social work services within 6 months of a positive screen for homelessness or
risk in the Veterans Health Administration and the demographic and clinical
characteristics that predicted services utilization. METHODS: Data were from a
cohort of 27,403 Veteran outpatients who screened positive for homelessness or
risk between November 1, 2012 and January 31, 2013. During 2013, AORs were
calculated using a mixed-effects logistic regression to estimate the likelihood
of patients' receipt of VHA homeless or social work services based on demographic
and clinical characteristics. RESULTS: The majority of patients received services
within 6 months post-screening; predictors of services utilization varied by
gender. Among women, diagnosis of drug abuse and psychosis predicted receipt of
services, being unmarried increased the odds of using services among those
screening positive for homelessness, and a diagnosis of post-traumatic stress
disorder increased the odds of receiving services for at-risk women. Among men,
being younger, unmarried, not service-connected/Medicaid-eligible, and having a
medical or behavioral health condition predicted receipt of services.
CONCLUSIONS: Receipt of housing support services among Veterans post-homelessness
screening differs by patient demographic and clinical characteristics. Future
research should investigate the role that primary and secondary prevention
interventions play in Veterans' resolution of risk for homelessness and
experience of homelessness.
PMID- 26564330
TI - An importance-performance analysis of hospital information system attributes: A
nurses' perspective.
AB - PURPOSE: Health workers have numerous concerns about hospital IS (HIS) usage.
Addressing these concerns requires understanding the system attributes most
important to their satisfaction and productivity. Following a recent HIS
implementation, our objective was to identify priorities for managerial
intervention based on user evaluations of the performance of the HIS attributes
as well as the relative importance of these attributes to user satisfaction and
productivity outcomes. PROCEDURES: We collected data along a set of attributes
representing system quality, data quality, information quality, and service
quality from 154 nurse users. Their quantitative responses were analysed using
the partial least squares approach followed by an importance-performance
analysis. Qualitative responses were analysed using thematic analysis to
triangulate and supplement the quantitative findings. MAIN FINDINGS: Two system
quality attributes (responsiveness and ease of learning), one information quality
attribute (detail), one service quality attribute (sufficient support), and three
data quality attributes (records complete, accurate and never missing) were
identified as high priorities for intervention. CONCLUSIONS: Our application of
importance-performance analysis is unique in HIS evaluation and we have
illustrated its utility for identifying those system attributes for which
underperformance is not acceptable to users and therefore should be high
priorities for intervention.
PMID- 26564331
TI - Applicability of citronella oil (Cymbopogon winteratus) for the prevention of
mosquito-borne diseases in the rural area of Tikapur, far-western Nepal.
AB - INTRODUCTION: Mosquito-borne diseases are a serious global problem, particularly
in tropical and sub-tropical countries such as Nepal. Citronella oil is a natural
mosquito repellent as well as a local fragrance in Nepal, which is accessible at
very low cost because citronella plants are widely cultivated in rural areas of
the Terai belt in Nepal. This study was conducted using a real-life randomized
controlled pilot trial to confirm the effectiveness and applicability of locally
produced citronella oil as a mosquito repellent for the prevention of mosquito
borne diseases in Nepal. METHODS: A repellency activity test was performed with
100% citronella oil (Cymbopogon winteratus) from April to May 2013 in the Tikapur
Municipality of the Kailali district, Nepal. The test was divided into two
trials: an indoor exposure (IE) test (N=101) and an outdoor exposure (OE) test
(N=140) from 5.00 pm to 7.00 pm. Each trial contained an experimental citronella
oil-applied group and a non-applied (control) group. The outcome measures were
the protective effect of citronella oil against mosquitoes, the number of
mosquito bites, the repellency percentage, the smell satisfaction and the
irritation level. RESULTS: Experimental group had a significant protective effect
against mosquito bites in IE (96.5%, n=57) and OE (95.7%, n=70) tests compared to
the control group in IE (29.5%, n=44) and OE (28.6%, n=70) tests (experimental vs
control groups, p<0.001). The repellency percentage for the OE test was 96.7%. In
the smell satisfaction test (n=127), most of the participants responded with high
satisfaction: 'good' (67.7%), 'very good' (16.5%), 'bad' (13.4%) and 'very bad'
(2.4%). IE and OE tests showed similar satisfaction levels in each category. In
the irritation level test (n=127), 87.4% and 12.6% responded with no irritation
and slight irritation, respectively. There were no reports of moderate or severe
irritation. CONCLUSIONS: The topical application of citronella oil can be
employed as an easily-available, affordable and effective alternative mosquito
repellent to prevent mosquito-borne diseases in rural areas such as Tikapur,
Nepal.
PMID- 26564332
TI - Controllable Synthesis and Surface Wettability of Flower-Shaped Silver Nanocube
Organosilica Hybrid Colloidal Nanoparticles.
AB - Synthesis of hybrid colloidal particles with complex and hierarchical structures
is attracting much interest theoretically and technically in recent years, but
still remains a tremendous challenge. Here, we present a mild and controllable
wet-chemical method for the synthesis of silver nanocube (Ag NC)-organosilica
hybrid particles with finely tuned numbers (with one, two, three, four, five, or
six) and sizes of organosilica petals, by simply controlling the affinity with Ag
NC/nature, amount, and prehydrolysis process of alkoxysilanes. The morphologies
of hybrid colloidal particles have an obvious influence on the surface
wettability of the hybrid particle-based films. More and larger organosilica
petals can increase the surface hydrophobicity of the hybrid particle-based
films.
PMID- 26564333
TI - Inoculation of new rhizobial isolates improve nutrient uptake and growth of bean
(Phaseolus vulgaris) and arugula (Eruca sativa).
AB - BACKGROUND: In the current agricultural model, the massive use of chemical
fertilizer causes environmental and economic losses. Inoculation of plant-growth
promoting (PGP) nitrogen-fixing bacteria is an alternative to the use of
nitrogen, phosphorus and potassium fertilizers. In this study, rhizobia strains
isolated from common bean (Phaseolus vulgaris) root nodules were evaluated in an
effort to identify an efficient nitrogen-fixing rhizobia strain able to improve
bean germination and growth. RESULTS: Common bean plants were collected from
seven sites in southern Brazil, and 210 native rhizobia isolates were obtained.
Evaluation of PGP traits showed that most of the rhizobia isolates were non
siderophore producers and weak indolic compounds producers. Under laboratory
conditions, rhizobia isolates E15 (Rhizobium leguminosarum) and L5 (Rhizobium
radiobacter) increase germination percentage, length, and dry weight of common
bean and arugula (Eruca sativa) seedlings. Under greenhouse conditions, common
bean plants inoculated with the rhizobia isolates VC28 and L15 (both Rhizobium
fabae) presented the highest nodule number and shoot dry matter, while VC28 also
presented the highest values of shoot nitrogen and potassium. Isolate L17
presented highly effective N fixation, even with reduced nodulation. CONCLUSION:
These new rhizobia isolates are attractive PGP alternatives to chemical
fertilizers. (c) 2015 Society of Chemical Industry.
PMID- 26564334
TI - Vitamin D modulates adipose tissue biology: possible consequences for obesity?
AB - Cross-sectional studies depict an inverse relationship between vitamin D (VD)
status reflected by plasma 25-hydroxy-vitamin D and obesity. Furthermore, recent
studies in vitro and in animal models tend to demonstrate an impact of VD and VD
receptor on adipose tissue and adipocyte biology, pointing to at least a part
causal role of VD insufficiency in obesity and associated physiopathological
disorders such as adipose tissue inflammation and subsequent insulin resistance.
However, clinical and genetic studies are far less convincing, with highly
contrasted results ruling out solid conclusions for the moment. Nevertheless,
prospective studies provide interesting data supporting the hypothesis of a
preventive role of VD in onset of obesity. The aim of this review is to summarise
the available data on relationships between VD, adipose tissue/adipocyte
physiology, and obesity in order to reveal the next key points that need to be
addressed before we can gain deeper insight into the controversial VD-obesity
relationship.
PMID- 26564335
TI - Heterometallic Fe(III) /K Coordination Polymer with a Wide Thermal Hysteretic
Spin Transition at Room Temperature.
AB - The anionic Fe(III) complex exhibiting cooperative spin transition with a wide
thermal hysteresis near room temperature, K[Fe(5-Brthsa)2 ] (5-Brthsa-H2 =5
bromosalicylaldehyde thiosemicarbazone), is reported. The hysteresis (Delta=69 K
in the first cycle) shows a one-step transition in heating mode and a two-step
transition in cooling mode. X-ray structure analysis showed that the coexistence
of hydrogen bond and cation-pi interactions, as well as alkali metal coordination
bonds, to give 2D coordination polymer structure. This result is contrary to
previous reports of broad thermal hysteresis induced by coordination bonds of
Fe(II) spin crossover coordination polymers (with 1D/3D structures), and by
strong intermolecular interactions in the molecular packing through pi-pi
stacking or hydrogen-bond networks. As a consequence, the importance, or the very
good suitability of alkali metal-based coordination bonds and cation-pi
interactions for communicating cooperative interactions in spin-crossover (SCO)
compounds must be reconsidered.
PMID- 26564336
TI - Together we change: An ambitious blueprint for primary healthcare in Flanders.
PMID- 26564337
TI - Co-Doping of Activated Graphene for Synergistically Enhanced Electrocatalytic
Oxygen Reduction Reaction.
AB - Doping of graphene has emerged as a key strategy to improve the electrocatalytic
performance of the oxygen reduction reaction (ORR). Activated graphene co-doped
with iodine and nitrogen atoms (NIG) was developed in this work using a facile
scalable approach. The onset potential, current density, and four-electron
reduction pathway of the newly developed catalyst were significantly improved.
The charge-transfer resistance of co-doped NIG was found to be much lower than
nitrogen-doped graphene (NG); furthermore, the stability of NIG and its
resistance to methanol crossover were also improved. The synergistically enhanced
ORR performance of NIG was found to be a result of a high strain and size
advantage of the larger iodine atom clusters (compared to nitrogen), which
facilitate the simultaneous enrichment of anode electrons and O2 and H2 O
molecule transport at catalytic sites, inducing four-electron transfer in a
single step. These results are promising for application in alkaline fuel cells.
PMID- 26564338
TI - Taking action.
PMID- 26564339
TI - Dental education: The next generation.
PMID- 26564340
TI - Case report: A bridge too far!
PMID- 26564341
TI - In practice: Is lipstick oral health?
PMID- 26564342
TI - Case report: Haematomas.
PMID- 26564343
TI - Supporting AMR awareness.
PMID- 26564345
TI - An update on sugar.
PMID- 26564347
TI - FGDP(UK) response to Nordic Institute research into restorations and decay.
PMID- 26564350
TI - Now and then: Student associations.
PMID- 26564352
TI - When David met Sara part 1.
PMID- 26564353
TI - Fluoridation and hypothyroidism--a commentary on Peckham et al.
AB - In many countries around the world community water fluoridation is a key element
in the campaign for better dental public health. Its safety and effectiveness
have been confirmed through decades of research, and the practice has been
strongly endorsed by reputable health authorities. A strong evidence base is
critical for the implementation of fluoridation and other public health measures.
Health professionals must ensure that the highest quality evidence is promoted,
and weak evidence identified and discredited.
PMID- 26564354
TI - Managing caries: the need to close the gap between the evidence base and current
practice.
AB - Underpinned by a changing knowledge of the aetiology of caries and its sequelae,
and assisted by established and advancing dental materials, there is growing
evidence supporting less invasive management of dental caries based on the
principles of minimal intervention dentistry. This narrative review assesses both
the evidence and the adoption of less invasive caries management strategies and
describes ways in which the gap between evidence and practice might be overcome.
While there is increasing data supporting less invasive management of carious
lesions, these are not standard in most dental practices worldwide. Usually,
clinical studies focused on efficacy as outcome, and did not take into
consideration the views and priorities of other stakeholders, such as primary
care dentists, educators, patients and those financing services. Involving these
stakeholders into study design and demonstrating the broader advantages of new
management strategies might improve translation of research into practice. In
theory, clinical dentists can rely on a growing evidence in cariology regarding
less invasive management options. In practice, further factors seem to impede
adoption of these strategies. Future research should address these factors by
involving major stakeholders and investigating their prioritised outcomes to
narrow or close the evidence gap.
PMID- 26564360
TI - Summary of: Understanding emotionally relevant situations in primary dental
practice. 2. Reported effects of emotionally charged situations.
PMID- 26564361
TI - Summary of: Oral health status of non-phobic and dentally phobic individuals; a
secondary analysis of the 2009 Adult Dental Health Survey.
PMID- 26564355
TI - Effective anaesthesia of the acutely inflamed pulp: part 2. Clinical strategies.
AB - Achieving profound pulpal anaesthesia in a mandibular molar diagnosed with
irreversible pulpitis can be argued to be the most testing of dental anaesthetic
challenges. Following discussion on the possible reasons for this occurrence in
part 1, part 2 outlines the various local anaesthetic techniques that
practitioners can use to overcome the acutely inflamed mandibular molar. They
should then be able to apply these same principles to help anaesthetise any other
tooth presenting with an acutely inflamed pulp. Techniques are discussed in
detail along with key variables that have been associated with having an impact
on the anaesthetic efficacy. This is to bring to light factors that can aid
anaesthetic success as well as dispel common misnomers.
PMID- 26564362
TI - Students' perceived stress and perception of barriers to effective study: impact
on academic performance in examinations.
AB - AIMS: To identify students' perceptions of barriers to effective study and the
relationship between these and demographic characteristics, levels of perceived
stress and examination performance. MATERIALS AND METHODS: A questionnaire was
distributed to first (BDS1) and final year (BDS5) King's College London dental
undergraduates, during Spring 2013. Data were collected on students' social and
working environment using a Likert scale from zero to four. Levels of perceived
stress and end-of-year examination results were collected. Statistical analyses
were undertaken using SPSS(r) and Stata(r) software. RESULTS: A response rate of
83.0% (BDS1) and 82.9% (BDS5) was achieved. Social distractions were perceived to
hinder study, with median scores of two and three for females and males
respectively. The mean perceived stress score differed significantly (p=0.001)
between males and females. Difficulties with journey was a significant predictor
of perceived stress (p=0.03) as were family responsibilities (p=0.02). Social
distractions were significantly related to examination performance (p=0.001).
CONCLUSIONS: Social distractions were the barrier most highly rated as hindering
effective study. Levels of perceived stress were high and were significantly
associated with gender, a difficult journey to university and family
responsibilities. Social distractions were significantly related to examination
performance; students rating social distractions highly, performed less well.
PMID- 26564363
TI - Mitchell's trimmer: Who was Mitchell and what was he trimming?
AB - Mitchell's trimmer, also known as an osteo-trimmer, osteocarver or osteocarver
#4, is a commonly used instrument in a dental professional's armamentarium. Today
the instrument is used in a range of dental specialties; however, its modern use
has no association to trimming. This article explores the origin of the
Mitchell's trimmer, its intended use and reveals the founder of the renowned
instrument.
PMID- 26564372
TI - Understanding emotionally relevant situations in primary dental practice. 2.
Reported effects of emotionally charged situations.
AB - BACKGROUND AND AIMS: Dentistry is widely reported to be a stressful profession.
There is a limited body of research relating to the coping strategies used by
dentists whilst in clinical situations. This study aims to use qualitative
methods to explore the full extent of the coping strategies associated with
stressful events in primary dental practice. METHOD: Semi-structured interviews
were conducted with 20 dentists within a 50 mile radius of Lincoln. A thematic
analysis was conducted on verbatim transcriptions thereby identifying six themes
and 35 codes. RESULTS: Participants described both problem-focussed and emotion
focussed strategies. The strategies used had a variety of outcomes in the context
of use. Most dentists denied that their emotions affected their decision-making,
but then proceeded to describe how they were influential. DISCUSSION AND
CONCLUSION: Dentists use a wide variety of coping strategies some of which are
maladaptive. Training in the development and recognition of appropriate coping
decisions would be appropriate as they would, potentially, improve practitioner
decision-making and well-being.
PMID- 26564373
TI - Oral health status of non-phobic and dentally phobic individuals; a secondary
analysis of the 2009 Adult Dental Health Survey.
AB - INTRODUCTION: The aim of this study was to conduct an exploration of differences
in oral health behaviour and outcome between dentally phobic and non-phobic
participants in the UK Adult Dental Health Survey (ADHS, 2009). The null
hypotheses for this study were that there are no differences in oral health
status of non-phobic and dental phobic individuals. METHODS: The ADHS survey
covered the adult population in England, Wales and Northern Ireland and was
commissioned by the NHS Information Centre for Health and Social Care (NHS IC).
Dental anxiety was defined using the Modified Dental Anxiety (MDAS) with the cut
off point set at 19 and above as indicating dental phobia. Descriptive statistics
were calculated and the chi-square test was used to compare both groups in terms
of their demographics, oral health, oral health-related behaviour and attitudes,
and treatment. RESULTS: More women (16.8% [1,023]) than men (7% [344]) reported
dental phobia. Generally, people with dental phobia were in routine occupations
(648 [47.7%]), single (402 [29.4%]) and with lower educational attainment (858
[80.9%]). They were irregular attendees (798 [58.5%]), had a less restored
dentition, increased numbers of one or more teeth with caries (292 [39.9%]), and
were more likely to have PUFA (puss, ulceration, fistulae, abscess) scores of one
or more (89 [12.2%]) in comparison to the non-phobic group (314 [5.6%]). However,
people with and without dental phobia had similar numbers of sound and missing
teeth (34.5% of the phobic group had 20 or more sound teeth in comparison to
31.7% of the non-phobic group). There were significant differences (p<0.001)
between the phobic group's and non-phobic group's Oral Health Impact Profile-14
(OHIP) and Oral Impacts on Daily Performance (OIDP) scores with phobic
participants having generally higher scores. Additionally, the phobic group
responded negatively more commonly about their most recent dental treatment in
terms of dentists' ability to listen to their concerns, explaining the reasons
for their dental care while paying full attention to their needs by treating them
with respect and dignity. The difference between the two groups was statistically
significant (p<0.001). CONCLUSIONS: Participants reporting dental phobia are
mostly females, irregular attendees and have a greater treatment need with
increased caries levels.
PMID- 26564374
TI - The usefulness of infection biomarkers in patients with febrile neutropenia in
the Emergency Department.
PMID- 26564375
TI - [Economic impact of nosocomial bacteraemia. A comparison of three calculation
methods].
AB - INTRODUCTION: The excess cost associated with nosocomial bacteraemia (NB) is used
as a measurement of the impact of these infections. However, some authors have
suggested that traditional methods overestimate the incremental cost due to the
presence of various types of bias. The aim of this study was to compare three
assessment methods of NB incremental cost to correct biases in previous analyses.
METHODS: Patients who experienced an episode of NB between 2005 and 2007 were
compared with patients grouped within the same All Patient Refined-Diagnosis
Related Group (APR-DRG) without NB. The causative organisms were grouped
according to the Gram stain, and whether bacteraemia was caused by a single or
multiple microorganisms, or by a fungus. Three assessment methods are compared:
stratification by disease; econometric multivariate adjustment using a
generalised linear model (GLM); and propensity score matching (PSM) was performed
to control for biases in the econometric model. RESULTS: The analysis included
640 admissions with NB and 28,459 without NB. The observed mean cost was ?24,515
for admissions with NB and ?4,851.6 for controls (without NB). Mean incremental
cost was estimated at ?14,735 in stratified analysis. Gram positive microorganism
had the lowest mean incremental cost, ?10,051. In the GLM, mean incremental cost
was estimated as ?20,922, and adjusting with PSM, the mean incremental cost was
?11,916. The three estimates showed important differences between groups of
microorganisms. CONCLUSIONS: Using enhanced methodologies improves the adjustment
in this type of study and increases the value of the results.
PMID- 26564376
TI - Long-Term Outcomes of Carotid Endarterectomy and Carotid Artery Stenting for
Carotid Artery Stenosis: Real-World Status in Japan.
AB - BACKGROUND AND PURPOSE: We investigated long-term outcomes of carotid
endarterectomy (CEA) and carotid artery stenting (CAS) in our institute to
evaluate the outcomes of real-world practice in Japan. METHODS: Between August
2006 and July 2013, 203 consecutive carotid revascularizations with either CEA or
CAS were performed in our institute. The initial treatment was regarded as the
starting point in the cases of the patients who received treatment by bilateral
carotid artery stenosis or retreatment. We assessed the long-term outcomes with
survival analyses. RESULTS: A total of 182 patients (CEA 111, CAS 71), including
86 symptomatic patients, were included in the current study with a mean follow-up
period of 42.9 months. The periprocedural stroke/death/myocardial infarction (MI)
rate was 3.6% for CEA and 5.6% for CAS groups (P = .71). Estimates of the 4-year
event-free rate from the primary end point (the composite of any stroke, death,
or MI within 30 days, and any ipsilateral stroke thereafter) using competing risk
analysis were 3.6% for CEA and 7.1% for CAS (P = .156). Kaplan-Meier estimates of
the 4-year event-free rate from the secondary end point (the composite of any
stroke, death, or MI within 30 days, and any stroke or death thereafter) were
13.8% for CEA and 19.1% for CAS (P = .072). Age was the only significant
predictor for the primary end point. Both age and CAS were significant predictors
for the secondary end point. CONCLUSIONS: The current study on real-world
practices demonstrated perioperative and long-term outcomes that were comparable
to previous major studies of large numbers of patients.
PMID- 26564377
TI - Chemical constituents of essential oil of endemic Rhanterium suaveolens Desf.
growing in Algerian Sahara with antibiofilm, antioxidant and anticholinesterase
activities.
AB - Twenty compounds were detected in the essential oil of Rhanterium suaveolens
representing 98.01% of the total oil content. Perillaldehyde (45.79%),
caryophyllene oxide (24.82%) and beta-cadinol (5.61%) were identified as the main
constituents. In beta-carotene-linoleic acid assay, both the oil and the methanol
extract exhibited good lipid peroxidation inhibition activity, with IC50 values
of 17.97 +/- 5.40 and 11.55 +/- 3.39 MUg/mL, respectively. In DPPH and CUPRAC
assays, however, the methanol extract exhibited a good antioxidant activity. The
highest antibiofilm activity has been found 50.30% against Staphylococcus
epidermidis (MU 30) at 20 MUg/mL for essential oil and 58.34% against Micrococcus
luteus (NRRL B-4375) at 25 mg/mL concentration for methanol extract. The in vitro
anticholinesterase activity of methanol extract showed a moderate
acetylcholinesterase inhibitory (IC50 = 168.76 +/- 0.62 MUg/mL) and good
butyrylcholinesterase inhibitory (IC50 = 54.79 +/- 1.89 MUg/mL) activities. The
essential oil was inactive against both enzymes.
PMID- 26564378
TI - Is pelvic organ support different between young nulliparous African and Caucasian
women?
AB - OBJECTIVE: There seems to be substantial variation in the prevalence of pelvic
floor disorders between different ethnic groups. This may be due partially to
differences in pelvic floor structure and functional anatomy. To date, data on
this issue are sparse. The aim of this study was to compare hiatal dimensions,
pelvic organ descent and levator biometry in young, healthy nulliparous Caucasian
and African women. METHODS: Healthy nulliparous non-pregnant volunteers attending
a local nursing school in Uganda were invited to participate in this study during
two fistula camps. All volunteers underwent a simple physician-administered
questionnaire and a four-dimensional translabial ultrasound examination. Offline
analysis was performed to assess hiatal dimensions, pelvic organ descent, levator
muscle thickness and area. To compare findings with those obtained in nulliparous
non-pregnant Caucasians, we retrieved the three-dimensional/four-dimensional
ultrasound volume datasets of a previously published study. RESULTS: The dataset
of 76 Ugandan and 49 Caucasian women was analyzed. The two groups were not
matched but they were comparable in age and body mass index. All measurements of
hiatal dimensions and pelvic organ descent were significantly higher among the
Ugandans (all P <= 0.01); however, muscle thickness and area were not
significantly different between the two groups. CONCLUSIONS: Substantial
differences between Caucasian and Ugandan non-pregnant nulliparae were identified
in this study comparing functional pelvic floor anatomy. It appears likely that
these differences in functional anatomy are at least partly genetic in nature.
Copyright (c) 2015 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 26564379
TI - Recent developments in the nomenclature, presence, isolation, detection and
clinical impact of extracellular vesicles.
AB - The research field of extracellular vesicles (EVs), such as microparticles and
exosomes, is growing exponentially. The goal of this review is to provide an
overview of recent developments relevant to the readers of the Journal of
Thrombosis and Haemostasis. We will discuss nomenclature, the presence of EVs in
fluids, methods of isolation and detection, and emerging clinical implications.
Although research on EVs has been performed within the ISTH for over a decade,
most of the recent research on EVs has been brought together by the International
Society on Extracellular Vesicles (ISEV). To achieve an overview of recent
developments, the information provided in this review comes not only from
publications, but also from latest meetings of the ISEV (April 2015, Washington,
DC, USA), the International Society on Advancement of Cytometry (June 2015,
Glasgow, UK), and the ISTH (June 2015, Toronto, Canada).
PMID- 26564380
TI - Late preterm rupture of membranes: it pays to wait.
PMID- 26564382
TI - Magnetic order in a novel 3D oxalate-based coordination polymer
{[Cu(bpy)3][Mn2(C2O4)3].H2O}n.
AB - A heterometallic coordination polymer {[Cu(bpy)3][Mn2(C2O4)3].H2O}n (1; bpy =
2,2'-bipyridine) was synthesized using a building-block approach and
characterized by IR spectroscopy, single-crystal X-ray diffraction, magnetization
measurement, and X-band ESR spectroscopy both on a single crystal and a
polycrystalline sample. The molecular structure of 1 is made of a three
dimensional (3D) anionic network [Mn2(C2O4)3]n(2n-) and tris-chelated cations
[Cu(bpy)3](2+) occupying the vacancies of the framework. In compound 1 magnetic
order is confirmed below 12.8 K - magnetization measurements reveal an
antiferromagnetic-like network of canted Mn(2+) spins with incorporated
paramagnetic Cu(2+) centres. The ESR spectroscopy distinctly shows the phase
transition; above T~ 13 K, single isotropic Lorentzian lines of Mn(2+) ions in
the high spin state S = 5/2 were observed, while below this temperature, only
characteristic Cu(2+) signals from cations were detected. Thermal decomposition
residues of 1 at different temperatures (800-1000 degrees C) were analyzed by
powder X-ray diffraction; by heating the sample up to 1000 degrees C the spinel
oxide CuMn2O4 [94.1(2) wt%] was formed. From the refined structural parameters,
it could be seen that the obtained spinel is characterized by the inversion
parameter delta~ 0.8, and therefore the structural formula at room temperature
can be written as (tet)[Cu0.17Mn0.83](oct)[Mn1.17Cu0.83]O4.
PMID- 26564381
TI - Immediate delivery compared with expectant management after preterm pre-labour
rupture of the membranes close to term (PPROMT trial): a randomised controlled
trial.
AB - BACKGROUND: Preterm pre-labour ruptured membranes close to term is associated
with increased risk of neonatal infection, but immediate delivery is associated
with risks of prematurity. The balance of risks is unclear. We aimed to establish
whether immediate birth in singleton pregnancies with ruptured membranes close to
term reduces neonatal infection without increasing other morbidity. METHODS: The
PPROMT trial was a multicentre randomised controlled trial done at 65 centres
across 11 countries. Women aged over 16 years with singleton pregnancies and
ruptured membranes before the onset of labour between 34 weeks and 36 weeks and 6
days weeks who had no signs of infection were included. Women were randomly
assigned (1:1) by a computer-generated randomisation schedule with variable block
sizes, stratified by centre, to immediate delivery or expectant management. The
primary outcome was the incidence of neonatal sepsis. Secondary infant outcomes
included a composite neonatal morbidity and mortality indicator (ie, sepsis,
mechanical ventilation >=24 h, stillbirth, or neonatal death); respiratory
distress syndrome; any mechanical ventilation; and duration of stay in a neonatal
intensive or special care unit. Secondary maternal outcomes included antepartum
or intrapartum haemorrhage, intrapartum fever, postpartum treatment with
antibiotics, and mode of delivery. Women and caregivers could not be masked, but
those adjudicating on the primary outcome were masked to group allocation.
Analyses were by intention to treat. This trial is registered with the
International Clinical Trials Registry, number ISRCTN44485060. FINDINGS: Between
May 28, 2004, and June 30, 2013, 1839 women were recruited and randomly assigned:
924 to the immediate birth group and 915 to the expectant management group. One
woman in the immediate birth group and three in the expectant group were excluded
from the primary analyses. Neonatal sepsis occurred in 23 (2%) of 923 neonates
whose mothers were assigned to immediate birth and 29 (3%) of 912 neonates of
mothers assigned to expectant management (relative risk [RR] 0.8, 95% CI 0.5-1.3;
p=0.37). The composite secondary outcome of neonatal morbidity and mortality
occurred in 73 (8%) of 923 neonates of mothers assigned to immediate delivery and
61 (7%) of 911 neonates of mothers assigned to expectant management (RR 1.2, 95%
CI 0.9-1.6; p=0.32). However, neonates born to mothers in the immediate delivery
group had increased rates of respiratory distress (76 [8%] of 919 vs 47 [5%] of
910, RR 1.6, 95% CI 1.1-2.30; p=0.008) and any mechanical ventilation (114 [12%]
of 923 vs 83 [9%] of 912, RR 1.4, 95% CI 1.0-1.8; p=0.02) and spent more time in
intensive care (median 4.0 days [IQR 0.0-10.0] vs 2.0 days [0.0-7.0]; p<0.0001)
compared with neonates born to mothers in the expectant management group.
Compared with women assigned to the immediate delivery group, those assigned to
the expectant management group had higher risks of antepartum or intrapartum
haemorrhage (RR 0.6, 95% CI 0.4-0.9), intrapartum fever (0.4, 0.2-0.9), and use
of postpartum antibiotics (0.8, 0.7-1.0), and longer hospital stay (p<0.0001),
but a lower risk of caesarean delivery (RR 1.4, 95% CI 1.2-1.7). INTERPRETATION:
In the absence of overt signs of infection or fetal compromise, a policy of
expectant management with appropriate surveillance of maternal and fetal
wellbeing should be followed in pregnant women who present with ruptured
membranes close to term. FUNDING: Australian National Health and Medical Research
Council, the Women's and Children's Hospital Foundation, and The University of
Sydney.
PMID- 26564383
TI - Pressure-Relief Features of Fixed and Autotitrating Continuous Positive Airway
Pressure May Impair Their Efficacy: Evaluation with a Respiratory Bench Model.
AB - STUDY OBJECTIVES: Pressure-relief features are aimed at improving the patient's
comfort during continuous positive airway pressure (CPAP) treatment for
obstructive sleep apnea. The objective of this study was to determine the effect
of these therapy features on fixed CPAP and autotitrating CPAP (APAP) treatment
efficacy. METHODS: Seven pressure-relief features applied by three CPAP devices
were included in our study (Remstar Auto: C-Flex 3, C-Flex+ 3, A-Flex 3, P-Flex;
AirSense 10: EPR 3; Prisma 20A: SoftPAP 2 and 3). In fixed CPAP, the devices were
subjected to a 10-min bench-simulated obstructive apnea sequence (initial apnea
hypopnea index, AHI = 60/h) with and without pressure-relief features. In APAP,
the sequence was lengthened to 4.2 h (initial AHI = 58.6/h). The residual AHI and
mean/median pressure were compared with and without pressure-relief features.
RESULTS: Compared to conventional CPAP, where pressure was adjusted to be just
sufficient to control the simulated obstructive events, C-Flex+ 3, P-Flex, and
EPR 3 failed to normalize the breathing flow and did not reduce the AHI. The mean
pressures with the three features, respectively, were 1.8, 2.6, and 2.6 cmH2O
lower than the conventional CPAP. Compared to conventional APAP, similar levels
of control were observed with pressure-relief features, apart from P-Flex where
the delivered mean pressure was lower and residual AHI greater. The device
reported mean/median pressures in APAP with A-Flex 3, P-Flex, EPR 3, and SoftPAP
3 were higher than that measured on the bench. CONCLUSIONS: Pressure-relief
features may attenuate CPAP efficacy if not adjusted for at the time of their
introduction. In clinical practice, efficacy can be ensured by increasing the
therapeutic pressure delivered by fixed CPAP or by enabling the pressure-relief
features prior to initial pressure titration. Device-reported pressures in APAP
devices with pressure relief activated may overstate delivered pressures.
PMID- 26564384
TI - Sleep Disturbances in Traumatic Brain Injury: A Meta-Analysis.
AB - STUDY OBJECTIVES: Sleep disturbances are frequently reported following traumatic
brain injury (TBI); however, the exact disturbances remain unclear. This meta
analysis aimed to characterize sleep disturbance in community dwelling patients
with TBI as compared to controls. METHODS: Two investigators independently
conducted a systematic search of multiple electronic databases from inception to
May 27, 2015. Studies were selected if they compared sleep in community dwelling
individuals with TBI relative to a control population without head injury. Data
were pooled in meta-analysis with outcomes expressed as the standard mean
difference (SMD) and 95% confidence interval (CI). The primary outcomes were
derived from polysomnography and secondary outcomes were derived from subjective
sleep measures. RESULTS: Sixteen studies were included, combining 637 TBI
patients and 567 controls, all of whom were community dwelling. Pooled
polysomnography data revealed that TBI patients had poorer sleep efficiency (SMD
= -0.47, CI: -0.89, -0.06), shorter total sleep duration (SMD = -0.37, CI: -0.59,
-0.16), and greater wake after sleep onset time (SMD = 0.60, CI: 0.33, 0.87).
Although sleep architecture was similar between the groups, a trend suggested
that TBI patients may spend less time in REM sleep (SMD = -0.22, CI: -0.45,
0.01). Consistent with polysomnographic derangement, TBI patients reported
greater subjective sleepiness and poorer perceived sleep quality. CONCLUSIONS:
The evidence suggests that TBI is associated with widespread objective and
subjective sleep deficits. The present results highlight the need for physicians
to monitor and address sleep deficits following TBI.
PMID- 26564385
TI - Self-Reporting by Unsafe Drivers Is, with Education, More Effective than
Mandatory Reporting by Doctors.
AB - STUDY OBJECTIVES: Health professionals are frequently required to report to
relevant authorities all drivers who are potentially unsafe due to medical
conditions. We aimed to assess both the effect of mandatory reporting (MR) on
patient self-predicted behavior and what factors might encourage unsafe drivers
to self-report to these authorities. METHODS: We included 5 questions in the
South Australian Health Omnibus Survey, an annual, community based, face-to-face
survey. We asked (1) how subjects would behave towards their doctor in light of
MR if they believed their licences were at risk due to a medical condition; and
(2) which factor(s) would cause them to self-report to the same authorities.
RESULTS: Responses to 3,007 surveys (response rate 68.5%, age 15-98) showed that
9.0% would avoid diagnosis, lie to their doctor, or doctor shop in order to keep
their licence; 30.8% were unaware of the legislated requirement to self-report;
and 37.9% were unaware of potentially jeopardizing insurance support if they
failed to comply. If educated in these 2 areas, warned about the dangers of
driving against medical advice and instructed to do so by their doctor, then
95.8% of people would self-report to the authorities, a number significantly
higher than could be reported by their doctors (91.0%). CONCLUSIONS: MR causes
9.0% of people to predict to behave towards their doctor in a manner that reduces
road safety. With education and encouragement to do so, more people will self
report to the authorities than could be reported by their doctors via the MR
pathway. COMMENTARY: A commentary on this article appears in this issue on page
287.
PMID- 26564386
TI - Nightmares and Suicide in Posttraumatic Stress Disorder: The Mediating Role of
Defeat, Entrapment, and Hopelessness.
AB - STUDY OBJECTIVES: Although nightmares appear to be related to suicidal behaviors,
the mechanisms which underpin this relationship are unknown. We sought to address
this gap by examining a multiple mediation hypothesis whereby nightmares were
predicted to have an indirect effect on suicidal behaviors through perceptions of
defeat, entrapment, and hopelessness. METHODS: Data were collected from 91
participants who had experienced trauma and symptoms of posttraumatic stress
disorder (PTSD). Nightmares were measured by summing the frequency and intensity
ratings of relevant items on the Clinician-Administered PTSD Scale. Participants
also completed questionnaire measures of suicidal behavior, hopelessness, defeat,
and entrapment. Given the interrelations between insomnia, PTSD, and suicide, a
measure of insomnia was included as a covariate. Furthermore, analyses were
conducted with and without those participants who had comorbid depression.
RESULTS: Suicidal behaviors were higher in those participants who experienced
nightmares (62%), in comparison to those who did not (20%). Bootstrapped analyses
provided support for the hypothesized multistep mediational model. Specifically,
nightmares were both directly and indirectly associated with suicidal behaviors,
through perceptions of defeat, entrapment, and hopelessness, independent of
comorbid insomnia and depression. CONCLUSIONS: For the first time we show that
the relationship between nightmares and suicidal behaviors is partially mediated
by a multistep pathway via defeat, entrapment, and hopelessness. Clinically, our
work highlights the importance of monitoring and targeting nightmares and
perceptions of defeat, entrapment, and hopelessness when working with clients who
have experienced trauma. COMMENTARY: A commentary on this article appears in this
issue on page 289.
PMID- 26564387
TI - Cataplexy with Normal Sleep Studies and Normal CSF Hypocretin: An Explanation?
AB - Patients with narcolepsy usually develop excessive daytime sleepiness (EDS)
before or coincide with the occurrence of cataplexy, with the latter most
commonly associated with low cerebrospinal fluid (CSF) hypocretin-1 levels.
Cataplexy preceding the development of other features of narcolepsy is a rare
phenomenon. We describe a case of isolated cataplexy in the context of two non
diagnostic multiple sleep latency tests and normal CSF-hypocretin-1 levels (217
pg/mL) who gradually developed EDS and low CSF-hypocretin-1 (< 110 pg/mL).
PMID- 26564389
TI - Noninvasive Ventilation Adherence in Youth with Nocturnal Hypoventilation
Secondary to Obesity or Neuromuscular Disorder: It Takes A Village.
PMID- 26564388
TI - Individuals with Autism Spectrum Disorders Have Equal Success Rate But Require
Longer Periods of Systematic Desensitization than Control Patients to Complete
Ambulatory Polysomnography.
AB - STUDY OBJECTIVES: Polysomnography (PSG) is the gold standard for the assessment
of sleep, yet the extensive apparatus required for monitoring with PSG can be
difficult to tolerate, particularly in children. Clinical populations, such as
those with anxiety or tactile sensitivity, may have even greater difficulty
tolerating the PSG equipment. This study evaluated an innovative protocol for
obtaining full PSG in individuals diagnosed autism spectrum disorders (ASD) or
developmental delay (DD), as well as typically developing controls (TD). The
primary aim was to assess whether this protocol was equally successful for
obtaining PSG between these groups. METHODS: One hundred sixty-one individuals
were recruited for participation; 93 with a diagnosis of ASD, 23 with a diagnosis
of DD, and 45 TD. The participants and families were instructed on a procedure of
systematic desensitization to the ambulatory PSG equipment; PSG was performed in
the home of the participant. RESULTS: PSG was successfully attained in 144
(89.4%) participants. There was no difference in completion rate by diagnosis (p
= 0.1), though younger age (p = 0.018) and duration of desensitization (p =
0.024) did predict PSG failure. Further, it was found that individuals with ASD
took longer to desensitize to the equipment (16.08 d), than those with DD (8.04
d) or TD (0.98 d). CONCLUSIONS: Systematic desensitization to PSG equipment, in
combination with PSG completed in the home, allows for individuals with ASD to be
equally successful in completing PSG, though they do take longer to acclimate to
the equipment.
PMID- 26564390
TI - [Laron syndrome: Presentation, treatment and prognosis].
AB - Laron syndrome is a rare cause of short stature due to an abnormality of growth
hormone receptor (GHR). It is characterized by poor phenotype-genotype
correlation and geographic predilection essentially in the Mediterranean rim, the
Middle East and Indian subcontinent. This syndrome corresponds to an endogenous
and exogenous complete insensitivity of GH and manifests by early hypoglycemia,
an extremely severe short stature and dysmorphic features contrasting with high
levels of circulating GH. To date, treatment with recombinant IGF1 is the only
treatment option that has improved the terrible prognosis in these patients but
does not actually realize the conditions for genuine replacement therapy.
PMID- 26564391
TI - Neurophysiological mechanism of possibly confounding peripheral activation of the
facial nerve during corticobulbar tract monitoring.
AB - OBJECTIVE: To improve the recognition and possibly prevent confounding peripheral
activation of the facial nerve caused by leaking transcranial electrical
stimulation (TES) current during corticobulbar tract monitoring. METHODS: We
applied a single stimulus and a short train of electrical stimuli directly to the
extracranial portion of the facial nerve. We compared the peripherally elicited
compound muscle action potential (CMAP) of the facial nerve with the responses
elicited by TES during intraoperative monitoring of the corticobulbar tract.
RESULTS: A single stimulus applied directly to the facial nerve at subthreshold
intensities did not evoke a CMAP, whereas short trains of subthreshold stimuli
repeatedly evoked CMAPs. This is due to the phenomenon of sub- or near-threshold
super excitability of the cranial nerve. Therefore, the facial responses evoked
by short trains TES, when the leaked current reaches the facial nerve at sub- or
near-threshold intensity, could lead to false interpretation. CONCLUSIONS: Our
results revealed a potential pitfall in the current methodology for facial
corticobulbar tract monitoring that is due to the activation of the facial nerve
by subthreshold trains of stimuli. This study proposes a new criterion to exclude
peripheral activation during corticobulbar tract monitoring. SIGNIFICANCE: The
failure to recognize and avoid facial nerve activation due to leaking current in
the peripheral portion of the facial nerve during TES decreases the reliability
of corticobulbar tract monitoring by increasing the possibility of false
interpretation.
PMID- 26564392
TI - A Simple Mouse Model for the Study of Human Immunodeficiency Virus.
AB - Humanized mouse models derived from immune-deficient mice have been the primary
tool for studies of human infectious viruses, such as human immunodeficiency
virus (HIV). However, the current protocol for constructing humanized mice
requires elaborate procedures and complicated techniques, limiting the supply of
such mice for viral studies. Here, we report a convenient method for constructing
a simple HIV-1 mouse model. Without prior irradiation, NOD/SCID/IL2Rgamma-null
(NSG) mice were intraperitoneally injected with 1 * 10(7) adult human peripheral
blood mononuclear cells (hu-PBMCs). Four weeks after PBMC inoculation, human
CD45(+) cells, and CD3(+)CD4(+) and CD3(+)CD8(+) T cells were detected in
peripheral blood, lymph nodes, spleen, and liver, whereas human CD19(+) cells
were observed in lymph nodes and spleen. To examine the usefulness of hu-PBMC
inoculated NSG (hu-PBMC-NSG) mice as an HIV-1 infection model, we intravenously
injected these mice with dual-tropic HIV-1DH12 and X4-tropic HIV-1NL4-3 strains.
HIV-1-infected hu-PBMC-NSG mice showed significantly lower human CD4(+) T cell
counts and high HIV viral loads in the peripheral blood compared with noninfected
hu-PBMC-NSG mice. Following highly active antiretroviral therapy (HAART) and
neutralizing antibody treatment, HIV-1 replication was significantly suppressed
in HIV-1-infected hu-PBMC-NSG mice without detectable viremia or CD4(+) T cell
depletion. Moreover, the numbers of human T cells were maintained in hu-PBMC-NSG
mice for at least 10 weeks. Taken together, our results suggest that hu-PBMC-NSG
mice may serve as a relevant HIV-1 infection and pathogenesis model that could
facilitate in vivo studies of HIV-1 infection and candidate HIV-1 protective
drugs.
PMID- 26564393
TI - Winter wheat fertilized with biogas residue and mining waste: yielding and the
quality of grain.
AB - BACKGROUND: Environmental protection problems and efforts to increase
agricultural productivity result in attempts to use various organic and mineral
wastes as fertilizers. This study aimed to determine the effect of biogas residue
(BR) and mining waste (MS) fertilization on wheat yield as well as the
nutritional and pro-health quality of grains produced. RESULTS: After the
application of MS and BR wheat grain yield was increased compared to unfertilized
wheat. The highest grain yield and thousand-grain weight were obtained after
MS+BR fertilization. Grains of wheat fertilized with BR and MS+BR contained
significantly more protein and wet gluten compared to no fertilization and
conventional fertilization (NPK). The studied conditions did not statistically
affect starch content. BR fertilization significantly increased the total
phenolic content; however, grains of wheat fertilized with NPK were characterized
by the highest content of flavonoids and syringic, p-coumaric and sinapic acids.
Compared to NPK, waste fertilizations positively affect the reducing power and
antiradical activity of wheat. CONCLUSION: These results suggest that the wastes
evaluated can be an alternative to conventional fertilization in the soil tested
that without any significant deterioration and in some cases significant
improvement of yield and wheat quality. (c) 2015 Society of Chemical Industry.
PMID- 26564394
TI - How important is the relative balance of fat and carbohydrate as sources of
energy in relation to health?
AB - Both the intake of fat, especially saturated trans fatty acids, and refined
carbohydrates, particularly sugar, have been linked to increased risk of obesity,
diabetes and CVD. Dietary guidelines are generally similar throughout the world,
restrict both intake of SFA and added sugar to no more than 10 and 35 % energy
for total fat and recommend 50 % energy from carbohydrates being derived from
unrefined cereals, tubers, fruit and vegetables. Current evidence favours partial
replacement of SFA with PUFA with regard to risk of CVD. The translation of these
macronutrient targets into food-based dietary guidelines is more complex because
some high-fat foods play an important part in meeting nutrient requirements as
well as influencing the risk of chronic disease. Some of the recent controversies
surrounding the significance of sugar and the type of fat in the diet are
discussed. Finally, data from a recently published randomised controlled trial
are presented to show the impact of following current dietary guidelines on
cardiovascular risk and nutrient intake compared with a traditional UK diet.
PMID- 26564395
TI - Developing the Saegusa-Ito Cyclisation for the Synthesis of Difluorinated
Cyclohexenones.
AB - Palladium(II)-catalysed cycloalkenylation (Saegusa-Ito cyclisation) has been used
for the first time to transform difluorinated silylenol ethers to difluorinated
cycloalkenones under mild conditions. The silylenol ether precursors were
prepared in two high-yielding steps from trifluoroethanol, and cyclised in
moderate to good yields. A combination of air and copper(I) chloride in
acetonitrile gave the turnover of the initial palladium(II) salt, whereas the
provision of an oxygen atmosphere ensured more rapid reaction. Annulations
required a minimum level of substitution on the chain, but failed when the alkene
was substituted. Annelations allowed a range of n,6-bicyclic systems to be
prepared and afforded three products, in which heterocycles were fused to the new
cyclohexenone. The least substituted system explored underwent cyclisation
followed by terminal oxidation to a cyclic enal, which corresponded to a Wacker
product of unusual regiochemistry.
PMID- 26564396
TI - Carbon Dioxide Sequestration by Using a Model Carbonic Anhydrase Complex in
Tertiary Amine Medium.
AB - Globally, the elevation of carbon dioxide (CO2 ) levels due to the anthropogenic
effect poses a serious threat to the ecosystem. Hence, it is important to control
and/or mitigate the level of CO2 in the atmosphere, which necessitates novel
tools. Herein, it is proposed to improve CO2 sequestration by using model
complexes based on the enzyme carbonic anhydrase (CA) in aqueous tertiary amine
medium. The effect of substituents on the model CA model complexes on CO2
absorption and desorption was determined by using a stopped-flow
spectrophotometer to follow pH changes through coupling to pH indicator and a
continuous stirred-tank reactor (CSTR). The CO2 hydration rate constants were
determined under basic conditions and compound 6, which contained a hydrophilic
group, showed the highest absorption or hydration levels of CO2 (2.860*10(3) L
mol(-1) s(-1) ). In addition, CSTR results for the absorption and desorption of
CO2 suggest that simple model CA complexes could be used in post-combustion
processing.
PMID- 26564398
TI - Programmed cell death 50 (and beyond).
AB - In the 50 years since we described cell death as 'programmed,' we have come far,
thanks to the efforts of many brilliant researchers, and we now understand the
mechanics, the biochemistry, and the genetics of many of the ways in which cells
can die. This knowledge gives us the resources to alter the fates of many cells.
However, not all cells respond similarly to the same stimulus, in either
sensitivity to the stimulus or timing of the response. Cells prevented from dying
through one pathway may survive, survive in a crippled state, or die following a
different pathway. To fully capitalize on our knowledge of cell death, we need to
understand much more about how cells are targeted to die and what aspects of the
history, metabolism, or resources available to individual cells determine how
each cell reaches and crosses the threshold at which it commits to death.
PMID- 26564399
TI - 9th Tuscany Retreat on Cancer Research: genetic profiling, resistance mechanisms
and novel treatment concepts in cancer.
PMID- 26564401
TI - Efficient stereoselective synthesis of 2-acetamido-1,2-dideoxyallonojirimycin
(DAJNAc) and sp(2)-iminosugar conjugates: Novel hexosaminidase inhibitors with
discrimination capabilities between the mature and precursor forms of the enzyme.
AB - Due to their capacity to inhibit hexosaminidases, 2-acetamido-1,2-dideoxy
iminosugars have been widely studied as potential therapeutic agents for various
diseases. An efficient stereoselective synthesis of 2-acetamido-1,2
dideoxyallonojirimycin (DAJNAc), the most potent inhibitor of human placenta beta
N-acetylglucosaminidase (beta-hexosaminidase) among the epimeric series, is here
described. This novel procedure can be easily scaled up, providing enough
material for structural modifications and further biological tests. Thus, two
series of sp(2)-iminosugar conjugates derived from DAJNAc have been prepared,
namely monocyclic DAJNAc-thioureas and bicyclic 2-iminothiazolidines, and their
glycosidase inhibitory activity evaluated. The data evidence the utmost
importance of developing diversity-oriented synthetic strategies allowing
optimization of electrostatic and hydrophobic interactions to achieve high
inhibitory potencies and selectivities among isoenzymes. Notably, strong
differences in the inhibition potency of the compounds towards beta
hexosaminidase from human placenta (mature) or cultured fibroblasts (precursor
form) were encountered. The ensemble of data suggests that the ratio between
them, and not the inhibition potency towards the placenta enzyme, is a good
indication of the chaperoning potential of TaySachs disease-associated mutant
hexosaminidase.
PMID- 26564402
TI - Treatment pattern of contemporary dual antiplatelet therapies after acute
coronary syndrome: a Swedish nationwide population-based cohort study.
AB - OBJECTIVES: New dual antiplatelet therapies (DAPTs) have been introduced in
clinical practice for patients with acute coronary syndrome (ACS). This
nationwide study investigated DAPT patterns over time and patient characteristics
associated with the various treatments in a population with ACS. DESIGN: This
observational cohort study linked morbidity, mortality and medication data from
Swedish national registries. RESULTS: Overall, 91% (104 012 patients) of all
patients admitted to the hospital with an ACS (2009-2013) were alive after
discharge and included in this study. Compared with 2009, in 2013 patients
investigated with angiography increased by 10%, patients revascularized with
percutaneous coronary intervention (PCI) increased by 11% and patients prescribed
DAPT increased by 8%. Mean DAPT duration increased from 225 to 298 days in
patients investigated with angiography, and from 155 to 208 days in patients who
were not investigated with angiography. Furthermore, in patients undergoing
angiography a treatment switch from clopidogrel to ticagrelor was observed. DAPT
with prasugrel was used to a low extent. Approximately 10% of patients initiated
on prasugrel or ticagrelor switched to clopidogrel during the first year of
treatment. CONCLUSION: During the study more patients underwent angiography and
PCI. There was an increase in the proportion of ACS patients receiving DAPT, as
well as longer duration of DAPT in line with ESC guidelines. Among DAPT-treated
patients, ticagrelor has emerged as the preferred P2Y12 antagonist in patients
undergoing angiography, whereas clopidogrel tended to be prescribed to patients
treated non-invasively.
PMID- 26564400
TI - An RNA interference screen identifies new avenues for nephroprotection.
AB - Acute kidney injury is a major public health problem, which is commonly caused by
renal ischemia and is associated with a high risk of mortality and long-term
disability. Efforts to develop a treatment for this condition have met with very
limited success. We used an RNA interference screen to identify genes (BCL2L14,
BLOC1S2, C2ORF42, CPT1A, FBP1, GCNT3, RHOB, SCIN, TACR1, and TNFAIP6) whose
suppression improves survival of kidney epithelial cells in in vitro models of
oxygen and glucose deprivation. Some of the genes also modulate the toxicity of
cisplatin, an anticancer agent whose use is currently limited by nephrotoxicity.
Furthermore, pharmacological inhibition of TACR1 product NK1R was protective in a
model of mouse renal ischemia, attesting to the in vivo relevance of our
findings. These data shed new light on the mechanisms of stress response in
mammalian cells, and open new avenues to reduce the morbidity and mortality
associated with renal injury.
PMID- 26564403
TI - Truncated seasonal activity patterns of the western blacklegged tick (Ixodes
pacificus) in central and southern California.
AB - Patterns of seasonal activity and density of host-seeking western blacklegged
ticks, Ixodes pacificus, were investigated in central and southern California.
Weekly to monthly drag sampling was undertaken at two sites in Santa Barbara
County and one site in Los Angeles County over multiple years. Adult I. pacificus
became active in the winter (late November) and were rare or absent by late April
to early May. Nymphal ticks became active in early to late February, were absent
by early May to early June, and were rarely encountered using the drag method
throughout their period of peak seasonal activity. Larval ticks became active
earlier in the season, or at the same time as nymphs (early to late February) and
were absent by early May. These results suggest a highly truncated period of I.
pacificus seasonal questing activity, particularly apparent in the juvenile tick
stages, in central and southern California relative to observed patterns in Lyme
endemic northwestern California. Notably, the highly truncated period of questing
activity of the juvenile stages has important implications for pathogen
transmission dynamics in that there exists only a brief window for horizontally
transmitted pathogens to be acquired by one tick cohort and subsequently
transmitted, through hosts, to the next tick cohort in this system. The broader
patterns observed also suggest low human risk of tick-borne disease in central
and southern California, and have implications for reduced tick-borne disease
risk in the western US more generally under projected climate change.
PMID- 26564404
TI - The PERS(2) ON score for systemic assessment of symptomatology in palliative
care: a pilot study.
AB - The comprehensive assessment of symptoms is the basis for effective,
individualised palliative treatment. Established scoring systems provide in-depth
information but are often lengthy and hence unsuitable. We introduce the PERS(2)
ON score as a short and practically feasible score to evaluate symptom burden.
Fifty patients admitted to a Palliative Care Unit rated seven items, i.e. pain,
eating (loss of appetite/weight loss), rehabilitation (physical impairment),
social situation (possibility for home care), suffering (anxiety/burden of
disease/depression), O2 (dyspnoea) and nausea/emesis, on a scale ranging from 0
(absence) to 10 (worst imaginable), resulting in a score ranging from 0 to 70.
Assessments were performed at admission, 7 days after admission and at the day of
discharge. Symptom intensity scores were calculated, and change over time was
evaluated. A significant improvement was observed from the PERS2ON score between
admission and 7 days (P < 0.001; paired t-test). Significant improvement from
baseline evaluation to evaluation on the day of discharge was observed (P =
0.001; paired t-test). This study provides initial evidence that the PERS2ON
score is both feasible and sensitive to changes of the most prominent symptoms in
palliative care. It may be useful in clinical practice to direct palliative
treatment strategies and provide targeted symptom management.
PMID- 26564405
TI - Actions of thrombin in the interstitium.
AB - Thrombin is a pleiotropic enzyme best known for its contribution to fibrin
formation and platelet aggregation during vascular hemostasis. There is
increasing evidence to suggest a role for thrombin in the development of
interstitial fibrosis, but interstitial thrombin has not been demonstrated by the
direct determination of activity. Rather its presence is inferred by products of
thrombin action such as fibrin and activated fibroblasts. This review will focus
on possible mechanisms of thrombin formation in the interstitial space, the
possible actions of thrombin, processes regulating thrombin activity in the
interstitial space, and evidence supporting a role for thrombin in fibrosis.
PMID- 26564406
TI - Expression, characterization of a novel nitrilase PpL19 from Pseudomonas
psychrotolerans with S-selectivity toward mandelonitrile present in active
inclusion bodies.
AB - OBJECTIVES: To identify a novel nitrilase with S-selectivity toward
mandelonitrile that can produce (S)-mandelic acid in one step. RESULTS: A novel
nitrilase PpL19 from Pseudomonas psychrotolerans L19 was discovered by genome
mining. It showed S-selectivity with an enantiomeric excess of 52.7 % when used
to hydrolyse (R, S)-mandelonitrile. No byproduct was observed. PpL19 was
overexpressed in Escherichia coli BL21 (DE3) and formed inclusion bodies that
were active toward mandelonitrile and stable across a broad range of temperature
and pH. In addition, PpL19 hydrolysed nitriles with diverse structures;
arylacetonitriles were the optimal substrates. Homology modelling and docking
studies of both enantiomers of mandelonitrile in the active site of nitrilase
PpL19 shed light on the enantioselectivity. CONCLUSIONS: A novel nitrilase PpL19
from P. psychrotolerans L19 was mined and distinguished from other nitrilases as
it was expressed as an active inclusion body and showed S-selectivity toward
mandelonitrile.
PMID- 26564407
TI - Synergistic enhancement of bone regeneration by LMP-1 and HIF-1alpha delivered by
adipose derived stem cells.
AB - OBJECTIVES: To investigate the effect of the combination of LMP-1 and HIF-1alpha
delivered by adipose-derived stem cells (ADSCs) on osteogenesis in vitro and in
vivo. RESULTS: Cells expressing both LMP-1 and HIF-1alpha genes had elevated mRNA
expression of BMP-2, RunX2, alkaline phosphatase, osteocalcin, collagen I and
alkaline phosphatase activity compared to cells from other groups. Furthermore,
mineralization at day 14 in the cells expressing both LMP-1 and HIF-1alpha was
significantly higher than in all the other groups. In vivo, H&E staining and
immunohistochemical analysis of the cell-scaffolds also showed more ectopic bone
formation at 4 weeks compared to other groups. More new vessel formation was
apparent in the pLVX-rHIF-1alpha and pLVX-rLMP-1-rHIF-1alpha groups. CONCLUSION:
LMP-1 and HIF-1alpha gene delivery synergistically enhanced the osteo
differentiation of ADSCs in vitro and promoted osteogenesis in vivo compared with
LMP-1 alone or HIF-1alpha alone.
PMID- 26564408
TI - Approaches for recombinant human factor IX production in serum-free suspension
cultures.
AB - OBJECTIVE: To establish a serum-free suspension process for production of
recombinant human factor IX (rhFIX) based on the human cell line HEK 293T by
evaluating two approaches: (1) serum-free suspension adaptation of previously
genetic modified cells (293T-FIX); and (2) genetic modification of cells already
adapted to such conditions (293T/SF-FIX). RESULTS: After 10 months, 293T-FIX
cells had become adapted to FreeStyle 293 serum-free medium (SFM) in Erlenmeyer
flasks. After 48 and 72 h of culture, 2.1 ug rhFIX/ml and 3.3 ug rhFIX/ml were
produced, respectively. However, no biological activity was detected. In the
second approach, wild-type 293T cells were adapted to the same SFM (adaptation
process took only 2 months) and then genetically modified for rhFIX production.
After 48 h of culture, rhFIX reached 1.5 ug/ml with a biological activity of 0.2
IU/ml, while after 72 h, the production was 2.4 ug/ml with a biological activity
of 0.3 IU/ml. CONCLUSION: The findings demonstrate that the best approach to
establish an rhFIX production process in suspension SFM involves the genetic
modification of cells already adapted to the final conditions. This approach is
time saving and may better ensure the quality of the produced protein.
PMID- 26564409
TI - A cold-adapted and glucose-stimulated type II alpha-glucosidase from a deep-sea
bacterium Pseudoalteromonas sp. K8.
AB - OBJECTIVES: To express and characterize a putative alpha-glucosidase, Pagl, from
Pseudoalteromonas sp. K8 obtained via genome mining approach. RESULTS: Pagl was
expressed and purified to homogeneity, with a molecular mass of 60 kDa. It was
optimally active at pH 8.5 and 30 degrees C, and showed cold-adapted activity.
Pagl exhibited specific activity towards substrates with alpha-1,4-linkage, with
the highest specific activity of 19.4 U/mg for maltose, followed by pNPalphaG and
maltodextrins, suggesting that Pagl belongs to the type II alpha-glucosidase.
Interestingly, the activity of Pagl is significantly enhanced (2.7 times) in the
presence of 200 mM glucose. CONCLUSION: The unique catalytic properties of Pagl
make it an attractive candidate for several industrial applications.
PMID- 26564410
TI - Resolution of P-stereogenic P-heterocycles via the formation of diastereomeric
molecular and coordination complexes (a review).
AB - TADDOL derivatives and the Ca(2+)-salts of tartaric acid derivatives were found
to be versatile and generally applicable resolving agents for the preparation of
the enantiomers of P-stereogenic heterocyclic phosphine oxides and phosphinates
via the formation of the corresponding diastereomeric molecular and coordination
complexes. A few of the diastereomeric intermediates were characterized by single
crystal X-ray crystallography to gain insights into the binding mode of the
corresponding heterocyclic phosphine oxide ("guest") and the resolving agent
("host") and to study the underlying phenomenon of enantiomeric recognition.
PMID- 26564411
TI - Propofol Affects Different Human Brain Regions Depending on Depth of Sedation(?).
AB - OBJECTIVE: To investigate the effect of propofol on brain regions at different
sedation levels and the association between changes in brain region activity and
loss of consciousness using blood oxygen level-dependent functional magnetic
resonance imaging (BOLD-fMRI) and bispectral index (BIS) monitoring. METHODS:
Forty-eight participants were enrolled at Peking Union Medical College Hospital
from October 2011 to March 2012 and randomly assigned to a mild or a deep
sedation group using computer- generated random numbers. Preliminary tests were
performed a week prior to scanning to determine target effect site concentrations
based on BIS and concomitant Observer's Assessment of Alertness/Sedation scores
while under propofol. Within one week of the preliminary tests where propofol
dose-response was established, BOLD-fMRI was conducted to examine brain
activation with the subject awake, and with propofol infusion at the sedation
level. RESULTS: Mild propofol sedation inhibited left inferior parietal lobe
activation. Deep sedation inhibited activation of the left insula, left superior
temporal gyrus, and right middle temporal gyrus. Compared with mild sedation,
deep propofol sedation inhibited activation of the left thalamus, precentral
gyrus, anterior cingulate, and right basal nuclei. CONCLUSION: Mild and deep
propofol sedation are associated with inhibition of different brain regions,
possibly explaining differences in the respective loss of consciousness
processes.
PMID- 26564412
TI - Outcomes of T3a Prostate Cancer with Unfavorable Prognostic Factors Treated with
Brachytherapy Combined with External Radiotherapy and Hormone Therapy.
AB - OBJECTIVE: To evaluate the outcomes of T3a prostate cancer with unfavorable
prognostic factors treated with permanent interstitial brachytherapy combined
with external radiotherapy and hormone therapy. METHODS: From January 2003 to
December 2008, 38 patients classified as T3a prostate cancer with unfavorable
prognostic factors were treated with trimodality therapy (brachytherapy +
external radiotherapy + hormone therapy). The prescription dose of brachytherapy
and external radiotherapy were 110 Gy and 45 Gy, respectively. The duration of
hormone therapy was 2-3 years. The endpoints of this study included biochemical
failure-free survival (BFFS), distant metastasis-free survival (DMFS), cancer
specific survival (CSS), and overall survival (OS). Survival curves were
calculated using the Kaplan-Meier method. The Log-rank test was used to identify
the prognostic predictors for univariate analysis. RESULTS: The median follow-up
was 71 months. The serum pre-treatment prostate-specific antigen (PSA) level
ranged from 10.0 to 99.8 ng/ml (mean 56.3 ng/ml), the Gleason score ranged from 5
to 9 (median 8), and the percentage of positive biopsy cores ranged from 10% to
100% (mean 65%). The 5-year BFFS, DMFS, CSS, and OS rates were 44%, 69%, 82%, and
76%, respectively. All biochemical failures occurred within 40 months. The
percentage of positive biopsy cores was significantly correlated with BFFS, DMFS,
and OS (all P=0.000), and the Gleason score with DMFS (P=0.000) and OS (P=0.001).
CONCLUSIONS: T3a prostate cancer with unfavorable prognostic factors presents not
so optimistic outcome. Hormone therapy should be applied to prolong the
biochemical progression-free or metastasis-free survival. The percentage of
positive biopsy cores and the Gleason score are significant prognostic factors.
PMID- 26564413
TI - Accuracy of a Simple Digital Templating in Primary Uncemented Total Hip
Arthroplasty.
AB - OBJECTIVE: To introduce a simple preoperative digital templating in uncemented
total hip arthroplasty (THA) and to determine its accuracy for preoperative size
selection of the prostheses. METHODS: A prospective study of digital planned THAs
was performed on 90 hips with different types of prostheses from August 2013 to
Angust 2014. The plastic templates of different types of prostheses were scanned
for desired digital templating and the scanned images were then processed to the
transparent, digital templates using Photoshop software. We calibrated the scales
of the digital templates with the preoperative radiographs, and then selected the
right size of the component. The preoperatively digital planned component sizes
were compared to the actual sizes used in the operation, as well as the
preoperatively traditional planned sizes. RESULTS: In either cup or stem
templating, no difference was found among the groups with different types of
prostheses, and the accuracy of digital templating was statistically higher than
traditional templating. In cup templating, digital planned and implanted cup
sizes were identical in 43.33% of the cases, 78.89% of the cup sizes were
predicted within 1 size (+/- 2mm) and 95.56% were predicted within 2 sizes (+/- 4
mm), all significantly higher than the results of traditional planned sizes
(30.00%, P=0.044; 61.11%, P=0.007; 82.22%, P=0.004). The exact femoral stem size
was predicted in 40.00% of cases using the digital templating, 84.44% of stem
sizes were predicted within 1 size, and 93.33% within 2 sizes, better than using
traditional templating (25.56%, P=0.028; 53.33%, P<0.001; 77.77%, P=0.003).
CONCLUSION: This simple digital preoperative templating is an accurate and
reproducible process to preoperatively predict the implant size of uncemented
THA.
PMID- 26564414
TI - Placement of a Long Intestinal Tube in Patients with Early Postoperative Small
Bowel Obstruction under Fluoroscopic Guidance.
AB - OBJECTIVE: To investigate the placement of a long tube into the small intestine
under fluoroscopic guidance and to evaluate its decompression effect on early
postoperative small bowel obstruction (EPSBO). METHODS: Fifty-four patients with
EPSBO requiring decompression between April 2010 and July 2014 were enrolled in
the study. Insertion of a long tube was guided by fluoroscopy. We first used the
guide wire to pass the pylorus and then used the 10 Fr feeding tube as an
exchangeable tube to put the superstiff wire into the duodenum. Finally the long
tube could be passed over the guide wire through the pylorus into the intestine.
The total procedure time, the radiation exposure time, and the incidence of
complications were evaluated. RESULTS: The long tubes passed into the jejunum on
initial insertion for all patients, so the success rate of this technique was
100%. The long tube was inserted into ileum in 18 patients. The mean total
procedure time was 34.4 +/- 8.6 minutes, and the mean radiation exposure time
18.9 +/- 6.8 minutes. A total of 47 patients (87%) experienced full recovery
following long-tube decompression and without the need for surgical intervention.
CONCLUSIONS: Using the wire-exchange technique, it is easy to place a long tube
into the small bowel under fluoroscopic guidance. This decompression method is
safe and effective for management of EPSBO.
PMID- 26564415
TI - Features of Computed Tomography Perfusion of Mediastinal Lymphadenopathies: a
Pathology-based Retrospective Study.
AB - OBJECTIVE: To explore the features of various mediastinal lymphadenopathies using
computed tomography perfusion (CTP). METHODS: CTP parameters (CTPs) of the
selected mediastinal nodes from 59 patients with pathology-proven malignant lymph
nodes and of those from 29 patients with clinically diagnosed or pathology-proven
inflammatory lymphadenopathies were collected. Patients were divided into
subgroups by etiology and phase of primary disease, including different
pathological malignant nodes and diverse inflammatory nodes. CTPs were defined as
blood flow (BF), blood volume (BV), mean transit time (MTT), permeability (PMB),
and time to peak (TTP). Differences of CTPs were compared between malignant and
benign nodes, and among subgroups, respectively. RESULTS: In the mediastinum, no
significant differences of CTPs were found between malignant and benign groups
(all P>0.05), the same for subgroups of malignant nodes (all P>0.05). Acute
lymphadenitis had higher BF and BV than chronic inflammatory, lymphoid
tuberculosis, sarcoidosis and malignant nodes. The BF of malignant nodes was
markedly slower than that of acute lymphadenitis (P=0.01), but faster than
chronic inflammatory nodes (P=0.04) and sarcoidosis (P=0.03), with no significant
difference compared with lymphoid tuberculosis. Pneumonia-complicated lymphoid
tuberculosis showed the longest MTT while sarcoidosis displayed the shortest MTT,
and inflammatory nodes, lymphoid tuberculosis without complicated pneumonia and
malignant nodes had moderate MTT. CONCLUSION: CTPs show promising potential in
distinguishing various lymphadenopathies in the mediastinum, but more studies are
needed to improve their specificity.
PMID- 26564416
TI - Cerebrospinal Fluid Biomarkers in Dementia Patients with Cerebral Amyloid
Angiopathy.
AB - OBJECTIVE: To study the changes of biomarkers in cerebrospinal fluid (CSF) in
cerebral amyloid angiopathy (CAA) dementia and Alzheimer(')s disease. METHODS:
Levels of amyloid protein beta (Abeta42, Abeta40) and phosphorylated Tau-protein
(P-tau) in CSF and ratio of Abeta42/Abeta40 were tested in 5 cases with CAA
dementia and 20 cases with Alzheimer's disease collected at Peking Union Medical
College Hospital from December 2001 to March 2011. RESULTS: The levels of
Abeta42, Abeta40, and P-tau in CSF and ratio of Abeta42/Abeta40 were (660.4 +/-
265.2) ng/L, (7111.0 +/- 1033.4) ng/L, (71.8 +/- 51.5) ng/L, and 0.077 +/- 0.033,
respectively in CAA dementia and (663.6 +/- 365.6) ng/L, (5115.0 +/- 2931.1)
ng/L, (47.7 +/- 38.8) ng/L, and 0.192 +/- 0.140, respectively in Alzheimer's
disease patients. There were no statistically significant differences between CAA
dementia and Alzheimer's disease in terms of these CSF biomarkers (all P>0.05).
CONCLUSION: Measurements of CSF biomarkers may not be helpful in differential
diagnosis of CAA and Alzheimer's disease.
PMID- 26564417
TI - Double Roots of Mandibular Premolar in Full-mouth Periapical Films.
AB - OBJECTIVE: To evaluate the incidence of two-rooted mandibular premolar morphology
using full-mouth periapical film series in a Chinese population, with particular
emphasis on bilateral incidence, so as to provide a clinical anatomical basis for
root canal treatment in mandibular premolars. METHODS: A total of 2015 patients
who underwent dental treatment and had full mouth periapical radiographs at the
Peking University School of Stomatology from April 2011 to April 2012 were
enrolled in this study. Three experienced dentists reviewed the patients'
periapical films and classified the root morphology of mandibular premolars
bilaterally. The incidence of unilateral and bilateral double roots were recorded
and calculated, including confirmed and suspected bucco-lingual root types.
RESULTS: In terms of the morphology of two-rooted mandibular first premolars, of
the 2015 cases with complete root formation, two-rooted first premolars were
detected in 120 cases, with a total number of 159 teeth. According to the number
of teeth, the overall incidence of double roots was 4.03% (159/3972). In terms of
the morphology of two-rooted mandibular second premolars, of the 2015 cases with
complete root formation, two-rooted second premolars were detected in 24 cases,
with a total number of 33 teeth. According to the number of teeth, the overall
incidence of double roots was 0.85% (33/3880). CONCLUSIONS: The roots of
mandibular premolars display specific morphological patterns. Based on a large
sample, we observed and calculated not only the occurrence rate of bucco-lingual
and mesio-distal double roots in first and second mandibular premolars, but also
the incidence of unilateral or bilateral double roots within the same mandible.
These findings could provide useful information on the anatomical structure of
mandibular premolars for endodontic, prosthodontic and surgical procedures, and
could improve the quality of treatment and reduce complications.
PMID- 26564418
TI - Genetic Effects on Sensorineural Hearing Loss and Evidence-based Treatment for
Sensorineural Hearing Loss.
AB - In this article, the mechanism of inheritance behind inherited hearing loss and
genetic susceptibility in noise-induced hearing loss are reviewed. Conventional
treatments for sensorineural hearing loss (SNHL), i.e. hearing aid and cochlear
implant, are effective for some cases, but not without limitations. For example,
they provide little benefit for patients of profound SNHL or neural hearing loss,
especially when the hearing loss is in poor dynamic range and with low frequency
resolution. We emphasize the most recent evidence-based treatment in this field,
which includes gene therapy and allotransplantation of stem cells. Their
promising results have shown that they might be options of treatment for profound
SNHL and neural hearing loss. Although some treatments are still at the
experimental stage, it is helpful to be aware of the novel therapies and
endeavour to explore the feasibility of their clinical application.
PMID- 26564419
TI - Postpartum Atypical Hemolytic Uremic Syndrome: an Unusual and Severe Complication
Associated with IgA Nephropathy.
PMID- 26564420
TI - Pulmonary Carcinosarcoma with Intracardiac Extension: a Case Report.
PMID- 26564421
TI - Paraneoplastic Dermatomyositis Accompanying Nasopharyngeal Carcinoma.
PMID- 26564422
TI - Serum Myeloperoxidase Level in Systemic Lupus Erythematosus.
PMID- 26564423
TI - Dysfunction in Motor Coordination in Neonatal White Matter Injury Model Without
Apparent Neuron Loss.
AB - We made a white matter injury (WMI) model with mild hindlimb dysfunction by right
common carotid artery occlusion followed by 6% oxygen for 60 min at postnatal day
3 (P3), in which actively proliferating oligodendrocyte (OL) progenitors are
mainly damaged. To know whether this model is appropriate for cell therapy using
OL progenitors, the pathological response to mild hypoxia-ischemia (H-I) in
neurons and OL lineage cells and myelination failure were investigated along with
gene expression analysis. In WMI model rats, coordinated motor function, as
assessed by the accelerating rotarod test, was impaired. The dysfunction was
accompanied by myelination failure in layers I-IV of the sensorimotor cortex.
Although several oligo2-positive OLs stained positive for active caspase 3 in the
cortex and white matter at 24 h after H-I, few NeuN-positive neurons were
apoptotic. Argyrophil-III staining for damaged neurons revealed no increase in
the number of degenerating cells in the model. Moreover, the total number of NeuN
positive neurons in the cortex was comparable to that of controls 7 days later.
Retrograde labeling of the corticospinal tract with Fluoro-Gold revealed no
significant loss of layer V neurons. In addition, no decrease in the numbers of
cortical projecting neurons and layers V-VI neurons in both motor and sensory
areas was observed. Interestingly, the numbers of inhibitory GABAergic cells
immunoreactive for parvalbumin, calretinin, or somatostatin were preserved in the
P26 cortex. Gene expression analysis at P5 revealed 98 upregulated and 65
downregulated genes that may relate to cell survival, myelin loss, and
differentiation of OLs. These data suggest that impaired motor coordination was
not induced by neuron loss but, rather, myelination failure in layers I-IV. As OL
lineage cells are mainly damaged, this WMI model might be useful for cell-based
therapy by replacing OL progenitors.
PMID- 26564425
TI - General Strategy for Enhancing Electrochemiluminescence of Semiconductor
Nanocrystals by Hydrogen Peroxide and Potassium Persulfate as Dual Coreactants.
AB - Semiconductor nanocrystals usually suffer from weak electrogenerated
chemiluminescence (ECL) emissions compared with conventional organic emitters. In
this work, we propose, for the first time, a very convenient but effective way to
greatly enhance ECL emission of semiconductor TiO2 nanotubes (NTs) by H2O2 and
K2S2O8 as dual coreactants, generating ECL emission ca. 6.3 and 107 times
stronger than that of K2S2O8 or H2O2 as an individual coreactant, respectively.
Scanning electron microscopy, X-ray diffraction, and electron paramagnetic
resonance spectral studies were carried out to investigate the ECL enhancement
mechanism. The ECL enhancement of TiO2 NTs by the K2S2O8-H2O2 system was supposed
to originate from the coordination of H2O2 to the TiO2 surface and the synergy
effect between H2O2 and K2S2O8 in the ECL process. The coordination of H2O2 to
the surface of TiO2 could stabilize the electrogenerated coreactant-related
radical OH(*) (hydroxyl radical), which could obviously promote the amount of
sulfate radical anion (SO4(*-)) near the electrode surface by inducing
decomposition of K2S2O8 into SO4(*-) or inhibiting the consumption of SO4(*-) by
its reaction with H2O. The holes (h(+)) released from SO4(*-) were injected into
the valence band of TiO2, resulting in more TiO2(+), which combined with the
electrons coming from the conduction band with an enhanced light emission.
Moreover, this enhancement effect was also applicable to ECL of a CdS nanocrystal
film on a glass carbon electrode, with ca. 2.74- and 148.3-fold enhanced ECL
intensity correspondingly, indicating wide applications in the development of
semiconductor nanocrystal-based ECL biosensors.
PMID- 26564424
TI - Analysis of Dominant HIV Quasispecies Suggests Independent Viral Evolution Within
Spinal Granulomas Coinfected with Mycobacterium tuberculosis and HIV-1 Subtype C.
AB - Extrapulmonary tuberculosis (TB) is a significant public health challenge in
South Africa and worldwide, largely fuelled by the HIV epidemic. In spinal TB,
Mycobacteria infect the spinal column without dissemination to the spinal cord.
The immune microenvironment, target cell characteristics, and other evolutionary
forces within granulomas during HIV/TB coinfection are poorly characterized. We
investigated whether spinal TB granulomas represent a sequestered anatomical site
where independent HIV evolution occurs, and assessed the role of macrophages as a
target cell for both HIV and mycobacteria. RNA was extracted from plasma and
granulomatous tissue from six antiretroviral-naive HIV-1/spinal TB-coinfected
patients, RT-PCR amplified, and the C2-V5 env segment was cloned and sequenced.
Analysis of genetic diversity, phylogeny and coalescence patterns was performed
on clonal sequences. To investigate their role in HIV sequestration, macrophages
and the HIV-1 p24 protein were immune localized and ultrastructural features were
studied. Intercompartment diversity measurements and phylogenetic reconstruction
revealed anatomically distinct monophyletic HIV-1 clusters in four of six
patients. Genotypic CCR5-tropic variants were predominant (98.9%) with
conservation of putative N-linked glycosylation sites in both compartments.
CD68(+) reactivity was associated with higher tissue viral load (r = 1.0; p <
0.01) but not greater intrapatient diversity (r = 0.60; p > 0.05).
Ultrastructural imaging revealed the presence of bacterial and virus-like
particles within membrane-bound intracellular compartments of macrophages. Spinal
tuberculosis granulomas may form anatomically discreet sites of divergent viral
evolution. Macrophages in these granulomas harbored both pathogens, suggesting
that they may facilitate the process of viral sequestration within this
compartment.
PMID- 26564426
TI - Pomegranate peel extract decreases small intestine lipid peroxidation by
enhancing activities of major antioxidant enzymes.
AB - BACKGROUND: Pomegranate peel extract (PPE) contains several compounds with
antioxidative properties. PPE added to foods may interact with endogenous
antioxidants and promote health. However, little is known about the biochemical
mechanisms by which PPE exerts their actions on tissues of biological systems in
vivo. The purpose of this study was to determine the effects of PPE on activities
of antioxidant enzymes. Mice were used to investigate the effects of PPE on
plasma levels of malondialdehyde (MDA), tissue MDA content and activities of
superoxide dismutase 1 (SOD1), SOD2 and glutathione peroxidase (GPX) in the small
intestine, liver and skeletal muscle - different tissues involved in the
digestion, absorption and metabolism of dietary nutrients. Control mice were fed
a standard diet, whereas treated mice were fed for 40 days with the standard diet
containing 5% or 10% PPE. RESULTS: Mice fed the 10% PPE diet exhibited lower
plasma MDA concentrations, reduced content of MDA in the small intestine and
liver and higher levels of SOD1 and GPX activities in the small intestine
compared to mice fed the control diet. CONCLUSIONS: These findings demonstrate
that intake of PPE in diet attenuates small intestine lipid peroxidation and
strengthens the first line of small intestine antioxidant defense by enhancing
enzymatic antioxidative pathways. PPE is worthy of further study as a therapeutic
approach to prevent peroxidative stress-induced gut pathogenesis. (c) 2015
Society of Chemical Industry.
PMID- 26564427
TI - Comparison of Antibiotic Susceptibility Patterns of Bacterial Isolates Based on
Time From Hospitalization and Culture Source: Implications for Hospital
Antibiograms.
AB - We assessed the effects of time from hospitalization and culture source on
bacterial susceptibility profiles. Increasing resistance correlated with
increasing time from hospitalization for all bacterial groups, with 7 days in
hospital representing the best time point for dichotomizing susceptibility rates
rather than 48 hours. Antibiograms based on isolates from any source best
represented susceptibility profiles.
PMID- 26564428
TI - Review: Capripoxvirus Diseases: Current Status and Opportunities for Control.
AB - Lumpy skin disease, sheeppox and goatpox are high-impact diseases of domestic
ruminants with a devastating effect on cattle, sheep and goat farming industries
in endemic regions. In this article, we review the current geographical
distribution, economic impact of an outbreak, epidemiology, transmission and
immunity of capripoxvirus. The special focus of the article is to scrutinize the
use of currently available vaccines to investigate the resource needs and
challenges that will have to be overcome to improve disease control and
eradication, and progress on the development of safer and more effective
vaccines. In addition, field evaluation of the efficacy of the vaccines and the
genomic database available for poxviruses are discussed.
PMID- 26564430
TI - Platelet-rich fibrin: the benefits.
AB - Current published data presents confusing results about the effects of platelet
rich fibrin on bone, and there is a need for studies that throw light on its
effect. Our main objective therefore was to evaluate (by fractal analysis)
osseous regeneration in extraction sockets with and without platelet-rich fibrin
in a study with a substantial sample and a reliable technique to calibrate its
effects on bone cells. We also assessed the soft tissue response. Thirty-four
patients had their bilaterally impacted third molars (68 surgical sites)
extracted in this split-mouth study, following which platelet-rich fibrin was
placed in one of the sockets. Patients were followed up clinically and
radiographically, and a pain score and fractal analysis were used to evaluate
healing of soft tissue and bone, respectively. We conclude that platelet-rich
fibrin improves healing of both soft and hard tissues. Although osseous healing
did not differ significantly between the groups, healing of soft tissue as judged
by the pain score was significantly better in the experimental group.
PMID- 26564429
TI - Synergistic tumor suppression by adenovirus-mediated ING4/PTEN double gene
therapy for gastric cancer.
AB - Both inhibitor of growth 4 (ING4) and phosphatase and tensin homolog (PTEN) have
been shown to be strong candidate tumor suppressors. However, the combined
efficacy of ING4 and PTEN for human gastric cancer remains to be determined. In
this report, we constructed a multiple promoter expression cassette-based
recombinant adenovirus coexpressing ING4 and PTEN (AdVING4/PTEN), assessed the
combined effects of AdVING4/PTEN on gastric cancer using wild-type p53 AGS and
SNU-1 human gastric cancer cell lines, and elucidated its underlying mechanisms.
We found that AdVING4/PTEN-induced synergistic growth inhibition and apoptosis in
vitro AGS or SNU-1 tumor cells and in vivo AGS xenografted tumors subcutaneously
inoculated in athymic BALB/c nude mice. Mechanistically, AdVING4/PTEN exhibited
an enhanced effect on upregulation of p53, Ac-p53 (K382), P21, Bax, PUMA, Noxa,
cleaved Caspase-9, cleaved Caspase-3 and cleaved PARP as well as downregulation
of Bcl-2 in vitro and in vivo. In addition, AdVING4/PTEN synergistically
downregulated tumor vessel CD34 expression and reduced microvessel density, and
additively inhibited vascular endothelial growth factor (VEGF) expression in
vivo. The synergistic tumor suppression elicited by AdVING4/PTEN was closely
associated with the synergistic induction of apoptosis possibly via enhancement
of endogenous p53 responses through cooperatively facilitating p53's stability
and acetylation, and the synergistic inhibition of tumor angiogenesis probably
via overlapping reduction of VEGF through cooperatively downregulating hypoxia
inducible factor-1alpha's level and transcription activity. Thus, our results
indicate that cancer gene therapy combining ING4 and PTEN may constitute a novel
and effective therapeutic modality for human gastric cancer and other cancers.
PMID- 26564431
TI - Automated Cross-Sectional Measurement Method of Intracranial Dural Venous
Sinuses.
AB - BACKGROUND AND PURPOSE: MRV is an important blood vessel imaging and diagnostic
tool for the evaluation of stenosis, occlusions, or aneurysms. However, an
accurate image-processing tool for vessel comparison is unavailable. The purpose
of this study was to develop and test an automated technique for vessel cross
sectional analysis. MATERIALS AND METHODS: An algorithm for vessel cross
sectional analysis was developed that included 7 main steps: 1) image
registration, 2) masking, 3) segmentation, 4) skeletonization, 5) cross-sectional
planes, 6) clustering, and 7) cross-sectional analysis. Phantom models were used
to validate the technique. The method was also tested on a control subject and a
patient with idiopathic intracranial hypertension (4 large sinuses tested: right
and left transverse sinuses, superior sagittal sinus, and straight sinus). The
cross-sectional area and shape measurements were evaluated before and after
lumbar puncture in patients with idiopathic intracranial hypertension. RESULTS:
The vessel-analysis algorithm had a high degree of stability with <3% of cross
sections manually corrected. All investigated principal cranial blood sinuses had
a significant cross-sectional area increase after lumbar puncture (P <= .05). The
average triangularity of the transverse sinuses was increased, and the mean
circularity of the sinuses was decreased by 6% +/- 12% after lumbar puncture.
Comparison of phantom and real data showed that all computed errors were <1 voxel
unit, which confirmed that the method provided a very accurate solution.
CONCLUSIONS: In this article, we present a novel automated imaging method for
cross-sectional vessels analysis. The method can provide an efficient
quantitative detection of abnormalities in the dural sinuses.
PMID- 26564432
TI - Protrusion of the Infraorbital Nerve into the Maxillary Sinus on CT: Prevalence,
Proposed Grading Method, and Suggested Clinical Implications.
AB - BACKGROUND AND PURPOSE: The infraorbital nerve arises from the maxillary branch
of the trigeminal nerve and normally traverses the orbital floor in the
infraorbital canal. Sometimes, however, the infraorbital canal protrudes into the
maxillary sinus separate from the orbital floor. We systematically studied the
prevalence of this variant. MATERIALS AND METHODS: We performed a retrospective
review of 500 consecutive sinus CTs performed at our outpatient centers. The
infraorbital nerve protruded into the maxillary sinus if the entire wall of the
infraorbital canal was separate from the walls of the sinus. We recorded the
length of the bony septum that attached the infraorbital canal to the wall of the
maxillary sinus and noted whether the protrusion was bilateral. We also measured
the distance from the inferior orbital rim where the infraorbital canal begins to
protrude into the sinus. RESULTS: There was a prevalence of 10.8% for
infraorbital canal protrusion into the maxillary sinus and 5.6% for bilateral
protrusion. The median length of the bony septum attaching the infraorbital canal
to a maxillary sinus wall, which was invariably present, was 4 mm. The median
distance at which the infraorbital nerve began to protrude into the sinus was 11
mm posterior to the inferior orbital rim. CONCLUSIONS: Although this condition
has been reported in only 3 patients previously, infraorbital canal protrusion
into the maxillary sinus was present in >10% of our cohort. Identification of
this variant on CT could help a surgeon avoid patient injury.
PMID- 26564433
TI - Revised Recommendations of the Consortium of MS Centers Task Force for a
Standardized MRI Protocol and Clinical Guidelines for the Diagnosis and Follow-Up
of Multiple Sclerosis.
AB - An international group of neurologists and radiologists developed revised
guidelines for standardized brain and spinal cord MR imaging for the diagnosis
and follow-up of MS. A brain MR imaging with gadolinium is recommended for the
diagnosis of MS. A spinal cord MR imaging is recommended if the brain MR imaging
is nondiagnostic or if the presenting symptoms are at the level of the spinal
cord. A follow-up brain MR imaging with gadolinium is recommended to demonstrate
dissemination in time and ongoing clinically silent disease activity while on
treatment, to evaluate unexpected clinical worsening, to re-assess the original
diagnosis, and as a new baseline before starting or modifying therapy. A routine
brain MR imaging should be considered every 6 months to 2 years for all patients
with relapsing MS. The brain MR imaging protocol includes 3D T1-weighted, 3D T2
FLAIR, 3D T2-weighted, post-single-dose gadolinium-enhanced T1-weighted
sequences, and a DWI sequence. The progressive multifocal leukoencephalopathy
surveillance protocol includes FLAIR and DWI sequences only. The spinal cord MR
imaging protocol includes sagittal T1-weighted and proton attenuation, STIR or
phase-sensitive inversion recovery, axial T2- or T2*-weighted imaging through
suspicious lesions, and, in some cases, postcontrast gadolinium-enhanced T1
weighted imaging. The clinical question being addressed should be provided in the
requisition for the MR imaging. The radiology report should be descriptive, with
results referenced to previous studies. MR imaging studies should be permanently
retained and available. The current revision incorporates new clinical
information and imaging techniques that have become more available.
PMID- 26564434
TI - Superselective Intra-Arterial Ethanol Sclerotherapy of Feeding Artery and Nidal
Aneurysms in Ruptured Cerebral Arteriovenous Malformations.
AB - In the endovascular treatment of cerebral arteriovenous malformations, ethanol
sclerotherapy is seldom used due to safety concerns. However, when limited reflux
of an embolic agent is permissible or when there is a long distance to the
target, ethanol may be preferable. We reviewed 10 patients with 14 cerebral AVM
feeding artery aneurysms or intranidal aneurysms treated with intra-arterial
ethanol sclerotherapy at our institution between 2005 and 2014. All patients
presented with acute intracranial hemorrhage. Thirteen of 14 aneurysms were
treated primarily with 60%-80% ethanol into the feeding artery. Complete target
feeding artery and aneurysm occlusion was seen in all cases; 8/13 (62%) were
occluded by using ethanol alone. No retreatments or recurrences were seen. One
permanent neurologic deficit (1/13, 7.7%) and no deaths occurred. In a subset of
ruptured cerebral AVMs, ethanol sclerotherapy of feeding artery aneurysms and
intranidal aneurysms can be performed with a high degree of technical success and
a low rate of complication.
PMID- 26564435
TI - Acute Preoperative Infarcts and Poor Cerebrovascular Reserve Are Independent Risk
Factors for Severe Ischemic Complications following Direct Extracranial
Intracranial Bypass for Moyamoya Disease.
AB - BACKGROUND AND PURPOSE: Severe ischemic changes are a rare but devastating
complication following direct superficial temporal artery to MCA bypass in
patients with Moyamoya disease. This study was undertaken to determine whether
preoperative MR imaging and/or cerebrovascular reserve assessment by using
reference standard stable xenon-enhanced CT could predict such complications.
MATERIALS AND METHODS: Among all adult patients undergoing direct bypass at our
institution between 2005 and 2010 who received a clinically interpretable xenon
enhanced CT examination, we identified index cases (patients with >15-mL
postoperative infarcts) and control cases (patients without postoperative
infarcts and without transient or permanent ischemic symptoms). Differences
between groups were evaluated by using the Mann-Whitney U test. Univariate and
multivariate generalized linear model regression was used to test predictors of
postoperative infarct. RESULTS: Six index cases were identified and compared with
25 controls. Infarct size in the index cases was 95 +/- 55 mL. Four of 6 index
cases (67%), but no control patients, had preoperative acute infarcts. Baseline
CBF was similar, but cerebrovascular reserve was significantly lower in the index
cases compared with control cases. For example, in the anterior circulation,
median cerebrovascular reserve was -0.4% (range, -38.0%-16.6%) in index versus
26.3% (range, -8.2%-60.5%) in control patients (P = .003). Multivariate analysis
demonstrated that the presence of a small preoperative infarct (regardless of
location) and impaired cerebrovascular reserve were independent, significant
predictors of severe postoperative ischemic injury. CONCLUSIONS: Acute infarcts
and impaired cerebrovascular reserve on preoperative imaging are independent risk
factors for severe ischemic complications following superficial temporal artery
to MCA bypass in Moyamoya disease.
PMID- 26564436
TI - Disorders of Microtubule Function in Neurons: Imaging Correlates.
AB - BACKGROUND AND PURPOSE: A number of recent studies have described malformations
of cortical development with mutations of components of microtubules and
microtubule-associated proteins. Despite examinations of a large number of MRIs,
good phenotype-genotype correlations have been elusive. Additionally, most of
these studies focused exclusively on cerebral cortical findings. The purpose of
this study was to characterize imaging findings associated with disorders of
microtubule function. MATERIALS AND METHODS: MRIs from 18 patients with confirmed
tubulin mutations (8 TUBA1A, 5 TUBB2B, and 5 TUBB3) and 15 patients with known
mutations of the genes encoding microtubule-associated proteins (5 LIS1, 4 DCX,
and 6 DYNC1H1) were carefully visually analyzed and compared. Specific note was
made of the cortical gyral pattern, basal ganglia, and white matter to assess
internal capsular size, cortical thickness, ventricular and cisternal size, and
the size and contours of the brain stem, cerebellar hemispheres and vermis, and
the corpus callosum of patients with tubulin and microtubule-associated protein
gene mutations. Results were determined by unanimous consensus of the authors.
RESULTS: All patients had abnormal findings on MR imaging. A large number of
patients with tubulin gene mutations were found to have multiple cortical and
subcortical abnormalities, including microcephaly, ventriculomegaly, abnormal
gyral and sulcal patterns (termed "dysgyria"), a small or absent corpus callosum,
and a small pons. All patients with microtubule-associated protein mutations also
had abnormal cerebral cortices (predominantly pachygyria and agyria), but fewer
subcortical abnormalities were noted. CONCLUSIONS: Comparison of MRIs from
patients with known mutations of tubulin genes and microtubule-associated
proteins allows the establishment of some early correlations of phenotype with
genotype and may assist in identification and diagnosis of these rare disorders.
PMID- 26564437
TI - Giant Intracranial Aneurysms at 7T MRI.
AB - Giant intracranial aneurysms are rare vascular pathologies associated with high
morbidity and mortality. The purpose of this in vivo study was to assess giant
intracranial aneurysms and their wall microstructure by 7T MR imaging, previously
only visualized in histopathologic examinations. Seven giant intracranial
aneurysms were evaluated, and 2 aneurysms were available for histopathologic
examination. Six of 7 (85.7%) showed intraluminal thrombus of various sizes.
Aneurysm walls were depicted as hypointense in TOF-MRA and SWI sequences with
excellent contrast ratios to adjacent brain parenchyma (range, 0.01-0.60 and 0.58
0.96, respectively). The triple-layered microstructure of the aneurysm walls was
visualized in all aneurysms in TOF-MRA and SWI. This could be related to iron
deposition in the wall, similar to the findings in 2 available histopathologic
specimens. In vivo 7T TOF-MRA and SWI can delineate the aneurysm wall and the
triple-layered wall microstructure in giant intracranial aneurysms.
PMID- 26564438
TI - Contrast Leakage Patterns from Dynamic Susceptibility Contrast Perfusion MRI in
the Grading of Primary Pediatric Brain Tumors.
AB - BACKGROUND AND PURPOSE: The pattern of contrast leakage from DSC tissue signal
intensity time curves have shown utility in distinguishing adult brain neoplasms,
but has limited description in the literature for pediatric brain tumors. The
purpose of this study is to evaluate the utility of grading pediatric brain
tumors with this technique. MATERIALS AND METHODS: A retrospective review of
tissue signal-intensity time curves from 63 pediatric brain tumors with
preoperative DSC perfusion MR imaging was performed independently by 2
neuroradiologists. Tissue signal-intensity time curves were generated from ROIs
placed in the highest perceived tumor relative CBV. The postbolus portion of the
curve was independently classified as returning to baseline, continuing above
baseline (T1-dominant contrast leakage), or failing to return to baseline (T2*
dominant contrast leakage). Interobserver agreement of curve classification was
evaluated by using the Cohen kappa. A consensus classification of curve type was
obtained in discrepant cases, and the consensus classification was compared with
tumor histology and World Health Organization grade. RESULTS: Tissue signal
intensity time curve classification concordance was 0.69 (95% CI, 0.54-0.84)
overall and 0.79 (95% CI, 0.59-0.91) for a T1-dominant contrast leakage pattern.
Twenty-five of 25 tumors with consensus T1-dominant contrast leakage were low
grade (positive predictive value, 1.0; 95% CI, 0.83-1.00). By comparison, tumors
with consensus T2*-dominant contrast leakage or return to baseline were
predominantly high-grade (10/15 and 15/23, respectively) with a high negative
predictive value (1.0; 95% CI, 0.83-1.0). For pilomyxoid or pilocytic
astrocytomas, a T1-dominant leak demonstrated high sensitivity (0.91; 95% CI,
0.70-0.98) and specificity (0.90, 95% CI, 0.75-0.97). CONCLUSIONS: There was good
interobserver agreement in the classification of DSC perfusion tissue signal
intensity time curves for pediatric brain tumors, particularly for T1-dominant
leakage. Among patients with pediatric brain tumors, a T1-dominant leakage
pattern is highly specific for a low-grade tumor and demonstrates high
sensitivity and specificity for pilocytic or pilomyxoid astrocytomas.
PMID- 26564439
TI - Increased Facet Fluid Predicts Dynamic Changes in the Dural Sac Size on Axial
Loaded MRI in Patients with Lumbar Spinal Canal Stenosis.
AB - BACKGROUND AND PURPOSE: Axial-loaded MR imaging, which simulates the spinal canal
in a standing position, demonstrates reductions of the dural sac cross-sectional
area in patients with lumbar spinal canal stenosis. However, there has been no
useful conventional MR imaging finding for predicting a reduction in the dural
sac cross-sectional area on axial-loaded MR imaging. Previous studies have shown
that increased facet fluid is associated with the spinal instability detected
during positional changes. The purpose of this study was to analyze the
correlations between facet fluid and dynamic changes in the dural sac cross
sectional area on axial-loaded MR imaging. MATERIALS AND METHODS: In 93 patients
with lumbar spinal canal stenosis, the dural sac cross-sectional area was
measured by using axial images of conventional and axial-loaded MR imaging.
Changes in the dural sac cross-sectional area induced by axial loading were
calculated. The correlation between the facet fluid width measured on
conventional MR imaging and the change in dural sac cross-sectional area was
analyzed. The change in the dural sac cross-sectional area was compared between
the intervertebral levels with and without the facet fluid width that was over
the cutoff value determined in this study. RESULTS: The dural sac cross-sectional
area was significantly smaller on axial-loaded MR imaging than on conventional MR
imaging. The facet fluid width significantly correlated with the change in the
dural sac cross-sectional area (r = 0.73, P < .001). The change in the dural sac
cross-sectional area at the intervertebral level with the facet fluid width over
the cutoff value was significantly greater than that at the other level.
CONCLUSIONS: The increased facet fluid on conventional MR imaging is highly
predictive of the dynamic reduction of dural sac cross-sectional area detected on
axial-loaded MR imaging in the clinical assessment of lumbar spinal canal
stenosis.
PMID- 26564440
TI - Detection of Normal Aging Effects on Human Brain Metabolite Concentrations and
Microstructure with Whole-Brain MR Spectroscopic Imaging and Quantitative MR
Imaging.
AB - BACKGROUND AND PURPOSE: Knowledge of age-related physiological changes in the
human brain is a prerequisite to identify neurodegenerative diseases. Therefore,
in this study whole-brain (1)H-MRS was used in combination with quantitative MR
imaging to study the effects of normal aging on healthy human brain metabolites
and microstructure. MATERIALS AND METHODS: Sixty healthy volunteers, 21-70 years
of age, were studied. Brain maps of the metabolites NAA, creatine and
phosphocreatine, and Cho and the tissue irreversible and reversible transverse
relaxation times T2 and T2' were derived from the datasets. The relative
metabolite concentrations and the values of relaxation times were measured with
ROIs placed within the frontal and parietal WM, centrum semiovale, splenium of
the corpus callosum, hand motor area, occipital GM, putamen, thalamus, pons
ventral/dorsal, and cerebellar white matter and posterior lobe. Linear regression
analysis and Pearson correlation tests were used to analyze the data. RESULTS:
Aging resulted in decreased NAA concentrations in the occipital GM, putamen,
splenium of the corpus callosum, and pons ventral and decreased creatine and
phosphocreatine concentrations in the pons dorsal and putamen. Cho concentrations
did not change significantly in selected brain regions. T2 increased in the
cerebellar white matter and decreased in the splenium of the corpus callosum with
aging, while the T2' decreased in the occipital GM, hand motor area, and putamen,
and increased in the splenium of the corpus callosum. Correlations were found
between NAA concentrations and T2' in the occipital GM and putamen and between
creatine and phosphocreatine concentrations and T2' in the putamen. CONCLUSIONS:
The effects of normal aging on brain metabolites and microstructure are region
dependent. Correlations between both processes are evident in the gray matter.
The obtained data could be used as references for future studies on patients.
PMID- 26564441
TI - Utility and Significance of Gadolinium-Based Contrast Enhancement in Posterior
Reversible Encephalopathy Syndrome.
AB - BACKGROUND AND PURPOSE: Posterior reversible encephalopathy syndrome is a
clinicoradiologic syndrome. Literature regarding associated factors and the
prognostic significance of contrast enhancement in posterior reversible
encephalopathy syndrome is sparse. This study set out to evaluate an association
between the presence of enhancement in posterior reversible encephalopathy
syndrome and various clinical factors in a large series of patients with this
syndrome. MATERIALS AND METHODS: From an MR imaging report search that yielded
176 patients with clinically confirmed posterior reversible encephalopathy
syndrome between 1997 and 2014, we identified 135 patients who had received
gadolinium-based contrast. The presenting symptoms, etiology, clinical follow-up,
and maximum systolic and diastolic blood pressures within 1 day of MR imaging
were recorded. MRIs were reviewed for parenchymal hemorrhage, MR imaging
severity, and the presence and pattern of contrast enhancement. Statistical
analyses evaluated a correlation between any clinical features and the presence
or pattern of enhancement. RESULTS: Of 135 included patients (67.4% females; age
range, 7-82 years), 59 (43.7%) had contrast enhancement on T1-weighted MR
imaging, the most common pattern being leptomeningeal (n = 24, 17.8%) or
leptomeningeal plus cortical (n = 21, 15.6%). Clinical outcomes were available in
96 patients. No significant association was found between the presence or pattern
of enhancement and any of the variables, including sex, age, symptom, MR imaging
severity, blood pressure, or outcome (all P > .05 after Bonferroni correction).
CONCLUSIONS: The presence or pattern of enhancement in posterior reversible
encephalopathy syndrome is not associated with any of the tested variables.
However, an association was found between MR imaging severity and clinical
outcome.
PMID- 26564442
TI - Mechanical Thrombectomy for Isolated M2 Occlusions: A Post Hoc Analysis of the
STAR, SWIFT, and SWIFT PRIME Studies.
AB - BACKGROUND AND PURPOSE: Mechanical thrombectomy is beneficial for patients with
acute ischemic stroke and a proximal anterior occlusion, but it is unclear if
these results can be extrapolated to patients with an M2 occlusion. The purpose
of this study was to examine the technical aspects, safety, and outcomes of
mechanical thrombectomy with a stent retriever in patients with an isolated M2
occlusion who were included in 3 large multicenter prospective studies. MATERIALS
AND METHODS: We included patients from the Solitaire Flow Restoration
Thrombectomy for Acute Revascularization (STAR), Solitaire With the Intention For
Thrombectomy (SWIFT), and Solitaire With the Intention for Thrombectomy as
Primary Endovascular Treatment (SWIFT PRIME) studies, 3 large multicenter
prospective studies on thrombectomy for ischemic stroke. We compared outcomes and
technical details of patients with an M2 with those with an M1 occlusion. All
patients were treated with a stent retriever. Imaging data and outcomes were
scored by an independent core laboratory. Successful reperfusion was defined as
modified Thrombolysis in Cerebral Infarction score of 2b/3. RESULTS: We included
50 patients with an M2 and 249 patients with an M1 occlusion. Patients with an M2
occlusion were older (mean age, 71 versus 67 years; P = .04) and had a lower
NIHSS score (median, 13 versus 17; P < .001) compared with those with an M1
occlusion. Procedural time was nonsignificantly shorter in patients with an M2
occlusion (median, 29 versus 35 minutes; P = .41). The average number of passes
with a stent retriever was also nonsignificantly lower in patients with an M2
occlusion (mean, 1.4 versus 1.7; P = .07). There were no significant differences
in successful reperfusion (85% versus 82%, P = .82), symptomatic intracerebral
hemorrhages (2% versus 2%, P = 1.0), device-related serious adverse events (6%
versus 4%, P = .46), or modified Rankin Scale score 0-2 at follow-up (60% versus
56%, P = .64). CONCLUSIONS: Endovascular reperfusion therapy appears to be
feasible in selected patients with ischemic stroke and an M2 occlusion.
PMID- 26564443
TI - Validity of the Meyer Scale for Assessment of Coiled Aneurysms and Aneurysm
Recurrence.
AB - BACKGROUND AND PURPOSE: Both the Meyer and Raymond scales are commonly used to
report angiographic outcomes following coil embolization of intracranial
aneurysms. The objectives of this study were the following: 1) to assess the
interobserver agreement of the Meyer and Raymond scales, and 2) to evaluate and
compare their performance in predicting major recurrence at follow-up. MATERIALS
AND METHODS: A retrospective series of 120 coiled aneurysms was included. Four
investigators independently graded DSA images immediately posttreatment and at
follow-up according to the Meyer and Raymond scales. On follow-up DSA, readers
also evaluated recurrence outcome. Interobserver agreement was assessed via the
intraclass correlation coefficient. The ability of posttreatment Meyer and
Raymond scales to predict major recurrence was modeled by using logistic
regression and assessed by using receiver operating characteristic analysis.
RESULTS: For the Meyer scale, interobserver intraclass correlation coefficients
were 0.58 (95% CI, 0.46-0.68) on posttreatment and 0.78 (95% CI, 0.72-0.83) on
follow-up evaluations. For the Raymond scale, interobserver intraclass
correlation coefficients were 0.50 (95% CI, 0.39-0.61) and 0.69 (95% CI, 0.62
0.76), respectively, for posttreatment and follow-up. The areas under the curve
for the receiver operating characteristic analyses regarding the performance to
predict major recurrence at follow-up were 0.69 (95% CI, 0.60-0.79) for the Meyer
and 0.70 (95% CI, 0.61-0.78) for the Raymond scale. CONCLUSIONS: The Meyer scale
appears consistent and reliable with observer agreement as high or higher than
that of the Raymond scale. Performance of both scales in predicting the risk of
major recurrence at follow-up is adequate, with no statistical difference between
the scales.
PMID- 26564444
TI - In Utero MR Imaging of Fetal Holoprosencephaly: A Structured Approach to
Diagnosis and Classification.
AB - BACKGROUND AND PURPOSE: Holoprosencephaly is a rare developmental brain
abnormality with a range of severity. We describe our experience in diagnosing
holoprosencephaly in the fetus with in utero MR imaging. We hypothesized that
including in utero MR imaging in the diagnostic pathway will improve the
detection of holoprosencephaly compared with ultrasonography and allow better
assessment of the severity. MATERIALS AND METHODS: We report on holoprosencephaly
identified from ultrasonography and/or a diagnosis of holoprosencephaly made with
in utero MR imaging. We compare the diagnoses made with sonography and in utero
MR imaging in each case and compare the 2 methods of assessing the severity of
holoprosencephaly. RESULTS: Thirty-five fetuses are reported, including 9 in
which the diagnosis of holoprosencephaly was made on ultrasonography but not
confirmed on in utero MR imaging. Of the 26 cases of holoprosencephaly diagnosed
on in utero MR imaging, 12 were not recognized on ultrasonography. CONCLUSIONS:
Our results show that in utero MR imaging has a major role in diagnosing or
refuting a diagnosis of fetal holoprosencephaly made on ultrasonography. In utero
MR imaging also assists in grading the severity of fetal holoprosencephaly.
PMID- 26564445
TI - Georges Salamon.
PMID- 26564447
TI - Individual support plans of people with intellectual disabilities in residential
services: content analysis of goals and resources in relation to client
characteristics.
AB - BACKGROUND: Goals and objectives as mentioned in Individual Support Plans (ISPs)
were analysed to explore what domains of quality of life they are associated
with, what support resources are referenced for achieving the goals, and how
domains and resources are related to clients age, gender and intellectual
disability (ID) level. METHOD: A total of 209 ISPs for persons with ID from eight
residential Dutch service provider organisations were analysed. Mixed linear
regression analyses were conducted to examine the relations between client
characteristics and the content of goals and support resources. RESULTS: Results
showed that ISPs of people with mild and moderate ID had significantly more goals
related to independence and social participation as compared to the ISPs of
people with severe and profound ID. ISPs of clients with profound ID addressed
quality of life factors related to 'well-being' more than ISPs of all other
clients. ISPs of people aged 20-34 years had significantly more goals on
independence than the two other age groups. ISPs of people under the age of 50
had significantly fewer goals with respect to well-being than found in ISPs of
older people. Regarding the use of resources, 42.6% of the ISP goals were
associated with resources from specialised services, 31.5% associated with
natural resources and 25.9% associated with a combination of both natural and
specialised services. In ISPs of people with mild ID, natural resources are more
often mentioned, and specialised service-based resources are less often mentioned
than for other people. CONCLUSIONS: This study offers empirical feedback on ISP
practices in the field of ID in the Netherlands. In light of current ISP
practices, results suggest that attention should be paid to: (1) distinguishing
between a 'service contract' and an ISP; (2) keeping a focus on the whole person
in all age groups and levels of functioning and (3) involving the service
recipient in ISP development and implementation.
PMID- 26564446
TI - Longitudinal changes in corneal curvature and its relationship to axial length in
the Correction of Myopia Evaluation Trial (COMET) cohort.
AB - PURPOSE: To describe longitudinal changes in corneal curvature (CC) and axial
length (AL) over 14 years, and to explore the relationship between AL and CC, and
the axial length/corneal radius (AL/CR) ratio. METHODS: In total 469, 6 to <12
year-old, children were enrolled in COMET. Measurements of refractive error, CC
(D), CR (mm), and ocular component dimensions including AL were gathered
annually. Linear mixed models were used to evaluate longitudinal changes
adjusting for covariates (gender, ethnicity, lens type, baseline age and baseline
refraction). The Pearson correlation coefficient between AL and CC was computed
at each visit. RESULTS: There was a slight but significant (p<0.0001) flattening
in CC over 14 years. At all visits females had significantly steeper CC than
males (overall difference=0.53 D, p<0.0001). Caucasians had the steepest CC, and
Hispanics the flattest (p=0.001). The correlation between AL and CC was -0.70
(p<0.0001) at baseline (mean age=9.3 years) and decreased to -0.53 (p<0.0001) at
the 14-year visit (mean age=24.1 years). The average AL/CR ratio was 3.15 at
baseline and increased to 3.31 at the 14-year visit. The correlation between the
magnitude of myopia and AL/CR ratio was significantly higher (p<0.0001) at each
visit than the correlation between myopia and AL alone. CONCLUSIONS: Differences
in average corneal curvature by age, gender, and ethnicity observed in early
childhood remain consistent as myopia progresses and stabilizes. This study also
demonstrates increases in the AL/CR ratio as myopia progresses and then
stabilizes, supporting observations from previous cross-sectional data.
PMID- 26564448
TI - Development of a microfluidic device for cell concentration and blood cell-plasma
separation.
AB - This work presents design, fabrication and test of a microfluidic device which
employs Fahraeus-Lindqvist and Zweifach-Fung effects for cell concentration and
blood cell-plasma separation. The device design comprises a straight main channel
with a series of branched channels placed symmetrically on both sides of the main
channel. The design implements constrictions before each junction (branching
point) in order to direct cells that would have migrated closer to the wall
(naturally or after liquid extraction at a junction) towards the centre of the
main channel. Theoretical and numerical analysis are performed for design of the
microchannel network to ensure that a minimum flow rate ratio (of 2.5:1, main
channel-to-side channels) is maintained at each junction and predict flow rate at
the plasma outlet. The dimensions and location of the constrictions were
determined using numerical simulations. The effect of presence of constrictions
before the junctions was demonstrated by comparing the performances of the device
with and without constrictions. To demonstrate the performance of the device,
initial experiments were performed with polystyrene microbeads (10 and 15 MUm
size) and droplets. Finally, the device was used for concentration of HL60 cells
and separation of plasma and cells in diluted blood samples. The cell
concentration and blood-plasma purification efficiency was quantified using
Haemocytometer and Fluorescence-Activated Cell Sorter (FACS). A seven-fold cell
concentration was obtained with HL60 cells and a purification efficiency of 70 %
and plasma recovery of 80 % was observed for diluted (1:20) blood sample. FACS
was used to identify cell lysis and the cell viability was checked using Trypan
Blue test which showed that more than 99 % cells are alive indicating the
suitability of the device for practical use. The proposed device has potential to
be used as a sample preparation module in lab on chip based diagnostic platforms.
PMID- 26564449
TI - Coronary Artery Dominance as a Prognostic Factor: In Anterior Myocardial
Infarctions as Well?
PMID- 26564450
TI - [Comparison of Clinical Influence of Intramedullary versus Extramedullary
Alignment Guides on Total Knee Arthroplasty].
AB - Objective: To compare the clinical influence of intramedullary versus
extramedullary alignment guides on total knee arthroplasty (TKA) in terms of
alignment of the lower limbs,intraoperative tourniquet time,and postoperative
complications. METHODS: We retrospectively analyzed the clinical data of 105
patients(210 knees)undergoing bilateral TKA from February 2012 to November 2013.
All patients were divided to two groups:40 [age:(66.65 +/- 9.57)years] were
implanted using intramedullary guides on the tibia and 65 [age:(65.29+/
9.27)years] were implanted using extramedullary guides on the tibia. Alignment of
lower limb,tibial component angle in the sagittal plane,tourniquet time,and
postoperative complications were compared. RESULTS: The gender
ratio,age,height,weight,body mass index,and preoperative alignment of lower limbs
were not significantly different between these two groups (all P>0.05). The
average coronal alignment of lower extremity was (179.69 +/- 2.91) degrees in
the intramedullary guides group and (178.26 +/- 3.38) degrees in the
extramedullary guides group (P=0.002). The alignment of lower limbs on neutral
and valgus position were found in 68 cases(85.00%)in the intramedullary group and
in 94 cases (72.31%) in extramedullary group (P=0.033) ;the tourniquet time was
(79.46 +/- 12.06) min in the intramedullary group and (84.68 +/- 8.02) min in the
extramedullary group (P=0.001); the postoperative complication rate was 6.25% in
intramedullary group and 3.07% in extramedullary group (P=0.279). CONCLUSION:
Alignment and tourniquet time can be significantly improved by the intramedullary
instrumentation,while the incidence of complications associated with
intramedullary instrumentation is higher than extramedullary instrumentation.
PMID- 26564451
TI - [Imaging Findings of Ovarian Fibrothecoma].
AB - OBJECTIVE: To summarize the computed tomography (CT) and magnetic resonance
imaging (MRI) characteristics of ovarian fibro the coma and to compare them with
the pathological findings. METHOD: CT and MRI features of 25 patients with
pathologically proved ovarian fibrothecoma were retrospectively analyzed.
RESULTS: Of these 25 patients,the tumors were single in 23 patients and bilateral
in 2 patients. The tumors were round or oval in 16 cases and lobulated in 11
cases. CT plate scanning showed that both the solid masses and the solid
components of the cystic and solid masses had slightly lower densities than that
of the myometrium, and gradual and mild enhancement could be found in the
arterial phase and delay phase after enhanced scan. MRI showed iso-low signal on
T1-weighted imaging, slightly low or high signal on T2-weighted imaging of fat
suppression sequences, slightly high signal on diffusion weighted imaging and the
enhance characteristics as the well as CT after enhanced scan.Pelvic cavity
effusion was seen in 3 cases. CONCLUSION: Ovarian fibrothecoma have certain
imaging characteristics,which are helpful to improve the diagnosis and
differential diagnosis of this disease and lower the misdiagnosis rate before
operation.
PMID- 26564452
TI - [Effect of Individualized Low-protein Diet Intervention on Renal Function of
Patients with Chronic Kidney Disease].
AB - OBJECTIVE: To investigate whether individualized low-protein diet intervention
for patients with chronic kidney disease(CKD)could improve the general
condition,slow the deterioration of renal function,and delay the time of entering
dialysis. METHODS: Forty CKD inpatients between July 2011 and July 2012 were
randomly given with normal or individualized low-protein diet for six months
according to random number table after signing informed consent. The levels of
urine protein and biochemical indexes of renal function were measured at baseline
and at the end of dietary intervention for six months, respectively. RESULTS: The
baseline urine protein level,renal function,and biochemical indexes were not
significantly different between these two groups. The diastolic blood
pressure,protein intake,blood urea nitrogen,uric acid, potassium, phosphorus, C
reaction protein,24-hour urea nitrogen,and urine protein after six months were
significantly lower than those at baseline,that is,(101.70 +/- 15.78)mmHg
vs.(91.75 +/-15.52) mmHg,(63.87 +/- 24.70)g/d vs.(50.02 +/- 14.07)g/d,(20.01 +/-
7.69)mmol/L vs.(15.11 +/- 4.90) mmol/L,(362.75 +/- 84.56)MUmol/L vs.(302.20 +/-
8.48)MUmol/L,(5.22 +/- 0.75)mmol/L vs.(4.79+/-0.36) mmol/L,(2.07 +/- 0.68) mmol/L
vs.(1.57 +/- 0.41) mmol/L,1.19 [0.65,4.17] mg/L vs. 0.74 [0.38,1.33] mg/L,70.6
[8.70,101.18] mmol/L vs. 16.93 [3.23,72.27] mmol/L,1.00 [0.30,1.00] g/d vs. 0.15
[0,0.83] g/d (all P<0.05),among which albumin and hemoglobin were significantly
higher [(0.34 +/- 0.07)g/L vs.(0.37 +/- 0.05)g/L, (99.38 +/- 21.89)g/L vs.(126.35
+/- 14.11)g/L,respectively] in the individualized low-protein diet group. The
difference was statistically significant (P<0.05). The most relevant for urine
protein producing was prealbumin (r=0.924, P<0.05). The differences of blood urea
nitrogen, potassium, sodium, calcium, phosphorus, 24-hour urea nitrogen, urine
specific gravity, urine protein, and hemoglobin in six months in the
individualized low-protein diet group were significantly better than those in the
normal low protein-diet group (P<0.05). CONCLUSIONS: Individualized low-protein
diet intervention may have definite curative effectiveness in CKD patients. It
can markedly improve the patients' condition,slow down the deterio-ration of
renal function,and increase serum prealbumin levels that may reduce the
generation of urine protein. It is worthy of wider clinical application.
PMID- 26564453
TI - [Localized Scleroderma of Lower Extremities:Clinical and Magnetic Resonance
Imaging Features].
AB - OBJECTIVE: To evaluate the clinical and musculoskeletal characteristics of
localized scleroderma with lower extremities affected. METHODS: All the localized
scleroderma patients,who received magnetic resonance (MR ) examinations of
affected lower extremities at Peking Union Medical College Hospital from April
2013 to June 2014,were retrospectively reviewed. Their clinical data and
laboratory results of antinuclear antibody,anti-double stranded-DNA antibody, and
anti-extractable nuclear antigen antibody were collected and analyzed. All the MR
examinations were non-contrast imaging using Siemens Skyra 3.0T MR scanner.
RESULTS: There were 16 localized scleroderma patients with lower extremities
affected, 11 of whom were linear scleroderma, 4 generalized morphea, and 1 deep
morphea. Female to male ratio was 1:2.2. The mean age was 22.5 years. The mean
time span was 7.4 years. Four of the 14 patients (28.6%) who received antinuclear
antibody test were positive. All the 10 patients who received anti-double
stranded-DNA antibody test and the 7 patients who received anti-extractable
nuclear antigen antibody test were negative. The most common musculoskeletal MR
features were subcutaneous septal thickening (16/16) and fascial thickening
(11/16). The thickened speta and fascia could either be hypointenstiy or
hyperintensity on turbo inversion recovery magnitude/proton density weighted
imaging. Other MR manifestations were intramuscular speta thickening (3/16),
muscular abnormal signals (1/16), and bone marrow abnormal signals (2/16).
CONCLUSION: Musculoskeletal manifestations of the lower extremities with
localized scleroderma can be well revealed using MR imaging.
PMID- 26564454
TI - [Low-dose Tertiary Prophylactic Therapy for Severe Haemophilia A Adults in A
Single Medical Center in Beijing Area].
AB - OBJECTIVE: To investigate the benefit of low-dose tertiary prophylaxis in adults
with severe haemophilia A(SHA). METHODS: Twenty-two SHA patients aged 18 to 60
years from the Haemophilia Centre of Peking Union Medical College Hospital,
Beijing, China, were retrospectively observed from their one year on-demand
treatment to one year tertiary prophylaxis using plasma derived factor 8
concentrates at 5-10 IU/kg 2-3x per week. All the patients had already developed
arthropathy. Gilbert and the functional independence scores in hemophilia were
used to assess the joint status and the ability in the activities of daily living
of the patients. RESULTS: Comparing with on-demand therapy,the annual bleeding
frequency during low-dose tertiary prophylaxis decreased significantly by
72.7%,from 39.9 +/- 21.5 to 11.1 +/- 7.2 (P<0.0001),the total Gilbert score
decreased from 50.5+/-32.1 to 45.2+/-29.6(P<0.05),and the total functional
independence score in hemophilia score increased from 18.6 +/- 5.2 to 21.7 +/-
4.1 (P<0.05). CONCLUSION Low-dose tertiary prophylaxis in adults with SHA is
beneficial by reducing bleeding frequency, improving the health status of joints,
and improving the activities of daily living, thus raising the quality of life.
PMID- 26564455
TI - [Surgical Diagnosis and Treatment of Primary Hyperthyroidism Complicated with
Occult Thyroid Carcinoma].
AB - OBJECTIVE: To evaluate the surgical diagnosis and treatment of primary
hyperthyroidism complicated with occult thyroid carcinoma. METHOD: Data of 51
cases of primary hyperthyroidism complicated with occult thyroid carcinoma
admitted during January 2004 to November 2014 were analyzed retrospectively.
RESULTS: The incidence of occult thyroid carcinoma was 5.03% in
hyperthyroidism,and 47 cases (92.16%) were female. The preoperative diagnosis of
all these 51 cases was primary hyperthyroidism and 11 cases were diagnosed
thyroid carcinoma at the same time;25 cases were diagnosed thyroid carcinoma by
frozen section and the remaining 26 cases were diagnosed by postoperative
pathology. Finally,26 cases underwent subtotal thyroidectomy,4 cases underwent
total thyroidectomy, and 21 cases underwent total thyroidectomy with
lymphadenectomy. The tumor size ranged from 0.1 to 1.0 cm [mean:(0.63 +/- 0.35)
cm]. The lesions were less than or equal to 0.5 cm in 28 cases (54.9%). The
follow-up lasted from 1 to 121 months [mean:(28.6 +/- 22.7)months] in 43
patients,and all of them survived. CONCLUSIONS: Primary hyperthyroidism
complicated with occult thyroid carcinoma is commonly found in female patients.
Preoperative diagnosis is difficult. Ultrasound is the major examining method.
Frozen section can increase the detection rate. The postoperative prognosis of
hyperthyroidism complicated with occult thyroid carcinoma is satisfactory.
PMID- 26564456
TI - [Roles of Tumor-infiltrating Lymphocytes in Non-small Cell Lung Cancer Recurrence
and Metastasis:A Meta Analysis].
AB - OBJECTIVE: To determine the prognostic value of tumor-infiltrating lymphocytes in
the recurrence and metastasis of non-small cell lung cancer (NSCLC). METHODS: A
PubMed, EMBASE, Cochrane Library databases, NIH databases, and China Biology
Medicine disc, China National Knowledge Infrastructure, Chinese Science and
Technology Periodical literature search strategy was designed. Studies on the
prognostic values of intratumoural CD3+,CD4+,CD8+, and FoxP3+lymphocytes for
NSLCL were retrieved. RevMan 5.1 was applied for Meta analysis. RESULTS: Totally
8 studies entered the final analysis. In pooled analysis of 1197 patients,the
high expressions of CD3+ and CD8+ cells were correlated with the increase of
overall survival (OS) (OR=0.52,95% CI=0.40- 0.68, P<0.0001; OR=0.52,95% CI=0.34
0.79,P=0.002), and the high expression of CD8+ was significantly correlated with
the increase of disease-free survival (DFS) (OR=0.68,95% CI=0.51-0.91,P=0.01).
The CD4+ cell expression level was not significantly correlated with OS or DFS
(P=0.14, P=0.73). The high expression of FoxP3+ cells did not favor the
DFS(OR=1.78,95% CI=1.36-2.31; P<0.0001). CONCLUSION: The expression levels of
CD3+, CD8+ and FoxP3+ in NSCLC microenviroment are related with the prognosis of
NSCLC, while the role of CD4+ in the development of NSCLC warrants further
investigations.
PMID- 26564457
TI - [Effectiveness of Meibomian Gland Tube Massage in Treating Meibomian Gland
Dysfunction].
AB - OBJECTIVE: To observe the clinical effectiveness of meibomian gland tube massage
in treating meibomian gland dysfunction (MGD). METHODS: All patients were divided
into medicine group (tropically administered with corticosteroid eye ointment and
artificial tears)and massage group (meibomian gland tube massage in addition to
these drugs) using random numbers. At different period(before treatment and after
treatment 2,4 weeks), the slip-lamp microscopy and intraocular pressure
measurement were performed. Ocular symptoms were evaluated by questionnaire of
ocular surface disease index (OSDI), and corneal fluorescein staining scores
(CFS) was used for checking the epithelial integrity,tear film breakup time
(TBUT), and tear secretion (Schirmer I test,SIt). RESULTS: Before the treatment,
the OSDI score,TBUT, CFS, and SIt showed no statistical significance between
these two groups (all P>0.05). After the treatment, the symptoms, damage of
corneal epithelium, quality of tear film,tear secretion were significantly
improved in both groups(P<0.05), and were significantly superior in the massage
group than in the medicine group (all P<0.01; but CFS t4w=6.60,P>0.05).
CONCLUSION: The meibomian gland tube massage in combination with drug therapy can
improve the treatment effectives for MGD.
PMID- 26564458
TI - [Endoscopic Saphenous Vein Harvesting versus Open Vein Harvesting Techniques].
AB - OBJECTIVE: To investigate whether endoscopic saphenous vein harvesting (EVH
)reduces leg wound morbidity and improves rehabilitation of lower extremity as
compared to open vein harvesting (OVH) in patients with coronary artery disease.
METHODS: The clinical data of 333 patients who underwent isolated coronary artery
bypass graft surgery (CABG) between January 2007 and December 2011 were
retrospectively analyzed.These patients were divided into EVH group (n=210) and
OVH group (n=123). Parameters including age,sex,with/without diabetes
mellitus,leg wound complications rate,postoperative hospital stay,hospitalization
expense,and vein graft patency were analyzed. RESULTS: The age,sex,with/without
diabetes mellitus were not significantly different between two groups (P>0.05).
However,the incidences of leg wound complications, poor wound healing, wound
infections, wound pain,wound numbness, and edema of lower extremity were
significantly lower in the EVH group than the OVH group (P<0.05). The ecchymoma
and deep vein thrombosis incidences were not significantly different between
these two groups (P>0.05). The postoperative hospital stay showed no significant
difference (P>0.05), while the hospitalization expense was significantly higher
in the EVH group than in the OVH group (P<0.05). There was no statistical
difference of vein graft patency (P>0.05). CONCLUSION: The EVH system is a safe
and effective alternative to OVH because it can markedly reduce postoperative leg
wound morbidity and the EVH vein graft has good patency.
PMID- 26564459
TI - [Ultrasonographic Features of Pseudomyxoma Peritonei and TheirComparison with
Computed Tomographic Findings].
AB - OBJECTIVE: To investigate the value of ultrasound in the diagnosis of
pseudomyxoma peritonei (PMP) and summarize the ultrasonographic features of PMP
by comparing with computed tomography (CT) findings. METHOD: Ultrasound and CT
scan results of 25 patients with PMP confirmed by pathology after surgery were
analyzed retrospectively. RESULTS: Among these 25 PMP patients,three were
diagnosed by ultrasound and six by CT(P=0.579). The specific ultrasonographic
features of PMP were as follows:the dense punctuate echoes or floccules were
detected in hydrops abdominis,which seldom or never move with the change of body
position. Honeycomb-like lesions were typical. Notches were formed on the surface
of liver or spleen due to the mucinous material and/or the mucinous lesions.
CONCLUSIONS: Both ultrasound and CT scan have poor detection rate in the
diagnosis of PMP. PMP has specific ultrasonographic features,which may make it
possible to diagnose most PMP lesions by ultrasound before surgery
PMID- 26564460
TI - [Evaluation of Off-label Use of Oral Glucocorticoids in Outpatients].
AB - OBJECTIVE: To investigate the off-label use of oral glucocorticoids in
outpatients. METHODS: The information of outpatient glucocorticoids prescriptions
from January 1st to June 30th in 2012 were collected from the information system
in our hospital, then the software of Excel was employed to statistically analyze
the data including the amount of drugs used in different departments,as well as
the age, sex, and diagnosis of the patients. The diagnoses were compared with
those included in the labels approved by China Food and Drug Administration and
US Food and Drug Administration and domestic and foreign guidelines. RESULTS: It
was found that 16.53% of the cases were off-label use,and dexamethasone had the
highest proportion (60.50%) of off-label use. Most of the off-label use had
evidence support, such as multiple myeloma and myasthenia gravis, while some
cases did not, such as epilepsy and sudden deafness. CONCLUSION: The management
of off-label use should be further strengthened to promote the safe and rational
use of glucocorticoids.
PMID- 26564461
TI - [Effect of Modified Baizhu Powder on Gastrointestinal Functions in Mouse Models
with Stomach-cold Functional Dyspepsia].
AB - OBJECTIVE: To explore the effect of modified Baizhu (Rhizoma Atractylodis
Macrocephalae) powder on the gastrointestinal function in mouse models with
stomach-cold functional dyspepsia. Meanwhile,the mouse models were administered
with Shihu (dendrobium), a traditional Chinese drug with cold nature and flavour,
to explore the way via which it exert its effect on specific symptoms. Methods:
Mouse models with stomach-cold functional dyspepsia were established by ice water
and ice NaOH. The effects of modified Baizhu powder and dendrobium on mice were
observed in terms of water intake, weight change,small intestine propulsion rate,
intestinal absorption function, and effects on ghrelin and motilin. RESULTS: The
modified Baizhu powder effectively increased food intake, water intake, body
weight (P<0.05) and swimming time (P<0.01), increased the small intestine
propulsion rate and serum D-xylose content (P<0.05), and up-regulated ghrelin
(P<0.05). Also, it showed a trend to down-regulate the motilin, although the
change was not statistically significant (P>0.05). In contrast,the use of Shihu
aggravated symptoms in the mouse models. Conclusion: The changes in ghrelin and
motilin levels may be the neuro-endocrine mechanisms via which the modified
Baizhu powder and Shihu exert their effects on mouse models.
PMID- 26564462
TI - [Effect of Intestinal Ischemia-reperfusion Injury on the Expression of Chemerin
in Mice].
AB - OBJECTIVE: To investigate the effect of intestinal ischemia-reperfusion on the
protein expression of chemerin in C57BL/6J mice. METHODS: A total of 16 mice
(C57BL/6J, specific pathogen free level) were randomly assigned into two groups
(n=8 each): the intestinal ischemia-reperfusion group and the sham group. The
intestinal ischemia-reperfusion state was achieved by blocking the super
mesenteric artery. After 60 minutes of ischemia followed by 60 minutes of
reperfusion,we determined the protein level of chemerin in various organs and
tissues by enzyme-linked immunosorbent assay and Western blot. The sham group
underwent the same operation process except for the blocking of the super
mesenteric artery. RESULT: The protein level of chemerin was significantly
elevated in distinctive organs and tissues in the state of intestinal ischemia
reperfusion (P<0.05). CONCLUSION: The intestinal ischemia-reperfusion can
remarkably increase the protein expression of chemerin in some organs and
tissues.
PMID- 26564463
TI - [Morphology of Hypertrophic Scar Tissues and Expressions of Vascular Endothelial
Growth Factor and Transforming Growth Factor Beta Activated Kinase 1 in These
Tissues].
AB - OBJECTIVE: To observe the morphology of hypertrophic scar tissue and explore the
expressions and distribution of vascular endothelial growth factor (VEGF) and
transforming growth factor beta activated kinase 1(TAK1 )in these tissues.
METHOD: Hematoxylin-eosin staining, Masson staining,immunofluorescence,and real
time polymerase chain reaction were used to detect the localization and
expression of VEGF and TAK1 in 15 hypertrophic scar tissues and 10 normal skin
tissues. RESULTS: Morphological observation showed that the dermal fibroblasts in
hypertrophic scar were disorderly and densely arranged (compared to the normal
skin). Immunofluorescence displayed that the expressions of VEGF and TAK1 in
hypertrophic scar tissue were higher than in normal skin tissues. Real-time
polymerase chain reaction showed the mRNA expressions of both VEGF and TAK1 were
significantly higher in hypertrophic scar tissue than in normal tissue (P<0.01,
P<0.05,respectively). CONCLUSIONS: Hypertrophic scar tissue has higher collagen
fibrosis degree and higher TAK1 and VEGF expressions than the normal skin. VEGF
and TAK1 can be used as the reference indicators for the diagnosis and
differential diagnosis of hypertrophic scar and serve as new therapeutic targets.
PMID- 26564464
TI - [Alisma versus Gliclazide in the Treatment of Primary Diabetes in Goto-Kakizaki
Rats].
AB - OBJECTIVE: To compare the roles of alisma and gliclazide in the treatment of
diabetes in Goto-Kakizaki (GK) rats. METHODS: GK rats were randomly divided into
alisma group, gliclazide group, and blank group, and Wistar rats were used as the
normal group. After two weeks of treatment, body weight, food intake,fasting
glucose, impaired glucose tolerance, and other indicators were measured. RESULTS:
The body weight increased after the treatment in the normal group,blank group,and
gliclazide group [(241.3 +/- 7.0)g vs.(263.5 +/- 11.1)g, (242.8 +/- 7.1)g
vs.(267.9 +/- 16.8)g, (243.9 +/- 12.2)g vs.(277.9 +/- 9.8)g, P<0.05] but
decreased in alisma group [(244.6 +/- 9.2)g vs.(227.9 +/- 13.7)g, P<0.05]. The
food intake showed no significant change before and after administration among
different groups(P>0.05). Fasting glucose was significantly lower in normal group
than in control group,alisma group,and gliclazide group [(4.8 +/- 0.2) mmol/L
vs.(8.2 +/- 1.4) mmol/L,(8.1 +/- 0.6) mmol/L, (8.1 +/- 0.9)mmol/L, P<0.05] one
week after drug administration; it was not significantly different among blank
group,alisma group,and gliclazide group before drug administration (P>0.05);
however, it significantly decreased in alisma group and gliclazide group two
weeks after administration [(6.9 +/- 0.7) mmol/L vs.(8.1 +/- 0.6) mmol/L; (5.8 +/
0.5) mmol/L vs.(8.1 +/- 0.9) mmol/L, P<0.05]; compared with the blank group, the
fasting glucose was significantly lower in the alisma group and gliclazide
group,and it was also significantly different between these two groups [(6.9 +/-
0.7) mmol/L vs.(8.8 +/- 0.6) mmol/L,(5.8 +/- 0.5)mmol/L vs.(8.8 +/- 0.6)mmol/L,
(6.9 +/- 0.7) mmol/L vs.(5.8 +/- 0.5)mmol/L, P<0.05]. Compared with the normal
group,glucose tolerance was abnormal in blank group,alisma group,and gliclazide
group;after two weeks of treatment,glucose tolerance was significantly improved
in alisma group (P<0.05); compared with the pretreatment level and that in the
blank group,the glucose tolerance in gliclazide group showed no significant
difference (P> 0.05). CONCLUSIONS: Both alisma and gliclazide monotherapy is
effective in lowering fasting blood glucose. As a single-target drug,gliclazide
has stronger effecacy in lowering fasting glucose. However, alisma, as a mixture,
can also control weight and improve glucose intolerance.
PMID- 26564465
TI - [Effect of Basic Fibroblast Growth Factor and Transforming Growth Factor-Beta1
Combined with Bone Marrow Mesenchymal Stem Cells on the Repair of Degenerated
Intervertebral Discs in Rat Models].
AB - OBJECTIVE: To evaluate the effects of the combination of basic fibroblast growth
factor (bFGF), transforming growth factor-Beta1 (TGF-Beta1), bone marrow
mesenchymal stem cells (BMSCs), and temperature-responsive chitosan hydrogel
(TCH) gel on the repair of degenerative intervertebral disc in rat models.
METHODS: Rat models of intervertebral disc degeneration were established by
acupuncture. The degenerative effects were observed under magnetic resonance
imaging (MRI). The BMSCs was cultured in vitro and then transfected by adenovirus
with enhanced green fluorescent protein to make it carry the gene of enhanced
green fluorescent protein,which functioned as fluorescence labeling. The SD rat
models of intervertebral disc degeneration were divided into four groups: group
A, treated with the combination of bFGF, TGF-Beta1,BMSCs,and TCH gel; group B,
treated with the combination of BMSCs and TCH gel;group C, treated with the
combination of bFGF,TGF-Beta1, and TCH gel;and group D, treated with PBS buffer
solution. After the corresponding reagents were injected into the degenerative
intervertebral discs of each group, the rats were cultivated for another four
weeks and then the repair effects of the intervertebral discs were observed under
MRI. Furthermore,the intervertebral discs of each group were taken out and
observed by HE and Masson staining. The nucleus pulposus was aspirated and the
expressions of aggrecan,collagen 2,Sox-9,and collagen I of nucleus pulposus of
each group were tested by reverse transcription polymerase chain reaction and
Western blot. RESULTS: The transplanted BMSCs survived in the intervertebral disc
and differentiated into nucleus pulposus-like cells. MRI showed that:the signal
intensity of the nucleus pulposus of group A was much higher than that of the
rest groups, the signal intensity of group B was higher than that of group C, and
the signal intensity of group D was the lowest,in which the dura mater spinalis
was in compression and the spinal cord changed in beaded shape. The differences
of the Pfirrmann grading among the four groups had statistical significance
(P<0.05). The results of the HE and Masson stains showed:the intervertebral disc
of group A was well-structured,the quantity of nucleus pulposus cells was larger
than that of the other three groups,and the boundary between the nucleus pulposus
and the annulus fibrosus was clearly defined;the quantity of the nucleus pulposus
cells of group B was larger than that of group C, and the broken annulus fibrosus
was not observed in group B, while the broken annulus fibrosus could be observed
in group C; and, the nucleus pulposus cells of group D were replaced by fibrous
tissue. The results of the reverse transcription polymerase chain reaction and
Western blot tests showed that,in terms of the expressions of aggrecan,collagen 2
and Sox-9,group A was the highest, followed by group B,group C,and group D
(P<0.05); in terms of the expression of collagen 1,there was no obvious
difference among these four groups (P>0.05). CONCLUSIONS: The transplanted BMSCs
can survive in the degenerative intervertebral disc and differentiate into
nucleus pulposus-like cells. The combination of bFGF, TGF-Beta1, BMSCs,and TCH
gel has obvious repair effect on the degenerative intervertebral discs. The
effect of the combination of BMSCs and TCH gel on transplantation therapy of the
degenerative intervertebral discs is better than that of the combination of bFGF,
TGF-Beta1 and TCH gel but worse than that of the combination of bFGF, TGF-Beta1,
BMSCs, and TCH gel.
PMID- 26564466
TI - [Diagnosis and Treatment of Pituitary Carcinoma].
AB - The early diagnosis and treatment of pituitary carcinoma is difficult. The
diagnosis is often delayed, and the confirmation of a diagnosis requires the
presence of distant subarachnoid,brain or systemic metastasis from the primary
pituitary tumor in the sella and also needs the evidences of pathology and
imaging of the primary pituitary carcinoma and metastases. Treatment of pituitary
carcinoma includes surgery, radiation therapy ,hormone therapy, chemotherapy, and
molecularly targeted therapy; however, these methods are mainly palliative and
can not prolong the survival. The prognosis remains poor. Efforts should be made
to develop more effective diagnosis and treatment options.
PMID- 26564467
TI - [Perioperative Challenges and Strategies for Patent Foramen Ovale Patients
Undergoing Non-cardiac Surgery].
AB - The close relationship between patent foramen ovale(PFO)and cryptogenic ischemic
cerebrovascular disease and migraine has been concerned for years. However,in the
field of non-cardiac surgery and anesthesiology, PFO and related clinical
problems are less recognized. Under perioperative circumstances,PFO may generate
many challenges such as paradoxical systematic embolism and severe hypoxemia.
This article briefly introduces the epidemiology, paradoxical embolism, and
detection methods of PFO and discribes the perioperative complications and
corresponding perioperative strategies for prevention and cure.
PMID- 26564468
TI - [Advances in Genomics Studies for Coronary Artery Disease].
AB - Coronary artery disease (CAD) is one of the major life-threatening diseases. In
addition to traditional risk factors including age, sex, smoking,
hypertension,and diabetes, genomic studies have shown that CAD has obvious
genetic predisposition. In recent years, the rapid advances in genomics shed new
light on early diagnosis, risk stratification and new treatment targets.
PMID- 26564469
TI - [Advances in the Association between Apolipoprotein (a) Gene Polymorphisms and
Coronary Heart Disease].
AB - Human apolipoprotein (a) (LPA) gene is highly polymorphic, and the polymorphic
loci on this gene include the Kringle 4 subtype 2(KIV-2) repeat polymorphism, the
pentanucleotide repeat (TTTTA)n polymorphism, and a number of single nucleotide
polymorphisms. KIV-2 repeat polymorphism was found to be significantly associated
with coronary heart disease(CHD), and the reducing number of KIV-2 repeats is a
risk factor for CHD. Both the increase and decrease of the pentanucleotide
repeat(TTTTA)n polymorphism repeats are possibly associated with CHD risk. In
single nucleotide polymorphisms loci, the rs10455872 and rs3798220 loci were
widely reported to be associated with CHD, while other loci were less reported.
The association between LPA polymorphisms and CHD may be mediated by either the
elevation of plasma LPA level or the change of LPA subtypes. This article reviews
the association between the LPA polymorphisms and CHD and the underlying
mechanisms.
PMID- 26564471
TI - Versatile structures of group 13 metal halide complexes with 4,4'-bipy: from 1D
coordination polymers to 2D and 3D metal-organic frameworks.
AB - A systematic structural study of complexes formed by aluminium and gallium
trihalides with 4,4'-bipyridine (bipy) in 2 : 1, 1 : 1, and 1 : 2 stoichiometric
ratios has been performed. Molecular structures of 11 complexes in the solid
state have been determined for the first time. Complexes of 2 : 1 composition are
molecular, while complexes of 1 : 1 composition form metal-organic frameworks of
different kinds: an ionic 3D network (three interpenetrated lvt nets for
AlCl3bipy), an ionic 2D network for AlBr3bipy and GaBr3bipy and a 1D coordination
polymer in the case of GaCl3bipy. Thus, the nature of the Lewis acid plays a
critical role in the structural type of the complex in the solid state.
Incorporation of excess bipy molecules into (GaCl3bipy)infinity (formation of
crystallosolvate) leads to an unprecedented change of the molecular structure
from a non-ionic 1D coordination polymer to an ionic 2D metal organic framework
[GaCl2bipy2](+)[GaCl4](-).2bipy. As indicated by the temperature-dependent XRD
study, removal of bipy by heating in a vacuum restores the non-ionic 1D
structure. Quantum chemical computations for simple cluster model systems (up to
eight Al and Ga atoms) reveal that ionic forms are slightly favourable, although
the energy differences between the ionic and non-ionic structures are not large.
These theoretical predictions are in good agreement with experimental findings.
Thus, even relatively simple cluster models may be used to indicate the
structural preferences in the solid state. Both experimental and computational IR
frequency shifts of the in-plane ring bending mode of bipy upon complexation
correlate well with the M-N bond distances in the complexes.
PMID- 26564472
TI - Doxorubicin-Loaded Carborane-Conjugated Polymeric Nanoparticles as Delivery
System for Combination Cancer Therapy.
AB - Carborane-conjugated amphiphilic copolymer nanoparticles were designed to deliver
anticancer drugs for the combination of chemotherapy and boron neutron capture
therapy (BNCT). Poly(ethylene glycol)-b-poly(L-lactide-co-2-methyl-2(2-dicarba
closo-dodecarborane)propyloxycarbonyl-propyne carbonate) (PLMB) was synthesized
via the versatile reaction between decaborane and side alkynyl groups, and self
assembled with doxorubicin (DOX) to form drug-loaded nanoparticles. These
DOX@PLMB nanoparticles could not only suppress the leakage of the boron compounds
into the bloodstream due to the covalent bonds between carborane and polymer main
chains, but also protect DOX from initial burst release at physiological
conditions because of the dihydrogen bonds between DOX and carborane. It was
demonstrated that DOX@PLMB nanoparticles could selectively deliver boron atoms
and DOX to the tumor site simultaneously in vivo. Under the combination of
chemotherapy and BNCT, the highest tumor suppression efficiency without reduction
of body weight was achieved. This polymeric nanoparticles delivery system could
be very useful in future chemoradiotherapy to obtain improved therapeutic effect
with reduced systemic toxicity.
PMID- 26564470
TI - Alpha-Synuclein Oligomers Interact with Metal Ions to Induce Oxidative Stress and
Neuronal Death in Parkinson's Disease.
AB - AIMS: Protein aggregation and oxidative stress are both key pathogenic processes
in Parkinson's disease, although the mechanism by which misfolded proteins induce
oxidative stress and neuronal death remains unknown. In this study, we describe
how aggregation of alpha-synuclein (alpha-S) from its monomeric form to its
soluble oligomeric state results in aberrant free radical production and neuronal
toxicity. RESULTS: We first demonstrate excessive free radical production in a
human induced pluripotent stem-derived alpha-S triplication model at basal levels
and on application of picomolar doses of beta-sheet-rich alpha-S oligomers. We
probed the effects of different structural species of alpha-S in wild-type rat
neuronal cultures and show that both oligomeric and fibrillar forms of alpha-S
are capable of generating free radical production, but that only the oligomeric
form results in reduction of endogenous glutathione and subsequent neuronal
toxicity. We dissected the mechanism of oligomer-induced free radical production
and found that it was interestingly independent of several known cellular
enzymatic sources. INNOVATION: The oligomer-induced reactive oxygen species (ROS)
production was entirely dependent on the presence of free metal ions as addition
of metal chelators was able to block oligomer-induced ROS production and prevent
oligomer-induced neuronal death. CONCLUSION: Our findings further support the
causative role of soluble amyloid oligomers in triggering neurodegeneration and
shed light into the mechanisms by which these species cause neuronal damage,
which, we show here, can be amenable to modulation through the use of metal
chelation.
PMID- 26564473
TI - Protective immunity in gibel carp, Carassius gibelio of the truncated proteins of
cyprinid herpesvirus 2 expressed in Pichia pastoris.
AB - Cyprinid herpesvirus 2 (CyHV-2) infection is a newly emerged infectious disease
of farmed gibel carp (Carassius gibelio) in China and causes huge economic losses
to the aquaculture industry. In this study, the three membrane proteins encoded
by genes ORF25, ORF25C, and ORF25D of CyHV-2 were truncated and expressed in
yeast, Pichia pastoris. Screening of the recombinant yeasts was done by detecting
the truncated proteins using Western blot. Through immunogold labeling, it was
shown that proteins binding the colloidal gold were presented on the surface of
cells. In the experiment of inhibition of virus binding by the recombinant
truncated proteins, the TCID50 of the tORF25 group (10(4.1)/ml) was lower than
that of tORF25C (10(4.6)/ml) or tORF25D groups (10(5)/ml). These results
suggested that the proteins may be involved in attachment of the virus to the
cell surface. Healthy gibel carp were immunized with 20 MUg of tORF25, tORF25C,
and tORF25D proteins, and the control group received PBS. Interleukin 11 (IL-11)
expression in the spleens of the immunized fish peaked at day 4 and the
complement component C3 (C3) genes were significantly up-regulated at day 7 post
immunization. Specific antibodies were measured in the three immunized groups and
the titer detected in the tORF25 group reached 327, that was significantly higher
than the tORF25C (247) or tORF25D (228) groups. When the immunized fish were
challenged with live CyHV-2 by intraperitoneal injection the relative percent
survival (RPS) of the tORF25, tORF25C, and tORF25D immunized groups was 75%, 63%,
and 54%, respectively. The feasibility of the P. pastoris yeast expression system
for the production of the recombinant truncated proteins and their apparent
bioactivity suggests that tORF25, tORF25C, and tORF25D are potential candidate
vaccines against Cyprinid herpesvirus 2 infection in gibel carp.
PMID- 26564474
TI - Dietary beta-glucan (MacroGard(r)) enhances survival of first feeding turbot
(Scophthalmus maximus) larvae by altering immunity, metabolism and microbiota.
AB - Reflecting the natural biology of mass spawning fish aquaculture production of
fish larvae is often hampered by high and unpredictable mortality rates. The
present study aimed to enhance larval performance and immunity via the oral
administration of an immunomodulator, beta-glucan (MacroGard((r))) in turbot
(Scophthalmus maximus). Rotifers (Brachionus plicatilis) were incubated with or
without yeast beta-1,3/1,6-glucan in form of MacroGard((r)) at a concentration of
0.5 g/L. Rotifers were fed to first feeding turbot larvae once a day. From day 13
dph onwards all tanks were additionally fed untreated Artemia sp. nauplii (1
nauplius ml/L). Daily mortality was monitored and larvae were sampled at 11 and
24 dph for expression of 30 genes, microbiota analysis, trypsin activity and size
measurements. Along with the feeding of beta-glucan daily mortality was
significantly reduced by ca. 15% and an alteration of the larval microbiota was
observed. At 11 dph gene expression of trypsin and chymotrypsin was elevated in
the MacroGard((r)) fed fish, which resulted in heightened tryptic enzyme
activity. No effect on genes encoding antioxidative proteins was observed, whilst
the immune response was clearly modulated by beta-glucan. At 11 dph complement
component c3 was elevated whilst cytokines, antimicrobial peptides, toll like
receptor 3 and heat shock protein 70 were not affected. At the later time point
(24 dph) an anti-inflammatory effect in form of a down-regulation of hsp 70, tnf
alpha and il-1beta was observed. We conclude that the administration of
MacroGard((r)) induced an immunomodulatory response and could be used as an
effective measure to increase survival in rearing of turbot.
PMID- 26564475
TI - The effects of Mg enrichment of vegetable sprouts on Mg concentration, yield and
ROS generation.
AB - BACKGROUND: Two-thirds of the world's population do not consume the recommended
amount of Mg, hence the demand for the production of Mg-enriched plants. Sprouts
represent promising targets for enrichment. This study evaluated the effects of
enriching broccoli, radish, alfalfa and mung bean sprouts with Mg (50-300 mg L(
1) ) on (i) the concentration of Mg and other ions, (ii) biomass accumulation,
(iii) levels of reactive oxygen species (ROS), and (iv) the activity/content of
enzymatic and non-enzymatic components of antioxidative systems. RESULTS:
Enrichment of sprouts with Mg led to a significant increase in Mg concentration,
especially in alfalfa (increase of 23-152 %), without depletion of other ions. A
higher Mg concentration had a minor effect on biomass accumulation, but
increased, often significantly, ROS generation and affected enzymatic and non
enzymatic antioxidative systems. The level of O2 (*-) increased most in broccoli,
by 59-158%, while OH(*) increased most in radish, by 200-350%. CONCLUSIONS:
Enrichment of sprouts with Mg is possible, but attention must be paid to elevated
ROS levels in food. Mung bean sprouts are best suited to enrichment as they make
a considerable contribution to the daily supplementation of Mg, at still low
levels of ROS in enriched plants. (c) 2015 Society of Chemical Industry.
PMID- 26564476
TI - A phosphorylation code of the Aspergillus nidulans global regulator VelvetA (VeA)
determines specific functions.
AB - The velvet protein VeA is a global fungal regulator for morphogenetic pathways as
well as for the control of secondary metabolism. It is found exclusively in
filamentous fungi, where it fulfills conserved, but also unique functions in
different species. The involvement of VeA in various morphogenetic and metabolic
pathways is probably due to spatially and timely controlled specific protein
protein interactions with other regulators such as phytochrome (FphA) or velvet
like proteins (VelB). Here we present evidence that Aspergillus nidulans VeA is a
multi-phosphorylated protein and hypothesize that at least four specific amino
acids (T167, T170, S183 and Y254) undergo reversible phosphorylation to trigger
development and sterigmatocystin biosynthesis. Double mutation of T167 to valine
and T170 to glutamic acid exerted the largest effects with regards to sexual
development and veA gene expression. In comparison with wild-type VeA, which
shuttles out of the nuclei after illumination this VeA variant showed stronger
nuclear accumulation than the wild type, independent of the light conditions. The
interaction between VeA and VelB or FphA, respectively, was affected in the T167V
T170E mutant. Our results suggest complex regulation of the phosphorylation
status of the VeA protein.
PMID- 26564477
TI - Catalytic C-F bond activation of geminal difluorocyclopropanes by nickel(I)
complexes via a radical mechanism.
AB - Nickel(II) fluorido complexes bearing NNN-pincer ligands were found to be
catalysts in the hydrodefluorination of geminal difluorocyclopropanes which
undergo ring-opening to form the corresponding monofluoroalkenes in good yield
and high Z-selectivities. Evidence for a radical based mechanism involving
nickel(I) and nickel hydrido complexes as key intermediates was obtained in the
corresponding stoichiometric reactions.
PMID- 26564478
TI - Preconception-related needs of reproductive-aged women.
AB - OBJECTIVE: to assess women's interest in preconception care, their organisational
preferences, and their preconception-related information and support needs.
DESIGN: cross-sectional study design. SETTING: participants were recruited online
through social media and discussion forums for issues relating to (in)fertility,
pregnancy and parenting, and at the Women's Clinic of Ghent University Hospital.
PARTICIPANTS: 242 reproductive-aged women with a desire to have (more) children.
FINDINGS: the majority of women (75%) wanted to receive preconception care in the
future. Gynaecologists (93%) were the preferred source of preconception care,
followed by midwives (73%) and general practitioners (63%). Most women wanted
information about lifestyle, environmental exposures, working conditions and
medical issues. Information needs were higher among women with (history of)
mental illness [odds ratio (OR) 3.50, 95% confidence interval (CI) 1.08-11.36],
(history of) eye and otolaryngological problems (OR 2.22, 95% CI 0.95-5.21) and
overweight (OR 2.22, 95% CI 1.01-4.93). A few women indicated that they needed
preconception-related support. Overweight women reported greater need for
lifestyle-related support compared with women of healthy weight (p=0.001). KEY
CONCLUSIONS: reproductive-aged women are interested in preconception care, and
would prefer to receive this care directly from a professional caregiver. Most
women had high preconception-related information needs and lower support needs.
IMPLICATIONS FOR PRACTICE: although women reported that they would prefer to
receive preconception care from gynaecologists, the results indicate that
midwives can also play an important role in the provision of preconception care.
They would need further training to improve their knowledge, skills and awareness
regarding preconception care.
PMID- 26564479
TI - Estimating the burden of acute gastrointestinal illness due to Giardia,
Cryptosporidium, Campylobacter, E. coli O157 and norovirus associated with
private wells and small water systems in Canada.
AB - Waterborne illness related to the consumption of contaminated or inadequately
treated water is a global public health concern. Although the magnitude of
drinking water-related illnesses in developed countries is lower than that
observed in developing regions of the world, drinking water is still responsible
for a proportion of all cases of acute gastrointestinal illness (AGI) in Canada.
The estimated burden of endemic AGI in Canada is 20.5 million cases annually -
this estimate accounts for under-reporting and under-diagnosis. About 4 million
of these cases are domestically acquired and foodborne, yet the proportion of
waterborne cases is unknown. There is evidence that individuals served by private
systems and small community systems may be more at risk of waterborne illness
than those served by municipal drinking water systems in Canada. However, little
is known regarding the contribution of these systems to the overall drinking
water-related AGI burden in Canada. Private water supplies serve an estimated 12%
of the Canadian population, or ~4.1 million people. An estimated 1.4 million
(4.1%) people in Canada are served by small groundwater (2.6%) and surface water
(1.5%) supplies. The objective of this research is to estimate the number of AGI
cases attributable to water consumption from these supplies in Canada using a
quantitative microbial risk assessment (QMRA) approach. This provides a framework
for others to develop burden of waterborne illness estimates for small water
supplies. A multi-pathogen QMRA of Giardia, Cryptosporidium, Campylobacter, E.
coli O157 and norovirus, chosen as index waterborne pathogens, for various source
water and treatment combinations was performed. It is estimated that 103 230 AGI
cases per year are due to the presence of these five pathogens in drinking water
from private and small community water systems in Canada. In addition to
providing a mechanism to assess the potential burden of AGI attributed to small
systems and private well water in Canada, this research supports the use of QMRA
as an effective source attribution tool when there is a lack of randomized
controlled trial data to evaluate the public health risk of an exposure source.
QMRA is also a powerful tool for identifying existing knowledge gaps on the
national scale to inform future surveillance and research efforts.
PMID- 26564480
TI - Mutation analysis of PALB2 gene in French breast cancer families.
AB - Several population-based and family-based studies have demonstrated that germline
mutations of the PALB2 gene (Partner and Localizer of BRCA2) are associated with
an increased risk of breast cancer. Distinct mutation frequencies and spectrums
have been described depending on the population studied. Here we describe the
first complete PALB2 coding sequence screening in the French population. We
screened the complete coding sequence and intron-exon boundaries of PALB2, using
the EMMA technique, to assess the contribution of pathogenic mutations in a set
of 835 familial breast cancer cases and 662 unrelated controls from the French
national study GENESIS and the Paul Strauss Cancer Centre, all previously tested
negative for BRCA1 and BRCA2 pathogenic mutations. Our analysis revealed the
presence of four novel deleterious mutations: c.1186insT, c.1857delT and
c.2850delC in three cases, c.3418dupT in one control. In addition, we identified
two in-frame insertion/deletion, 19 missense substitutions (two of them predicted
as pathogenic), 9 synonymous variants, 28 variants located in introns and 2 in
UTRs, as well as frequent variants. Truncating PALB2 mutations were found in
0.36% of familial breast cancer cases, a frequency lower than the one detected in
comparable studies in other populations (0.73-3.40%). This suggests a small but
significant contribution of PALB2 mutations to the breast cancer susceptibility
in the French population.
PMID- 26564481
TI - The spectrum of BRCA1 and BRCA2 alleles in Latin America and the Caribbean: a
clinical perspective.
AB - Hereditary cancer predisposition gene testing allows the identification of
individuals at high risk of cancer that may benefit from increased surveillance,
chemoprevention, and prophylactic surgery. In order to implement clinical genetic
strategies adapted to each population's needs and intrinsic genetic
characteristic, this review aims to present the current status of knowledge about
the spectrum of BRCA pathogenic variants in Latin American populations. We have
conducted a comprehensive review of 33 studies published between 1994 and 2015
reporting the prevalence and/or spectrum of BRCA1 (OMIM 113705) and BRCA2 (OMIM
600185) variants. The combined sample size for these studies consisted of 4835
individuals from 13 countries in Latin America and the Caribbean, as well as in
Hispanics in the United States. A total of 167 unique pathogenic variants have
been reported in the existing literature. In unselected breast cancer cases, the
prevalence ranged from 1.2 to 27.1%. Some countries presented a few recurrent
pathogenic variants, while others were characterized by diverse, non-recurrent
variants. The proportion of BRCA pathogenic variants shared between Hispanics in
the United States and Latin American populations was estimated at 10.4%. Within
Latin America and the Caribbean, 8.2% of the BRCA variants reported were present
in more than one country. Countries with high prevalence of BRCA pathogenic
variants may benefit from more aggressive testing strategies, while testing of
recurrent variant panels might present a cost-effective solution for improving
genetic testing in some, but not all, countries.
PMID- 26564483
TI - Anisotropy of Solid Breast Lesions in 2D Shear Wave Elastography is an Indicator
of Malignancy.
AB - RATIONALE AND OBJECTIVES: To investigate if anisotropy at two-dimensional shear
wave elastography (SWE) suggests malignancy and whether it correlates with
prognostic and predictive factors in breast cancer. MATERIALS AND METHODS: Study
group A of 244 solid breast lesions was imaged with SWE between April 2013 and
May 2014. Each lesion was imaged in radial and in antiradial planes, and the
maximum elasticity, mean elasticity, and standard deviation were recorded and
correlated with benign/malignant status, and if malignant, correlated with
conventional predictive and prognostic factors. The results were compared to a
study group B of 968 solid breast lesions, which were imaged in sagittal and in
axial planes between 2010 and 2013. RESULTS: Neither benign nor malignant lesion
anisotropy is plane dependent. However, malignant lesions are more anisotropic
than benign lesions (P <= 0.001). Anisotropy correlates with increasing
elasticity parameters, breast imaging-reporting and data system categories, core
biopsy result, and tumor grade. Large cancers are significantly more anisotropic
than small cancers (P <= 0.001). The optimal anisotropy cutoff threshold for
benign/malignant differentiation of 150 kPa(2) achieves the best sensitivity
(74%) with a reasonable specificity (63%). CONCLUSIONS: Anisotropy may be useful
during benign/malignant differentiation of solid breast masses using SWE.
Anisotropy also correlates with some prognostic factors in breast cancer.
PMID- 26564482
TI - LINC00472 expression is regulated by promoter methylation and associated with
disease-free survival in patients with grade 2 breast cancer.
AB - Long non-coding RNAs (lncRNAs) are a class of newly recognized DNA transcripts
that have diverse biological activities. Dysregulation of lncRNAs may be involved
in many pathogenic processes including cancer. Recently, we found an intergenic
lncRNA, LINC00472, whose expression was correlated with breast cancer progression
and patient survival. Our findings were consistent across multiple clinical
datasets and supported by results from in vitro experiments. To evaluate further
the role of LINC00472 in breast cancer, we used various online databases to
investigate possible mechanisms that might affect LINC00472 expression in breast
cancer. We also analyzed associations of LINC00472 with estrogen receptor, tumor
grade, and molecular subtypes in additional online datasets generated by
microarray platforms different from the one we investigated previously. We found
that LINC00472 expression in breast cancer was regulated more possibly by
promoter methylation than by the alteration of gene copy number. Analysis of
additional datasets confirmed our previous findings of high expression of
LINC00472 associated with ER-positive and low-grade tumors and favorable
molecular subtypes. Finally, in nine datasets, we examined the association of
LINC00472 expression with disease-free survival in patients with grade 2 tumors.
Meta-analysis of the datasets showed that LINC00472 expression in breast tumors
predicted the recurrence of breast cancer in patients with grade 2 tumors. In
summary, our analyses confirm that LINC00472 is functionally a tumor suppressor,
and that assessing its expression in breast tumors may have clinical implications
in breast cancer management.
PMID- 26564484
TI - [Clinical aspects at the heart of hospital violence].
PMID- 26564485
TI - [Health democracy, a utopia?].
PMID- 26564487
TI - [(Community) psychiatry, a parenthesis?].
AB - Beyond an a priori antagonism between these two notions, alienism and mental
health cultivate analogies as to the place to which they assign mental health. Is
community psychiatry not therefore simply a parenthesis in the history of
psychiatry? The question is raised therefore regarding the place given to
subjectivity and complexity. What must be done to ensure that this parenthesis of
community psychiatry does not close? It is perhaps a case of making use of the
tools which institutional psychotherapy has developed to keep the community
psychiatry spirit alive.
PMID- 26564486
TI - [Everyday practice in psychiatry and the politics of civilisation].
AB - Daily clinical practice confronts us not only with the clinical aspect but also
with the political. Political orientation has a direct impact on the way in which
we carry out this clinical practice, as well as on the place of those who are
outside the system. The politics of civilisation are therefore an option in the
face of neoliberalism.
PMID- 26564488
TI - [Work in psychiatry: a political question].
AB - In the experience of Saint Alban, therapeutic work is a major focus of
institutional psychotherapy. What is the place today of work in the therapeutic
projects led by caregivers? The principle of reality concerning our society
clashes with the importance which the caregiving team attaches to work as a
principle of reintegration. New opportunities for sociability and integration
must be designed and bridges must be co-constructed by nurses and patients
towards associations and activities in the community.
PMID- 26564489
TI - [An insane dialogue].
AB - How should madness and in particular delusional manifestations be considered? The
caregiver's perception of delirium has an impact on how they view the function of
the caregiver in psychiatry. Should delirium be suppressed? Delirium is an
individual phenomenon but the themes are linked to social issues. Must we not
take into account everyone's "thresholds" with regard to the place of delirium,
in order to make it part of "living together". Two patients of a psychiatric care
system discuss these issues.
PMID- 26564490
TI - [Jean Oury, "I am a poumista"].
AB - If being a poumista means considering that people disalienate themselves by
revolutionising the world, being a poumista psychiatrist consists in taking into
consideration psychological alienation and social alienation. Hence the
importance of hospital committees and clubs, to give back to patients the status
of a subject and to understand the question of hierarchy. The caregiver in
psychiatry must bear in mind that one of the essential dimensions of their work
is to "make do with" schizophrenic patients and that they must engage in everyday
acts.
PMID- 26564491
TI - [The Other, the object and the social connection].
AB - The Occupy Abay movement was formed in Moscow in a context of anomie. This
Russian opposition movement consisted in gatherings of strangers, without any
predefined ideology, the tacit objective possibly being a civilizing project. The
experience of the Scratch orchestra brought together nonprofessional musicians
whose performances could be linked to political acts. The psychiatric institution
brings people together; it must be able to offer a response to patients'
suffering. The choice of working together through multi-person practice is an
option. It is based on the fact of accepting, like the two movements cited above,
the "lack of a master".
PMID- 26564492
TI - [The relationship, a key ingredient of care].
AB - The quality of the relationship or the therapeutic alliance is one of the common
factors for predicting the success or failure of therapy. How is this
relationship built, and on what bases? Two fundamental questions to which the
answer lies in the facilitating aptitudes of anyone working in a help and/or care
relationship.
PMID- 26564493
TI - [Operational fatigue: debriefing from Kapisa, Afghanistan].
AB - The concept of operational fatigue, a term first used during the Second World
War, was subsequently extended to encompass a vague series of psychological
disorders. This article looks back at an overseas operation in Afghanistan,
during the summer of 2011, where the tense background situation, casualties and
fatalities resulted in some members of the commando parachute units suffering
from such conditions.
PMID- 26564495
TI - Steering Metallofullerene Electron Spin in Porous Metal-Organic Framework.
AB - Paramagnetic endohedral fullerenes are ideal candidates for quantum information
processing and high-density data storage due to their protected spins with
particularly high stability. Herein, we report a solid spin system based on a
paramagnetic metallofullerene Y2@C79N through incarcerating it into the cage
shaped pores of a metal-organic framework (MOF-177). In this kind of guest and
host complex, the Y2@C79N molecules inside the pores of MOF crystal show
axisymmetric paramagnetic property. It was found that the pores of MOF-177
crystal play an important role in dispersing the Y2@C79N molecules as well as in
steering their electron spin. The group of arranged Y2@C79N molecules and their
electron spins in MOF crystals are potential quantum bits for quantum information
science and data storage. Moreover, this kind of solid spin system can be used as
a probe for nanoscale nuclear magnetic resonance or for motion imaging of a
single biomolecule.
PMID- 26564496
TI - Centrosymmetric and chiral porous thorium organic frameworks exhibiting uncommon
thorium coordination environments.
AB - The solvothermal reaction of thorium nitrate and tris-(4-carboxylphenyl)phosphine
oxide in DMF affords a centrosymmetric porous thorium organic framework compound
[Th(TPO)(OH)(H2O)].8H2O (1). In contrast, the ionothermal reaction of the same
reagents in the ionic liquid 1-butyl-2,3-dimethylimidazolium chloride results in
the formation of a rare example of a chiral and porous thorium organic framework
compound, [C9H17N2][Th(TPO)Cl2].18H2O (2), which is derived solely from achiral
starting materials. The geometries of the Th(iv) centers in compounds 1 and 2 are
both atypical for low valent actinides, which can be best described as a ten
coordinate spherical sphenocorona and an irregular muffin, respectively. A large
cavity of 17.5 A (max. face to face) * 8 A (min. face to face) with a BET surface
area of 623 m(2) g(-1) in compound 2 is observed. The poor stability indicated by
thermal gravimetric analysis and the water-resistance test for compound 2 may be
due to the unique anisotropic coordination geometry for thorium. Temperature
dependent luminescence studies for both compounds indicate that the trends in the
intensity vary as the Th-Th distance and the coordination environments of Th(iv)
centers change.
PMID- 26564497
TI - [Dynamic Changes of the Quantitative Distribution,Apoptosis and Proliferation of
T and B Cells in the Skin of KM Mutant Mice].
AB - OBJECTIVE: To observe the change of quantitative distribution,apoptosis and
proliferation of T and B cells in the skin of KM mutant mice. METHODS: We chose 1
,3-,6-,9-,22-day,3-,6-month-old KM mutant and wild-type mice to detect the
changes of T and B lymphocytes using blood routine tests and immunohistochemical
staining. Apoptosis was detected by TUNEL staining and proliferation by
proliferating cell nuclear antigen (PCNA) staining. RESULTS: T cells on KM mutant
mice skin were mainly seen in epidermis and dermis. They increased on the first
day to 6(th) day after birth and decreased on the 9(th) and 22(nd) day,but after
3-month-old,their number began to increase;at the time of 6 months,the number of
B cells also increased. The apoptosis of the skin hair follicle and sebaceous
gland cells were more obvious in KM mutant mice than in wild-type mice,with the
maximal apoptosis occurred at the age of 22-day-old in both groups. The
proliferation of epidermal basal cells in KM mutant mice between 1 to 9-day-old
was not significantly different from that in the wild-type mice,but decreasing on
the 22(nd) day and 3(rd) month and increasing in the 6(th) month. The
proliferation in hair follicle and sebaceous glands decreased on 9(th)
day,increased on 22(nd) day,and deceased on the 3(rd) month again. CONCLUSIONS:
The quantitative distribution,apoptosis,and proliferation of T and B lymphocytes
abnormally change in the skin tissue of KM spontaneous mutant mice. They may lead
to immune and hair growth disorders and promote the inflammatory responses.
PMID- 26564498
TI - [Protective Effect of S-isopentenyl-L-cysteine against DNA Damage in Irradiated
Mice].
AB - OBJECTIVE: To evaluate the protective effect of S-isopentenyl-L-cysteine,a new
cysteine derivative,on DNA damage induced by radiation by using acute radiation
injury animal models. METHODS: Forty ICR mice were randomly divided into five
groups:the control group,1.0Gy gamma irradiation group,1.0Gy gamma irradiation
combined with S-isopentenyl-L-cysteine group,7.2Gy gamma irradiation group,and
7.2Gy gamma irradiation combined with S-isopentenyl-L-cysteine group,with 8 mice
in each group.The comet assay and bone marrow polychromatic micronucleus
experiments were performed to evaluate the double-strand DNA breaks in ICR mice
exposed to 1.0 and 7.2Gy gamma-ray, respectively. RESULTS: The tail DNA
percentage,tail length,tail moment,and olive tail moment of peripheral blood
lymphocytes in 7.2Gy gamma irradiation group were significantly higher than that
of the control group (P<0.01).And it was also observed that above experimental
indexes of 7.2Gy gamma irradiation combined with S-isopentenyl-L-cysteine group
was significantly less than that of 7.2Gy gamma irradiation group (P<0.05). In
addition,the micronucleus rate of 1.0Gy gamma irradiation group and 7.2Gy gamma
irradiation group were both significantly higher than in the control group
(P<0.01). In addition,in mice given S-isopentenyl-L-cysteine before
irradiation,the micronucleus rate of ICR mice exposed to 1.0 and 7.2Gy gamma-ray
decreased from (39.5000 +/- 3.3141)0/00 to (28.1667+/-4.1345)0/00 (P=0.033) and
from (76.5000 +/- 4.6242)0/00 to (22.8333 +/- 3.6553)0/00(P=0.000),respectively.
The bone marrow polychromatic micronucleus experiment indicated that the value of
polychromatic erythrocyte (PCE)/normochromatic erythrocyte(NCE) of ICR mice
exposed to 1.0 and 7.2Gy gamma-ray was less than the control group(P<0.05).
Meanwhile,after irradiating by certain dose,the value of PCE/NCE in mice given S
isopentenyl-L-cysteine before irradiation was significantly higher than the
corresponding groups (P<0.05). CONCLUSION: S-isopentenyl-L-cysteine has a good
protective effect against DNA damage induced by radiation.
PMID- 26564499
TI - [Regulatory Role of Nitric Oxide in Development and Hatching of Mouse
Blastocysts].
AB - OBJECTIVE: To determine the regulatory role and mechanism of nitric oxide (NO) in
the development and hatching of mouse blastocysts. METHODS: The Kunming female
mice were superovulated and then mated with mature male mice. On the day 2.5 of
their pregnancy, morulae were flushed from their uterine horns with culture
media. Morulae were cultured in different concentrations of N-nitro-L arginine
methyl ester (L-NAME), sodium nitroprusside (SNP), or the combination of L-NAME
and SNP in culture media for 48 hours. The development and hatching of
blastocysts were examined on day 4 and day 5 and the total numbers of blastocyst
cells and cysteinyl aspartate specific proteinase 3 (caspase 3) were observed
under confocal laser scanning microscope. RESULTS: With the increase of the
concentration of L-NAME or SNP, the hatching rate of blastocysts and the total
number of blastocyst cells were significantly reduced. The addition of 10 nmol/L
SNP in culture media with 5 mmol/L L-NAME significantly increased the development
of blastocysts and promoted hatching of blastocysts. However, with increase of
SNP concentration in culture media with 5 mmol/L L-NAME, the development and
hatching rates of blastocysts were significantly decreased. L-NAME had no obvious
effect on the expression of active caspase 3 in blastocyst cells. However,when
being above 500 nmol/L,SNP significantly increased the expression of caspase 3 in
blastocyst cells. CONCLUSIONS: NO plays an important role in development and
hatching of mouse blastocysts. Excessively high or low NO can damage the division
of blastomeres, resulting in the failure of the blastocyst development and
hatching. Also, excessively high NO can lead to the apoptosis of the blastocyst
cells.
PMID- 26564500
TI - [Change of Ankyrin G Promoter Activity Following Treatment with Sodium Valproate
at Different Concentrations].
AB - OBJECTIVE: To investigate whether sodium valproate (VPA) directly regulates the
activity of Ankyrin G(AnkG) promoter in vitro. METHODS: The mouse AnkG promoter
sequence was identified by comparing both human and mouse AnkG promoter
sequences. The promoter was amplified from C57BL/6 mouse genome DNA and cloned
into pGL3 Luciferase reporter vector. The Luciferase activity was detected in N2a
and 293T cells and then treated with 0,0.5, and 1 mmol/L VPA for 12 h. The
transcription activity of AnkG promoter in cells and the activity of VPA-treated
Luciferase reporter vector in cells were detected using dual Luciferase reporter
assay. RESULTS: The AnkG promoter clone and its expression vector were
successfully established, as confirmed by enzyme digestion and sequencing. The
AnkG promoter showed high transcription activity in both N2a and 293T cells. The
Luciferase activity was significantly induced following 0.5 mmol/L VPA treatment
in both N2a and 293T cells. CONCLUSIONS VPA can up-regulate the AnkG expression
via directly increasing its transcription activity. Thus, the in vivo AnkG
expression may be directly regulated by the VPA at transcriptional level.
PMID- 26564501
TI - [miR-29b Reduces Cisplatin Resistance of Gastric Cancer Cell by Targeting
PI3K/Akt Pathway].
AB - OBJECTIVE: To investigate the regulatory effect of miR-29b on gastric cells'
resistance to cisplatin. METHODS: The expression of miR-29b in gastric cancer
cell line treated with cisplatin concentration gradient was detected using
quantitative reverse-transcriptase polymerase chain reaction (qRT-PCR) and
Western blotting. CCK8 was used to measure the cell viability after cisplatin
treatment in condition of miR-29b knock-down and overexpression. RESULTS: The
expression of miR-29b was significantly upregualted by cisplatin treatment,while
its target gene AKT2 was downregulated. The up-regulation of miR-29b enhanced the
sensitivity of gastric cancer cells to cisplatin,while the knock-down of miR-29b
enhanced the cisplatin resistance. Rescue experiments demonstrated that the miR
29b might regulate cisplatin resistance of gastric cancer cell by targeting
PI3K/Akt pathway. The expressions of the other two members of miR-29 family, miR
29a/c, were promoted by cisplatin treatment,but they had no significant effect on
gastric cancer cell's resistance to cisplatin. CONCLUSION: miR-29b can enhance
the sensitivity of S gastric cancer cell by directly targeting PI3K/Akt pathway.
PMID- 26564502
TI - [Growth Differentiation Factor 15 Inhibits Elevated Expression of Matrix
Metalloproteinase 1 Induced by Pooled Serum in Patients with Coroanry Artery
Ectasia].
AB - OBJECTIVE: To observe the effects of coroanry artery ectasia (CAE) patients'
pooled serum on the main proteinases and extracellular matrix (ECM) synthesis and
explore whether the growth differentiation factor 15(GDF 15) can regulate the
characteristic changes induced by CAE patients' pooled serum. METHODS: Serum
samples were collected from 32 CAE patients, 30 patients with coronary heart
disease (CHD), and 31 subjects with normal coronary arteries (CON) and then mixed
in the same volumes by groups. Then human umbilical vein smooth muscle cells were
cultured with the media containing 25% pooled serum. After having been disposed,
proteinase system and ECM synthesis system were detected in the cell and culture
media samples. GDF15 or GDF15 antibodies was added into the 25% pooled serum in
each group to observe if GDF 15 could impact the characteristic changes induced
by CAE patients' pooled serum. RESULTS: The expression of matrix
metalloproteinases (MMP) 1 mRNA in CAE group was significantly higher than CON
group (P=0.002) and CHD group (P=0.000), the secretory MMP1 protein and total
MMPs activity in culture media were also upregulated in CAE group (both P<0.01).
After adding GDF 15 into the culture media (GDF15+CAE group), the MMP1 mRNA
,secretory MMP1 protein, and total MMPs activity were significantly lower than
CAE group (all P<0.01), while in the GDF15 antibody+CAE group, the MMP1 mRNA and
total MMPs activities were significantly higher than in GDF15+CAE group (both
P<0.01), but the secretory MMP1 protein was not different from GDF 15+CAE group
(P>0.05). CONCLUSION: The vascular smooth muscle cells may participate in the CAE
process mainly by regulating MMPs system but not the elastase 2 or ECM synthesis
system, and GDF15 may be an compensatory factor to prohibit the over-destruction
of coronary ECM induced by MMPs.
PMID- 26564503
TI - [Chronic Disease Control among Rural Residents in Beijing Pinggu District].
AB - OBJECTIVE: To analyze the composition and control status of chronic diseases
among rural residents in a Beijing suburb district. METHODS: Rural residents aged
35 years or older were investigated by stratified random sampling in Pinggu
District, Beijing. Each participant received questionnaire-based survey,physical
examination,and laboratory tests including routine blood test,urine albumin
creatinine ratio (ACR), liver and renal function,serum lipid, fasting blood
glucose, and glycosylated hemoglobin. RESULTS: A total of 10 385 residents
completed all items. Cerebrovascular disease was leading cause of hospitalization
(accounting for 14.4%) and its incidence in the population was 9.6%. The
incidences of hypertension,hyperlipidemia,diabetes mellitus,and
gout/hyperuricemia,which were the main compositions related with metabolic
diseases,were up to 64.4%,42.5%,24.4%, and 9.0%, respectively. The disease onset
was significantly related with the age. The incidence of hypertension was
gradually elevated with the increasing of age,while the peak age was 55-64 years
for diabetes and 35-44 years for gout/hyperuricemia. The awareness rate of
hypertension,diabetes,and chronic kidney disease was 60.2%, 55.1%,and
6.0%,respectively. The control rate of chronic disease was 19.2% and 28.8% in
hypertensive and diabetic patients, respectively. CONCLUSIONS: Cerebrovascular
diseases and metabolic-associated diseases are the main chronic diseases
affecting rural residents in Pinggu district, Beijing. The awareness rate and
control rate of chronic diseases needs to be further enhanced by strengthening
health education and improving the community medical service.
PMID- 26564504
TI - [Natural Outcome of Genital Tract High-risk Human Papillomavirus Infection and
Associated Factors among 760 Women].
AB - OBJECTIVE: To investigate the natural outcome of genital tract high risk human
papillomavirus (HR-HPV) infection and associated factors among women in Xi'an
region. METHODS: Totally 760 women with primary genital tract HR-HPV infection
were enrolled and followed up by HPV-DNA genotyping technology. The cervical
cytological techniques and/or colposcopy were used when necessary. RESULTS: Among
these subjects,the natural clearance rate of HR-HPV infection was 71.58%,with the
median time of 8.10 months. The rate of HPV persistent infection was 22.63%, with
the median time of 17.23 months. The rate of progression to cervical
intraepithelial neoplasia (CIN)/cervical cancer (CC) was 5.79%, with the median
time of 29.34 months. The natural clearance rate (P=0.000), persistent infection
rate (P=0.000) and progression rate (P=0.040) in women older than 50 years were
statistically difference from other age groups. The persistent infection rate in
multiple infections group was significantly lower than that in single infection
group (P=0.010), with the median time statistically longer than that in single
infection group (P=0.018). The most easily progressive genotypes were HPV-16,HPV
33, HPV-58,HPV-18,HPV-52, and HPV-68, among which HPV-16 was the most common
genotype in CIN 3/CC cases, accounting for 85.00%. CONCLUSIONS: Most of HR-HPV
infections are naturally cleared within 2 years, and only a few cases progresses
to CIN/CC. Women older than 50 years have a lower natural clearance rate and
higher persistent and progressive rates. Multiple infections can affect the
persistent infection. HPV-16 is the most common carcinogenic genotype in Xi'an
region.
PMID- 26564505
TI - [Risk Factors of Perioperative Cardiac Events in Elderly Patients with Coronary
Heart Disease Undergoing Non-cardiac Surgery].
AB - OBJECTIVE: To identify the incidence and risk factors of perioperative major
adverse cardiac events (MACE) in elderly patients with coronary heart disease
(CHD) undergoing non-cardiac surgery. METHODS: We prospectively analyzed the
clinical data of 360 CHD patients who aged 75 years or older undergoing elective
intermediate-to high-risk surgery in five medical centers across China from
January 2008 to January 2010. The clinical variables included the 12-lead ECG and
Troponin I levels after surgery. The combined outcome was defined as all the
perioperative MACE in hospital. The risk factors of MACE and their indexes were
analyzed with univariate analysis and multivariable logistic regression in SPSS
software,together with a risk scoring and stratification system established.
RESULTS: Perioperative MACE occurred in 11.94% of elderly CHD patients undergoing
non-cardiac surgery. Seven independent risk factors of perioperative MACE for
this population were identified,which included angina within 6 months (P=0.001),
hypertension(P=0.014), preoperative haematocrit (HCT) <40% (P=0.050), serum
creatinine (Scr)>150 mmol/L (P=0.014), ejection fraction(EF) <50% (P=0.019),
intraoperative hyoxemia (P=0.019), and operative time>150 min (P=0.001). The risk
indexes of these factors were 4,3,3,6,4,5, and 4, respectively. The rate of
perioperative MACE increased significantly as the level of risk stratification
elevated. CONCLUSIONS: Elderly CHD patients undergoing non-cardiac surgery are at
high risk of perioperative MACE. Angina within 6 months,hypertension,
preoperative HCT<40%, Scr>150 mmol/L, EF<50%, intraoperative hyoxemia, and
operative time>150 min can increase the risk of MACE. The risk scoring and
stratification system based on the risk factor index can be a valuable parameter
for assessing the perioperative cardiac risk of noncardiac surgery for elderly
CHD patients.
PMID- 26564506
TI - [Prognostic Factors of Stage 3 Colorectal Cancer in 433 Patients].
AB - OBJECTIVE: To summarize the prognostic factors of stage 3 colorectal cancer.
METHODS: The clinical data of 433 patients with stage 3 colorectal cancer who
were admitted to our hospital from January 2005 to December 2008 for radical
surgery and adjuvant chemotherapy were retrospectively analyzed. Relationship of
their clinicopathologic features and treatment with the prognosis were analyzed.
RESULTS: Of these 433 stage 3 patients,the mean disease-free survival was (72.37
+/- 2.11) months and mean overall survival was (79.91 +/- 2.02) months; however,
the median survival times were not reached. The 1-,3-, and 5-year disease-free
survival rate were 86.8%,77.9%, and 57.0% and the overall survival rate were
91.5%,75.1%, and 63.3%. Multivariate COX regression analysis displayed that
intestine obstruction before surgery, complications after surgery,tumor
location,positive surgical margin, neural cell infiltration,vessel cancer
embolus, TNM stage, lymph node ratio, adjuvant chemotherapy regimens, and
chemotherapy duration were the independent factors affecting disease-free and
overall survivals in patients with stage 3 colorectal cancer. The efficacies of
FOLFOX and XELOX regimens were significantly correlated with patient's age,
complications,tumor location,and chemotherapy duration. CONCLUSIONS:
Complications,tumor location, TNM stage, and positive surgical margin are the
independent prognostic factors of stage 3 colorectal cancer. FOLFOX and XELOX
regimen can remarkably improve prognosis,and a longer duration of chemotherapy
can achieve better survival.
PMID- 26564507
TI - [Logistic Regression Analysis of Depression in Arteriosclerosis Obliterans
Patients and Its Risk Factors].
AB - OBJECTIVE: To investigate the depression in arteriosclerosis obliterans (ASO)
patients and its risk factors. METHODS: The self-rating depression scale (SDS)
was applied in 228 ASO patients hospitalized in the vascular surgery department
of Peking Union Medical College Hospital from March 2010 to October 2011. The
risk factors of depression were analyzed by using univariate and multivariate
Logistic regression analysis. RESULTS: Of these 228 ASO patients, 133 (58.3%)
were found to be depressive. Univariate and multivariate analysis showed that
female (OR=0.15,95% CI:0.05-0.45), hypertension (OR=4.63,95% CI:1.90-11.29),
coronary heart disease (OR=3.62,95%CI:1.43-9.18), as well as Fontaine 2a
(OR=20.76,95% CI:3.21-134.28), 2b (OR=26.34,95% CI:4.20-164.97), 3(OR=192.28,95%
CI:25.97-1423.51), and 4(OR=291.41,95% CI:28.67-2962.21) were the risk factors of
depression in ASO patients. CONCLUSIONS: ASO patients can easily develop
depression. Female, hypertension, coronary heart disease, and Fontaine 2a,
2b,3,and 4 are the risk factors of depression in ASO patients.
PMID- 26564508
TI - [Application of Immunohistochemistry and Immunofluorescence Staining in Detection
of Phospholipase A2 Receptor on Paraffin Section of Renal Biopsy Tissue].
AB - OBJECTIVE: To evaluate the application of immunohistochemistry and fluorescence
staining method in the detection of phospholipase A2 receptor (PLA2R) on paraffin
section of renal biopsy tissue,and to find an accurate and fast method for the
detection of PLA2R in renal tissue. METHODS: The PLA2R of 193 cases were detected
by immunohistochemical staining,and the antigen was repaired by the method of
high pressure cooker (HPC) hot repair plus trypsin repair. The 193 samples
including 139 cases of idiopathic membranous nephropathy (IMN), 15 cases of
membranous lupus nephritis, 8 cases of hepatitis B virus associated membranous
nephropathy, 18 cases of IgA nephropathy, and 13 cases of minimal change
diseases. To compare the dyeing effects, 22 paraffin sections of renal biopsy
tissue of IMN cases with positive PLA2R were stained by using 4 different.
METHODS: of antigen repairing,which included HPC hot repair, HPC hot repair plus
trypsin repair, water bath heat repair, and water bath heat repair plus trypsin
repair. To compare the dyeing effects, 15 paraffin sections of renal biopsy
tissue of IMN cases with positive PLA2R were stained by using 3 different.
METHODS: of antigen repairing,which included water bath heat repair plus trypsin
repair, protease K digestion repair, and pepsin digestion repair. RESULTS: In 193
cases, the positive rate of PLA2R in IMN cases was 90.6% (126/139), and the other
54 patients without IMN were negative. Twenty-two IMN patients were positive for
PLA2R by using the HPC heat repair plus trypsin repaire or the water bath heat
repair plus trypsin repair;while only a few cases of 22 IMN cases were positive
by using the HPC hot repair alone or water bath heat repair alone. Fifteen IMN
patients were positive for PLA2R by using water bath heat repair plus trypsin
repair,protease K digestion repair,and pepsin digestion repair, but the
distribution of positive deposits and the background were different. CONCLUSIONS:
PLA2R immunohistochemical staining can effectively identify IMN and secondary MN.
For immunohistochemical staining and immunofluorescence staining, the preferred
method of antigen repair is water bath heat repair plus trypsin repair.
PMID- 26564509
TI - [Three-dimensional Pseudo-continuous Arterial Spin Label Non-contrast Enhanced
Perfusion Imaging of Head and Neck Tumors with High-field MR System].
AB - OBJECTIVE: To evaluate the feasibility of three-dimensional pseudo-continuous
arterial spin label (3D pCASL) non-contrast enhanced perfusion imaging applied to
head and neck tumors in high-field MR and detect the effects of different
postlabeling delay (PLD) time on image quality and the reliability of repeated
measurements of tumor blood flow (BF) in different 3D pCASL groups. METHODS: In
this prospective study,all the 25 patients received neck 3D pCASL non-contrast
enhanced perfusion examinations in a 3.0 T MR system by using an 8-channel head
and neck joint coil. Conventional T1-weighted (TIWI) and T2-weighted imaging
(T2WI) were performed firstly. Finally,three 3D pCASL with different PLD time
[ASL1(PLD1=1525 ms),ASL2 (PLD2=2025 ms), ASL3(PLD3=2525 ms)] were acquired.
Patients' perfusion-weighted images acquired from different 3D pCASL sequences
underwent the analysis of signal to noise ratio (SNR) and contrast noise ratio
(CNR) for tumors. Two observers performed the qualitative assessments on spiral
artifacts and vascular artifacts of perfusion-weighted images from different 3D
pCASL sequences. Blood flow (BF) of tumors from different 3D pCASL sequences were
measured by the two observers respectively for the first time and by observer 2
for the second time. RESULTS: Seventeen enrolled patients (age:50.1 +/- 12.7
years,M/F=10:7) with histopathologic. RESULTS: underwent the evaluation of image
quality and measurements of BF values. The SNRs and CNRs of ASL1,ASL2, and ASL3
showed a descending trendency. SNRs (P=0.011) and CNRs (P=0.009) of ASL1 were
significant higher than those of ASL3. There was no significant difference of
scores of spiral artifacts among the three ASL groups (P=0.932). The scores of
vascular artifacts of ASL1,ASL2,and ASL3 showed a descending trendency,also. And
scores of ASL1 was significant higher than that of ASL3(P=0.000). The intraclass
correlation coefficient (ICC) of intre-and intraobserver were high (ICC>0.9).
Although the BF values of ASL1,ASL2, and ASL3 showed an ascending trendency,there
was no significant difference among the three groups (P=0.977). CONCLUSIONS: The
3D pCASL no-contrast enhanced perfusion MR imaging can be used for head and neck
tumor. The image quality of perfusion weighted images and reliability of BF
measurements were satisfied. The 3D pCASL series with PLD of 1525 ms and 2025 ms
have better image quality than PLD of 2525 ms. And BF values do not show
significant statistic difference among the three groups. Therefore, 3D pCASL
series with PLD of 1525 ms and 2025 ms are more suitable for the perfusion
imaging of head and neck tumors
PMID- 26564510
TI - [Hyperechoic Breast Lesions on Ultrasound:Easily Misdiagnosed Conditions].
AB - OBJECTIVE: To evaluate the incidences of hyperechoic breast lesions and
hyperechoic breast cancers in lesions categorized as Breast Imaging Reporting and
Data System (BI-RADS) 4 and 5 on ultrasound and investigate their sonographic
features and the underlying histological causes. METHODS: The pathologic records
for 848 sonographically guided core needle biopsies or surgical resection were
retrospectively reviewed from June 2012 to March 2014. Hyperechoic lesions were
identified and their sonographic features were evaluated. The incidence of
hyperechoic breast lesions and the frequency of hyperechoic cancers among all
hyperechoic breast lesions were calculated. RESULTS: Of all 848 lesions, 0.9%
(8/848) were hyperechoic. Twenty-five percent (2/8) of the hyperechoic lesions
were malignant and the remaining six were benign. Among the 280 malignant
lesions, 0.7%(2/280) were hyperechoic. The pathological basis of breast lesions
presenting as hyperechoic nodules included inflammatory edema, galactoceles, and
grit calcifications. Little difference of sonographic feature was found between
benign and malignant hyperechoic lesions in this study. CONCLUSIONS: Hyperechoic
breast lesions are rare conditions but can be associated with a high ratio of
breast cancer. History-taking and imaging techniques may help to avoid
misdiagnosis.
PMID- 26564511
TI - [Use of Ultrasound in the Follow-up of Professional Athletes Receiving
Conservative Treatment of Patellar Tendon Enthesiopathy].
AB - OBJECTIVE: To investigate the role of high-frequency ultrasound (HFUS) in
evaluating in the effectiveness of conservative treatment for professional
athletes with patellar tendon enthesiopathy. METHODS: According to different
treatment intensities, 24 professional athletes with patellar tendon
enthesiopathy were randomly divided into painless group, slightly-painful group
and extremely-painful group. Then changes of the HFUS findings [including ranges
of two-dimensional diseases and blood conditions by Color Doppler Flow Imaging
(CDFI)] of patellar tendon before and after the treatment were recorded. The
results were also compared with conventional clinical treatment evaluations.
RESULTS: After two courses of treatment,the percentage of athletes whose pain was
resolved or disappeared was 37.5% in painless group, 87.5% in slightly-painful
group, and 62.5% in extremely-painful group. The pain score was 4.50 +/- 2.07,
4.88 +/- 1.13, and 6.13 +/- 1.55 in painless group,slightly-painful group,and
extremely-painful group, respectively,before treatment and 4.88 +/- 2.17, 3.00 +/
1.77,and 5.13 +/- 2.36 after treatment. The average pain score remarkably
decreased in the slightly-painful group and extremely-painful group,and such
difference was statistically significant in the slightly-pain group (P<0.05). The
effective rate (defined as thinner patellar,decreased hypoecho area and fewer
blood distribution in the lesion) was 38%, 50%, and 62% in the painless group,
slightly-painful group,and extremely-painful group, and the rates in the slightly
painful group and extremely-painful group were significantly higher than that in
painless group (both P<0.05). CONCLUSIONS: HFUS can display the ultrasonographic
changes of patellar tendon enthesiopathy after conservative treatments in an
objective and quantitative manner. Compared with conventional clinical
evaluations, it can more accurately reflect the disease recovery status.
PMID- 26564512
TI - [Clinical Value of Ultrasonography in Predicting Massive Hemorrhage during
Cesarean Scar Pregnancy].
AB - OBJECTIVE: To investigate the clinical value of ultrasonography in predicting
massive haemorrhage during Cesarean scar pregnancy. METHODS: The clinical and
ultrasonograhic data of 119 Cesarean scar pregnancy patients were retrospective
analyzed. According to the amount of bleeding, these patients were divided into
two groups:massive hemorrhage group and non-massive hemorrhage group. The
potential risk factors of massive hemorrhage were analyzed with Logistic
regression analysis. RESULTS: The size and type of lesions, flow grade, and
residual muscular thickness were screened as the risk factors of massive
haemorrhage by Logistic regression model. When P=0.3 was applied as the cutoff
value,the diagnostic accuracy was 90.75%;meanwhile,the
sensitivity,specificity,positive predictive value, and negative predictive value
were 88.23%, 91.76%, 81.08%, and 95.12%,respectively. CONCLUSION: Ultrasonography
can accurately predict the risk of massive hemorrhage during the Cesarean scar
pregnancy.
PMID- 26564513
TI - [Effect of Selenious Yeast Tablets on the Thyroglobulin Antibody Level in
ThyroglobulinAntibody-positive Patients with Differentiated Thyroid Cancer].
AB - OBJECTIVE: To investigate the change of thyroglobulin antibodies (TgAb) after the
application of selenious yeast tablet (SYT) in differentiated thyroid cancer
(DTC) patients with positive TgAb (>115 U/ml). METHODS: We enrolled 41 DTC
patients with positive TgAb who had undergone total thyroidectomy and subsequent
131I therapy as well as applied SYT in group 1 (G1). Patients with an interval of
more than 6 months between SYT use and 131I therapy or with repeated TgAb
measurements before the use of SYTs were divided into group 2 (G2) and group 3
(G3), respectively. Changes in TgAb after application of SYT in both G1 and G2
were observed and analyzed by rank sum test. Comparison of TgAb gradient over
certain time before and after the application was analyzed by t-test. RESULTS:
The proportions of patients with decreased or elevated TgAb were 85.4% and 14.6%
in G1 and 90.9% and 9.1% in G2, respectively. Compared with the previous TgAb
levels, TgAb decreased significantly after the application of SYT in either G1
(P=0.000) or G2(P=0.003). In G3, the TgAb level rose by 5.6% every month before
applying SYT and fell 8.3% every month after the application (P=0.086).
CONCLUSION: Application of SYT in DTC patients with positive TgAb can effectively
decrease the TgAb level.
PMID- 26564514
TI - [Low-dose Radioiodine for Ablation in Non-metastatic High-risk Thyroid Cancer].
AB - OBJECTIVE: To evaluate the role of low-dose radioiodine in the treatment of non
metastatic high-risk differentiated thyroid cancer (DTC) patients with
macroscopic extra-thyroidal extension (MAEE). METHODS: Totally 103 DTC patients
with total/near-total thyroidectomy and selective lymph node resection, and with
MAEE, any N stage,preablative stimulated thyroglobulin (ps-Tg) <= 5 ng/ml when
thyroglobulin antibodies (TgAb) <= 46 U/ml and no evidence of distant metastasis
were retrospectively analyzed in this study. Fifty-two received low dose RAI
(1110 MBq) and 51 received high dose (>= 3700 MBq). The successful ablation rate
and disease-free survival rate were compared between these two groups. RESULTS:
The successful ablative rate was 86.5% (45/52) in low-dose group and 86.3%
(44/51) in high-dose group (P=0.9688), and the disease-free survival was 97.4%
(38/39) in low-dose group and 97.5% (39/40) in high-dose group. CONCLUSION: The
ablation success and medium-term clinical outcome with low-dose RAI is proved to
be non-inferior to high dose in non-metastatic patients with MAEE when ps-Tg
level is less than 5 ng/ml.
PMID- 26564515
TI - [Features of Acquired Immunodeficiency Syndrome-related Lymphoma on (18)F
fluorodeoxyglucose Positron Emission Tomography/Computed Tomography].
AB - OBJECTIVE: To analyze the imaging features of (18)F-fluorodeoxyglucose (18F-FDG)
positron emission tomography(PET)/computed tomography (CT) in acquired immune
deficiency syndrome-related lymphoma (ARL) patients correlated with their
clinical signs, symptoms, and treatments. METHODS: Five ARL patients underwent
18F-FDG PET/CT at Peking Union Medical College Hospital from October 2008 to
January 2013. Two patients received two additional follow-up studies 6 months
later. RESULTS: Among these 5 patients, 18FDG-PET/CT helped in diagnosis of two
patient and changed therapeutic strategy in other two patients. In two patients
underwent 18F-FDG PET/CT brain scans, low-metabolism lesion was newly found in
cerebral cortex. Of 4 patients receiving highly active antiretroviral therapy,
PET/CT also demonstrated diffusely elevated 18F-FDG uptake in subcutaneous
adipose tissue in two patients. CONCLUSION: 18F-FDG PET/CT is a highly useful
tool in the diagnosis and treatment of ARL patients, in particular in the
identification of associated encephalopathy and lipodystrophy.
PMID- 26564516
TI - [Ubiquitous Ribonucleic Acid:miRNA is the Ubiquitin of RNA].
AB - Small RNAs, especially microRNAs (miRNAs),widely exist in eukaryotic cells, with
their main functions being regulating gene expression and function of target
molecules through the degradation of cellular target RNAs by the ribonuclease
based system. Ubiquitins and ubiquitin-like proteins are polypeptides that exist
in most eukaryotic cells, and their main function is almost to regulate protein
level through the degradation of cellular proteins by ubiquitin proteasome
system. Small RNAs, including miRNAs,and ubiquitins or ubiquitin-like proteins
have similarities in many aspects although small RNAs and ubiquitin or ubiquitin
like proteins interact different substrates respectively. Therefore, miRNAs can
be defined as ubiquitra (ubiquitous ribonucleic acid, ubiquitra or uRNA), and the
other small RNAs can be defined as ubiquitra-like RNA or uRNA-like RNA. The
concept of ubiquitra may be applied for explaining the biological essence of
small RNAs diversity.
PMID- 26564517
TI - [Application of Reporter Gene Labeling in Stem Cell Therapy for Acute Myocardial
Infarction].
AB - Stem cell therapy for acute myocardial infarction is drawing great attention.
However,the biological behavior and function mechanism of implanted stem cells
remain controversial, as well as their clinical benefits. With the development of
imaging probes and devices, molecular imaging enables noninvasive, dynamic
tracking of stem cells in vivo. In this review, we summarize the use of various
markers,especially the technique of reporter gene labeling, in the field of stem
cell therapy, and highlight some recent preclinical and clinical achievements.
PMID- 26564518
TI - [Research Advances in NLRP3 Inflammasome-related Regulatory Mechanisms].
AB - Inflammasome is one of the pattern recognition receptors whose activation
directly relates to the maturity and secretion of proinflammatory cytokines
interleukin (IL)-1Beta and IL-18. Thus, it plays an important role in the humoral
immunity. A growing number of studies have found that inflammasome has a close
relationship with the pathogenesis of various diseases including
atherosclerosis,diabetes, and gout. However,the activation of the inflammasome
and its specific regulatory mechanisms remain not clear. This article reviews the
possible regulatory mechanisms of the inflammasome NLRP3 in terms of oxidative
stress, endoplasmic reticulum stress,and autophagy reaction.
PMID- 26564519
TI - [Potential Clinical Implications of Circulating Tumor Cells].
AB - The circulating tumor cells (CTCs) are derived from primary or metastatic tumor
lesions and can be detected in the peripheral blood. With certain specific
features, CTCs can,to certain extent, reflect the progression and invasiveness of
tumors. Detection of CTCs may provide a powerful and noninvasive approach for
diagnosing neoplastic disease, identifying drug sensitivity, and enabling real
time treatment monitoring and prognosis prediction. Improvements in cell
isolation and molecular identification will enable a broad range of clinical
applications.
PMID- 26564522
TI - Commentary on Hobday et al. (2015): Inconsistent results beneath consistent
conclusions--the need for a new approach to analysing alcohol availability.
PMID- 26564523
TI - Commentary on Lovatt et al. (2015): Lay and standard alcohol epidemiology--rival
approaches or the beginnings of a dialogue?
PMID- 26564524
TI - Commentary on Niesink et al. (2015): Interpreting trends in tetrahydrocannabinol
potency--three stories, one of which may be true.
PMID- 26564525
TI - Commentary on Abdolahi et al. (2015): Isolating the role of the insula in drug
cravings.
PMID- 26564526
TI - 'Dry puff' and electronic cigarettes.
PMID- 26564527
TI - Tobacco sponsorship and the Arts.
PMID- 26564530
TI - The practicalities of a 'fit-for-purpose' validation.
PMID- 26564531
TI - The context-contingent nature of cross-modal activations of the visual cortex.
AB - Real-world environments are nearly always multisensory in nature. Processing in
such situations confers perceptual advantages, but its automaticity remains
poorly understood. Automaticity has been invoked to explain the activation of
visual cortices by laterally-presented sounds. This has been observed even when
the sounds were task-irrelevant and spatially uninformative about subsequent
targets. An auditory-evoked contralateral occipital positivity (ACOP) at ~250ms
post-sound onset has been postulated as the event-related potential (ERP)
correlate of this cross-modal effect. However, the spatial dimension of the
stimuli was nevertheless relevant in virtually all prior studies where the ACOP
was observed. By manipulating the implicit predictability of the location of
lateralised sounds in a passive auditory paradigm, we tested the automaticity of
cross-modal activations of visual cortices. 128-channel ERP data from healthy
participants were analysed within an electrical neuroimaging framework. The
timing, topography, and localisation resembled previous characterisations of the
ACOP. However, the cross-modal activations of visual cortices by sounds were
critically dependent on whether the sound location was (un)predictable. Our
results are the first direct evidence that this particular cross-modal process is
not (fully) automatic; instead, it is context-contingent. More generally, the
present findings provide novel insights into the importance of context-related
factors in controlling information processing across the senses, and call for a
revision of current models of automaticity in cognitive sciences.
PMID- 26564533
TI - Out of the shadows: shining a light on children with tuberculosis.
PMID- 26564532
TI - Categorical evidence, confidence, and urgency during probabilistic
categorization.
AB - We used a temporally extended categorization task to investigate the neural
substrates underlying our ability to integrate information over time and across
multiple stimulus features. Using model-based fMRI, we tracked the temporal
evolution of two important variables as participants deliberated about impending
choices: (1) categorical evidence, and (2) confidence (the total amount of
evidence provided by the stimuli, irrespective of the particular category
favored). Importantly, in each model, we also included a covariate that allowed
us to differentiate signals related to information accumulation from other,
evidence-independent functions that increased monotonically with time (such as
urgency or cognitive load). We found that somatomotor regions tracked the
temporal evolution of categorical evidence, while regions in both medial and
lateral prefrontal cortex, inferior parietal cortex, and the striatum tracked
decision confidence. As both theory and experimental work suggest that patterns
of activity thought to be related to information-accumulation may reflect, in
whole or in part, an interaction between sensory evidence and urgency, we
additionally investigated whether urgency might modulate the slopes of the two
evidence-dependent functions. We found that the slopes of both functions were
likely modulated by urgency such that the difference between the high and low
evidence states increased as the response deadline loomed.
PMID- 26564534
TI - The background and rationale for a new fixed-dose combination for first-line
treatment of tuberculosis in children.
AB - In 2010, the World Health Organization revised the recommendations for the
treatment of tuberculosis (TB) in children. The major revision was to increase
isoniazid, rifampicin and pyrazinamide dosages according to body weight in
children. The recommendations for higher dosages are based on consistent evidence
from 1) pharmacokinetic studies suggesting that young children require higher
dosages than adolescents and adults to achieve desired serum concentrations; and
2) observational studies reporting that the higher dosages would not be
associated with increased risk of toxicity in children. However, national
tuberculosis programmes faced unforeseen challenges in implementing the revised
recommendations. The main difficulty was to adapt the revised dosages for the
treatment of children with drug-susceptible TB using available fixed-dose
combinations (FDCs). A more suitable FDC for the intensive and continuation
phases of treatment has now been developed for planned implementation in 2015.
This paper explains the background and rationale for the development of a new FDC
tablet for children with drug-susceptible TB.
PMID- 26564535
TI - Counting children with tuberculosis: why numbers matter.
AB - In the last 5 years, childhood tuberculosis (TB) has received increasing
attention from international organisations, national TB programmes and academics.
For the first time, a number of different groups are developing techniques to
estimate the burden of childhood TB. We review the challenges in diagnosing TB in
children and the reasons why cases in children can go unreported. We discuss the
importance of an accurate understanding of burden for identifying problems in
programme delivery, targeting interventions, monitoring trends, setting targets,
allocating resources appropriately and providing strong advocacy. We briefly
review the estimates produced by new analytical methods, and outline the reasons
for recent improvements in our understanding and potential future directions. We
conclude that while innovation, collaboration and better data have improved our
understanding of the childhood TB burden, it remains substantially incomplete.
PMID- 26564536
TI - The procurement landscape of pediatric tuberculosis treatment: a Global Drug
Facility perspective.
AB - Simple, quality-assured, child-friendly formulations of existing first-line anti
tuberculosis (TB) drugs in the correct dosages are now becoming available.
Efforts are currently underway by the TB Alliance, the World Health Organization
(WHO), and its partners to make appropriate medicines available to treat children
diagnosed with TB. The functioning of the current market and the distribution
pathways in pediatric TB drugs now require characterization and understanding in
order to develop appropriate strategies for delivery of these and other future
pediatric TB medicines. The Stop TB Partnership's Global Drug Facility (GDF)
plays a major role in supplying pediatric TB medications worldwide. GDF is
considered to be the largest procurer of pediatric TB treatment and the largest
supplier to national TB programs of quality pediatric drugs. Between 2007 and
2013, the GDF delivered more than 580, 000 treatments to children in over 50
countries, 14 of which are among the 22 high TB burden countries. We analyzed
this data set in the context of WHO estimates of pediatric TB as well as other
available information to assess the functioning of the current market, lessons
learnt from the GDF experience in the market, and opportunities for future
products.
PMID- 26564537
TI - Pediatric tuberculosis drug market: an insider perspective on challenges and
solutions.
AB - Representative stakeholders were consulted on how they felt access to pediatric
tuberculosis (TB) drugs could be improved. A key recommendation is the
development of new child-friendly, adequately dosed formulations with a good
shelf life in all climate zones. There is also an urgent need to improve the
diagnosis and reporting of children with TB. Manufacturers of pediatric TB
medications are to be incentivized through improved coordination among all
stakeholders, with streamlined regulatory approvals and increased consumer
education on drug and regimen guidelines. Finally, pooled procurement is advised
to ensure sustained market supply against affordable prices.
PMID- 26564538
TI - From availability to uptake: planning for the introduction of new, child-friendly
anti-tuberculosis formulations.
AB - BACKGROUND: Assessing the state of country readiness for the introduction of new,
child-friendly anti-tuberculosis formulations can highlight potential
bottlenecks, facilitate early planning, and accelerate access to appropriate
treatment for children with tuberculosis (TB). METHODS: To understand pathways
and potential obstacles to the introduction of new pediatric formulations, we
performed a desk review of key policy documents and conducted 146 stakeholder
interviews in 19 high-burden countries. RESULTS: Issuance of World Health
Organization (WHO) guidance serves as the trigger for considering adoption in
most countries; however, the degree of alignment with WHO recommendations and
duration of introduction processes vary. Endorsement by experts and availability
of local evidence are leading criteria for adoption in upper-middle- and high
income countries. Ease of administration, decreased pill burden, and reduced
treatment costs are prioritized in low- and lower-middle-income settings.
Countries report an average of 10 steps on the path to new treatment
introduction, with core steps taking between 18 and 71 months. CONCLUSIONS: The
process of new treatment introduction is complicated by diverse country
processes, adoption criteria, and evidence requirements. Challenges differ
between low- and middle-to-high-income countries. Responsiveness to the unique
hurdles faced across settings is important in ensuring a sustainable market for
improved pediatric anti-tuberculosis treatment.
PMID- 26564539
TI - The journey to improve the prevention and management of childhood tuberculosis:
the Kenyan experience.
AB - Child tuberculosis (TB) cases in Kenya, a high TB burden country, constitute more
than one tenth of all TB cases. This paper describes Kenya's efforts in the past
decade to increase awareness about policy, improve leadership and combat the
multiple challenges faced in the diagnosis and management of children presumed to
have TB. We describe the increasing advocacy and involvement of paediatricians
and the child health sector with the National TB Programme, and the resulting
improvement in leadership, policy, child-specific guidelines and training
materials, health worker capacity, and the implementation of prevention and cure
of child TB.
PMID- 26564540
TI - Treatment of childhood tuberculosis in India.
AB - With a quarter of the global burden of tuberculosis (TB) occurring in India,
children in this country are at high risk of tuberculous infection and TB
disease. India's Revised National Tuberculosis Control Programme provides free
diagnosis and treatment for children with TB using quality assured, weight-based
individual drug boxes. Guidelines have recently been revised, updating both the
diagnostic algorithm and shifting to a daily regimen with World Health
Organization recommended dosages using child-friendly, fixed-dose combination
pills. Active case finding is practised in households of TB patients as well as
among human immunodeficiency virus infected and malnourished children. More
attention needs to be paid to the provision of preventive therapy for household
contacts aged <6 years as well as to the detection of multidrug-resistant TB
among children. Case notification and the use of the Standards of TB Care in
India are being strengthened in the private sector.
PMID- 26564541
TI - Unmasking childhood tuberculosis in Pakistan: efforts to improve detection and
management.
AB - Childhood tuberculosis (TB) is getting increasing global attention, as
tuberculous infection and TB disease in children indicate ongoing transmission in
the community. In this note from the field, we highlight issues in child TB
detection, management and prevention; child TB underdiagnosis, under-reporting
and lack of integration with the National TB Programme are the main challenges
faced in rural settings in Pakistan. We provide examples of solutions to overcome
some of these challenges and enable early TB diagnosis, effective management and
disease prevention in children.
PMID- 26564542
TI - Childhood tuberculosis in the United States: shifting the focus to prevention.
AB - In the last century, the United States has transitioned from a high to a low
tuberculosis (TB) incidence country. A major factor in this decline has been the
emphasis on identification and treatment of patients with tuberculous infection.
While identification, testing, and preventive therapy pose challenges, recent
developments in childhood TB offer more options for effective strategies that are
acceptable to both children and their families. These include screening and
testing in non-traditional settings, use of more specific assays (interferon
gamma release assays) for testing, and implementation of shorter-course
preventive regimens.
PMID- 26564543
TI - Childhood tuberculosis: a unified response to a global problem.
PMID- 26564544
TI - A bitter pill to swallow: the need for better medications for drug-resistant
tuberculosis in children.
AB - The large and growing access gap between the number of children who become sick
with drug-resistant tuberculosis (DR-TB) and those who are treated for the
disease each year represents a significant health systems failure. While there
are multiple reasons why children with DR-TB are not diagnosed and treated, a
serious challenge is the medications used to treat the disease. This paper
presents three child DR-TB cases who were treated incorrectly; the cases are used
to illustrate some of the problems with existing second-line medications.
Challenges, including the perception that the drugs are more dangerous than the
disease, lack of proper dosing recommendations and formulations, and the high
cost of current treatment, all contribute to a perverse situation in which the
most vulnerable pediatric patients are provided with a lower standard of care.
This situation can be reversed with novel partnerships and training models,
pharmacokinetic studies of the relevant drugs, increased collaboration, and
dedicated funding, grounded in a rights-based approach to DR-TB in children.
PMID- 26564545
TI - Paediatric formulations of second-line anti-tuberculosis medications: challenges
and considerations.
AB - There is a growing number of children worldwide accessing second-line anti
tuberculosis drugs for multidrug-resistant tuberculosis (TB); however, there are
very few child-friendly formulations. For paediatric use, dispersible tablets
offer distinct advantages over liquid formulations and other approaches. This is
particularly relevant for TB, where stability, long shelf-life and reduced
manufacturing, transport and storage costs are all critical to ensuring that
drugs are accessible and affordable. In addition, fixed-dose combinations that
reduce the pill burden and provide adequate taste masking may promote long-term
adherence to anti-tuberculosis treatment and prevention regimens likely to last
many months in children. Partial adherence may result in treatment failure and
the further selection and spread of resistant mycobacteria. Unfortunately, no
second-line TB paediatric drugs exist in dispersible formulations. We discuss
here the main obstacles to developing such tablets and present strategies for
overcoming them. We also advocate for timely anticipation of paediatric use when
new TB drugs are being developed, and for the development of child-friendly anti
tuberculosis formulations in general.
PMID- 26564546
TI - Accelerating clinical drug development for children with tuberculosis.
AB - Despite urgent need, the development, approval and availability of child-friendly
anti-tuberculosis drugs lag significantly behind that of adults, with children
having been ignored in anti-tuberculosis drug development research. This paper
outlines possible strategies for accelerating and better integrating the
development of drugs and regimens for pediatric tuberculosis (TB) into existing
drug development pathways for adults: initiation of pediatric studies of new
treatments as soon as promising efficacy data have been generated in adults
following successful phase II studies, shifting from the current age de-escalated
approach to concomitant enrollment of children from the various age groups in
studies, and leveraging the concepts of both the Unified Pathway and regimen
development that have helped speed the study and development of novel regimens in
adults.
PMID- 26564547
TI - Acting on the call: bring childhood TB out of the shadows.
PMID- 26564548
TI - Evaluation of oxidative and antioxidative parameters in generalized anxiety
disorder.
AB - Generalized anxiety disorder (GAD) is a prevalent psychiatric disorder. The exact
causes of GAD still unknown, in addition to neurochemical and neuroanatomic
disorders, genetic and environmental factors are discussed in etiology. In our
study we aimed to evaluate the oxidative metabolism's status and investigate the
role of oxidative metabolites in GAD. Blood samples were taken from enrolled
subjects in appropriate way and total antioxidant status (TAS), total oxidant
status (TOS), and oxidative stress index (OSI) were studied in Harran University
Biochemistry Labs. Results were compared between groups. The patients' TOS and
OSI levels were significantly higher than control group. The patients' TAS levels
were significantly lower than controls'. According to our findings, oxidative
stress mechanism might have a role in GAD pathophysiology. In the future, total
antioxidants may be used as a biologic marker in GAD etiology but more research
is needed.
PMID- 26564549
TI - Sexual risk behaviors among women with bipolar disorder.
AB - The aim of this study was to investigate sexual health and sexual risk behaviors
for sexually transmitted infections (STI) among women with bipolar disorder
(BDW). Sixty-three euthymic women diagnosed with bipolar disorder type I, II or
not otherwise specified were included and matched with a control group of 63
healthy women. Demographic and clinical data, structured sexual health measures
and extensive assessment of sexual risk behavior were obtained and compared
between groups. BDW had casual partners, were in non-monogamous sexual
partnerships and had sex with partners with unknown HIV condition more frequently
than healthy control women. History of two or more STI was more frequent among
BDW. Inclusion of sexual behavior risk assessment among BDW in treatment is
necessary to better identify those women with higher risk for STI and to take
measures to improve their sexual health.
PMID- 26564550
TI - Does cognitive impairment in treatment-resistant and ultra-treatment-resistant
schizophrenia differ from that in treatment responders?
AB - This study aimed to investigate whether cognitive impairment is more pronounced
in people with treatment-resistant schizophrenia compared with those who respond
well to first-line antipsychotic medication. Fifty-one patients with
schizophrenia were assigned to one of three groups dependent on their clinical
history: (i) 16 people who had responded well to first-line antipsychotic
medication, (ii) 20 people who were treatment-resistant but responding to
clozapine monotherapy, (iii) 15 people who were ultra-treatment
resistant/clozapine-resistant but responding to antipsychotic polypharmacy.
Twenty-two controls were also recruited. Groups were matched for age, sex,
disease duration and psychopathology. All participants undertook a computerised
battery of neuropsychological tests that assessed multiple cognitive domains. Raw
data were converted to z-scores, and test performance was compared between
groups. People with schizophrenia performed significantly worse than controls in
the majority of neuropsychological tests, with verbal memory, sustained
attention, and sensorimotor the most commonly impaired domains. No significant
differences in performance between people deemed to be treatment-resistant or
ultra-treatment-resistant, and those who responded well to first-line
antipsychotic medication were observed. There was no significant relationship
between antipsychotic dose and scores on any of the neuropsychological tests.
Cognitive impairment is a central feature of schizophrenia, but our results
suggest that treatment-resistance may not be associated with more severe
deficits.
PMID- 26564552
TI - Comparison of antioxidant activity of the fruits derived from in vitro propagated
and traditionally cultivated tayberry plants.
AB - BACKGROUND: Tayberry is a hybrid between Rubus fruticosus L. and Rubus idaeus L.
These fruits contain valuable vitamins and antioxidants. An effective protocol
for micropropagation of tayberry plants is here described. Different
concentrations of cytokinins (6-benzylaminopurine, zeatin, and 6-(gamma,gamma
dimethylallylamino)purine) were added in Murashige and Skoog, 1962 (MS) medium to
micropropagation using stem tip and nodal explants. RESULTS: The highest
propagation rate was recorded on MS medium containing 2 mg L(-1) zeatin, where
the shoot formation resulted in 3.4 shoots per stem tip explant after 4 weeks of
culture. It was found that half-strength MS medium with 0.1 mg L(-1) indole-3
butyric acid was the best for plant rooting. For ex vitro acclimatization of
plants, the mixture of peat, soil, and perlite (1:1:1 v/v/v) was the most
suitable planting substrate for hardening. The micropropagation protocol
described in this study might be useful for the production of healthy plant
materials. Tayberry fruits from in vitro propagated plants and adapted to the
field conditions possessed higher antioxidant capacity in comparison to
traditionally cultivated plants. CONCLUSION: Fruit extracts of micropropagated
tayberry plants and adapted to field conditions can be used as a rich source of
natural antioxidants. (c) 2015 Society of Chemical Industry.
PMID- 26564553
TI - Highlights from the Faraday Discussion on Carbon Dioxide Utilisation, Sheffield,
UK, September 2015.
PMID- 26564551
TI - Cyclic di-AMP mediates biofilm formation.
AB - Cyclic di-AMP (c-di-AMP) is an emerging second messenger in bacteria. It has been
shown to play important roles in bacterial fitness and virulence. However,
transduction of c-di-AMP signaling in bacteria and the role of c-di-AMP in
biofilm formation are not well understood. The level of c-di-AMP is modulated by
activity of di-adenylyl cyclase that produces c-di-AMP and phosphodiesterase
(PDE) that degrades c-di-AMP. In this study, we determined that increased c-di
AMP levels by deletion of the pdeA gene coding for a PDE promoted biofilm
formation in Streptococcus mutans. Deletion of pdeA upregulated expression of
gtfB, the gene coding for a major glucan producing enzyme. Inactivation of gtfB
blocked the increased biofilm by the pdeA mutant. Two c-di-AMP binding proteins
including CabPA (SMU_1562) and CabPB (SMU_1708) were identified. Interestingly,
only CabPA deficiency inhibited both the increased biofilm formation and the
upregulated expression of GtfB observed in the pdeA mutant. In addition, CabPA
but not CabPB interacted with VicR, a known transcriptional factor that regulates
expression of gtfB, suggesting that a signaling link between CabPA and GtfB
through VicR. Increased biofilm by the pdeA deficiency also enhanced bacterial
colonization of Drosophila in vivo. Taken together, our studies reveal a new role
of c-di-AMP in mediating biofilm formation through a CabPA/VicR/GtfB signaling
network in S. mutans.
PMID- 26564554
TI - Estimating the number of cases of acute gastrointestinal illness (AGI) associated
with Canadian municipal drinking water systems.
AB - The estimated burden of endemic acute gastrointestinal illness (AGI) annually in
Canada is 20.5 million cases. Approximately 4 million of these cases are
domestically acquired and foodborne, yet the proportion of waterborne cases is
unknown. A number of randomized controlled trials have been completed to estimate
the influence of tap water from municipal drinking water plants on the burden of
AGI. In Canada, 83% of the population (28 521 761 people) consumes tap water from
municipal drinking water plants serving >1000 people. The drinking water-related
AGI burden associated with the consumption of water from these systems in Canada
is unknown. The objective of this research was to estimate the number of AGI
cases attributable to consumption of drinking water from large municipal water
supplies in Canada, using data from four household drinking water intervention
trials. Canadian municipal water treatment systems were ranked into four
categories based on source water type and quality, population size served, and
treatment capability and barriers. The water treatment plants studied in the four
household drinking water intervention trials were also ranked according to the
aforementioned criteria, and the Canadian treatment plants were then scored
against these criteria to develop four AGI risk groups. The proportion of
illnesses attributed to distribution system events vs. source water
quality/treatment failures was also estimated, to inform the focus of future
intervention efforts. It is estimated that 334 966 cases (90% probability
interval 183 006-501 026) of AGI per year are associated with the consumption of
tap water from municipal systems that serve >1000 people in Canada. This study
provides a framework for estimating the burden of waterborne illness at a
national level and identifying existing knowledge gaps for future research and
surveillance efforts, in Canada and abroad.
PMID- 26564555
TI - Subclinical avian hepatitis E virus infection in layer flocks in the United
States.
AB - The objective of this study was to determine patterns of avian HEV infection in
naturally infected chicken farms. A total of 310 serum samples and 62 pooled
fecal samples were collected from 62 chicken flocks on seven commercial in-line
egg farms in the Midwestern United States and tested for avian HEV circulation.
Serum samples were tested for the presence of anti-avian HEV IgY antibodies by a
fluorescent microbead immunoassay (FMIA) which was developed for this study. The
FMIA was validated using archived samples of chickens with known exposure (n =
96) and compared to the results obtained with an enzyme-linked immunosorbent
assay (ELISA) based on the same capture antigen. There was an overall substantial
agreement between the two assays (kappa = 0.63) with earlier detection of
positive chickens by the FMIA (P = 0.04). On the seven farms investigated, the
overall prevalence of anti-avian HEV IgY antibodies in serum samples from
commercial chickens was 44.8% (20-82% per farm). Fecal samples were tested for
avian HEV RNA by a nested reverse-transcriptase PCR. The overall detection rate
of avian HEV RNA in fecal samples was 62.9% (0-100% per farm). Sequencing
analyses of partial helicase and capsid genes showed that different avian HEV
genotype 2 strains were circulating within a farm. However, no correlation was
found between avian HEV RNA detection and egg production, egg weight or
mortality. In conclusion, avian HEV infection is widespread among clinically
healthy laying hens in the United States.
PMID- 26564556
TI - The physical activity patterns of adolescents with intellectual disabilities: A
descriptive study.
AB - BACKGROUND: Emerging data suggest that adolescents with intellectual disabilities
(IDs) have lower physical activity (PA) levels and have a higher incidence of
obesity than their peers without IDs. OBJECTIVE: To examine daily PA patterns
(weekdays vs. weekend days) of adolescents with IDs among boys and girls. The
contributions of PA at school, including school recesses and physical education
time, and PA outside of school were also analyzed. METHODS: Participants included
forty-nine adolescents with mild to moderate IDs (mean 15.3 years) from the
Valencia region (Spain). Adolescents wore a pedometer for seven consecutive days
to measure PA objectively and filled in a daily activity log. Mean steps for
weekdays, weekend days, and for the different day segments were calculated and
compared. RESULTS: This study indicates significant differences in daily PA
levels between boys and girls (12,630 and 9599 steps respectively; p < 0.05).
Girls were less active than boys on weekdays (13,872 vs. 9868 steps; p = 0.016),
during school time (7097 vs. 4802 steps; p = 0.005), and during school recesses
(1953 vs. 1147 steps; p = 0.033). Boys showed higher levels of PA on weekdays
compared to weekend days (13,872 vs. 10,188 steps; p = 0.015) and PA at school
represented 50% of the participants' daily PA in both genders. There were no
differences comparing weight status groups (normal vs. overweight/obese) in PA
levels either on weekdays or weekend days. CONCLUSIONS: These findings provide an
empirical basis for interventions to increase PA levels among adolescents with
IDs.
PMID- 26564557
TI - Financial burdens and barriers to care among nonelderly adults: The role of
functional limitations and chronic conditions.
AB - BACKGROUND: People with functional limitations and chronic conditions account for
the greatest resource use within the health care system. OBJECTIVE: To examine
financial burdens and barriers to care among nonelderly adults, focusing on the
role of functional limitations and chronic conditions. METHODS: High financial
burden is defined as medical spending exceeding 20 percent of family income.
Financial barriers are defined as delaying care/being unable to get care for
financial reasons, and reporting that delaying care/going without was a big
problem. Data are from the Medical Expenditure Panel Survey (2008-2012). RESULTS:
Functional limitations are associated with increased prevalence of financial
burdens. Among single adults, the frequency of high burdens is 20.3% for those
with functional limitations, versus 7.8% for those without. Among those with
functional limitations, those with 3 or more chronic conditions are twice as
likely to have high burdens compared to those without chronic conditions (22.2%
versus 11.1%, respectively). Similar patterns occur among persons in multi-person
families whose members have functional limitations and chronic conditions. Having
functional limitations and chronic conditions is also strongly associated with
financial barriers to care: 40.2% among the uninsured, 21.9% among those with
public coverage, and 13.6% among those with private group insurance were unable
to get care. CONCLUSIONS: Functional limitations and chronic conditions are
associated with increased prevalence of burdens and financial barriers in all
insurance categories, with the exception that an association between functional
limitations and the prevalence of burdens was not observed for public coverage.
PMID- 26564559
TI - [Current status in rehabilitation of burn injury in China].
AB - Along with the advance in national economy, modern concept of burn rehabilitation
from major burn injury implies that measures should be taken to help the patients
return to society with dignity. This article briefly reviews the development and
achievement of burn rehabilitation in our country, as well as the current
difficulties in carrying out rehabilitation measures such as outmoded ideology,
lack of trained personnel, low rat of popularization, outdated techniques and
methodology, and relatively low level in scientific research, etc. The future
development of burn rehabilitation in our country needs more social support,
popular attention, and multidisciplinary joint efforts to help burn patients
return to society with dignity. In order to fulfill this goal, we still have a
long way to go.
PMID- 26564558
TI - Somatic copy number losses on chromosome 9q21.33q22.33 encompassing the PTCH1
loci associated with cardiac fibroma.
AB - Cardiac fibroma is an extremely rare benign tumor that remains poorly
characterized genetically. Somatic copy number alterations are common in tumors
and have been defined as a crucial factor leading to tumors. In this study, we
present a child diagnosed with cardiac fibroma with somatic copy number losses of
a total of three discontinuous segments from 9q21.33 to 9q22.33, including a
mosaic deletion of PTCH1. PTCH1 has been associated with sporadic cardiac
fibroma. Sequencing analysis of the PTCH1 gene has not revealed any causative
mutation. Quantitative PCR analysis of PTCH1 further confirms somatic copy number
losses. Our data narrow down the critical causative deletions for sporadic
cardiac fibroma to a region more precise than any other previously reported one.
Our results suggest important roles of somatic copy number losses on chromosome
9q21.33q22.33 in the development of sporadic cardiac fibroma; these findings may
provide a better understanding of sporadic cardiac fibroma pathogenesis and
contribute to the identification of novel diagnostic biomarkers of this neoplasm.
.
PMID- 26564560
TI - [Clnical observation, of the effects of lattice ultra pulse carbon dioxide laser
combined with traditional Chinese medicine on the treatment of hyperplastic
scar].
AB - OBJECTIVE: To explore the clinical effects of lattice ultra pulse carbon dioxide
laser combined with traditional Chinese medicine ( Fuchunsan ) on the treatment
of postburn hyperplastic scar. METHODS: Sixty-three patients with hyperplastic
scar after burn injury hospitalized from February 2012 to June 2014 in our
department were treated with lattice ultra pulse carbon dioxide laser combined
with traditional Chinese medicine (Fuchunsan). Patients were divided into early
stage group (E, n = 35), middle stage group (M, n = 25), and late stage group (
L, n = 3) according to the formation time of scar, which was respectively 3 weeks
to 3 months, longer than 3 months and less than or equal to 6 months, and 3 to 15
years in groups E, M, and L. The number of times of laser treatment of patients
in each group was recorded. The degree of scar pain in patients of the three
groups was assessed by the Numerical Rating Scale (NRS) before treatment and
after treatment for 1, 2, and 3 times. The scar condition of patients in groups E
and M was assessed by the Vancouver Scar Scale (VSS) before treatment and after
treatment for 1, 3, and 5 times. Patients in group L did not receive VSS
assessment but were evaluated by clinical observation only. Photos of scar in
treating area were taken before treatment and after treatment for 3 and 5 times
to evaluate the clinical effect. Data were processed with t test. RESULTS:
Patients in groups E and M were treated with laser for (4.8 +/- 1.1) and (7.7 +/-
2.1) times respectively. In group L, the treatment was stopped in 2 patients
after laser treatment for 5 times, and 1 patient received laser treatment for 12
times. The degree of pain in patients of groups E and M was alleviated
significantly after treatment for one time, and the number of patients scoring 1
4 point(s) in NRS increased from 5 cases to 38 cases. After treatment for 2 and 3
times, the increase in the number of patients scoring 1-4 point (s) in NRS was on
a small scale. Before treatment and after treatment for 1 time, VSS scores of
patients in groups E and M were similar (with values respectively 0.641 and 0.
082, P values above 0. 05). After treatment for 3 and 5 times, VSS scores of
patients in group E were respectively (9.2 +/- 0.8) and (7.0 +/- 1.1) points,
which were significantly lower than those in group M [ (9.7 +/- 1.0) and (8.2 +/-
1.0) points, with values respectively -1.993 and -4.433 , P < 0.05 or P < 0.01].
After treatment for 3 times, the rate of improvement in appearance was
respectively 88.6% (31/35) and 72.0% (18/25) in groups E and M, and it was
respectively 100.0% (35/35) and 96.0% (24/25) after treatment for 5 times. No
significant effect in appearance was found in the 3 patients in group L.
CONCLUSIONS: Early application of lattice ultra pulse carbon dioxide laser
combined with traditional Chinese medicine (Fuchunsan) for the treatment of
postburn hyperplastic scar is effective.
PMID- 26564561
TI - [Bibliometric analysis of scientific articles on rehabilitation nursing for adult
burn patients in China].
AB - OBJECTIVE: To analyze the current research status of rehabilitation nursing for
adult burn patients in China, and to disuss the related strategies. METHODS:
Chinese scientific articles on adult burn patients' rehabilitation nursing
published from January 2003 to December 2013 were retrieved from 3 databases
namely China Biology Medicine disc, Chinese Journals Full-text Database , and
Chinese Science and Technology Journals Database . From the results retrieved,
data with regard to publication year, journal distribution, research type, region
of affiliation of the first author, and the main research content were collected.
Data were processed with Microsoft Excel software. RESULTS: A total of 417
articles conforming with the criteria were retrieved. During the 11 years, the
number of the relevant articles per year was on the rise, and the increasing
rates in 2005, 2008, 2009, and 2013 were all above 30% . Regarding the
distribution among journals, these 417 articles were published in 151 journals,
with 188 articles in Source Journal for Chinese Scientific and Technical Papers ,
accounting for 45.08%. Regarding the research type, 173 out of the 417 articles
were dealing with clinical experiences, accounting for 41.49% ; 172 out of the
417 articles were dealing with experimental studies, accounting for 41.25% . The
regions of affiliation of the first author were mainly situated in Guangdong
province, Shandong province, Hunan province, and Jiangsu province, with Guangdong
province contributing 58 articles, accounting for 13.91%. The research content of
these articles was mainly focused on psychological nursing, nursing model, and
health education, respectively 188,101, and 85 articles, accounting for 45.08%,
24.22%, and 20.38%. CONCLUSIONS: The research on rehabilitation nursing for adult
burn patients in China has been carried out nationwide. Although the number of
relevant papers is on the rise, the quality of these papers needs to be further
improved. There is an urgent need for the guideline on rehabilitation nursing for
adult burn patients in China so as to standardize the content and procedure of
rehabilitation nursing.
PMID- 26564562
TI - [Retrospective analysis of effects of metacarpus and phalanx traction on
correction of scar contracture of hand after burn on the palm side].
AB - OBJECTIVE: To analyze the effects of metacarpus and phalanx traction on
correction of scar contracture of hand after burn on the palm side
retrospectively. METHODS: A total of 32 patients with 39 affected hands with scar
contracture on the palm side after burn were hospitalized from May 2010 to
December 2014. Method of treatment: scar contracture was conservatively released
followed by skin grafting, which was referred to as method A; Kirschner wire was
inserted into the middle or distal phalanx of finger with contracture and the
corresponding metacarpus in the shape of U for 2 to 7 weeks' traction, which was
referred to as method B; traction frame was built based on the traction pile and
anchor formed by Kirschner wire inserted through the second to the fifth
metacarpus and distal phalanx of finger with contracture, and then the affected
fingers were pulled into a straight position with rubber bands for 2 to 6 months,
which was referred to as method C. Method A was used in patients who would be
treated with thorough release of scar followed by skin grafting routinely. Method
B was used in patients who would be treated with intramedullary Kirschner wire
fixation after release of scar contracture and skin transplantation routinely.
Method C was further used in patients when methods A and B failed to accomplish
the expected result. Method C was used in the first place followed by method A in
whom there might be vascular decompensation or exposure of tendon and bone after
scar release, and those who failed to meet the expectation were treated with
method C in addition. Patients who were unwilling to undergo surgery were treated
with method C exclusively. During the course of treatment, the presence or
absence of infection and slipping of Kirschner wire or its slitting through soft
tissue were observed. The presence or absence of tendency of recurrence of scar
contracture within 1 to 2 weeks after treatment was observed. The length of
palmar skin measuring from the root of finger with contracture to wrist crease
was measured before treatment, at the termination of treatment, and 1 month after
the termination of treatment. Scar condition was assessed with the Vancouver Scar
Scale (VSS) before treatment and 1, 3, and 6 month(s) after the termination of
treatment. Before treatment and 1 month after the termination of treatment, the
range of motion was measured with the Total Active Movement (TAM) method; band
function was evaluated by the Jebsen Test of Hand Function (JTHF), and the
completion time was recorded. Data were processed with analysis of variance, LSD
t test, and t test. RESULTS: Twenty-four patients with 27 affected hands were
treated with scheme A + B; 5 patients with 7 affected hands were treated with
method C exclusively; 2 patients with 3 affected hands were treated with scheme A
+ B + C; 1 patient with 2 affected hands were treated with scheme C + A + C.
During the course of treatment, no complication such as infection or slicing of
tissue was observed, but there was a slight shifting of U-shaped Kirschner wire
in 14 affected hands of 13 patients. Tendency of recurrence of scar contracture
was observed in 11 affected hands of 10 patients, but the scar contracture did
not reoccur after treatment with orthosis. The skin length of palmar side was
respectively (131.8 +/- 9.8) and (127.6 +/- 7.5) mm at the termination of
treatment and 1 month after, and they were both significantly longer than that
before treatment [(114.5 +/- 2.4) mm, with values respectively 10.71 and 10.39, P
values below 0.001]. The score of VSS was respectively (9.8 +/- 2.4), (9.7 +/-
1.7), (9.3 +/- 0.8), and (7.7 +/- 0.5) points before treatment and 1, 3, and 6
month(s) after the termination of treatment. Only the score at 6 months after the
termination of treatment was significantly lower than that before treatment (t =
3.28, P < 0.01). The ratio of excellent and good results according to method TAM
was respectively 2.6% (1/39) and 94.9% (37/39) before treatment and 1 month after
the termination of treatment. The time for JTHF measurement was (13.9 +/- 4.1)
min before treatment, and it was shortened to (11.0 +/- 2.8) min 1 month after
the termination of treatment (t = 3.65, P < 0.001). CONCLUSIONS: Single
application of metacarpus and phalanx traction or its combination with skin
transplantation after scar release in correcting scar contracture of the palm of
hand after burn can lengthen the contracted tissue, and it is beneficial for the
restoration of function and appearance of affected hand.
PMID- 26564563
TI - [Clinical effects of gabapentin on the treatment of pruritus of scar resulting
from deep partial-thickness burn].
AB - OBJECTIVE: To study the clinical effects of gabapentin on the treatment of
pruritus of scar resulting from deep partial-thickness burn. METHODS: A total of
fifty-eight patients suffering from pruritus of scar after deep partial-thickness
burn were hospitalized from January 2013 to January 2014. Patients were divided
into placebo group (n =18, treated with oral vitamin C in the dose of 100 mg for
4 weeks, twice per day) , cetirizine group (n = 20, treated with oral cetirizine
in the dose of 10 mg for 4 weeks, twice per day) , and gabapentin group (n = 20,
treated with oral gabapentin in the dose of 300 mg for 4 weeks, twice per day) .
Before treatment and on post treatment day (PTD) 3 and 28, the Visual Analog
Scale (VAS) was used to assess the itching degree, and the mean scores were
recorded. The remission rates of pruritus on PTD 3 and 28 were calculated. The
adverse effects were observed during treatment. Data were processed with analysis
of variance, q test, and chi-square test. RESULTS: Compared with that before
treatment, the itching degree of patients with light, moderate, and severe
itching in placebo group was not relieved after treatment; the itching degree of
patients with moderate or severe itching in cetirizine group was alleviated after
treatment, but not in patients with light itching; itching degree of all patients
in gabapentin group was significantly relieved after treatment. There were no
obvious differences in VAS scores among the 3 groups before treatment (F = 2.78,
P > 0.05). On PTD 3 and 28, the VAS scores of patients in both gabapentin group
[(2.3 +/- 0.8) and (0.6 +/- 0.3) points] and cetirizine group [(4.2 +/- 1.7) and
(2.8 +/- 1.2) points] were lower than those in placebo group [(5.7 +/- 2.0) and
(5.7 +/- 1.9) points, with q values from 6.70 to 7.75, P values below 0.05]. The
VAS scores of patients in gabapentin group on PTD 3 and 28 were lower than those
in cetirizine group (with q values respectively 6.30 and 6.90, P values below
0.05). The remission rates of pruritus of patients in gabapentin group on PTD 3
and 28 were respectively (66 +/- 20)% and (91 +/- 17)%, and they were higher than
those in cetirizine group [(33 +/- 8)% and (56 +/- 14)%, with q values
respectively 4.70 and 3.82, P values below 0.05]. The remission rate of pruritus
of patients in placebo group on PTD 3 and 28 was 0, which was lower than that of
the other 2 groups each (with q values from 3.94 to 6.76, P values below 0.05).
During the course of treatment, 5 patients in gabapentin group suffered from
adverse effects including mild-to-moderate drowsiness and dizziness, but they
disappeared one week later. No adverse effects were observed in patients of the
other two groups. CONCLUSIONS: For patients with deep partial-thickness burn,
gabapentin can effectively alleviate scar itching after wound healing with
safety.
PMID- 26564564
TI - [Advances in the research of effects of music therapy on pain and anxiety in burn
patients].
AB - Pain and anxiety engender major psychic problems during all phases of treatment
for burn patients. Analgesic alone does not allay these problems satisfactorily
in these patients. Music therapy, as an important complementary and alternative
therapy, has been widely used in multiple medical fields. However, its positive
effect on alleviation of pain and anxiety in burn patients is undefined. The
objective of this review is to summarize the feasibility, application fields,
methods, and the effectiveness of music therapy in allaying pain and anxiety of
burn patients during the whole course of treatment.
PMID- 26564565
TI - [Significance of extravascular lung water index, pulmonary vascular permeability
index, and in- trathoracic blood volume index in the differential diagnosis of
burn-induced pulmonary edema].
AB - OBJECTIVE: To appraise the significance of extravascular lung water index
(EVLWI), pulmonary vascular permeability index (PVPI), and intrathoracic blood
volume index (ITBVI) in the differential diagnosis of the type of burn-induced
pulmonary edema. METHODS: The clinical data of 38 patients, with severe burn
hospitalized in our burn ICU from December 2011 to September 2014 suffering from
the complication of pulmonary edema within one week post burn and treated with
mechanical ventilation accompanied by pulse contour cardiac output monitoring,
were retrospectively analyzed. The patients were divided into lung injury group (
L, n = 17) and hydrostatic group (H, n = 21) according to the diagnosis of
pulmonary edema. EVLWI, PVPI, ITBVI, oxygenation index, and lung injury score (
LIS) were compared between two groups, and the correlations among the former four
indexes and the correlations between each of the former three indexes and types
of pulmonary edema were analyzed. Data were processed with t test, chi-square
test, Mann-Whitney U test, Pearson correlation test, and accuracy test [receiver
operating characteristic (ROC) curve]. RESULTS: There was no statistically
significant difference in EVLWI between group L and group H, respectively (12.9
+/- 3.1) and (12.1 +/- 2.1) mL/kg, U = 159.5, P > 0.05. The PVPI and LIS of
patients in group L were respectively 2.6 +/- 0.5 and (2.1 +/- 0.6) points, and
they were significantly higher than those in group H [1.4 +/- 0.3 and (1.0 +/-
0.6) points, with U values respectively 4.5 and 36.5, P values below 0.01]. The
ITBVI and oxygenation index of patients in group L were respectively (911 197)
mL/m2 and (136 +/- 69) mmHg (1 mmHg = 0.133 kPa), which were significantly lower
than those in group H [(1,305 +/- 168) mL/m2 and (212 +/- 60) mmHg, with U values
respectively 21.5 and 70.5, P values below 0.01]. In group L, there was obviously
positive correlation between EVLWI and PVPI, or EVLWI and ITBVI (with r values
respectively 0.553 and 0.807, P < 0.05 or P < 0.01), and there was obviously
negative correlation between oxygenation index and EVLWI, or oxygenation index
and PVPI (with r values respectively -0.674 and -0.817, P values below 0.01). In
group H, there was obviously positive correlation between EVLWI and ITBVI (r =
0.751, P < 0.01) but no obvious correlation between EVLWI and PVPI, oxygenation
index and EVLWI, or oxygenation index and PVPI (with r values respectively
0.275, 0.197, and 0:062, P values above 0.05). The total area under ROC curve of
PVPI value for differentiating the type of pulmonary edema was 0.987 [with 95%
confidence interval (CI) 0.962-1.013, P < 0.01], and 1.9 was the cutoff value
with sensitivity of 94.1% and specificity of 95.2% . The total area under ROC
curve of ITBVI value for differentiating the type of pulmonary edema was 0.940
(with 95% CI 0.860-1.020, P < 0.01), and 1,077. 5 mL/m2 was the cutoff value with
sensitivity of 95.2% and specificity of 88.2%. CONCLUSIONS: EVLWI, PVPI, and
ITBVI have an important significance in the differential diagnosis of the type of
burn-induced pulmonary edema, and they may be helpful in the early diagnosis and
management of burn-induced pulmonary edema.
PMID- 26564566
TI - [Effects of microtubule depolymerization on spontaneous beating and action
potential of cardiac myocytes in rats and its mechanism].
AB - OBJECTIVE: To explore the effects of microtubule depolymerization (MD) on the
spontaneous beating rate, action potential (AP), and oxygen consumption of
cardiac myocytes in rats and its mechanism. METHODS: One-hundred and eighty
neonatal SD rats divided into 12 batches were used in the experiment, and 15 rats
in each batch were sacrificed for the isolation and culture of cardiac myocytes
after the heart tissues were harvested. The cardiac myocytes were respectively
inoculated in one 12-well plate filled with 6 round cover slips, one 12-well
plate filled with 6 square cover slips, two cell culture flasks, and two cell
culture dishes. After routine culture for three days, the cardiac myocytes from
all the containers were divided into normal control group (NC, routinely cultured
with 3 mL DMEM/F12 solution rewarmed at 37 degrees C for 3 h) and group MD
(routinely cultured with 3 mL DMEM/F12 solution rewarmed at 37 degrees and
containing 8 umol/L colchicine for 3 h) according to the random number table,
with 3 holes, 1 flask, or 1 dish in each group. The morphological changes in
microtubules were observed with confocal laser scanning microscope after
immunofluorescent staining. The content of polymerized or dissociative alpha
tubulin was determined by Western blotting. Spontaneous beating rate of the cells
was observed and calculated under inverted microscope. Dissolved oxygen
concentration of DMEM/F12 solution containing cardiac myocytes was determined by
oxygen microelectrode system before and after the addition of colchicine.
Additionally, dissolved oxygen concentration of DMEM/F12 solution and colchicine
+ DMEM/F12 solution was determined. The whole-cell patch-clamp technique was used
to record AP, delayed rectifier K+ current (I(K)), and L-type Ca2+ current (I(Ca
L)) in cardiac myocytes; current density-voltage (I-V) curves were drawn based on
the traces. Data were processed with independent or paired samples t-test.
RESULTS: (1) In group NC, microtubules of cardiac myocytes were around the
nucleus in radial distribution with intact and clear linear tubiform structure.
The microtubules in group MD were observed in dispersive distribution with
damaged structure and rough linear tubiform structure. (2) In group MD, the
content of dissociative alpha-tubulin of cells (0.61 +/- 0.03) was obviously
higher than that in group NC (0.46 +/- 0.03, t = -6.99, P < 0.05), while the
content of polymerized alpha-tubulin (0.57 +/- 0.04) was significantly lower than
that in group NC (0.88 +/- 0.04, t = 9.09, P < 0.05). (3) Spontaneous beating
rate of cells was (59 +/- 8) times per min in group MD, which was distinctly
higher than that in group NC [(41 +/- 7) times per min, t = 5.62, P < 0.01]. (4)
Dissolved oxygen concentration of DMEM/F12 solution containing cardiac myocytes
was (138.4 +/- 2.5) umol/L, and it was reduced to (121.7 +/- 3.6) umol/L after
the addition of colchicine ( t = 26.31, P < 0.05). There was no obvious
difference in dissolved oxygen concentration between DMEM/F12 solution and
colchicine + DMEM/F12 solution (t = 0.72, P > 0.05). (5) Compared with that of
group NC, AP morphology of cells in group MD changed significantly, with
unobvious repolarization plateau phase and shorter action potential duration
(APD). The APD20, APD50, and APD90 were respectively (36.2 +/- 3.8), (73.7 +/-
5.7), and (115.1 +/- 8.0) ms in group MD, which were significantly shorter than
those of group NC [(40.2 +/- 2.3), (121.4 +/- 7.0), and (169.4 +/- 5.6) ms, with
t values respectively 2.61, 15.88, and 16.75, P values below 0.05]. (6) Compared
with that of group NC, the I-V curve of I(K) of cells in group MD moved up with
higher current density under each test voltage (0 to 40 mV) after activation (
with t values from 2. 70 to 3. 76, P values below 0.05) . (7) There was not much
alteration in current density of I(Ca-L) under each test voltage (-30 to 50 mV)
between 2 groups (with t values from -1.57 to 1.66, P values above 0.05), and
their I-V curves were nearly overlapped. CONCLUSIONS: After MD, the I(K) is
enhanced without obvious change in I(Ca-L), making AP repolarization faster and
APD shortened. Then the rapid spontaneous beating rate increases oxygen
consumption of cardiac myocytes of rats.
PMID- 26564567
TI - [Effects of adipose-derived mesenchymal stem cells over-expressing glial cell
line-derived neurotrophic factor on electrically injured sciatic nerve of rats].
AB - OBJECTIVE: To observe the effects of adipose-derived mesenchymal stem cells
(ADSCs) with continous over-expression of glial cell line-derived neurotrophic
factor (GDNF) on the motor function recovery and nerve regeneration of sciatic
nerve of rats after electrical injury. METHODS: Five SD rats were collected to
prepare ADSCs with over-expression of GDNF. One hundred and fifty SD rats were
divided into normal control group (N), GDNF-ADSCs group (GA), ADSCs group (A),
GDNF group (G), and physiological saline group (P) according to the random number
table, with 30 rats in each group. Rats in group N were routinely fed without
treatment, and rats in the other 4 groups were inflicted with electrical injury
on sciatic nerve of thigh of the right hind leg. Rats in groups GA, A, G, and P
were respectively injected with 100 uL suspension of ADSCs with over-expression
of GDNF (1 x 10(7) cells per mL), 100 [uL ADSCs suspension (1 x 10(7) cells per
mL), 100 uL GDNF solution (100 mg/L) , and 100 uL physiological saline to the
surface of the injured nerves immediately after injury. Six rats of each group
were collected for measuring hind limb stride from post injury week (PIW) 1 to 8,
and morphology of the sciatic nerves was observed in PIW 8. In PIW 4, the protein
expression of GDNF of sciatic nerves of the rest rats in each group was
determined with Western blotting. Data were processed with one-way analysis of
variance, analysis of variance of repeated measurement, and SNK test. RESULTS:
Compared with that of group N, the hind limb stride values in groups GA, A, G,
and P were significantly lower at each time point (with P values below 0.05).
Compared with those of group P, the hind limb stride values in group GA from PIW
3 to 8, in group A in PIW 3, 5, and 7, and in group G in PIW 3, 5, 7, and 8 were
significantly longer (with P values below 0.05). The hind limb stride values in
group GA from PIW 4 to 8 were respectively (10.83 +/- 0.97), (13.25 +/- 1.40),
(12.86 +/- 1.42), (14.06 +/- 1.50), and (15.09 +/- 1.17) cm, which were
significantly longer than those in group A [(8.90 +/- 0.82), (9.03 +/- 0.57),
(9.27 +/- 0.36), (9.86 +/- 0.36), and (9.52 +/- 0.58) cm] and group G [(8.87 +/-
0.69), (8.51 +/- 1.18), (9.34 +/- 0.87), (9.76 +/- 0.67), and (9.50 +/- 1.22)
cm], with P values below 0.05. Compared with that of group N, the number of
myelinated nerve fibers of sciatic nerves was obviously decreased in group P but
obviously increased in groups GA, A, and G; the diameter of axons was obviously
shorter, and the myelin thickness was obviously increased in groups GA, A, G, and
P in PIW 8 (with P values below 0.05). The number of myelinated nerve fibers in
group GA was 31.2 +/- 0.8, which was significantly higher than that in group A
(23.7 +/- 2.7), group G (22.3 +/- 2.7), or group P (9.3 +/- 2.8), with P values
below 0.05. The diameter values of axons among groups P, A, G, and GA were
similar (with P values above 0.05). The myelin thickness of rats in group GA was
(3.41 +/- 0.34) um, which was significantly thicker than that in group A [(2.64
+/- 0.37) um] or group G [(2.41 +/- 0.34) um], with P values below 0.05. In PIW
4, the protein expression of GDNF of sciatic nerves was significantly higher in
groups P, A, G, and GA than in group N (with P values below 0.05), and the
protein expression of GDNF in group GA was significantly higher than that in
group P, A, or G (with P values below 0.05). CONCLUSIONS: ADSCs over-expressing
GDNF protein can obviously promote the motor function recovery and nerve
regeneration of sciatic nerve of rats after electrical injury.
PMID- 26564569
TI - [Effects of resuscitation with different kinds of colloids on oxygen metabolism
in swine during shock stage of burn injury].
AB - OBJECTIVE: To explore the effects of resuscitation with different kinds of
colloids on oxygen metabolism of swine during shock stage of burn injury.
METHODS: Eighteen Guangxi Bama miniature swine were inflicted with 40% TBSA full
thickness burn on the back. And then they were divided into succinylated gelatin
group (S) , hydroxyethyl starch group (H), and allogeneic plasma group (A)
according to the random number table, with 6 swine in each group. The fluid
resuscitation was begun at post injury hour (PIH) 2. The colloids used in groups
S, H, and A were respectively succinylated gelatin, 60 g/L hydroxyethyl starch
130/0.4, and allogeneic plasma. The blood pressure, urine volume, heart rate, and
central venous pressure (CVP) were recorded before injury and at the first and
second PIH 24. The volume of resuscitation fluid was recorded at the first and
second PIH 24. The changes in oxygen delivery., oxygen consumption, oxygen
extraction ratio and D-lactate were determined and calculated before injury and
at PIH 4, 8, 24, and 48. Data were processed with analysis of variance of
repeated measurement, one-way analysis of variance and LSD test. RESULTS: There
were no statistically significant differences among the three groups in blood
pressure, urine volume, heart rate, and CVP at each time point (with P values
above 0. 05). There were no statistically significant differences in
resuscitation fluid volume among the three groups at the first and second PIH 24
(with F values respectively 0. 239 and 2. 023, P values respectively 0. 790 and
0. 167). The oxygen consumption of swine in group S was (201 +/- 38) L . min(-1)
. m(-2) at PIH 48, which was significantly higher than that in group A [(150 +/-
37) L . min(-1) . m(-2), P < 0.05], and the oxygen consumption was similar among
the three groups at the rest time points (with P values above 0.05). The oxygen
delivery of swine in group S was (484 +/- 63) L . min(-1) . m(-2) at PIH 8, and
it was significantly lower than that in group A [(652 +/- 65) L(-1) min(-1) . m(
2), P < 0.01]. The oxygen delivery of swine in group S reached (903 +/- 132) and
(1,028 +/- 98) L . min(-1) . m(-2) at PIH 24 and 48, respectively, and they were
significantly higher than those in group A [(686 +/- 72) and (720 +/- 75) L .
min(-1) . M(-2), with P values below 0.01]. Oxygen delivery in group H was
similar to that of group A at each time point (with P values above 0.05). The
oxygen extraction ratio in group S or group H was close to that of group A at
each time point (with P values above 0.05). The D-lactate level in group S was
(69 +/- 9) mmol/L, and it was significantly higher than that in group A [(52 +/-
4) mmol/L, P < 0.01] at PIH 48. The D-lactate level was similar among the three
groups at the rest time points (with P values above 0.05). CONCLUSIONS: According
to the changes in oxygen metabolism of swine during shock stage of burn injury
resuscitated with different kinds of colloids, it is found that allogeneic plasma
is better than artificial colloid, and 60 g/L hydroxyethyl starch 130/0.4 is
superior to succinylated gelatin.
PMID- 26564568
TI - [Analysis of the mechanism of drug resistance of VIM-2-type metallo-beta
lactamase-producing Acineto- bacter baumannii isolated from burn patients and its
homology].
AB - OBJECTIVE: To study the drug resistance of Acinetobacter baumannii (AB) producing
VIM-2-type metallo-beta-lactamase (MBL) isolated from burn patients of our ward
against carbapenem antibiotics and its homology. METHODS: A total of 400 strains
of AB (identified) were isolated from sputum, urine, blood, pus, and wound
drainage. of burn patients hospitalized in our ward from September 2011 to March
2014. Drug resistance of the 400 strains of AB to 15 antibiotics, including
compound sulfamothoxazole, aztreonam, etc. , was tested using the automatic
microorganism identifying and drug sensitivity analyzer. Among the carbapenems
resistant AB isolates, modified Hodge test was applied to screen carbapenemase
producing strains. The carbapenemase genes of the carbapenemase-producing
strains, and the mobile genetic elements class I-integron (Intl1) gene and
conserved sequence (CS) of carbapenemase-producing strains carrying blaVIM-2 gene
were determined with PCR and DNA sequencing. For carbapenemase-producing strains
carrying blaVIM-2 gene, synergism test with imipenem-ethylene diamine tetraacetic
acid (EDTA) and enhancement test with imipenem-EDTA and ceftazidime-EDTA were
used to verify the MBL-producing status. Drug resistance of the VIM-2-type MBL
producing AB strains was analyzed. For VIM-2-type MBL-producing AB strains,
plasmid conjugation experiment was used to explore the transfer of plasmid; outer
membrane protein (OMP) CarO gene was detected by PCR. For VIM-2-type MBL
producing AB strains carrying CarO gene, the protein content of CarO was analyzed
with sodium dodecyl sulfate polyacrylamide gel electro- phoresis. The repetitive
consensus sequence of Enterobacteriaceae genome PCR (ERIC-PCR) was carried out
for gene typing of VIM-2-type MBL-producing AB strains to analyze their homology.
RESULTS: (1) The resistant rates of the 400 strains of AB against levofloxacin
and compound sulfamethoxazole were low. A total of 381 carbapenems-resistant AB
strains were screened, including 240 carbepenemase-producing strains. (2) Out of
the 240 carbepenemase-producing strains, 18 strains were found to harbor the
blaVIM-2 gene, accounting for 7.5%; 133 strains carried the blaTEM-1 gene,
accounting for 55.42%; 195 strains carried the blaOXA23 gene, accounting for
81.25%; 188 strains carried the bla(armA) gene, accounting for 78.33%. (3)
Eighteen carbepenemase-producing strains which carried the bla(VIM-2) gene were
found to carry the Intl1 gene, showing the Intl1-VIM linkage. Simultaneously,
Intl1 variable area CS showed diversity. (4) Eighteen carbepenemase-producing
strains which carried the blaVIM-2 gene were verified to produce MBL. The
resistant rates of the 18 strains of AB against compound sulfamethoxazole were
the lowest, followed by levofloxacin and cefoperazone/sulbactam, and those
against the other antibiotics were above 60.00%. (5) Through multiple joint
tests, plasmid conjugation experiment positive transfer strain was not found in
18 VIM-2-type MBL-producing AB strains. (6) Nine out of the 18 VIM-2-type MBL
producing AB strains were found to carry CarO gene. The OMP CarO of VIM-2-type
MBL-producing AB strains carrying CarO gene was lost or lowered in the protein
content. (7) The 18 VIM-2-type MBL-producing AB strains were classified into 6
genotypes by the ERIC-PCR. There were respectively 6, 4, 3, and 1 stain (s) in
genotypes A, B, C, and F, and there were 2 strains in genotypes D and E
respectively. CONCLUSIONS: The resistance mechanism of AB against carbapenems is
mainly mediated by blaTEM-1, blaOXA-23, and bla(arma); meanwhile, VIM-2-type MBL
producing and lack or change in OMP CarO are attributable to carbapenems
resistance of clinically isolated AB from burn wards, and the Intl1 gene may take
a part in blaVIM-2 gene transmission.
PMID- 26564570
TI - [Advances in the research of early systemic use of prophylactic antibiotics in
severe burns].
AB - Infection is the most common complication and the most common cause of death in
burn patients. It is very important to employ anti-infection measures reasonably
and effectively for victims of major burns. However, a consensus of opinion of
how to use systemic antibiotics in prophylaxis of infection in the early stage of
burn is still lacking. The indications of the early systemic use of prophylactic
antibiotics are discussed in this article.
PMID- 26564571
TI - [Current situation of research and application of Parkland formula in burn
resuscitation].
AB - Parkland formula is the most widely used resuscitation formula in burn care.
However, a growing number of disputes have been raised along with the development
of medical technology, among which its total volume and composition of the fluid
are the two foci. Firstly, Parkland formula may lead to an untoward phenomenon
nicknamed "fluid creep", which may lead to complications such as abdominal
compartment syndrome. Secondly, along with the deeper understanding of how
permeability of blood vessels changes after burn injury, colloid is recommended
to be given after the third 8 h post-burn. Additionally, controversy exists in
the choice of different colloid solutions. The safety of different colloid
solutions remains to be further elucidated. This article will deal with all of
the above-mentioned problems.
PMID- 26564572
TI - [Advances in the experimental study of the use of mesenchy- mal stem cells for
the treatment of inhalation injury].
AB - Inhalation injury seriously threatens the survival and quality of life in burn
and trauma patients. So far there is no breakthrough in the treatment of
inhalation injury. A significant advance has been witnessed in the experimental
study of the use of stem cells in the treatment of lung injury in recent years.
In this paper, according to the results of our study in the systemic
transplantation of bone marrow mesenchymal stem cells for the treatment of
inhalation injury, the effect of mesenchymal stem cells on anti-inflammatory
process and repair of lung tissues in inhalation injury, and its possible
mechanisms are reviewed.
PMID- 26564573
TI - Determination of nifurtimox in dog plasma by stable-isotope dilution LC-MS/MS.
AB - BACKGROUND: Nifurtimox is a 5-nitrofuran derived antiprotozoal drug used to treat
diseases caused by trypanosomes including Chagas' disease and sleeping sickness
(African trypanosomiasis). Available methods for the determination of nifurtimox
in plasma are tedious and of low sensitivity. For the first time, an isotope
dilution HPLC/MS/MS method for the sensitive quantitation of nifurtimox down to
10.0 MUg/l in plasma is described. RESULTS: Protein precipitation was used for
sample preparation. Samples were analyzed on a standard triple quadrupole tandem
mass spectrometer. The validated concentration range covers 10.0 MUg/l (LLOQ) to
5000 MUg/l. Interassay accuracy and precision (%CV) ranged from 98.4 to 101%, and
2.61 to 10.1%, respectively. CONCLUSION: The method consists of very simple
sample preparation and provides unmatched sensitivity, high reproducibility and
robustness enabling analysis of large sample numbers. Method performance met
current guidelines on bioanalytical method validation.
PMID- 26564575
TI - Pain trajectory and exercise-induced pain flares during 8 weeks of neuromuscular
exercise in individuals with knee and hip pain.
AB - OBJECTIVE: Patients considering or engaged in exercise as treatment may expect or
experience transient increases in joint pain, causing fear of exercise and
influencing compliance. This study investigated the pain trajectory during an 8
week neuromuscular exercise (NEMEX) program together with acute exercise-induced
pain flares in persons with knee or hip pain. DESIGN: Individuals above 35 years
self-reporting persistent knee or hip pain for the past 3 months were offered 8
weeks of supervised NEMEX, performed in groups twice weekly. The program
consisted of 11 exercises focusing on joint stability and neuromuscular control.
Participants self-reported joint pain on a 0-10 numerical rating scale (NRS) at
baseline and 8-weeks follow-up. NRS pain ratings were also collected before and
immediately after every attended exercise session. RESULTS: Joint pain was
reduced from baseline (NRS 3.6; 95% CI 3.2-4.1) to 8-weeks follow-up (2.6; 95% CI
2.1-3.1), (P < 0.01). Pain decreased 0.04 NRS (95% CI 0.02-0.05, P < 0.01) on
average per exercise session and pre- to post-exercise pain decreased 0.04 NRS
(95% CI 0.03-0.05, P < 0.01) on average per session, approaching no acute
exercise-induced pain in the last weeks. CONCLUSION: This study found a clear
decrease in size of acute exercise-induced pain flares with increasing number of
exercise sessions. In parallel, pain ratings decreased over the 8 weeks exercise
period. Our findings provide helpful information for clinicians, which can be
used to educate and balance patient expectation when starting supervised
neuromuscular exercise.
PMID- 26564574
TI - Differences in subchondral bone size after one year in osteoarthritic and healthy
knees.
AB - OBJECTIVE: Increase of subchondral bone area (tAB) in OA has been reported, but
it remains unclear if this is specific to OA. We investigated differences in knee
tAB after one year in healthy subjects and in those with radiographic OA (rOA).
METHOD: MR images of 899 right knees from the OA Initiative were acquired at
baseline and one year follow-up (year-1). Medial and lateral tibial cartilage (MT
and LT) and weight-bearing femoral cartilage (cMF and cLF) were segmented and tAB
computed. Subjects were stratified into: healthy controls, pre-rOA (K&L grades 0
and 1, with OA risk factors), established rOA (K&L grades 2-4), and independently
with regards to joint space narrowing (without, with medial, lateral and
bilateral JSN). Primary analysis tested if tAB was different between baseline and
year-1 in rOA. Exploratory analyses investigated whether: (1) tAB changes
differed between healthy controls and those with rOA; (2) tAB differences were
greater in higher K&L grades; and (3) tAB was different between baseline and year
1 in JSN. Significance was set at P < 0.0125. RESULTS: Differences in tAB were
found in rOA in MT, cMF and cLF (ranging from +0.2% to +0.4%; P < 0.001), but not
in healthy controls or pre-rOA. Rates of change did not differ between groups.
Within the JSN groups differences of 0.2-0.4% were found in the femur (P < 0.05).
CONCLUSION: We find that knee tABs differ in rOA between baseline and year-1, but
the change was not greater than in healthy knees, and is restricted to the femur
in JSN.
PMID- 26564576
TI - Long term use of analgesics and risk of osteoarthritis progressions and knee
replacement: propensity score matched cohort analysis of data from the
Osteoarthritis Initiative.
AB - OBJECTIVES: To determine the association between the long-term use of analgesics
and progression of osteoarthritis (OA) as evidenced by up to 3-years follow-up
worsening of radiographic Kellgren-Lawrence (KL) grade and incidence of knee
replacement (KR). DESIGN: Using nearest neighbor matching of the propensity
scores with caliper in the Osteoarthritis Initiative (OAI) cohort, 173 index
(Analgesic +) and 173 referent (Analgesic -) subjects were included. Analgesic +
and - subjects had analgesics in all and none of their visits, respectively.
Analgesic + and - subjects were balanced in their demographics, baseline, first,
second and third year body mass index (BMI), Western Ontario and McMaster (WOMAC)
total score, Physical and Mental health summary scales (SF-12), Physical Activity
Scale for the Elderly (PASE) and Charleston Comorbidity Scale. Analgesic + and -
subjects were also matched for baseline radiographic KL grade. Interval increase
in the KL grade and incidence of KR were defined as the outcome. RESULTS:
Included subjects had average 6.5 years of follow-up. By the third year, 44
subjects had an interval increase in the KL grade; 29 in Analgesic + and 15 among
Analgesic - subjects (P = 0.024). By the eighth-year, 41 subjects had their first
KR; 29 in Analgesic + and 12 among Analgesic - subjects (P = 0.005). Hazard Ratio
(HR) of OA progression and KR for Analgesic + subjects was 1.91 (1.02-3.57) and
2.57 (1.31-5.04), respectively. CONCLUSIONS: Long-term use of analgesics may be
associated with radiographic progression of knee OA and increased risk of future
KR.
PMID- 26564577
TI - Characterizing the thymic lesions in piglets infected with attenuated strains of
highly pathogenic porcine reproductive and respiratory syndrome virus.
AB - Piglets infected with the highly pathogenic PRRSV (HP-PRRSV) HuN4 strain develop
severe thymus atrophy. However, the attenuated strain HuN4-F112 does not lead to
lesions in organs. Here, we have characterized the thymic lesions in piglets
infected with attenuated strains of HP-PRRSV HuN4 isolated at different passages
in the attenuation process to produce HuN4-F112 from the parent HuN4 strain (HuN4
F5, HuN4-F15, HuN4-F23, HuN4-F30, and HuN4-F112). The thymic effects of infection
were evaluated in terms of the thymus/body weight ratio, pathological changes,
and thymocytes apoptosis. The ability of HP-PRRSV to induce thymus atrophy was
reduced following attenuation after 23 passages; the HuN4-F23, but not HuN4-F30,
caused thymus atrophy. The ability of the virus to induce thymocyte apoptosis
decreased as it became attenuated. In addition, the viral load in the thymus was
reduced as the virus was attenuated. The HuN4-F23 and HuN4-F30 strains might
provide insight into the molecular mechanisms of HP-PRRSV pathogenesis. Taken
together, our results indicate that the ability of HP-PRRSV to induce thymic
atrophy is related to its pathogenicity.
PMID- 26564578
TI - AmrZ regulates cellulose production in Pseudomonas syringae pv. tomato DC3000.
AB - In Pseudomonas syringae pv. tomato DC3000, the second messenger c-di-GMP has been
previously shown to stimulate pellicle formation and cellulose biosynthesis. A
screen for genes involved in cellulose production under high c-di-GMP
intracellular levels led to the identification of insertions in two genes, wssB
and wssE, belonging to the Pto DC3000 cellulose biosynthesis operon wssABCDEFGHI.
Interestingly, beside cellulose-deficient mutants, colonies with a rougher
appearance than the wild type also arouse among the transposants. Those mutants
carry insertions in amrZ, a gene encoding a transcriptional regulator in
different Pseudomonas. Here, we provide evidence that AmrZ is involved in the
regulation of bacterial cellulose production at transcriptional level by binding
to the promoter region of the wssABCDEFGHI operon and repressing cellulose
biosynthesis genes. Mutation of amrZ promotes wrinkly colony morphology,
increased cellulose production and loss of motility in Pto DC3000. AmrZ regulon
includes putative c-di-GMP metabolising proteins, like AdcA and MorA, which may
also impact those phenotypes. Furthermore, an amrZ but not a cellulose-deficient
mutant turned out to be impaired in pathogenesis, indicating that AmrZ is a key
regulator of Pto DC3000 virulence probably by controlling bacterial processes
other than cellulose production.
PMID- 26564579
TI - Vibrato Rate and Extent in College Music Majors: A Multicenter Study.
AB - OBJECTIVES: To examine differences in vibrato rate and extent according to vowel,
production type, gender, voice type, and vocal training. STUDY DESIGN: Cross
sectional. METHODS: Four collegiate voice teachers used a common protocol to
gather data on habitual, best classical, and nonvibrato singing production of
five vowels in 78 male and female vocal majors. Subject age, gender, voice type,
academic degree program, number of years of training, and most frequent singing
style were compared with mean vibrato rate and mean peak-to-peak vibrato extent
for each vowel and for each production condition. RESULTS: The high versus low
and female versus male comparisons in this study support results found in the
literature. Both vibrato rate and vibrato extent were reduced when the singers
sang nonvibrato as compared with their habitual and best classical production.
CONCLUSIONS: The mechanisms for reducing vibrato rate and extent need further
exploration.
PMID- 26564580
TI - Interactive Augmentation of Voice Quality and Reduction of Breath Airflow in the
Soprano Voice.
AB - In 1985, at a conference sponsored by the National Institutes of Health, Martin
Rothenberg first described a form of nonlinear source-tract acoustic interaction
mechanism by which some sopranos, singing in their high range, can use to reduce
the total airflow, to allow holding the note longer, and simultaneously enrich
the quality of the voice, without straining the voice. (M. Rothenberg, "Source
Tract Acoustic Interaction in the Soprano Voice and Implications for Vocal
Efficiency," Fourth International Conference on Vocal Fold Physiology, New Haven,
Connecticut, June 3-6, 1985.) In this paper, we describe additional evidence for
this type of nonlinear source-tract interaction in some soprano singing and
describe an analogous interaction phenomenon in communication engineering. We
also present some implications for voice research and pedagogy.
PMID- 26564581
TI - The Effects of Expiratory Muscle Strength Training on Voice and Associated
Factors in Medical Professionals With Voice Disorders.
AB - OBJECTIVES: This research used expiratory muscle strength training to explore the
factors relevant to medical professionals with voice disorders. The maximal
expiratory pressure (MEP) improved, which is measured by the maximal contracting
force of expiratory muscles. The expiratory muscle strength increased, which can
affect the positive pressure of pulmonary volume, thereby influencing subglottal
pressure for speech to change the voice performance and vocal-fold vibration.
METHODS: Twenty-nine participants with voice disorders who are working in a
hospital and who are using their voice for more than 4 hours per day were
recruited. The participants were randomly assigned to either the study group
(STU) or the control group (CON). All participants underwent aerodynamics
analysis, pulmonary function, MEP, and completed a vocal symptoms questionnaire
before and after STU was provided. The interventions in the STU were conducted 3
days per week and involved performing 25 expiratory exercises (five cycles, each
comprising five breaths) for 5 weeks. The CON did not receive any intervention.
RESULTS: The voiceless /S/ expiratory time, symptom questionnaire scores, and MEP
were greater in the STU than in the CON (P < 0.05). However, no statistically
significant difference in the results of the pulmonary function was observed
between the groups. The STU exhibited a greater percentage change in maximal
voiced /Z/ phonation and voiceless /S/ expiratory compared with the CON (P <
0.05). CONCLUSIONS: The participants' voiceless /S/ expiratory time, symptom
questionnaire scores, and MEP significantly improved after the intervention.
Future studies can increase the number of participants, increase the number of
study groups, and examine the effectiveness of long-term treatment.
PMID- 26564582
TI - The Spatial Distribution of Nitrogen Removal Functional Genes in Multimedia
Constructed Wetlands for Wastewater Treatment.
AB - The real-time polymerase chain reaction was used to quantitatively evaluate
distribution patterns and nitrogen removal pathways of the amoA, nxrA, narG,
napA, nirK, qnorB, nosZ, nas, and nifH genes and 16S rRNA in anaerobic ammonia
oxidation bacteria in four multimedia constructed wetlands for rural wastewater
treatment. The results indicated that the abundance of functional genes for
nitrogen removal in the rhizosphere layer (0 to 30 cm), water distribution layer
(30 to 50 cm), multime filler layer (50 to 130 cm), and catchment layer (130 to
170 cm) of the constructed wetlands were closely related. The rhizosphere layer
was conducive to the absolute enrichment of dominant genes. The other three
layers were favorable to the relative enrichment of rare genes.
PMID- 26564583
TI - Effects of Biocide Chlorine on Biochemical Responses of the Dinoflagellate
Prorocentrum minimum.
AB - Effects of the biocide sodium hypochlorite (NaOCl) on the dinoflagellate
Prorocentrum minimum were assessed. Growth rate, pigment concentrations, and
chlorophyll autofluorescence were monitored up to 72 hours after NaOCl exposure,
and these parameters showed dose- and time-dependent decrease. The 72-hour EC50
was 0.983 mg/L. Additionally, enzymatic activities of lipid peroxidation and
reduced glutathione were significantly altered with increasing NaOCl and exposure
time. Thus, NaOCl at doses of 0.5 mg/L induces physiological and biochemical
changes in P. minimum, suggesting that chlorine concentrations observed in power
plant discharges and in drinking water systems are potentially detrimental to
microalgae.
PMID- 26564584
TI - Comprehensive Numerical Modeling of Greenhouse Gas Emissions from Water Resource
Recovery Facilities.
AB - A numerical model was developed to comprehensively predict greenhouse gas (GHG)
emissions from water resource recovery facilities. An existing activated sludge
model was extended to include a nitrifier-denitrification process and carbon
dioxide (CO2) as a state variable. The bioreactor model was coupled to a process
based digester model and an empirical model of indirect CO2emissions. Direct
emissions were approximately 90% of total GHG emissions for a plantwide
simulation using the Modified Ludzack-Ettinger process. Biogenic CO2, nitrous
oxide (N2O), and methane (CH4) represented 10, 43, and 34% of total emissions.
Simulating a dissolved oxygen controlled closed-loop system reduced both
sensitivity and uncertainty of GHG emissions. Nitrous oxide emissions were much
more sensitive under different design and operating conditions compared to CH4and
CO2, indicating a significant mitigation potential. An uncertainty analysis found
that the uncertainty in GHGs emissions estimates could be significant. Nitrous
oxide emissions dominated in both magnitude and uncertainty.
PMID- 26564585
TI - Determination of Trophic State Changes with Diel Dissolved Oxygen: A Case Study
in a Shallow Lake.
AB - Current trophic state indices (TSI) have been reported to have limitations in
assessing changes in eutrophication status of shallow waters. This study aimed to
use intensive measurements on dissolved oxygen (DO) to improve the determination
of tropic state changes. The authors deployed an environment monitoring buoy in a
eutrophic shallow lake and recorded water temperature, DO, and chlorophyll-a
concentrations at 15-minute intervals for two 1-year periods: from August 2008 to
July 2009 and from August 2013 to July 2014. In addition, they recorded water
levels over the same periods and collected water samples for nutrient analysis.
The authors analyzed the high-time resolution DO records, compared the diel DO
trends between the two 1-year periods, and proposed a new TSI using DO. They
found that analyzing the change in diel DO ranges can improve commonly used
methods for classifying trophic states and assessing the change of eutrophication
status of waterbodies.
PMID- 26564586
TI - Modeling Sulfides, pH and Hydrogen Sulfide Gas in the Sewers of San Francisco.
AB - An extensive measuring campaign targeted on sewer odor problems was undertaken in
San Francisco. It was assessed whether a conceptual sewer process model could
reproduce the measured concentrations of total sulfide in the wastewater and H2S
gas in the sewer atmosphere, and to which degree such simulations have potential
for further improving odor and sulfide management. The campaign covered
measurement of wastewater sulfide by grab sampling and diurnal sampling, and H2S
gas in the sewer atmosphere was logged. The tested model was based on the
Wastewater Aerobic/Anaerobic Transformations in Sewers (WATS) sewer process
concept, which never had been calibrated to such an extensive dataset. The study
showed that the model was capable of reproducing the general levels of wastewater
sulfide, wastewater pH, and sewer H2S gas. It could also reproduce the general
variability of these parameters, albeit with some uncertainty. It was concluded
that the model could be applied for the purpose in mind.
PMID- 26564587
TI - Resilience of Groundwater Impacted by Land Use and Climate Change in a Karst
Aquifer, South China.
AB - Changes of groundwater flow and quality were investigated in a subtropical karst
aquifer to determine the driving mechanism. Decreases in groundwater flow are
more distinct in discharge zones than those in recharge and runoff zones. Long
term measurement of the represented regional groundwater outlet reveals that
groundwater discharge decrease by nearly 50% during the dry season. The
hydrochemistry of groundwater in the runoff and discharge zones is of poorer
quality than in the recharge zone. Indications of intensive land resource
exploitation and changes in land use patterns were attributed to changes in
groundwater conditions since 1990, but the influence of climate change was likely
from 2001, because the water temperature exhibited increasing trends at a mean
rate of 0.02 degrees C/yr even though groundwater depth was high in the aquifer.
These conclusions imply the need for further groundwater monitoring and
reevaluation to understand the resilience of aquifer during urbanization and
development.
PMID- 26564588
TI - Nitrogen and COD Removal from Septic Tank Wastewater in Subsurface Flow
Constructed Wetlands: Plants Effects.
AB - We evaluated subsurface flow (SSF) constructed wetland treatment performance with
respect to organics (COD) and nitrogen (ammonium and nitrate) removal from
domestic (septic tank) wastewater as affected by the presence of plants,
substrate "rock" cation exchange capacity (CEC), laboratory versus field
conditions and use of synthetic as compared to actual domestic wastewater. This
article considers the effects of plants on constructed wetland treatment in the
field. Each constructed wetland system was comprised of two beds (2.6 m long by
0.28 m wide and deep filled with ~18 mm crushed lava rock) separated by an
aeration tank connected in series. The lava rock had a porosity of ~47% and a CEC
of 4 meq/100 gm. One pair of constructed wetland systems was planted with
cattails in May 2008, while an adjacent pair of systems remained un-planted.
Collected septic tank or synthesized wastewater was allowed to gravity feed each
constructed wetland system and effluent samples were regularly collected and
tested for COD and nitrogen species during four time periods spanning November
2008 through June 2009. These effluent concentrations were tested for statistical
differences at the 95% level for individual time periods as well as the overall 6
month period. Organics removal from domestic wastewater was 78.8% and 76.1% in
the planted and un-planted constructed wetland systems, respectively, while
ammonium removal was 94.5% and 90.2%, respectively. Similarly, organics removal
from the synthetic wastewater of equivalent strength was 88.8% and 90.1% for
planted and un-planted constructed wetland systems, respectively, while ammonium
removal was 96.9% and 97.3%, respectively.
PMID- 26564589
TI - Evaluation of Genotoxic Potential of Waters from Two Italian Rivers in Gammarus
elvirae (Amphipoda).
AB - The aim of the present work is to evaluate the genotoxic impact of contaminants
along the whole course of Ninfa-Sisto and Amaseno (Latium, Italy) rivers. The
authors performed the alkaline Comet assay to assess DNA damage in the freshwater
amphipod Gammarus elvirae, exposed ex situ for 24 hours and 7 days to water
collected at different sites. The assay, applied on haemocytes, provides a
sensitive tool to reveal effects even at low concentrations of pollutants. The
results indicate significant increase of DNA damage along the course of the two
rivers, compared to the unpolluted upstream sites, even if the analytes do not
exceed the permissible limits. Moreover, the results show that there is not a
linear correlation between the concentration of analytes and DNA damage. Based on
this study's results, it would be desirable to use Comet assay, on proposed test
species, as an early warning method to detect genotoxic potential of waters.
PMID- 26564590
TI - Competitive Adsorption and Oxidation Behavior of Heavy Metals on nZVI Coated with
TEOS.
AB - Zero valent iron nanoparticle (nanofer ZVI) is a powerful substance due to its
coating with tetraethyl orthosilicate (TEOS). Tetraethyl orthosilicate imparts
higher reactivity and decreases particle agglomeration. The competitive removal
and displacement of multi-metals are influenced by time, pH, and initial
concentration, the presence and properties of competing metals ion in the
solution. For both the isotherm and kinetic studies performed for multi-metal
removal experiments, compared to Pb II and Cd II, Cu II experienced a higher
removal rate during the initial 5 minutes. After 120 minutes, all metals achieved
removal efficiency in the range of 95 to 99%. The results of single and
competitive kinetic tests for all three metals during the initial 5 minutes
indicated that the presence of other metals generally reduce removal efficiency
of metals. Both kinetic test and electron dispersive spectroscope (EDS) studies
found that Cu II gets removed faster than the other metals. Pseudo-second order
behavior was noted for the multi-metal removal systems.
PMID- 26564591
TI - Heavy Metal Contamination of Soil, Irrigation Water and Vegetables in Peri-Urban
Agricultural Areas and Markets of Delhi.
AB - Dietary exposure to heavy metals, namely cadmium (Cd), lead (Pb), zinc (Zn), and
copper (Cu), has been identified as a risk to human health through consumption of
vegetable crops. The present study investigates heavy metal contamination in
irrigation water, soil, and vegetables at four peri-urban and one wholesale site
in Delhi, India, and estimates the health risk index. Most of the samples
collected from peri-urban areas exceeded the safe limits of lead and cadmium,
whereas only lead concentration was found to be higher in vegetable samples
collected from the wholesale market. Average uptake of metals by vegetables from
soil decreased in the order Cd>Zn>Cu>Pb. The order of metal uptake based on
transfer factor was highest in okra, cauliflower, and spinach, from greatest to
least. Among the vegetables from peri-urban sites, only okra crossed the safe
limit for cadmium; whereas vegetables from the wholesale site exceeded the limit
for lead (potato, coriander, chilies, pea, and carrot, in order from greatest to
least) with respect to health risk index.
PMID- 26564593
TI - Masked and White-Coat Hypertension: Moving to African Americans.
PMID- 26564592
TI - Target Organ Complications and Cardiovascular Events Associated With Masked
Hypertension and White-Coat Hypertension: Analysis From the Dallas Heart Study.
AB - BACKGROUND: Multiple epidemiological studies from Europe and Asia have
demonstrated increased cardiovascular risks associated with isolated elevation of
home blood pressure (BP) or masked hypertension (MH). Previous studies have not
addressed cardiovascular outcomes associated with MH and white-coat hypertension
(WCH) in the general population in the United States. OBJECTIVES: The goal of
this study was to determine hypertensive target organ damage and adverse
cardiovascular outcomes associated with WCH (high clinic BP, >=140/90 mm Hg;
normal home BP, <135/85 mm Hg), MH (high home BP, >=135/85 mm Hg; normal clinic
BP, <140/90 mm Hg), and sustained hypertension (high home and clinic BP) in the
DHS (Dallas Heart Study), a large, multiethnic, probability-based population
cohort. METHODS: Associations among WCH, MH, sustained hypertension, and aortic
pulsed wave velocity by magnetic resonance imaging; urinary albumin-to-creatinine
ratio; and cystatin C were evaluated at study baseline. Then, associations
between WCH and MH with incident cardiovascular outcomes (coronary heart disease,
stroke, atrial fibrillation, heart failure, and cardiovascular death) over a
median follow-up period of 9 years were assessed. RESULTS: The study cohort
comprised 3,027 subjects (50% African Americans). The sample-weighted prevalence
rates of WCH and MH were 3.3% and 17.8%, respectively. Both WCH and MH were
independently associated with increased aortic pulsed wave velocity, cystatin C,
and urinary albumin-to-creatinine ratio. Both WCH (adjusted hazard ratio: 2.09;
95% confidence interval: 1.05 to 4.15) and MH (adjusted hazard ratio: 2.03; 95%
confidence interval: 1.36 to 3.03) were independently associated with higher
cardiovascular events compared with the normotensive group, even after adjustment
for traditional cardiovascular risk factors. CONCLUSIONS: In a multiethnic U.S.
population, both WCH and MH were independently associated with increased aortic
stiffness, renal injury, and incident cardiovascular events. Because MH is common
and associated with an adverse cardiovascular profile, home BP monitoring should
be routinely performed among U.S. adults.
PMID- 26564594
TI - The Role of Macrolide Antibiotics in Increasing Cardiovascular Risk.
AB - BACKGROUND: Large cohort studies provide conflicting evidence regarding the
potential for oral macrolide antibiotics to increase the risk of serious cardiac
events. OBJECTIVES: This study performed a meta-analysis to examine the link
between macrolides and risk of sudden cardiac death (SCD) or ventricular
tachyarrhythmias (VTA), cardiovascular death, and death from any cause. METHODS:
We performed a search of published reports by using MEDLINE (January 1, 1966, to
April 30, 2015) and EMBASE (January 1, 1980, to April 30, 2015) with no
restrictions. Studies that reported relative risk (RR) estimates with 95%
confidence intervals (CIs) for the associations of interest were included.
RESULTS: Thirty-three studies involving 20,779,963 participants were identified.
Patients taking macrolides, compared with those who took no macrolides,
experienced an increased risk of developing SCD or VTA (RR: 2.42; 95% CI: 1.61 to
3.63), SCD (RR: 2.52; 95% CI: 1.91 to 3.31), and cardiovascular death (RR: 1.31;
95% CI: 1.06 to 1.62). No association was found between macrolides use and all
cause death or any cardiovascular events. The RRs associated with SCD or VTA were
3.40 for azithromycin, 2.16 for clarithromycin, and 3.61 for erythromycin,
respectively. RRs for cardiovascular death were 1.54 for azithromycin and 1.48
for clarithromycin. No association was noted between roxithromycin and adverse
cardiac outcomes. Treatment with macrolides is associated with an absolute risk
increase of 118.1 additional SCDs or VTA, and 38.2 additional cardiovascular
deaths per 1 million treatment courses. CONCLUSIONS: Administration of macrolide
antibiotics is associated with increased risk for SCD or VTA and cardiovascular
death but not increased all-cause mortality.
PMID- 26564595
TI - Pro-Arrhythmic Effects of Noncardiac Medications: Lessons From Macrolide
Antibiotics.
PMID- 26564597
TI - Carcinoid Heart Disease: The Challenge of the Unknown Known.
PMID- 26564596
TI - Early and Late Outcomes of Surgical Treatment in Carcinoid Heart Disease.
AB - BACKGROUND: Symptoms and survival of patients with carcinoid syndrome have
improved, but development of carcinoid heart disease (CaHD) continues to decrease
survival. OBJECTIVES: This study aimed to analyze patient outcomes after valve
surgery for CaHD during a 27-year period at 1 institution to determine early and
late outcomes and opportunities for improved patient care. METHODS: We
retrospectively studied the short-term and long-term outcomes of all consecutive
patients with CaHD who underwent valve replacement at our institution between
1985 and 2012. RESULTS: The records of 195 patients with CaHD were analyzed. Pre
operative New York Heart Association class was III or IV in 125 of 178 patients
(70%). All had tricuspid valve replacement (159 bioprostheses, 36 mechanical),
and 157 underwent a pulmonary valve operation. Other concomitant operations
included mitral valve procedure (11%), aortic valve procedure (9%), patent
foramen ovale or atrial septal defect closure (23%), cardiac metastasectomies or
biopsy (4%), and simultaneous coronary artery bypass (11%). There were 20
perioperative deaths (10%); after 2000, perioperative mortality was 6%. Survival
rates (95% confidence intervals) at 1, 5, and 10 years were 69% (63% to 76%), 35%
(28% to 43%), and 24% (18% to 32%), respectively. Overall mortality was
associated with older age, cytotoxic chemotherapy, and tobacco use; 75% of
survivors had symptomatic improvement at follow-up. Presymptomatic valve
operation was not associated with late survival benefit. CONCLUSIONS: Operative
mortality associated with valve replacement surgery for CaHD has decreased.
Symptomatic and survival benefit is noted in most patients when CaHD is managed
by an experienced multidisciplinary team.
PMID- 26564598
TI - Cholesterol Efflux Capacity and Pre-Beta-1 HDL Concentrations Are Increased in
Dyslipidemic Patients Treated With Evacetrapib.
AB - BACKGROUND: Potent cholesteryl ester transfer protein (CETP) inhibitors have been
shown to substantially increase high-density lipoprotein cholesterol (HDL-C) and
apolipoprotein A-I levels as monotherapy and combined with statins. However, data
on the effects of this class of drugs on macrophage cholesterol efflux capacity
(CEC), a functional assay that characterizes a key step in the process of reverse
cholesterol transport, are limited. OBJECTIVES: This study assessed the impact of
evacetrapib, statins, or combination therapy on CEC. METHODS: We analyzed samples
from 377 subjects with elevated low-density lipoprotein cholesterol (LDL-C) or
low HDL-C levels who were enrolled in a phase 2 trial of evacetrapib. Percent
changes from baseline in CEC (total, non-ABCA1-, and ABCA1-specific) and HDL
subpopulations were evaluated after 12 weeks of treatment with placebo, statin
monotherapy, evacetrapib monotherapy, or evacetrapib combined with statins. Pre
beta-1 HDL levels were quantified by immunofixation and nondenaturing 2
dimensional gel electrophoresis (2DGE). RESULTS: Relative to placebo, evacetrapib
monotherapy increased dose-dependent total and non-ABCA1-specific CEC up to 34%
and 47%, respectively. Evacetrapib monotherapy also increased ABCA1-specific CEC
up to 26%. Relative to statin monotherapy, evacetrapib with statins also
increased total, non-ABCA1-, and ABCA1-specific CEC by 21%, 27%, and 15%,
respectively. In contrast, rosuvastatin and simvastatin significantly reduced
total and ABCA1-specific CEC, whereas atorvastatin had no significant effect.
Consistent with ABCA1-specific CEC, evacetrapib monotherapy and evacetrapib
combined with statins significantly increased pre-beta-1 HDL levels as measured
by either method. CONCLUSIONS: Evacetrapib, as monotherapy and combined with
statins, not only increased total CEC, but also increased ABCA1-specific CEC and
pre-beta-1 HDL. The mechanisms by which potent CETP inhibition increases ABCA1
specific CEC and pre-beta-1 HDL require further study. (A Study of LY2484595 in
Patients With High LDL-C or Low HDL-C; NCT01105975).
PMID- 26564599
TI - Cholesterol Efflux Capacity as a Therapeutic Target: Rationale and Clinical
Implications.
PMID- 26564601
TI - MicroRNA Augmentation of Bone Marrow-Derived Cell Therapy.
PMID- 26564600
TI - Enhanced Cardiac Regenerative Ability of Stem Cells After Ischemia-Reperfusion
Injury: Role of Human CD34+ Cells Deficient in MicroRNA-377.
AB - BACKGROUND: MicroRNA (miR) dysregulation in the myocardium has been implicated in
cardiac remodeling after injury or stress. OBJECTIVES: The aim of this study was
to explore the role of miR in human CD34(+) cell (hCD34(+)) dysfunction in vivo
after transplantation into the myocardium under ischemia-reperfusion (I-R)
conditions. METHODS: In response to inflammatory stimuli, the miR array profile
of endothelial progenitor cells was analyzed using a polymerase chain reaction
based miR microarray. miR-377 expression was assessed in myocardial tissue from
human patients with heart failure (HF). We investigated the effect of miR-377
inhibition on an hCD34(+) cell angiogenic proteome profile in vitro and on
cardiac repair and function after I-R injury in immunodeficient mice. RESULTS:
The miR array data from endothelial progenitor cells in response to inflammatory
stimuli indicated changes in numerous miR, with a robust decrease in the levels
of miR-377. Human cardiac biopsies from patients with HF showed significant
increases in miR-377 expression compared with nonfailing control hearts. The
proteome profile of hCD34(+) cells transfected with miR-377 mimics showed
significant decrease in the levels of proangiogenic proteins versus nonspecific
control-transfected cells. We also validated that serine/threonine kinase 35 is a
target of miR-377 using a dual luciferase reporter assay. In a mouse model of
myocardial I-R, intramyocardial transplantation of miR-377 silenced hCD34(+)
cells in immunodeficient mice, promoting neovascularization (at 28 days, post-I
R) and lower interstitial fibrosis, leading to improved left ventricular
function. CONCLUSIONS: These findings indicate that HF increased miR-377
expression in the myocardium, which is detrimental to stem cell function, and
transplantation of miR-377 knockdown hCD34(+) cells into ischemic myocardium
promoted their angiogenic ability, attenuating left ventricular remodeling and
cardiac fibrosis.
PMID- 26564602
TI - Percutaneous Pulmonary Valve Implantation: Present Status and Evolving Future.
AB - Due to recurrent right ventricular outflow tract (RVOT) dysfunction, patients
with complex congenital heart disease of the RVOT traditionally require multiple
surgical interventions during their lifetimes. Percutaneous pulmonary valve
implantation (PPVI) has been developed as a nonsurgical alternative for the
treatment of right ventricular to pulmonary artery stenosis or pulmonary
regurgitation. PPVI has been shown to be a safe and effective procedure in
patients with dysfunctional surgical RVOT conduits. In this population, PPVI has
the potential to improve symptoms, functional capacity, and biventricular
hemodynamics. However, limitations to the anatomical substrate and size of the
RVOT currently restrict PPVI eligibility to less than one-quarter of patients
with RVOT dysfunction. The current review discusses contemporary practices in
PPVI, evidence supporting the procedure, and future technologies and developments
in the field.
PMID- 26564603
TI - American College of Cardiology Advocacy: Ensuring Value in a Value-Based Health
System.
PMID- 26564604
TI - Further Investigating JACC's Vision of Simplicity: Understanding the Meaning
Behind the Central Illustration.
PMID- 26564605
TI - How Young Cardiologists Can Succeed in the Era of Population Health.
PMID- 26564606
TI - BMI Reduction Decreases AF Recurrence Rate in a Mediterranean Cohort.
PMID- 26564607
TI - Reply: BMI Reduction Decreases AF Recurrence Rate in a Mediterranean Cohort.
PMID- 26564608
TI - Duration of Triple Therapy: A Clinical Question Yet to Be Answered.
PMID- 26564609
TI - Reply: Duration of Triple Therapy: A Clinical Question Yet to Be Answered.
PMID- 26564610
TI - Living Up to the PROMISE: Is There an Ultimate Winner?
PMID- 26564611
TI - Radiation Dose to the Penile Structures and Patient-Reported Sexual Dysfunction
in Long-Term Prostate Cancer Survivors.
AB - AIM: The involvement of various penile structures in radiotherapy (RT)-induced
sexual dysfunction among prostate cancer survivors remains unclear and domains
beyond erectile dysfunction such as orgasm, and pain have typically not been
considered. The purpose of this study was to investigate sexual dysfunction post
RT for localized prostate cancer and to examine whether radiation dose to
different penile structures can explain these symptoms. METHODS: We investigated
sexual dysfunction in two treated prostate cancer cohorts and in one non-pelvic
irradiated cohort, 328 sexually active men part of an unselected, population
based study conducted in 2008. The treated subjects were prescribed
primary/salvage external-beam RT to 70 Gy@2.0 Gy/fraction. Absorbed RT doses
(Dmean and Dmax ) of the corpora cavernosa (CC), the penile bulb (PB), and the
total penile structure (CC + PB) were related to 13 patient-reported symptoms on
sexual dysfunction by means of factor analysis (FA) and logistic regression.
RESULTS: Three distinct symptom domains were identified across all cohorts:
"erectile dysfunction" (ED, two to five symptoms), "orgasmic dysfunction" (OD,
two to four symptoms), and "pain" (two to three symptoms). The strongest
predictor for ED symptoms was CC + PB Dmax (P = 0.001-0.03), CC and PB Dmean
predicted OD symptoms equally well (P = 0.03 and 0.02-0.05, respectively), and
the strongest predictor for pain symptoms was CC + PB Dmean (P = 0.02-0.03).
CONCLUSION: Sexual dysfunction following RT was separated into three main domains
with symptoms related to erectile dysfunction, orgasmic dysfunction, and pain.
Chances for intact sexual functionality may be increased if dose to the total
penile structure can be restricted for these domains in the planning of RT .
PMID- 26564612
TI - Seatbelt syndrome with superior mesenteric artery syndrome: leave nothing to
chance!
AB - The introduction of seatbelts to legislation has dramatically reduced mortality
and morbidity from motor vehicle accidents. However, overtime evidence has
emerged of 'seatbelt syndrome' (SBS), particularly in the paediatric population.
The report describes the diagnosis and management of this rare injury in a 12
year-old boy who sustained a chance lumbar fracture and mesenteric tear resulting
in small bowel obstruction. His stay was subsequently complicated by superior
mesenteric artery (SMA) syndrome. This is the first documented case of SBS with
SMA syndrome. High index of suspicion and continuity of care, particularly in the
setting of a 'seatbelt sign', is paramount to timely diagnosis and management.
PMID- 26564613
TI - MRI of wrist and hand masses.
AB - Hand and wrist masses represent a common diagnostic challenge. They are
predominantly due to pseudomasses, which are mostly cysts and to benign masses
that include tenosynovial tumors, fibrohamartolipomas, vascular malformations,
glomus tumors and epidermal inclusion cysts. Malignant tumors of the wrist and
the hand are extremely rare. Magnetic resonance imaging is the imaging technique
of choice to characterize and circumscribe lesions to determine the best
treatment option.
PMID- 26564614
TI - Traumatic flexor tendon injuries.
AB - The flexor system of the fingers consisting of flexor tendons and finger pulleys
is a key anatomic structure for the grasping function. Athletes and manual
workers are particularly at risk for closed injuries of the flexor system:
ruptured pulleys, ruptures of the flexor digitorum profundus from its distal
attachment ("jersey finger"), and less frequently, ruptures of the flexor
digitorum superficialis and of the lumbrical muscles. Open injuries vary more and
their imaging features are more complex since tendons may be torn in several
locations, the locations may be unusual, the injuries may be associated with
nerve and vascular injuries, fibrosis... Sonography is the best imaging modality
to associate with the clinical exam for it allows an experienced physician to
make an accurate and early diagnosis, crucial to appropriate early treatment
planning.
PMID- 26564615
TI - Extraperitoneal ascending appendicitis: Usefulness of the split interfascial
plane sign on MDCT.
AB - PURPOSE: To retrospectively evaluate the multidetector computed tomography (MDCT)
findings of extraperitoneal ascending appendicitis. MATERIALS AND METHODS: The
MDCT examinations of 10 patients with extraperitoneal ascending appendicitis
confirmed by laparoscopic surgery were retrospectively analyzed. Preoperative
MDCT examinations were obtained after intravenous administration of iodinated
contrast material in all patients. Transverse and coronal reformatted MDCT images
were reviewed in consensus by two radiologists. The presence of the
extraperitoneal triangle sign, the split interfascial plane sign, and the other
classical findings of appendicitis were evaluated. RESULTS: Luminal dilatation,
wall enhancement of the inflamed appendix, and fat infiltration were present in
10/10 patients (100%). The perforation of the inflamed appendix with abscess
formation was present in 4/10 patients (40%). The split interfascial plane sign
was present in 7/10 patients (70%), and parts of inflamed appendix or
periappendiceal abscess were located behind the right extraperitoneal triangle in
8/10 patients (80%). CONCLUSION: The split interfascial plane sign and the
presence of an appendiceal tip or periappendiceal abscess located in the right
extraperitoneal triangle are highly suggestive of extraperitoneal ascending
appendicitis on MDCT.
PMID- 26564616
TI - Tumors and pseudotumors of the hand: The role of imaging.
AB - The assessment of a swelling or mass of the wrist or the hand is commonly
performed by radiologists. Because cysts on the wrist are, by far, the most
frequent pathology. Diagnosis is usually based on standard radiography and
ultrasound alone. Additional imaging techniques, and in particular MR imaging,
are necessary to assess tumors, although malignant tumors of the hand are rare.
Some benign cysts have pathognomonic characteristics visible on imaging. By
understanding them, treatment planning may be improved.
PMID- 26564617
TI - Consonant accuracy in Mandarin-speaking children with repaired cleft palate.
AB - OBJECTIVE: To compare the consonant production of Chinese-speaking cleft palate
children with perceived hypernasal resonance (PHR) after palatoplasty and those
with perceived normal resonance (PNR), and to assess the possible influence of
language on articulation. SETTING: Two hospital cleft lip and palate centers in
mainland China. PARTICIPANTS: Thirty-one speakers were allocated into two groups
based on perceptual judgment results of their resonance provided by three speech
therapists: one group with PNR (n=20, average age=9.3 years), and another group
with PHR (n=11, average age=8.3 years). All participants had no known hearing or
cognitive deficits. INTERVENTION: Articulation was evaluated using two Mandarin
Chinese assessment tools, the Putonghua Segmental Phonology Test and the Deep
Test for Cleft Palate Speakers in Putonghua. Speaker consonant accuracy was
evaluated by two experienced speech therapists. RESULTS: Compared to individuals
with PNR, the PHR group exhibited more difficulties on production of unaspirated
consonants, including/b/,/t/,/k/,/ts/,/ts/ and/tc/, than for aspirated
consonants. CONCLUSION: The distinctive feature of aspiration in Mandarin
phonology brought a language specific pattern to consonant production among those
speakers with PHR after primary palatal closure.
PMID- 26564619
TI - Pulmonary Artery Pulsatility Index Is Associated With Right Ventricular Failure
After Left Ventricular Assist Device Surgery.
AB - BACKGROUND: Right ventricular failure (RVF) is a major cause of morbidity and
mortality after CF-LVAD implantation. We explored the association of pulmonary
artery compliance (PAC), pulmonary artery elastance (PAE), and pulmonary artery
pulsatility index (PAPi) in addition to established parameters as preoperative
determinants of postoperative RVF after CF-LVAD surgery. METHODS AND RESULTS: We
retrospectively reviewed 132 consecutive CF-LVAD implantations at Tufts Medical
Center from 2008 to 2013. Clinical, hemodynamic, and echocardiographic data were
studied. RVF was defined as the unplanned need for a right ventricular assist
device or inotrope dependence for >=14 days. Univariate analysis was performed.
RVF occurred in 32 of 132 patients (24%). PAC and PAE were not changed, whereas
the PAPi was lower among patients with versus without postoperative RVF (1.32 +/-
0.46 vs 2.77 +/- 1.16; P < .001). RA pressure, RA to pulmonary capillary wedge
pressure ratio (RA:PCWP), and RV stroke work index (RVSWI) were also associated
with RVF. Using receiver operating characteristic curve-derived cut-points, PAPi
< 1.85 provided 94% sensitivity and 81% specificity (C-statistic = 0.942) for
identifying RVF and exceeded the predictive value of RA:PCWP, RVSWI, or RA
pressure alone. CONCLUSIONS: PAPi is a simple hemodynamic variable that may help
to identify patients at high risk of developing RVF after LVAD implantation.
PMID- 26564618
TI - Prediction of Acute Response to Cardiac Resynchronization Therapy by Means of the
Misbalance in Regional Left Ventricular Myocardial Work.
AB - BACKGROUND: Patients with left ventricular (LV) dyssynchrony have a marked
misbalance in LV myocardial work distribution, with wasted work in the septum and
increased work in the lateral wall. We hypothesized that a low septum-to-lateral
wall (SL) myocardial work ratio at baseline predicts acute LV pump function
improvement during cardiac resynchronization therapy (CRT). METHODS AND RESULTS:
Twenty patients (age 65 +/- 10 y, 15 men) underwent cardiac magnetic resonance
(CMR) tagging for regional LV circumferential strain assessment and invasive
pressure-volume loop assessment at baseline and during biventricular pacing.
Segmental work at baseline was calculated from regional strain rate and LV
pressure. Subsequently, the SL work ratio was calculated and related to acute
pump function (stroke work [SW]) improvement during CRT. During biventricular
pacing, SW increased by 33% (P <.001). SL work ratio at baseline was found to be
significantly related to SW improvement by means of CRT (R = -0.54; P = .015).
Moreover, it proved to be the only marker that was significantly related to acute
response to CRT, whereas QRS duration and other measures of dyssynchrony or
dyscoordination were not. CONCLUSIONS: The contribution of the septum to LV work
varies widely in CRT candidates with left bundle branch block. The lower the
septal contribution to myocardial work at baseline, the higher the acute pump
function improvement that can be achieved during CRT.
PMID- 26564620
TI - [Molecular epidemiology of HIV in China: 1985-2015].
PMID- 26564621
TI - [Development of an index system for the comprehensive evaluation on public health
emergency events surveillance system in China].
AB - OBJECTIVE: To establish a comprehensive evaluation index system for the China
Public Health Emergency Events Surveillance System (CPHEESS). METHODS: A draft
index system was built through literature review and under the consideration of
the characteristics on CPHEESS. Delphi method was adapted to determine the final
index system. RESULTS: The index system was divided into primary, secondary and
tertiary levels. There were 4 primary indicators: System structure, Network
platform, Surveillance implementation reports with Data analysis and utilization.
There were 16 secondary and 70 tertiary indicators being set, with System
structure including 14 tertiary indicators (accounted for 20.00%), 21 Network
platforms (accounted for 30.00%). Twenty-four Surveillance implementation reports
(accounted for 34.29%), 11 Data analysis and utilization (accounted for 15.71%).
The average score of importance of each indicators was 4.29 (3.77-4.94), with an
average coefficient variation as 0.14 (0.12-0.16). The mean Chronbach's alpha
index was 0.84 (0.81-0.89). The adaptability of each related facilities indicator
was specified. CONCLUSION: The primary indicators were set in accordance with the
characteristics and goals of the surveillance systems. Secondary indicators
provided key elements in the management and control of the system while the
tertiary indicators were available and operative. The agreement rate of experts
was high with good validity and reliability. This index system could be used for
CPHEESS in future.
PMID- 26564622
TI - [Difference on sleeping between school-days and weekends in elementary school
children, data from 8 provinces in China].
AB - OBJECTIVE: To understand the differences on sleeping-time between school-days and
weekends among elementary school children. METHODS: This cross-sectional study
was conducted from September to November, 2010. A stratified random cluster
sampling strategy was used to select the participants, under diverse geographical
and economic levels in eight provinces, municipalities or autonomous regions, in
mainland China. A total number of 20 603 elementary school children aged from 6
12 years old were chosen as research subjects to record their time of sleeping
during school-days or weekends. RESULTS: Among the 6-12 year old, their time of
sleeping was longer on weekends than that on school-days, while the average
sleeping time during the weekends was longer in boys than in girls (t = 3.35, P <
0.05). However, there was no linearly decreasing trend along with the increase of
age regarding the time of sleeping on weekends, in girls. Proportions of serious
lack of sleep, lack of sleep or with sufficient sleep during the weekends were
13.63% (2 809/20 603), 27.27% (5 618/20 603) and 59.10% (12 176/20 603). The
proportion of sufficient sleep (over 50%) was significantly higher on weekends
than that on school-days (less than 30%), however, nearly one third of the first
grade primary school children were sleep insufficiently even on weekends. Nearly
10.00% of the children under seriously or moderately lack of sleep on school-days
were still in a serious lack of sleep state on weekends. The three groups who
were categorized as serious lack of sleep (less than 9 h), lack of sleep (9-10 h)
or having sufficient (over 10 h) sleep on school-days accounted for 29.75%,
64.48%, 86.44%, respectively, when compared with the national regulation set as
10 h daily sleep for the children in China. The proportions of those whose time
of sleep on weekends was less than school-days in the three groups as the same,
moderate (within 1 h), with 1 h caught-up or over, were 9.41%, 22.77%, 43.32%,
24.50%, respectively. The percentage of pupils who caught up sleep appropriately
(within 1 h) on weekends among those who were serious lack of sleep in school
days would exceed 40.00% while the proportions among those who lack of sleep or
having sufficient on school-days were 54.00% and 30.00%. The percentage of
children who slept less on weekends than on school-days among those having
sufficient sleep on school-days appeared the highest, nearly 20.00%. However, the
proportions among those who lack of sleep or seriously lack of sleep on school
days were 3.45% and 8.16%, respectively. CONCLUSION: We found that the elementary
school children who could catch up time of sleep or lack of sleep on weekends
coexisting in our study. This situation called for attention and the sleeping
habit in primary school children should also be urgently improved.
PMID- 26564623
TI - [Study on the variation of arsenic concentration in groundwater and chemical
characteristics of arsenic in sediment cores at the areas with endemic arsenic
poison disease in Jianghan Plain].
AB - OBJECTIVE: To understand the variation of arsenic concentration in underground
water at the endemic arsenic poison disease area of Jianghan Plain so as to
better understand the spatial distribution of high arsenic groundwater, hydro
chemical evolution and source of arsenic in this region. METHODS: Thirty
underground water samples were collected respectively around 3 km radius of the
two houses where arsenic poisoning patients lived, in Xiantao and Honghu.
Sediment cores of three drillings were collected as well. Both paired t-test or
paired Wilcoxon Signed Ranking Test were used to compare the arsenic
concentration of water. RESULTS: The arsenic concentration in 2011-2012 appeared
lower than that in 2006-2007 at the Nanhong village of Xiantao (t = 4.645 3, P <
0.000 1), but was higher (S = -150, P < 0.000 1) in the Yaohe village of Honghu.
The pH value showed weak acidity with Eh as weak oxidated. Positive correlations
were observed between arsenic concentration and Cl, HCO3(-), Fe, Mn. However,
negative correlations were found between As and SO4(2-), NO3(-). The range of
arsenic content in the sediment was 1.500 mg/kg to 17.289 mg/kg. The maximum
arsenic content existed in the soil layer, while the minimum arsenic content
existed in the sand layer. CONCLUSION: The concentration of arsenic varied widely
with time and space at endemic arsenic poison disease area of Jianghan Plain.
Characteristics of these water chemicals showed significant differences, when
compared to the groundwater from Datong Basin, Shanxi Shanyin and Hetao Plain of
Inner Mongolia, which presented a typical environment with high arsenic contents
in the groundwater. The arsenic content in the sediment samples seemed related to
the lithologic structure.
PMID- 26564624
TI - [Comprehensive assessment on the outcome of Tianjin Act of Tobacco Control].
AB - OBJECTIVE: To evaluate the integrated effects of tobacco control programs through
comparing the pre- and post-implementation of the Tianjin Tobacco Control Act
(Act), in 4 successive years. METHODS: Case-related data on myocardial infarction
was collected by Tianjin Surveillance System, New Case Registry. Both the
representative sample size of indoor working places and public places for
observation and PM2.5 monitored were selected through the calculation of Survey
System, while the representative sample size of people involved in the survey for
interview was under the Door to Door and Intercept. RESULTS: Through comparing
the pre- and post-implementation programs on Act, the posting of "No Smoking
Sign" had become much more visible in hospitals, schools, governmental buildings
and the waiting areas of public transportation. People smoked much less in the
main public places, excepting for hotels and public bath rooms (P < 0.05).
Exposure to secondhand smoking (SHS) had a 26.5% (P < 0.01) decline, than the Act
was implemented in workplace and public place. Despite the number of cases
increased in the entire population in Tianjin (beta = -0.061, P = 0.00; beta =
0.059, P = 0.00), cases with myocardial infarction presented at the hospitals
were declining annually, among the indoor workers. CONCLUSION: Act showed a
positive effect in decreasing the number of smokers in public places thus
protecting people from the negative effects on SHS. Message on health effect and
social benefits on tobacco control should be disseminated to facilitate the
comprehensive implementation of the Act.
PMID- 26564625
TI - [Study on spousal notification in HIV discordant couples and associated factors
in four provinces of China].
AB - OBJECTIVE: This study was aimed to investigate the ways of spousal notification
and its associated factors among HIV discordant couples. METHODS: A face-to-face
questionnaire survey was conducted in Henan, Yunnan, Sichuan provinces and
Guangxi Zhuang Autonomous Region in China. Information including democratic
characteristics, knowledge and behaviors correlated with HIV infections and ways
of HIV infectious status notification was collected. 'Data information system' on
AIDS prevention and control was used to collect information on the transmission
route. RESULTS: A total of 770 pairs of HIV discordant couples were studied,
among which 414 (53.77%) HIV positive respondents reported as self-notification,
with another 44.68% were notified by medical staff. Factors associated with ways
of notification included gender, nation, transmission route, and education level.
HIV positive respondents who were female, under Han nationality, being paid blood
donors, having had higher education level, were more likely to inform their HIV
negative spouse by themselves. CONCLUSION: Nationality and HIV transmission route
of the HIV positive individuals were found as significant factors associated with
ways of spousal notification. Therefore, HIV discordant couples notification
should be strengthened, especially in the Minority-living areas and areas where
HIV transmission was predomint through sexual contact and/or via injected drug
use.
PMID- 26564626
TI - [Survival time and related influencing factors of AIDS patients in Liangshan
prefecture, Sichuan province, during 2008-2013].
AB - OBJECTIVE: To investigate the survival time and affecting factors among AIDS
patients under antiretroviral treatment, between 2008 and 2013 in Liangshan,
Sichuan province. METHODS: Observational retrospective cohort study method was
applied. AIDS patients were chosen from China's national comprehensive prevention
and control management system of AIDS in Liangshan, during 2008-2013. Related
information on demographics, source of infection, pathogenesis, treatment and
death was collected. Cox proportional hazards model was applied to analyze the
factors that might affect the survival on patients. RESULTS: Among the 8 321
cases, ranging from 18 to 87.5 years old (mean age as 34.2 +/- 9.8), 3 021 died
and 3 721 patients had received HAART treatment. The total mortality rate dropped
from 43.9/100 person-years to 20.7/100 person-years from 2008 to 2013. In the
treatment group, mortality rate dropped from 27.3/100 person-years to 5.1/100
person-years, while in the untreated group it remained high-between 45.0/100
50.8/100 person-years. Proportion for the treatment coverage increased gradually,
from 5.8% in 2008 to 54.5% in 2013. Median survival time of all the AIDS patients
was 35.1 months, but 18.4 months in the untreated group. Survival of all the AIDS
patients was associated with factors as: treatment, age when AIDS diagnosis was
made and route of HIV infection (P < 0.05). The risk of death among untreated
patients was 5.78 times to the treated ones, but did not seem to relate to gender
or nationality (P > 0.05). Survival of the treated group was associated with
factors as gender, age when AIDS diagnosis was made, nationality, route of HIV
infection, CD4(+) T cell count when AIDS diagnosis was made, CD4(+) T cell count
at treatment baseline, anemia at the treatment baseline (P < 0.05). Survival of
the untreated group was mainly associated with age when AIDS was diagnosed (P <
0.05) while other factors did not seem to be significantly related (P > 0.05).
CONCLUSION: Antiretroviral therapy appeared an important factor that affecting
the survival of AIDS patients, timely treatment and CD4(+) T cell count provided
at the baseline for treatment, were two key factors that affecting the outcome of
treatment. Our findings pointed out that tactic factors as: strengthening the
detection, monitoring on CD4(+) T cell count, early diagnose and treatment,
expanding the coverage of antiretroviral therapy, and appropriate timing for
treatment etc., were important ways to enhance the effects of treatment, so as to
reduce the mortality rate and prolong the time of survival.
PMID- 26564627
TI - [Effect of treatment and HIV drug resistance of 81 cases of HCV/HIV co-infected
individuals who had received AIDS second-line antiretroviral treatment in Henan
province].
AB - OBJECTIVE: To understand the one-year effect of HCV/HIV co-infected patients who
had received AIDS second-line antiretroviral treatment after failure
virologically, on the first-line therapy. METHODS: HCV and HIV antibody positive
patients who had experienced virological failure but received at least one-year
AIDS first-line treatment, were recruited from May to October 2012 in Xincai,
Queshan and Weishi of Henan province. 6-months and 12-months follow-up programs
were carried out after the regimen had been changed to AIDS second-line
antiretroviral treatment, CD4+ T lymphocyte count, HIV-1 virus load and HIV-1
drug resistance were performed. RESULTS: Eighty-one cases of eligible patients
were selected and followed by an amelioration of CD4 median at 6-month and 12
month follow-up period. Data showed that the baseline, 6-months and 12-months CD4
medians were 266 cells/ul, 275 cells/ul and 299 cells/ul (chi2 = 8.214, P =
0.009). The ratio of HIV virus load suppression patients at 6-months and 12
months follow-up increased to 46.84% and 50.00%, respectively. Frequencies of HIV
drug resistance also decreased at the baseline, 6-months and 12-months, with
ratios as 66.67%, 26.58% and 27.63% (chi2 = 29.362, P = 0.000), respectively.
Ratios of patients that holding NRTI and NNRTI drug resistance appeared
coinstantaneous decrease at the baseline, 6-months and 12-months, as 51.85%,
18.99% and 17.11% (chi2 = 14.230, P = 0.005). At the baseline, the ratios of
patients resisted to 3TC, ABC and FTC were all more than 50%, with AZT, D4T and
DDI between 41%-44% while TDF appeared as 33.33%, then all of them declined to
12%-18% at the 6-month and 12-month follow-up periods. 65.43% of the patients
resisted to both NVP and EFV but declined to 24%-27% at 6 months and 12 months.
CONCLUSION: HCV/HIV co-infected patients experienced virological failure of AIDS
first-line therapy were ameliorated after changing to use second-line
antiretroviral treatment for 6-months, but did not show constant positive effect
at the 12-month end point.
PMID- 26564628
TI - [Cost-effectiveness of the HIV screening program carried out in Guangxi Zhuang
Autonomous Region infectious disease special demonstration project areas].
AB - OBJECTIVE: To analyze the cost effectiveness of HIV screening project in three
Guangxi infectious disease special demonstration project countries in 2013.
METHODS: To calculate the funds used for the HIV screening project and to study
the data on HIV/AIDS and HAART. A five-tree markov model was used to evaluate the
quality adjusted life year (QALY) of this HIV screening project and to analyze
the related cost effectiveness of the project. RESULTS: The cost of HIV screening
in Guangxi infectious disease special demonstration project areas was 19.205
million Yuan and having identified 1 218 HIV/AIDS patients. The average costs for
HIV/AIDS positive detection in three project countries were 14.562, 18.424 and
14.042 thousand Yuan per case. The QALYs gained from finding a HIV/AIDS case were
12.736, 8.523 and 8.321 on average, with the total number of QALYs gained from
the project as 5 973.184, 3 613.752 and 2 704.325. The overall cost effectiveness
ratio of the project was 1.562 thousand Yuan per QALY, and 1.143, 2.162 and 1.688
thousand Yuan per QALY in these three project countries. Project country "A"
showed better cost effectiveness index than country B and C. CONCLUSION: The HIV
screening project in Guangxi seemed relatively cost-effective but the average
cost of HIV/AIDS positive detection was expensive. To strengthen HAART work for
HIV/AIDS could improve the cost-effective of the project.
PMID- 26564630
TI - [Association between polymorphisms of killer cell immunoglobulin-like receptor
gene and the risk of essential hypertension: a case-control study].
AB - OBJECTIVE: To assess the association between killer cell immunoglobulin-like
receptor (KIR) gene polymorphisms and the risk of hypertension in autoimmune
mechanism. METHODS: We conducted a case-control study including 205 hypertensives
and 205 controls matched with sex and age, from a community-based population. KIR
genes of all subjects were genotyped by polymerase chain reaction with sequence
specific primers (PCR-SSP). Conditional logistic regression model and generalized
multifactor dimensionality reduction (GMDR) method were used to estimate the
association among KIR gene polymorphisms and the risk of hypertension. RESULTS:
The genotypic frequencies of KIRs were not significantly different between the
hypertensives and the control groups (P > 0.05). Among all the models of GMDR
concerning the association between interactions of KIR genes and essential
hypertension, the testing accuracy of the interaction between KIR2DS2 and KIR2DS3
was the highest (55.13%), with cross-validation consistency as 10/10 (P = 0.054).
Results from the conditional logistic regression showed that individuals with
KIR2DS2+: KIR2DS3- were significantly associated with an increased risk on
hypertension (OR = 2.555, 95% CI: 1.203-5.429, P = 0.015). However, individuals
with KIR2DS2+: KIR2DS3+ were significantly associated with a reduced risk of
hypertension (OR = 0.268, 95% CI: 0.088-0.815, P = 0.020). Individuals with
KIR2DS2- KIR2DS3+ did not seem to be associated with the risk of hypertension (OR
= 1.602, 95% CI: 0.785-3.266, P = 0.195), when compared to the KIR2DS2- KIR2DS3-
group. Interactions between KIR2DS2 and KIR2DS3 were significantly associated
with the risk of hypertension, after adjusted for BMI, smoking, drinking and
family history of hypertension (OR = 0.065, 95% CI: 0.013-0.317, P = 0.001).
CONCLUSION: Individuals with KIR2DS2 and no KIR2DS3 were associated with the
increased risk of hypertension. KIR2DS2 that coexisted with KIR2DS3 were
associated with the reduced risk of hypertension. Antagonism between KIR2DS2 and
KIR2DS3 might serve as a protect factor for hypertension.
PMID- 26564629
TI - [Analysis on HIV-1 genetics and threshold of drug resistance in Dehong prefecture
of Yunnan province in 2013].
AB - OBJECTIVE: To study the HIV-1 genotypes and transmitted drug resistance (TDR) in
Dehong prefecture of Yunnan province in 2013. METHODS: Referring to the
guidelines for HIV drug resistance threshold survey (HIVDR-TS), 54 plasma samples
of recently reported HIV-infected individuals, aged between 16 and 25 years, were
collected in Dehong prefecture from January to August 2013. Genotyping of partial
pol gene was performed by using reverse transcriptional PCR. HIV-1 genotype.
Prevalent levels of HIV-1 drug resistance transmission were analyzed. RESULTS:
Forty-eight plasma samples were successfully sequenced and analyzed. Among them,
45.8% were Chinese and the rest 54.2% were all Burmese. Based on pol sequences,
identified HIV genotypes included subtype C (41.7%), URF (31.3%), CRF01_AE
(12.5%), CRF07_BC (10.4%), CRF08_BC (2.1%) and subtype B (2.1%), C subtype
appeared dominated in Chinese while URF was dominated in Burmese. One drug
resistant mutation to non-nucleoside reverse transcriptase inhibitors (NNRTIs)
was detected in one sequence from Burmese. Based on the statistical method of
HIVDR-TS, the prevalence of transmitted HIV-1 drug resistance was adjusted as <
5%. CONCLUSION: Diverse HIV-1 genotypes were found in this study, and the current
HIV-1 drug resistant strains transmission was catalogued as at low prevalence
level, in Dehong. To prevent the increase of the prevalence of transmitted HIV-1
drug resistance, standard treatment and scientific management for people living
with HIV/AIDS should be strictly followed. Meanwhile, relevant surveillance,
including drug resistance surveillance should also be performed among cross
border migrant population.
PMID- 26564631
TI - [A cohort study on dietary cholesterol intake and hypercholesterolemia among
adults in 9 provinces and autonomous regions].
AB - OBJECTIVE: To explore the association between dietary cholesterol intake and
hypercholesterolemia among Chinese adults. METHODS: In 2009, 4 244 adults aged 25
65 years old who participated in both 2004 and 2009 China Health and Nutrition
Surveys, and with complete biochemical and dietary data were selected.
Hypercholesterolemia were defined as with total cholesterol >= 6.22 mmol/L,
according to 2007 Chinese Guidelines on Prevention and Treatment of Dyslipidemia
in Adults. Non-conditional logistic regression was used to investigate
association between dietary cholesterol intake and hypercholesterolemia. RESULTS:
The average total cholesterol was 4.9 mmol/L, with prevalence of
hypercholesterolemia as 9.6%, in 2009. During 2004 to 2009, dietary cholesterol
showed a dramatical increase, with lower intake groups the most. Males who
consumed middle or lower dietary cholesterol in 2004 and high intake in 2009 had
significantly higher risk of having hypercholesterolemia. However, similar
findings did not appear in females. CONCLUSION: The associations between dietary
cholesterol intake and hypercholesterolemia were different among Chinese males
and females. Dramatic increase of dietary cholesterol intake was related to
higher risk of hypercholesterolemia in males.
PMID- 26564632
TI - [Epidemiological analysis on severe fever with thrombocytopenia syndrome under
the national surveillance data from 2011 to 2014, China].
AB - OBJECTIVE: To analyze the epidemiological features and surveillance management
programs on severe fever with thrombocytopenia syndrome (SFTS) in China from 2011
to 2014. METHODS: The analysis of epidemiological characteristics and
surveillance management programs was based on the annual reporting data collected
from the National Disease Reporting Information System while the description of
cluster incidents was based on those reports collected from the Public Health
Emergency Information Management System (PHEIMS). Association between age groups
and fatality rate was tested under the Cochran-Armitage Trend Test. The
difference of fatality rates between differing groups was analyzed, using the chi
square test, with statistical significance on alpha = 0.05. RESULTS: Between 2011
and 2014, 5 352 suspected, probable and lab-confirmed cases of SFTS were reported
in 23 provinces, of which 16 provinces reported 2 750 lab-confirmed cases with a
fatality of 7.9%, accounting for 51.4% of all the cases, nation-wide. Henan,
Shandong, Hubei, Anhui, Liaoning, Zhejiang and Jiangsu reported 99.3% of those
lab-confirmed cases. The period between April and October appeared the epidemic
season, with its peak from May to July. Most cases were farmers, accounted for
88.3% of the lab-confirmed cases. People at the age between 50-74 occupied 67.6%
of all the cases. Fatality of the disease continually increased from 3.7% in the
age group 40-44 to 13.5% in the age group older than 80. Fatality rates appeared
statistically different between the groups with or without misdiagnosis, with OR
as 3.06 (95% CI: 1.61-5.90). Secondary cases of the cluster incidents were most
likely to result from contacting the blood of the index cases. CONCLUSION: Number
of reported SFTS cases showed a rising trend in China. Henan, Hubei, Anhui,
Shandong and Liaoning provinces appeared at high risk of the disease.
Geographical distribution of the SFTS cases' seemed highly sporadic, with May to
July being the peak season. Farmers and elderly were considered as high risk
population. Occasionally, cluster incidents might be seen, as the result of
contacting the blood of cases or corpses. Being at older age and misdiagnosis
seemed to be risk factors for fatality.
PMID- 26564633
TI - [Study on illegal driving behavior and vehicle road traffic injury in China from
2006 to 2010].
AB - OBJECTIVE: To study the characteristics and trend of illegal driving behavior in
China to provide evidence for related policy enforcement. METHODS: Using indices
as death rate of ten thousand vehicle, death rate per 100 000 population,
thousands of road mileage, degree of motorization and death ratio etc. to analyze
the current situation, characteristics of illegal vehicle driving behavior
related to road traffic injuries, in China. RESULTS: From 2006 to 2010, death
ratio on vehicle road traffic injuries related to the top five illegal driving
behaviors were as follows: speeding (from 0.33 down to 0.17), on refuse to give
way to others (from 0.26 to 0.14), on driving without license (from 0.21 to
0.08), on illegal driving encroachment (from 0.17 to 0.04) and on reverse driving
(from 0.11 to 0.07). Death rates related to vehicle road traffic injuries on
drunk driving or fatigue driving were 0.04 in 2010 and 0.02 in 2010,
respectively. CONCLUSION: Despite the fact that the number of vehicle road
traffic injuries appeared a declining trend, the severity was increasing. Illegal
driving behavior was still prevalent in China.
PMID- 26564634
TI - [Information obtained through Internet-based media surveillance regarding
domestic public health emergencies in 2013].
AB - OBJECTIVE: To analyze the information obtained through Internet-based media
surveillance in 2013 on domestic public health emergencies and to compare with
the related data reported through Chinese Public Health Emergency Management
Information System (PHEMIS), and to study the role of Internet-based Media
Surveillance Program (IBMSP) in the detection of public health emergencies.
METHODS: A descriptive analysis was conducted based on the database of the
information on domestic public health emergencies. Information was obtained
through the Internet-based media surveillance in 2013. RESULTS: A total of 752
pieces of information regarding domestic public health emergencies in 31
provinces were obtained, through the IBMSP, run by the China CDC in 2013. 53.46%
of all the information were categorized as initial ones on public health
emergency while another 22.07% were considered as updated ones. 41.62% of the
information were related to infectious diseases with another 24.73% to food
poisoning. 27.53% of the information were from official websites of governments
and professional organizations, with the rest 72.47% were from media. As for
corresponding public health emergencies, 41.79% were food poisoning and 18.66%
were infectious diseases. 22.39% of them occurred in schools, 18.16% in other
organizations and 16.92% in households. 28.86% were reported through Chinese
PHEMIS. For the 116 public health emergencies that both related to information
obtained through Internet-based media surveillance in 2013 and reported through
PHEMIS, the median days of interval between illness onset of the first case as
well as reported by media, interval between onset of the first case as well as
reported through PHEMIS, were 2.5 days and 2.0 days respectively. 19.83% of the
emergencies were first reported by media than through PHEMIS. CONCLUSION:
Internet-based media surveillance programs had become an important way to detect
public health emergencies and could serve as the supplement to the classic
surveillance programs on public health emergencies.
PMID- 26564635
TI - [Relationship between self-rated filial piety and depressive symptoms among the
elderly].
AB - OBJECTIVE: To examine the association between self-rated filial piety and
depression among the elderly, in order to provide evidence for improving the
intervention programs on mental health in the elderly. METHODS: Descriptive,
correlative and multiple logistic regression models were used to analyze the
elderly aged 60 years or over relationship between self-rated filial piety and
depressive symptoms. Source of data was from the 2010 Sample Survey of the Aged
Population in Urban/Rural China (n = 18 234). RESULTS: Twenty-two point five zero
and 1.66% of the respondents reported having had depression with poor self-rated
filial piety. Findings from multiple logistic regression showed that poor self
rated filial piety was associated with higher risk of depression when comparing
to those under 'so-so self-rated filial piety' (OR = 1.88, 95% CI: 1.71-2.07) or
good self-rated filial piety (OR = 3.15, 95% CI: 2.41-4.12) among the elderly,
after adjusting for factors related to socio-demographic and familiar
characteristics. CONCLUSION: Poor self-rated filial piety was associated with
depression among the elderly. Programs including support to the elderly and
reinforcing publicity on filial piety plus intervention for the elderly with poor
self-rated filial piety should be implemented to protect the mental health in the
elderly.
PMID- 26564636
TI - [Analysis on the imported measles cases in Beijing, 2014].
AB - OBJECTIVE: To analyze the imported measles cases who came to Beijing seeking for
better medical services and to explore the feasible strategies for prevention and
control of the situation. METHODS: Descriptive analysis was conducted for all the
measles cases noted from the Measles Surveillance System, between January 1, 2014
and December 31, 2014. RESULTS: 3 328 measles cases were reported in Beijing,
including 2 397 (2 397/3 328, 72.0%) native residents and 931 (931/3 328, 28.0%)
came from other provinces. Peak of the imported cases appeared earlier than those
native cases, with 934 cases (934/2 397, 39.0%) having had hospital exposure 7-21
days prior to the onset of the disease. Majority of the imported were children,
including 718 of them (718/931, 77.1%) under the age of 15. Most cases were
reported from 3 infectious disease hospitals (567/1 156 person-time, 49.0%) and 2
children's hospitals (445/1 156 person-time, 38.5%). Original addresses of the
imported cases distributed in 24 provinces, with 705 of them (705/931, 75.7%)
coming from Beijing's neighboring province (Hebei). Clinic symptoms with
epidemiological information were analyzed on 712 cases. 704 cases (704/712,
98.9%) presented rash at home town while another 621 cases (621/712, 87.2%)
developed rash 4 days after arriving in Beijing and were still in the infectious
period. CONCLUSION: There was a big amount of imported measles cases in Beijing
that called for the elimination of the disease in a urgent phase. It is necessary
to timely develop and conduct targeted prevention and control measures on the
disease in Beijing.
PMID- 26564637
TI - [Spatial clustering of hand-foot-mouth disease in Gansu, 2012].
AB - OBJECTIVE: The purpose of this study was to explore the spatial distribution and
spatial clustering of hand-foot-mouth disease (HFMD) in Gansu, 2012. METHODS:
Spatial autocorrelation and Spatial scanning analysis were used to conduct
spatial statistical analyses for the HFMD at the county/district level. RESULTS:
HFMD cases did not show a random distribution but with significant spatial
aggregation. When Local Autocorrelation analysis was applied at the
county/district level, with nine hot spot areas as Jiayuguan, Yumen, Dunhuang,
Jinta, Suzhou, Chengguan, Anning, Xigu and Gaolan, were discovered. Four
statistically significant HFMD clusters were identified by spatial scan
statistics. CONCLUSION: HFMD was noticed geographically clustered in Gansu in
2012. Results from this study indicated that the spatial autocorrelation and
spatial scanning analysis could effectively detect the areas which presenting
significant clusters. Cluster Detection System (CDS) could provide evidence for
the development of an effective measure concerning the prevention and control of
HFMD.
PMID- 26564638
TI - [Trends on the changing prevalence in patients with early syphilis and HIV
infection among men who having sex with men in Nanjing, from 2008 to 2013].
AB - OBJECTIVE: To observe the trends on prevalence of early syphilis and HIV
infection among men who had sex with men in the last six years from a HIV/AIDS
counseling and testing clinic in Nanjing, and to develop relative strategies.
METHODS: Men who have sex with men involved in AIDS/HIV and syphilis voluntary
counseling and testing services were recruited and investigated from 2008 to 2013
in this clinic. Clients whose syphilis serologic test showed positive were
determined the diagnosis by physician within the referral network from sexually
transmitted diseases clinics. Demographic information on early syphilis, HIV
infection or co-infection with HIV and early syphilis was described while related
epidemic trend analysis was performed. RESULTS: The annual numbers of men having
sex with men under survey were 1 004 in 2008, 1 218 in 2009, 1 236 in 2010, 748
in 2011, 1 019 in 2012 and 1 420 in 2013. The prevalence rates of early syphilis
appeared to be: 2.19% in 2008, 2.71% in 2009, 2.43% in 2010, 1.74% in 2011, 3.04%
in 2012 and 2.32% in 2013, with the trend as: P > 0.05. The prevalence rates of
HIV infection were 1.29% in 2008, 2.63% in 2009, 5.42% in 2010, 8.82% in 2011,
11.97% in 2012 and 10.42% in 2013, with trend as: P < 0.01. The prevalence rates
of early syphilis with HIV infection increased from 0.20% in 2008 to 1.06% in
2013 (trend: P < 0.01). The proportion of HIV co-infection among men having sex
with men with early syphilis infection, increased from 9.09% in 2008 to 45.45% in
2013, with trend: P < 0.01. CONCLUSION: Early syphilis infection among men who
having sex with men showed a stable trend but the number of HIV and co-infections
with early syphilis and HIV increased rapidly in the last six years in Nanjing.
Continued intervention strategies should target on men who have sex with men to
slow down the epidemic of syphilis and HIV infection.
PMID- 26564639
TI - [An outbreak of foot pain syndrome among students from a senior high school in
Foshan, Guangdong province, 2014].
AB - OBJECTIVE: To identify the cause of an outbreak of foot pain syndrome among
students from a senior high school in Foshan. METHODS: We defined a suspect case
as onset of foot pain/numbness with unknown reason among students and teachers in
a school of Foshan city, from February 10 to March 16, 2014. A suspect case was
noticed as having both food pain and numbness. All the cases were searched
through reviewing medical records in the nearby hospitals and school's clinic,
also the records of absenteeism in school. Clinical information was collected
from all the students, using a standardized questionnaire. Daily temperature was
collected from all the students, between January 1 and March 31, 2014. A 1 : 2
individual matched case-control study was conducted to identify related risk
factors on this epidemic. We interviewed all the cases and controls on their
diet, physical activities and measures used for warming. RESULTS: A total of 407
case-students were identified, with an attack rate (AR) as 26.5%. The AR was
37.3% in girls, compared to 12.9% in boys. The difference was statistically
significant (chi2 = 115.1, P < 0.01). Boarding students had a higher AR (31.8%)
than the commuting students (16.2%). The difference was statistically significant
(chi2 = 43.2, P < 0.01). In girls, boarding students had higher AR (46.1%) than
those commuting students (18.5%). The difference was statistically significant
(chi2 = 61.4, P < 0.01). No statistically significant difference was found
between boarding or commuting students in boys. Outdoor temperature was coming
down from 23 degrees C on February 6 to 6 degrees C on February 13, but
gradually rose to 23 degrees C on February 28. There was a positive relationship
(r = 0.65, P = 0.002) noticed between daily maximum temperature and the number of
cases during February 13-28. Results from this case-control study showed that
factors as lacking physical activities (OR = 2.8, 95% CI: 1.5-5.6), feeling cold
in bed (OR = 3.0, 95% CI: 1.3-7.0) and having experienced similar symptoms (OR =
3.4, 95% CI: 1.1-11.0) could increase the risk of this disease. CONCLUSION: This
outbreak was possibly caused by the abrupt fluctuation of temperature within a
short period.
PMID- 26564640
TI - [Research on the association between U2-dependent spliceosome gene and
hepatocellular cancer].
AB - OBJECTIVE: To determine the association between U2-dependent spliceosome related
8 key genes and hepatocellular cancer (HCC). METHODS: A two-stage case-control
study was conducted. Twenty-two candidate tag single nucleotide polymorphisms
(tagSNPs) were genotyped by TaqMan Openarray assay in a screened population that
living in Central China (378 HCC incident cases and 461 controls). Frequencies of
4 SNPs (rs2074733, rs9608886, rs7288947 and rs5994293) showed significant
difference between cases and controls in the screened population and then
genotyped by TaqMan real-time polymerase chain reaction in the validation Chinese
Han population from Beijing (428 cases and 647 controls). RESULTS: The rs5994293
in SF3A1 gene showed a significant association with HCC in both screened
population and combined population. Subjects with G allele had a lower risk of
HCC, compared to those with the TT genotype. OR appeared to be 0.70 (95% CI: 0.58
0.84, false discovery rate adjusted P = 0.000 5) for the combined population. An
additive interaction between smoking, drinking alcohol and rs5994293 TT was
observed in HBsAg negative subjects of the combined populations. CONCLUSION: Our
results showed an association existing between SF3A1 rs5994293 and HCC. These
findings should be confirmed by further independently large-scale population
studies and functional analysis.
PMID- 26564641
TI - [Phenotype and genotype of antimicrobial resistance on nasal Staphylococcus
aureus isolates from healthy people].
AB - OBJECTIVE: To investigate the antimicrobial susceptibility and molecular nature
related to the resistance on macrolides from nasal Staphylococcus (S.) aureus
isolates among healthy people. METHODS: A total of 100 S. aureus isolates
collected from 2009 to 2011 were tested for antimicrobial susceptibility by E
test. Double disc test (D-test) was used to detect the inducible clindamycin
resistance. All S. aureus isolates were characterized by spa typing. Macrolides
resistance genes were detected and compared with isolates that were collected
clinically or from the livestock. RESULTS: High resistance rates on erythromycin
or clindamycin was noticed, with 52% and 27%, respectively. Inducible clindamycin
resistance was identified in 29 of the 100 (29%) isolates. In total, the 100
isolates were assigned to 35 spa types. The most common spa types were found to
be t189, t571, t002, t796, t437, t034 and t701, that accounted for 51.0% of all
the isolates. erm (C) (57.7%) and erm (B) (34.6%) were found as the dominant
genes in 52 S. aureus isolates from healthy people. On the other hand, erm (A)
and erm (C) were identified in 95.0% S. aureus isolates from patients and all the
livestock, respectively. CONCLUSION: erm (C) and erm (B) carrying S. aureus
strains were circulating in healthy people and these genes were distributed in
different S. aureus clones.
PMID- 26564642
TI - [Relationship between pre-pregnant body mass index, maternal weight gain and
small for gestational age].
AB - OBJECTIVE: To investigate the relationship between maternal pre-pregnancy body
mass index, weight gain during pregnancy and small for gestational age (SGA)
birth so as to provide evidence for the development of comprehensive prevention
programs on SGA birth. METHODS: Between March, 2012 and July, 2014, 4 754
pregnant women were asked to fill in the questionnaires which were collected from
the First Affiliated Hospital of Shanxi Medical University. Data related to
general demographic characteristics, pregnancy and health status of those
pregnant women was collected and maternal pre-pregnancy body mass index and
maternal weight gain were calculated. Subjects were divided into different groups
before the effect of maternal pre-pregnancy body mass index and weight gain
during pregnancy on SGA birth were estimated. RESULTS: The overall incidence of
SGA birth was 9.26% (440/4 754). Proportions of SGA birth from pre-pregnant,
underweight group, normal weight group, overweight and obese groups were 9.85%,
8.54% and 9.45%, respectively. Results from multi-factor logistic regression
analyses showed that after adjusting the confounding factors as age, history on
pregnancies etc., women with high pre-pregnancy BMI showed a lower incidence of
SGA than those under normal pre-pregnancy BMI (OR = 0.714, 95% CI: 0.535-0.953).
Different weight gains during pregnancy were statistically significant (chi(2) =
8.811, P = 0.012). Incidence of SGA birth that was below the recommended range in
the 2009 Institute of Medicine Guidelines (12.20%) was higher than those within
(9.23%) or beyond (8.45%) the recommended range. Results from the multi-factor
logistic regression analyses showed that, after adjusting the confounding factors
as age, pregnancy history etc., factor as weight gain below the recommended level
could increase the risk of SGA (OR = 1.999, 95% CI: 1.487-2.685). In the
underweight, normal weight, overweight or obese groups, with weight gain during
pregnancy below the range, the incidence of SGA showed an increase (OR = 2.558,
95% CI: 1.313-4.981, OR = 1.804, 95% CI: 1.258-2.587, OR = 3.108, 95% CI: 1.237
7.811). There was no interaction of addictive or multiplicative models between
these two factors under 'interaction analysis'. CONCLUSION: Women with high pre
pregnancy BMI presented a lower incidence of SGA than those within the normal
range. Insufficient weight gain during pregnancy could increase the risk of SGA
delivery. These findings called for attention to be paid to the gestational
weight gain, in order to decrease the risk of SGA.
PMID- 26564643
TI - [Effect of maximum blood pressure when discharged from the hospital on the
prognosis among patients with acute ischemic stroke].
AB - OBJECTIVE: To investigate the relationship between maximum blood pressure and the
prognosis after discharged from the hospital, among patients with ischemic
stroke. METHODS: A cohort study was conducted which including 471 cases of
ischemic stroke patients that were collected from February 2014 to December 2014
at the Second Affiliated Hospital of Harbin Medical University. Values of
everyday blood pressure were measured on each patient at the first six days after
admitted to the hospital. Maximum blood pressure value of the 6 days was taken as
an indicator of the blood pressure levels. The ability on daily living was
measured by the modified Rankin score (mRs). Data were analyzed by Chi-square
test, t test and multivariate logistic regression analysis. RESULTS: Confounding
factors would include age, gender, culture, physical activity, income, smoking,
alcohol, hypertension, diabetes, coronary heart disease, stroke history,
hospitalization mRs, lipid parameters, homocysteine and blood sugar and were
adjusted. Results from multivariate logistic regression analysis showed that the
maximum SBP was associated with adverse outcomes. Compared with 140-159 mmHg for
SBP, OR (95% CI) was 2.51 (1.30-4.85) for 160-179 mmHg, OR (95% CI) was 2.68
(1.27-5.65) for those pressure levels higher than 180 mmHg, after multiple
factors were adjusted. Compared with 90-99 mmHg for DBP, OR (95% CI) was 1.92
(1.00-3.67) for 100-109 mmHg, OR (95% CI) was 2.78 (1.35-5.69) for the ones
higher than 110 mmHg. CONCLUSION: Maximum blood pressure during hospitalization
might be associated with adverse outcome of ischemic stroke patients.
PMID- 26564644
TI - [Distribution of beta3-adrenergic receptors gene polymorphisms and its
association with serum lipid in Han and Uighur populations in Xinjiang].
AB - OBJECTIVE: To investigate the distribution of beta3-adrenergic receptors
(ADRbeta3) gene polymorphisms (rs2298423 and rs6986132) and its association with
serum lipid in Han and Uighur populations in Xinjiang. METHODS: Genotypes of the
ADR beta 3 gene were detected in 362 Uighur and 653 Han healthy individuals who
were randomly selected in Xinjiang by real-time PCR (TaqMan) method. RESULTS: 1)
Frequencies of TT, GT and GG genotypes of the rs2298423 locus were 76.5%, 22.1%
and 1.4% in the Uighurs but 74.7%, 23.3% and 2.0% in the Hans. There was no
significant difference noticed in distribution of genotypes between the two
populations (P = 0.695). 2) Frequencies of GG, AG and AA genotypes of the
rs6986132 locus were 81.5%, 16.6% and 1.9% in Uighurs but 59.6%, 33.7% and 6.7%
in Hans. There was significant difference noticed in the distribution of
genotypes between the two populations (P < 0.001). 3) Total cholesterol and low
density lipoprotein cholesterol levels were significantly higher in GG or GT
genotypes than in the TT genotype carriers of rs2298423 in the Uighur individuals
(P < 0.01), but not in the Han population. Serum lipid level that including total
cholesterol (TC), low density lipoproteins cholesterol (LDL-C), triglyceride and
high density lipoproteins cholesterol did not show significant differences in the
distribution of rs6986132 genotypes between the two populations (P > 0.05). After
adjusting for factors as gender, age, height, weight, waist circumference, blood
urea nitrogen, creatin, glucose, triglyceride, smoking, drinking, results from
the logistic regression analyses revealed that those individuals who were
carrying GG or GT genotype of rs2298423 were expected to face an increased risk
for total cholesterol and low density lipoprotein cholesterol level than those
individuals that carrying TT genotype in Uighur populations (OR = 3.140, 95% CI:
1.270-7.764, P = 0.013 in TC level; OR = 3.818, 95% CI: 1.761-8.280, P = 0.001 in
LDL-C level). 4) The T-G haplotype appeared more frequent in the Uighurs while
the T-A haplotype was more commonly seen in the Han population, respectively
(both P < 0.001). CONCLUSION: The mutational frequencies of the tagging SNPs in
rs2298423 and rs6986132 loci of the ADRbeta 3 gene presented obvious differences
between Han and Uighur populations of Xinjiang. G allele carriers of rs2298423
seemed to face an increased risk for TC and LDL-C level in the Uighur populations
in Xinjiang.
PMID- 26564645
TI - [Minimal-Intervention on smoking cessation: a Meta-analysis].
AB - OBJECTIVE: To systematically evaluate the effectiveness of Minimal Smoking
Cessation Intervention Program (MSCIP) and to provide theoretical basis for the
feasibility of implementation in China. METHODS: Systematically, we searched data
from studies published between January, 2000 and September, 2014 on the database
that including Cochrane Library, Medline, EMbase, CNKI, Wanfang, Vip, etc.
Studies related to MSCIP were designed by random controlled trials. Meta analysis
was performed by Revman 5.1. RESULTS: Nine studies were included, with the Random
Effect Model Relative Risk as 1.57 (1.01-2.44), which indicated that the
probability of being tobacco abstinent had increased by 57% in the treating group
than in the control group. Participants who developed other diseases, being
pregnant or the time of receiving intervention messages <= 10 minutes, were more
likely to quit the program. There were no significant statistically differences
noticed between the different subgroups. CONCLUSION: Minimal smoking cessation
intervention increased cessation rates, RCTs with a larger sample size are needed
to draw the related conclusions.
PMID- 26564646
TI - Adult perceptions of dental fluorosis and select dental conditions-an Asian
perspective.
AB - OBJECTIVE: To compare lay people's perceptions with regard to various levels of
dental fluorosis and select dental defects versus normal dentition. METHODS:
Adults rated digitally created photographs made showing lips (without retraction)
and teeth depicting the following conditions: no apparent aesthetic defects
(normal, Thylstrup- Fejerskov score 0 - TF0), 6 levels of fluorosis (TF1-6),
carious lesions (two cavitated and one noncavitated), malocclusions (Class II,
Class III, anterior open bite and greater spacing), extrinsic staining and an
incisal chip. The photographs were displayed on colour-calibrated iPads(TM) .
Participants used a self-administered questionnaire to rate their perceptions on
(Item 1) how normal teeth were, (Item 2) how attractive the teeth were, (Item 3)
need to seek correction of teeth, (Item 4) how well the person took care of their
teeth and (Item 5) whether the person was born like this. Data from Item 5 were
excluded due to low reliability. RESULTS: Ratings for Item 1 showed that TF1-4
was similar or significantly better than TF0. For Item 2, TF1 and TF4 were
significantly better than TF0, with TF2 and TF3 being similar. For Item 3, there
was significantly lower need to seek correction with TF2 and TF4 versus TF0,
whereas TF1 and TF3 were similar to TF0. TF5 and TF6 were rated significantly
lower than TF0 for Item 1 and Item 2, and significantly higher rating for Item 3
(need to seek correction). Ratings for Item 4 were similar, with TF1, TF2 and TF4
being rated significantly higher than TF0, and TF5 and TF6 being rated lower.
Cavitated caries and staining were generally perceived as being significantly
less favourable than TF6, with higher need to seek correction as well.
Noncavitated carious lesion and incisal chip were rated similar to TF0. Cavitated
carious lesions were rated aesthetically similar or significantly worse than TF0
and TF6. CONCLUSIONS: Severe fluorosis (TF5 and 6) was perceived to be less
aesthetically pleasing and received higher ratings for need to seek correction
than normal teeth. Mild-to-moderate fluorosis (TF1-4) showed similar or better
aesthetic perceptions and similar or lower need to seek correction, when compared
to normal teeth (TF0). Easily visible cavitated dental caries was rated worse
than teeth with severe fluorosis (TF6) and normal teeth (TF0).
PMID- 26564647
TI - Pioneers and pit crews.
PMID- 26564649
TI - Add to cart?
PMID- 26564651
TI - Surprised by photos.
PMID- 26564652
TI - Relationship with pharmaceutical companies.
PMID- 26564653
TI - Rourke Baby Record 2014: Evidence-based tool for the health of infants and
children from birth to age 5.
AB - OBJECTIVE: To update the 2011 edition of the Rourke Baby Record (RBR) by
reviewing current best evidence on health supervision of infants and children
from birth to 5 years of age. QUALITY OF EVIDENCE: The quality of evidence was
rated with the former (until 2006) Canadian Task Force on Preventive Health Care
classification system and GRADE (grading of recommendations, assessment,
development, and evaluation) approach. MAIN MESSAGE: New evidence has been
incorporated into the 2014 RBR recommendations related to growth monitoring,
nutrition, education and advice, development, physical examination, and
immunization. Growth is monitored with the World Health Organization growth
charts that were revised in 2014. Infants' introduction to solid foods should be
based on infant readiness and include iron-containing food products. Delaying
introduction to common food allergens is not currently recommended to prevent
food allergies. At 12 months of age, use of an open cup instead of a sippy cup
should be promoted. The education and advice section counsels on injuries from
unstable furniture and on the use of rear-facing car seats until age 2, and also
includes information on healthy sleep habits, prevention of child maltreatment,
family healthy active living and sedentary behaviour, and oral health. The
education and advice section has also added a new environmental health category
to account for the effects of environmental hazards on child health. The RBR uses
broad developmental surveillance to recognize children who might be at risk of
developmental delays. Verifying tongue mobility and patency of the anus is
included in the physical examination during the first well-baby visit. The 2014
RBR also provides updates regarding the measles-mumps-rubella, live attenuated
influenza, and human papillomavirus vaccines. CONCLUSION: The 2014 RBR is the
most recent update of a longstanding evidence-based, practical knowledge
translation tool with related Web-based resources to be used by both health care
professionals and parents for preventive health care during early childhood. The
2014 RBR is endorsed by the Canadian Paediatric Society, the College of Family
Physicians of Canada, and the Dietitians of Canada. National and Ontario versions
of the RBR are available in English and French.
PMID- 26564654
TI - Diagnosing hypertension: Evidence supporting the 2015 recommendations of the
Canadian Hypertension Education Program.
AB - OBJECTIVE: To highlight the 2015 Canadian Hypertension Education Program (CHEP)
recommendations for the diagnosis and assessment of hypertension. QUALITY OF
EVIDENCE: A systematic search was performed current to August 2014 by a Cochrane
Collaboration librarian using the MEDLINE and PubMed databases. The search
results were critically appraised by the CHEP subcommittee on blood pressure (BP)
measurement and diagnosis, and evidence-based recommendations were presented to
the CHEP Central Review Committee for independent review and grading. Finally,
the findings and recommendations were presented to the Recommendations Task Force
for discussion, debate, approval, and voting. The main recommendations are based
on level II evidence. MAIN MESSAGE: Based on the most recent evidence, CHEP has
made 4 recommendations in 2 broad categories for 2015 to improve BP measurement
and the way hypertension is diagnosed. A strong recommendation is made to use
electronic BP measurement in the office setting to replace auscultatory BP
measurement. For patients with elevated office readings, CHEP is recommending
early use of out-of-office BP measurement, preferably ambulatory BP measurement,
in order to identify early in the process those patients with white-coat
hypertension. CONCLUSION: Improvements in diagnostic accuracy are critical to
optimizing hypertension management in Canada. The annual updates provided by CHEP
ensure that practitioners have up-to-date evidence-based information to inform
practice.
PMID- 26564655
TI - Biliary atresia.
PMID- 26564656
TI - The skinny on BMI and mortality.
PMID- 26564657
TI - Low-dose acetylsalicylic acid for primary prevention of cardiovascular disease:
Do not misinterpret the recommendations.
PMID- 26564659
TI - Treatment and prevention of traveler's diarrhea.
PMID- 26564661
TI - Gloomy in Glenburn.
PMID- 26564662
TI - Jennifer Whelan MB BCh BAO CCFP.
PMID- 26564663
TI - A perfect family medicine storm.
PMID- 26564666
TI - Should CPD for opioid prescribing be mandatory?
PMID- 26564667
TI - Design and development of an ethnically-diverse imaging informatics-based eFolder
system for multiple sclerosis patients.
AB - PURPOSE: MRI has been used to identify multiple sclerosis (MS) lesions in brain
and spinal cord visually. Integrating patient information into an electronic
patient record system has become key for modern patient care in medicine in
recent years. Clinically, it is also necessary to track patients' progress in
longitudinal studies, in order to provide comprehensive understanding of disease
progression and response to treatment. As the amount of required data increases,
there exists a need for an efficient systematic solution to store and analyze MS
patient data, disease profiles, and disease tracking for both clinical and
research purposes. METHOD: An imaging informatics based system, called MS
eFolder, has been developed as an integrated patient record system for data
storage and analysis of MS patients. The eFolder system, with a DICOM-based
database, includes a module for lesion contouring by radiologists, a MS lesion
quantification tool to quantify MS lesion volume in 3D, brain parenchyma fraction
analysis, and provide quantitative analysis and tracking of volume changes in
longitudinal studies. Patient data, including MR images, have been collected
retrospectively at University of Southern California Medical Center (USC) and Los
Angeles County Hospital (LAC). The MS eFolder utilizes web-based components, such
as browser-based graphical user interface (GUI) and web-based database. The
eFolder database stores patient clinical data (demographics, MS disease history,
family history, etc.), MR imaging-related data found in DICOM headers, and lesion
quantification results. Lesion quantification results are derived from
radiologists' contours on brain MRI studies and quantified into 3-dimensional
volumes and locations. Quantified results of white matter lesions are integrated
into a structured report based on DICOM-SR protocol and templates. The user
interface displays patient clinical information, original MR images, and viewing
structured reports of quantified results. The GUI also includes a data mining
tool to handle unique search queries for MS. System workflow and dataflow steps
has been designed based on the IHE post-processing workflow profile, including
workflow process tracking, MS lesion contouring and quantification of MR images
at a post-processing workstation, and storage of quantitative results as DICOM-SR
in DICOM-based storage system. The web-based GUI is designed to display zero
footprint DICOM web-accessible data objects (WADO) and the SR objects. SUMMARY:
The MS eFolder system has been designed and developed as an integrated data
storage and mining solution in both clinical and research environments, while
providing unique features, such as quantitative lesion analysis and disease
tracking over a longitudinal study. A comprehensive image and clinical data
integrated database provided by MS eFolder provides a platform for treatment
assessment, outcomes analysis and decision-support. The proposed system serves as
a platform for future quantitative analysis derived automatically from CAD
algorithms that can also be integrated within the system for individual disease
tracking and future MS-related research. Ultimately the eFolder provides a
decision-support infrastructure that can eventually be used as add-on value to
the overall electronic medical record.
PMID- 26564668
TI - Symmetry in early response to intravitreal ranibizumab in bilateral diabetic
macular oedema.
AB - PURPOSE: To study the symmetry in response to bilateral diabetic macular oedema
(DME) treated with bilateral intravitreal injections of ranibizumab (IVR).
METHODS: The charts of 36 eyes of 18 patients treated with a loading dose of
three monthly IVR in both eyes were retrospectively reviewed. Favourable
anatomical response was defined as a decrease by more than 10% in baseline
central macular thickness (CMT), and favourable functional response was defined
as an increase in visual acuity (VA) >=5 letters. A symmetric response was
defined as a similar anatomical and/or functional response in the first (FE) and
second (SE) treated eyes. RESULTS: The VA improved significantly after
ranibizumab treatment in both eyes (p < 0.01). A statistically significant
positive correlation was found for the functional response to ranibizumab between
the FE and the SE (R(2) = 0.26, p = 0.03). The mean CMT decreased significantly
in both eyes (p < 0.01). A strong positive correlation was observed between the
anatomical response to ranibizumab in the FE and the SE (R(2) = 0.37, p = 0.01).
Symmetric favourable anatomical and functional responses were observed in 13
patients (72%). In two additional patients, an asymmetric functional response was
observed despite a decrease in retinal thickness in both eyes. CONCLUSION:
Symmetric anatomical and functional responses were observed in 72% of patients
with DME after three initial IVR in each eye. This finding could be of clinical
interest in the decision to treat the fellow eye, in a disease where a bilateral
involvement is frequent.
PMID- 26564670
TI - Focus on Our Tax Code and Global Activities.
PMID- 26564671
TI - Military Research ColorDx and Printed Color Vision Tests.
AB - PURPOSE: To determine the equivalence of the ColorDx Military Research version
(mColorDx) test and three printed pseudoisochromatic tests (HRR, Ishihara, and
PIPIC) for color vision testing. METHODS: Participating in the study were 75
color-normals and 47 subjects with red-green color vision defects. Color vision
was classified by an anomaloscope. The HRR (4(th) edition), Ishihara 38-plate
edition, and PIPIC tests are printed color vision tests, whereas mColorDx test
figures were displayed on a calibrated computer desktop monitor. All tests were
repeated in about 1 wk. RESULTS: The kappa level of agreement (kappa) values with
the anomaloscope for screening for each test was 0.96 or greater. The values were
statistically identical. Specificity for each test was at least 0.99 and
sensitivity was at least 0.95. The repeatability of the screening sections for
all tests was very good with kappa values greater than 0.95. Deutans tended to
miss the tritan screening plates on the HRR and mColorDx tests. The Spearman rank
correlation coefficients between the severity of the defect and anomaloscope
range was moderate with r = 0.45 for the mColorDx and r = 0.6 for the HRR. Both
the mColorDx and HRR had perfect agreement with the anomaloscope in classifying
the defects as either protan or deutan. CONCLUSION: The validity of the four
tests for color vision screening was statistically identical; however, the HRR
may be preferred because it had the highest sensitivity of 0.99, a specificity of
1.0, and a reasonable correlation between the severity rating of the defect and
the anomaloscope range.
PMID- 26564672
TI - Overactive Performance Monitoring Resulting from Chronic Exposure to High
Altitude.
AB - INTRODUCTION: The neural mechanisms underlying the influence of chronic exposure
to high altitude on performance monitoring are not clear. We investigated
performance monitoring in the context of chronic exposure to high altitude.
METHODS: A go/no-go task was used to obtain event-related potentials (ERP). The
error-related negativity (ERN), correct-related negativity (CRN), and error
positivity (Pe) components were measured in high-altitude and low-altitude
groups. The high-altitude group had lived at high altitude for 3 yr, but were
born and raised at low altitude, whereas the low-altitude group had lived at low
altitude only. RESULTS: The ERN amplitudes were larger in the high-altitude group
compared with the low-altitude group (-14.00 +/- 8.34 MUV vs. -7.82 +/- 8.42 MUV,
respectively). Moreover, the CRN amplitudes were larger in the high-altitude
group (3.51 +/- 4.50 MUV vs. 8.65 +/- 3.23 MUV, respectively). Group differences
were not significant for the Pe component. DISCUSSION: These results suggest that
chronic exposure to high altitude can cause overactive performance monitoring in
the high-altitude group, but the later stage of error monitoring was not
influenced.
PMID- 26564673
TI - Simulated Spaceflight Operations Under Sleep Deprivation and Confinement.
AB - INTRODUCTION: This study investigated how operation complexity and type affect
Chinese individuals' performance of simulated spaceflight operations under
conditions of sleep deprivation and confinement (SDC). METHODS: There were 20
male volunteers who were randomly divided into 2 groups: the SDC group (N = 8)
and the control group (N = 12). During the 72-h experimental period, the
volunteers were asked to perform 11 computerized spaceflight emergency
procedures, varying in operation complexity and type, three times at the 9(th),
33(rd), and 57(th) hours, respectively. Operation times and errors of each
spaceflight emergency procedure were recorded. Three complexity levels (i.e., low
complexity, high complexity, and combined complexity) and three operation types
(i.e., two-way judgment, manual operation, and mixed operation) were identified
according to an operation complexity measure and an engineering definition.
RESULTS: Mixed model ANOVAs indicated that performance of the three complex
operations and three operation types were negatively affected by SDC. Moreover,
the results showed that the operation time of the manual operation (10.67 +/-
1.706 at the 9th hour, 13.94 +/- 4.261 at the 33rd hour) and mixed operation
(4.88 +/- 0.247 at the 9th hour, 5.15 +/- 1.308 at the 57th [corrected] hour)
increased significantly with the increase of waking time. It was also shown that
the high complexity operation and manual operation got less variation in
operation time compared with low complexity and two-way judgment, respectively.
CONCLUSIONS: The result indicated that the task assignment with high complexity
requiring cognition could be a useful way to counteract the effect of SDC. It was
also implied that psychomotor abilities were more easily affected by SDC than
perception and judgment.
PMID- 26564674
TI - Physical Fitness and Dehydration Influences on the Cardiac Autonomic Control of
Fighter Pilots.
AB - BACKGROUND: Physical fitness and dehydration are factors that may influence
cardiac autonomic control. We aimed to verify the influence of these factors on
cardiac autonomic control before, during, and after a flight. METHODS: At the
same time of day, 11 healthy fighter pilots recorded several 1-h bouts of heart
rate (HR) activity during a non- (control) and a training flight day. Autonomic
control of HR was examined via time domain and non-linear heart rate variability
(HRV) analyses. The level of dehydration during the flight was evaluated by
changes in hematocrit, while aerobic capacity, muscular strength, and body
fatness were the physical fitness components evaluated. RESULTS: The flight
induced a significant reduction in most parameters of HRV during flight time when
compared to the control day. However, no differences were found between the days
before the flight, while the root mean square of successive differences (RMSSD)
of HR was the only parameter significantly reduced (11.05 +/- 7.7%) after the
flight. Significant correlations were observed between the sample entropy of HR
during flight and aerobic capacity (r = 0.777) and body fatness (r = -0.617).
Correlations between dehydration and changes in HRV (RMSSD and SD1) were also
identified (r = -0.61 to -0.81). CONCLUSION: The current results demonstrated
significant relationships between aerobic capacity, body fatness, and hydration
status on autonomic control of HR during and after flights. No relationship to
muscular strength was observed. Future studies may further elucidate the impact
of these factors on pilot training in order to accommodate flight's stressors and
enhance performance.
PMID- 26564675
TI - Training General Aviation Pilots for Convective Weather Situations.
AB - BACKGROUND: Over the past 10-15 yr, considerable research has occurred for the
development, testing, and fielding of real-time Datalink weather products for
general aviation (GA) pilots to use before and during flight. As is the case with
the implementation of most new technologies, work is needed to ensure that the
users (in this case, the pilots) understand both the capabilities and limitations
of the new technologies as well as how to use the new systems to improve their
task performance. The purpose of this study was to replicate and extend a
previous study on training pilots how and when to use these new weather
technologies. METHOD: This field study used a quasi-experimental design (pre- vs.
post-test with a control group). There were 91 GA pilots from the Midwest,
Northeastern, and Southeastern United States who participated in a 2-h short
course or a control activity. The lecture-based short course covered radar
basics, Next Generation Weather Radar (NEXRAD), NEXRAD specifics/limitations,
thunderstorm basics, radar products, and decision making. RESULTS: The pilots who
participated in the course earned higher knowledge test scores, improved at
applying the concepts in paper-based flight scenarios, had higher self-efficacy
in post-training assessments as compared to pre-training assessments, and also
performed better than did control subjects on post-test knowledge and skills
assessments. DISCUSSION: GA pilots lack knowledge about real-time Datalink
weather technology. This study indicates that a relatively short training program
was effective for fostering Datalink weather-related knowledge and skills in GA
pilots.
PMID- 26564676
TI - A Randomized Controlled Trial of Core Strengthening Exercises in Helicopter
Crewmembers with Low Back Pain.
AB - BACKGROUND: The purpose of this study was to determine if five core strengthening
exercises would decrease pain severity and related disability in U.S. Air Force
helicopter aircrew members with low back pain. METHODS: The study was a
randomized control group repeated measures design. The experimental manipulation
consisted of a set of five core strengthening exercises performed 4 d/wk for 12
wk. Self-reported pain severity and disability were ascertained at baseline and
12 wk using the Numerical Pain Rating Scale (NPRS) and Modified Oswestry Low Back
Pain Disability Index (MODI), respectively. The NPRS was used to ascertain both
daily pain (NPRS(daily)) and in-flight pain (NPRS(flight)). Self-reported
improvement or deterioration in low back pain was measured using the Global
Rating of Change Scale (GRCS). RESULTS: There were 12 subjects enrolled and 5
were randomized to the intervention group. The mean NPRS(flight) score decreased
1.8 points vs. increasing 0.1 points during the trial for the intervention and
control groups, respectively. The mean MODI score decreased 4.8 points vs.
increasing 1.7 points during the trial for the intervention and control groups,
respectively. The mean GRCS score at the end of the trial was 4.0 vs. 0 for the
intervention and control groups, respectively. There was no difference between
groups in terms of mean NPRS(daily) scores. CONCLUSIONS: Core strengthening
exercises were effective in reducing in-flight pain and led to a reduction in
pain symptoms and disability over the 12-wk study period as compared to those
subjects who maintained their regular exercise regimen.
PMID- 26564677
TI - Quality of Life, Health, and Sleep of Air Traffic Controllers with Different
Shift Systems.
AB - BACKGROUND: Air traffic controllers (ATC) work shifts and their work schedules
vary according to the characteristics of each airport. The human body adapts to
shiftwork differently. These adjustments affect the health-disease process,
predisposing ATC to risk conditions associated with sleep deprivation and lack of
night sleep, which can lead to conditions such as cardiovascular diseases, mood
disorders, anxiety, and obesity. This study investigated the characteristics of
health, sleep, and quality of life of ATC exposed to 8-h alternate work shifts
and 6-h rotational work shifts. METHODS: The study was cross-sectional with
convenience samples consisting of 84 ATC from two international airports in
Brazil. We applied questionnaires to collect data about socioeconomic conditions,
quality of life, sleep, and physical activity levels. We also collected health
data regarding nutritional status, body composition, and blood pressure. We
analyzed the differences between ATC from the two airports considering the
variables of sleep, quality of life, and health. RESULTS: Differences were found
between the groups in terms of body fat percentage (30.7% and 27.8%), scores of
overall quality of life (56.2 and 68), concentration (3.37 and 3.96), energy
(3.12 and 3.62), and sleep time on working days (5:20 h and 6:15 h). CONCLUSION:
ATC under 8-h alternate shifts showed lower scores for quality of life, higher
body fat, and less sleep time on working days, which characterizes inadequate
shiftwork for this population.
PMID- 26564678
TI - Sleep Duration in Rough Sea Conditions.
AB - INTRODUCTION: Environmental motion can affect shipboard sleep of crewmembers.
Slamming and similar harsh motion may interfere with sleep, whereas mild motion
and sopite syndrome may enhance sleep. If sleep needs vary by sea condition, this
factor should be considered when assessing human performance at sea. The goal of
this study was to assess sleep duration in different sea conditions. METHODS:
Crewmembers (N = 52) from a U.S. Navy vessel participated in the study while
performing their normal daily schedule of duties. Sleep was assessed with wrist
worn actigraphy. Motion sickness and sopite syndrome were assessed using
standardized questionnaires. RESULTS: In rough sea conditions, crewmembers
experienced increased severity of motion sickness and sopite syndrome compared to
their ratings during calmer sea conditions. Crewmembers slept significantly
longer during sea state 5-6 compared to sleep on days with sea state 4 (25%
increase) and sea state 3-4 (30% increase). Specifically, daily sleep increased
from 6.97 +/- 1.24 h in sea state 3-4, to 7.23 +/- 1.65 h in sea state 4, to 9.04
+/- 2.90 h in sea state 5-6. DISCUSSION: Although the duration of sleep in rough
seas increased significantly compared to calmer sea conditions, causal factors
are inconclusive. Accumulated sleep debt, motion-induced fatigue, and sopite
syndrome all may have contributed, but results suggest that motion sickness and
sopite syndrome were the predominant stressors. If sleep needs increase in severe
motion environments, this factor should be taken into account when developing
daily activity schedules or when modeling manning requirements on modern ships.
PMID- 26564679
TI - Conservative Management of Mechanical Neck Pain in a Helicopter Pilot.
AB - BACKGROUND: Acute and chronic spinal symptoms such as neck pain may limit flying
performance significantly and disqualify the pilot from flight duty. Mechanical
neck pain is very common among pilots because of their exposure to vibration, +GZ
forces, helmet weight, poor neck posture during air combat maneuvers, previous
neck injuries, and poor treatment plans for such injuries. Successful treatment
of such injuries requires appropriate therapeutic procedures as well as an
aeromedical assessment. The aim of this case study was to demonstrate the
benefits of conservative procedures such as spinal manipulation and mobilization
therapy (SMMT) and exercise therapy (ET) in treating chronic mechanical neck pain
in an Iranian commercial helicopter pilot. CASE REPORT: A 36-yr-old male patient
presented to the clinic with moderate, intermittent nonradicular chronic neck
pain and limited range of motion over a 2-yr period. The patient was treated with
cervical and upper thoracic SMMT followed by home ET for 5 wk. After this period,
the patient reported significant recovery and improvement in range of motion in
his neck. DISCUSSION: Mechanical neck pain is very common among helicopter
pilots. Although Air Force and Navy waiver guides recommend nonsteroidal anti
inflammatory medications as well as SMMT and ET, there are currently very few
published studies that examine the benefits of manual and exercise therapy for
treating mechanical neck pain in commercial and military pilots. Based on the
results of this study, it seems that SMMT and ET may be a safe and effective in
treatment of uncomplicated mechanical neck pain in helicopter pilots. Alagha B.
Conservative management of mechanical neck pain in a helicopter pilot.
PMID- 26564680
TI - On-Site 3D Printing of Functional Custom Mallet Splints for Mars Analogue
Crewmembers.
AB - INTRODUCTION: The first off-Earth fused deposition modeling (FDM) 3D printer is
investigating acrylonitrile butadiene styrene (ABS) thermoplastic manufacturing
applications for long-duration space missions. This study assessed the
feasibility of FDM 3D printing ABS thermoplastic customized mallet splints on
site for Mars analogue crewmembers. METHODS: Seven caliper measurements were
taken of the right ring finger of 13 healthy Mars Desert Research Station mission
crewmembers. These measurements were input into a free 3D modeling software
program to create customized digital splint models. These digital files were
uploaded to a desktop FDM 3D printer and custom splints were printed on site with
ABS thermoplastic. Splint fit was assessed via subject feedback. Joint active
range of motion was recorded when the splint was worn briefly. RESULTS: The time
it took the software program to digitally render each splint model was less than
2 min and 30 s. The print duration for the splints ranged from 21 to 29 min. All
13 subjects reported that their 3D printed custom mallet splints fit securely and
comfortably. All splints permitted full active range of motion of the proximal
interphalangeal joint (0-100 degrees ) while maintaining the distal
interphalangeal joint in extension. DISCUSSION: It is feasible to 3D print
functional ABS thermoplastic custom mallet splints on site for Mars analogue
crewmembers. This technology could be used clinically in the future when a custom
mallet splint is required in a remote, resource-constrained setting.
PMID- 26564681
TI - Humans Are Still the Critical Factor in Aviation Security.
AB - INTRODUCTION: In Germany, the German Federal Police assess the performance of
aviation security screeners on a regular basis. These so-called "reality tests"
are unannounced examinations which aim to investigate whether airport screeners
can detect forbidden items in hand luggage or attached to the body. Recent
alarming results of such inspections showed clearly that the overall detection
rate is in need of improvement. To achieve this, it is important to identify
specific factors that influence general screening performance. This especially
includes basic cognitive functions like visual screening, alertness, and divided
attention, which have come more and more into focus in current fundamental
research projects. This brief commentary points out critical factors, contributes
background conditions in aviation security screening, and shows possible
approaches for enhancement and optimization. Finally, the human aspect is
discussed as not only being the weakest factor in security screening, but also
one of major importance.
PMID- 26564682
TI - You're the Flight Surgeon.
PMID- 26564683
TI - You're the Flight Surgeon.
PMID- 26564684
TI - Blind Flying: The Origins of Instrument Flying.
PMID- 26564685
TI - This Month in Aerospace Medicine History.
PMID- 26564687
TI - [Management of impacted cuspid--July 2015].
AB - The French Society of Stomatology, Oral and Maxillofacial Surgery (SFSCMFCO)
together with the Medical Society of Dento-Maxillofacial Orthopedics has drawn up
in 2015 a new practice guideline concerning the management of one or several
impacted cuspids. As the previous ones, this guideline is based on a rigorous
French Heath Regulation Authorities type methodology. It is thus intended to
become a major reference in its field. We report hereafter the short version of
the text in the same way it has been presented during the 2015 French National
Congress of the SFSCMFCO in Lyon - France. Each of these recommendations is
marked A, B or C according to a decreasing evidence based rating scale. Lacking
any evidence-based data, the recommendation is considered as an expert opinion
(AE). The full text of this guideline is available on the website of the SFSCMFCO
at the following address: http://www.sfscmfco.fr/; "Recommandations de bonnes
pratiques" section. A patient information sheet is also proposed by the working
group. Happy reading.
PMID- 26564686
TI - Evidence for surprise minimization over value maximization in choice behavior.
AB - Classical economic models are predicated on the idea that the ultimate aim of
choice is to maximize utility or reward. In contrast, an alternative perspective
highlights the fact that adaptive behavior requires agents' to model their
environment and minimize surprise about the states they frequent. We propose that
choice behavior can be more accurately accounted for by surprise minimization
compared to reward or utility maximization alone. Minimizing surprise makes a
prediction at variance with expected utility models; namely, that in addition to
attaining valuable states, agents attempt to maximize the entropy over outcomes
and thus 'keep their options open'. We tested this prediction using a simple
binary choice paradigm and show that human decision-making is better explained by
surprise minimization compared to utility maximization. Furthermore, we
replicated this entropy-seeking behavior in a control task with no explicit
utilities. These findings highlight a limitation of purely economic motivations
in explaining choice behavior and instead emphasize the importance of belief
based motivations.
PMID- 26564688
TI - Protein breakdown in cancer cachexia.
AB - Skeletal muscle is a highly adaptive tissue, capable of altering muscle fiber
size, functional capacity and metabolism in response to physiological stimuli.
However, pathological conditions such as cancer growth compromise the mechanisms
that regulate muscle homeostasis, resulting in loss of muscle mass, functional
impairment and compromised metabolism. This tumor-induced condition is
characterized by enhanced muscle protein breakdown and amino acids release that
sustain liver gluconeogenesis and tissue protein synthesis. Proteolysis is
controlled by the two most important cellular degradation systems, the ubiquitin
proteasome and autophagy lysosome. These systems are carefully regulated by
different signalling pathways that determine protein and organelle turnover. In
this review we will describe the involvement of the ubiquitin proteasome and
autophagy lysosome systems in cancer cachexia and the principal signalling
pathways that regulate tumor-induced protein breakdown in muscle.
PMID- 26564689
TI - Early development of the vertebral column.
AB - The segmental organization of the vertebrate body is most obviously visible in
the vertebral column, which consists of a series of vertebral bones and
interconnecting joints and ligaments. During embryogenesis, the vertebral column
derives from the somites, which are the primary segments of the embryonic
paraxial mesoderm. Anatomical, cellular and molecular aspects of vertebral column
development have been of interest to developmental biologists for more than 150
years. This review briefly summarizes the present knowledge on early steps of
vertebral column development in amniotes, starting from sclerotome formation and
leading to the establishment of the anatomical bauplan of the spine composed of
vertebral bodies, vertebral arches, intervertebral discs and ribs, and their
specific axial identities along the body axis.
PMID- 26564690
TI - [Study on drop-out from antiretroviral therapy among adult HIV-infected
individuals in Dehong prefecture, Yunnan province].
AB - OBJECTIVE: To examine the proportion and reasons of drop-out from antiretroviral
therapy (ART) among 8 367 adult HIV-infected individuals in Dehong prefecture,
Yunnan province. METHODS: All adult HIV-infected patients receiving ART before
September 30 of 2014 were examined for the situation of drop-out from ART.
RESULTS: The proportion of drop-out from ART among adult HIV-infected patients in
Dehong prefecture was 14.4% (1 202/8 367). Results from the univariate logistic
regression analyses indicated that drop-out from ART was significantly correlated
with factors as: living area, gender, age, marital status, HIV transmission
route, baseline CD4+ T cell counts and initial treatment regimen of the patients.
After adjusted for potential confounding variables by multiple logistic
regression model, drop-out from ART was significantly correlated with residential
area, marital status, HIV transmission route, baseline CD4+ T cell count and
initial treatment regimen of the patients. HIV-infected patients who were living
in Mangshi city, Lianghe county or Yingjiang County, being married or living with
partner, HIV infection through sexual contact, with baseline CD4+ T cell counts
<= 200 cells/mm3, and ART included in the initial treatment regimen etc., were
less likely to drop out from ART. The proportion of drop out from ART was
significantly decreasing along with the increasing time of ART. Data from
specific investigation revealed that among the 1 202 patients who dropped out
from ART, 704 (58.6%) were lost to follow-up, 303 (25.2%) did not adhere to
treatment, 74 (6.2%) moved out the region, 64 (5.3%) were Burmese that had
returned to Burma, 29 (2.4%) stopped the treatment according to doctors' advice,
18 (1.5%) were incarcerated and 10 (0.8%) were under other reasons. Reasons for
the drop-out varied, according to the situation of patients. CONCLUSION: The
proportion of drop-out from ART varied significantly according to the
characteristics of HIV-infected patients in Dehong prefecture that underscoring
the needs for tailored responses to reduce drop-out of ART. Focus should be
targeted on reducing the loss to follow-up and improving the treatment adherence.
PMID- 26564691
TI - [Compliance of antiviral therapy and influencing factors in people living with
HIV/AIDS in Nanjing].
AB - OBJECTIVE: To understand the compliance of highly active anti-retroviral therapy
(HAART) and influencing factors in people living with HIV/AIDS (PLWHA) in
Nanjing. METHODS: PLWHA receiving HAART in No. 2 Hospital of Nanjing during May
June 2014 were recruited in this study. Self-administrated questionnaire was used
to collect the data about HAART compliance and socio-demographic characteristics
of PLWHA surveyed. Descriptive and multivariate statistical analysis were
conducted to examine the effects of the factors on self-reported HAART adherence.
RESULTS: A total of 276 PLWHA were surveyed, According to the evaluation
criterion of Center for Adherence Support Evaluation (CASE), 252 cases showed
good compliance (91.3%). logistic regression analysis revealed that smoking,
progress of the disease and side effects, reminding of taking drug and age were
correlated with self-reported HAART adherence. CONCLUSION: It is suggested to
strengthen the education about antiviral therapy compliance in PLWHA with mild
infection and those who are smokers and young, suffer from side effects, have no
reminding methods for taking drug.
PMID- 26564692
TI - [Social support for 330 HIV/AIDS patients under antiretroviral treatment and
related factors in Wuhan].
AB - OBJECTIVE: To investigate the current status of social support for HIV/AIDS
patients under antiretroviral treatment (ART) and related factors in Wuhan.
METHODS: Social Support Rating Scale (SSRS) was used to analyze the current
status of social support for HIV/AIDS patients under ART in Wuhan. Student's t
test, analysis of variance (ANOVA) and multiple linear regression model were used
to identify the related factors. RESULTS: The scores of subjective support,
objective support, utilization of social support, and overall social support for
330 HIV/AIDS patients were significant lower than the national norm (P < 0.05).
Multiple linear regression analysis showed that the subjective support (beta' =
0.260), objective support (beta' = -0.196) and overall social support (beta' =
0.141) for the patients who were unmarried, divorced or widowed were worse than
those for the patients who were married (P < 0.05). The patients with higher
educational level had more objective support (beta' = 0.250) and utilization of
social support (beta' = 0.232) than those with lower educational level (P <
0.05). The subjective support for patients without HIV related symptoms in the
past two weeks was better than those with HIV related symptoms (beta' = 0.232, P
< 0.05). CONCLUSION: The current status of social support for HIV/AIDS patients
under ART in Wuhan was worse than that for healthy people. More attention should
be paid to HIV/AIDS patients with worse social support.
PMID- 26564693
TI - [Association between anemia and 3-year all-cause mortality among oldest old
people in longevity areas in China].
AB - OBJECTIVE: To explore the association between anemia and 3-year all-cause
mortality among the oldest old people in longevity areas in China. METHODS: In
August 2012, questionnaire survey, health examination and blood test were
conducted among 929 old people aged >= 80 years in 7 longevity areas in China,
who were included in Chinese Longitudinal Healthy Longevity Survey (CLHLS) 2009.
Cox regression model was used to evaluate the association between anemia or
different hemoglobin levels and mortality. RESULTS: Among the 929 subjects, the
prevalence of anemia was 49.6%, the main form of anemia was normocytic anemia.
During the three year follow-up period, a total of 447 subjects died, the overall
mortality was 49.8% (56.0% in subjects with anemia and 43.3% in subjects without
anemia). Compared with the subjects without anemia, the mortality risk increased
by 25% in the subjects with anemia after adjusting confounding factors (HR =
1.25, 95% CI: 1.03-1.52). Macrocytic anemia, simplex microcytic anemia and
microcytic hypochromic anemia were all associated with the increased mortality in
the oldest old people. Compared with the subjects with low hemoglobin
concentration, the subjects with high hemoglobin concentration had a lower
mortality risk, and the association was more obvious in women. CONCLUSION: Anemia
and low hemoglobin concentration were associated with higher mortality risk in
the oldest old people in China, indicating the importance of anemia prevention
and treatment among this population.
PMID- 26564694
TI - [Risk factors for cardiovascular disease and their clustering among middle aged
and old people in Jilin province].
AB - OBJECTIVE: To understand the risk factors for cardiovascular disease (CVD) and
their clustering among middle aged and old people in Jilin province and provide
evidence for the development of effective intervention measures. METHODS: A total
of 13 914 people aged 35-79 years were selected from 32 counties (district) in 9
prefectures (municipality) of Jilin province through multi-stage stratified
cluster sampling to conduct a face to face questionnaire survey and health
examination. Complex weighted computation was conducted to analyze the survey
results. RESULTS: The prevalence of hypertension, diabetes, dyslipidemia, smoking
and overweight were 41.3%, 11.5%, 42.8%, 31.5% and 53.5%, respectively. Only
16.2% of the subjects surveyed were free of the 5 risk factors. >= 1 risk factor
and >= 3 risk factors were found to clustering in 83.8% and 29.9% of the middle
aged and old people. Compared with females, the odds ratios of >= 1, >= 2 and >=
3 risk factors clustering in males were 3.18, 4.28 and 5.58 times higher,
respectively. Compared with urban residents, the odds ratios of >= 1, >= 2 risk
factors clustering in rural residents were 1.22 and 1.20 times higher. In
addition, the odds ratios of >= 1, >= 2 and >= 3 risk factors clustering
increased with age (all P < 0.001). CONCLUSION: High prevalence of major
cardiovascular disease risk factors and their clustering were found in middle
aged and old people in Jilin province. More attention and intervention should be
given to the old males in rural areas.
PMID- 26564695
TI - [Mediating effect of blood lipids on correlation between body fat and blood
pressure among overweight adults].
AB - OBJECTIVE: To understand the mediating effect of blood lipids on the correlation
between body fat and blood pressure among overweight adults. METHODS: Overweight
and obese subjects aged 20-55 years who had lived in Beijing for at least 1 year
were recruited in this study, Body mass index (BMI) was used as a screening
indicators. The percentage of body fat (PBF) was measured for the subjects by
using dual energy X-ray absorptiometry (DXA). Mediating effect analysis was
conducted to analyze the mediating effect of blood lipids on correlation between
PBF and systolic blood pressure (SBP) as well as diastolic blood pressure (DBP).
RESULTS: After adjusting for age, PBF was positively correlated with SBP and DBP
in both males (beta = 0.208 3, beta = 0.205 2, P < 0.001) and females (beta =
0.188 4, beta = 0.209 6, P < 0.001). In male subgroup, PBF was negatively
correlated with HDL-C level, but positively correlated with LDL-C level (beta =
0.142 2, P < 0.01 and beta = 0.180 5, P < 0.001), while in female subgroup, PBF
was positively correlated with both TC level and LDL-C level (beta = 0.172 1, P <
0.001 and beta = 0.233 5, P < 0.001). With PBF controlled, TC and TG levels were
positively correlated with DBP in both males and females (beta = 0.095 6, 0.090 5
for males, beta = 0.117 6, 0.083 1 for females, P < 0.05), and TG level was
positively correlated with SBP in females (beta = 0.127 2, P < 0.001). Further
analysis indicated there was a significant mediating effect of LDL-C on
correlation between PBF and DBP in females, with the mediating effect value of
0.019 4 (P < 0.05). The ratio of mediating effect was 9.26%. CONCLUSION: PBF was
positively correlated with blood pressure, but blood lipids had no mediating
effect on the correlation between PBF and blood pressure in males, while LDL-C
level had mediating effect on PBF and blood pressure in females.
PMID- 26564696
TI - [Epidemiological investigation of macrosomia-related knowledge awareness among
pregnant women in Zhejiang province].
AB - OBJECTIVE: To understand the awareness rate of macrosomia related knowledge and
influencing factors among pregnant women in Zhejiang province and provide
evidence for the improvement of pre-gestational and prenatal care. METHODS: A
face to face questionnaire survey was conducted among 1 512 pregnant women
selected through multistage cluster random sampling from 20 counties (district)
in Zhejiang. Macrosomia-related awareness and related factors were analyzed.
RESULTS: A total of 1 494 valid questionnaires were analyzed, the awareness rate
was 40.7% for macrosomia diagnostic criteria (95% CI: 38.2%-43.2%), 55.0% for the
cause of macrosomia (95% CI: 52.4%-57.6%) and 62.4% for prevention related
knowledge (95% CI: 59.9%-64.9%) and the awareness rate of both the cause and the
prevention related knowledge was 49.0% (95% CI: 46.4%-51.6%). Multivariate
logistic regression analysis showed that the third trimester of pregnancy (OR =
1.906, 95% CI: 1.128-3.221), urban residence (OR = 1.335, 95% CI: 1.014-1.756),
educational level of junior college (OR = 2.474, 95% CI: 1.635-3.744) and
educational level of regular college or above (OR = 2.072, 95% CI: 1.338-3.209),
receiving health education about health pregnancy (OR = 1.936, 95% CI: 1.509
2.484) and self-learning about the knowledge of health pregnancy (OR = 2.065,
95%CI: 1.338-3.189) were the influencing factors to the awareness rate of
macrosomia diagnostic criteria and prevention related knowledge of macrosomia
among pregnant women. The awareness rate of the cause and prevention related
knowledge of macrosomia was higher in older age group (OR = 2.103, 95% CI: 1.330
3.323). CONCLUSION: Among the pregnant women in Zhejiang, the awareness rate of
macrosomia diagnostic criteria was less than 50%. Therefore, it was necessary to
strengthen the health education during pre-gestational and gestational periods
among reproductive women, especially the education about pregnancy health in
rural area.
PMID- 26564697
TI - [Comparison of consistency in measurement of body fat percentage by dual-energy X
ray absorptiometry and multi-frequency bioelectrical impedance analysis in
overweight and obese adults in China].
AB - OBJECTIVE: To compare the consistency in the measurement of percentage of body
fat (PBF) by multi-frequency bioelectrical impedance analysis (MF-BIA) and dual
energy X-ray absorptiometry (DXA) in overweight and obese adults in China, and
provide evidence for the accurate MF-BIA application in China. METHODS: A total
of 1 323 overweight/obese adults aged 22-55 years were recruited in this study.
All the subjects received PBF measurement by both MF-BIA and DXA. The consistency
in PBF measurement by MF-BIA and DXA was evaluated by using interclass
correlation coefficients (ICC), then the correction prediction models was
established. RESULTS: The differences in PBF measurement in male subjects and
female subjects between MF-BIA and DXA were statistical significant (all P <
0.01), the mean difference values were -6.5% for overweight males and -4.3% for
obese males, -2.5% for overweight females and 0.5% for obese females,
respectively. The difference in ICC of PBF between MF-BIA and DXA measurement
were statistically significant in all subgroups (P < 0.01). The ICC was 0.746 for
overweight males, 0.807 for obese males, 0.628 for overweight females and 0.674
for obese females, respectively. The correction prediction models included: PBF
(DXA) = 13.425 + 0.719 * PBF (MF-BIA) for overweight males; PBF (DXA) = 12.572 +
0.741 * PBF (MF-BIA) for obese males; PBF (DXA) = 9.785 + 0.802 * PBF (MF-BIA)
for overweight females; PBF (DXA) = 20.348 + 0.532 * PBF (MF-BIA) for obese
females. CONCLUSION: The consistency in PBF measurement in overweight/obese
adults by MF-BIA and DXA was poor in China. Correction should be conducted when
MF-BIA is used in the measurement of PBF.
PMID- 26564698
TI - [Epidemiological characteristics of influenza outbreaks in China, 2005-2013].
AB - OBJECTIVE: To understand the epidemiological characteristics of influenza
outbreaks in China from 2005 to 2013. METHODS: The data of influenza-like illness
outbreaks involving 10 or more cases were collected through Public Health
Emergency Management Information System and National Influenza Surveillance
Information System in China, and the influenza outbreaks were identified
according to the laboratory detection results. Descriptive epidemiological
analysis was conducted to understand the type/subtype of influenza virus and
outbreak time, area, place and extent. RESULTS: From 2005 to 2013, a total of 3
252 influenza-like illness outbreaks were reported in the mainland of China, in
which 2 915 influenza outbreaks were laboratory confirmed, and influenza A (H1N1)
pdm09 virus and influenza B virus were predominant. More influenza outbreaks were
reported in the influenza A (H1N1) pandemic during 2009-2010. Influenza outbreaks
mainly occurred during winter-spring, and less influenza outbreaks occurred in
winter and summer vacations of schools. More influenza outbreaks were reported in
southern provinces, accounting for 79% of the total. Influenza outbreaks mainly
occurred in primary and middle schools, where 2 763 outbreaks were reported,
accounting for 85% of the total. Average 30-99 people were involved in an
outbreak. CONCLUSION: A large number of influenza outbreaks occur during
influenza season every year in China, the predominant virus type or subtype
varies with season. Primary and middle schools are mainly affected by influenza
outbreaks.
PMID- 26564699
TI - [Burden of colorectal cancer in China].
AB - OBJECTIVE: To understand the incidence and mortality of colorectal cancer in
China. METHODS: The data from GLOBOCAN 2012, Chinese Cancer Registry Annual
Report 2012, Cancer Incidence in Five Continents (CI5), the Three National Death
Cause Surveys in China and WHO Mortality Database were used to learn about the
incidence and mortality of colorectal cancer and related trends in China.
RESULTS: It was estimated by GLOBOCAN 2012 that in 2012 the age-standardized
incidence of colorectal cancer in China was 16.9 per 100 000 in males and 11.6
per 100 000 in females, and the age-standardized mortality was 9.0 per 100 000 in
males and 6.1 per 100 000 in females. GLOBOCAN 2012 estimated that colorectal
cancer incidence and mortality would increase with the level of human development
index. China's human development level was high, suggesting that the burden of
colorectal cancer would be more serious in China with the development of social
economy. The data from CI5 Volume IV and GLOBOCAN 2012 indicated that the
incidence of colorectal cancer began to increase obviously at age of 50 years in
China. Chinese Cancer Registry Annual Report 2012 showed that the incidence and
mortality of colorectal cancer in urban population were two times higher than
those in rural population in 2009, the proportions of colon cancer among
colorectal and anus cancers, which was 49.0% in males and 54.2% in females, 53.4%
in urban population and 41.7% in rural population. CI5 Volumes IV-X showed that
colon cancer and rectum and anus cancer incidence in Shanghai for both males and
females were increasing during the period 1973-2007. The percentage change in
colon cancer and rectum and anus cancer incidence between 1973-1977 and 2003-2007
increased by 138.8% and 31.1% in males, 146.7% and 49.1% in females,
respectively. The data from the Three National Death Cause Surveys showed that
the crude mortality of colorectal cancer increased by 77.9% form mid 1970's (1973
1975) to mid 2000's (2004-2005). WHO Mortality Database showed that average
annual percentage change (AAPC) of age-standardized colorectal cancer mortality
increased by 0.7% (P < 0.05) from 1987 to 2000. CONCLUSION: More attention should
be paid to the prevention and control of colorectal cancer in urban area and in
male population in China. Similar to the western countries, the burden of
colorectal cancer in China would continue to become serious if no population
based prevention and control programs are conducted.
PMID- 26564700
TI - [Trend of dietary nutrient intake among adult females in 9 provinces in China,
2000-2011].
AB - OBJECTIVE: To investigate trend of dietary nutrient intake among adult females in
China. METHODS: The changes of dietary energy and major nutrient intake among
females aged 25 to 55 years in 9 provinces were analyzed by using the data from
Chinese Health and Nutrition Survey, 2000-2011 (CHNS) and indicators of Chinese
Dietary Reference Intakes (DRIs) 2013. RESULTS: During the past decade, the
proportion of females with the intake of energy and protein meeting the
requirement of recommendation decreased, while the proportion of females with low
carbohydrate (< 50% energy) and high fat (> 30% energy) intakes increased.
Meanwhile, the vitamin and mineral intakes among the females were also
unsatisfactory, only small proportion of the females met the requirement for
micronutrient intake, and this proportion continued to decline. In 2011, the
proportion of the females who met the requirements for energy and protein intakes
were 43.0% and 54.4%, respectively; the proportion of the females with low
carbohydrate and high fat intakes were 40.2% and 63.8%, respectively; the
proportion of females who met the requirements for vitamin A, thiamine,
riboflavin, niacin, vitamin C and vitamin E intakes were 25.2%, 10.7%, 6.9%,
54.9%, 24.3% and 88.5% respectively and the proportion of females who met the
requirements for calcium, magnesium, iron, zinc and selenium intakes were 3.3%,
23.6%, 50.9%, 75.7% and 13.3% respectively. CONCLUSION: Further nutritional
education and intervention is needed to improve nutrition status among Chinese
females.
PMID- 26564701
TI - [Impact of air temperature on years of life lost among residents in Guangzhou and
Zhuhai: a time-series study].
AB - OBJECTIVE: To evaluate the impacts of air temperature on years of life lost (YLL)
among the residents in Guangzhou and Zhuhai, Guangdong province. METHODS: Daily
mortality and meteorology data in Guangzhou and Zhuhai were collected, and
distributed lag non-linear model (DLNM) was used to evaluate the cumulative and
delayed effects of daily air temperature on YLL of total non-accident mortality.
The accumulative effect of air temperature on mortality under the extreme high
temperature (0-1 days) and extreme low temperature (0-13 days) situation in
Guangzhou and Zhuhai were analyzed respectively. RESULTS: The average YLL was 1
928.0 in Guangzhou and 202.5 in Zhuhai. The exposure-response functions seemed to
be non-linear. The hot effect seemed to be acute and reached the peak at the same
day, while the cold effect reached the peak at 5(th) days and lasted for about
two weeks. Low temperature had stronger gross effect than high temperature had.
The cold effect among males was greater than that among females in Guangzhou. The
hot/cold effect on YLL was greater in people aged >= 65 years than in people aged
< 65 years and in people suffering from respiratory disease than in people
suffering from cardiovascular disease in both Guangzhou and Zhuhai. CONCLUSION:
The effects of high and low temperatures on YLL were obvious, and the impact of
low temperature was greater. The elderly and people suffering from respiratory
disease or cardiovascular disease are the vulnerable populations.
PMID- 26564702
TI - [Relationship between hepatitis B virus genotype, BCP/Pre-C region mutations and
risk of hepatocellular carcinoma in Guangxi Zhuang Autonomous Region].
AB - OBJECTIVE: To investigate the relationship between hepatitis B virus (HBV)
genotype, the mutation in basic core promoter (BCP) region/pre-core (Pre-C)
region and the incidence of hepatocellular carcinoma (HCC) in Fusui county of
Guangxi Zhuang Autonomous Region (Guangxi), a area with high incidence of HCC.
METHODS: In this case-control study, 53 HCC patients and 70 asymptomatic HBV
carriers were enrolled. Blood samples were collected from them for serum
separation and HBV DNA extraction. The DNA sequences of the S region and BCP/Pre
C region of HBV was determined by direct sequencing following nested-PCR
amplification. The relationship between the genotype, gene mutation of HBV and
the incidence of HCC was analyzed. RESULTS: The mutation rates of the
A1762T/G1764A in the BCP region and the T1858C in the Pre-C region of HBV were
significantly higher in HCC group than in control group (94.3% vs. 75.7%, P =
0.006; 50.9% vs. 31.4%, P = 0.029). The mutation rate of A1775G was significantly
higher in control group (28.6%) than in HCC group (13.2%) (P = 0.041). Multiple
logistic regression analysis indicated that A1762T/G1764A and T1858C mutations
are the risk factors for the development of HCC (OR = 5.459, 95% CI: 1.397
21.332, P = 0.015; OR = 3.881, 95% CI: 1.462-10.305, P = 0.006). A1775G is the
protective factor in the development of HCC (OR = 0.192, 95% CI: 0.059-0.622, P =
0.006). CONCLUSION: The present investigation showed that BCP A1762T/G1764A,
A1775G and Pre-C T1858C mutations are correlated with the incidence of HCC in
Fusui county of Guangxi.
PMID- 26564703
TI - [Infection status of enterovirus 71 and coxsackievirus A16 among children
receiving health examination for child care setting entrance in Beijing and their
related medical care seeking practice].
AB - OBJECTIVE: To understand the infection status of enterovirus 71 (EV71) and
coxsackievirus A16 (Cox A16) among children receiving health examination for
child care setting entrance in Beijing and their related medical care seeking
practice and provide evidence for the estimation of disease burden caused by hand
foot and mouth disease (HFMD). METHODS: Serological survey was conducted in the
local children receiving health examination for child care setting entrance.
Enzyme-linked immunosorbent assay (ELISA) was conducted to detect anti-EV71 and
anti-Cox A16 IgG and IgM. RESULTS: A total of 813 children were surveyed (mean
age: 3.5 +/- 1.0 year old). The seropositive rate was 61.9% and 4.4% for anti-Cox
A16 IgG and IgM. The seropositive rate was 9.3% and 1.1% for anti-EV71 IgG and
IgM. No significant difference was observed in sex specific seropositive rate (P
> 0.05). However, significant differences were found in seropositive rate among
different age groups (P < 0.05). Among the children who were anti-Cox A16
positive, 7.8% had ever had rashes on their hands and feet, mouth or buttocks
(HFMD-like rashes). Among the children who were anti-EV71 positive, 10.7% had
ever had HFMD-like rashes. For the children who were anti-Cox A16 or anti-EV71
positive, only 7.1% were brought to see doctors by their parents. However, among
the seropositive children with rashes, 80.5% were brought to see doctors.
CONCLUSION: In the healthy children at the age to go to child care setting in
Beijing, most had ever infected with Cox A16. The anti-EV71 positive rate was
much lower than the anti-Cox A16 positive rate. It was necessary to strengthen
the prevention and control of EV71 infection in child cares settings.
PMID- 26564704
TI - [Genetic characteristics of VP1 region of coxsackievirus A10 strains isolated
from hand foot and mouth disease patients in Ningxia Hui Autonomous Region,
2013].
AB - OBJECTIVE: To study the genetic characteristics of VP1 region of coxsackievirus
A10 (Cox A10) strains isolated from hand foot and mouth disease (HFMD) cases in
Ningxia Hui Autonomous Region (Ningxia) in 2013. METHODS: A total of 280
specimens, which were identified as non-enterovirus 71 and non-Cox A16 by real
time PCR, were collected and cultured by using RD cell, and the VP1 genes of
isolated strains were amplified by using reverse transcriptase PCR (RT-PCR) with
degenerated primers and sequenced. The sequencing results were aligned with the
sequences in GenBank with BLAST algorithm to identify the virus genotypes.
Homologous comparison and phylogenetic analysis were conducted for all the Cox
A10 strains identified. RESULTS: Among 36 virus strains isolated from 280
clinical specimens, 6 were identified as Cox A10. The homologies of nucleotide
and amino acid of the Cox A10 strains isolated in Ningxia were 97.0%-99.8% and
99.0%-99.7% respectively, and the Cox A10 strains isolated in Ningxia shared
76.3%-77.2%, 81.6%-83.1%, 94.4%-98.9% and 80.0%-82.3% nucleotide homologies
respectively and shared 92.3%-93.0%, 94.0%-95.3%, 98.0%-99.7% and 90.6%-94.0%
amino acid homologies respectively with the representative strains of A, B, C and
D genotypes. Phylogenetic tree analysis revealed that Cox A10 strains isolated in
Ningxia belonged to genotype C. CONCLUSION: Cox A10 is one of the most common
pathogen causing HFMD in Ningxia in 2013. All the Cox A10 stains isolated from
HFMD patients in Ningxia belonged to genotype C.
PMID- 26564705
TI - [Influence of HIV infection on hepatitis C progress in patients co-infected with
HIV/HCV].
AB - OBJECTIVE: To understand the influence of HIV infection on hepatitis C progress
in patients co-infected with HIV and hepatitis C virus (HCV) and related immune
mechanism. METHODS: Twenty eight patients co-infected with HIV/HCV and 12
patients with simplex HCV infection were enrolled. The liver function and hepatic
fibrosis progress were evaluated by detecting peripheral blood and with Fibro
Scan. The viral load of HCV was detected by using real time quantitative PCR. And
the percentage of Treg/CD4+ T lymphocyte cell was tested by using flow cytometry.
RESULTS: The levels of ALT and ALP in HIV/HCV co-infection group were (76.16 +/-
81.248) U/L, (24.507 1 +/- 8.194) g/L respectively, higher than those of simplex
HCV infection group [(27.475 0 +/- 13.985) U/L, (16.966 7 +/- 7.189) g/L], the
differences were statistical significant. P value was 0.012 and 0.009
respectively. The liver fibrosis index in HIV/HCV co-infection group was 5.950 0
5.825 0 Kpa, higher than that in simplex HIV infection group (5.150 0-1.050 0
Kpa), and the difference was nearly statistical significant (P = 0.077). The HCV
viral load in HIV/HCV co-infection group was (6.476 8-5.343 4) lg copy/ml, higher
than that in simplex HCV infection group [(1.699 0-2.681 5) lg copy/ml], and the
rate of HCV clearance in HIV/HCV co-infection group was 32.14%, lower than that
in simplex HCV infection group (75.00%). P value was 0.012 and 0.032
respectively. The percentage of Treg/CD4+ T lymphocyte cell in HIV/HCV co
infection group was (7.460 0%-2.287 5%), higher than that in simplex HCV
infection group (5.965 0%-2.105 0%), and the difference was significant (P =
0.032). The percentage of Treg/CD4+ T lymphocyte cell was significantly related
with HCV viral load (rho = 0.350, P = 0.027), and HCV viral load was
significantly related with the liver fibrosis index (rho = 0.487, P = 0.001).
CONCLUSION: HIV infection could accelerate the progress of hepatitis C, and Treg
cells were involved in this progress.
PMID- 26564706
TI - [Influence of sedentary behavior on weight retention among postpartum women
within one year after childbirth].
AB - OBJECTIVE: To identify the risk factors that affect the postpartum weight
retention among women and provide evidence for the prevention of obesity and
metabolic disorders due to childbirth. METHODS: The baseline data were collected
from 1 220 postpartum women who had given childbirth 42 days ago in Hefei
Maternal and Child Health Care Center, Anhui province. Their pre-pregnancy
weight, weight gain during pregnancy and childbirth information were obtained
from local maternal information management system, and the follow up for the
women were conducted at 3, 6, 9, and 12 months after childbirth. The sedentary
behaviors of the women were observed. The relationship between postpartum weight
retention and sedentary behavior of the women were analyzed by mixed-effects
model analysis and repeated measures analysis of variance. RESULTS: The pre
pregnancy average body weight (kg) of the women was (53.22 +/- 6.88), and their
postpartum average body weight retention was (7.85 +/- 5.11), (7.51 +/- 5.40),
(5.79 +/- 5.18), (4.42 +/- 4.91) and (3.26 +/- 4.65) at 42 days, 3, 6, 9, 12
months later after childbirth, respectively. The differences in body weight
retention at different times after childbirth indicated by repeated measures
analysis of variance were statistical significant (P < 0.001). Mixed-effects
model analysis showed the postpartum sedentary behavior and postpartum body
weight retention was statistically associated after adjusting for pre-pregnancy
BMI, feeding pattern, delivery mode and other confounding factors (P < 0.001),
Mixed-effects model analysis results tended to be stable after step by step
adjustment for confounding factors. CONCLUSION: The results of this study
suggested that postpartum sedentary behavior is one of the important factors
influencing postpartum weight retention.
PMID- 26564707
TI - [Risk factors of 125 cases of neonatal congenital hypothyroidism during perinatal
period].
AB - OBJECTIVE: To understand the relationship between perinatal factors and
congenital hypothyroidism (CH) and provide scientific evidence for the prevention
of CH. METHODS: A case-control study was conducted among 125 neonates with CH
(case group) and 375 neonates without CH (control group) in Fujian Neonatal
Screening Center from January in 2012 to December in 2013. Univariate and
multivariate logistic regression analysis were performed to identify the risk
factors to CH during perinatal period. RESULTS: Univariate logistic regression
analysis indicated that compared with control group, gestational hypertension,
gestational diabetes mellitus, gestational thyroid disease and older age of
mother were the risk factors to CH, the difference was statistically significant
(P < 0.05) and the risk of CH was higher in female babies, preterm babies, post
term babies low birth weight babies, macrosomia, twins, babies with birth defects
and infection in cases group than those in control group, the difference was
statistically significant (P < 0.05). Multivariate logistic analysis showed that
older age of mother (OR = 2.518, 95% CI: 1.186-5.347), gestational diabetes
mellitus (OR = 1.904, 95% CI: 1.190-3.045), gestational hypothyroidism or
hyperthyroidism (OR = 12.883 and 30.797, 95% CI: 2.055-80.751 and 3.309-286.594),
preterm birth (OR = 4.238, 95% CI: 1.269-14.155), and post-term birth (OR =
12.799, 95% CI: 1.257-130.327), low birth weight (OR = 3.505, 95% CI: 1.059
11.601), macrosomia (OR = 3.733, 95% CI: 1.415-9.851), twin or multiparous
delivery (OR = 5.493, 95% CI: 1.701-17.735), birth defects (OR = 3.665, 95% CI:
1.604-8.371) and fetal distress (OR = 3.130, 95% CI: 1.317-7.440) were the high
risk factors to CH (P < 0.05). CONCLUSION: CH was correlated with mother's age,
gestational diabetes, gestational thyroid disease as well as neonate's birth
weight and gestational age, foetus number, fetal distress and other complicated
birth defects at certain degree. More attention should be paid to perinatal care
to reduce risk factors and the incidence of CH.
PMID- 26564708
TI - [Meta-analysis of HIV infection incidence and risk factors among men who have sex
with men in China].
AB - OBJECTIVE: To understand the incidence of HIV infection among men who have sex
with men (MSM) in China. METHODS: Meta-analysis was performed to systematically
and quantitatively review all the original research papers and reports published
during 2010-2015 on the incidence of HIV infection among MSM in China. Pooled
incidence, pooled hazard ratios, publication bias, heterogeneity and sensitivity
analysis for those studies were calculated or analyzed by using Stata 12.0
software. RESULTS: A total of 24 studies were analyzed. Pooled incidence of HIV
infection among MSM in China was 5.0/100 person year; Based on HIV case report,
severe epidemic areas had higher HIV incidence than other areas (4.9/100 person
year vs. 3.4/100 person year). Low education level (HR = 1.61, 95% CI: 1.21
2.15), syphilis prevalence (HR = 3.22, 95% CI: 2.21-4.70), unprotected anal sex
(HR = 2.92, 95% CI: 1.51-5.63), minority ethnic group (HR = 4.01, 95% CI: 1.96
8.21), commercial sex (HR = 4.11, 95% CI: 1.47-11.46) and multiple sexual
partners (HR = 2.31, 95% CI: 1.60-3.34) were the risk factors for HIV incidence.
CONCLUSION: Pooled incidence of HIV infection among MSM was 5.0% in China. Low
education level, syphilis prevalence, unprotected anal sex, minority ethnic
group, commercial sex and multiple sexual partners were the risk factors for HIV
infection.
PMID- 26564709
TI - [Application of Marginal Structural Models to control timedependent confounding
bias].
PMID- 26564710
TI - [Molecular pathological epidemiology].
PMID- 26564711
TI - [A review on the epidemiology of Middle East Respiratory Syndrome].
PMID- 26564712
TI - [A review on progress of tobacco control in hospitality venues].
PMID- 26564713
TI - Collagenase 1A2 (COL1A2) gene A/C polymorphism in relation to severity of dental
fluorosis.
AB - OBJECTIVES: The aim of this study was to evaluate the putative association
between the presence of the COL1A2 gene A/C polymorphism and the severity of
dental fluorosis in a sample exposed to high concentrations of fluoride. METHODS:
A cross-sectional study was carried out that included 80 children residing in a
community with high concentrations of fluoride in the drinking water. To
determine whether the presence of this polymorphism and dental fluorosis are
associated, the presence of the dental fluorosis was considered to be a response
variable, while fluoride concentration in water and urine was designated as
independent variables. In addition, the children's parents completed
questionnaires with general information about drinking and cooking with tap
water, consumption of milk and soft drinks, and other putative risk factors.
RESULTS: Individuals with the polymorphism had nonsignificant odds (OR = 2.24;
95% CI = 0.55-9.02) of having dental fluorosis at higher exposures to fluoride.
This finding was similar in individuals without the polymorphism (OR = 1.65; 95%
CI = 0.44-6.17). CONCLUSIONS: The presence of polymorphism in the COL1A2 gene was
not associated with the severity of dental fluorosis.
PMID- 26564714
TI - Nomograms for mitral inflow Doppler and tissue Doppler velocities in Caucasian
children.
AB - BACKGROUND: Pediatric echocardiographic nomograms for systolic/diastolic
functional indices are limited by small sample size and inconsistent
methodologies. Our aim was to establish pediatric nomograms for mitral valve (MV)
pulsed wave Doppler (PWD) and tissue Doppler imaging (TDI) velocities. METHODS:
We performed PWD/TDI measurements of MV velocities and generated models testing
for linear/logarithmic/exponential/square root relationships. Heteroscedasticity
was accounted for by White test or Breusch-Pagan test. Age, weight, height, heart
rate (HR), and body surface area (BSA) were used as independent variables in
different analyses to predict the mean values of each measurement. RESULTS: In
all, 904 Caucasian Italian healthy children (age 0 days-17 years; 45.5% females;
BSA 0.12-2.12m(2)) were prospectively studied. No individual variable provided
equations with an acceptable coefficient of determination (R(2)) and even the
inclusion of multiple variables in the model resulted in only a partial
amelioration of the R(2). Higher R(2) were obtained for PWD-E deceleration time
(0.53), septal (Se') and lateral (Le') MV-TDI e' velocity (Se': 0.54; Le': 0.55).
Variability was higher at lower age and BSA. In older children patterns were more
reproducible; however, the exclusion of neonates did not substantially improve
the final models. The low R(2) hampered building of z-scores and calculation of
estimated percentiles. Thus normative data have been presented as observed
percentile according to age for all measurements. CONCLUSIONS: We report normal
ranges for PWD and TDI mitral velocities derived from a large population of
Caucasian children. Variability of diastolic patterns especially at lower ages
needs to be taken into account.
PMID- 26564715
TI - Glial cell line-derived neurotrophic factor protects against high-fat diet
induced hepatic steatosis by suppressing hepatic PPAR-gamma expression.
AB - Glial cell line-derived neurotrophic factor (GDNF) protects against high-fat diet
(HFD)-induced hepatic steatosis in mice, however, the mechanisms involved are not
known. In this study we investigated the effects of GDNF overexpression and
nanoparticle delivery of GDNF in mice on hepatic steatosis and fibrosis and the
expression of genes involved in the regulation of hepatic lipid uptake and de
novo lipogenesis. Transgenic overexpression of GDNF in liver and other
metabolically active tissues was protective against HFD-induced hepatic
steatosis. Mice overexpressing GDNF had significantly reduced P62/sequestosome 1
protein levels suggestive of accelerated autophagic clearance. They also had
significantly reduced peroxisome proliferator-activated receptor-gamma (PPAR
gamma) and CD36 gene expression and protein levels, and lower expression of mRNA
coding for enzymes involved in de novo lipogenesis. GDNF-loaded nanoparticles
were protective against short-term HFD-induced hepatic steatosis and attenuated
liver fibrosis in mice with long-standing HFD-induced hepatic steatosis. They
also suppressed the liver expression of steatosis-associated genes. In vitro,
GDNF suppressed triglyceride accumulation in Hep G2 cells through enhanced p38
mitogen-activated protein kinase-dependent signaling and inhibition of PPAR-gamma
gene promoter activity. These results show that GDNF acts directly in the liver
to protect against HFD-induced cellular stress and that GDNF may have a role in
the treatment of nonalcoholic fatty liver disease.
PMID- 26564716
TI - Iron overload results in hepatic oxidative stress, immune cell activation, and
hepatocellular ballooning injury, leading to nonalcoholic steatohepatitis in
genetically obese mice.
AB - The aim of this study was to determine the effect of iron overload in the
development of nonalcoholic steatohepatitis (NASH) in a genetically obese mouse
model (Lepr(db/db)). Leptin receptor-deficient mice were fed a normal or an iron
supplemented chow for 8 wk and switched to normal chow for 8 wk. All dietary iron
(DI)-fed mice developed hepatic iron overload predominantly in the
reticuloendothelial system. Hepatocellular ballooning injury was observed in the
livers of 85% of DI mice, relative to 20% of chow-fed Lepr(db/db). Hepatic
malonyldialdehyde levels and mRNA levels of antioxidant genes (Nrf2, Gpx1, and
Hmox1) were significantly increased in the DI mice. Hepatic mRNA levels of
mitochondrial biogenesis regulators Pgc1alpha, Tfam, Cox4, and Nrf1 were
diminished in the DI mice. In addition, gene expression levels of cytokines (Il6,
Tnfalpha) and several innate and adaptive immune cell markers such as Tlr4, Inos,
CD11c, CD4, CD8, and Ifngamma were significantly increased in livers of the DI
group. Strikingly, Nlrp3, a component of the inflammasome and Il18, a cytokine
elicited by inflammasome activation, were significantly upregulated in the livers
of DI mice. In addition, RAW 264.7 macrophages loaded with exogenous iron showed
significantly higher levels of inflammatory markers (Inos, Tnfalpha, Mcp1, Tlr4).
Thus dietary iron excess leads to hepatic oxidative stress, inflammasome
activation, induction of inflammatory and immune mediators, hepatocellular
ballooning injury, and therefore NASH in this model. Taken together, these
studies indicate a multifactorial role for iron overload in the pathogenesis of
NASH in the setting of obesity and metabolic syndrome.
PMID- 26564717
TI - Dysregulation of bile acid homeostasis in parenteral nutrition mouse model.
AB - Long-term parenteral nutrition (PN) administration can lead to PN-associated
liver diseases (PNALD). Although multiple risk factors have been identified for
PNALD, to date, the roles of bile acids (BAs) and the pathways involved in BA
homeostasis in the development and progression of PNALD are still unclear. We
have established a mouse PN model with IV infusion of PN solution containing
soybean oil-based lipid emulsion (SOLE). Our results showed that PN altered the
expression of genes involved in a variety of liver functions at the mRNA levels.
PN increased liver gene expression of Cyp7a1 and markedly decreased that of
Cyp8b1, Cyp7b1, Bsep, and Shp. CYP7A1 and CYP8B1 are important for synthesizing
the total amount of BAs and regulating the hydrophobicity of BAs, respectively.
Consistently, both the levels and the percentages of primary BAs as well as total
non-12alpha-OH BAs increased significantly in the serum of PN mice compared with
saline controls, whereas liver BA profiles were largely similar. The expression
of several key liver-X receptor-alpha (LXRalpha) target genes involved in lipid
synthesis was also increased in PN mouse livers. Retinoid acid-related orphan
receptor-alpha (RORalpha) has been shown to induce the expression of Cyp8b1 and
Cyp7b1, as well as to suppress LXRalpha function. Western blot showed
significantly reduced nuclear migration of RORalpha protein in PN mouse livers.
This study shows that continuous PN infusion with SOLE in mice leads to
dysregulation of BA homeostasis. Alterations of liver RORalpha signaling in PN
mice may be one of the mechanisms implicated in the pathogenesis of PNALD.
PMID- 26564718
TI - Podoplanin discriminates distinct stromal cell populations and a novel progenitor
subset in the liver.
AB - Podoplanin/gp38(+) stromal cells present in lymphoid organs play a central role
in the formation and reorganization of the extracellular matrix and in the
functional regulation of immune responses. Gp38(+) cells are present during
embryogenesis and in human livers of primary biliary cirrhosis. Since little is
known about their function, we studied gp38(+) cells during chronic liver
inflammation in models of biliary and parenchymal liver fibrosis and
steatohepatitis. Gp38(+) cells were analyzed using flow cytometry and confocal
microscopy, and the expression of their steady state and inflammation-associated
genes was evaluated from healthy and inflamed livers. Gp38(+) cells significantly
expanded in all three models of liver injury and returned to baseline levels
during regression of inflammation. Based on CD133 and gp38 expression in the
CD45(-)CD31(-)Asgpr1(-) liver cell fraction, numerous subsets could be identified
that were negative for CD133 (gp38(hi)CD133(-), gp38(low)CD133(-), and gp38(
)CD133(-)). Moreover, among the CD133(+) cells, previously identified as
progenitor population in injured liver, two subpopulations could be distinguished
based on their gp38 expression (gp38(-)CD133(+) and CD133(+)gp38(+)).
Importantly, the distribution of the identified subsets in inflammation
illustrated injury-specific changes. Moreover, the gp38(+)CD133(+) cells
exhibited liver progenitor cell characteristics similar to the gp38(-)CD133(+)
population, thus representing a novel subset within the classical progenitor cell
niche. Additionally, these cells expressed distinct sets of inflammatory genes
during liver injury. Our study illuminates a novel classification of the
stromal/progenitor cell compartment in the liver and pinpoints a hitherto
unrecognized injury-related alteration in progenitor subset composition in
chronic liver inflammation and fibrosis.
PMID- 26564720
TI - Intestinal NHE8 is highly expressed in goblet cells and its expression is subject
to TNF-alpha regulation.
AB - While the intestine plays an important role in digestion and absorption, the
mucus lining the epithelium represents a pivotal function in mucosal protection.
Goblet cells are scattered in both the crypts and among enterocytes, and they
secrete an important component of mucus, mucin. We have reported that
sodium/hydrogen exchanger (NHE) 8 is a novel player in mucosal protection, since
loss of NHE8 function resulted in reduced mucin production and increased
bacterial adhesion. While NHE8 has been shown to be expressed in enterocytes and
its expression is reduced during intestinal inflammation, nothing is known about
the role of NHE8 in goblet cells. This current study is designed to define the
expression of NHE8 and the role of TNF-alpha in the regulation of NHE8 in goblet
cells. Using HT29-MTX cells as an in vitro model, we detected abundant NHE8 mRNA
in goblet cells. Immunohistochemical staining localized NHE8 protein on the
plasma membrane and in the intracellular compartments in goblet cells.
Furthermore, NHE8 expression in goblet cells is regulated by the proinflammatory
cytokine TNF-alpha. The expression of NHE8 in HT29-MTX cells was significantly
reduced at both mRNA and protein levels in the presence of TNF-alpha. This
inhibition of NHE8 mRNA expression could be blocked by the transcriptional
inhibitor actinomycin D. Promoter reporter assay showed that NHE8 promoter
activity was indeed reduced by TNF-alpha. Mechanistically, TNF-alpha reduced Sp3
protein binding to the human NHE8 basal promoter region. Therefore, NHE8 is
expressed in goblet cells, and the inflammatory cytokine TNF-alpha downregulates
NHE8 expression by a transcriptional mechanism.
PMID- 26564721
TI - Ex vivo perfusion of the isolated rat small intestine as a novel model of
Salmonella enteritis.
AB - Using an ex vivo perfused rat small intestinal model, we examined pathological
changes to the tissue, inflammation induction, as well as dynamic changes to
smooth muscle activity, metabolic competence, and luminal fluid accumulation
during short-term infection with the enteropathogenic bacteria Salmonella
enterica serovar Typhimurium and Yersinia enterocolitica. Although few effects
were seen upon Yersinia infection, this system accurately modeled key aspects
associated with Salmonella enteritis. Our results confirmed the importance of the
Salmonella Pathogenicity Island 1 (SPI1)-encoded type 3 secretion system (T3SS)
in pathology, tissue invasion, inflammation induction, and fluid secretion. Novel
physiological consequences of Salmonella infection of the small intestine were
also identified, namely, SPI-1-dependent vasoconstriction and SPI-1-independent
reduction in the digestive and absorptive functions of the epithelium.
Importantly, this is the first small animal model that allows for the study of
Salmonella-induced fluid secretion. Another major advantage of this model is that
one can specifically determine the contribution of resident cell populations.
Accordingly, we can conclude that recruited cell populations were not involved in
the pathological damage, inflammation induction, fluid accumulation, nutrient
absorption deficiency, and vasoconstriction observed. Although fluid loss induced
by Salmonella infection is hypothesized to be due to damage caused by recruited
neutrophils, our data suggest that bacterial invasion and inflammation induction
in resident cell populations are sufficient for fluid loss into the lumen. In
summary, this model is a novel and useful tool that allows for detailed
examination of the early physiopathological effects of Salmonella infection on
the small intestine.
PMID- 26564719
TI - Mechanisms of the adenosine A2A receptor-induced sensitization of esophageal C
fibers.
AB - Clinical studies indicate that adenosine contributes to esophageal mechanical
hypersensitivity in some patients with pain originating in the esophagus. We have
previously reported that the esophageal vagal nodose C fibers express the
adenosine A2A receptor. Here we addressed the hypothesis that stimulation of the
adenosine A2A receptor induces mechanical sensitization of esophageal C fibers by
a mechanism involving transient receptor potential A1 (TRPA1). Extracellular
single fiber recordings of activity originating in C-fiber terminals were made in
the ex vivo vagally innervated guinea pig esophagus. The adenosine A2A receptor
selective agonist CGS21680 induced robust, reversible sensitization of the
response to esophageal distention (10-60 mmHg) in a concentration-dependent
fashion (1-100 nM). At the half-maximally effective concentration (EC50: ~3 nM),
CGS21680 induced an approximately twofold increase in the mechanical response
without causing an overt activation. This sensitization was abolished by the
selective A2A antagonist SCH58261. The adenylyl cyclase activator forskolin
mimicked while the nonselective protein kinase inhibitor H89 inhibited mechanical
sensitization by CGS21680. CGS21680 did not enhance the response to the
purinergic P2X receptor agonist alpha,beta-methylene-ATP, indicating that
CGS21680 does not nonspecifically sensitize to all stimuli. Mechanical
sensitization by CGS21680 was abolished by pretreatment with two structurally
different TRPA1 antagonists AP18 and HC030031. Single cell RT-PCR and whole cell
patch-clamp studies in isolated esophagus-specific nodose neurons revealed the
expression of TRPA1 in A2A-positive C-fiber neurons and demonstrated that
CGS21682 potentiated TRPA1 currents evoked by allylisothiocyanate. We conclude
that stimulation of the adenosine A2A receptor induces mechanical sensitization
of nodose C fibers by a mechanism sensitive to TRPA1 antagonists indicating the
involvement of TRPA1.
PMID- 26564722
TI - Osteoid osteoma of the foot and ankle--A systematic review.
AB - BACKGROUND: Osteoid osteomas are responsible for 10% of benign bone tumours.
Treatment typically involves surgical excision or radio frequency ablation. The
aim of this systematic review is to evaluate reported cases of foot and ankle
osteoid osteomas. METHODS: We conducted a systematic review of the literature
using the online databases Medline and EMBASE. We included studies reporting
osteoid osteoma diagnosed either radiologically or histologically. RESULTS: 94
studies were included reporting 223 cases; 70.5% were male, mean age was 23
years, 69% reported night pain and 72% responded to NSAIDs. The commonest
affected bone was the talus. CT scan was the most useful radiological
investigation and MRI missed the diagnosis in 34% of cases. The majority of
patients underwent surgical excision but an increasing trend of ablation therapy
was demonstrated. CONCLUSIONS: A high index of suspicion based on salient history
and appropriate imaging are essential for timely identification and treatment.
PMID- 26564723
TI - Dual plating with bone block arthrodesis of the first metatarsophalangeal joint:
A clinical retrospective review.
AB - BACKGROUND: First metatarsal phalangeal joint (MTP) arthrodesis is challenging in
the setting of bone loss. The purpose of this study was to describe the results
of interpositional grafting and arthrodesis of the first MTP joint using two
plates in a 90/90 configuration. MATERIALS AND METHODS: Eleven patients had an
MTP arthrodesis with 90-90 plating with an interpositional allograft. We analyzed
the fusion rate, restoration of first ray length, patient satisfaction, and
complication rates. RESULTS: The overall union rate was 90.9%, with an average
restoration of 11 +/- 4.5mm in length to the first ray. The average time to
fusion was 10.7 +/- 1 weeks. The mean preoperative AOFAS score improved
significantly. The complication rate was 18.2% and included one superficial wound
infection and one non-union who underwent a successful fusion after revision.
CONCLUSION: Arthrodesis of the first MTP joint with two 90/90 plates and
restoration of length using an interpositional graft has excellent patient
satisfaction and functional outcomes.
PMID- 26564724
TI - The use of the 4.5 mm 90 degrees titanium cannulated LC-angled blade plate in
tibiotalocalcaneal and complex ankle arthrodesis.
AB - BACKGROUND: Tibiotalocalcaneal arthrodesis is used to manage end stage arthritis,
often associated with severe bone loss. The goal is to relieve pain through a
stable, well-aligned hindfoot and ankle. We describe our initial results and
outcome of ankle and tibiotalocalcaneal arthrodesis using a 90 degrees blade
plate. METHODS: We retrospectively reviewed the records of patients managed at
our institution between 2010 and 2014. Twenty cases were identified who had
either talocrural (n = 9) or TTC fusion (n = 11) with 1 patient having both ankle
and then TTC fusion in separate sittings. RESULTS: Fusion occurred in 18 of the
20 cases (90%) with correction of angular deformity and restoration of hindfoot
alignment. None of the 18 patients developed complications and all discharged to
follow-up when independently mobile and satisfied with the outcome. CONCLUSIONS:
This study demonstrated that using a 90 degrees blade plate for ankle or TTC
arthrodesis in a diverse group of complex primary and revision indications
associated with severe deformity and bone loss resulted in a high rate of bony
union and stable deformity correction.
PMID- 26564725
TI - Radiographic monitoring of the distal insertion of the calcaneofibular ligament
in anatomical reconstructions of ankle instabilities: A preliminary cadaveric
study.
AB - BACKGROUND: The purpose of the study therefore was to determine radiographic
landmarks that support the identification of the insertion site of the distal
calcaneofibular ligament (CFL) in anatomic ankle ligament reconstructions.
METHODS: In 10 lower limb cadaver specimens the midpoint of the distal CFL
insertion was dissected and marked with a nail inserted orthogonally. On a
standardized lateral radiograph in neutral ankle position a horizontal tangent
was aligned to the deepest visible concavity of the tarsal sinus and one vertical
tangent to the farthest posterior convexity of the talus. Additionally, a line
was drawn from the radiographically marked distal CFL to the fibular insertion of
the CFL to determine the CFL-fibular angle. RESULTS: In relation to the
radiographic tangents intersection the mean deviation of the depicted CFL nails
was 2.2mm [SD +/- 1.1 mm] leading to an angular, circular to slightly oval 6 mm
insertion. The scatter-plot of the marked positions convened along a line from
the supposed fibular CFL insertion to the intersection in all cases. The mean CFL
fibular angle was 131.7 degrees [SD +/- 3.16 degrees ]. CONCLUSIONS: Determining
a virtual intersection between a horizontal tangent aligned to the deepest
visible concavity of the tarsal sinus and one vertical tangent aligned to the
farthest posterior convexity of the talus on a standardized lateral radiograph in
neutral ankle position supports an anatomic insertion of the distal
calcaneofibular ligament most probably.
PMID- 26564727
TI - Incidence and recognition of peroneal tendon dislocation associated with
calcaneal fractures.
AB - BACKGROUND: Questions abound regarding natural history and medicolegal
implications of untreated peroneal tendon dislocation (PTD) associated with
calcaneal fractures. METHODS: We retrospectively analyzed CT scans and
anteroposterior ankle radiographs of 79 consecutive calcaneal fractures
presenting over 4 years at a single institution. RESULTS: Nineteen patients (24%)
had associated PTD, which was initially missed in 10 (53%). Bony fleck was
present in 11 (13.75%). Soft tissue swelling at lateral malleolar level, present
on radiographs of 18 tendon dislocators (95%), raises likelihood of PTD with
increasing specificity the greater the swelling. In 6 patients, surgeons failed
to identify on CT spontaneously relocated tendons that then peeped around the
posterolateral fibula, a finding not appreciable on 3-dimensional volume
rendering. CONCLUSIONS: Despite a significant association of PTD with calcaneal
fractures, it still passes unrecognized all too frequently. Anatomical fracture
fixation does not guarantee stable tendon reposition. Further studies are
required to elucidate functional outcome of untreated PTD.
PMID- 26564726
TI - Operative versus nonoperative treatment of acute Achilles tendon rupture: An
analysis of 12,570 patients in a large healthcare database.
AB - BACKGROUND: The purpose of this study was to compare the latest patient
demographics and rerupture rates of operative versus nonoperative treatment of
acute Achilles tendon rupture in the United States. METHODS: Patients undergoing
treatment of an acute Achilles tendon rupture from 2007 to 2011 were identified
by cross-referencing ICD-9-CM and CPT codes through the PearlDiver Patient Record
Database. RESULTS: In total, 12,570 patients were treated for an acute Achilles
tendon rupture. The ratio of operative to nonoperative treatment increased from
1.41 to 1.65. Males were more likely to undergo surgery than females. There were
no significant differences in short-term rerupture rate for operative (2.1%)
versus nonoperative (2.4%) treatment. CONCLUSIONS: The proportion of patients who
received operative treatment for an acute Achilles tendon rupture increased
slightly during the 5 year period, suggesting that surgeons in the United States
have been slower to adopt nonoperative treatment than their European
counterparts.
PMID- 26564728
TI - Sport and activity restrictions following total ankle replacement: A survey of
orthopaedic foot and ankle specialists.
AB - BACKGROUND: Despite an increasing utilization of total ankle replacement,
surgeons have little guidance with regards to physical activity and sport
participation recommendations following the procedure. METHODS: Orthopaedic foot
and ankle specialists were surveyed as to the activity and sports restrictions
they place on their patients following ankle replacement. Fifty sports and
activities were included and the results were used to derive a set of consensus
recommendations. Of the 1063 surgeons that were sent the survey, 173 responded,
yielding a response rate of 16.3%. RESULTS: In general, surgeons were comfortable
with aerobic or low impact sports and activities. Boot immobilized sports
represented a grey area with the determination of whether or not to allow them
based largely on the prior experience of the patient. High impact, cutting and
jumping sports and activities were largely discouraged. Young age, high BMI and
poor bone quality led surgeons to be more restrictive. CONCLUSIONS: These
consensus recommendations serve as a useful guideline for surgeons and help
patients set appropriate expectations for the procedure.
PMID- 26564729
TI - Oral thromboprophylaxis in patients with ankle fractures immobilized in a below
the knee cast.
AB - BACKGROUND: Lower-limb immobilization has been implicated as an etiologic factor
for a venous thromboembolism (VTE). Most of the current literature encourages the
use of thromboprophylaxis with injectable low-molecular-weight heparin (LMWH) in
trauma patients. Injectable anticoagulants have inherent problems of producing
pain and bruising. They are also difficult to administer, leading to low
compliance. Oral anticoagulants are therefore gaining popularity for use as
thromboprophylactic agents in hip and knee arthroplasty patients. There are not
enough studies in the literature, however, to support their use in ambulatory
trauma patients whose ankle fractures are being managed nonoperatively on an
outpatient basis. METHODS: This study evaluated the efficacy of oral
anticoagulants for preventing VTE in ambulatory trauma patients who required
temporary lower limb immobilization for non-operative management of their ankle
fractures. A total of 200 consecutive patients who presented to the fracture
clinic with an ankle fracture that was managed in a plaster cast were included in
this study. These patients were assessed for risk of developing VTE and high risk
patients were administered an oral anticoagulant to prevent VTE. RESULTS: There
was only one case of an isolated distal DVT among the 200 patients. CONCLUSIONS:
This study shows that an oral anticoagulant was a safe alternative to injectable
LMWH as a thromboprophylactic agent for ambulatory trauma patients requiring
temporary lower limb immobilization for non-operative management of an ankle
fracture.
PMID- 26564730
TI - Intramedullary fixation in severe Charcot osteo-neuroarthropathy with foot
deformity results in adequate correction without loss of correction - Results
from a multi-centre study.
AB - BACKGROUND: Charcot osteo-neuroarthropathy (CN) of the foot can induce severe
instability and deformity. Results of a consecutive clinical multi-centre study
with Midfoot Fusion Bolt (MFB, Synthes GmbH, Oberdorf, Switzerland) are reported.
METHODS: All patients (aged 18 years and older) treated between 2009 and 2013
with surgical reconstruction of the midfoot with MFB for CN were included.
Demographics, pre-surgical health status, details of foot pathology, details of
surgery, postoperative treatment, treatment failure, and adverse events were
registered. The following radiographic angles were measured on pre-op, post-op
and last follow-up radiographs: talo-1st metatarsal (TMT) angle dorsoplantar and
lateral view, and calcaneo-5th metatarsal angle. RESULTS: Forty-seven patients
(48 feet) were included in three centres. In 38 patients (80.1%) diabetes was
diagnosed. Wound healing problems occurred in 21% of patients and recurrent
ulceration in 13%. Revision surgery for loss of correction was performed in three
cases (6%). Union rate at final follow-up was 98%. Major amputation for deep
infection was performed in two patients (4%), minor amputation at the foot level
in three cases (6%). Failure was more frequent when only one MFB (instead of 2 or
3) was used and no Gastrocnemius lengthening was performed. Radiographic
alignment significantly improved pre- versus postoperatively and preoperatively
versus follow-up. CONCLUSIONS: Realignment and fixation with MFB in severe CN
result in adequate correction with minimal loss of correction in the observed
clinical course. The non-union rate was lower than previously reported. Stable
fixation with MFB is a valuable treatment option for CN with minimal loss of
correction and high union rates. The use of a minimum of two bolts is recommended
to avoid recurrent deformity. Clinical Trials.gov: NCT01770639.
PMID- 26564731
TI - There is poor reliability of Bohler's angle and the crucial angle of Gissane in
assessing displaced intra-articular calcaneal fractures.
AB - BACKGROUND: Two-dimensional measurements are used to describe displaced intra
articular calcaneal fractures (DIACF). Our study evaluates the performance of
Bohler's angle (BA) and the crucial angle of Gissane (CAG) among orthopedic
surgeons. METHODS: Thirty-four pre- and post-operative lateral foot radiographs
from patients with DIACF were shown to four orthopedic surgeons who measured BA
and the CAG. The intra- and inter-observer reliability were calculated using the
intra-class correlation coefficient (ICC). Additionally, we calculated frequency
of consensus given an allowed discrepancy. We then determined the tolerance limit
for each measurement. RESULTS: The ICC for inter-observer reliability of BA was
0.83 in the first session and 0.77 in the second. The ICC for intra-observer
reliability ranged from 0.83 to 0.98. For the CAG, the inter-observer ICC was
0.28 and 0.1 in the two sessions. Intra-observer ICC ranged from 0.16 to 0.67.
With an allowed discrepancy of 20 degrees , there was lack of consensus for BA in
37.5% and for the CAG in 59% of measurements on average. The 95% confidence
interval for 90% agreement in BA involved a range of 76 degrees . For CAG, the
95% confidence interval of tolerance for 90% agreement was 56 degrees .
CONCLUSIONS: For BA and CAG, there is frequent disagreement among experienced
observers, even given a wide tolerance range. We recommend use of caution when
applying BA as currently measured in making treatment decisions for DIACF. LEVEL
OF CLINICAL EVIDENCE: Diagnostic, level III.
PMID- 26564732
TI - Use of peripheral blocks and tourniquets in foot surgery: A survey of Australian
orthopaedic foot and ankle surgeons.
AB - BACKGROUND: The most common reasons for unplanned admission to the hospital from
outpatient surgery have the potential to be minimised or eliminated by peripheral
nerve blocks (PNB). Tourniquets are commonly used in elective extremity surgery
but it's use is mostly guided by personal preferences and does no correlate with
the existing literature. Our aim was to explore the current practice of PNB and
tourniquet use by foot and ankle surgeons in Australia. METHODS: The Australian
Orthopaedic Foot and Ankle Surgery Society (AOFAS) annual meeting was held in
Adelaide in 2011. Members were asked to complete an electronic survey on their
current use of peripheral nerve blocks and tourniquets. Two specific elective
case scenarios were included for comment, one pertaining to forefoot pathology,
the other hindfoot pathology. RESULTS: Twenty-three AOFAS members replied to the
survey, an overall response rate of 76.6%. Of these, only two surgeons did not
use ankle blocks in elective surgery and none were prepared to operate without a
tourniquet. Most Australian foot and ankle surgeons were reluctant to use local
anaesthetic techniques without an accompanying GA. CONCLUSIONS: While the
literature suggests that GA may add to complications without any benefit for the
procedure and that distally based tourniquets may add benefit, these are not the
favoured techniques in Australian foot and ankle surgeons.
PMID- 26564733
TI - Surgery for adult acquired flatfoot due to posterior tibial tendon dysfunction
reduces pain, improves function and health related quality of life.
AB - BACKGROUND: Patients with adult acquired flatfoot deformity (AAFD) due to
posterior tibial tendon dysfunction (PTTD) may require surgery but few reports
have evaluated the outcome. METHODS: We evaluated 21 patients with a median age
of 60 (range 37-72) years who underwent different surgical reconstructions due to
stage II AAFD before and 6 and 24 months after surgery by the validated Self
Reported Foot and Ankle Score (SEFAS), Short Form 36 (SF-36) and Euroquol 5
Dimensions (EQ-5D). RESULTS: The improvement from before to 24 months after
surgery was in SEFAS mean 12 (95% confidence interval 8-15), SF-36 physical
function 21 (10-22), SF-36 bodily pain 28 (17-38), EQ-5D 0.2 (0.1-0.3) and EQ-VAS
11 (2-21). CONCLUSION: Surgery for AFFD due to PTTD results in reduced pain and
improved function and health related quality of life. The outcome scores have
been demonstrated as useful. It has also been shown, since there is a further
improvement between 6 and 24 months after surgery, that a minimum follow-up of 2
years is needed. LEVEL OF CLINICAL EVIDENCE: III - prospective observational
cohort study.
PMID- 26564734
TI - The value of Weight-Bearing CT scan in the evaluation of subtalar distraction
bone block arthrodesis: Case report.
AB - Subtalar distraction arthrodesis is performed in certain situations where there
is loss of subtalar height, reduced talar declination and evidence of anterior
tibiotalar impingement. Standard evaluation includes the assessment of the
lateral talocalcaneal angle, calcaneal pitch, talocalcaneal height and talar
declination angle on a weight bearing lateral radiograph. We present a case of
erosive valgus subtalar osteoarthritis with subtalar collapse managed with a
subtalar distraction arthrodesis. A weight bearing CT (WB-CT) scan was used in
the assessment. The value of WB-CT for this indication is discussed, along with a
discussion on surgical technique, complications and future directions.
PMID- 26564735
TI - Giant cell tumour of tendon sheath with simultaneous two tendon involvement of
the foot treated with excision of the tumour and reconstruction of the flexor
retinaculum using tibialis posterior tendon in a paediatric patient: A rare case
report.
AB - Giant cell tumour of tendon sheath is a benign soft tissue tumour arising from
the tendon sheath. The involvement of foot and ankle by such tumours is
relatively rare. Children are not commonly afflicted by this condition. All such
tumours are reported to arise either from a single tendon sheath or one joint. We
report a case of giant cell tumour of tendon sheath in a 12-year-old child,
arising simultaneously from the tendon sheaths of tibialis posterior and flexor
digitorum longus tendons, as well as extending into the ankle joint. It was
treated by complete excision of the mass along with the tendon sheaths with
reconstruction of the flexor retinaculum. The location of the tumour, age of the
patient, diffuse nature of the tumour and novel technique of reconstruction of
the flexor retinaculum make this case extremely rare and the first to be reported
in literature.
PMID- 26564737
TI - Development and Validation of an UPLC-Q-TOF-MS Method for Quantification of
Fuziline in Beagle Dog After Intragastric and Intravenous Administration.
AB - A specific and sensitive UPLC-Q-TOF-MS method operated in the positive ion mode
was developed and validated for the quantification of Fuziline in Beagle dog
plasma. Fuziline and Neoline internal standard were separated on an Acquity UPLC
BEH C18 column with the total running time of 4 min using gradient elution at the
flow rate of 0.25 mL/min. The calibration curves for Fuziline showed good
linearity in the concentrations ranging from 2 to 400 ng/mL with correlation
coefficients (r) greater than 0.9971. The lower limit of quantification was 0.8
ng/mL. Intra- and interbatch relative standard deviations ranged from 2.11 to
3.11% and 3.12 to 3.81%, respectively. Fuziline was stable under different sample
storage and processing conditions. The developed method was successfully applied
to the comparative pharmacokinetic study of Fuziline in Beagle dog after
intravenous and oral administration. Low absolute bioavailability of Fuziline
(1.45 +/- 0.76%) suggested a significant metabolism transformation extent in
Beagle dog.
PMID- 26564736
TI - The sweet side of RNA regulation: glyceraldehyde-3-phosphate dehydrogenase as a
noncanonical RNA-binding protein.
AB - The glycolytic protein, glyceraldehyde-3-phosphate dehydrogenase (GAPDH), has a
vast array of extraglycolytic cellular functions, including interactions with
nucleic acids. GAPDH has been implicated in the translocation of transfer RNA
(tRNA), the regulation of cellular messenger RNA (mRNA) stability and
translation, as well as the regulation of replication and gene expression of many
single-stranded RNA viruses. A growing body of evidence supports GAPDH-RNA
interactions serving as part of a larger coordination between intermediary
metabolism and RNA biogenesis. Despite the established role of GAPDH in nucleic
acid regulation, it is still unclear how and where GAPDH binds to its RNA
targets, highlighted by the absence of any conserved RNA-binding sequences. This
review will summarize our current understanding of GAPDH-mediated regulation of
RNA function. WIREs RNA 2016, 7:53-70. doi: 10.1002/wrna.1315 For further
resources related to this article, please visit the WIREs website.
PMID- 26564739
TI - [Biosafety evaluation: an important field of studies on human embryonic stem
cell].
PMID- 26564740
TI - [Expert consensus on the methods of differentiation of human embryonic stem cell
into epidermal keratinocytes].
PMID- 26564738
TI - Absence of DAB2IP promotes cancer stem cell like signatures and indicates poor
survival outcome in colorectal cancer.
AB - Metastasis is a critical factor for the high mortality of colorectal cancer
(CRC), but its mechanism is not completely understood. Epithelial-mesenchymal
transition (EMT) is thought to play a key role in metastasis and also increases
the cancer stem cell (CSC) feature that facilitates metastatic colonization. In
this study, we investigated the biological roles of DAB2IP regulating EMT and
stem cell-like features in human CRC. We demonstrate that DAB2IP suppresses NF
kappaB-mediated EMT and CSC features in CRC cells. In DAB2IP knockout mice, we
discovered the hyperplasia in colonic epithelium which aberrantly represents the
mesenchymal feature and NF-kappaB pathway activation. In clinic CRC tissue, we
also reveal that reduced DAB2IP can enrich the CD133(+) subpopulation. DAB2IP
expression was inversely correlated with tumor differentiation and metastasis,
and patients with lower DAB2IP expression had shorter overall survival time.
Taken together, our study demonstrates that DAB2IP inhibits NF-kappaB-inducing
EMT and CSC to suppress the CRC progression, and also suggests that DAB2IP is a
beneficial prediction factor for CRC patient prognosis.
PMID- 26564741
TI - [Circadian rhythm variation of the clock genes Per1 and cell cycle related genes
in different stages of carcinogenesis of buccal mucosa in animal model].
AB - OBJECTIVE: To investigate the expression and circadian rhythm variation of
biological clock gene Per1 and cell cycle genes p53, CyclinD1, cyclin-dependent
kinases (CDK1), CyclinB1 in different stages of carcinogenesis in buccal mucosa
and its relationship with the development of buccal mucosa carcinoma. METHODS:
Ninety golden hamsters were housed under 12 hours light-12 hours dark cycles, and
the model of buccal squamous cell carcinoma was established by using the
dimethylbenzanthracene (DMBA) to smear the golden hamster buccal mucosa. Before
the DMBA was used and after DMBA was used 6 weeks and 14 weeks respectively, the
golden hamsters were sacrificed at 6 different time points (5 rats per time
point) within 24 hour, including 4, 8, 12, 16, 20 and 24 hour after lights onset
(HALO), and the normal buccal mucosa, precancerous lesions and cancer tissue were
obtained, respectively. HE stained sections were prepared to observe the
canceration of each tissue. Real time RT-PCR was used to detect the mRNA
expression of Per1, p53, CyclinD1, CDK1 and CyclinB1, and a cosine analysis
method was applied to determine the circadian rhythm variation of Per1, p53,
CyclinD1, CDK1 and CyclinB1 mRNA expression, which were characterized by median,
amplitude and acrophase. RESULTS: The expression of Per1, p53, CDK1 and CyclinD1
mRNA in 6 different time points within 24 hours in the tissues of three different
stages of carcinogenesis had circadian rhythm, respectively. However, the
CyclinB1 mRNA was expressed with circadian rhythm just in normal and cancer
tissue (P < 0.05), while in precancerous lesions the circadian rhythm was in
disorder (P > 0.05). As the development of carcinoma, the median of Per1 and p53
mRNA expression were significantly decreased (P < 0.05), yet the median of CDK1,
CyclinB1 and CyclinD1 mRNA expression were significantly increased (P < 0.05).
The amplitude of Per1, p53 and CyclinD1 mRNA expression was significantly
decreased as the development of carcinoma (P < 0.05), however the amplitude of
CDK1 mRNA expression was significantly increased (P < 0.05). In addition, there
was no significant difference in the amplitude of CyclinB1 mRNA expression. The
time that the peak expression value of Per1 and CDK1 mRNA appeared (Acrophase) in
precancerous lesions was remarkably earlier than that in normal tissues, but the
acrophase of p53 and CyclinD1 mRNA expression was remarkably delayed. Moreover,
the acrophase of CDK1 and CyclinB1 mRNA expression in cancer tissues was
obviously earlier than that in normal tissues, yet there was no significant
variation in acrophase of Per1, p53, CyclinD1 mRNA expression between normal
tissues and cancer tissues. CONCLUSIONS: The circadian rhythm of clock gene Per1
and cell cycle genes p53, CyclinD1, CDK1, CyclinB1 expression remarkably varied
with the occurrence and development of carcinoma. Further research into the
interaction between circadian and cell cycle of two cycle activity and
relationship with the carcinogenesis may providenew ideas and methods of
individual treatment and the mechanism of carcinogenesis.
PMID- 26564742
TI - [The influence of zoledronic acid on vascular endothelial cell].
AB - OBJECTIVE: To investigate the influence of zoledronic acid on vascular
endothelial cells. METHODS: The influence of zoledronic acid on proliferation,
migration and adhesion of vascular endothelial cells were tested with 3-(4,5
Dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT), cell migration assay
and cell adhesion assay. The results of each experimental group were compared
with the control group and the data statistically analyzed. RESULTS: In a
concentration of 0-0.5 mmol/L, the absorbance value decreased from 0.09 to 0.34
as the drug concentration increased. Scratch test showed that the change of width
of scratch before and after 24 hours in control, low, medium and high
concentration groups were (38.7 +/- 0.42), (35.8 +/- 4.17), (19.9 +/- 0.57) mm (P
< 0.001), (12.5 +/- 3.89) mm (P < 0.05). Adhesion test showed that the absorbance
value in control, low, medium and high concentration groups were 1.14 +/- 0.18,
0.95 +/- 0.13, 0.81 +/- 0.11 (P < 0.01), 0.67 +/- 0.19 (P < 0.001). Comparisons
between control and experimental groups were analyzed by t-test and P values <
0.05 were considered statistically significant. CONCLUSIONS: Zoledronic acid
inhibits the proliferation, migration and adhesion of vascular endothelial cells.
PMID- 26564743
TI - [Cone-beam CT evaluation of nasomaxillary complex and upper airway following
rapid maxillary expansion].
AB - OBJECTIVES: To evaluate the naso-maxillary complex width and pharyngeal airway
volume changes after rapid maxillary expansion (RME). METHODS: Thirty-five
patients were selected (18 males, 17 females, mean age, 12.1 +/- 1.1 years). All
patients underwent orthodontic treatment with Hyrax palatal expanders. Cone-beam
CT (CBCT) scan was taken before treatment (T0), 16 days (T1) and three months
(T3) after RME. Naso-maxillary complex width and pharyngeal airway volume were
measured. RESULTS: After treatment the width of piriform aperture and maxillary
width were significantly increased compared with that before treatment (P <
0.05). Three months after RME, no statistical difference was found in maxillary
width compared with that before treatment. The nasopharyngeal volume
significantly increased by 29.9% compared with that before treatment (P < 0.05),
and the volume remained relatively stable after three months. CONCLUSIONS: RME
resulted in a significant increase in the naso-maxillary complex width and
nasopharyngeal volume.
PMID- 26564744
TI - [Effect of Lactobacillus acidophilus on metabolizing lactic acid in formula milk:
a quantitative analysis of the effect of erythritol].
AB - OBJECTIVE: To evaluate the lactic acid productivity of Lactobacillus acidophilus
(La) exposed to formula milk containing different concentration of erythritol.
METHODS: La was cultured under anaerobic condition (80% N(2), 10% CO(2), 10%
H(2)) at 37 degrees C in five experimental groups (formula milk mixed with
different concentrations of erythritol). The five experimental groups contained
1%, 2%, 4%, 6%, and 8% erythritol, respectively (groups 1% E-M, 2% E-M, 4% E-M,
6% E-M, 8% E-M). Formula milk served as control group (group M). The lactic acid
was analyzed by high performance liquid chromatography (HPLC) at 4 h intervals
during 24 h. The peak-area of lactic acid was recorded and used to calculate the
concentration of lactic acid through the equation of a standard curve (y = 590
244x + 67 507). ANOVA and Tukey HDS analysis were used to analyze the data.
RESULTS: The concentration of lactic acid at 24 h was group M [(4.693 +/- 0.105)
g/L], group 1% E-M[(4.114 +/- 0.186) g/L], group 2% E-M[(3.720 +/- 0.158) g/L],
group 4% E-M[(3.045 +/- 0.152) g/L], group 6% E-M[(2.971 +/- 0.086) g/L], group
8% E-M[(2.789 +/- 0.142) g/L]. Statistically significant differences in lactic
acid concentrations were found between different time points (P < 0.05) and
between different groups (F = 187.448, P < 0.05). Moreover, the concentrations of
lactic acid in each experimental group was lower than that in control group (P <
0.05). The difference among groups 4% E-M, 6% E-M, and 8% E-M were not
statistically significant (P > 0.05). CONCLUSIONS: Erythritol showed the
inhibition potential against La in metabolizing lactic acid in formula milk. The
effect of erythritol was concentration depended. The higher concentration of
erythritol contained in the milk, the better the inhibition potential against La
in metabolizing lactic acid.
PMID- 26564745
TI - [Antibacterial effect of the carboxymethyl chitosan zinc peptide on several
periodontal pathogens in vitro].
AB - OBJECTIVE: To determine the antimicrobial effects of carboxymethyl chitosan zinc
(CMC-Zn(+)) and CMC-Zn(+)-peptide (CMC-Zn(+)-P) on four kinds of periodontal
pathogens. METHODS: Dilution method was used to determine the minimum inhibitory
concentration (MIC) of CMC-Zn(+) for Porphyromonas gingivalis (Pg),
Actinobacillusactinomycetemcomitans (Aa), Prevotella intermedia (Pi) and
Actinomyces viscosus (Av). The antimicrobial characters of CMC-Zn(+) and CMC
Zn(+)-P on these four kinds of pathogens were evaluated by disk diffusion method.
RESULTS: The MIC of CMC-Zn(+) for Pg, Aa, Pi and Av was 0.312 5%, 0.156 25%,
0.156 25% and 0.078 125% respectively. Significant antimicrobial effects were
improved along with the increased concentration of CMC-Zn(+) and CMC-Zn(+)-P (P <
0.01), while the best antimicrobial concentrations of both CMC-Zn(+) and CMC
Zn(+)-P were 5%. The antimicrobial effect of CMC-Zn(+)-P was better than that of
CMC-Zn(+) on the same kind of periodontal pathogen (P < 0.01). CMC-Zn(+)-P showed
different antimicrobial effects on the four periodontal pathogens (Av > Aa > Pi
or Av > Aa > Pg, P < 0.01). CONCLUSIONS: CMC-Zn(+) and CMC-Zn(+)-P have
inhibition effect on Pg, Aa, Pi and Av, and the best antibacterial concentration
was 5%. The CMC-Zn(+)-P has better antibacterial effect than CMC-Zn(+) on Pg, Aa,
Pi and Av.
PMID- 26564746
TI - [Effect of local application of insulin like growth factor-1 gelatin sponge
complex on osseointegration around implant in osteoporosis rats].
AB - OBJECTIVE: To investigate the effects of local application of insulin like growth
factor-1 (IGF-1) absorbable gelatin sponge complex in implant fossa on
osseointegration around implant in osteoporosis rats. METHODS: Female SD rats,
aged 4 months, were randomly individed into ovariectomy group (OVX group) and
sham-ovariectomy group (sham-ovx group). The rats in OVX group (n = 15) were
ovariectomized, and the rats in Sham-OVX group (n = 10) underwent Sham
ovariectomy. Eight weeks later, 5 rats in each group were randomly selected to
confirm the osteoporosis model. The ovariectomized rats were randomly divided
into osteoporosis group (OP group) and IGF-1 group after the model was
successfully established, 5 rats in each group. Pure titanium implants were
implanted in the distal part of right femoral epiphyseal in all groups.
Absorbable gelatin sponge particles containing 10 ug IGF-1 were placed in the
planting fossa in the IGF-1 group, and absorbable gelatin sponge particles
without IGF-1 were used in OP group and sham-OVX group. The rats were sacrificed,
and then the distal part of right and left femoral epiphyses were taken out to
make undecalcified and decalcified tissue sections respectively after 8 weeks.
Combined bone lamella width (CBLW) and implant bone contact rate (IBCR) around
implant, trabecular width (TW) and trabecular area percentage (TA) around implant
and in the cortical bone of left femoral epiphyses were observed by
histomorphometric measurement. RESULTS: The CBLW, IBCR, TW and TA around implant
was (55.43 +/- 3.50) um, (81.79 +/- 4.45) %, (57.73 +/- 4.29) um and (62.21 +/-
7.42) % respectively in sham-OVX group, (60.22 +/- 4.70) um, (83.67 +/- 6.63) %,
(48.08 +/- 3.63) um and (58.20 +/- 8.93) % respectively in IGF-1 group, and
(37.11 +/- 2.18) um, (64.60 +/- 5.44) %, (41.19 +/- 2.93) um and (42.21 +/- 4.34)
% respectively in OP group. The CBLW, IBCR and TW around implant had no
differences between IGF-1 and sham-OVX group (P > 0.05), which were significantly
higher than those in OP group (P < 0.05). The TW and TA of cortical bone in left
distal femoral epiphyses was (60.85 +/- 6.64) um, (61.24 +/- 6.98) % respectively
in sham-OVX group, (38.68 +/- 4.74) um, (43.89 +/- 7.76) % respectively IGF-1
group, (40.46 +/- 5.38) um, (44.63 +/- 5.39) % respectively in OP group (P <
0.05). The TW and TA of cortical bone in left distal femoral epiphyses had no
differences between IGF-1 group and OP group (P > 0.05), which were all
significantly lower than those in sham-OVX group (P < 0.05). CONCLUSIONS: The
local application of IGF-1 gelatin sponge complex can increase bone tissue around
implant and improve osseointegration in osteoporosis.
PMID- 26564747
TI - [Preliminary study on transdermal characteristics and sunface anesthetic effects
of lidocaine hydrochloride loaded trans-activator of transcription peptide
conjugated nano-niosome in animals].
AB - OBJECTIVE: To prepare a new dental topical anesthetics, lidocaine hydrochloride
loaded trans-activator of transcription peptide conjugated nano-niosome (LID-TAT
N), and to evaluate its transdermal properties and topical anesthesia effects.
METHODS: LID-TAT-N was prepared using reverse-phase evaporation method, and
lidocaine loaded conventional liposome (LID-CL) was prepared in the same manner
as positive control. The diameter, zeta potential and encapsulation efficiency of
LID-TAT-N and LID-CL were measured. The skin permeation of LID-TAT-N was
examined, and compared with LID-CL and lidocaine injection (LID-IJ, as negative
control), using a Franz diffusion cell mounted with depilated mouse skin in vitro
for 12 hours. Each experiment was repeated six times. The anesthetic effect of
the new topical anesthetic was investigated on the cornea of rabbits. RESULTS:
The mean diameter of LID-TAT-N was smaller than that of LID-CL [(152.7 +/- 10.6)
nm vs. (259.5 +/- 15.5) nm, P < 0.01]. The 12 h cumulative permeation amount was
significantly higher in LID-TAT-N group [(1 340.0 +/- 97.5) ug . cm(-2)] than
those of LID-CL and LID-IJ groups [(1 060.6 +/- 80.2), (282.6 +/- 65.1) ug . cm(
2), respectively, P < 0.05]. Rabbit corneal reflex results showed that LID-TAT-N
had anesthetic effect and the duration of analgesia [(24.8 +/- 2.8) min] was also
longer than that of LID-IJ [(14.5 +/- 2.3) min, P < 0.05]. CONCLUSIONS: LID-TAT-N
had good transdermal ability, and the advanced skin penetration feature can
improve its tropical anesthetic effect.
PMID- 26564748
TI - [Effect of ultraviolet-photofunctionalization of titanium on protein adsorption
and competition].
AB - OBJECTIVE: To study the adsorption behavior of bovine serum albumin (BSA) and
fibrinogen (Fg) and the competition of them on titanium before and after
ultraviolet (UV)-photofunctionalization, and to provide the evidence of
photofunctionalization on the surface modification of titanium implants. METHODS:
Titanium disks and sensors of quartz crystal microbalance-D (QCM-D) were stored
and sealed in the dark for 4 weeks before being divided into two groups, namely
the UV-treated group and control group. Samples in the UV-treated group were
treated with UV rays for 48 hours. Then the Fg adsorbing property of disks in
both groups was tested at 1, 12 and 24 h. Protein films of Fg and BSA formed on
QCM sensors after 1 h incubation were imaged via atomic force microscopy (AFM).
Then with QCM-D, for both surfaces the adsorption of Fg and BSA as well as the
competition between them was tested by introducing proteins with different
sequences. RESULTS: After being incubated for 1 and 12 h, UV-treated group
attracted more Fg[(0.250 +/- 0.005) and (0.172 +/- 0.006) mg] than control group
did [(0.207 +/- 0.004) and (0.144 +/- 0.004) mg] (P < 0.05). However, after 24 h
incubation, Fg residual on the UV-treated group [(0.080 +/- 0.003) mg] was
smaller than that in the control group [(0.127 +/- 0.004) mg] (P < 0.05). AFM
showed protein clustered more densely on UV-treated surfaces than control
surfaces and QCM displayed the same result. In addition, when Fg was introduced
into QCM-D after BSA, the mass of protein film increased on both surfaces.
However, when BSA was introduced after Fg, the mass of protein film on the
control group had no change, but slightly decrease on the UV-treated group.
CONCLUSIONS: UV-photofunctionalization promotes protein adsorption but has no
influence on the competition between Fg and BSA.
PMID- 26564749
TI - [Effect of TiO2-SiO2-SnOx film with different firing temperatures on bond
strength of low-fusing dental porcelain to pure titanium].
AB - OBJECTIVE: To evaluate the influence of TiO(2)-SiO(2)-SnOx nano-coatings with
different firing temperatures on the bond strength of low-fusing dental porcelain
to pure titanium. METHODS: The surface of pure titanium was coated uniformly with
TiO(2)-SiO(2)-SnOx nano-coatings by solution-gelatin (Sol-Gel) technology and
then fired at 300 degrees C (group A) or 750 degrees C (group B) for 1 h. The
specimens without any coatings were the control group (group C). There were 10
specimens in each group. Dental porcelain was sintered on the surface of titanium
specimens. Surface roughness and contact angle of the coatings were also
detected. The titanium-porcelain bond strength was investigated according to YY
0621-2008 standards using three-point flexure bond test. The phase composition of
the TiO(2)-SiO(2)-SnOx nano-coatings was characterized by X-ray diffraction(XRD).
The interface of titanium-porcelain and TiO(2)-SiO(2)-SnOx nano-coatings were
observed using scanning electron microscope (SEM). RESULTS: No rutile phase was
found in these specimens of group A and group B. The surface roughness of group
A, B, C was (0.97 +/- 0.06), (0.99 +/- 0.03), (0.96 +/- 0.07) um, respectively.
No significant difference was found among the three groups. Compared with that of
group C (64.37 degrees +/- 3.01 degrees ), contact angles detected in group A
(52.04 degrees +/- 3.15 degrees ) and group B (85.27 degrees +/- 4.17 degrees )
were significantly different (P < 0.05). The bond strength of titanium-porcelain
in group A [(35.66 +/- 2.65) MPa] was significantly increased compared with those
in group B [(26.18 +/- 2.22) MPa] and group C [(31.66 +/- 3.52) MPa]. SEM
photomicrographs of titanium-porcelain interface morphology of the specimens
before porcelain sintering showed that TiO(2)-SiO(2)-SnOx nano-coatings in group
A were compact and homogeneous with petty cracks and those in group B was loose
and arranged disorderly. CONCLUSIONS: TiO(2)-SiO(2)-SnOx nano-coating fired at
300 degrees C is significantly effective in improving the titanium-porcelain
bond strength.
PMID- 26564750
TI - [Phage display peptide library for screening the peptides that specifically bind
to osteoblasts cells].
AB - OBJECTIVE: To explore the experimental methods that the phage peptide library
technology screening human osteoblast specificity polypeptide, which will provide
the basis of the experiment of the Ti surface biolization modification. METHODS:
Human calvarial osteoblasts were used as the target cells for whole-cell
biopanning from a 12-mer peptide phage-display library. Cell eluent and cell
lysis buffer were cultivate and count respectively after washing. Then the target
cells were analyzed by enzyme-linked immunosorbent assay (ELISA) and
immunofluorescence detection to authenticate the positive phage clones by human
gingival fibroblast as the absorber cells. The positive phage clones were deduced
by DNA sequencing. RESULTS: After four rounds of screening, twenty-two positive
phage clones were found out from randomly selected phage monoclonals, whose
single-strand DNA were extracted and sequenced. Amino acid sequence of the
highest frequency peptide was MGWSWWPETWPM. CONCLUSIONS: The specific peptide
against human osteoblasts can be obtained from a phage-display peptide library
for use as a new research approach and experimental basis of the biolization
modification of the titanium surface.
PMID- 26564751
TI - [Genetic research strategies of oral monogenic diseases and rare diseases].
PMID- 26564752
TI - [Calcium pyrophosphate dihydrate deposition disease of the temporomandibular
joint: report of 1 case].
PMID- 26564753
TI - Standardisation of a novel sperm banking kit - NextGen((r)) - to preserve sperm
parameters during shipment.
AB - Many male patients diagnosed with cancer are within their reproductive years.
These men are advised to freeze their spermatozoa prior to the start of cancer
treatment. Very often, sperm banking facilities may not be readily available and
patients may be required to travel to distant sperm bank centres. Our objective
was to design and standardise a remote home shipping sperm kit that allows
patients to collect a semen sample at home and ship it overnight to a sperm bank.
A total of 21 semen samples and two transport media (refrigeration media and
human tubal fluid) and five different combinations of ice packs were tested for
maintaining desired shipping temperature. Ten semen samples were assessed for pre
and post-shipment changes in sperm motility, membrane integrity, total motile
spermatozoa and recovery of motile spermatozoa. Even though motility, membrane
integrity and total motile spermatozoa declined both in samples examined under
simulated shipped conditions and in overnight-shipped samples, the observed
motility and total motile spermatozoa were adequate for use with assisted
reproductive techniques. Using refrigeration media, cooling sleeve and ice packs,
adequate sperm motility can be maintained utilising NextGen((r)) kit and these
spermatozoa can be used for procreation utilising ART techniques such as
intracytoplasmic sperm injection.
PMID- 26564756
TI - Looking Ahead to Atlantic City.
PMID- 26564754
TI - Non-medical use of prescription pain medications and increased emergency
department utilization: Results of a national survey.
AB - BACKGROUND: There are no population-based studies of emergency department (ED)
utilization by individuals using prescription pain medications non-medically. We
examined whether non-medical use of prescription pain medications was
independently associated with increased ED utilization. METHODS: We conducted a
retrospective analysis of a nationally representative sample of the non
institutionalized, civilian U.S. population in the National Survey on Drug Use
and Health, 2008-2013. We used multivariable logistic regression to examine the
association between past year ED utilization and non-medical use of prescription
pain medications, defined as use of medications "not prescribed for you or that
you took only for the experience or feeling they caused". RESULTS: An estimated
10.5 million adults annually reported past year non-medical use (NMU) of
prescription pain medications, and 39%, or 4.1 million adults annually, also
reported one or more past year ED visits. After adjustment for sociodemographic
and clinical characteristics, adults with past year NMU of prescription pain
medications had increased odds of past year ED utilization (adjusted odds ratio
1.32; 95% confidence interval 1.24-1.41). In secondary analyses, individuals with
more frequent NMU had increased odds of ED utilization in unadjusted analyses,
but this association was attenuated with adjustment for the source of
prescription pain medication (i.e., physician, friend/family, other source).
CONCLUSIONS: Non-medical use of prescription pain medications is associated with
increased ED utilization. Further work is needed to identify the optimal role of
ED settings in providing screening, education, and treatment referral for
individuals using prescription pain medications non-medically.
PMID- 26564757
TI - Odds Ratio Meta-Analysis and Increased Prevalence of White Matter Injury in
Healthy Divers.
AB - INTRODUCTION: Increased white matter hyperintensities (WMH) on magnetic resonance
imaging (MRI) brain scans of high altitude aircrew and altitude chamber workers
indicate that exposure to low ambient pressure (hypobaria) promotes white matter
injury. If associated with frequent decompression stress then experienced divers
should also exhibit more WMH, yet published case-control studies are
inconsistent. This meta-analysis evaluated the prevalence of WMH in healthy
divers and controls. METHODS: Eligible studies compared experienced divers (or
hyperbaric workers) without neurological decompression illness with nondiving
controls, identified from multiple database searches and reference list reviews.
Studies were scored for sample size, recruitment bias, control matching, MRI
sensitivity, and confounding factors before grading as low, medium, or high
quality. Meta-analysis of odds ratios (OR) with 95% confidence intervals (CI) was
conducted on all data using a random effects model and repeated after exclusion
of low-quality studies. RESULTS: There were 11 eligible studies identified. After
data adjustment to exclude diving accidents, these encompassed 410 divers and 339
controls, of which 136 (33%) and 79 (23%), respectively, exhibited WMH (OR 1.925,
95% CI 1.088 to 3.405). Excluding four low-quality studies eliminated meta
analysis heterogeneity, with 98 of 279 divers (35%) and 44 of 232 controls (19%)
exhibiting WMH (OR 2.654, 95% CI 1.718 to 4.102). CONCLUSIONS: Results suggest
that repeated hyperbaric exposure increases the prevalence of white matter injury
in experienced healthy divers without neurological decompression illness. This is
consistent with reports of increased WMH in asymptomatic altitude workers and an
association with intensity of dysbaric exposure.
PMID- 26564758
TI - Modern Air Combat Developments and Their Influence on Neck and Back Pain in F-16
Pilots.
AB - INTRODUCTION: Neck and back pain in fighter pilots remains a serious occupational
problem. We hypothesized that recent advances such as the joint helmet mounted
cueing system (JHMCS) in modern air combat might contribute to the development of
spinal complaints in F-16 pilots. METHODS: Surveyed were 59 F-16 pilots of the
Royal Netherlands Air Force who were compared to 49 F-16 pilots who filled in a
similar questionnaire in 2007. The prevalence of neck and back pain, work
situations, and capacity of the pilot were analyzed. RESULTS: The self-reported 1
yr prevalence of regular or continuous neck and lower back pain in 2014 were 22%
and 31%, respectively, compared to both being 12% in 2007. Age, military flying
experience, total number of flying hours, flying hours on the F-16, and total
number of hours flown with night vision goggles (NVG) were significantly higher
in 2014. In 2014, 95% flew with JHMCS, compared to 0% in 2007. Flying with JHMCS
(88%), NVG (88%), type of flight (63%), and sitting posture (50%) were the most
reported causes of flight-related neck pain. Sitting posture (89%), duration of
flight (56%), and seat (44%) were among the reported causes of back pain.
DISCUSSION: The increasing trend of neck and lower back pain might be caused by
multiple changes in both the work situation and capacity of the pilots since
2007. Future innovations will increase the load on the pilot's spine. To
successfully address their spinal problems in the future, fighter pilots must be
monitored continuously.
PMID- 26564760
TI - Solar Eye Protection Practices of Civilian Aircrew.
AB - INTRODUCTION: There is good evidence that long term exposure to ultraviolet (UV)
radiation increases the risk of cataracts. The 'blue light hazard' is considered
a risk factor for retinal changes similar to those seen in macular degeneration.
Previous studies ascertaining the prevalence of radiation related ocular disease
in pilot cohorts have not considered use of solar eye protection. The aim of this
study was to explore pilot use of sunglasses and other solar eye protection
habits and to gain insight into the difficulties encountered managing sunlight on
the flight deck. Additionally, the prevalence of radiation related ocular
pathology in the study group was calculated. METHODS: A web based questionnaire
was developed and administered to a large population of current UK professional
pilots. RESULTS: There were 2917 respondents who completed the questionnaire,
demonstrating a wide range of sunglass use during flight. A number of barriers to
sunglass use were identified, the most prevalent being the requirement for
corrective lenses to be used. Pilots most commonly increase sunglass use due to
ocular health concerns. A high level of dissatisfaction with standard aircraft
sun protection systems was reported. Long haul airline pilots were the highest
users of nonstandard sunlight blocking strategies. No correlation between
reported pathology and flying experience was found. DISCUSSION: The use of
sunglasses during flight is complex; however, a number of practical
recommendations can be made to increase the success for those pilots who wish to
use sunglasses more. Aircraft manufacturers should consider how greater control
of cockpit sunlight levels can be achieved.
PMID- 26564759
TI - Development and Validation of the Cognition Test Battery for Spaceflight.
AB - BACKGROUND: Sustained high-level cognitive performance is of paramount importance
for the success of space missions, which involve environmental, physiological,
and psychological stressors that may affect brain functions. Despite subjective
symptom reports of cognitive fluctuations in spaceflight, the nature of
neurobehavioral functioning in space has not been clarified. METHODS: We
developed a computerized cognitive test battery (Cognition) that has sensitivity
to multiple cognitive domains and was specifically designed for the high
performing astronaut population. Cognition consists of 15 unique forms of 10
neuropsychological tests that cover a range of cognitive domains, including
emotion processing, spatial orientation, and risk decision making. Cognition is
based on tests known to engage specific brain regions as evidenced by functional
neuroimaging. Here we describe the first normative and acute total sleep
deprivation data on the Cognition test battery as well as several efforts
underway to establish the validity, sensitivity, feasibility, and acceptability
of Cognition. RESULTS: Practice effects and test-retest variability differed
substantially between the 10 Cognition tests, illustrating the importance of
normative data that both reflect practice effects and differences in stimulus set
difficulty in the population of interest. After one night without sleep, medium
to large effect sizes were observed for 3 of the 10 tests addressing vigilant
attention (Cohen's d = 1.00), cognitive throughput (d = 0.68), and abstract
reasoning (d = 0.65). CONCLUSIONS: In addition to providing neuroimaging-based
novel information on the effects of spaceflight on a range of cognitive
functions, Cognition will facilitate comparing the effects of ground-based
analogues to spaceflight, increase consistency across projects, and thus enable
meta-analyses.
PMID- 26564761
TI - Recurrent and Transient Spinal Pain Among Commercial Helicopter Pilots.
AB - OBJECTIVE: The aim of this study was to provide information on the occurrence of
spinal pain, i.e., low back and neck pain, among commercial helicopter pilots,
along with possible associations between pain and anthropometric and demographic
factors and flying exposure. METHODS: Data were collected through a subjective
and retrospective survey among all the 313 (294 men, 19 women) full-time pilots
employed by two helicopter companies. A questionnaire was used to assess the
extent of spinal complaints in a transient and recurrent pain pattern along with
information on physical activities, occupational flying experience, and
airframes. RESULTS: The survey had 207 responders (194 men, 13 women). The pilots
had extensive flying experience. Spinal pain was reported by 67%. Flying-related
transient pain was reported among 50%, whereas recurrent spinal pain, not
necessarily associated with flying, was reported by 52%. Women experienced more
pain, but sample size prevented further conclusions. Male pilots reporting any
spinal pain flew significantly more hours last year (median 500 h, IQR 400-650)
versus men with no pain (median 445 h, IQR 300-550). Male pilots with transient
or recurrent spinal pain did not differ from nonaffected male colleagues in the
measured parameters. CONCLUSION: Spinal pain is a frequent problem among male and
female commercial helicopter pilots. For men, no significant associations were
revealed for transient or recurrent spinal pain with age, flying experience in
years, total hours, annual flying time, type of aircraft, or anthropometric
factors except for any spinal pain related to hours flown in the last year.
PMID- 26564762
TI - Clinical Markers Associated with Metabolic Syndrome Among Military Aviators.
AB - BACKGROUND: Due to the recent increase of metabolic syndrome (MetS) in the Korean
population, this study was performed to investigate the prevalence of MetS among
Republic of Korea (ROK) Air Force military aviators and its relationship with
clinical markers. METHODS: A cross-sectional study was performed among 911
aviators who filled out the lifestyle questionnaire and underwent medical
examinations at the ROK Air Force Aerospace Medical Center. Clinical markers of
aviators with MetS were investigated and odds ratios were calculated. RESULTS:
Among the 911 aviators, 90 (9.9%) were found to have MetS and the prevalence of
subcomponents were: 31.7% elevated blood pressure, 25.3% elevated waist
circumference, 19.0% impaired glucose tolerance, 16.6% elevated triglycerides,
7.9% reduced high density lipoprotein (HDL) cholesterol. Among aviators, a
significant statistical association was found between the diagnosis of MetS with
the highest quartile of uric acid, white blood cell (WBC) count, and alanine
transaminase (ALT) level. Adjusted odds ratio of MetS was 8.88 (3.16 ~24.99) if
all three clinical markers were at highest quartile range. DISCUSSION: Despite
the relatively low prevalence of MetS in ROK Air Force aviators, further
preventive measures are required as the prevalence is expected to increase in the
future. Aviators with high levels of WBC count, uric acid, and ALT should be
examined for MetS. Further comprehensive cohort study is required to link the
elevation of clinical markers and development of MetS.
PMID- 26564763
TI - Exercise Improves Mood State in Normobaric Hypoxia.
AB - BACKGROUND: The purpose of this study was to quantify the efficacy of using
exercise to alleviate the impairments in mood state associated with hypoxic
exposure. METHODS: Nineteen young, healthy men completed Automated
Neuropsychological Assessment Metrics-4(th) Edition (ANAM4) versions of the mood
state test before hypoxia exposure, after 60 min of hypoxia exposure (12.5%
O(2)), and during and after two intensities of cycling exercise (40% and 60%
adjusted Vo(2max)) under the same hypoxic conditions. Peripheral oxygen
saturation (Spo(2)) and regional cerebral oxygen saturation (rSo(2)) were
continuously monitored. RESULTS: At rest in hypoxia, Total Mood Disturbance (TMD)
was significantly increased compared to baseline in both the 40% and 60% groups.
TMD was significantly decreased during exercise compared to rest in hypoxia. TMD
was also significantly decreased during recovery compared to rest in hypoxia.
Spo(2) significantly decreased at 60 min rest in hypoxia, during exercise, and
recovery compared to baseline. Regional cerebral oxygen saturation was also
reduced at 60 min rest in hypoxia, during exercise, and recovery compared to
baseline. DISCUSSION: The current study demonstrated that exercise at 40% and 60%
of adjusted Vo(2max) attenuated the adverse effects of hypoxia on mood. These
findings may have significant applied value, as negative mood states are known to
impair performance in hypoxia. Further studies are needed to replicate the
current finding and to clarify the possible mechanisms associated with the
potential benefits of exercise on mood state in normobaric hypoxia.
PMID- 26564764
TI - Standardized Exercise Tests and Simulated Terrestrial Mission Task Performance.
AB - BACKGROUND: Missions to terrestrial destinations (i.e., asteroids, the Moon, and
Mars) will consist of physically challenging mission-critical tasks. These tasks,
coupled with the negative physiological effects of prolonged microgravity
exposure, create a plausible situation in which physical requirements may exceed
an astronaut's physical capacity. Therefore, the objective of the current study
was to evaluate the association of aerobic fitness and muscular strength
parameters with performance during two field tests designed to simulate upper
body mission-critical activities. METHODS: There were 70 subjects who completed a
material transport field test requiring the loading, transport, and unloading of
geological samples and a device operations field test consisting of tasks
associated with equipment set-up and the operations of controls and valves. The
relationships between test duration and the following measurements were
determined: running Vo(2max), gas exchange threshold (GET), speed at Vo(2max) (s
Vo(2max)), highest sustainable rate of aerobic metabolism [critical speed (CS)],
and the finite distance that could be covered above CS (D'); and arm cranking
Vo(2peak), GET, critical power (CP), and the finite work that can be performed
above CP (W'). RESULTS: CP (r = -0.66), CS (r = -0.56), and arm cranking
Vo(2peak) (r = -0.54) were most strongly correlated with the material transport
field test and decision tree analysis revealed CP as the best predictor of
performance. For the device operations field test, CP (r = -0.70), CS (r =
0.62), and arm cranking peak power output (r = -0.56) were significant
predictors. DISCUSSION: Arm cranking tests are strongly associated with upper
body dependent tasks, highlighting that the nature of mission tasks needs to be
considered when evaluating astronaut physical capacity.
PMID- 26564765
TI - Bias from Differential Exposure Measurement Error in a Study of Flight
Attendants.
AB - BACKGROUND: Self-reported occupational exposures are often used in
epidemiological studies when actual exposure measurements are unavailable, which
could cause measurement error and bias study results. This study provides a
numeric example of this potential bias. METHODS: A study of block hours and
preterm birth was used as an illustrative example. This study included 577 flight
attendants, ages 18-45 yr, who gave birth to a term (37 or greater gestational
weeks) or preterm (20-36 gestational weeks) infant between 1992 and 1996. Flight
attendants self-reported the number of block hours flown during the first
trimester of pregnancy; the number of block hours flown during the first
trimester of pregnancy was also calculated from airline records. No adjustment
for confounding was performed for this illustrative example. RESULTS: Although
flight attendants having term and preterm births self-reported similar hours
worked during the first trimester (median 213 vs. 215 block hours), airline
records showed that flight attendants having term births worked more hours than
those having preterm births (median 146 vs. 104 block hours). Using self-reported
block hours, there was no association between block hours and preterm birth; when
using airline records, an inverse association was observed. DISCUSSION: In this
example, differential measurement error from use of self-reported block hours
obscured an inverse association apparent when using airline records,
demonstrating the importance of accurate exposure assessment for identifying
occupational risk factors for health outcomes.
PMID- 26564766
TI - An Unusual Complication of Barotrauma at Altitude.
AB - BACKGROUND: A case of unilateral optic neuropathy secondary to barotrauma
following a medial orbital wall fracture is presented. CASE REPORT: A 41-yr-old
U.S. Air Force aviator presented for a routine periodic health assessment.
Evaluation uncovered a suspected acquired color deficiency in the right eye (OD).
Subsequent discussions with the patient revealed a history of eye pain, redness,
and proptosis during a flight overseas several years earlier. Local ocular
examination demonstrated asymmetric optic nerve cupping, optic nerve pallor OD, a
mild asymmetric color deficit, and a significant visual field defect OD.
Evaluation with magnetic resonance imaging revealed findings consistent with an
old medial orbital wall fracture OD and optic nerve findings consistent with
optic neuropathy. Follow-up evaluation by neurology and otorhinolaryngology
demonstrated only extensive sinus pathology. Based upon these findings, it is
postulated that the member suffered a medial orbital wall fracture at altitude
during ascent caused by expanding ethmoid sinus gases due to abnormal sinus
anatomy with subsequent right optic nerve injury leading to an optic neuropathy
and subsequent visual sequelae. DISCUSSION: This case demonstrates one possible
complication of active sinus disease while working in the aerospace environment.
Several case reports have been published demonstrating the potential link between
eye injuries and working in an environment with fluctuating atmospheric pressure.
However, literature addressing the specific in-flight environment causing such
complications is lacking. Furthermore, the case supports the need for versatility
and a broad knowledge base in practicing flight surgeons to evaluate ocular
pathology.
PMID- 26564767
TI - Centrifuge-Simulated Suborbital Spaceflight in a Subject with Cardiac
Malformation.
AB - INTRODUCTION: Commercial spaceflight participants (SFPs) will introduce new
medical challenges to the aerospace community, with unique medical conditions
never before exposed to the space environment. This is a case report regarding
the response of a subject with multiple cardiac malformations, including aortic
insufficiency, pulmonary atresia, pulmonary valve replacement, ventricular septal
defect (post-repair), and pulmonary artery stenosis (post-dilation), to
centrifuge acceleration simulating suborbital flight. CASE REPORT: A 23-yr-old
man with a history of multiple congenital cardiac malformations underwent seven
centrifuge runs over 2 d. Day 1 consisted of two +G(z) runs (peak = +3.5 G(z),
run 2) and two +G(x) runs (peak = +6.0 G(x), run 4). Day 2 consisted of three
runs approximating suborbital spaceflight profiles (combined +G(x) and +G(z)).
Data collected included blood pressure, electrocardiogram, pulse oximetry,
neurovestibular exams, and post-run questionnaires regarding motion sickness,
disorientation, greyout, and other symptoms. Despite the subject's significant
medical history, he tolerated the acceleration profiles well and demonstrated no
significant abnormal physiological responses. DISCUSSION: Potential risks to SFPs
with aortic insufficiency, artificial heart valves, or valvular insufficiency
include lower +G(z) tolerance, earlier symptom onset, and ineffective mitigation
strategies such as anti-G straining maneuvers. There are no prior studies of
prolonged accelerations approximating spaceflight in such individuals. This case
demonstrates tolerance of acceleration profiles in an otherwise young and healthy
individual with significant cardiac malformations, suggesting that such
conditions may not necessarily preclude participation in commercial spaceflight.
PMID- 26564768
TI - You're the Flight Surgeon: Keratoconus.
PMID- 26564769
TI - You're the Flight Surgeon: Meckel's diverticulum.
PMID- 26564770
TI - The Bohannon Hypothesis.
PMID- 26564772
TI - This Month in Aerospace Medicine History.
PMID- 26564773
TI - Melatonin Upregulates the Activity of AMPK and Attenuates Lipid Accumulation in
Alcohol-induced Rats.
AB - AIMS: Melatonin is supposed to be an effective hepatoprotective agent. The
effects and mechanisms of melatonin on alcoholic fatty liver (AFL) have not been
well explored. The aim of this study was to investigate the preventive and
therapeutic effects of melatonin on alcohol-induced fatty liver rats. METHODS:
The AFL rats were induced by intragastric infusion of alcohol plus a high-fat
diet for 6 weeks, and melatonin (10, 20, 40 mg/kg) was administered by gastric
perfusion. We also established fatty acid overload cell model in HepG2 cells to
investigate the effect of melatonin on AMP-activated protein kinase (AMPK)
activity. RESULTS: The results showed that melatonin (20 and 40 mg/kg)
administration significantly reduced alcohol-induced hepatic steatosis with
lowering activities of serum alanine aminotransferase, aspartate aminotransferase
and levels of serum and hepatic triglyceride. The activity of superoxide
dismutase was increased and the content of malondialdehyde was decreased in liver
homogenates of rats treated with melatonin. Melatonin increased the
phosphorylation of AMPK in the liver tissues of alcohol-induced rats as well.
Additionally, in vitro studies showed that melatonin increased the expression of
melatonin1A receptor (MT1R), whereas luzindole, a receptor antagonist of
melatonin, had no effect on its expression. In addition, melatonin reduced the
levels of adenosine 3',5'-cyclic monophosphate (cAMP) and increased the
phosphorylation of AMPK, and melatonin treatment could markedly reverse these
effects. CONCLUSION: In conclusion, melatonin could protect against liver injury
caused by alcohol gastric perfusion. The effect may be related to alleviating
lipid peroxidation and upregulating the activity of AMPK mediated by MT1R
signaling pathway.
PMID- 26564774
TI - Insertion Homo- and Copolymerization of Diallyl Ether.
AB - The previously unresolved issue of polymerization of allyl monomers CH2 ?CHCH2 X
is overcome by a palladium-catalyzed insertion polymerization of diallyl ether as
a monomer. An enhanced 2,1-insertion of diallyl ether as compared to mono-allyl
ether retards the formation of an unreactive five-membered cyclic O-chelate
(after 1,2-insertion) that otherwise hinders further polymerization, and also
enhances incorporation in ethylene polymers (20.4 mol %). Cyclic ether repeat
units are formed selectively (96 %-99 %) by an intramolecular insertion of the
second allyl moiety of the monomer. These features even enable a
homopolymerization to yield polymers (poly-diallyl ether) with degrees of
polymerization of DPn ~44.
PMID- 26564776
TI - Spectrum of imaging appearances of intracranial cryptococcal infection in
HIV/AIDS patients in the anti-retroviral therapy era.
AB - Cryptococcus neoformans infection is the most common fungal infection of the
central nervous system (CNS) in advanced human immunodeficiency virus (HIV) and
acquired immunodeficiency syndrome (AIDS) patients, but remains a relatively
uncommon CNS infection in both the immunocompromised and immunocompetent patient
population, rendering it a somewhat elusive and frequently overlooked diagnosis.
The morbidity and mortality associated with CNS cryptococcal infection can be
significantly reduced by early recognition of the imaging appearances by the
radiologist in order to focus and expedite clinical management and treatment. The
emergence and evolution of anti-retroviral therapy have also impacted
significantly on the imaging appearances, morbidity, and mortality of this neuro
infection. The constellation of varied imaging appearances associated with
cryptococcal CNS infection in the HIV and AIDS population in the era of highly
active anti-retroviral therapy (HAART) will be presented in this review.
PMID- 26564775
TI - Formin and capping protein together embrace the actin filament in a menage a
trois.
AB - Proteins targeting actin filament barbed ends play a pivotal role in motile
processes. While formins enhance filament assembly, capping protein (CP) blocks
polymerization. On their own, they both bind barbed ends with high affinity and
very slow dissociation. Their barbed-end binding is thought to be mutually
exclusive. CP has recently been shown to be present in filopodia and controls
their morphology and dynamics. Here we explore how CP and formins may
functionally coregulate filament barbed-end assembly. We show, using kinetic
analysis of individual filaments by microfluidics-assisted fluorescence
microscopy, that CP and mDia1 formin are able to simultaneously bind barbed ends.
This is further confirmed using single-molecule imaging. Their mutually weakened
binding enables rapid displacement of one by the other. We show that formin FMNL2
behaves similarly, thus suggesting that this is a general property of formins.
Implications in filopodia regulation and barbed-end structural regulation are
discussed.
PMID- 26564778
TI - Pseudoangiomatous xanthelasmoid mastocytosis: two case reports showing the
hypervascularity of this rare variant of cutaneous mastocytosis.
AB - Xanthelasmoid mastocytosis or xanthelasmoidea is a rare clinical variant of
cutaneous mastocytosis characterized by a yellow hue of the clinical lesions,
which are often misdiagnosed as juvenile xanthogranuloma. We present two
pediatric cases of xanthelasmoid mastocytosis presenting as isolated
mastocytomas, which are notable histopathologically for their hypervascularity.
This pseudoangiomatous variant of cutaneous mastocytosis is important for
pathologists to have knowledge of, so that a diagnosis of a vascular tumor is not
rendered accidentally. The yellow hue has previously been explained by the usual
deep and solid dermal mast cell infiltrate. In the two presented cases, however,
the mast cell infiltrate was sparse, and the yellow color cannot be related to
infiltrate density. We believe that the hypervascularity is at least one factor
in the production of clinical xanthelasmoid appearance, and we propose the term
'pseudoangiomatous xanthelasmoid mastocytosis' to properly describe this rare
variant of cutaneous mastocytosis.
PMID- 26564777
TI - Identification of neurotoxic cytokines by profiling Alzheimer's disease tissues
and neuron culture viability screening.
AB - Alzheimer's disease (AD) therapeutics based on the amyloid hypothesis have shown
minimal efficacy in patients, suggesting that the activity of amyloid beta
(Abeta) represents only one aspect of AD pathogenesis. Since neuroinflammation is
thought to play an important role in AD, we hypothesized that cytokines may play
a direct role in promoting neuronal death. Here, we profiled cytokine expression
in a small cohort of human AD and control brain tissues. We identified AD
associated cytokines using partial least squares regression to correlate cytokine
expression with quantified pathologic disease state and then used neuron cultures
to test whether cytokines up-regulated in AD tissues could affect neuronal
viability. This analysis identified cytokines that were associated with the
pathological severity. Of the top correlates, only TNF-alpha reduced viability in
neuron culture when applied alone. VEGF also reduced viability when applied
together with Abeta, which was surprising because VEGF has been viewed as a neuro
protective protein. We found that this synthetic pro-death effect of VEGF in the
context of Abeta was commensurate with VEGFR-dependent changes in multiple
signaling pathways that govern cell fate. Our findings suggest that profiling of
tissues combined with a culture-based screening approach can successfully
identify new mechanisms driving neuronal death.
PMID- 26564779
TI - Zinc-Catalyzed Dehydrogenative Cross-Coupling of Terminal Alkynes with Aldehydes:
Access to Ynones.
AB - Because of the lack of redox ability, zinc has seldom been used as a catalyst in
dehydrogenative cross-coupling reactions. Herein, a novel zinc-catalyzed
dehydrogenative C(sp(2) )?H/C(sp)?H cross-coupling of terminal alkynes with
aldehydes was developed, and provides a simple way to access ynones from readily
available materials under mild reaction conditions. Good reaction selectivity can
be achieved with a 1:1 ratio of terminal alkyne and aldehyde. Various terminal
alkynes and aldehydes are suitable in this transformation.
PMID- 26564780
TI - Propagation of oestrogen receptor-positive and oestrogen-responsive normal human
breast cells in culture.
AB - Investigating the susceptibility of oestrogen receptor-positive (ER(pos)) normal
human breast epithelial cells (HBECs) for clinical purposes or basic research
awaits a proficient cell-based assay. Here we set out to identify markers for
isolating ER(pos) cells and to expand what appear to be post-mitotic primary
cells into exponentially growing cultures. We report a robust technique for
isolating ER(pos) HBECs from reduction mammoplasties by FACS using two cell
surface markers, CD166 and CD117, and an intracellular cytokeratin marker,
Ks20.8, for further tracking single cells in culture. We show that ER(pos) HBECs
are released from growth restraint by small molecule inhibitors of TGFbeta
signalling, and that growth is augmented further in response to oestrogen.
Importantly, ER signalling is functionally active in ER(pos) cells in extended
culture. These findings open a new avenue of experimentation with normal ER(pos)
HBECs and provide a basis for understanding the evolution of human breast cancer.
PMID- 26564781
TI - Efficient Generation of Myostatin Mutations in Pigs Using the CRISPR/Cas9 System.
AB - Genetically modified pigs are increasingly used for biomedical and agricultural
applications. The efficient CRISPR/Cas9 gene editing system holds great promise
for the generation of gene-targeting pigs without selection marker genes. In this
study, we aimed to disrupt the porcine myostatin (MSTN) gene, which functions as
a negative regulator of muscle growth. The transfection efficiency of porcine
fetal fibroblasts (PFFs) was improved to facilitate the targeting of Cas9/gRNA.
We also demonstrated that Cas9/gRNA can induce non-homologous end-joining (NHEJ),
long fragment deletions/inversions and homology-directed repair (HDR) at the MSTN
locus of PFFs. Single-cell MSTN knockout colonies were used to generate cloned
pigs via somatic cell nuclear transfer (SCNT), which resulted in 8 marker-gene
free cloned pigs with biallelic mutations. Some of the piglets showed obvious
intermuscular grooves and enlarged tongues, which are characteristic of the
double muscling (DM) phenotype. The protein level of MSTN was decreased in the
mutant cloned pigs compared with the wild-type controls, and the mRNA levels of
MSTN and related signaling pathway factors were also analyzed. Finally, we
carefully assessed off-target mutations in the cloned pigs. The gene editing
platform used in this study can efficiently generate genetically modified pigs
with biological safety.
PMID- 26564782
TI - 'I felt a little bubbly in my tummy': eliciting pre-schoolers' accounts of their
health visit using a computer-assisted interview method.
AB - BACKGROUND: In the health care services, children's rights to participate in all
matters that concern them are considered important. However, in practice this can
be challenging with young children. In My Shoes (IMS) is a computer-assisted
interview tool developed to help children talk about their experiences. The aim
of the study was to evaluate the IMS' ability to elicit pre-schoolers' subjective
experiences and accurate accounts of a routine health visit as well as the
children's engagement in the interview process. METHODS: Interviews were
conducted with 23 children aged 4-5 years, 2-4 weeks after their health visit.
The interviews were transcribed verbatim and analysed using a method inspired by
Content Analysis to evaluate IMS's ability to elicit accounts about subjective
experiences. Accurate accounts were assessed by comparing the transcribed
interviews with the filmed visits at the child health centre. The children's
engagement was defined by the completion and length of the interviews, and the
children's interaction with the software. RESULTS: All children gave accounts
about their subjective experiences, such as their emotional state during the
visit, available toys or rewards they received. All children related to the
correct event, they all named at least one person who was present and 87%
correctly named at least one examination procedure. The majority of children
(91%) completed the interview, which lasted 17-39 min (M = 24), and 96%
interacted with the IMS software. CONCLUSIONS: IMS was feasible to help children
describe their health care experiences, in both detail and depth. The children
interacted with the software and maintained their interest for an extended period
of time.
PMID- 26564783
TI - Reversibility and criticality in amorphous solids.
AB - The physical processes governing the onset of yield, where a material changes its
shape permanently under external deformation, are not yet understood for
amorphous solids that are intrinsically disordered. Here, using molecular
dynamics simulations and mean-field theory, we show that at a critical strain
amplitude the sizes of clusters of atoms undergoing cooperative rearrangements of
displacements (avalanches) diverges. We compare this non-equilibrium critical
behaviour to the prevailing concept of a 'front depinning' transition that has
been used to describe steady-state avalanche behaviour in different materials. We
explain why a depinning-like process can result in a transition from periodic to
chaotic behaviour and why chaotic motion is not possible in pinned systems. These
findings suggest that, at least for highly jammed amorphous systems, the
irreversibility transition may be a side effect of depinning that occurs in
systems where the disorder is not quenched.
PMID- 26564784
TI - Synthesis of 1,1-Diborylalkenes through a Bronsted Base Catalyzed Reaction
between Terminal Alkynes and Bis(pinacolato)diboron.
AB - A method for the synthesis of 1,1-diborylalkenes through a Bronsted base
catalyzed reaction between terminal alkynes and bis(pinacolato)diboron has been
developed. The procedure allows direct synthesis of functionalized 1,1
diborylalkenes from various terminal alkynes including propiolates,
propiolamides, and 2-ethynylazoles.
PMID- 26564785
TI - X-ray phase-contrast tomography for high-spatial-resolution zebrafish muscle
imaging.
AB - Imaging of muscular structure with cellular or subcellular detail in whole-body
animal models is of key importance for understanding muscular disease and
assessing interventions. Classical histological methods for high-resolution
imaging methods require excision, fixation and staining. Here we show that the
three-dimensional muscular structure of unstained whole zebrafish can be imaged
with sub-5 MUm detail with X-ray phase-contrast tomography. Our method relies on
a laboratory propagation-based phase-contrast system tailored for detection of
low-contrast 4-6 MUm subcellular myofibrils. The method is demonstrated on 20
days post fertilization zebrafish larvae and comparative histology confirms that
we resolve individual myofibrils in the whole-body animal. X-ray imaging of
healthy zebrafish show the expected structured muscle pattern while specimen with
a dystrophin deficiency (sapje) displays an unstructured pattern, typical of
Duchenne muscular dystrophy. The method opens up for whole-body imaging with sub
cellular detail also of other types of soft tissue and in different animal
models.
PMID- 26564786
TI - Praise matters: the influence of nurse unit managers' praise on nurses' practice,
work environment and job satisfaction: a questionnaire study.
AB - AIM: The aim of this study was to investigate the associations between praise
from nurse unit managers and job satisfaction, professional practice, workload,
work climate and organizational commitment of nurses caring for surgical
patients. BACKGROUND: Praise influences experiences of employees. DESIGN: Web
based, cross-sectional explorative survey design. METHODS: A structured
questionnaire was used to measure praise given by nurse unit managers as
perceived by nurses (n = 383; 49% response rate) working with surgical patients.
Data were collected between December 2009-January 2010. Several variables
assessed the major concepts under study. Binary logistic regression analysis was
employed to compare nurses who receive praise very rarely/rarely as compared with
very often/rather often. RESULTS: Praise was received often/very often by 31.6%
of participants. Compared with nurses receiving praise rarely/very rarely those
who received it often/rather showed more job satisfaction, stated they had more
opportunities to practice professionally, described a more positive work climate
and were more committed to the organization such as being proud to work at and
willing to make effort for the unit and hospital. There was no difference between
the groups regarding workload. Main findings of the regression analysis were that
nurses display their organizational commitment by not thinking about leaving the
current workplace and those who value professional recognition are likelier to
receive praise than their counterparts. CONCLUSION: Nurse unit managers should
praise their staff in a realistic fashion. Such praise is cost-effective, takes
short time, produces positive influences on members of their staff and may
improve patient safety.
PMID- 26564788
TI - Corrosion-Mediated Self-Assembly (CMSA): Direct Writing Towards Sculpturing of 3D
Tunable Functional Nanostructures.
AB - Inexpensive and readily available metal foils have been extracted and sculptured
into nanocomposites without the expense of applied energy. The unwanted corrosion
phenomenon has been contrarily utilized to realize desirable 3D nanostructures
through a corrosion-mediated self-assembly (CMSA) method, which is unattainable
by conventional 2D patterning routes. By virtue of electrochemical dissolution/re
deposition initiated by brass corrosion, ionic derivatives (Zn(2+) and Cu(2+) )
are continuously supplied and seized by etchant ions (PO4 (3-) ) to self-assemble
into well-defined nanocomposites. Beyond 3D geometry patterning, CMSA enables
arbitrarily tailoring of structures and chemical compositions with in situ
multiphase amalgamation of hybrid materials, which improves homogeneity and thus
mitigates phase separation issues. Importantly, the CMSA technique is
demonstrated on transition metals for functional photocatalytic applications.
PMID- 26564787
TI - Structure and dynamics of polymyxin-resistance-associated response regulator PmrA
in complex with promoter DNA.
AB - PmrA, an OmpR/PhoB family response regulator, manages genes for antibiotic
resistance. Phosphorylation of OmpR/PhoB response regulator induces the formation
of a symmetric dimer in the N-terminal receiver domain (REC), promoting two C
terminal DNA-binding domains (DBDs) to recognize promoter DNA to elicit adaptive
responses. Recently, determination of the KdpE-DNA complex structure revealed an
REC-DBD interface in the upstream protomer that may be necessary for
transcription activation. Here, we report the 3.2-A-resolution crystal structure
of the PmrA-DNA complex, which reveals a similar yet different REC-DBD interface.
However, NMR studies show that in the DNA-bound state, two domains tumble
separately and an REC-DBD interaction is transiently populated in solution.
Reporter gene analyses of PmrA variants with altered interface residues suggest
that the interface is not crucial for supporting gene expression. We propose that
REC-DBD interdomain dynamics and the DBD-DBD interface help PmrA interact with
RNA polymerase holoenzyme to activate downstream gene transcription.
PMID- 26564790
TI - The Coracohumeral Distance in Shoulders With Traumatic and Degenerative
Subscapularis Tendon Tears.
AB - BACKGROUND: A reduced coracohumeral distance (CHD) is thought to be responsible
for subcoracoid impingement. This only accounts for degenerative tendon tears. In
traumatic tears, the subcoracoid space should be normal. HYPOTHESIS: The CHD in
patients with traumatic subscapularis tendon tears is larger than that in
patients with degenerative tears and does not differ from patients with an intact
subscapularis tendon. STUDY DESIGN: Cohort study; Level of evidence, 3. METHODS:
A total of 83 patients with arthroscopically certified subscapularis tendon tears
were included in the study. Forty-four patients had degenerative causes (group
1), and 39 had traumatic causes (group 2). The control group consisted of 20
patients with traumatic supraspinatus tendon tears and arthroscopically proven,
intact subscapularis tendons (group 3). On preoperative axial magnetic resonance
imaging, the distance between the CHD was measured, and the values of the 3
groups were compared using the t test. RESULTS: The mean (+/-SD) CHD in patients
with degenerative subscapularis tendon tears was 8.6 +/- 2.0 mm (range, 4.0-13.2
mm) and was significantly (P = .0003) smaller than that in patients with
traumatic tears (10.2 +/- 2.0 mm; range, 6.6-16.2 mm) or controls (10.4 +/- 1.8
mm; range, 6.8-14.0 mm). The CHD of controls and patients with traumatic tears
did not differ significantly (P = .7875). A CHD of less than 6 mm only occurred
in patients with degenerative subscapularis tendon tears. CONCLUSION: The
hypothesis that the CHD in patients with degenerative subscapularis tendon tears
is significantly smaller than that in patients with traumatic tears or intact
subscapularis tendons was confirmed. The CHD in patients with traumatic tears
does not differ from that in controls. A CHD of less than 6 mm only occurs in
patients with degenerative subscapularis tendon tears.
PMID- 26564789
TI - TAK1 Regulates Myocardial Response to Pathological Stress via NFAT, NFkappaB, and
Bnip3 Pathways.
AB - TAK1 (TGFbeta-activated kinase-1) signaling is essential in regulating a number
of important biological functions, including innate immunity, inflammatory
response, cell growth and differentiation, and myocardial homeostasis. The
precise role of TAK1 in the adult heart under pathological conditions remains
largely unknown. Importantly, we observed that TAK1 is upregulated during
compensatory hypertrophy but downregulated in end-stage heart failure. Here we
generated transgenic mice with inducible expression of an active TAK1 mutant
(TAK1DeltaN) in the adult heart. TAK1DeltaN transgenic mice developed greater
cardiac hypertrophy compared with control mice after transverse aortic
constriction (TAC), which was largely blocked by ablation of calcineurin Abeta.
Expression of TAK1DeltaN also promoted NFAT (nuclear factor of activated T-cells)
transcriptional activity in luciferase reporter mice at baseline, which was
further enhanced after TAC. Our results revealed that activation of TAK1 promoted
adaptive cardiac hypertrophy through a cross-talk between calcineurin-NFAT and
IKK-NFkappaB pathways. More significantly, adult-onset inducible expression of
TAK1DeltaN protected the myocardium from adverse remodeling and heart failure
after myocardial infarction or long-term pressure overload, by preventing cardiac
cell death and fibrosis. Mechanistically, TAK1 exerts its cardioprotective effect
through activation of NFAT/NFkappaB, downregulation of Bnip3, and inhibition of
cardiac cell death.
PMID- 26564791
TI - Combined Subscapularis Tears in Massive Posterosuperior Rotator Cuff Tears: Do
They Affect Postoperative Shoulder Function and Rotator Cuff Integrity?
AB - BACKGROUND: Previous studies on massive rotator cuff tears have not addressed the
outcomes of tears extending to the subscapularis tendon. HYPOTHESIS: The retear
rate in patients with a massive posterosuperior rotator cuff tear combined with a
subscapularis tear is higher than that in patients with a massive posterosuperior
rotator cuff tear with an intact subscapularis tendon. STUDY DESIGN: Cohort
study; Level of evidence, 3. METHODS: Data were collected and analyzed from 92
consecutive patients who underwent arthroscopic repair of a massive
posterosuperior rotator cuff tear. Patients were divided into 3 groups according
to the status of the subscapularis tendon: intact subscapularis tendon (I-massive
tear; n = 42), tear involving half or less than half of the subscapularis tendon
(S-massive tear; n = 22), and tear involving more than half of the subscapularis
tendon (L-massive tear; n = 28). The integrity of the rotator cuff was determined
by ultrasonography at 4.5 and 12 months or later after surgery. Clinical
evaluations were performed using the visual analog scale (VAS) pain score, the
American Shoulder and Elbow Surgeons (ASES) score, the Constant score, and active
shoulder range of motion. Data were collected on the day before surgery and at
final follow-up (at least 24 months postoperatively). RESULTS: A total of 25
retears (27%) were identified based on an ultrasonographic evaluation. Although
statistical significance was not found, there was a trend toward a higher retear
rate in patients with an L-massive tear (43%) compared with those with an S
massive tear (18%; P = .050) or I-massive tear (21%; P = .059) at final follow
up. The subclassification of retears according to the involved tendons revealed
that subsequent retears of the subscapularis tendon were noted only in patients
with an L-massive tear. In patients with an L-massive tear, postoperative data
comparison between patients with intact subscapularis tendons and those with
failed subscapularis tendons revealed that a significant difference was noted in
the VAS (1.1 vs 3.8, respectively) and ASES (90.6 vs 58.5, respectively) scores.
The improvement in clinical scores after repair was statistically significant in
all groups but not different between the groups. CONCLUSION: The arthroscopic
repair of massive tears results in substantial improvements in shoulder function,
regardless of the presence of combined subscapularis tears. However, this study
showed a trend toward a high failure rate for the repair of massive
posterosuperior rotator cuff tears extending over half of the subscapularis
tendon. Therefore, other treatment options should also be considered for this
type of rotator cuff tear.
PMID- 26564792
TI - Quantitative In Situ Analysis of the Anterior Cruciate Ligament: Length,
Midsubstance Cross-sectional Area, and Insertion Site Areas.
AB - BACKGROUND: Quantification of the cross-sectional area (CSA) of the anterior
cruciate ligament (ACL) in different loading conditions is important for
understanding the native anatomy and thus achieving anatomic reconstruction. The
ACL insertion sites are larger than the ACL midsubstance, and the isthmus (region
of the smallest CSA) location may vary with the load or flexion angle. PURPOSE:
To (1) quantify the CSA along the entire ACL, (2) describe the location of the
ACL isthmus, (3) explore the relationship between ACL length and CSA, and (4)
validate magnetic resonance imaging (MRI) for assessing the CSA of the
midsubstance ACL. STUDY DESIGN: Descriptive laboratory study. METHODS: Eight
cadaveric knees were dissected to expose the ACL and its attachments. Knees were
positioned using a robotic loading system through a range of flexion angles in 3
loading states: (1) unloaded, (2) anterior tibial translation, and (3) combined
rotational load of valgus and internal torque. Laser scanning quantified the
shape of the ACL and its insertion site boundaries. The CSA of the ACL was
measured, and the location of the isthmus was determined; the CSA of the ACL was
also estimated from MRI and compared with the laser-scanned data. RESULTS: The
CSA of the ACL varied along the ligament, and the isthmus existed at an average
(+/-SD) of 53.8% +/- 5.5% of the distance from the tibial insertion center to the
femoral insertion center. The average CSA at the isthmus was smallest in
extension (39.9 +/- 13.7 mm(2)) and increased with flexion (43.9 +/- 12.1 mm(2)
at 90 degrees ). The ACL length was shortest at 90 degrees of flexion and
increased by 18.8% +/- 10.1% in unloaded extension. Application of an anterior
load increased the ACL length by 5.0% +/- 3.3% in extension, and application of a
combined rotational load increased its length by 4.1% +/- 3.0% in extension.
CONCLUSION: The ACL isthmus is located almost half of the distance between the
insertion sites. The CSA of the ACL at the isthmus is largest with the knee
unloaded and at 90 degrees of flexion, and the area decreases with extension and
applied loads. The CSA at the isthmus represents less than half the area of the
insertion sites. CLINICAL RELEVANCE: These results may aid surgical planning,
specifically for choosing a graft size and fixation angle that most closely
matches the native anatomy and function across the entire range of knee motion.
PMID- 26564793
TI - Understanding sustained domestic violence identification in maternal and child
health nurse care: process evaluation from a 2-year follow-up of the MOVE trial.
AB - AIM: To investigate factors contributing to the sustained domestic violence
screening and support practices of Maternal and Child Health nurses 2 years after
a randomized controlled trial. BACKGROUND: Domestic violence screening by
healthcare professionals has been implemented in many primary care settings.
Barriers to screening exist and screening rates remain low. Evidence for longer
term integration of nurse screening is minimal. Trial outcomes showed sustained
safety planning behaviours by intervention group nurses. DESIGN: Process
evaluation in 2-year follow-up of a cluster randomized controlled trial. METHODS:
Evaluation included a repeat online nurse survey and 14 interviews (July
September 2013). Survey analysis included comparison of proportionate group
difference between arms and between trial baseline and 2 year follow-up surveys.
Framework analysis was used to assess qualitative data. Normalization Process
Theory informed evaluation design and interpretation of results. RESULTS: Survey
response was 77% (n = 123/160). Sustainability of nurse identification of
domestic violence appeared to be due to greater nurse discussion and domestic
violence disclosure by women, facilitated by use of a maternal health and well
being checklist. Over time, intervention group nurses used the maternal checklist
more at specific maternal health visits and found the checklist the most helpful
resource assisting their domestic violence work. Nurses' spoke of a degree of
'normalization' to domestic violence screening that will need constant investment
to maintain. CONCLUSION: Sustainable domestic violence screening and support
outcomes can be achieved in an environment of comprehensive, nurse designed and
theory driven implementation. Continuing training, discussion and monitoring of
domestic violence work is needed to retain sustainable practices.
PMID- 26564794
TI - Sequential posttranslational modifications regulate PKC degradation.
AB - Cross-talk among different types of posttranslational modifications (PTMs) has
emerged as an important regulatory mechanism for protein function. Here we
elucidate a mechanism that controls PKCalpha stability via a sequential cascade
of PTMs. We demonstrate that PKCalpha dephosphorylation decreases its
sumoylation, which in turn promotes its ubiquitination and ultimately enhances
its degradation via the ubiquitin-proteasome pathway. These findings provide a
molecular explanation for the activation-induced down-regulation of PKC proteins.
PMID- 26564795
TI - Epigenetic engineering shows that a human centromere resists silencing mediated
by H3K27me3/K9me3.
AB - Centromeres are characterized by the centromere-specific H3 variant CENP-A, which
is embedded in chromatin with a pattern characteristic of active transcription
that is required for centromere identity. It is unclear how centromeres remain
transcriptionally active despite being flanked by repressive pericentric
heterochromatin. To further understand centrochromatin's response to repressive
signals, we nucleated a Polycomb-like chromatin state within the centromere of a
human artificial chromosome (HAC) by tethering the methyltransferase EZH2. This
led to deposition of the H3K27me3 mark and PRC1 repressor binding. Surprisingly,
this state did not abolish HAC centromere function or transcription, and this
apparent resistance was not observed on a noncentromeric locus, where
transcription was silenced. Directly tethering the reader/repressor PRC1 bypassed
this resistance, inactivating the centromere. We observed analogous responses
when tethering the heterochromatin Editor Suv39h1-methyltransferase domain
(centromere resistance) or reader HP1alpha (centromere inactivation),
respectively. Our results reveal that the HAC centromere can resist repressive
pathways driven by H3K9me3/H3K27me3 and may help to explain how centromeres are
able to resist inactivation by flanking heterochromatin.
PMID- 26564796
TI - Mitochondrial E3 ubiquitin ligase MARCH5 controls mitochondrial fission and cell
sensitivity to stress-induced apoptosis through regulation of MiD49 protein.
AB - Ubiquitin- and proteasome-dependent outer mitochondrial membrane (OMM)-associated
degradation (OMMAD) is critical for mitochondrial and cellular homeostasis.
However, the scope and molecular mechanisms of the OMMAD pathways are still not
well understood. We report that the OMM-associated E3 ubiquitin ligase MARCH5
controls dynamin-related protein 1 (Drp1)-dependent mitochondrial fission and
cell sensitivity to stress-induced apoptosis. MARCH5 knockout selectively
inhibited ubiquitination and proteasomal degradation of MiD49, a mitochondrial
receptor of Drp1, and consequently led to mitochondrial fragmentation.
Mitochondrial fragmentation in MARCH5(-/-) cells was not associated with
inhibition of mitochondrial fusion or bioenergetic defects, supporting the
possibility that MARCH5 is a negative regulator of mitochondrial fission. Both
MARCH5 re-expression and MiD49 knockout in MARCH5(-/-) cells reversed
mitochondrial fragmentation and reduced sensitivity to stress-induced apoptosis.
These findings and data showing MARCH5-dependent degradation of MiD49 upon stress
support the possibility that MARCH5 regulation of MiD49 is a novel mechanism
controlling mitochondrial fission and, consequently, the cellular response to
stress.
PMID- 26564797
TI - GAR22beta regulates cell migration, sperm motility, and axoneme structure.
AB - Spatiotemporal cytoskeleton remodeling is pivotal for cell adhesion and
migration. Here we investigated the function of Gas2-related protein on
chromosome 22 (GAR22beta), a poorly characterized protein that interacts with
actin and microtubules. Primary and immortalized GAR22beta(-/-) Sertoli cells
moved faster than wild-type cells. In addition, GAR22beta(-/-) cells showed a
more prominent focal adhesion turnover. GAR22beta overexpression or its
reexpression in GAR22beta(-/-) cells reduced cell motility and focal adhesion
turnover. GAR22beta-actin interaction was stronger than GAR22beta-microtubule
interaction, resulting in GAR22beta localization and dynamics that mirrored those
of the actin cytoskeleton. Mechanistically, GAR22beta interacted with the
regulator of microtubule dynamics end-binding protein 1 (EB1) via a novel
noncanonical amino acid sequence, and this GAR22beta-EB1 interaction was required
for the ability of GAR22beta to modulate cell motility. We found that GAR22beta
is highly expressed in mouse testes, and its absence resulted in reduced
spermatozoa generation, lower actin levels in testes, and impaired motility and
ultrastructural disorganization of spermatozoa. Collectively our findings
identify GAR22beta as a novel regulator of cell adhesion and migration and
provide a foundation for understanding the molecular basis of diverse
cytoskeleton-dependent processes.
PMID- 26564798
TI - Actin- and microtubule-dependent regulation of Golgi morphology by FHDC1.
AB - The Golgi apparatus is the central hub of intracellular trafficking and consists
of tethered stacks of cis, medial, and trans cisternae. In mammalian cells, these
cisternae are stitched together as a perinuclear Golgi ribbon, which is required
for the establishment of cell polarity and normal subcellular organization. We
previously identified FHDC1 (also known as INF1) as a unique microtubule-binding
member of the formin family of cytoskeletal-remodeling proteins. We show here
that endogenous FHDC1 regulates Golgi ribbon formation and has an apparent
preferential association with the Golgi-derived microtubule network. Knockdown of
FHDC1 expression results in defective Golgi assembly and suggests a role for
FHDC1 in maintenance of the Golgi-derived microtubule network. Similarly,
overexpression of FHDC1 induces dispersion of the Golgi ribbon into functional
ministacks. This effect is independent of centrosome-derived microtubules and
instead likely requires the interaction between the FHDC1 microtubule-binding
domain and the Golgi-derived microtubule network. These effects also depend on
the interaction between the FHDC1 FH2 domain and the actin cytoskeleton. Thus our
results suggest that the coordination of actin and microtubule dynamics by FHDC1
is required for normal Golgi ribbon formation.
PMID- 26564799
TI - Chromatin binding of RCC1 during mitosis is important for its nuclear
localization in interphase.
AB - RCC1, a guanine nucleotide exchange factor of the small GTPase Ran, plays various
roles throughout the cell cycle. However, the functions of RCC1 in biological
processes in vivo are still unclear. In particular, although RCC1 has
multifunctional domains, the biological significance of each domain is unclear.
To examine each domain of RCC1, we established an RCC1 conditional knockout
chicken DT40 cell line and introduced various RCC1 mutants into the knockout
cells. We found that nuclear reformation did not occur properly in RCC1-deficient
cells and examined whether specific RCC1 mutants could rescue this phenotype.
Surprisingly, we found that neither the nuclear localization signal nor the
chromatin-binding domain of RCC1 is essential for its function. However,
codisruption of these domains resulted in defective nuclear reformation, which
was rescued by artificial nuclear localization of RCC1. Our data indicate that
chromatin association of RCC1 during mitosis is crucial for its proper nuclear
localization in the next interphase. Moreover, proper nuclear localization of
RCC1 in interphase is essential for its function through its nucleotide exchange
activity.
PMID- 26564801
TI - Combined effects of recent Pacific cooling and Indian Ocean warming on the Asian
monsoon.
AB - Recent research indicates that the cooling trend in the tropical Pacific Ocean
over the past 15 years underlies the contemporaneous hiatus in global mean
temperature increase. During the hiatus, the tropical Pacific Ocean displays a La
Nina-like cooling pattern while sea surface temperature (SST) in the Indian Ocean
has continued to increase. This SST pattern differs from the well-known La Nina
induced basin-wide cooling across the Indian Ocean on the interannual timescale.
Here, based on model experiments, we show that the SST pattern during the hiatus
explains pronounced regional anomalies of rainfall in the Asian monsoon region
and thermodynamic effects due to specific humidity change are secondary.
Specifically, Indo-Pacific SST anomalies cause convection to intensify over the
tropical western Pacific, which in turn suppresses rainfall in mid-latitude East
Asia through atmospheric teleconnection. Overall, the tropical Pacific SST effect
opposes and is greater than the Indian Ocean SST effect.
PMID- 26564800
TI - Locus-specific gene repositioning in prostate cancer.
AB - Genes occupy preferred spatial positions within interphase cell nuclei. However,
positioning patterns are not an innate feature of a locus, and genes can alter
their localization in response to physiological and pathological changes. Here we
screen the radial positioning patterns of 40 genes in normal, hyperplasic, and
malignant human prostate tissues. We find that the overall spatial organization
of the genome in prostate tissue is largely conserved among individuals. We
identify three genes whose nuclear positions are robustly altered in neoplastic
prostate tissues. FLI1 and MMP9 position differently in prostate cancer than in
normal tissue and prostate hyperplasia, whereas MMP2 is repositioned in both
prostate cancer and hyperplasia. Our data point to locus-specific reorganization
of the genome during prostate disease.
PMID- 26564802
TI - Carbon-Encapsulated Co3O4 Nanoparticles as Anode Materials with Super Lithium
Storage Performance.
AB - A high-performance anode material for lithium storage was successfully
synthesized by glucose as carbon source and cobalt nitrate as Co3O4 precursor
with the assistance of sodium chloride surface as a template to reduce the carbon
sheet thickness. Ultrafine Co3O4 nanoparticles were homogeneously embedded in
ultrathin porous graphitic carbon in this material. The carbon sheets, which have
large specific surface area, high electronic conductivity, and outstanding
mechanical flexibility, are very effective to keep the stability of Co3O4
nanoparticles which has a large capacity. As a consequence, a very high
reversible capacity of up to 1413 mA h g(-1) at a current density of 0.1 A g(-1)
after 100 cycles, a high rate capability (845, 560, 461 and 345 mA h g(-1) at 5,
10, 15 and 20 C, respectively, 1 C = 1 A g(-1)), and a superior cycling
performance at an ultrahigh rate (760 mA h g(-1) at 5 C after 1000 cycles) are
achieved by this lithium-ion-battery anode material.
PMID- 26564803
TI - Toll-like receptor 5 is not essential for the promotion of secretory
immunoglobulin A antibody responses to flagellated bacteria.
AB - Toll-like receptor 5 recognizes bacterial flagellin, plays a critical role in
innate immunity, and contributes to flagellin-specific humoral immunity. Further,
TLR5-expressing dendritic cells play an important role in IgA synthesis in the
intestine; however, the contribution of TLR5 to antigen (Ag)-specific mucosal
immunity remains unclear. Thus, whether TLR5 is essential for the induction of
intestinal secretory (S)IgA antibody (Ab) responses against flagellin and
bacterial Ags attached to the bacterial surface in response to an oral
flagellated bacterium, Salmonella, was explored in this study. Our results
indicate that when TLR5 knockout (TLR5(-/-)) mice are orally immunized with
recombinant Salmonella expressing fragment C of tetanus toxin (rSalmonella-Tox
C), tetanus toxoid (TT)- and flagellin (FliC)-specific systemic IgG and
intestinal SIgA Abs are elicited. The numbers of TT-specific IgG Ab-forming cells
(AFCs) in the spleen and IgA AFCs in the lamina propria (LP) of TLR5(-/-) mice
were comparable to those in wild-type mice. rSalmonella-Tox C was equally
disseminated in TLR5(-/-) mice, TLR5(-/-) mice lacking Peyer's patches (PPs), and
wild-type mice. In contrast, TLR5(-/-) PP-null mice failed to induce TT- and FliC
specific SIgA Abs in the intestine and showed significantly reduced numbers of TT
specific IgA AFCs in the LP. These results suggest that TLR5 is dispensable for
the induction of flagellin and surface Ag-specific systemic and mucosal immunity
against oral flagellated bacteria. Rather, pathogen recognition, which occurs in
PPs, is a prerequisite for the induction of mucosal immunity against flagellated
bacteria.
PMID- 26564804
TI - Mannan-binding lectin at supraphysiological concentrations inhibits
differentiation of dendritic cells from human CD14+ monocytes.
AB - Mannan-binding lectin (MBL), a circulating C-type lectin, is an important member
of the defense collagen family. It exhibits a high potential for recognizing
broad categories of pathogen-associated molecular patterns and initiating
complement cascade responses. DCs are well-known specialist antigen-presenting
cells that significantly trigger specific T cell-mediated immune responses. In
our previous study, it was observed that high concentrations of MBL significantly
attenuate LPS-induced maturation of monocyte-derived DCs (MoDCs). In the current
study, it was postulated that MBL at similar supraphysiological concentrations
would affect early differentiation of MoDCs in some way. CD14(+) monocytes from
human peripheral blood mononuclear cells were cultured with granulocyte
macrophage colony-stimulating factor and IL-4 in the presence or absence of
physiological (1 ug/mL) and supraphysiological concentrations (20 ug/mL) of MBL
protein, respectively. Phenotypic analysis indicated that the differentiated DCs
incubated with high concentrations of MBL expressed MHC class II and
costimulatory molecules (e.g., CD80 and CD40) more weakly than did control
groups. The secretion of IL-10 and IL-6 increased markedly, whereas their mixed
lymphocyte reaction-stimulating capacity decreased. Members of the signal
transducer and activator of transcription family were also found to be
differentially regulated. Thus, beyond the role of MBL as an opsonin, our data
reveal a possible inhibitory effect of MBL at high concentrations in monocyte-DC
transition, which probably provides one way of regulating adaptive immune
responses by strict regulation of DCs, making MBL a better prospect for
controlling relevant pathological events such as autoimmune diseases.
PMID- 26564805
TI - Neoadjuvant chemotherapy in locally advanced nasopharyngeal carcinoma: Defining
high-risk patients who may benefit before concurrent chemotherapy combined with
intensity-modulated radiotherapy.
AB - The purpose of this study was to create a prognostic model for distant metastasis
in patients with locally advanced NPC who accept concurrent chemotherapy combined
with intensity-modulated radiotherapy (CCRT) to identify high-risk patients who
may benefit from neoadjuvant chemotherapy (NACT). A total of 881 patients with
newly-diagnosed, non-disseminated, biopsy-proven locoregionally advanced NPC were
retrospectively reviewed; 411 (46.7%) accepted CCRT and 470 (53.3%) accepted NACT
followed by CCRT. Multivariate analysis demonstrated N2-3 disease, plasma Epstein
Barr virus (EBV) DNA > 4000 copies/mL, serum albumin <= 46 g/L and platelet count
>300 k/cc were independent prognostic factors for distant metastasis in the CCRT
group. Using these four factors, a prognostic model was developed, as follows: 1)
low-risk group: 0-1 risk factors; and 2) high-risk group: 2-4 risk factors. In
the high-risk group, patients who accepted NACT + CCRT had significantly higher
distant metastasis-free survival and progression-free survival rates than the
CCRT group (P = 0.001; P = 0.011). This simple prognostic model for distant
metastasis in locoregionally advanced NPC may facilitate with the selection of
high-risk patients who may benefit from NACT prior to CCRT.
PMID- 26564806
TI - Hemodynamic response to ketamine in children with pulmonary hypertension.
AB - BACKGROUND: The safety of ketamine in children with pulmonary hypertension has
been debated because of conflicting results of prior studies in which changes in
mean pulmonary artery pressure (mPAP) and pulmonary vascular resistance (PVR)
have been widely variable. The goal of this prospective study was to quantitate
the effects of ketamine on pulmonary hemodynamics in a cohort of children with
pulmonary hypertension under conditions in which variables such as
airway/ventilatory management, FiO(2), and use of vasodilating anesthetics were
controlled. METHODS: The IRB approved this study of 34 children undergoing
cardiac catheterization for pulmonary hypertension studies. Following anesthetic
induction with sevoflurane and tracheal intubation facilitated by the
administration of rocuronium 0.7-1 mg.kg(-1) iv, sevoflurane was discontinued and
anesthesia was maintained with midazolam 0.1 mg.kg(-1) iv (or 0.5 mg.kg(-1) po
preoperatively) and remifentanil iv infusion 0.5-0.7 mcg.kg(-1) .min(-1).
Ventilation was mechanically controlled to maintain PaCO(2) 35-40 mmHg. When
endtidal sevoflurane was 0% and FiO(2) was 0.21, baseline heart rate (HR), mean
arterial pressure (MAP), mPAP, right atrial pressure (RAP), pulmonary artery
occlusion pressure (PAOP), right ventricular end-diastolic pressure (RVEDP),
cardiac output, and arterial blood gases were measured, and indexed systemic
vascular resistance (SVRI), indexed pulmonary vascular resistance (PVRI), and
cardiac index (CI) were calculated. Each child then received a bolus of ketamine
2 mg.kg(-1) infused over 2 min. Measurements and calculations were repeated 2 min
after the conclusion of the infusion. RESULTS: The mean (95% CI) increase in mPAP
following ketamine was 2 mmHg (0.2, 3.7), which was statistically significant but
clinically insignificant. PVRI and PVRI/SVRI did not change significantly.
Hemodynamic changes did not differ among subjects with differing severity of
pulmonary hypertension or between subjects chronically treated with pulmonary
vasodilators or not. CONCLUSION: Ketamine is associated with minimal, clinically
insignificant hemodynamic changes in sedated, mechanically ventilated children
with pulmonary hypertension.
PMID- 26564807
TI - Effectiveness of medical taping concept in primary dysmenorrhoea: a two-armed
randomized trial.
AB - In 2014, we assessed the effectiveness of Medical Taping Concept (MTC) in Primary
Dysmenorrhoea (PD) with a single-blind, two-armed clinical trial (NCT02114723,
ClinicalTrials.gov) with a follow-up of 4 menstrual cycles (pre-intervention: 2
months; post-intervention: 2 months) in a sample formed by 129 Spanish women aged
18-30 years with PD. We had two groups: intervention group (75), MTC covering T
11 and T-12 dermatomes; control group (54), another taping in both greater
trochanter areas. Our main outcome measures were: pre-intervention and post
intervention increase in pain difference measured 2 hours after commencement (2-h
pain - 0-h pain); difference between the number of tablets ingested post
intervention and pre-intervention; and associated symptoms in post-intervention
(fatigue, vomiting, diarrhoea, nausea and others). Pain was assessed in: abdomen,
legs, head and lower back. We found significant differences (p < 0.05) for number
of tablets, abdominal and leg pain. In conclusion, the intervention group had
less abdominal and leg pain when pharmacological therapy was not started.
Furthermore, the intervention resulted in a lower intake of tablets.
Nevertheless, more studies are needed to corroborate our results and to analyze
the MTC effectiveness if women do not take any tablets during the entire
menstrual period.
PMID- 26564808
TI - Bartonella henselae infection caused by cat flea bite.
PMID- 26564809
TI - Topology of a G-quadruplex DNA formed by C9orf72 hexanucleotide repeats
associated with ALS and FTD.
AB - Abnormal expansions of an intronic hexanucleotide GGGGCC (G4C2) repeat of the
C9orf72 gene are the most common genetic cause of amyotrophic lateral sclerosis
(ALS) and frontotemporal dementia (FTD). Previous studies suggested that the
C9orf72 hexanucleotide repeat expansion (HRE), either as DNA or the transcribed
RNA, can fold into G-quadruplexes with distinct structures. These structural
polymorphisms lead to abortive transcripts and contribute to the pathogenesis of
ALS and FTD. Using circular dichroism (CD) and nuclear magnetic resonance (NMR)
spectroscopy, we analyzed the structures of C9orf72 HRE DNA with various G4C2
repeats. They exhibited diverse G-quadruplex folds in potassium ions.
Furthermore, we determined the topology of a G-quadruplex formed by d(G4C2)4. It
favors a monomeric fold and forms a chair-type G-quadruplex with a four-layer
antiparallel G-tetra core and three edgewise loops, which is distinct from known
structures of chair-type G-quadruplexes. Our findings highlight the
conformational heterogeneity of C9orf72 HRE DNA, and may lay the necessary
structural basis for designing small molecules for the modulation of ALS/FTD
pathogenesis.
PMID- 26564810
TI - Idiopathic non-specific interstitial pneumonia.
AB - Non-specific interstitial pneumonia (NSIP) is an interstitial lung disease that
may be idiopathic or secondary to connective tissue disease, toxins or numerous
other causes. Idiopathic NSIP is a rare diagnosis and requires exclusion of these
other possible causes. Patients typically present in mid-adulthood with dyspnoea,
cough and often constitutional symptoms including fever and fatigue. The disease
has a female predominance, and more than 50% of patients have never smoked.
Physical exam features mild hypoxaemia and inspiratory rales. Pulmonary function
tests demonstrate restriction and a low diffusing capacity for carbon monoxide.
High-resolution computed tomography abnormalities include predominantly lower
lobe subpleural reticular changes, traction bronchiectasis and ground-glass
opacities; honeycombing is rarely seen. An evaluation of the underlying pathology
is necessary for a firm diagnosis. Histologically, alveolar and interstitial
mononuclear cell inflammation and fibrosis are seen in a temporally uniform
pattern with preserved underlying alveolar architecture. NSIP must be
differentiated from other parenchymal lung diseases including idiopathic
pulmonary fibrosis and hypersensitivity pneumonitis. A thorough exposure history
and assessment for underlying connective tissue diseases are highly important, as
positive findings in these categories would likely denote a case of secondary
NSIP. A multi-disciplinary discussion that includes pulmonologist(s),
radiologist(s) and pathologist(s) assists in reaching a consensus diagnosis and
improves diagnostic accuracy. Treatment of idiopathic NSIP, although not well
proven, is generally instituted in the form of immunosuppression. Prognosis is
favourable compared with idiopathic pulmonary fibrosis, although the diagnosis
still carries an attributable mortality. Herein we will summarize the clinical
characteristics and management of idiopathic NSIP.
PMID- 26564812
TI - Layered polymeric nitrogen in RbN3 at high pressures.
AB - The structural evolutionary behaviors of nitrogen in RbN3 have been studied up to
300 GPa using a particle swarm optimization structure searching method combined
with density functional calculations. Three stable new phases with P-1, P6/mmm
and C2/m structure at pressure of 30, 50 and 200 GPa are identified for the first
time. The analysis of the crystal structures of three new predicated phases
reveals that the transition of N3- ions goes from linear molecules to polymeric
chains, benzene-like rings and then to polymeric layers induced by pressure. The
electronic structures of three predicted phases reveal that the structural
changes are accompanied and driven by the change of orbital hybridization of N
atoms from sp to sp(2) and finally to partial sp(3). Most interestingly, the Rb
atoms show obvious transition metal-like properties through the occupation of 4d
orbitals in high-pressure phases. Moreover, the Rb atoms are characterized by
strong hybridization between 4d orbitals of Rb and 2p orbitals of N in C2/m
structure. Our studies complete the structural evolution of RbN3 under pressure
and reveal for the first time that the Rb atoms in rubidium nitride possess
transition element-like properties under pressure.
PMID- 26564811
TI - HLA class I downregulation is associated with enhanced NK-cell killing of
melanoma cells with acquired drug resistance to BRAF inhibitors.
AB - The frequent development of drug resistance to targeted therapies in cancer
patients has stimulated interest in strategies counteracting resistance.
Combining immunotherapies with targeted therapies is one such strategy. In this
context, we asked whether human NK cells can target melanoma cells that have
acquired resistance to selective inhibitors targeting activating mutants of the B
Raf kinase (BRAF inhibitors, BRAFi). We generated drug-resistant cell variants in
vitro from human BRAF-mutant melanoma cell lines MEL-HO, COLO-38, SK-MEL-37, 1520
and from primary melanoma cells freshly isolated from two patients. All drug
resistant cell variants remained susceptible to lysis by IL-2-activated NK cells;
and two BRAFi-resistant lines (BRAFi-R) became significantly more susceptible to
NK-cell lysis than their parental lines. This was associated with significant HLA
class I antigen downregulation and PD-L1 upregulation on the drug-resistant
lines. Although blocking HLA class I enhanced the extent of lysis of both BRAFi-R
and parental cells to NK-cell-mediated lysis, antibody-mediated inhibition of PD1
PD-L1 interactions had no detectable effect. HLA class I antigen expression on
BRAFi-R melanoma variants thus appears to play a major role in their
susceptibility to NK-cell cytotoxicity. These findings suggest that NK-cell-based
immunotherapy may be a viable approach to treat melanoma patients with acquired
resistance to BRAF inhibitors.
PMID- 26564813
TI - Proof-of-concept: neonatal intravenous injection of adeno-associated virus
vectors results in successful transduction of myenteric and submucosal neurons in
the mouse small and large intestine.
AB - BACKGROUND: Despite the success of viral vector technology in the transduction of
the central nervous system in both preclinical research and gene therapy, its
potential in neurogastroenterological research remains largely unexploited. This
study asked whether and to what extent myenteric and submucosal neurons in the
ileum and distal colon of the mouse were transduced after neonatal systemic
delivery of recombinant adeno-associated viral vectors (AAVs). METHODS: Mice were
intravenously injected at postnatal day one with AAV pseudotypes AAV8 or AAV9
carrying a cassette encoding enhanced green fluorescent protein (eGFP) as a
reporter under the control of a cytomegalovirus promoter. At postnatal day 35,
transduction of the myenteric and submucosal plexuses of the ileum and distal
colon was evaluated in whole-mount preparations, using immunohistochemistry to
neurochemically identify transduced enteric neurons. KEY RESULTS: The pseudotypes
AAV8 and AAV9 showed equal potential in transducing the enteric nervous system
(ENS), with 25-30% of the neurons expressing eGFP. However, the percentage of
eGFP-expressing colonic submucosal neurons was significantly lower. Neurochemical
analysis showed that all enteric neuron subtypes, but not glia, expressed the
reporter protein. Intrinsic sensory neurons were most efficiently transduced as
nearly 80% of calcitonin gene-related peptide-positive neurons expressed the
transgene. CONCLUSIONS & INFERENCES: The pseudotypes AAV8 and AAV9 can be
employed for gene delivery to both the myenteric and the submucosal plexus,
although the transduction efficiency in the latter is region-dependent. These
findings open perspectives for novel preclinical applications aimed at
manipulating and imaging the ENS in the short term, and in gene therapy in the
longer term.
PMID- 26564814
TI - CD252 regulates mast cell mediated, CD1d-restricted NKT-cell activation in mice.
AB - The interaction between tissue-resident mast cells (MCs) and recruited immune
cells contributes to tissue immunosurveillance. However, the cells, mechanisms,
and receptors involved in this crosstalk remain ill defined. Invariant natural
killer T (iNKT) cells are CD1d-restricted innate lymphocytes that recognize
glycolipid antigens and have emerged as critical players in immunity. Here, we
show that primary mouse peritoneal MCs express surface CD1d, which is upregulated
in vivo following administration of alpha-galactosylceramide. In contrast, in BM
derived MCs CD1d was found to be stored intracellularly and to relocate at the
cell surface upon IgE-mediated degranulation. Activated BM-derived MCs expressing
surface CD1d and loaded with alpha-galactosylceramide were found to induce iNKT
cell proliferation and the release of IFN-gamma, IL-13, and IL-4 in a CD1d
restricted manner. Moreover, the costimulatory molecules CD48, CD137L, CD252,
CD274, and CD275 affected MC-induced IFN-gamma release and iNKT-cell
proliferation. Interestingly, among the costimulatory molecules, CD48 and CD252
exhibited a distinctly regulatory activity on iNKT-cell release of both IFN-gamma
and IL-13. In conclusion, we demonstrate that the crosstalk between MCs and iNKT
cells may regulate inflammatory immune responses.
PMID- 26564815
TI - Towards an antimicrobial 'microglove'.
AB - A large proportion of hospital-related infections are acquired and spread due to
the direct contacts between patients and healthcare workers. Accordingly, proper
infection prevention measures, and especially hand hygiene, are key to limit the
spread of infections in nosocomial settings. However, healthcare workers
frequently experience difficulties in complying strictly to hand disinfection
protocols. This study was therefore aimed at the development of a hand rub with
antimicrobial activity that forms a protective film on the hand, a so-called
microglove, in order to enhance hand hygiene. For this purpose, various co
polymer formulations consisting of different ratios of Polyvinylpyrrolidone (PVP)
and a branched C20 derivatized maleate (M20) in combination with the known
biocide benzalkonium chloride (BKC) were tested for their combined film-forming
and antimicrobial activities. The results of a series of novel contamination and
transmission assays show that a formulation of 80% PVP and 20% M20 co-polymer
with 0.9% BKC fulfils the elementary requirements for an antimicrobial
microglove.
PMID- 26564816
TI - Trends in Nurse Practitioner and Physician Assistant Practice in Nursing Homes,
2000-2010.
AB - OBJECTIVE: To examine nurse practitioner (NP) and physician assistant (PA)
practice in nursing homes (NHs) during 2000-2010. DATA SOURCES: Data were derived
from the Online Survey Certification and Reporting system and Medicare Part B
claims (20 percent sample). METHODS: NP/PA state average employment, visit per
bed year (VPBY), and providers per NH were examined. State fixed-effect models
examined the association between state regulations and NP/PA use. PRINCIPAL
FINDINGS: NHs using any NPs/PAs increased from 20.4 to 35.0 percent during 2000
2010. Average NP/PA VPBY increased from 1.0/0.3 to 3.0/0.6 during 2000-2010.
Average number of NPs/PAs per NH increased from 0.2/0.09 to 0.5/0.14 during 2000
2010. The impact of state scope-of-practice regulations was mixed. CONCLUSIONS:
NP and PA scope-of-practice regulations impact their practice in NHs, not always
as intended.
PMID- 26564817
TI - Obesity rates in two generations of Swedish women entering pregnancy, and
associated obesity risk among adult daughters.
AB - We examined changes in obesity rates in two generations of Swedish women entering
pregnancy, and assessed the effects of maternal body mass index (BMI) on the risk
of overweight or obesity among adult daughters. This study covered an
intergenerational retrospective cohort of 26,561 Swedish mothers and their 26,561
first-born daughters. There was a 4-fold increase in obesity rates, which rose
from 3.1% among women entering pregnancy in 1982-1988 to 12.3% among their
daughters in 2000-2008 (p < 0.0001) when entering pregnancy. The greater the
maternal BMI, the greater the odds of overweight and/or obesity among daughters.
Underweight mothers had half the odds of having an overweight or obese daughter
in comparison to mothers of normal BMI (p < 0.0001). In contrast, the odds ratio
of obese mothers having obese daughters was 3.94 (p < 0.0001). This study showed
a strong association between maternal obesity and the risk of obesity among their
first-born daughters. In addition, we observed a considerable increase in obesity
rates across generations in mother-daughter pairs of Swedish women entering
pregnancy. Thus, it is important to have preventative strategies in place to halt
the worsening intergenerational cycle of obesity.
PMID- 26564818
TI - Atherosclerosis Susceptibility in Mice Is Independent of the V1 Immunoglobulin
Heavy Chain Gene.
AB - OBJECTIVE: The V1 (VHS107.1.42) immunoglobulin heavy chain gene is thought to be
critical in producing IgM natural antibodies of the T15-idiotype that protect
against both atherosclerosis and infection from Streptococcus pneumoniae. Our aim
was to determine whether genetic loss of the V1 gene increased atherosclerotic
plaque burden in vivo because of a reduction in the T15-idiotype or other
atheroprotective antibodies. APPROACH AND RESULTS: We crossed VHS107.1.42
deficient mice with the atherosclerosis-prone Apoe(-/-) and Ldlr(-/-) strains.
Although these double knockout strains manifested no defects in B-cell
development, we did observe a substantial reduction in early immune responses
against phosphocholine after immunization. However, the titers of plasma
antibodies reacting against defined atherosclerotic antigens such as oxidized low
density lipoprotein, as well as the T15-idiotype, were unaffected by loss of the
VHS107.1.42 gene in hypercholesterolemic mice. Furthermore, we observed no
increase in atherosclerotic lesion formation, either within the aortic arch or
aortic root. Robust deposition of IgM within atherosclerotic plaques could also
be readily observed in both control and experimental mice. CONCLUSIONS: Our data
indicate that IgM-dependent protection against atherosclerosis is unlikely to be
dependent on antibodies that use the VHS107.1.42 gene, in contrast to the acute
immune response conferred by this heavy chain in the response to phosphocholine
and in providing resistance against lethal S pneumoniae infection.
PMID- 26564819
TI - MFAP4 Promotes Vascular Smooth Muscle Migration, Proliferation and Accelerates
Neointima Formation.
AB - OBJECTIVE: Arterial injury stimulates remodeling responses that, when excessive,
lead to stenosis. These responses are influenced by integrin signaling in
vascular smooth muscle cells (VSMCs). Microfibrillar-associated protein 4 (MFAP4)
is an integrin ligand localized to extracellular matrix fibers in the vascular
wall. The role of MFAP4 in vascular biology is unknown. We aimed to test the
hypothesis that MFAP4 would enhance integrin-dependent VSMC activation. APPROACH
AND RESULTS: We produced Mfap4-deficient (Mfap4(-/-)) mice and performed carotid
artery ligation to explore the role of MFAP4 in vascular biology in vivo.
Furthermore, we investigated the effects of MFAP4 in neointimal formation ex vivo
and in primary VSMC and monocyte cultures in vitro. When challenged with carotid
artery ligation, Mfap4(-/-) mice exhibited delayed neointimal formation,
accompanied by early reduction in the number of proliferating medial and
neointimal cells, as well as infiltrating leukocytes. Delayed neointimal
formation was associated with decreased cross-sectional area of ligated Mfap4(-/
) carotid arteries resulting in lumen narrowing 28 days after ligation. MFAP4
blockade prohibited the formation of neointimal hyperplasia ex vivo. Moreover, we
demonstrated that MFAP4 is a ligand for integrin alphaVbeta3 and mediates VSMC
phosphorylation of focal adhesion kinase, migration, and proliferation in vitro.
MFAP4-dependent VSMC activation was reversible by treatment with MFAP4-blocking
antibodies and inhibitors of focal adhesion kinase and downstream kinases. In
addition, we showed that MFAP4 promotes monocyte chemotaxis in integrin
alphaVbeta3-dependent manner. CONCLUSIONS: MFAP4 regulates integrin alphaVbeta3
induced VSMC proliferation and migration, as well as monocyte chemotaxis, and
accelerates neointimal hyperplasia after vascular injury.
PMID- 26564820
TI - Investigating a Liver Fat: Arterial Stiffening Pathway in Adult and Childhood
Obesity.
AB - OBJECTIVE: To investigate the relationship between hepatic fat content,
circulating triglyceride levels and aortic stiffness in adult and childhood
obesity. APPROACH AND RESULTS: Seventy-seven adults and 18 children across a wide
range of body mass index (18.5-52.6 kg/m(2); percentile 8-100) with no
identifiable cardiac risk factors underwent; 1H- magnetic resonance spectroscopy
to quantify hepatic fat content and magnetic resonance imaging to assess aortic
pulse wave velocity (PWV) and regional distensibility. In adults, multivariable
regression showed age (beta=0.09; P=0.02), liver fat (beta=2.5; P=0.04), and
serum triglyceride (beta=0.47; P=0.01) to be independent predictors of PWV. Age
and blood pressure-adjusted, moderated regression showed that 43% of the total
negative effect of hepatic fat on PWV is attributable to indirect effects via
increased triglyceride (P=0.005). In addition, regional distensibility was
positively correlated with hepatic fat (ascending; r=-0.35; descending, r=-0.23;
abdominal, r=-0.41; all P<0.001). Similar to that seen in adults, PWV (r=0.72;
P<0.001) and abdominal regional distensibility (r=-0.52; P<0.001) were correlated
with liver fat in children. CONCLUSIONS: Increasing age, liver fat, and
triglyceride are all related to increased aortic stiffness in adults. Even when
controlling for the effects of age and blood pressure, hepatic fat has a negative
effect on PWV, with substantial indirect effect occurring via increased
circulating triglyceride level. This relationship between hepatic fat and aortic
stiffness occurs early in the obesity process and is also seen in children. As
such, hepatic fat content is a potential therapeutic target to treat the elevated
vascular risk in obesity.
PMID- 26564824
TI - VOLCANOLOGY. Fire down below.
PMID- 26564822
TI - Climate warning, 50 years later.
PMID- 26564825
TI - NUCLEAR POWER. Thorium seen as nuclear's new frontier.
PMID- 26564821
TI - Deletion of Periostin Protects Against Atherosclerosis in Mice by Altering
Inflammation and Extracellular Matrix Remodeling.
AB - OBJECTIVE: Periostin is a secreted protein that can alter extracellular matrix
remodeling in response to tissue injury. However, the functional role of
periostin in the development of atherosclerotic plaques has yet to be described
despite its observed induction in diseased vessels and presence in the serum.
APPROACH AND RESULTS: Hyperlipidemic, apolipoprotein E-null mice (ApoE(-/) (-))
were crossed with periostin (Postn(-/-)) gene-deleted mice and placed on a high
fat diet for 6 or 14 weeks to induce atherosclerosis. En face analysis of aortas
showed significantly decreased lesion areas of ApoE(-/-) Postn(-/-) mice compared
with ApoE(-/-) mice, as well as a reduced inflammatory response with less
macrophage content. Moreover, diseased aortas from ApoE(-/-) Postn(-/-) mice
displayed a disorganized extracellular matrix with less collagen cross linking
and smaller fibrotic caps, as well as increased matrix metalloproteinase-2,
metalloproteinase-13, and procollagen-lysine, 2-oxoglutarate 5-dioxygenase-1 mRNA
expression. Furthermore, the loss of periostin was associated with a switch in
vascular smooth muscle cells toward a more proliferative and synthetic phenotype.
Mechanistically, the loss of periostin reduced macrophage recruitment by
transforming growth factor-beta in cellular migration assays. CONCLUSIONS: These
are the first genetic data detailing the function of periostin as a regulator of
atherosclerotic lesion formation and progression. The data suggest that periostin
could be a therapeutic target for atherosclerotic plaque formation through
modulation of the immune response and extracellular matrix remodeling.
PMID- 26564826
TI - POLAR SCIENCE. How warming oceans unleashed an ice stream.
PMID- 26564827
TI - EVOLUTION. Fossils, cells point to early appearance of the brain.
PMID- 26564828
TI - MEDICINE. Cancer research centers pool tumor genome data.
PMID- 26564829
TI - CANCER IMMUNOTHERAPY. Baby's leukemia recedes after novel cell therapy.
PMID- 26564830
TI - Not guilty as charged.
PMID- 26564831
TI - EVOLUTION. One era you are in-the next you are out.
PMID- 26564832
TI - ARTIFICIAL PHOTOSYNTHESIS. More efficient together.
PMID- 26564833
TI - HISTORY OF SCIENCE. Flow cytometry strikes gold.
PMID- 26564834
TI - PHYSICS. To catch and smash charge on the hop.
PMID- 26564835
TI - IMMUNOLOGY. Breaching the gut-vascular barrier.
PMID- 26564836
TI - GLOBAL CHANGE SCIENCE. Stakeholders in climate science: Beyond lip service?
PMID- 26564837
TI - Putting Russia on the genome map.
PMID- 26564838
TI - Tempering threats to temperate forests.
PMID- 26564839
TI - How to measure sustainable progress.
PMID- 26564840
TI - Oceans and Climate. Oceans of change. Introduction.
PMID- 26564841
TI - Ghosts of oceans past.
PMID- 26564842
TI - Breaking the waves.
PMID- 26564843
TI - Moveable feast.
PMID- 26564844
TI - The silent services of the world ocean.
AB - The most recent comprehensive assessment carried out by the Intergovernmental
Panel on Climate Change has concluded that "Human influence on the climate system
is clear," a headline statement that was approved by all governments in
consensus. This influence will have long-lasting consequences for ecosystems, and
the resulting impacts will continue to be felt millennia from now. Although the
terrestrial impacts of climate change are readily apparent now and have received
widespread public attention, the effects of climate change on the oceans have
been relatively invisible. However, the world ocean provides a number of crucial
services that are of global significance, all of which come with an increasing
price caused by human activities. This needs to be taken into account when
considering adaptation to and mitigation of anthropogenic climate change.
PMID- 26564845
TI - The deep ocean under climate change.
AB - The deep ocean absorbs vast amounts of heat and carbon dioxide, providing a
critical buffer to climate change but exposing vulnerable ecosystems to combined
stresses of warming, ocean acidification, deoxygenation, and altered food inputs.
Resulting changes may threaten biodiversity and compromise key ocean services
that maintain a healthy planet and human livelihoods. There exist large gaps in
understanding of the physical and ecological feedbacks that will occur. Explicit
recognition of deep-ocean climate mitigation and inclusion in adaptation planning
by the United Nations Framework Convention on Climate Change (UNFCCC) could help
to expand deep-ocean research and observation and to protect the integrity and
functions of deep-ocean ecosystems.
PMID- 26564846
TI - Warm-water coral reefs and climate change.
AB - Coral reefs are highly dynamic ecosystems that are regularly exposed to natural
perturbations. Human activities have increased the range, intensity, and
frequency of disturbance to reefs. Threats such as overfishing and pollution are
being compounded by climate change, notably warming and ocean acidification.
Elevated temperatures are driving increasingly frequent bleaching events that can
lead to the loss of both coral cover and reef structural complexity. There
remains considerable variability in the distribution of threats and in the
ability of reefs to survive or recover from such disturbances. Without
significant emissions reductions, however, the future of coral reefs is
increasingly bleak.
PMID- 26564847
TI - Climate change and marine vertebrates.
AB - Climate change impacts on vertebrates have consequences for marine ecosystem
structures and services. We review marine fish, mammal, turtle, and seabird
responses to climate change and discuss their potential for adaptation. Direct
and indirect responses are demonstrated from every ocean. Because of variation in
research foci, observed responses differ among taxonomic groups (redistributions
for fish, phenology for seabirds). Mechanisms of change are (i) direct
physiological responses and (ii) climate-mediated predator-prey interactions.
Regional-scale variation in climate-demographic functions makes range-wide
population dynamics challenging to predict. The nexus of metabolism relative to
ecosystem productivity and food webs appears key to predicting future effects on
marine vertebrates. Integration of climate, oceanographic, ecosystem, and
population models that incorporate evolutionary processes is needed to prioritize
the climate-related conservation needs for these species.
PMID- 26564848
TI - Climate change in the oceans: Human impacts and responses.
AB - Although it has far-reaching consequences for humanity, attention to climate
change impacts on the ocean lags behind concern for impacts on the atmosphere and
land. Understanding these impacts, as well as society's diverse perspectives and
multiscale responses to the changing oceans, requires a correspondingly diverse
body of scholarship in the physical, biological, and social sciences and
humanities. This can ensure that a plurality of values and viewpoints is
reflected in the research that informs climate policy and may enable the concerns
of maritime societies and economic sectors to be heard in key adaptation and
mitigation discussions.
PMID- 26564849
TI - Imaging resonances in low-energy NO-He inelastic collisions.
AB - In molecular collisions, resonances occur at specific energies at which the
colliding particles temporarily form quasibound complexes, resulting in rapid
variations in the energy dependence of scattering cross sections. Experimentally,
it has proven challenging to observe such scattering resonances, especially in
differential cross sections. We report the observation of resonance fingerprints
in the state-to-state differential cross sections for inelastic NO-He collisions
in the 13 to 19 centimeter(-1) energy range with 0.3 centimeter(-1) resolution.
The observed structures were in excellent agreement with quantum scattering
calculations. They were analyzed by separating the resonance contributions to the
differential cross sections from the background through a partitioning of the
multichannel scattering matrix. This revealed the partial-wave composition of the
resonances and their evolution during the collision.
PMID- 26564850
TI - Evidence for primordial water in Earth's deep mantle.
AB - The hydrogen-isotope [deuterium/hydrogen (D/H)] ratio of Earth can be used to
constrain the origin of its water. However, the most accessible reservoir,
Earth's oceans, may no longer represent the original (primordial) D/H ratio,
owing to changes caused by water cycling between the surface and the interior.
Thus, a reservoir completely isolated from surface processes is required to
define Earth's original D/H signature. Here we present data for Baffin Island and
Icelandic lavas, which suggest that the deep mantle has a low D/H ratio (deltaD
more negative than -218 per mil). Such strongly negative values indicate the
existence of a component within Earth's interior that inherited its D/H ratio
directly from the protosolar nebula.
PMID- 26564851
TI - Torque-mixing magnetic resonance spectroscopy.
AB - A universal, torque-mixing method for magnetic resonance spectroscopy is
presented. In analogy to resonance detection by magnetic induction, the
transverse component of a precessing dipole moment can be measured in sensitive
broadband spectroscopy, here using a resonant mechanical torque sensor. Unlike
induction, the torque amplitude allows equilibrium magnetic properties to be
monitored simultaneously with the spin dynamics. Comprehensive electron spin
resonance spectra of a single-crystal, mesoscopic yttrium iron garnet disk at
room temperature reveal assisted switching between magnetization states and mode
dependent spin resonance interactions with nanoscale surface imperfections. The
rich detail allows analysis of even complex three-dimensional spin textures. The
flexibility of microelectromechanical and optomechanical devices combined with
broad generality and capabilities of torque-mixing magnetic resonance
spectroscopy offers great opportunities for development of integrated devices.
PMID- 26564853
TI - Evolution and dispersal of mammoths across the Northern Hemisphere.
AB - Mammoths provide a detailed example of species origins and dispersal, but
understanding has been impeded by taxonomic confusion, especially in North
America. The Columbian mammoth Mammuthus columbi was thought to have evolved in
North America from a more primitive Eurasian immigrant. The earliest American
mammoths (1.5 million years ago), however, resemble the advanced Eurasian M.
trogontherii that crossed the Bering land bridge around that time, giving rise
directly to M. columbi. Woolly mammoth M. primigenius later evolved in Beringia
and spread into Europe and North America, leading to a diversity of morphologies
as it encountered endemic M. trogontherii and M. columbi, respectively. In North
America, this included intermediates ("M. jeffersonii"), suggesting introgression
of M. primigenius with M. columbi. The lineage illustrates the dynamic interplay
of local adaptation, dispersal, and gene flow in the evolution of a widely
distributed species complex.
PMID- 26564852
TI - An extremely bright gamma-ray pulsar in the Large Magellanic Cloud.
AB - Pulsars are rapidly spinning, highly magnetized neutron stars, created in the
gravitational collapse of massive stars. We report the detection of pulsed giga
electron volt gamma rays from the young pulsar PSR J0540-6919 in the Large
Magellanic Cloud, a satellite galaxy of the Milky Way. This is the first gamma
ray pulsar detected in another galaxy. It has the most luminous pulsed gamma-ray
emission yet observed, exceeding the Crab pulsar's by a factor of 20. PSR J0540
6919 presents an extreme test case for understanding the structure and evolution
of neutron star magnetospheres.
PMID- 26564854
TI - Body-size reduction in vertebrates following the end-Devonian mass extinction.
AB - Following the end-Devonian mass extinction (359 million years ago), vertebrates
experienced persistent reductions in body size for at least 36 million years.
Global shrinkage was not related to oxygen or temperature, which suggests that
ecological drivers played a key role in determining the length and direction of
size trends. Small, fast-breeding ray-finned fishes, sharks, and tetrapods, most
under 1 meter in length from snout to tail, radiated to dominate postextinction
ecosystems and vertebrae biodiversity. The few large-bodied, slow-breeding
survivors failed to diversify, facing extinction despite earlier evolutionary
success. Thus, the recovery interval resembled modern ecological successions in
terms of active selection on size and related life histories. Disruption of
global vertebrate, and particularly fish, biotas may commonly lead to widespread,
long-term reduction in body size, structuring future biodiversity.
PMID- 26564855
TI - Dynamics of CRISPR-Cas9 genome interrogation in living cells.
AB - The RNA-guided CRISPR-associated protein Cas9 is used for genome editing,
transcriptional modulation, and live-cell imaging. Cas9-guide RNA complexes
recognize and cleave double-stranded DNA sequences on the basis of 20-nucleotide
RNA-DNA complementarity, but the mechanism of target searching in mammalian cells
is unknown. Here, we use single-particle tracking to visualize diffusion and
chromatin binding of Cas9 in living cells. We show that three-dimensional
diffusion dominates Cas9 searching in vivo, and off-target binding events are, on
average, short-lived (<1 second). Searching is dependent on the local chromatin
environment, with less sampling and slower movement within heterochromatin. These
results reveal how the bacterial Cas9 protein interrogates mammalian genomes and
navigates eukaryotic chromatin structure.
PMID- 26564856
TI - A gut-vascular barrier controls the systemic dissemination of bacteria.
AB - In healthy individuals, the intestinal microbiota cannot access the liver,
spleen, or other peripheral tissues. Some pathogenic bacteria can reach these
sites, however, and can induce a systemic immune response. How such
compartmentalization is achieved is unknown. We identify a gut-vascular barrier
(GVB) in mice and humans that controls the translocation of antigens into the
blood stream and prohibits entry of the microbiota. Salmonella typhimurium can
penetrate the GVB in a manner dependent on its pathogenicity island (Spi) 2
encoded type III secretion system and on decreased beta-catenin-dependent
signaling in gut endothelial cells. The GVB is modified in celiac disease
patients with elevated serum transaminases, which indicates that GVB dismantling
may be responsible for liver damage in these patients. Understanding the GVB may
provide new insights into the regulation of the gut-liver axis.
PMID- 26564857
TI - Leaping into the unknown.
PMID- 26564858
TI - Erratum for the Report "Genomic correlates of response to CTLA-4 blockade in
metastatic melanoma" by E. M. Van Allen, D. Miao, B. Schilling, S. A. Shukla, C.
Blank, L. Zimmer, A. Sucker, U. Hillen, M. H. Geukes Foppen, S. M. Goldinger, J.
Utikal, J. C. Hassel, B. Weide, K. C. Kaehler, C. Loquai, P. Mohr, R. Gutzmer, R.
Dummer, S. Gabriel, C. J. Wu, D. Schadendorf, L. A. Garraway.
PMID- 26564859
TI - Impulsivity as a precedent factor for problematic Internet use: How can we be
sure?
AB - Previous research has suggested that problematic Internet use (PIU) is associated
with impulse control disorder. Although researchers have suggested that
impulsivity is a risk factor for PIU, the literature lacks longitudinal evidence
on the relationship between impulsivity and PIU. We aimed to use a cross-lagged
analytic framework to identify temporal order effects and hypothesised that
impulsivity was the precedent factor for PIU. In a panel sample of college
students (N = 367), trait impulsivity and PIU were measured in the spring of
freshman year and in their junior year. The measures included a self-developed
PIU Scale and the revised Impulsiveness Scale based on Barratt's concept. We
found that "non-planning impulsivity" was not associated with PIU. The "motor
impulsivity" subfactor was thus adopted in the cross-lagged model. The results
suggest that motor impulsivity and PIU were stable across time. Motor impulsivity
at Time 1 positively predicted PIU at Time 2, but PIU at Time 1 did not predict
motor impulsivity at Time 2. A further investigation using gender as a moderator
found a gender difference in the temporal relationship. Because motor impulsivity
is a risk factor for PIU, potential prevention strategies based on this result
are suggested.
PMID- 26564860
TI - The effects of colour and valence on news evaluation.
AB - Research across different fields of psychology has reported effects of colour
cues on a variety of cognitive processes. Especially, the colour red has been
shown to have striking influences. In the context of media reception, however,
colour effects have been widely neglected so far. This study made a first step in
this direction by investigating the effects of the colour red (compared with blue
and grey) on the way news articles are evaluated. Two types of news were framed
by a coloured border while the valence of the news content additionally varied.
Based on 369 participants who read and evaluated the news articles online, we
observed effects for colour cues and news valence in the absence of an
interaction effect, indicating that the colour red induced approach motivation.
However, only the contrast between red and grey reached statistical significance,
indicating that chromatic and achromatic colours may differ in their perceived
visual saliency. Overall, these results provide an important complement to
previous studies and have practical implications for media researchers and
producers.
PMID- 26564861
TI - Studying cell biology in the skin.
AB - Advances in cell biology have often been driven by studies in diverse organisms
and cell types. Although there are technical reasons for why different cell types
are used, there are also important physiological reasons. For example,
ultrastructural studies of vesicle transport were aided by the use of
professional secretory cell types. The use of tissues/primary cells has the
advantage not only of using cells that are adapted to the use of certain cell
biological machinery, but also of highlighting the physiological roles of this
machinery. Here we discuss advantages of the skin as a model system. We discuss
both advances in cell biology that used the skin as a driving force and future
prospects for use of the skin to understand basic cell biology. A unique
combination of characteristics and tools makes the skin a useful in vivo model
system for many cell biologists.
PMID- 26564863
TI - The effect of motivation and positive affect on ego depletion: Replenishment
versus release mechanism.
AB - In this study, 2 experiments were conducted to investigate whether motivation and
positive affect can alleviate ego depletion and to elucidate their possible
mechanisms. In Experiment 1, a crossing-out-letter task was adapted to reach an
ego depletion state for Chinese participants. Participants were then randomly
assigned to the extrinsic motivation group, the positive affect group or the
depletion control group. After the experimental treatment, a dumbbell task was
used to measure participants' remaining self-regulatory resources. The results
showed that participants in the motivation and positive affect groups performed
better on the dumbbell task than participants in the depletion control group.
Experiment 2 was similar to Experiment 1 except that participants were asked to
perform an additional unexpected dumbbell task after a neutral video following
the above procedure. The results of Experiment 1 were replicated; however,
participants' performance on the additional dumbbell task differed. The positive
affect group performed better than the depletion control group, indicating an
increase in self-regulatory resources and thus supporting the replenishment
effect of positive affect. No significant difference was found between the
motivation group and the depletion control group.
PMID- 26564862
TI - Generation of Functional Human Cardiac Progenitor Cells by High-Efficiency
Protein Transduction.
AB - The reprogramming of fibroblasts to induced pluripotent stem cells raises the
possibility that somatic cells could be directly reprogrammed to cardiac
progenitor cells (CPCs). The present study aimed to assess highly efficient
protein-based approaches to reduce or eliminate the genetic manipulations to
generate CPCs for cardiac regeneration therapy. A combination of QQ-reagent
modified Gata4, Hand2, Mef2c, and Tbx5 and three cytokines rapidly and
efficiently reprogrammed human dermal fibroblasts (HDFs) into CPCs. This
reprogramming process enriched trimethylated histone H3 lysine 4, monoacetylated
histone H3 lysine 9, and Baf60c at the Nkx2.5 cardiac enhancer region by the
chromatin immunoprecipitation quantitative polymerase chain reaction assay.
Protein-induced CPCs transplanted into rat hearts after myocardial infarction
improved cardiac function, and this was related to differentiation into
cardiomyocyte-like cells. These findings demonstrate that the highly efficient
protein-transduction method can directly reprogram HDFs into CPCs. This protein
reprogramming strategy lays the foundation for future refinements both in vitro
and in vivo and might provide a source of CPCs for regenerative approaches.
SIGNIFICANCE: The findings from the present study have demonstrated an efficient
protein-transduction method of directly reprogramming fibroblasts into cardiac
progenitor cells. These results have great potential in cell-based therapy for
cardiovascular diseases.
PMID- 26564864
TI - COMPREHENSIVE DATA CONCERNING COSMIC RADIATION DOSES AT GROUND LEVEL AND IN
FLIGHTS FOR TURKEY.
AB - Cosmic radiation doses of individuals living in 81 cities in Turkey were
estimated by using CARI-6 software. Annual cosmic radiation doses of individuals
were found to be between 308 and 736 uSv y-1 at ground level. The population
weighted annual effective dose from cosmic radiation was determined to be 387 uSv
y-1 for Turkey. Cosmic radiation doses on-board for 137 (60 domestic and 77
international) flights varied from 1.2 to 83 uSv. It was estimated that six or
over long-route round-trip air travels may cause cosmic radiation dose above the
permissible limit for member of the public, i.e. 1 mSv y-1 According to the
assumption of flights throughout 800 h on each route, cosmic radiation doses were
found to be between 1.0 and 4.8 mSv for aircrew.
PMID- 26564865
TI - OVERVIEW OF A DIGITAL TOMOSYNTHESIS DEVELOPMENT: NEW APPROACHES FOR LOW-DOSE
CHEST IMAGING.
AB - Lung cancer has the highest mortality rate among all cancer types, and it has
especially high occurrence in Hungary. Low-dose computed tomography (LDCT) has
been proved to be a beneficial screening method for lung cancer, decreasing the
mortality rate by 20 %. Because of the intensifying fears from X-ray radiation,
there is a need to develop other modalities that might work with less radiation
and have similar sensitivity in lung nodule finding. Digital tomosynthesis (DTS)
may be such a modality that can be a real alternative to LDCT. The goal of this
article is to summarise the first results of a Hungarian project for developing a
DTS system extended with a computer-aided detection system. It describes the main
approaches applied and the main benefits of using DTS based on the first clinical
examinations.
PMID- 26564866
TI - BACKGROUND TRACK DENSITY REDUCTION OF 50-HZ-HV ECE-PROCESSED THICK POLYCARBONATE
DETECTORS TO IMPROVE LOWER DETECTION LIMIT.
AB - A recent novel development of 50-Hz-HV ECE of 1-mm-thick and 250-um-thick
polycarbonate track detectors (PCTDs) has proved some promising results for some
health physics, dosimetry and ion-beam-related applications. The method while
proved having some good characteristics for some applications provided a
relatively higher background track density (BGTD) in particular when very high
voltages are applied to the PCTDs. In order to decrease the minimum detection
limit (MDL) of the PCTDs and to further promote its applications for low dose,
the BGTD was reduced by applying a layer removal methodology applying
ethylendiamine (EDA). The effects of EDA concentrations (50, 60, 65, 70, 75, 80,
85 and 90 %) in water at room temperature (26 degrees C) and soaking durations up
to 100 min at different EDA concentration on BGTD reduction were studied. The
thickness of the layer removed from the surface of a PCTD highly depends on the
soaking time and EDA concentration; it increases as the EDA concentration
increases up to for example 700 um after 2 h of soaking in the EDA solution.
After ~10 min of soaking duration at any of the above-stated concentrations, the
BGTD reaches its minimum value, a value which differs from concentration to
concentration. An EDA concentration of 85 % in water provided the lowest BGTD of
64.06 +/- 3.12 tracks cm- 2; ~6 times lower than that of its original value. It
is shown that the layer removal process does not change the registration
characteristics of the PCTD and its appearance significantly. The MDL of the
PCTDs depends strongly on the BGTD. The MDL values for a desired confidence level
were also studied by three calculation methods. The results of the BGTD and the
MDL studies under different conditions applied are presented and discussed.
PMID- 26564867
TI - MULTI-PARAMETER INTERPOLATION OF BETA RADIATION DOSE RATES USING RADIAL BASIS
FUNCTIONS.
AB - In this study, the interpolation problem for a set of Monte Carlo simulations of
dose rate per activity of beta-emitting radionuclides is considered. The
simulations were carried out for various conditions: for circular areal sources
of different diameters and radionuclides, and for various distances from the
source. Calculations were done for both operational quantities as well as organ
doses: Hp(0.07), Hp(3), Hp(10), Hskin and Hlens Interpolation is needed for
practical applications because it is often necessary to evaluate the dose
quantities at parameter values that do not correspond exactly to the ones that
were used for the simulation. To solve this problem, the method of radial basis
function thin-plate spline interpolation is used. The method used for the
interpolation and a software application called BetaDosim, which allows users to
get the interpolated values, are described. BetaDosim is freely available.
PMID- 26564870
TI - Defra agrees to cut its spending.
PMID- 26564871
TI - New slaughter legislation could compromise poultry welfare, warns BVA.
PMID- 26564872
TI - Charities call for a review of the online exotic pet trade.
PMID- 26564873
TI - Representative body opposes further restrictions on ketamine.
PMID- 26564874
TI - Wales-wide roll out for Cymorth TB.
PMID- 26564875
TI - BVA briefs MPs on bovine TB.
PMID- 26564876
TI - Network aims to foster collaboration in the animal welfare research community.
PMID- 26564877
TI - New clinical research editor for Veterinary Record.
PMID- 26564878
TI - Scottish Government seeks views on controls on electronic training collars.
PMID- 26564879
TI - Early day motion recognises VN title campaign.
PMID- 26564880
TI - Zoo's chief executive named 'business woman of the year'.
PMID- 26564881
TI - Journals' podcast collection begins to grow.
PMID- 26564882
TI - The importance of pets to families.
PMID- 26564883
TI - New facilities to enhance nursing students' access to animals.
PMID- 26564884
TI - Guidance on antibiotic use for anaesthetists.
PMID- 26564885
TI - Charity to begin work in Botswana.
PMID- 26564886
TI - Boost for veterinary nurse bursary fund.
PMID- 26564887
TI - Northern Ireland disease surveillance report, July to September 2015.
AB - Endocarditis in a cow. Blackleg in unvaccinated calves. Copper poisoning in
pedigree sheep. Malignant oedema in a ram. Salmonellosis in fattening pigs.
Fungal pneumonia and airsacculitis in turkeys. Coccidiosis and Marek's disease in
gamebirds. These are among matters discussed in the Northern Ireland animal
disease surveillance quarterly report for July to September 2015.
PMID- 26564888
TI - Taking action to reduce the risk of copper toxicity in cattle.
PMID- 26564889
TI - Shortage of experienced vets.
PMID- 26564890
TI - Canine epileptoid cramping syndrome in border terriers.
PMID- 26564892
TI - Correction.
PMID- 26564891
TI - Shorter sentences.
PMID- 26564893
TI - Cattle ear tag to facilitate multiple testing of tissue samples.
PMID- 26564894
TI - Injectable oxytocin.
PMID- 26564895
TI - Online ordering for microchips.
PMID- 26564896
TI - Pursuing a career in veterinary public health.
AB - Milorad Radakovic is a teaching fellow in veterinary public health (VPH) at the
University of Cambridge. Here, he explains why he believes the challenges in this
field of veterinary medicine make for an exciting career path. In a second
article to be published in Vet Record Careers next week, he will share some of
his own experiences of working in this field.
PMID- 26564897
TI - Ten-minute chat.
AB - Pete Matthews works in mixed practice at Galedin Veterinary in the Scottish
Borders. He has been a member of the Tweed Valley Mountain Rescue Team for eight
years and last year took on the role of team leader for a three-year term.
PMID- 26564898
TI - Self-Evaluation of Negative Symptoms: A Novel Tool to Assess Negative Symptoms.
AB - Many patients with schizophrenia have negative symptoms, but their evaluation is
a challenge. Thus, standardized assessments are needed to facilitate
identification of these symptoms. Many tools have been developed, but most are
based on observer ratings. Self-evaluation can provide an additional outcome
measure and allow patients to be more engaged in their treatment. The aim of this
study was to present a novel tool, Self-evaluation of Negative Symptoms (SNS),
and demonstrate its validity. Forty-nine patients with schizophrenia and
schizoaffective disorders according to DSM-5 were evaluated. Cronbach's
coefficient (alpha = 0.867) showed good internal consistency. Factor analysis
extracted 2 factors (apathy and emotional) that accounted for 75.2% of the
variance. The SNS significantly correlated with the Scale of Assessment of
Negative Symptoms (r= 0.628) and the Clinician Global Impression on the severity
of negative symptoms (r= 0.599), supporting good convergent validity. SNS scores
did not correlate with level of insight (r= 0.008), Parkinsonism (r= 0.175) or
Brief Psychiatric Rating Scale positive subscores (r= 0.253), which indicates
good discriminant validity. The intrasubject reliability of the SNS revealed
excellent intraclass correlation coefficients (ICC = 0.942). Taken together, the
results show that the SNS has good psychometric properties and satisfactory
acceptance by patients. The study also demonstrates the ability of patients with
schizophrenia to accurately report their own experiences. Self-assessments of
negative symptoms should be more widely employed in clinical practice because
they may allow patients with schizophrenia to develop appropriate coping
strategies.
PMID- 26564899
TI - An Arbitrary Line in the Sand: Rising Scientists Confront the Impact Factor.
PMID- 26564901
TI - A Comment on Fine-Scale Heterogeneity in Crossover Rate in the garnet-scalloped
Region of the Drosophila melanogaster X Chromosome.
PMID- 26564903
TI - Osteoclast activation and sickle bone disease.
PMID- 26564904
TI - Lucky 13.
PMID- 26564900
TI - Genetics on the Fly: A Primer on the Drosophila Model System.
AB - Fruit flies of the genus Drosophila have been an attractive and effective genetic
model organism since Thomas Hunt Morgan and colleagues made seminal discoveries
with them a century ago. Work with Drosophila has enabled dramatic advances in
cell and developmental biology, neurobiology and behavior, molecular biology,
evolutionary and population genetics, and other fields. With more tissue types
and observable behaviors than in other short-generation model organisms, and with
vast genome data available for many species within the genus, the fly's tractable
complexity will continue to enable exciting opportunities to explore mechanisms
of complex developmental programs, behaviors, and broader evolutionary questions.
This primer describes the organism's natural history, the features of sequenced
genomes within the genus, the wide range of available genetic tools and online
resources, the types of biological questions Drosophila can help address, and
historical milestones.
PMID- 26564905
TI - Free hemoglobin: a boost to platelet thrombi.
PMID- 26564906
TI - The cis-regulatory code of Hox function in Drosophila.
PMID- 26564909
TI - Trauma-Informed Care in the Massachusetts Child Trauma Project.
AB - Child maltreatment is a serious public health concern, and its detrimental
effects can be compounded by traumatic experiences associated with the child
welfare (CW) system. Trauma-informed care (TIC) is a promising strategy for
addressing traumatized children's needs, but research on the impact of TIC in CW
is limited. This study examines initial findings of the Massachusetts Child
Trauma Project, a statewide TIC initiative in the CW system and mental health
network. After 1 year of implementation, Trauma-Informed Leadership Teams in CW
offices emerged as key structures for TIC systems integration, and mental health
providers' participation in evidence-based treatment (EBT) learning
collaboratives was linked to improvements in trauma-informed individual and
agency practices. After approximately 6 months of EBT treatment, children had
fewer posttraumatic symptoms and behavior problems compared to baseline. Barriers
to TIC that emerged included scarce resources for trauma-related work in the CW
agency and few mental providers providing EBTs to young children. Future research
might explore variations in TIC across service system components as well as the
potential for differential effects across EBT models disseminated through TIC.
PMID- 26564908
TI - ApoE4 upregulates the activity of mitochondria-associated ER membranes.
AB - In addition to the appearance of senile plaques and neurofibrillary tangles,
Alzheimer's disease (AD) is characterized by aberrant lipid metabolism and early
mitochondrial dysfunction. We recently showed that there was increased
functionality of mitochondria-associated endoplasmic reticulum (ER) membranes
(MAM), a subdomain of the ER involved in lipid and cholesterol homeostasis, in
presenilin-deficient cells and in fibroblasts from familial and sporadic AD
patients. Individuals carrying the epsilon4 allele of apolipoprotein E (ApoE4)
are at increased risk for developing AD compared to those carrying ApoE3. While
the reason for this increased risk is unknown, we hypothesized that it might be
associated with elevated MAM function. Using an astrocyte-conditioned media (ACM)
model, we now show that ER-mitochondrial communication and MAM function-as
measured by the synthesis of phospholipids and of cholesteryl esters,
respectively-are increased significantly in cells treated with ApoE4-containing
ACM as compared to those treated with ApoE3-containing ACM. Notably, this effect
was seen with lipoprotein-enriched preparations, but not with lipid-free ApoE
protein. These data are consistent with a role of upregulated MAM function in the
pathogenesis of AD and may help explain, in part, the contribution of ApoE4 as a
risk factor in the disease.
PMID- 26564910
TI - The Growing Burden of Neonatal Opiate Exposure on Children and Family Services in
Massachusetts.
AB - Increasing opiate use among women of reproductive age has led to a rise in
adverse pregnancy outcomes, including neonatal abstinence syndrome (NAS). Recent
studies have documented the increased incidence of NAS, but subsequent impact on
the chain of organizations within the social service system remains unexplored.
In this article, we begin to estimate the reach of this issue by assessing the
labor costs of caring for NAS infants within the Massachusetts Department of
Children and Families (MA DCF). Based on a process map of services, we modeled
social service hours using encounter-level hospital data as inputs. In this
manner, we estimate that MA DCF professionals now devote more than 10,000 hours
per month to this single problem. As opiate addiction increases across America,
substantial additional investment in social service providers, foster care, Early
Intervention Programs, and other family services will be required.
PMID- 26564912
TI - Treatment Modalities for Small Saphenous Vein Insufficiency: Systematic Review
and Meta-analysis.
AB - PURPOSE: To investigate and compare the anatomical success rates and
complications of the treatment modalities for small saphenous vein (SSV)
incompetence. METHODS: A systematic literature search was performed in PubMed,
EMBASE, and the Cochrane Library on the following therapies for incompetence of
SSVs: surgery, endovenous laser ablation (EVLA), radiofrequency ablation (RFA),
ultrasound-guided foam sclerotherapy (UGFS), steam ablation, and mechanochemical
endovenous ablation (MOCA). The search found 49 articles (5 randomized controlled
trials, 44 cohort studies) reporting on the different treatment modalities:
surgery (n=9), EVLA (n=28), RFA (n=9), UGFS (n=6), and MOCA (n=1). A random
effects model was used to estimate the primary outcome of anatomical success,
which was defined as closure of the treated vein on follow-up duplex ultrasound
imaging. The estimate is reported with the 95% confidence interval (CI).
Secondary outcomes were technical success and major complications [paresthesia
and deep vein thrombosis (DVT)], given as the weighted means. RESULTS: The pooled
anatomical success rate was 58.0% (95% CI 40.9% to 75.0%) for surgery in 798
SSVs, 98.5% (95% CI 97.7% to 99.2%) for EVLA in 2950 SSVs, 97.1% (95% CI 94.3% to
99.9%) for RFA in 386 SSVs, and 63.6% (95% CI 47.1% to 80.1%) for UGFS in 494
SSVs. One study reported results of MOCA, with an anatomical success rate of 94%.
Neurologic complications were most frequently reported after surgery (mean 19.6%)
and thermal ablation (EVLA: mean 4.8%; RFA: mean 9.7%). Deep venous thrombosis
was a rare complication (0% to 1.2%). CONCLUSION: Endovenous thermal ablation
(EVLA/RFA) should be preferred to surgery and foam sclerotherapy in the treatment
of SSV incompetence. Although data on nonthermal techniques in SSV are still
sparse, the potential benefits, especially the reduced risk of nerve injury,
might be of considerable clinical importance.
PMID- 26564913
TI - Imaging After Nellix Endovascular Aneurysm Sealing: A Consensus Document.
AB - Endovascular aneurysm sealing (EVAS) using the Nellix system is a new and
different method of abdominal aortic aneurysm repair. Normal postoperative
imaging has unique appearances that change with time; complications also have
different and specific appearances. This consensus document on the imaging
findings after Nellix EVAS is based on the collective experience of the sites
involved in the Nellix EVAS Global Forward Registry and the US Investigational
Device Exemption Trial. The normal findings on computed tomography (CT), duplex
ultrasound, magnetic resonance imaging, and plain radiography are described. With
time, endobag appearances change on CT due to contrast migration to the margins
of the hydrogel polymer within the endobag. Air within the endobag also has
unique appearances that change over time. Among the complications after Nellix
EVAS, type I endoleak usually presents as a curvilinear area of flow between the
endobag and aortic wall, while type II endoleak is typically small and usually
occurs where an aortic branch artery lies adjacent to an irregular aortic blood
lumen that is not completely filled by the endobag. Procedural aortic injury is
an uncommon but important complication that occurs as a result of overfilling of
the endobags during Nellix EVAS. The optimum imaging surveillance algorithm after
Nellix EVAS has yet to be defined but is largely CT-based, especially in the
first year postprocedure. However, duplex ultrasound also appears to be a
sensitive modality in identifying normal appearances and complications.
PMID- 26564914
TI - Type IIIb Endoleak With the Endurant Stent-Graft.
AB - PURPOSE: To report 2 cases of type IIIb endoleak with the Endurant stent-graft
and postulate the cause for the events. CASE REPORT: A type IIIb endoleak was
diagnosed at open conversion for a ruptured aneurysm 4 years after implantation
of an Endurant stent-graft. In the other case, the endoleak was diagnosed at
angiography 4 years after the Endurant stent-graft was implanted; the stent-graft
was relined. In both cases the fabric hole was in the body of the stent-graft at
the level of the top of the contralateral limb. CONCLUSION: The cause of the type
IIIb endoleaks in these cases was fabric erosion likely due to interaction
between the bare metal at the top of the contralateral limb and the fabric of the
stent-graft body.
PMID- 26564915
TI - Dermatophyte surveillance in cats in three animal shelters in Ontario, Canada.
AB - Objectives The aim of the study was to determine the prevalence of dermatophyte
shedding in cats admitted to three Ontario animal shelters from February to May
2013. Methods Four hundred cats were sampled within 48 h of admission, using a
standard toothbrush sampling technique. Dermatophyte culture was performed.
Results Dermatophytes were not identified in any of the 400 cats (0-0.9% one
sided exact 97.5% confidence interval). Conclusions and relevance These results
imply that dermatophyte shedding is rare in cats admitted to Ontario animal
shelters. Consequently, identification of infected animals, particularly multiple
animals, represents an unusual occurrence that may justify prompt and intensive
control measures.
PMID- 26564916
TI - Differences between dorsal and volar dislocations of the distal interphalangeal
joint of fingers: a report of 30 cases.
PMID- 26564907
TI - Histone demethylases in chromatin biology and beyond.
AB - Histone methylation plays fundamental roles in regulating chromatin-based
processes. With the discovery of histone demethylases over a decade ago, it is
now clear that histone methylation is dynamically regulated to shape the
epigenome and regulate important nuclear processes including transcription, cell
cycle control and DNA repair. In addition, recent observations suggest that these
enzymes could also have functions beyond their originally proposed role as
histone demethylases. In this review, we focus on recent advances in our
understanding of the molecular mechanisms that underpin the role of histone
demethylases in a wide variety of normal cellular processes.
PMID- 26564917
TI - Maintaining Microclimates during Nanoliter Chemical Dispensations Using Custom
Designed Source Plate Lids.
AB - A method is described for using custom snap-on lids to protect chemicals in
microtiter plates from evaporation and contamination. The lids contain apertures
(diameter 1.5, 1.0, or 0.5 mm) through which the chemical building blocks can be
transferred. The lid with 0.5 mm apertures was tested using a noncontact acoustic
liquid handler; the 1.0 and 1.5 mm lids were tested using two tip-based liquid
handlers. All of the lids reduced the rate at which solvents evaporated to room
air, and greatly reduced the rate of contamination by water and oxygen from room
air. In steady-state measurements, the lids reduced the rate of evaporation of
methanol, 1-hexene, and water by 33% to 248%. In cycled experiments, the
contamination of aqueous solvent with oxygen was reduced below detectability and
the rate at which DMSO engorged atmospheric water was reduced by 81%. Our results
demonstrate that the lids preserve the integrity of air-sensitive reagents during
the time needed for different types of liquid handlers to perform dispensations.
Controlling degradation and evaporation of chemical building blocks exposed to
the atmosphere is increasingly useful as the reagent volume is reduced by
advances in liquid handling technology, such as acoustic droplet ejection.
PMID- 26564918
TI - A History of Orthoptics in the United States, Part I: "If You Build It, They Will
Come".
AB - The year 2015 marks the 75th anniversary of the American Association of Certified
Orthoptists (AACO), the second oldest orthoptic professional organization in the
world. Since its inception, October 7, 1940, approximately 90% of all certified
orthoptists (C.O.) have consistently maintained membership in their professional
society. Approximately 80% of AACO members attend AACO-sponsored scientific
meetings at least once annually. And 17-20% of members are actively involved in
AACO leadership, management, and activities.Eye care has changed dramatically
over the last 75 years, and orthoptics has successfully adapted to survive due to
the fortitude and resilience of its practitioners. The AACO has weathered
opposition from much more powerful competitors, ever-increasing health care
regulation, and an evolution in the standard management of strabismus, only to
emerge transformed and even more durable. One constant remains: the qualities
that inspired thirty-three individuals to create a profession and a society
dedicated to the care of patients with strabismus and disorders of binocular
vision, and the education of parents, orthoptists, and ophthalmologists exist in
every orthoptist today. These qualities are determination, creativity,
dedication, and passion.
PMID- 26564919
TI - Pediatric Ophthalmology Practice Efficiency: Utilization of Orthoptists as
Partners in the Pediatric Eye Care Team.
AB - BACKGROUND AND PURPOSE: The profession of orthoptics has been present for over
100 years and was created as a partnership with pediatric ophthalmology in an
effort to provide better strabismus care to children. Orthoptists are known to
improve practice efficiency, but to date, no quantitative data has been presented
in the literature to support this claim. Proper utilization of an orthoptist is
critical to improving practice efficiency and revenue. METHODS: Over a 6-month
period, the financial impact to a pediatric ophthalmology practice by the
addition of a second certified orthoptist (C.O.) was analyzed. Both indirect and
direct income and expenses were considered. RESULTS: Despite their increased
salary compared to an ophthalmic assistant, the utilization of an orthoptist
provides considerable return on investment. The addition of a certified
orthoptist increased practice revenue by over $70,000. CONCLUSION: The addition
of a certified orthoptist in a physician's practice significantly increases
practice revenue generated. When considering models of healthcare within
ophthalmology, the use of an orthoptist as a physician extender has been shown to
improve practice efficiency in a cost-effective manner.
PMID- 26564921
TI - Torsional Diplopia.
AB - BACKGROUND AND PURPOSE: Torsional diplopia can result in failure of fusion in an
individual without a measureable strabismus. When presented with a patient with
complaints of binocular diplopia, physicians and orthoptists should consider
cyclovertical muscle dysfunction when the source of the complaint is not readily
apparent. METHODS: A thorough review of the literature combined with the author's
own personal experience in treating adult patients with strabismus was used to
evaluate the different potential causes of torsional diplopia. Predisposing
factors, diagnostic techniques, and strabismus diagnoses are considered. RESULTS:
The most common cause of torsional diplopia is a superior oblique palsy. Other
more common causes include thyroid-related orbitopathy and skew deviations.
CONCLUSIONS: Torsional diplopia is a common cause of undiagnosed strabismus in
the adult patient population. Proper consideration of the most common causes
should be made.
PMID- 26564922
TI - Macular Diplopia.
AB - Maculopathies affect point-to-point foveal correspondence causing diplopia. The
effect that the maculopathies have on the interaction of central sensory fusion
and peripheral fusion are different than the usual understanding of treatment for
diplopia. This paper reviews the pathophysiology of macular diplopia, describes
the binocular pathology causing the diplopia, discusses the clinical evaluation,
and reviews the present treatments including some newer treatment techniques.
PMID- 26564923
TI - Small Deviations: Vertical, Horizontal, and Combined.
AB - INTRODUCTION AND PURPOSE: Symptomatic, small-angle strabismus is largely an
acquired problem of adults that has previously been managed with prism
spectacles. This paper will explore surgical options that are becoming
increasingly popular. METHODS: The special issues that confound surgical
treatment of small-angle strabismus are considered. Surgical procedures described
in the literature and in the author's experience that address these issues are
reviewed. RESULTS: Several recently described surgical techniques show promise
for treatment of small-angle strabismus in adults. Their use is illustrated in a
case report. Review of the author's practice shows a rapid increase in surgery
for small-angle strabismus. CONCLUSIONS: Surgical strategies for small deviations
are increasingly important to meet the spectacle-free expectations of our adult
patients.
PMID- 26564924
TI - Divergence Insufficiency Esotropia: Surgical Treatment.
AB - Divergence insufficiency esotropia, or acquired comitant esotropia that is at
least 10Delta larger at distance than at near, is most often seen in older
adults, and may also be known as "age-related distance esotropia." Surgical
treatment is often indicated for patients who do not tolerate prism therapy, or
for those with large angles of esotropia. Surgical treatments have evolved with
our understanding of the disease-state. Currently, accepted treatments include
lateral rectus resection and medial rectus recession. These surgeries can be
performed unilaterally or bilaterally. New surgical techniques such as lateral
rectus equatorial myopexy are under investigation and may hold promise as future
therapies.
PMID- 26564925
TI - Evaluation and Prism Management of Divergence Insufficiency Esotropia.
AB - An esodeviation that is greater at distance than near in an adult patient
requires a full sensorimotor exam to rule out any cofounding neurological
conditions. Many etiologies are described in the literature to cause an
esodeviation that is greater at distance than near in adult patients and some
exist in conjunction with a neurological condition. However, many adult patients
present to the adult strabismus clinic with no other findings on exam and have a
purely benign divergence insufficiency esotropia. A review of the literature on
divergence insufficiency reveals a few attempts of classifying these entities,
but none have been completely accepted.Recently benign non-neurological
divergence insufficiency esotropia has been described as a resulting condition
due to a mechanical etiology. Currently, the literature only describes a couple
of different etiologies.Regardless of the etiology, these patients are quite
symptomatic and present to the adult strabismus clinic with various complaints
and require a thorough examination. The primary focus of the exam is to first
rule out the need for further neurological work-up, but secondly, to also
determine the best treatment option for the patient. To determine the best
treatment plan, a thorough evaluation, including a sensorimotor exam with proper
testing, can help. Many of these patients do very well with base-out prism
management; however, some have decompensated to a larger angle and prefer
surgical intervention. However, the focus of this paper will be on nonsurgical
prism management of patients with divergence insufficiency that is not associated
with any neurological disorder.
PMID- 26564926
TI - Prism Management in Patients with Neurodegenerative Disease.
AB - Patients with motility problems due to neurodegenerative disease such as
Parkinson's and its variations often present challenging cases for the
orthoptist. A few "tricks of the trade" will be presented on how to make a
Parkinson's patient's daily life a little easier.
PMID- 26564927
TI - Sensorimotor Characteristics of Neuro-Ophthalmology and Oculo-Plastics Patients.
AB - BACKGROUND AND PURPOSE: Certified orthoptists are routinely required, as a
standard component of outpatient care, to examine and identify the cause of
double vision described by neuro-ophthalmology and oculo-plastics patients. Peer
reviewed articles in the strabismus literature describing the significance of
this role of the orthoptists, especially in more complex cases of strabismus, do
exist, but are outdated. The importance of creating a differential diagnosis in
the understanding of the disease process is a well-recognized component of
medical education and modern medicine. PATIENTS AND METHOD: This work was a
retrospective chart review and descriptive study of the most common clinical
characteristics of adult neuro-ophthalmology and oculo-plastics patients seen
over a 9-year period by an orthoptist in a large, urban academic institution in
the United States. History and clinical data obtained included demographic
information; whether the subjects were neuro-ophthalmology or oculo-plastic
patients or both; chief complaint; past medical history and associated medical
risk factors; past ocular history of strabismus or amblyopia; whether reported
diplopia was monocular or binocular; visual acuities; sensorimotor examination
and fusion status information; presence or absence of ptosis; pupil size and
reactivity; basic accommodative function; orthoptist and physician diagnoses; and
suggested treatment of diplopia. RESULTS: Five hundred seventy-five subjects were
identified based on inclusion criteria. Racial demographics matched that of the
state of Maryland, with the majority of the patients being Caucasian. The
minority were of Hispanic origin. Ninety-one percent of the study cohort was
referred by the department of neuro-ophthalmology at the institution.
Hypertension was a statistically significant medical risk factor for acquired
strabismus and diplopia in this adult cohort. Etiology for the strabismus and
associated diplopia suggested by the orthoptist was in close agreement with the
final diagnosis made by the referring physician. Pupil-sparing oculomotor palsy
(third cranial nerve, CN3) occurred as frequently as pupil-involving CN3, with
tumor occurring more frequently as an etiology than aneurysm in both groups.
Trochlear nerve palsy (CN4) was more often associated with hydrocephalus than
abducens nerve palsy (CN6), and trauma remained a common association with
acquired CN4 palsy. In patients with thyroid eye disease (TED), eso- and exo
deviations occurred with similar frequency. As has been reported in the
literature, concomitant myasthenia gravis (MG) remained rare in these patients,
although occurring with similar frequency in patients with both types of
horizontal deviation. In patients with ptosis, asymmetry was not statistically
more predictive of MG than symmetry. Prism was used most frequently, followed by
surgery, to address diplopia symptoms. Lastly, there was a statistically
significant association of acquired strabismus and diplopia in female subjects
with breast cancer and no past ocular history of childhood strabismus or
amblyopia. CONCLUSION: The thorough assessment of sensorimotor function, fusion,
and visual acuity provided by the orthoptist is an important clinical adjunct in
developing the differential required to make an accurate final diagnosis, which
sometimes may not subscribe to accepted clinical norms reported in the
literature. The orthoptist also plays an important role in the nonsurgical
treatment of acquired diplopia due to strabismus. Fresnel Press-OnTM or ground-in
spectacle prism was a commonly used treatment for diplopia.
PMID- 26564928
TI - Congenital and Genetic Ocular Motility Disorders: Update and Considerations.
AB - Concepts regarding certain forms of congenital eye movement disorders have
recently changed, due in large part to new genetic evidence identifying causative
genes and their role in the development of extraocular muscle innervation. This
group is now referred to as the Congenital Cranial Dysinnervation Disorders
(CCDDs). Careful assessment of phenotypic features that include both
ophthalmological and non-ophthalmological features in genetically defined
individuals has led to the development of a more robust classification system.
Correlating phenotypes with new genetically defined syndromes has improved the
ability of the clinician/researcher to better determine a definitive diagnosis in
patients with complex ocular motility disorders. Nevertheless, more work is still
required.
PMID- 26564929
TI - Evaluation of Sensory Dominance Using Binocular Rivalry as Related to Ocular
Deviations.
AB - INTRODUCTION AND PURPOSE: To investigate the relationship between sensory
dominance and ocular deviations. PATIENTS AND METHODS: Sixty-three patients were
evaluated with a new device for the measurement of exclusive visibility during
binocular rivalry. The patients were consisted of twenty-three with exotropia
(XT), twenty with intermittent exotropia [X(T)], twenty with exophoria (XP),
respectively. Device is composed of two retinometers, their holders in which
angle and position can be adjustable and a PC for data storage and analysis. In
each of eyes, exclusive visibility of one stimulus during binocular rivalry was
measured for 60 sec, and the strength of ocular dominance was evaluated by the
difference of exclusive visibility between dominant and nondominant eye. RESULTS:
The difference of exclusive visibility time between dominant and nondominant eye
were 9.8+/-3.7 sec in the XT group, 6.1+/-4.1 sec in the X(T) group and 2.2+/-1.5
sec in the XP group, respectively. There were significant differences between XT
and X(T) (P<0.01) as well as between XT and XP (P<0.001). CONCLUSIONS: The
present result indicates that sensory dominance was obviously influenced by
ocular deviations since exclusive visibility of dominant eye was prolonged with
decreasing the opportunity of normal binocular vision such as XT or X(T).
PMID- 26564930
TI - Tenacious Proximal Fusion: The Scobee Phenomenon.
AB - BACKGROUND AND PURPOSE: When patients with intermittent exotropia show an
increase in their near deviation after prolonged monocular occlusion, they have
been said to have tenacious proximal fusion (TPF). That term is not adequately
descriptive, since this finding can occur without the patient having been allowed
to fuse. The purpose of this study is to investigate the possibility that this
phenomenon is mediated by the preponderance of binasal retinal disparity and
uncrossed localization that occurs with near fixation. PATIENTS AND METHODS: Ten
patients with intermittent exotropia who manifested TPF were measured at 6 m, 1/3
m, again at 1/3 m after 1 hour of monocular occlusion, and at 1/3 m with a
peripheral crossed localization stimulating device (PCLSD) that simulated the
retinal bitemporal disparity and peripheral crossed localization usually found
with distance fixation. RESULTS: For the ten patients, the mean measurement at
distance was 28.3Delta+/-3.1, initially at near was 4Delta+/-3.9, at near after
prolonged monocular occlusion was 25.3Delta+/-5.3, and at near with the PCLSD was
18.5Delta+/-4.1. The differences between the initial near measurement and the
measurement with the PCLSD, and between the PCLSD and post-prolonged monocular
occlusion were significant with P<0.001 and P=0.0049, respectively. CONCLUSIONS:
What has been previously referred to as TPF in fact appears to be convergence
induced by the preponderance of binasal retinal disparity and/or peripheral
uncrossed localization typically found with near fixation. For simplicity, I
propose the term TPF should be replaced with the "Scobee Phenomenon."
PMID- 26564931
TI - Unmasking Bilateral Inferior Rectus Restriction in Thyroid Eye Disease: Using
Degree of Cyclotropia.
AB - PURPOSE: Thyroid eye disease (TED) frequently causes strabismus and diplopia.
Though the condition involves multiple extraocular muscles in both eyes, the
inferior rectus (IR) muscle is particularly susceptible. TED may be so asymmetric
as to mask the involvement of the contralateral IR. The purpose of this study was
to determine if the degree of preoperative cyclotropia measured with an objective
test could be used to predict the extent of bilateral IR disease and assist in
surgical planning. METHODS: Adults with TED involving the IR and demonstrating
cyclotropia were enrolled. Preoperative and postoperative sensorimotor exam
included quantification of cyclotropia using double Maddox rod test (DMR). Forced
ductions were done intraoperatively. Degree of cyclotropia was compared to
results of duction tests and surgical outcome. RESULTS: Twelve participating
patients demonstrated excyclotropia on DMR test preoperatively (mean 16+/-9
degrees ). Unilateral IR recession was planned based on the results of
preoperative ocular rotations and forced duction testing in eight cases. The
remaining four underwent bilateral IR recession. Four of the unilateral recession
cases presented with reversal of the hypotropia, obvious limitation of elevation
in the unoperated eye, and persistent symptomatic excyclotropia at the first
postoperative visit. The mean excyclotropia of the bilateral cases was 21+/-5
degrees , compared to 5.5+/-3 degrees in the unilateral group (P<0.005).
CONCLUSIONS: Prism diopters of hypotropia, limitation of elevation, and forced
duction testing evaluate muscle function relative to its yoke and may
underestimate contralateral IR involvement in the case of asymmetrical disease.
Duction testing and forced ductions are qualitative and subjective. Results
suggest that degree of excyclotropia correlates well with severity of IR
restriction, and that amounts exceeding 15 degrees infer bilateral IR
involvement.
PMID- 26564932
TI - Residual Strabismus in Children Following Improvement of Cranial Nerve Palsies
Affecting Ocular Ductions.
AB - BACKGROUND: Children with brain neoplasms often develop cranial nerve palsies
(CNP) affecting ocular ductions. Duction deficits may improve or resolve with
treatment of their intracranial disease. However, these children may be left with
residual strabismus. METHODS: We identified 104 children with third, fourth,
and/or sixth cranial nerve palsies who were treated for central nervous system
(CNS) neoplasms. A retrospective chart review was conducted to determine the
presence or absence of residual strabismus following resolution of duction
deficits. RESULTS: Of the 104 children with CNP secondary to an intracranial
neoplasm, forty-five had improvement or resolution of their duction deficit with
treatment of their CNS lesion. Of these forty-five children, one had a third
cranial nerve palsy, six had fouth cranial nerve palsies (one was bilateral),
thirty-seven had sixth cranial nerve palsies (thirteen were bilateral), and one
had two different cranial nerve palsies in the same eye (fourth and sixth). Of
the eighteen children with improved (but not resolved) duction deficits, only
three (17%) experienced resolution of their strabismus. Of the twenty-seven
children with resolved duction deficits, nine (33%) experienced resolution of
their strabismus. For the children with residual strabismus, the average angle of
strabismus before duction deficits improved or resolved was 33.2Delta; while for
those children without residual strabismus, it was 20Delta. CONCLUSIONS: Our
findings indicated that the majority of children with improved or resolved
duction deficits from CNP after treatment for CNS neoplasms are left with
residual strabismus. Therefore, we suggest children with CNP secondary to CNS
neoplasms need ophthalmic care after duction deficits resolve, as they are likely
to have residual strabismus.
PMID- 26564933
TI - Comparing Visual Acuity Measured by Lea Symbols and Patti Pics.
AB - INTRODUCTION: There is little data validating most illiterate eye charts. Lea
Symbols(r), however, have been well validated in numerous studies. In this study,
we compare the assessment of visual acuity employing both the Lea Symbol hanging
wall Early Treatment Diabetic Retinopathy Study (ETDRS)-style chart and a similar
Patti Pics(r) ETDRS-style chart in order to determine whether the two charts
provide clinically similar data. METHODS: We tested the vision of the right eyes
of fifty-two consecutive patients. Patients were cooperative children or adults
between the ages of 3 and 88 years (mean 58 years). We alternated the order of
the chart used first. Patients were also categorized by age and by visual acuity.
RESULTS: The visual acuities measured by the two charts were equal for 83% of the
measurements (forty-three eyes). In 8% of eyes (four eyes), the visual acuity
measured with the Lea Symbols was one line better than that measured by the Patti
Pics; in 9% of eyes (five eyes), the acuity from the Patti Pics chart measured
one line better than the Lea Symbols. There was no difference in measurements for
either adults or children or among patients with different visual acuities.
CONCLUSION: We believe this study will serve to provide useful information when
choosing an eye chart to assess visual acuity in a clinic setting. Patti Pics
performed similarly to Lea Symbols in adults and children tested in a multi
specialty ophthalmology practice. We suspect that it would also perform similarly
in the primary care and school settings.
PMID- 26564934
TI - Is The Convergence Insufficiency Symptom Survey Specific for Convergence
Insufficiency? A Prospective, Randomized Study.
AB - BACKGROUND AND PURPOSE: The Convergence Insufficiency Symptom Survey (CISS) is a
questionnaire used as an outcome measure in treatment of convergence
insufficiency. The current prospective randomized trial evaluates the diagnostic
specificity of the CISS. PATIENTS AND METHODS: Surveys were completed by 118
adolescent patients who presented for routine eye examinations. Scores were
compared between patients who could be classified as having convergence
insufficiency (CI) or normal binocular vision (NBV). In addition, a comparison
was done between self-and practitioner-administered CISS scores within these
groups. RESULTS: The mean CISS score did not differ significantly between NBV
patients (14.1+/-11.3, range of 0 to 43) and CI patients (12.3+/-6.7, range of 3
to 28); P=0.32. Mean CISS scores were lower when physician-administered (11.4+/
7.9) than when self-administered (16.3+/-11.4); P=0.007. CONCLUSION: CISS scores
tend to be higher when self-vs. practitioner-administered. This study suggests
that the CISS questionnaire is not specific for convergence insufficiency.
PMID- 26564935
TI - Wernicke Encephalopathy.
AB - INTRODUCTION AND PURPOSE: This paper reviews the complaints and associated
symptoms/consequences of lacking essential nutrients and vitamins in our central
and peripheral nervous systems. This has become important as there has been a
rise in malnutrition following the increasing incidence of bariatric surgery for
obesity. METHODS: A case report example involving review of the clinical
presentation and treatment. RESULTS: A 30-year-old Caucasian woman who had
gastric sleeve surgery did not take the recommended capsules as they were too
large to swallow. She noted diplopia and oscillopsia 2 months later, which led
her to have full orthoptic and neuro-ophthalmic evaluations. After being treated
with chewable vitamins with thiamine, she noted a tremendous improvement in her
symptoms. CONCLUSION: Wernicke encephalopathy is a disease that was seen more in
the 1940s and 1950s, following war times and mostly in underdeveloped countries.
However, with the increasing utilization of bariatric surgery for obesity,
neurological offices are seeing more patients with neurological impairments. We
recommend inquiring about any obesity surgery in one's history and including
Wernicke encephalopathy in possible differential diagnoses in those patients who
have a recent onset of strabismus or nystagmus, altered mental status, and/or
gait ataxia.
PMID- 26564936
TI - A Case Report: Consecutive Cranial Neuropathies Following the Use of
Phosphodiesterase-5 Inhibitors.
AB - We report a patient who suffered consecutive cranial neuropathies where each
event was immediately preceded by the use of oral PDE-5 inhibitors. A discussion
of the etiology of the events including possible interaction with other
medications is included.
PMID- 26564937
TI - A Case Series: Exercise-Induced Esotropia.
AB - The authors present three patients with idiopathic exercise-induced esotropia.
The history, physical findings, and testing that led to the diagnosis are
discussed. To the authors' knowledge, this is the largest series of patients with
this rare condition, with this series representing half of reported patients in
the literature. It is hoped that a discussion of common features of the condition
will guide further exploration of the etiology.
PMID- 26564938
TI - Historical Vignette: Robert Marcus Gunn (1850-1909): Scottish Ophthalmologist,
Skilled Observer, and Gifted Teacher.
PMID- 26564942
TI - Readability and Understanding of Informed Consent Among Participants With Low
Incomes: A Preliminary Report.
AB - With passage and implementation of the Affordable Health Care Act, more
vulnerable segments of the U.S. population will now have access to regular health
care and increased opportunities to participate in biomedical research. Yet,
access to new groups brings with it new responsibilities for investigators, most
importantly, reducing burdens for participants. Data collected through this small
pilot study suggest several preliminary but potentially important findings when
working with adults from low-income populations: First, while all participants
read some parts of the consent forms (55%), only a minority reported reading the
entire form (45%); second, 73% of participants reported understanding the study
very well whereas only 27% reported understanding the study "a little"; third,
there was a slight reported advantage of the simplified form over the regular
form; however, this difference varied by section. Relatedly, other research has
shown a high incidence of persons reading none of the consent form, but signing a
statement that they have read and understood the study. Why does this occur? What
are we teaching people when we request that they sign a consent form they have
chosen not to read? What are the ethical and regulatory implications? Embedded
ethics studies such as this one, although pilot and preliminary in nature, offer
a number of advantages, such as stimulating additional scientific inquiry as well
as challenging established institutional practices.
PMID- 26564943
TI - Investigator Experiences and Attitudes About Research With Biospecimens.
AB - To advance scientific knowledge about human diseases and effective therapeutic
treatments, investigators need access to human biospecimens and associated data.
However, regulatory and procedural requirements may impede investigators' efforts
to share biospecimens and data within and across institutions. Although a number
of studies have explored experiences and attitudes of study participants and
others about biospecimen and data sharing, less is known about investigators'
perspectives. We conducted an electronic survey to learn about investigators'
experiences and attitudes about research with biospecimens and associated data. A
total of 114 practicing scientists from a pool of 60 university medical schools
with Clinical and Translational Science Awards (CTSAs) funded by the National
Institutes of Health (NIH) participated. We found a high degree of variability in
investigators' experiences with institutional review boards (IRBs) when seeking
approval to conduct biospecimen research, as well as differences in approaches to
informed consent for the collection of specimens. Participants also expressed
concerns that the risks of biospecimen research may be overestimated by IRBs.
This research suggests that the current regulatory environment for human research
protections may require reconsideration with regard to standards for collection,
use, and sharing of biospecimens and data.
PMID- 26564944
TI - The HIV and Drug Abuse Prevention Research Ethics Training Institute: Training
Early-Career Scientists to Conduct Research on Research Ethics.
AB - The responsible conduct of HIV/drug abuse prevention research requires
investigators with both the knowledge of and ability to generate empirical data
that can enhance global ethical practices and policies. This article describes a
multidisciplinary program offering early-career professionals a 2-year intensive
summer curriculum along with funding to conduct a mentored research study on a
wide variety of HIV/drug abuse research ethics topics. Now in its fifth year, the
program has admitted 29 trainees who have to date demonstrated increased
knowledge of research ethics, produced 17 peer-reviewed publications, 46
professional presentations, and submitted or been awarded five related federal
grants. The institute also hosts a global information platform providing general
and HIV/drug abuse relevant research ethics educational and research resources
that have had more than 38,800 unique visitors from more than 150 countries.
PMID- 26564945
TI - The Impact of Institutional Review Boards (IRBs) on Clinical Innovation: A Survey
of Investigators and IRB Members.
AB - We conducted a survey to assess the perspectives of principal investigators and
Institutional Review Board (IRB) members on the impact of the IRB structure on
the conduct of research and innovative therapy, defined as a nonstandard
treatment intended to enhance the well-being of an individual patient. Although
investigators and IRB members agreed that the IRB provides adequate protection to
study subjects (97% vs. 100%) and an ethically insightful review (88% vs. 100%),
a third of clinical investigators felt that the IRB review process limits
clinical innovation, in comparison with only 4% of IRB representatives.
Limitations of the current IRB review process were explored. We propose several
measures to improve the IRB review process while maintaining the protection of
human research subjects, including the use of centralized IRBs, the opening of
IRB meetings to investigators, the development of metrics and outcome measures
for the IRB, and the promotion of guidelines that distinguish research and
innovative therapy.
PMID- 26564946
TI - Sham Surgery Research.
PMID- 26564947
TI - On the Consequences of Purging and Linkage on Fitness and Genetic Diversity.
AB - Using computer simulation we explore the consequences of linkage on the
inbreeding load of an equilibrium population, and on the efficiency of purging
and the loss of genetic diversity after a reduction in population size. We find
that linkage tends to cause increased inbreeding load due to the build up of
coupling groups of (partially) recessive deleterious alleles. It also induces
associative overdominance at neutral sites but rarely causes increased neutral
genetic diversity in equilibrium populations. After a reduction in population
size, linkage can cause some delay both for the expression of the inbreeding load
and the corresponding purging. However, reasonable predictions can be obtained
for the evolution of fitness under inbreeding and purging by using empirical
estimates of the inbreeding depression rate. Purging selection against
homozygotes for deleterious alleles affects the population's pedigree.
Furthermore, it can slow the loss of genetic diversity compared to that expected
from the variance of gametic contributions to the breeding group and even from
pedigree inbreeding. Under some conditions, this can lead to a smaller loss of
genetic diversity, even below that expected from population size in the absence
of selection.
PMID- 26564948
TI - Comprehensive Transcriptome Analysis of Six Catfish Species from an Altitude
Gradient Reveals Adaptive Evolution in Tibetan Fishes.
AB - Glyptosternoid fishes (Siluriformes), one of the three broad fish lineages (the
two other are schizothoracines and Triplophysa), have a limited distribution in
the rivers in the Tibetan Plateau and peripheral regions. To investigate the
genetic mechanisms underlying adaptation to the Tibetan Plateau in several fish
species from gradient altitudes, a total of 20,659,183-37,166,756 sequence reads
from six species of catfish were generated by Illumina sequencing, resulting in
six assemblies. Analysis of the 1,656 orthologs among the six assembled catfish
unigene sets provided consistent evidence for genome-wide accelerated evolution
in the three glyptosternoid lineages living at high altitudes. A large number of
genes refer to functional categories related to hypoxia and energy metabolism
exhibited rapid evolution in the glyptosternoid lineages relative to yellowhead
catfish living in plains areas. Genes showing signatures of rapid evolution and
positive selection in the glyptosternoid lineages were also enriched in functions
associated with energy metabolism and hypoxia. Our analyses provide novel
insights into highland adaptation in fishes and can serve as a foundation for
future studies aiming to identify candidate genes underlying the genetic basis of
adaptation in Tibetan fishes.
PMID- 26564949
TI - Genome-Wide Estimates of Mutation Rates and Spectrum in Schizosaccharomyces pombe
Indicate CpG Sites are Highly Mutagenic Despite the Absence of DNA Methylation.
AB - We accumulated mutations for 1952 generations in 79 initially identical, haploid
lines of the fission yeast Schizosaccharomyces pombe, and then performed whole
genome sequencing to determine the mutation rates and spectrum. We captured 696
spontaneous mutations across the 79 mutation accumulation (MA) lines. We compared
the mutation spectrum and rate to a recently published equivalent experiment on
the same species, and to another model ascomycetous yeast, the budding yeast
Saccharomyces cerevisiae. While the two species are approximately 600 million
years diverged from each other, they share similar life histories, genome size
and genomic G/C content. We found that Sc. pombe and S. cerevisiae have similar
mutation rates, but Sc. pombe exhibits a stronger insertion bias. Intriguingly,
we observed an increased mutation rate at cytosine nucleotides, specifically CpG
nucleotides, which is also seen in S. cerevisiae. However, the absence of
methylation in Sc. pombe and the pattern of mutation at these sites, primarily C
> A as opposed to C -> T, strongly suggest that the increased mutation rate is
not caused by deamination of methylated cytosines. This result implies that the
high mutability of CpG dinucleotides in other species may be caused in part by a
methylation-independent mechanism. Many of our findings mirror those seen in the
recent study, despite the use of different passaging conditions, indicating that
MA is a reliable method for estimating mutation rates and spectra.
PMID- 26564950
TI - Genomic Prediction Accounting for Residual Heteroskedasticity.
AB - Whole-genome prediction (WGP) models that use single-nucleotide polymorphism
marker information to predict genetic merit of animals and plants typically
assume homogeneous residual variance. However, variability is often heterogeneous
across agricultural production systems and may subsequently bias WGP-based
inferences. This study extends classical WGP models based on normality, heavy
tailed specifications and variable selection to explicitly account for
environmentally-driven residual heteroskedasticity under a hierarchical Bayesian
mixed-models framework. WGP models assuming homogeneous or heterogeneous residual
variances were fitted to training data generated under simulation scenarios
reflecting a gradient of increasing heteroskedasticity. Model fit was based on
pseudo-Bayes factors and also on prediction accuracy of genomic breeding values
computed on a validation data subset one generation removed from the simulated
training dataset. Homogeneous vs. heterogeneous residual variance WGP models were
also fitted to two quantitative traits, namely 45-min postmortem carcass
temperature and loin muscle pH, recorded in a swine resource population dataset
prescreened for high and mild residual heteroskedasticity, respectively. Fit of
competing WGP models was compared using pseudo-Bayes factors. Predictive ability,
defined as the correlation between predicted and observed phenotypes in
validation sets of a five-fold cross-validation was also computed.
Heteroskedastic error WGP models showed improved model fit and enhanced
prediction accuracy compared to homoskedastic error WGP models although the
magnitude of the improvement was small (less than two percentage points net gain
in prediction accuracy). Nevertheless, accounting for residual heteroskedasticity
did improve accuracy of selection, especially on individuals of extreme genetic
merit.
PMID- 26564951
TI - Genome-Wide Mutational Signature of the Chemotherapeutic Agent Mitomycin C in
Caenorhabditis elegans.
AB - Cancer therapy largely depends on chemotherapeutic agents that generate DNA
lesions. However, our understanding of the nature of the resulting lesions as
well as the mutational profiles of these chemotherapeutic agents is limited.
Among these lesions, DNA interstrand crosslinks are among the more toxic types of
DNA damage. Here, we have characterized the mutational spectrum of the commonly
used DNA interstrand crosslinking agent mitomycin C (MMC). Using a combination of
genetic mapping, whole genome sequencing, and genomic analysis, we have
identified and confirmed several genomic lesions linked to MMC-induced DNA damage
in Caenorhabditis elegans. Our data indicate that MMC predominantly causes
deletions, with a 5'-CpG-3' sequence context prevalent in the deleted regions of
DNA. Furthermore, we identified microhomology flanking the deletion junctions,
indicative of DNA repair via nonhomologous end joining. Based on these results,
we propose a general repair mechanism that is likely to be involved in the
biological response to this highly toxic agent. In conclusion, the systematic
study we have described provides insight into potential sequence specificity of
MMC with DNA.
PMID- 26564953
TI - Conduction over and around the atrioventricular node: author's reply.
PMID- 26564952
TI - Gene Expression and Chromatin Modifications Associated with Maize Centromeres.
AB - Centromeres are defined by the presence of CENH3, a variant of histone H3.
Centromeres in most plant species contain exclusively highly repetitive DNA
sequences, which has hindered research on structure and function of centromeric
chromatin. Several maize centromeres have been nearly completely sequenced,
providing a sequence-based platform for genomic and epigenomic research of plant
centromeres. Here we report a high resolution map of CENH3 nucleosomes in the
maize genome. Although CENH3 nucleosomes are spaced ~190 bp on average, CENH3
nucleosomes that occupied CentC, a 156-bp centromeric satellite repeat, showed
clear positioning aligning with CentC monomers. Maize centromeres contain
alternating CENH3-enriched and CENH3-depleted subdomains, which account for 87%
and 13% of the centromeres, respectively. A number of annotated genes were
identified in the centromeres, including 11 active genes that were located
exclusively in CENH3-depleted subdomains. The euchromatic histone modification
marks, including H3K4me3, H3K36me3 and H3K9ac, detected in maize centromeres were
associated mainly with the active genes. Interestingly, maize centromeres also
have lower levels of the heterochromatin histone modification mark H3K27me2
relative to pericentromeric regions. We conclude that neither H3K27me2 nor the
three euchromatic histone modifications are likely to serve as functionally
important epigenetic marks of centromere identity in maize.
PMID- 26564954
TI - Phrenic nerve injury during ablation with the second-generation cryoballoon:
analysis of the temperature drop behaviour in a large cohort of patients.
AB - AIMS: The present study sought to analyse the relationship between the
temperature drop during the cryoenergy application and the occurrence of phrenic
nerve injury (PNI) in a large cohort of patients having undergone second
generation cryoballoon ablation (CB-A). METHODS AND RESULTS: The first 550
consecutive patients having undergone CB-A for atrial fibrillation were enrolled.
Attained temperatures at 20, 30, 40, and 60 s during cryoablation in the right
sided pulmonary veins (PVs) were collected. Diagnosis of PNI was made if reduced
motility or paralysis of the hemidiaphragm was detected. The incidence of PNI in
the study population was 7.3% (40/550); among them, only four (0.7%) did not
resolve until discharge and one (0.2%) still persisted at 23 months. Patients
with PNI exhibited significantly lower temperatures at 20, 30, and 40 s after the
beginning of the cryoapplication in the right superior PV (RSPV) (P = 0.006, P =
0.003, and P = 0.003, respectively). The temperature drop expressed as Delta
temperature/Delta time was also significantly higher in patients with PNI. Low
temperature during the early phases of the freezing cycle (less than -38 degrees
C at 40 s) predicted PNI with a sensitivity of 80.5%, a specificity of 77%, and a
negative predictive value of 97.9%. Among patients with a fast temperature drop
during RSPV ablation, an RSPV diameter >23.55 * 17.95 mm significantly predicted
PNI occurrence. CONCLUSION: The analysis of the temperature course within the
first 40 s after the initiation of the freezing cycle showed that the temperature
dropped significantly faster in patients with PNI during ablation in the RSPV.
PMID- 26564955
TI - Characterizing fast pathway in typical and atypical atrioventricular nodal re
entrant tachycardia by atrial-His and His-atrial: more to consider.
PMID- 26564956
TI - Nanoparticle-mediated rhodopsin cDNA but not intron-containing DNA delivery
causes transgene silencing in a rhodopsin knockout model.
AB - Previously, we compared the efficacy of nanoparticle (NP)-mediated intron
containing rhodopsin (sgRho) vs. intronless cDNA in ameliorating retinal disease
phenotypes in a rhodopsin knockout (RKO) mouse model of retinitis pigmentosa. We
showed that NP-mediated sgRho delivery achieved long-term expression and
phenotypic improvement in RKO mice, but not NP housing cDNA. However, the protein
level of the NP-sgRho construct was only 5-10% of wild-type at 8 mo
postinjection. To have a better understanding of the reduced levels of long-term
expression of the vectors, in the present study, we evaluated the epigenetic
changes of subretinal delivering NP-cDNA vs. NP-sgRho in the RKO mouse eyes.
Following the administration, DNA methylation and histone status of specific
regions (bacteria plasmid backbone, promoter, rhodopsin gene, and scaffold/matrix
attachment region) of the vectors were evaluated at various time points. We
documented that epigenetic transgene silencing occurred in vector-mediated gene
transfer, which were caused by the plasmid backbone and the cDNA of the
transgene, but not the intron-containing transgene. No toxicity or inflammation
was found in the treated eyes. Our results suggest that cDNA of the rhodopsin
transgene and bacteria backbone interfered with the host defense mechanism of DNA
methylation-mediated transgene silencing through heterochromatin-associated
modifications.
PMID- 26564957
TI - Cyanobacterial community composition in Arctic soil crusts at different stages of
development.
AB - Cyanobacterial diversity in soil crusts has been extensively studied in arid
lands of temperate regions, particularly semi-arid steppes and warm deserts.
Nevertheless, Arctic soil crusts have received far less attention than their
temperate counterparts. Here, we describe the cyanobacterial communities from
various types of soil crusts from Svalbard, High Arctic. Four soil crusts at
different development stages (ranging from poorly-developed to well-developed
soil crusts) were analysed using 454 pyrosequencing of the V3-V4 variable region
of the cyanobacterial 16S rRNA gene. Analyses of 95 660 cyanobacterial sequences
revealed a dominance of OTUs belonging to the orders Synechococcales,
Oscillatoriales and Nostocales. The most dominant OTUs in the four studied sites
were related to the filamentous cyanobacteria Leptolyngbya sp. Phylotype richness
estimates increased from poorly- to mid-developed soil crusts and decreased in
the well-developed lichenized soil crust. Moreover, pH, ammonium and organic
carbon concentrations appeared significantly correlated with the cyanobacterial
community structure.
PMID- 26564958
TI - Successive shifts in the microbial community of the surface mucus layer and
tissues of the coral Acropora muricata under thermal stress.
AB - The coral mucus may harbor commensal bacteria that inhibit growth of pathogens.
Therefore, there is a need to understand the dynamics of bacterial communities
between the coral mucus and tissues. Nubbins of Acropora muricata were subjected
to increasing water temperatures of 26 degrees C-33 degrees C, to simultaneously
explore the bacterial diversity in coral mucus and tissues by 16S rRNA gene
amplicon sequencing. Photochemical efficiency of symbiotic dinoflagellates within
the corals declined above 31 degrees C. Both the mucus and tissues of healthy A.
muricata were dominated by gamma-Proteobacteria, but under thermal stress there
was a shift towards bacteria from the Verrucomicrobiaceae and alpha
Proteobacteria. Members of Cyanobacteria, Flavobacteria and Sphingobacteria also
become more prominent at higher temperatures. The relative abundance of Vibrio
spp. in the coral mucus increased at 29 degrees C, but at 31 degrees C, there was
a drop in the relative abundance of Vibrio spp. in the mucus, with a reciprocal
increase in the tissues. On the other hand, during bleaching, the relative
abundance of Endozoicomonas spp. decreased in the tissues with a reciprocal
increase in the mucus. This is the first systematic experiment that shows the
potential for a bacterial community shift between the coral surface mucus and
tissues in a thermally stressed coral.
PMID- 26564959
TI - Cave microbial community composition in oceanic islands: disentangling the effect
of different colored mats in diversity patterns of Azorean lava caves.
AB - Processes determining diversity and composition of bacterial communities in
island volcanic caves are still poorly understood. Here, we characterized colored
microbial mats in 14 volcanic caves from two oceanic islands of the Azores using
16S rRNA gene sequences. Factors determining community diversity (alpha) and
composition (beta) were explored, namely colored mats, caves and islands, as well
as environmental and chemical characteristics of caves. Additive partitioning of
diversity using OTU occurrence showed a greater influence of beta-diversity
between islands and caves that may relate to differences in rare OTUs (singletons
and doubletons) across scales. In contrast, Shannon diversity partitioning
revealed the importance of the lowest hierarchical level (alpha diversity,
colored mat), suggesting a dominance of cosmopolitan OTUs (>1%) in most samples.
Cosmopolitan OTUs included members involved in nitrogen cycling, supporting the
importance of this process in Azorean caves. Environmental and chemical
conditions in caves did not show any significant relationship to OTU diversity
and composition. The absence of clear differences between mat colors and across
scales may be explained by (1) the geological youth of the cave system (cave
communities have not had enough time to diverge) or/and (2) community
convergence, as the result of selection pressure in extreme environments.
PMID- 26564960
TI - Q-ing tumor glutaminase for therapy.
PMID- 26564961
TI - Mitochondrial mass and DNA repair in breast cancer stem cells.
PMID- 26564962
TI - Myelin oligodendrocyte glycoprotein (MOG35-55)-induced experimental autoimmune
encephalomyelitis is ameliorated in interleukin-32 alpha transgenic mice.
AB - Multiple sclerosis (MS), also known as disseminated sclerosis or
encephalomyelitis disseminate, is an inflammatory disease in which myelin in the
spinal cord and brain are damaged. IL-32alpha is known as a critical molecule in
the pathophysiology of immune-mediated chronic inflammatory disease such as
rheumatoid arthritis, chronic pulmonary disease, and cancers. However, the role
of IL-32alpha on spinal cord injuries and demyelination is poorly understood.
Recently, we reported that the release of proinflammatory cytokines were reduced
in IL-32alpha-overexpressing transgenic mice. In this study, we investigated
whether IL-32alpha plays a role on MS using experimental autoimmune
encephalomyelitis (EAE), an experimental mouse model of MS, in human IL-32alpha
Tg mice. The Tg mice were immunized with MOG35-55 suspended in CFA emulsion
followed by pertussis toxin, and then EAE paralysis of mice was scored. We
observed that the paralytic severity and neuropathology of EAE in IL-32alpha Tg
mice were significantly decreased compared with that of non-Tg mice. The immune
cells infiltration, astrocytes/microglials activation, and pro-inflammatory
cytokines (IL-1beta and IL-6) levels in spinal cord were suppressed in IL-32alpha
Tg mice. Furthermore, NG2 and O4 were decreased in IL-32alpha Tg mice, indicating
that spinal cord damaging was suppressed. In addition, in vitro assay also
revealed that IL-32alpha has a preventive role against Con A stimulation which is
evidenced by decrease in T cell proliferation and inflammatory cytokine levels in
IL-32alpha overexpressed Jurkat cell. Taken together, our findings suggested that
IL-32alpha may play a protective role in EAE by suppressing neuroinflammation in
spinal cord.
PMID- 26564963
TI - Inflammasome effects in GvHD.
PMID- 26564965
TI - Dissociation of cephamycin C and clavulanic acid biosynthesis by 1,3
diaminopropane in Streptomyces clavuligerus.
AB - Streptomyces clavuligerus produces simultaneously cephamycin C (CephC) and
clavulanic acid (CA). Adding 1,3-diaminopropane to culture medium stimulates
production of beta-lactam antibiotics. However, there are no studies on the
influence of this diamine on coordinated production of CephC and CA. This study
indicates that 1,3-diaminopropane can dissociate CephC and CA productions.
Results indicated that low diamine concentrations (below 1.25 g l(-1)) in culture
medium increased CA production by 200%, but not that of CephC. Conversely, CephC
production increased by 300% when 10 g l(-1) 1,3-diaminopropane was added to
culture medium. Addition of just L-lysine (18.3 g l(-1)) to culture medium
increased both biocompounds. On the other hand, while L-lysine plus 7.5 g l(-1)
1,3-diaminopropane increased volumetric production of CephC by 1100%, its impact
on CA production was insignificant. The combined results suggest that
extracellular concentration of 1,3-diaminopropane may trigger the dissociation of
CephC and CA biosynthesis in S. clavuligerus.
PMID- 26564964
TI - A comprehensive multiomics approach toward understanding the relationship between
aging and dementia.
AB - Because age is the greatest risk factor for sporadic Alzheimer's disease (AD),
phenotypic screens based upon old age-associated brain toxicities were used to
develop the potent neurotrophic drug J147. Since certain aspects of aging may be
primary cause of AD, we hypothesized that J147 would be effective against AD
associated pathology in rapidly aging SAMP8 mice and could be used to identify
some of the molecular contributions of aging to AD. An inclusive and integrative
multiomics approach was used to investigate protein and gene expression,
metabolite levels, and cognition in old and young SAMP8 mice. J147 reduced
cognitive deficits in old SAMP8 mice, while restoring multiple molecular markers
associated with human AD, vascular pathology, impaired synaptic function, and
inflammation to those approaching the young phenotype. The extensive assays used
in this study identified a subset of molecular changes associated with aging that
may be necessary for the development of AD.
PMID- 26564966
TI - Detection of psychrophilic and psychrotolerant Clostridium spp. in chilled fresh
vacuum-packed meat using different PCR methods.
AB - Since 1989, blown pack spoilage has been recognized as a special form of spoilage
in vacuum-packed raw and cooked beef. However, only limited information
concerning the occurrences of bacteria causing blown pack spoilage on chilled
fresh meat is available. In this study, a total of 63 beef and 33 lamb
commercially available samples from different countries and without any signs of
spoilage were examined for contamination with psychrophilic and psychrotolerant
Clostridium spp. using different PCR systems. In total, 34.4% of the chilled
fresh vacuum-packed meats were PCR positive. A higher number of lamb samples were
identified as PCR positive compared with beef. A geographical relationship
between positive results and the origin of the samples could not be determined.
PCR system described by Brightwell and Clemens (Development and validation of a
real-time PCR assay specific for Clostridium estertheticum and C. estertheticum
like psychrotolerant bacteria. Meat Sci 2012;92:697-703) gave the highest number
of positive detections compared with the Broda, Boerema and Bell PCR system (PCR
detection of psychrophilic Clostridium spp. causing 'blown pack' spoilage of
vacuum-packed chilled meats. J Appl Microbiol 2003;94:515-22). Eight clostridia
isolates from two German beef and four Welsh lamb samples were isolated overall.
Three of these clostridia isolates were identified as Clostridium estertheticum
whereas five clostridia isolates remain unidentified. The study shows that
psychrophilic and psychrotolerant Clostridium spp. are more prevalent in retail
samples than previously suspected.
PMID- 26564968
TI - Pulsar timing arrays: the promise of gravitational wave detection.
AB - We describe the history, methods, tools, and challenges of using pulsars to
detect gravitational waves. Pulsars act as celestial clocks detecting
gravitational perturbations in space-time at wavelengths of light-years. The
field is poised to make its first detection of nanohertz gravitational waves in
the next 10 years. Controversies remain over how far we can reduce the noise in
the pulsars, how many pulsars should be in the array, what kind of source we will
detect first, and how we can best accommodate our large bandwidth systems. We
conclude by considering the important question of how to plan for a post
detection era, beyond the first detection of gravitational waves.
PMID- 26564967
TI - Natural mummification of the human gut preserves bacteriophage DNA.
AB - The natural mummification process of the human gut represents a unique
opportunity to study the resulting microbial community structure and composition.
While results are providing insights into the preservation of bacteria, fungi,
pathogenic eukaryotes and eukaryotic viruses, no studies have demonstrated that
the process of natural mummification also results in the preservation of
bacteriophage DNA. We characterized the gut microbiome of three pre-Columbian
Andean mummies, namely FI3, FI9 and FI12, and found sequences homologous to
viruses. From the sequences attributable to viruses, 50.4% (mummy FI3), 1.0%
(mummy FI9) and 84.4% (mummy FI12) were homologous to bacteriophages. Sequences
corresponding to the Siphoviridae, Myoviridae, Podoviridae and Microviridae
families were identified. Predicted putative bacterial hosts corresponded mainly
to the Firmicutes and Proteobacteria, and included Bacillus, Staphylococcus,
Clostridium, Escherichia, Vibrio, Klebsiella, Pseudomonas and Yersinia. Predicted
functional categories associated with bacteriophages showed a representation of
structural, replication, integration and entry and lysis genes. The present study
suggests that the natural mummification of the human gut results in the
preservation of bacteriophage DNA, representing an opportunity to elucidate the
ancient phageome and to hypothesize possible mechanisms of preservation.
PMID- 26564969
TI - Preface.
PMID- 26564970
TI - pubmed.mineR: an R package with text-mining algorithms to analyse PubMed
abstracts.
AB - The PubMed literature database is a valuable source of information for scientific
research. It is rich in biomedical literature with more than 24 million
citations. Data-mining of voluminous literature is a challenging task. Although
several text-mining algorithms have been developed in recent years with focus on
data visualization, they have limitations such as speed, are rigid and are not
available in the open source. We have developed an R package, pubmed.mineR,
wherein we have combined the advantages of existing algorithms, overcome their
limitations, and offer user flexibility and link with other packages in
Bioconductor and the Comprehensive R Network (CRAN) in order to expand the user
capabilities for executing multifaceted approaches. Three case studies are
presented, namely, 'Evolving role of diabetes educators', 'Cancer risk
assessment' and 'Dynamic concepts on disease and comorbidity' to illustrate the
use of pubmed.mineR. The package generally runs fast with small elapsed times in
regular workstations even on large corpus sizes and with compute intensive
functions. The pubmed.mineR is available at http://cran.rproject.
org/web/packages/pubmed.mineR.
PMID- 26564971
TI - Analysis of core-periphery organization in protein contact networks reveals
groups of structurally and functionally critical residues.
AB - The representation of proteins as networks of interacting amino acids, referred
to as protein contact networks (PCN), and their subsequent analyses using graph
theoretic tools, can provide novel insights into the key functional roles of
specific groups of residues. We have characterized the networks corresponding to
the native states of 66 proteins (belonging to different families) in terms of
their core-periphery organization. The resulting hierarchical classification of
the amino acid constituents of a protein arranges the residues into successive
layers - having higher core order - with increasing connection density, ranging
from a sparsely linked periphery to a densely intra-connected core (distinct from
the earlier concept of protein core defined in terms of the three-dimensional
geometry of the native state, which has least solvent accessibility). Our results
show that residues in the inner cores are more conserved than those at the
periphery. Underlining the functional importance of the network core, we see that
the receptor sites for known ligand molecules of most proteins occur in the
innermost core. Furthermore, the association of residues with structural pockets
and cavities in binding or active sites increases with the core order. From
mutation sensitivity analysis, we show that the probability of deleterious or
intolerant mutations also increases with the core order. We also show that
stabilization centre residues are in the innermost cores, suggesting that the
network core is critically important in maintaining the structural stability of
the protein. A publicly available Web resource for performing core-periphery
analysis of any protein whose native state is known has been made available by us
at http://www.imsc.res.in/ ~sitabhra/proteinKcore/index.html.
PMID- 26564972
TI - MIPCE: an MI-based protein complex extraction technique.
AB - Protein-protein interaction (PPI) networks are believed to be important sources
of information related to biological processes and complex metabolic functions of
the cell. Identifying protein complexes is of great importance for understanding
cellular organization and functions of organisms. In this work, a method is
proposed, referred to as MIPCE, to find protein complexes in a PPI network based
on mutual information.MIPCE has been biologically validated by GO-based score and
satisfactory results have been obtained. We have also compared our method with
some wellknown methods and obtained better results in terms of various parameters
such as precession, recall and F-measure.
PMID- 26564973
TI - DNA pattern recognition using canonical correlation algorithm.
AB - We performed canonical correlation analysis as an unsupervised statistical tool
to describe related views of the same semantic object for identifying patterns. A
pattern recognition technique based on canonical correlation analysis (CCA) was
proposed for finding required genetic code in the DNA sequence. Two related but
different objects were considered: one was a particular pattern, and other was
test DNA sequence. CCA found correlations between two observations of the same
semantic pattern and test sequence. It is concluded that the relationship
possesses maximum value in the position where the pattern exists. As a case
study, the potential of CCA was demonstrated on the sequence found from HIV-1
preferred integration sites. The subsequences on the left and right flanking from
the integration site were considered as the two views, and statistically
significant relationships were established between these two views to elucidate
the viral preference as an important factor for the correlation.
PMID- 26564974
TI - Feature selection using feature dissimilarity measure and density-based
clustering: application to biological data.
AB - Reduction of dimensionality has emerged as a routine process in modelling complex
biological systems. A large number of feature selection techniques have been
reported in the literature to improve model performance in terms of accuracy and
speed. In the present article an unsupervised feature selection technique is
proposed, using maximum information compression index as the dissimilarity
measure and the well-known density-based cluster identification technique DBSCAN
for identifying the largest natural group of dissimilar features. The algorithm
is fast and less sensitive to the user-supplied parameters. Moreover, the method
automatically determines the required number of features and identifies them. We
used the proposed method for reducing dimensionality of a number of benchmark
data sets of varying sizes. Its performance was also extensively compared with
some other well-known feature selection methods.
PMID- 26564975
TI - Semi-supervised prediction of gene regulatory networks using machine learning
algorithms.
AB - Use of computational methods to predict gene regulatory networks (GRNs) from gene
expression data is a challenging task. Many studies have been conducted using
unsupervised methods to fulfill the task; however, such methods usually yield low
prediction accuracies due to the lack of training data. In this article, we
propose semi-supervised methods for GRN prediction by utilizing two machine
learning algorithms, namely, support vector machines (SVM) and random forests
(RF). The semi-supervised methods make use of unlabelled data for training. We
investigated inductive and transductive learning approaches, both of which adopt
an iterative procedure to obtain reliable negative training data from the
unlabelled data. We then applied our semi-supervised methods to gene expression
data of Escherichia coli and Saccharomyces cerevisiae, and evaluated the
performance of our methods using the expression data. Our analysis indicated that
the transductive learning approach outperformed the inductive learning approach
for both organisms. However, there was no conclusive difference identified in the
performance of SVM and RF. Experimental results also showed that the proposed
semi-supervised methods performed better than existing supervised methods for
both organisms.
PMID- 26564976
TI - Identification of certain cancer-mediating genes using Gaussian fuzzy cluster
validity index.
AB - In this article, we have used an index, called Gaussian fuzzy index (GFI),
recently developed by the authors, based on the notion of fuzzy set theory, for
validating the clusters obtained by a clustering algorithm applied on cancer gene
expression data. GFI is then used for the identification of genes that have
altered quite significantly from normal state to carcinogenic state with respect
to their mRNA expression patterns. The effectiveness of the methodology has been
demonstrated on three gene expression cancer datasets dealing with human lung,
colon and leukemia. The performance of GFI is compared with 19 exiting cluster
validity indices. The results are appropriately validated biologically and
statistically. In this context, we have used biochemical pathways, p-value
statistics of GO attributes, t-test and zscore for the validation of the results.
It has been reported that GFI is capable of identifying high-quality enriched
clusters of genes, and thereby is able to select more cancer-mediating genes.
PMID- 26564977
TI - Graph-based unsupervised feature selection and multiview clustering for
microarray data.
AB - A challenge in bioinformatics is to analyse volumes of gene expression data
generated through microarray experiments and obtain useful information.
Consequently, most microarray studies demand complex data analysis to infer
biologically meaningful information from such high-throughput data. Selection of
informative genes is an important data analysis step to identify a set of genes
which can further help in finding the biological information embedded in
microarray data, and thus assists in diagnosis, prognosis and treatment of the
disease. In this article we present an unsupervised feature selection technique
which attempts to address the goal of explorative data analysis, unfolding the
multi-faceted nature of data. It focuses on extracting multiple clustering views
considering the diversity of each view from high-dimensional data. We evaluated
our technique on benchmark data sets and the experimental results indicates the
potential and effectiveness of the proposed model in comparison to the
traditional single view clustering models, as well as other existing methods used
in the literature for the studied datasets.
PMID- 26564979
TI - Knowledge-based analysis of functional impacts of mutations in microRNA seed
regions.
AB - MicroRNAs are a class of important post-transcriptional regulators. Genetic and
somatic mutations in miRNAs, especially those in the seed regions, have profound
and broad impacts on gene expression and physiological and pathological
processes. Over 500 SNPs were mapped to the miRNA seeds, which are located at
position 2-8 of the mature miRNA sequences. We found that the central positions
of the miRNA seeds contain fewer genetic variants and therefore are more
evolutionary conserved than the peripheral positions in the seeds. We developed a
knowledgebased method to analyse the functional impacts of mutations in miRNA
seed regions. We computed the gene ontology-based similarity score GOSS and the
GOSS percentile score for all 517 SNPs in miRNA seeds. In addition to the
annotation of SNPs for their functional effects, in the present article we also
present a detailed analysis pipeline for finding the key functional changes for
seed SNPs. We performed a detailed gene ontology graph-based analysis of enriched
functional categories for miRNA target gene sets. In the analysis of a SNP in the
seed region of hsa-miR-96 we found that two key biological processes for
progressive hearing loss 'Neurotrophin TRK receptor signaling pathway' and
'Epidermal growth factor receptor signaling pathway' were significantly and
differentially enriched by the two sets of allele-specific target genes of miRNA
hsa-miR-96.
PMID- 26564978
TI - Temporal protein expression pattern in intracellular signalling cascade during T
cell activation: a computational study.
AB - Various T-cell co-receptor molecules and calcium channel CRAC play a pivotal role
in the maintenance of cell's functional responses by regulating the production of
effector molecules (mostly cytokines) that aids in immune clearance and also
maintaining the cell in a functionally active state. Any defect in these co
receptor signalling pathways may lead to an altered expression pattern of the
effector molecules. To study the propagation of such defects with time and their
effect on the intracellular protein expression patterns, a comprehensive and
largest pathway map of T-cell activation network is reconstructed manually. The
entire pathway reactions are then translated using logical equations and
simulated using the published time series microarray expression data as inputs.
After validating the model, the effect of in silico knock down of co-receptor
molecules on the expression patterns of their downstream proteins is studied and
simultaneously the changes in the phenotypic behaviours of the T-cell population
are predicted, which shows significant variations among the proteins expression
and the signalling routes through which the response is propagated in the
cytoplasm. This integrative computational approach serves as a valuable technique
to study the changes in protein expression patterns and helps to predict
variations in the cellular behaviour.
PMID- 26564980
TI - Phylogeny of metabolic networks: a spectral graph theoretical approach.
AB - Many methods have been developed for finding the commonalities between different
organisms in order to study their phylogeny. The structure of metabolic networks
also reveals valuable insights into metabolic capacity of species as well as into
the habitats where they have evolved. We constructed metabolic networks of 79
fully sequenced organisms and compared their architectures. We used spectral
density of normalized Laplacian matrix for comparing the structure of networks.
The eigenvalues of this matrix reflect not only the global architecture of a
network but also the local topologies that are produced by different graph
evolutionary processes like motif duplication or joining. A divergence measure on
spectral densities is used to quantify the distances between various metabolic
networks, and a split network is constructed to analyse the phylogeny from these
distances. In our analysis, we focused on the species that belong to different
classes, but appear more related to each other in the phylogeny. We tried to
explore whether they have evolved under similar environmental conditions or have
similar life histories. With this focus, we have obtained interesting insights
into the phylogenetic commonality between different organisms.
PMID- 26564981
TI - Protein-protein interaction site prediction in Homo sapiens and E. coli using an
interaction-affinity based membership function in fuzzy SVM.
AB - Protein-protein interaction (PPI) site prediction aids to ascertain the interface
residues that participate in interaction processes. Fuzzy support vector machine
(F-SVM) is proposed as an effective method to solve this problem, and we have
shown that the performance of the classical SVM can be enhanced with the help of
an interaction-affinity based fuzzy membership function. The performances of both
SVM and F-SVM on the PPI databases of the Homo sapiens and E. coli organisms are
evaluated and estimated the statistical significance of the developed method over
classical SVM and other fuzzy membership-based SVM methods available in the
literature. Our membership function uses the residue-level interaction affinity
scores for each pair of positive and negative sequence fragments. The average AUC
scores in the 10-fold cross-validation experiments are measured as 79.94% and
80.48% for the Homo sapiens and E. coli organisms respectively. On the
independent test datasets, AUC scores are obtained as 76.59% and 80.17%
respectively for the two organisms. In almost all cases, the developed F-SVM
method improves the performances obtained by the corresponding classical SVM and
the other classifiers, available in the literature.
PMID- 26564982
TI - Flux balance analysis of genome-scale metabolic model of rice (Oryza sativa):
aiming to increase biomass.
AB - Due to socio-economic reasons, it is essential to design efficient stress
tolerant, more nutritious, high yielding rice varieties. A systematic
understanding of the rice cellular metabolism is essential for this purpose.
Here, we analyse a genome-scale metabolic model of rice leaf using Flux Balance
Analysis to investigate whether it has potential metabolic flexibility to
increase the biosynthesis of any of the biomass components. We initially simulate
the metabolic responses under an objective to maximize the biomass components.
Using the estimated maximum value of biomass synthesis as a constraint, we
further simulate the metabolic responses optimizing the cellular economy.
Depending on the physiological conditions of a cell, the transport capacities of
intracellular transporters (ICTs) can vary. To mimic this physiological state, we
randomly vary the ICTs' transport capacities and investigate their effects. The
results show that the rice leaf has the potential to increase glycine and starch
in a wide range depending on the ICTs' transport capacities. The predicted
biosynthesis pathways vary slightly at the two different optimization conditions.
With the constraint of biomass composition, the cell also has the metabolic
plasticity to fix a wide range of carbon-nitrogen ratio.
PMID- 26564983
TI - Trehalose-6-phosphate synthase and stabilization of yeast glycolysis.
PMID- 26564984
TI - Quantification of oxidative stress phenotypes based on high-throughput growth
profiling of protein kinase and phosphatase knockouts.
AB - Cellular responses to oxidative stress are important for restoring redox balance
and ensuring cell survival. Genetic defects in response factors can lead to
impaired response to oxidative damage and contribute to disease and aging. In
single cell organisms, such as yeasts, the integrity of the oxidative stress
response can be observed through its influences on growth characteristics. In
this study, we investigated the time-dependent batch growth effects as a function
of oxidative stress levels in protein kinase and phosphatase deletion backgrounds
of Saccharomyces cerevisiae. In total, 41 different protein kinases and
phosphatase mutants were selected for their known activities in oxidative stress
or other stress response pathways and were investigated for their dosage
dependent response to hydrogen peroxide. Detailed growth profiles were analyzed
after the induction of stress for growth rate, lag time duration and growth
efficiency, and by a novel method to identify stress-induced diauxic shift delay.
This approach extracts more phenotypic information than traditional plate-based
methods due to the assessment of time dynamics in the time scale of minutes. With
this approach, we were able to identify surprisingly diverse sensitivity and
resistance patterns as a function of gene knockout.
PMID- 26564986
TI - The normativity of life scripts and its relation with life story events across
cultures and subcultures.
AB - This study explored the normativity of individual life scripts and their relation
to actual life story memories across countries (Turkey and Germany) and
subcultures (urban vs. rural, of migrant vs. of indigenous descent). Young adults
from provincial Karabuk and metropolitan Istanbul (Turkey), second generation
Turkish migrants and Germans from Frankfurt a.M. (Germany) provided both their
individual versions of the life script and seven most important personal
memories. We expected the agreement on the life script, that is, its normativity,
and correspondingly its guiding influence on the selection of life story memories
to correlate positively with a collectivistic, negatively an individualistic
cultural orientation, that is, to be highest in provincial Karabuk, less in
Istanbul, still less in Turkish migrants in Germany, and finally lowest in native
Germans. The study confirmed expectations for the normativity of life scripts,
but not for the normativity of most important memories. We conclude that the
normativity of life scripts is influenced both by the individualist vs.
collectivist orientation.
PMID- 26564985
TI - Molecular response of chorioretinal endothelial cells to complement injury:
implications for macular degeneration.
AB - Age-related macular degeneration (AMD) is a common, blinding disease of the
elderly in which macular photoreceptor cells, retinal pigment epithelium and
choriocapillaris endothelial cells ultimately degenerate. Recent studies have
found that degeneration of the choriocapillaris occurs early in this disease and
that endothelial cell drop-out is concomitant with increased deposition of the
complement membrane attack complex (MAC) at the choroidal endothelium. However,
the impact of MAC injury to choroidal endothelial cells is poorly understood. To
model this event in vitro, and to study the downstream consequences of MAC
injury, endothelial cells were exposed to complement from human serum, compared
to heat-inactivated serum, which lacks complement components. Cells exposed to
complement components in human serum showed increased labelling with antibodies
directed against the MAC, time- and dose-dependent cell death, as assessed by
lactate dehydrogenase assay and increased permeability. RNA-Seq analysis
following complement injury revealed increased expression of genes associated
with angiogenesis including matrix metalloproteinase (MMP)-3 and -9, and VEGF-A.
The MAC-induced increase in MMP9 RNA expression was validated using C5-depleted
serum compared to C5-reconstituted serum. Increased levels of MMP9 were also
established, using western blot and zymography. These data suggest that, in
addition to cell lysis, complement attack on choroidal endothelial cells promotes
an angiogenic phenotype in surviving cells.
PMID- 26564987
TI - Study of the nucleation and growth of antibiotic labeled Au NPs and blue
luminescent Au8 quantum clusters for Hg(2+) ion sensing, cellular imaging and
antibacterial applications.
AB - Herein, we report a detailed experimental study supported by DFT calculations to
understand the mechanism behind the synthesis of cefradine (CFD--an antibiotic)
labeled gold nanoparticles (Au NPs) by employing CFD as both a mild reducing and
capping agent. The analysis of the effect of growth conditions reveals that a
higher concentration of HAuCl4 results in the formation of an increasing fraction
of anisotropic structures, higher temperature leads to the formation of quasi
spherical particles instead of anisotropic ones, and larger pH leads to the
formation of much smaller particles. The cyclic voltammetry (CV) results show
that when the pH of the reaction medium increases from 4 to 6, the reduction
potential of CFD increases which leads to the synthesis of nanoparticles (in a pH
4 reaction) to quantum clusters (in a pH 6 reaction). The MALDI-TOF mass
spectrometry results of supernatant of the pH 6 reaction indicate the formation
of [Au8(CFD)2S6] QCs which show fluorescence at ca. 432 nm with a Stokes shift of
ca. 95 nm. The blue luminescence from Au8 QCs was applied for sensing of Hg(2+)
ions on the basis of an aggregation-induced fluorescence quenching mechanism and
offers good selectivity and a high sensitivity with a limit of detection ca. 2 nM
which is lower than the detection requirement of 10 nM by the U.S. EPA and 30 nM
by WHO for drinking water. We have also applied the sensing probe to detect
Hg(2+) ions in bacterial samples. Further, we have investigated the antibacterial
property of as-synthesized Au NPs using MIC, growth curve and cell survival
assay. The results show that Au NPs could reduce the cell survival very
efficiently rather than the cell growth in comparison to the antibiotic itself.
The scanning electron microscopy study shows the degradation and blebbing of the
bacterial cell wall upon exposure with Au NPs which was further supported by
fluorescence microscopy results. These Au NPs did not show reactive oxygen
species generation. We believe that the bacterial cytotoxicity is due to the
direct contact of the Au NPs with bacterial cells.
PMID- 26564988
TI - NUR77 exerts a protective effect against inflammatory bowel disease by negatively
regulating the TRAF6/TLR-IL-1R signalling axis.
AB - Nur77, an immediate-early response gene, participates in a wide range of
biological functions. Its human homologue, NUR77, is known by several names and
has the HGNC-approved gene symbol NR4A1. However, the role of Nur77 in
inflammatory bowel disease (IBD) and its underlying mechanisms remain elusive.
Here, using public data from the International Inflammatory Bowel Disease
Genetics Consortium (IIBDGC) on the most recent genome-wide association studies
(GWAS) for ulcerative colitis (UC) and Crohn's disease (CD), we found that
genetic variants of the NUR77 gene are associated with increased risk for both UC
and CD. Accordingly, Nur77 expression was significantly reduced in colon tissues
from patients with UC or CD and mice treated with DSS. Nur77 deficiency increased
the susceptibility of mice to DSS-induced experimental colitis and prevented
intestinal recovery, whereas treatment with cytosporone B (Csn-B), an agonist for
Nur77, significantly attenuated excessive inflammatory response in the DSS
induced colitis mouse model. Mechanistically, NUR77 acts as a negative regulator
of TLR-IL-1R signalling by interacting with TRAF6. This interaction prevented
auto-ubiquitination and oligomerization of TRAF6 and subsequently inhibited NF
kappaB activation and pro-inflammatory cytokine production. Taken together, our
GWAS-based analysis and in vitro and in vivo studies have demonstrated that Nur77
is an important regulator of TRAF6/TLR-IL-1R-initiated inflammatory signalling,
and loss of Nur77 may contribute to the development of IBD, suggesting Nur77 as a
potential target for the prevention and treatment of IBD.
PMID- 26564989
TI - Male circumcision to prevent syphilis in 1855 and HIV in 1986 is supported by the
accumulated scientific evidence to 2015: Response to Darby.
AB - An article by Darby disparaging male circumcision (MC) for syphilis prevention in
Victorian times (1837-1901) and voluntary medical MC programs for HIV prevention
in recent times ignores contemporary scientific evidence. It is one-sided and
cites outlier studies as well as claims by MC opponents that support the author's
thesis, but ignores high quality randomised controlled trials and meta-analyses.
While we agree with Darby that risky behaviours contribute to syphilis and HIV
epidemics, there is now compelling evidence that MC helps reduce both syphilis
and HIV infections. Although some motivations for MC in Victorian times were
misguided, others, such as protection against syphilis, penile cancer, phimosis,
balanitis and poor hygiene have stood the test of time. In the absence of a cure
or effective prophylactic vaccine for HIV, MC should help lower heterosexually
acquired HIV, especially when coupled with other interventions such as condoms
and behaviour. This should save lives, as well as reducing costs and suffering.
In contrast to Darby, our evaluation of the evidence leads us to conclude that MC
would likely have helped reduce syphilis in Victorian times and, in the current
era, will help lower both syphilis and HIV, so improving global public health.
PMID- 26564990
TI - Novel MoSe2 hierarchical microspheres for applications in visible-light-driven
advanced oxidation processes.
AB - Advanced oxidation processes as a green technology have been adopted by combining
the semiconductor catalyst MoSe2 with H2O2 under visible radiation. And novel
three-dimensional self-assembled molybdenum diselenide (MoSe2) hierarchical
microspheres from nanosheets were produced by using organic, selenium cyanoacetic
acid sodium (NCSeCH2COONa) as the source of Se. The obtained products possess
good crystallinity and present hierarchical structures with the average diameter
of 1 MUm. The band gap of MoSe2 microspheres is 1.68 eV and they present
excellent photocatalytic activity under visible light irradiation in the MoSe2
H2O2 system. This effective photocatalytic mechanism was investigated in this
study and can be attributed to visible-light-driven advanced oxidation processes.
PMID- 26564991
TI - Gingival crevicular fluid levels of protease-activated receptors type 1 and type
2 in diabetic patients with periodontitis.
AB - BACKGROUND AND OBJECTIVE: Protease activated receptor type 1 (PAR1 ) seems to
play a role in periodontal repair, while PAR2 is associated with periodontal
inflammation. As diabetes is a known risk factor for periodontal disease, the aim
of this study was to evaluate the influence of type 2 diabetes on PAR1 and PAR2
mRNA expression in the gingival crevicular fluid of patients with chronic
periodontitis before and after non-surgical periodontal treatment. MATERIAL AND
METHODS: Gingival crevicular fluid samples and clinical parameters consisting of
measuring probing depth, clinical attachment level, bleeding on probing and
plaque index were collected from systemically healthy patients and patients with
type 2 diabetes and chronic periodontitis, at baseline and after non-surgical
periodontal therapy. PAR1 and PAR2 , as well as the presence of the proteases
RgpB gingipain and neutrophil proteinase-3 were assessed by quantitative
polymerase chain reaction in the gingival crevicular fluid. RESULTS: The
periodontal clinical parameters significantly improved after periodontal therapy
(p < 0.01). Diabetes led to increased expression of PAR1 in gingival crevicular
fluid, and in the presence of chronic periodontitis, it significantly decreased
the expression of PAR1 and PAR2 (p < 0.05). Moreover, non-surgical periodontal
treatment in diabetics resulted in increased expression of PAR1 and PAR2 (p <
0.05), and decreased expression of RgpB gingipain and proteinase-3 (p < 0.05).
CONCLUSION: The present data demonstrated that diabetes was associated with an
altered expression of PAR1 and PAR2 in the gingival crevicular fluid cells of
subjects with chronic periodontitis. Future studies are necessary to elucidate
the effects of PAR1 upregulation in periodontally healthy sites and PAR2
downregulation in chronic periodontitis sites on the increased susceptibility and
severity of periodontitis in diabetes.
PMID- 26564992
TI - Social support influences on eating awareness in children and adolescents: the
mediating effect of self-regulatory strategies.
AB - The impact of the social environment on healthy eating awareness results from
complex interactions among physical, economic, cultural, interpersonal and
individual characteristics. This study investigated the impact of social support
and social influence on healthy eating awareness, controlling for socio-economic
status, gender and age. Additionally, the mediating effect of self-regulation
strategies was examined. A total of 2764 children and adolescents aged 10-17 from
four European countries completed self-report measures on healthy eating
awareness, social influence and the use of self-regulation strategies. Healthy
eating awareness and the use of self-regulation strategies were more likely to
occur among younger participants. An interaction between gender and age was
related to the use of some self-regulation strategies; compared to girls, boys
decreased the use of self-regulation strategies more from pre-adolescence to
adolescence. Peer social influence was associated with more unhealthy eating in
older participants. Results suggest a need to promote self-regulatory competences
among young people in order to assist them with regulating their eating
behaviours, especially in the presence of peers. Both school-based interventions
and family-based interventions, focusing on self-regulation cognitions and social
(peer) influence, could help children and adolescents to use self-regulatory
strategies which are essential to eat healthier.
PMID- 26564993
TI - Improving global health - is tourism's role in poverty elimination perpetuating
poverty, powerlessness and 'ill-being'?
AB - The spectrum of challenges for public health in a global context is ever
expanding. It is difficult for health professionals to keep informed about
details of key issues affecting global health determinants such as poverty.
Tourism is seen as one strategy to eliminate poverty in developing countries and
to improve global health, but the industry struggles with keeping its promise.
Apart from often negative impacts on the well-being of local communities, it also
turns out not to be as altruistic as it appears at first sight. Discourses
largely focus on power and control of the non-poor over the poor despite all the
rhetoric to the contrary. Economic aspects still dictate the debate rather than
local people's understanding of well-being. Only with a major shift in the
approach to local populations, acknowledging the communities' right to self
determination and accepting them as equal partners with access to genuine
benefits, will this disturbing imbalance be redressed and allow better health for
more people possible. Public health professionals should question claims about
the beneficial influence of tourism in poor regions and not lower their vigilance
for poverty-related health problems, so that the poor are not overlooked when all
other stakeholders are busy with their own agenda.
PMID- 26564994
TI - Efficacy of intravenous methylprednisolone pulse therapy in patients with
multiple sclerosis and neuromyelitis optica.
AB - BACKGROUND: No large-scale studies have compared the efficacy of intravenous
methylprednisolone pulse therapy (IVMP) for multiple sclerosis (MS) and
neuromyelitis optica (NMO). OBJECTIVE: To explain differences in treatment
responses of MS and NMO patients to IVMP. METHODS: Changes in neurological
symptoms/signs and Expanded Disability Status Scale (EDSS) scores before and
within 1 week of IVMP completion were obtained in 2010 at 28 institutions, and
retrospectively collated from 271 MS (478 courses) and 73 NMO (118 courses)
cases. RESULTS: In MS patients, decreased EDSS score was significant after the
first (-0.8 +/- 0.9), second (-0.7 +/- 0.9), and third (-0.7 +/- 0.8) courses (p
< 0.05), but not after the fourth (-0.3 +/- 0.7) and fifth (-0.5 +/- 0.6).
However, decreased EDSS score was only significant after the first course (-0.5
+/- 1.5, p < 0.05) in NMO patients. EDSS score was significantly decreased in MS
compared with NMO patients at the first course (p < 0.05), but not thereafter.
Model analysis for EDSS score improvement at the first course, adjusting for
covariates, showed significantly greater decreases in MS compared with NMO
patients (p < 0.05). CONCLUSION: IVMP is effective in MS from the first to third
courses, and in NMO at the first course. Additionally, IVMP is more efficacious
in MS than NMO patients, even at the first course.
PMID- 26564995
TI - Diagnostic performance of brain MRI in pharmacovigilance of natalizumab-treated
MS patients.
AB - BACKGROUND: In natalizumab-treated multiple sclerosis (MS) patients, magnetic
resonance imaging (MRI) is considered as a sensitive tool in detecting both MS
disease activity and progressive multifocal leukoencephalopathy (PML). OBJECTIVE:
To investigate the performance of neuroradiologists using brain MRI in detecting
new MS lesions and asymptomatic PML lesions and in differentiating between MS and
PML lesions in natalizumab-treated MS patients. The secondary aim was to
investigate interrater variability. METHODS: In this retrospective diagnostic
study, four blinded neuroradiologists assessed reference and follow-up brain MRI
scans of 48 natalizumab-treated MS patients with new asymptomatic PML lesions (n
= 21) or new MS lesions (n = 20) or no new lesions (n = 7). Sensitivity and
specificity for detection of new lesions in general (MS and PML lesions), MS and
PML lesion differentiation, and PML detection were determined. Interrater
agreement was calculated. RESULTS: Overall sensitivity and specificity for the
detection of new lesions, regardless of the nature of the lesions, were 77.4% and
89.3%, respectively; for PML-MS lesion differentiation, 74.2% and 84.7%,
respectively; and for asymptomatic PML lesion detection, 59.5% and 91.7%,
respectively. Interrater agreement for the tested categories was fair to
moderate. CONCLUSION: The diagnostic performance of trained neuroradiologists
using brain MRI in pharmacovigilance of natalizumab-treated MS patients is
moderately good. Interrater agreement among trained readers is fair to moderate.
PMID- 26564996
TI - Interferon beta-1a long-term therapy related to pulmonary arterial hypertension
in multiple sclerosis patients.
AB - We report two patients with relapsing remitting multiple sclerosis (RRMS) on
interferon (IFN) beta-1a treatment for more than 7 years who developed pulmonary
arterial hypertension (PAH). Patient 1 developed severe PAH requiring lung
transplantation. Histology showed typical proliferative lesions including
plexiform lesions consistent with PAH. Patient 2 ceased IFN beta-1a, and their
symptoms stabilised. Both cases highlight IFN beta-1a treatment as a potential
risk factor for PAH. PAH needs to be considered as a diagnosis in patients on
long-term IFN beta-1a treatment who develop new-onset respiratory symptoms.
PMID- 26564997
TI - High-resolution T1-relaxation time mapping displays subtle, clinically relevant,
gray matter damage in long-standing multiple sclerosis.
AB - BACKGROUND: Gray matter (GM) pathology has high clinical relevance in multiple
sclerosis (MS), but conventional magnetic resonance imaging (MRI) is
insufficiently sensitive to visualize the rather subtle damage. OBJECTIVE: To
investigate whether high spatial resolution T1-relaxation time (T1-RT)
measurements can detect changes in the normal-appearing GM of patients with long
standing MS and whether these changes are associated with physical and cognitive
impairment. METHODS: High spatial resolution (1.05 * 1.05 * 1.2 mm(3)) T1-RT
measurements were performed at 3 T in 156 long-standing MS patients and 54
healthy controls. T1-RT histogram parameters in several regions were analyzed to
investigate group differences. Stepwise linear regression analyses were used to
assess the relation of T1-RT with physical and cognitive impairment. RESULTS: In
both thalamus and cortex, T1-RT histogram skewness was higher in patients than
controls. In the cortex, this was driven by the frontal and temporal lobes. No
differences were found in other GM histogram parameters. Cortical skewness,
thalamus volume, and average white matter (WM) lesion T1-RT emerged as the
strongest predictors for cognitive performance (adjusted R(2) = 0.39).
CONCLUSION: Subtle GM damage was present in the cortex and thalamus of MS
patients, as indicated by increased T1-RT skewness. Increased cortical skewness
emerged as an independent predictor of cognitive dysfunction.
PMID- 26564998
TI - A comparative analysis of Patient-Reported Expanded Disability Status Scale
tools.
AB - BACKGROUND: Patient-Reported Expanded Disability Status Scale (PREDSS) tools are
an attractive alternative to the Expanded Disability Status Scale (EDSS) during
long term or geographically challenging studies, or in pressured clinical service
environments. OBJECTIVES: Because the studies reporting these tools have used
different metrics to compare the PREDSS and EDSS, we undertook an individual
patient data level analysis of all available tools. METHODS: Spearman's rho and
the Bland-Altman method were used to assess correlation and agreement
respectively. RESULTS: A systematic search for validated PREDSS tools covering
the full EDSS range identified eight such tools. Individual patient data were
available for five PREDSS tools. Excellent correlation was observed between EDSS
and PREDSS with all tools. A higher level of agreement was observed with
increasing levels of disability. In all tools, the 95% limits of agreement were
greater than the minimum EDSS difference considered to be clinically significant.
However, the intra-class coefficient was greater than that reported for EDSS
raters of mixed seniority. The visual functional system was identified as the
most significant predictor of the PREDSS-EDSS difference. CONCLUSION: This
analysis will (1) enable researchers and service providers to make an informed
choice of PREDSS tool, depending on their individual requirements, and (2)
facilitate improvement of current PREDSS tools.
PMID- 26564999
TI - Imaging Predictors of Improvement From a Motor Learning-Based Intervention for
Children With Unilateral Cerebral Palsy.
AB - Background Motor-learning interventions may improve hand function in children
with unilateral cerebral palsy (UCP) but with inconsistent outcomes across
participants. Objective To examine if pre-intervention brain imaging predicts
benefit from bimanual intervention. Method Twenty children with UCP with Manual
Ability Classification System levels I to III, aged 7-16 years, participated in
an intensive bimanual intervention. Assessments included the Assisting Hand
Assessment (AHA), Jebsen Taylor Test of Hand Function (JTTHF) and Children's Hand
Experience Questionnaire (CHEQ) at baseline (T1), completion (T2) and 8-10 weeks
post-intervention (T3). Imaging at baseline included conventional structural
(radiological score), functional (fMRI) and diffusion tensor imaging (DTI).
Results Improvements were seen across assessments; AHA (P = 0.04), JTTHF (P <
.001) and CHEQ (P < 0.001). Radiological score significantly correlated with
improvement at T2; AHA (r = .475) and CHEQ (r = .632), but negatively with
improvement on unimanual measures at T3 (JTTFH r = -.514). fMRI showed negative
correlations between contralesional brain activation when moving the affected
hand and AHA improvements (T2: r = -.562, T3: r = -0.479). Fractional Anisotropy
in the affected posterior limb of the internal capsule correlated negatively with
increased bimanual use on CHEQ at T2 (r = -547) and AHA at T3 (r = -.656).
Conclusions Children with greater structural, functional and connective brain
damage showed enhanced responses to bimanual intervention. Baseline imaging may
identify parameters predicting response to intervention in children with UCP.
PMID- 26565000
TI - Apelin targets gut contraction to control glucose metabolism via the brain.
AB - OBJECTIVE: The gut-brain axis is considered as a major regulatory checkpoint in
the control of glucose homeostasis. The detection of nutrients and/or hormones in
the duodenum informs the hypothalamus of the host's nutritional state. This
process may occur via hypothalamic neurons modulating central release of nitric
oxide (NO), which in turn controls glucose entry into tissues. The enteric
nervous system (ENS) modulates intestinal contractions in response to various
stimuli, but the importance of this interaction in the control of glucose
homeostasis via the brain is unknown. We studied whether apelin, a bioactive
peptide present in the gut, regulates ENS-evoked contractions, thereby
identifying a new physiological partner in the control of glucose utilisation via
the hypothalamus. DESIGN: We measured the effect of apelin on electrical and
mechanical duodenal responses via telemetry probes and isotonic sensors in normal
and obese/diabetic mice. Changes in hypothalamic NO release, in response to
duodenal contraction modulated by apelin, were evaluated in real time with
specific amperometric probes. Glucose utilisation in tissues was measured with
orally administrated radiolabeled glucose. RESULTS: In normal and obese/diabetic
mice, glucose utilisation is improved by the decrease of ENS/contraction
activities in response to apelin, which generates an increase in hypothalamic NO
release. As a consequence, glucose entry is significantly increased in the
muscle. CONCLUSIONS: Here, we identify a novel mode of communication between the
intestine and the hypothalamus that controls glucose utilisation. Moreover, our
data identified oral apelin administration as a novel potential target to treat
metabolic disorders.
PMID- 26565001
TI - Peripheral blood stem cell graft compared to bone marrow after reduced intensity
conditioning regimens for acute leukemia: a report from the ALWP of the EBMT.
AB - Increasing numbers of patients are receiving reduced intensity conditioning
regimen allogeneic hematopoietic stem cell transplantation. We hypothesized that
the use of bone marrow graft might decrease the risk of graft-versus-host disease
compared to peripheral blood after reduced intensity conditioning regimens
without compromising graft-versus-leukemia effects. Patients who underwent
reduced intensity conditioning regimen allogeneic hematopoietic stem cell
transplantation from 2000 to 2012 for acute leukemia, and who were reported to
the Acute Leukemia Working Party of the European Group for Blood and Marrow
Transplantation were included in the study. Eight hundred and thirty-seven
patients receiving bone marrow grafts were compared with 9011 peripheral blood
transplant recipients after reduced intensity conditioning regimen. Median follow
up of surviving patients was 27 months. Cumulative incidence of engraftment
(neutrophil >=0.5*10(9)/L at day 60) was lower in bone marrow recipients: 88%
versus 95% (P<0.0001). Grade II to IV acute graft-versus-host disease was lower
in bone marrow recipients: 19% versus 24% for peripheral blood (P=0.005). In
multivariate analysis, after adjusting for differences between both groups,
overall survival [Hazard Ratio (HR) 0.90; P=0.05] and leukemia-free survival (HR
0.88; P=0.01) were higher in patients transplanted with peripheral blood compared
to bone marrow grafts. Furthermore, peripheral blood graft was also associated
with decreased risk of relapse (HR 0.78; P=0.0001). There was no significant
difference in non-relapse mortality between recipients of bone marrow and
peripheral blood grafts, and chronic graft-versus-host disease was significantly
higher after peripheral blood grafts (HR 1.38; P<0.0001). Despite the limitation
of a retrospective registry-based study, we found that peripheral blood grafts
after reduced intensity conditioning regimens had better overall and leukemia
free survival than bone marrow grafts. However, there is an increase in chronic
graft-versus-host disease after peripheral blood grafts. Long-term follow up is
needed to clarify whether chronic graft-versus-host disease might increase the
risk of late morbidity and mortality.
PMID- 26565002
TI - Clinical significance of bax/bcl-2 ratio in chronic lymphocytic leukemia.
AB - In chronic lymphocytic leukemia the balance between the pro-apoptotic and anti
apoptotic members of the bcl-2 family is involved in the pathogenesis,
chemorefractoriness and clinical outcome. Moreover, the recently proposed anti
bcl-2 molecules, such as ABT-199, have emphasized the potential role of of bcl-2
family proteins in the context of target therapies. We investigated bax/bcl-2
ratio by flow cytometry in 502 patients and identified a cut off of 1.50 to
correlate bax/bcl-2 ratio with well-established clinical and biological
prognosticators. Bax/bcl-2 was 1.50 or over in 263 patients (52%) with chronic
lymphocytic leukemia. Higher bax/bcl-2 was associated with low Rai stage,
lymphocyte doubling time over 12 months, beta-2 microglobulin less than 2.2
mg/dL, soluble CD23 less than 70 U/mL and a low risk cytogenetic profile
(P<0.0001). On the other hand, lower bax/bcl-2 was correlated with unmutated IGHV
(P<0.0001), mutated NOTCH1 (P<0.0001) and mutated TP53 (P=0.00007). Significant
shorter progression-free survival and overall survival were observed in patients
with lower bax/bcl-2 (P<0.0001). Moreover, within IGHV unmutated (168 patients)
and TP53 mutated (37 patients) subgroups, higher bax/bcl-2 identified cases with
significant longer PFS (P=0.00002 and P=0.039). In multivariate analysis of
progression-free survival and overall survival, bax/bcl-2 was an independent
prognostic factor (P=0.0002 and P=0.002). In conclusion, we defined the
prognostic power of bax/bcl-2 ratio, as determined by a flow cytometric approach,
and highlighted a correlation with chemoresistance and outcome in chronic
lymphocytic leukemia. Finally, the recently proposed new therapies employing bcl
2 inhibitors prompted the potential use of bax/bcl-2 ratio to identify patients
putatively resistant to these molecules.
PMID- 26565003
TI - Viral Shedding and Antibody Response in 37 Patients With Middle East Respiratory
Syndrome Coronavirus Infection.
AB - BACKGROUND: The Middle East respiratory syndrome (MERS) coronavirus causes
isolated cases and outbreaks of severe respiratory disease. Essential features of
the natural history of disease are poorly understood. METHODS: We studied 37
adult patients infected with MERS coronavirus for viral load in the lower and
upper respiratory tracts (LRT and URT, respectively), blood, stool, and urine.
Antibodies and serum neutralizing activities were determined over the course of
disease. RESULTS: One hundred ninety-nine LRT samples collected during the 3
weeks following diagnosis yielded virus RNA in 93% of tests. Average (maximum)
viral loads were 5 * 10(6) (6 * 10(10)) copies/mL. Viral loads (positive
detection frequencies) in 84 URT samples were 1.9 * 10(4) copies/mL (47.6%).
Thirty-three percent of all 108 serum samples tested yielded viral RNA. Only
14.6% of stool and 2.4% of urine samples yielded viral RNA. All seroconversions
occurred during the first 2 weeks after diagnosis, which corresponds to the
second and third week after symptom onset. Immunoglobulin M detection provided no
advantage in sensitivity over immunoglobulin G (IgG) detection. All surviving
patients, but only slightly more than half of all fatal cases, produced IgG and
neutralizing antibodies. The levels of IgG and neutralizing antibodies were
weakly and inversely correlated with LRT viral loads. Presence of antibodies did
not lead to the elimination of virus from LRT. CONCLUSIONS: The timing and
intensity of respiratory viral shedding in patients with MERS closely matches
that of those with severe acute respiratory syndrome. Blood viral RNA does not
seem to be infectious. Extrapulmonary loci of virus replication seem possible.
Neutralizing antibodies do not suffice to clear the infection.
PMID- 26565004
TI - Illness Severity and Work Productivity Loss Among Working Adults With Medically
Attended Acute Respiratory Illnesses: US Influenza Vaccine Effectiveness Network
2012-2013.
AB - BACKGROUND: Influenza causes significant morbidity and mortality, with
considerable economic costs, including lost work productivity. Influenza vaccines
may reduce the economic burden through primary prevention of influenza and
reduction in illness severity. METHODS: We examined illness severity and work
productivity loss among working adults with medically attended acute respiratory
illnesses and compared outcomes for subjects with and without laboratory
confirmed influenza and by influenza vaccination status among subjects with
influenza during the 2012-2013 influenza season. RESULTS: Illnesses laboratory
confirmed as influenza (ie, cases) were subjectively assessed as more severe than
illnesses not caused by influenza (ie, noncases) based on multiple measures,
including current health status at study enrollment (<=7 days from illness onset)
and current activity and sleep quality status relative to usual. Influenza cases
reported missing 45% more work hours (20.5 vs 15.0; P < .001) than noncases and
subjectively assessed their work productivity as impeded to a greater degree (6.0
vs 5.4; P < .001). Current health status and current activity relative to usual
were subjectively assessed as modestly but significantly better for vaccinated
cases compared with unvaccinated cases; however, no significant modifications of
sleep quality, missed work hours, or work productivity loss were noted for
vaccinated subjects. CONCLUSIONS: Influenza illnesses were more severe and
resulted in more missed work hours and productivity loss than illnesses not
confirmed as influenza. Modest reductions in illness severity for vaccinated
cases were observed. These findings highlight the burden of influenza illnesses
and illustrate the importance of laboratory confirmation of influenza outcomes in
evaluations of vaccine effectiveness.
PMID- 26565005
TI - Lovastatin for the Treatment of Adult Patients With Dengue: A Randomized, Double
Blind, Placebo-Controlled Trial.
AB - BACKGROUND: Dengue endangers billions of people in the tropical world, yet no
therapeutic is currently available. In part, the severe manifestations of dengue
reflect inflammatory processes affecting the vascular endothelium. In addition to
lipid lowering, statins have pleiotropic effects that improve endothelial
function, and epidemiological studies suggest that outcomes from a range of acute
inflammatory syndromes are improved in patients already on statin therapy.
METHODS: Following satisfactory review of a short pilot phase (40 mg lovastatin
vs placebo in 30 cases), we performed a randomized, double-blind, placebo
controlled trial of 5 days of 80 mg lovastatin vs placebo in 300 Vietnamese
adults with a positive dengue NS1 rapid test presenting within 72 hours of fever
onset. The primary outcome was safety. Secondary outcomes included comparisons of
disease progression rates, fever clearance times, and measures of plasma viremia
and quality of life between the treatment arms. RESULTS: Adverse events occurred
with similar frequency in both groups (97/151 [64%] placebo vs 82/149 [55%]
lovastatin; P = .13), and were in keeping with the characteristic clinical and
laboratory features of acute dengue. We also observed no difference in serious
adverse events or any of the secondary outcome measures. CONCLUSIONS: We found
lovastatin to be safe and well tolerated in adults with dengue. However, although
the study was not powered to address efficacy, we found no evidence of a
beneficial effect on any of the clinical manifestations or on dengue viremia.
Continuing established statin therapy in patients who develop dengue is
safe.Chinese Clinical Trials Registration. ISRCTN03147572.
PMID- 26565006
TI - Editorial Commentary: Cryptococcosis in AIDS: New Data but Questions Remain.
PMID- 26565007
TI - Cryptococcal Antigen Screening in Patients Initiating ART in South Africa: A
Prospective Cohort Study.
AB - BACKGROUND: Retrospective data suggest that cryptococcal antigen (CrAg) screening
in patients with late-stage human immunodeficiency virus (HIV) initiating
antiretroviral therapy (ART) may reduce cryptococcal disease and deaths.
Prospective data are limited. METHODS: CrAg was measured using lateral flow
assays (LFA) and latex agglutination (LA) tests in 645 HIV-positive, ART-naive
patients with CD4 counts <=100 cells/uL in Cape Town, South Africa. CrAg-positive
patients were offered lumbar puncture (LP) and treated with antifungals. Patients
were started on ART between 2 and 4 weeks and followed up for 1 year. RESULTS: A
total of 4.3% (28/645) of patients were CrAg positive in serum and plasma with
LFA. These included 16 also positive by urine LFA (2.5% of total screened) and 7
by serum LA (1.1% of total). In 4 of 10 LFA-positive cases agreeing to LP, the
cerebrospinal fluid (CSF) CrAg LFA was positive. A positive CSF CrAg was
associated with higher screening plasma/serum LFA titers.Among the 28 CrAg
positive patients, mortality was 14.3% at 10 weeks and 25% at 12 months. Only 1
CrAg-positive patient, who defaulted from care, died from cryptococcal meningitis
(CM). Mortality in CrAg-negative patients was 11.5% at 1 year. Only 2 possible CM
cases were identified in CrAg-negative patients. CONCLUSIONS: CrAg screening of
individuals initiating ART and preemptive fluconazole treatment of CrAg-positive
patients resulted in markedly fewer cases of CM compared with historic unscreened
cohorts. Studies are needed to refine management of CrAg-positive patients who
have high mortality that does not appear to be wholly attributable to
cryptococcal disease.
PMID- 26565008
TI - Safety and Durability of RBX2660 (Microbiota Suspension) for Recurrent
Clostridium difficile Infection: Results of the PUNCH CD Study.
AB - BACKGROUND: Managing recurrent Clostridium difficile infection (CDI) presents a
significant challenge for clinicians and patients. Fecal microbiota
transplantation (FMT) is a highly effective therapy for recurrent CDI, yet
availability of a standardized, safe, and effective product has been lacking. Our
aim in this study was to assess the safety and effectiveness of RBX2660
(microbiota suspension), a commercially prepared FMT drug manufactured using
standardized processes and available in a ready-to-use format. METHODS: Patients
with at least 2 recurrent CDI episodes or at least 2 severe episodes resulting in
hospitalization were enrolled in a prospective, multicenter open-label study of
RBX2660 administered via enema. Intensive surveillance for adverse events (AEs)
was conducted daily for 7 days following treatment and then at 30 days, 60 days,
3 months, and 6 months. The primary objective was product-related AEs. A
secondary objective was CDI-associated diarrhea resolution at 8 weeks. RESULTS:
Of the 40 patients enrolled at 11 centers in the United States between 15 August
2013 and 16 December 2013, 34 received at least 1 dose of RBX2660 and 31
completed 6-month follow-up. Overall efficacy was 87.1% (16 with 1 dose and 11
with 2 doses). Of 188 reported AEs, diarrhea, flatulence, abdominal
pain/cramping, and constipation were most common. The frequency and severity of
AEs decreased over time. Twenty serious AEs were reported in 7 patients; none
were related to RBX2660 or its administration. CONCLUSIONS: Among patients with
recurrent or severe CDI, administration of RBX2660 via enema appears to be safe
and effective. CLINICAL TRIALS REGISTRATION: NCT01925417.
PMID- 26565009
TI - Editorial Commentary: Chronic Lung Allograft Dysfunction in Lung Transplant
Recipients: Another Piece of the Puzzle.
PMID- 26565010
TI - Symptomatic Respiratory Virus Infection and Chronic Lung Allograft Dysfunction.
AB - BACKGROUND: Chronic lung allograft dysfunction (CLAD) is a major cause of
allograft loss post-lung transplantation. Prior studies have examined the
association between respiratory virus infection (RVI) and CLAD were limited by
older diagnostic techniques, study design, and case numbers. We examined the
association between symptomatic RVI and CLAD using modern diagnostic techniques
in a large contemporary cohort of lung transplant recipients (LTRs). METHODS: We
retrospectively assessed clinical variables including acute rejection,
cytomegalovirus pneumonia, upper and lower RVI, and the primary endpoint of CLAD
(determined by 2 independent reviewers) in 250 LTRs in a single university
transplantation program. Univariate and multivariate Cox models were used to
analyze the relationship between RVI and CLAD in a time-dependent manner,
incorporating different periods of risk following RVI diagnosis. RESULTS: Fifty
patients (20%) were diagnosed with CLAD at a median of 95 weeks post
transplantation, and 79 (32%) had 114 episodes of RVI. In multivariate analysis,
rejection and RVI were independently associated with CLAD (adjusted hazard ratio
[95% confidence interval]) 2.2 (1.2-3.9), P = .01 and 1.9 (1.1-3.5), P = .03,
respectively. The association of RVI with CLAD was stronger the more proximate
the RVI episode: 4.8 (1.9-11.6), P < .01; 3.4 (1.5-7.5), P < .01; and 2.4 (1.2
5.0), P = .02 in multivariate analysis for 3, 6, and 12 months following RVI,
respectively. CONCLUSIONS: Symptomatic RVI is independently associated with
development of CLAD, with increased risk at shorter time periods following RVI.
Prospective studies to characterize the virologic determinants of CLAD and define
the underlying mechanisms are warranted.
PMID- 26565011
TI - Everywhere in Japan: an international approach to working with commercial gay
businesses in HIV prevention.
AB - In the UK and Japan, there is concern regarding rising rates of annual new HIV
infections among Men who have Sex with Men (MSM). Whilst in the UK and Europe,
gay businesses are increasingly recognized as being important settings through
which to deliver HIV prevention and health promotion interventions to target
vulnerable populations; in Japan such settings-based approaches are relatively
underdeveloped. This article draws on qualitative data from a recently completed
study conducted to explore whether it is feasible, acceptable and desirable to
build on the recent European Everywhere project for adaptation and implementation
in Japan. A series of expert workshops were conducted in Tokyo, Nagoya and Osaka
with intersectoral representatives from Japanese and UK non-governmental
organizations (NGOs), gay businesses, universities and gay communities (n = 46).
Further discussion groups and meetings were held with NGO members and researchers
from the Japanese Ministry of Health, Labour and Welfare's Research Group on HIV
Prevention Policy, Programme Implementation and Evaluation among MSM (n = 34).
The results showed that it is desirable, feasible and acceptable to adapt and
implement a Japanese version of Everywhere. Such a practical, policy-relevant,
settings-based HIV prevention framework for gay businesses may help to facilitate
the necessary scale up of prevention responses among MSM in Japan. Given the high
degree of sexual mobility between countries in Asia, there is considerable
potential for the Everywhere Project (or its Japanese variant) to be expanded and
adapted to other countries within the Asia-Pacific region.
PMID- 26565012
TI - Novel Imaging Approaches for Predicting Arrhythmic Risk.
AB - Determination of ventricular arrhythmic risk is crucial for guiding management of
cardiac disease. Although for patients at increased risk an implantable
cardioverter-defibrillator is recommended, it is widely acknowledged that current
criteria for device use based predominantly on left ventricular ejection fraction
are deficient. Genesis of ventricular arrhythmias involves a complex interaction
of myocardial substrate abnormalities, precipitating triggers, and modulating
factors. There are much data showing that by more directly assessing these
factors, noninvasive imaging using echocardiography, radionuclide imaging, and
cardiac magnetic resonance enhances arrhythmic risk stratification beyond
ejection fraction and commonly used electrocardiographic and serum biomarkers. It
is anticipated that further technological advancements studied in well-designed
clinical trials will provide both more precise determination of risk and guide
therapies to enhanced survival and patient well-being.
PMID- 26565015
TI - When sepsis persists: a review of MRSA bacteraemia salvage therapy.
AB - MRSA bacteraemia (MRSAB), including infective endocarditis, carries a high
mortality rate, with up to 50% of patients failing initial therapy with
vancomycin and requiring salvage therapy. Persistent MRSAB can be difficult to
successfully eliminate, especially when source control is not possible due to an
irremovable focus or the bacteraemia still persists despite surgical
intervention. Although vancomycin and daptomycin are the only two antibiotics
approved by the US FDA for the treatment of patients with MRSAB as monotherapy,
the employment of novel strategies is required to effectively treat patients with
persistent MRSAB and these may frequently involve combination drug therapy.
Treatment strategies that are reviewed in this manuscript include vancomycin
combined with a beta-lactam, daptomycin-based therapy, ceftaroline-based therapy,
linezolid-based therapy, quinupristin/dalfopristin, telavancin,
trimethoprim/sulfamethoxazole-based therapy and fosfomycin-based therapy. We
recommend that combination antibiotic therapy be considered for use in MRSAB
salvage treatment.
PMID- 26565013
TI - Intact Heart Loose Patch Photolysis Reveals Ionic Current Kinetics During
Ventricular Action Potentials.
AB - RATIONALE: Assessing the underlying ionic currents during a triggered action
potential (AP) in intact perfused hearts offers the opportunity to link molecular
mechanisms with pathophysiological problems in cardiovascular research. The
developed loose patch photolysis technique can provide striking new insights into
cardiac function at the whole heart level during health and disease. OBJECTIVE:
To measure transmembrane ionic currents during an AP to determine how and when
surface Ca(2+) influx that triggers Ca(2+)-induced Ca(2+) release occurs and how
Ca(2+)-activated conductances can contribute to the genesis of AP phase 2.
METHODS AND RESULTS: Loose patch photolysis allows the measurement of
transmembrane ionic currents in intact hearts. During a triggered AP, a voltage
dependent Ca(2+) conductance was fractionally activated (dis-inhibited) by
rapidly photo-degrading nifedipine, the Ca(2+) channel blocker. The ionic
currents during a mouse ventricular AP showed a fast early component and a slower
late component. Pharmacological studies established that the molecular basis
underlying the early component was driven by an influx of Ca(2+) through the L
type channel, CaV 1.2. The late component was identified as an Na(+)-Ca(2+)
exchanger current mediated by Ca(2+) released from the sarcoplasmic reticulum.
CONCLUSIONS: The novel loose patch photolysis technique allowed the dissection of
transmembrane ionic currents in the intact heart. We were able to determine that
during an AP, L-type Ca(2+) current contributes to phase 1, whereas Na(+)-Ca(2+)
exchanger contributes to phase 2. In addition, loose patch photolysis revealed
that the influx of Ca(2+) through L-type Ca(2+) channels terminates because of
voltage-dependent deactivation and not by Ca(2+)-dependent inactivation, as
commonly believed.
PMID- 26565016
TI - Efficacy of ertapenem in severe hidradenitis suppurativa: a pilot study in a
cohort of 30 consecutive patients.
AB - OBJECTIVES: Hidradenitis suppurativa (HS) is an inflammatory skin disease
typically localized in the axillae and inguinal and perineal areas. In the
absence of standardized medical treatment, severe HS patients present chronic
suppurative lesions with polymicrobial anaerobic abscesses. Wide surgery is the
cornerstone treatment of severe HS, but surgical indications are limited by the
extent of lesions. Intravenous broad-spectrum antibiotics may help control HS,
but their efficacy is not documented. This study was designed to assess the
efficacy of a 6 week course of ertapenem (1 g daily) and of antibiotic
consolidation treatments for 6 months (M6) in severe HS. PATIENTS AND METHODS:
Thirty consecutive patients with severe HS were retrospectively included in this
study. The clinical severity of HS was assessed using the Sartorius score, which
takes into account the number and severity of lesions. RESULTS: The median (IQR)
Sartorius score dropped from 49.5 (28-62) at baseline to 19.0 (12-28) after
ertapenem (P < 10(-4)). Five patients were lost to follow-up thereafter. At M6
the Sartorius score further decreased for the 16 patients who received continuous
consolidation treatments, since 59% of HS areas reached clinical remission at M6
(i.e. absence of any inflammatory symptoms, P < 10(-4)). Nine patients
interrupted or received intermittent consolidation treatments due to poor
observance or irregular follow-up. Their Sartorius score stopped improving or
returned to baseline. No major adverse event occurred. CONCLUSIONS: Ertapenem can
dramatically improve severe HS. Consolidation treatments are needed to further
improve HS and are mandatory to prevent relapses. Combined with surgery,
optimized antibiotic treatments may be promising in severe HS.
PMID- 26565017
TI - Effect of experimental modulation of mood on perception of exertional dyspnea in
healthy subjects.
AB - In many diseases across a range of pathologies (e.g., cardiopulmonary,
neuromuscular, and cancer), chronic dyspnea, particularly on exertion, is a major
debilitating symptom often associated with clinical anxiety/depression. This
study aims to explore the interaction between mood state and exertional dyspnea
in a healthy population. Following familiarization, 20 healthy subjects (27-54
years old) performed six 5-min treadmill tests on three separate days. On each
day subjects viewed randomly assigned images designed to induce positive,
negative, or neutral mood states (International Affective Picture System). For
each condition, at minute intervals, subjects rated dyspnea (sensory and
affective domains) in the first test and mood (valence and arousal domains) in
the second test. Oxygen uptake (VO2 , liters/min), carbon dioxide production
(VCO2, liters/min), ventilation (VE, liters/min), respiratory frequency (f(R),
beats/min), and heart rate (HR, bpm), were measured throughout the exercise. VO2,
VCO2, VE, HR, and f(R) were not statistically significantly different among the
three mood states (P > 0.05). Mood valence was significantly higher with parallel
viewing of positive (last 2-min mean +/- SE = 6.9 +/- 0.2) compared with negative
pictures (2.4 +/- 0.2; P < 0.001). Both sensory and affective domains of dyspnea
were significantly higher during negative (sensory: 5.6 +/- 0.3; affective: 3.3
+/- 0.5) compared with positive mood (sensory: 4.4 +/- 0.4, P < 0.001; affective:
2.1 +/- 0.4, P = 0.002). These findings suggest that positive mood alleviates
both the sensory and affective domains of exertional dyspnea in healthy subjects.
Thus the treatment of anxiety/depression in dyspenic populations could be a
worthwhile therapeutic strategy in increasing symptom-limited exercise tolerance,
thereby contributing to improved quality of life.
PMID- 26565018
TI - Constructing quasi-linear VO2 responses from nonlinear parameters.
AB - Oxygen uptake (VO2) kinetics have been shown to be governed by a nonlinear
control system across a range of work rates. However, the linearity of the VO2
response to ramp incremental exercise would appear to be the result of a linear
control system. This apparent contradiction could represent a balancing of
changing VO2 kinetics parameter values across a range of work rates. To test
this, six healthy men completed bouts of ramp incremental exercise at 15, 30, and
60 W/min (15R, 30R, 60R, respectively) and four bouts of an extended-step
incremental exercise. VO2 parameter values were derived from the step exercise
using two monoexponential models: one starting at time zero and encompassing the
entire stage (MONO), and the other truncated to the first 5 min and allowing a
time delay (5TD). The resulting parameter values were applied to an integrative
model to estimate the ramp responses. As work rate increased, gain values
increased (P < 0.001 for MONO and 5TD), as did mean response time (or time
constant) values (MONO: P < 0.001; 5TD: P = 0.003). Up to maximal VO2 (VO(2
max)), the gains of the estimated ramp responses from both models were not
different from the gains of the actual observed VO2 responses for 15R and 30R
(15R: 11.3 +/- 1.2, 11.7 +/- 0.7, 10.9 +/- 0.3; 30R: 10.5 +/- 0.8, 11.0 +/- 0.5,
10.7 +/- 0.3 ml O2.min(-1).W(-1), for actual, MONO, 5TD, respectively) but were
significantly greater for 60R (8.7 +/- 1.0, 9.9 +/- 0.4, 10.3 +/- 0.3 ml O2.min(
1).W(-1) for actual, MONO, 5TD, respectively). Up to 80%VO(2 max) gain values
were not significantly different for any ramp rate (P > 0.05 for all). We
conclude that the apparent linearity of the VO2 response to ramp incremental
exercise is consequent to a balancing of increasing time constant and gain
parameter values.
PMID- 26565019
TI - No reserve in isokinetic cycling power at intolerance during ramp incremental
exercise in endurance-trained men.
AB - During whole body exercise in health, maximal oxygen uptake (Vo2max) is typically
attained at or immediately before the limit of tolerance (LoT). At the Vo2max and
LoT of incremental exercise, a fundamental, but unresolved, question is whether
maximal evocable power can be increased above the task requirement, i.e., whether
there is a "power reserve" at the LoT. Using an instantaneous switch from cadence
independent (hyperbolic) to isokinetic cycle ergometry, we determined maximal
evocable power at the limit of ramp-incremental exercise. We hypothesized that in
endurance-trained men at LoT, maximal (4 s) isokinetic power would not differ
from the power required by the task. Baseline isokinetic power at 80 rpm (Piso;
measured at the pedals) and summed integrated EMG from five leg muscles
(SigmaiEMG) were measured in 12 endurance-trained men (Vo2max = 4.2 +/- 1.0
l/min). Participants then completed a ramp incremental exercise test (20-25
W/min), with instantaneous measurement of Piso and SigmaiEMG at the LoT. Piso
decreased from 788 +/- 103 W at baseline to 391 +/- 72 W at LoT, which was not
different from the required ramp-incremental flywheel power (352 +/- 58 W; P >
0.05). At LoT, the relative reduction in Piso was greater than the relative
reduction in the isokinetic SigmaiEMG (50 +/- 9 vs. 63 +/- 10% of baseline; P <
0.05). During maximal ramp incremental exercise in endurance-trained men, maximum
voluntary power is not different from the power required by the task and is
consequent to both central and peripheral limitations in evocable power. The
absence of a power reserve suggests both the perceptual and physiological limits
of maximum voluntary power production are not widely dissociated at LoT in this
population.
PMID- 26565020
TI - The N-terminal Region of Chromodomain Helicase DNA-binding Protein 4 (CHD4) Is
Essential for Activity and Contains a High Mobility Group (HMG) Box-like-domain
That Can Bind Poly(ADP-ribose).
AB - Chromodomain Helicase DNA-binding protein 4 (CHD4) is a chromatin-remodeling
enzyme that has been reported to regulate DNA-damage responses through its N
terminal region in a poly(ADP-ribose) polymerase-dependent manner. We have
identified and determined the structure of a stable domain (CHD4-N) in this N
terminal region. The-fold consists of a four-alpha-helix bundle with structural
similarity to the high mobility group box, a domain that is well known as a DNA
binding module. We show that the CHD4-N domain binds with higher affinity to
poly(ADP-ribose) than to DNA. We also show that the N-terminal region of CHD4,
although not CHD4-N alone, is essential for full nucleosome remodeling activity
and is important for localizing CHD4 to sites of DNA damage. Overall, these data
build on our understanding of how CHD4-NuRD acts to regulate gene expression and
participates in the DNA-damage response.
PMID- 26565021
TI - A Cytosolic Multiprotein Complex Containing p85alpha Is Required for beta-Catenin
Activation in Colitis and Colitis-associated Cancer.
AB - Wnt/beta-catenin signaling is required for crypt structure maintenance. We
previously observed nuclear accumulation of Ser-552 phosphorylated beta-catenin
(pbeta-Cat(Ser-552)) in intestinal epithelial cells (IEC) during colitis and
colitis-associated cancer. Data here delineate a novel multiprotein cytosolic
complex (MCC) involved in beta-catenin signaling in the intestine. The MCC
contains p85alpha, the class IA subunit of PI3K, along with beta-catenin, 14-3
3zeta, Akt, and p110alpha. MCC levels in IEC increase in colitis and colitis
associated cancer patients. IEC-specific p85alpha-deficient (p85(DeltaIEC)) mice
develop more severe dextran sodium sulfate colitis due to delayed ulcer healing
and reduced epithelial beta-catenin activation. In colonic IEC, p85alpha
deficiency did not alter PI3K signaling. In vitro shRNA depletion of individual
complex members disrupts the MCC and reduces beta-catenin signaling. Despite
worse colitis, p85(DeltaIEC) mice have reduced tumor burden after
azoxymethane/dextran sodium sulfate treatment. Together the data indicate that
the beta-catenin MCC is needed for mucosal repair and carcinogenesis. This novel
MCC may be an attractive therapeutic target in preventing cancer in colitis
patients.
PMID- 26565022
TI - Connexin 43 Is Necessary for Salivary Gland Branching Morphogenesis and FGF10
induced ERK1/2 Phosphorylation.
AB - Cell-cell interaction via the gap junction regulates cell growth and
differentiation, leading to formation of organs of appropriate size and quality.
To determine the role of connexin43 in salivary gland development, we analyzed
its expression in developing submandibular glands (SMGs). Connexin43 (Cx43) was
found to be expressed in salivary gland epithelium. In ex vivo organ cultures of
SMGs, addition of the gap junctional inhibitors 18alpha-glycyrrhetinic acid
(18alpha-GA) and oleamide inhibited SMG branching morphogenesis, suggesting that
gap junctional communication contributes to salivary gland development. In Cx43(
/-) salivary glands, submandibular and sublingual gland size was reduced as
compared with those from heterozygotes. The expression of Pdgfa, Pdgfb, Fgf7, and
Fgf10, which induced branching of SMGs in Cx43(-/-) samples, were not changed as
compared with those from heterozygotes. Furthermore, the blocking peptide for the
hemichannel and gap junction channel showed inhibition of terminal bud branching.
FGF10 induced branching morphogenesis, while it did not rescue the Cx43(-/-)
phenotype, thus Cx43 may regulate FGF10 signaling during salivary gland
development. FGF10 is expressed in salivary gland mesenchyme and regulates
epithelial proliferation, and was shown to induce ERK1/2 phosphorylation in
salivary epithelial cells, while ERK1/2 phosphorylation in HSY cells was
dramatically inhibited by 18alpha-GA, a Cx43 peptide or siRNA. On the other hand,
PDGF-AA and PDGF-BB separately induced ERK1/2 phosphorylation in primary cultured
salivary mesenchymal cells regardless of the presence of 18alpha-GA. Together,
our results suggest that Cx43 regulates FGF10-induced ERK1/2 phosphorylation in
salivary epithelium but not in mesenchyme during the process of SMG branching
morphogenesis.
PMID- 26565023
TI - Contributions of the Prion Protein Sequence, Strain, and Environment to the
Species Barrier.
AB - Amyloid propagation requires high levels of sequence specificity so that only
molecules with very high sequence identity can form cross-beta-sheet structures
of sufficient stringency for incorporation into the amyloid fibril. This sequence
specificity presents a barrier to the transmission of prions between two species
with divergent sequences, termed a species barrier. Here we study the relative
effects of protein sequence, seed conformation, and environment on the species
barrier strength and specificity for the yeast prion protein Sup35p from three
closely related species of the Saccharomyces sensu stricto group; namely,
Saccharomyces cerevisiae, Saccharomyces bayanus, and Saccharomyces paradoxus.
Through in vivo plasmid shuffle experiments, we show that the major
characteristics of the transmission barrier and conformational fidelity are
determined by the protein sequence rather than by the cellular environment. In
vitro data confirm that the kinetics and structural preferences of aggregation of
the S. paradoxus and S. bayanus proteins are influenced by anions in accordance
with their positions in the Hofmeister series, as observed previously for S.
cerevisiae. However, the specificity of the species barrier is primarily affected
by the sequence and the type of anion present during the formation of the initial
seed, whereas anions present during the seeded aggregation process typically
influence kinetics rather than the specificity of prion conversion. Therefore,
our work shows that the protein sequence and the conformation variant (strain) of
the prion seed are the primary determinants of cross-species prion specificity
both in vivo and in vitro.
PMID- 26565024
TI - Deletion of Monoglyceride Lipase in Astrocytes Attenuates Lipopolysaccharide
induced Neuroinflammation.
AB - Monoglyceride lipase (MGL) is required for efficient hydrolysis of the
endocannabinoid 2-arachidonoylglyerol (2-AG) in the brain generating arachidonic
acid (AA) and glycerol. This metabolic function makes MGL an interesting target
for the treatment of neuroinflammation, since 2-AG exhibits anti-inflammatory
properties and AA is a precursor for pro-inflammatory prostaglandins. Astrocytes
are an important source of AA and 2-AG, and highly express MGL. In the present
study, we dissected the distinct contribution of MGL in astrocytes on brain 2-AG
and AA metabolism by generating a mouse model with genetic deletion of MGL
specifically in astrocytes (MKO(GFAP)). MKO(GFAP) mice exhibit moderately
increased 2-AG and reduced AA levels in brain. Minor accumulation of 2-AG in the
brain of MKO(GFAP) mice does not cause cannabinoid receptor desensitization as
previously observed in mice globally lacking MGL. Importantly, MKO(GFAP) mice
exhibit reduced brain prostaglandin E2 and pro-inflammatory cytokine levels upon
peripheral lipopolysaccharide (LPS) administration. These observations indicate
that MGL-mediated degradation of 2-AG in astrocytes provides AA for prostaglandin
synthesis promoting LPS-induced neuroinflammation. The beneficial effect of
astrocyte-specific MGL-deficiency is not fully abrogated by the inverse
cannabinoid receptor 1 agonist SR141716 (Rimonabant) suggesting that the anti
inflammatory effects are rather caused by reduced prostaglandin synthesis than by
activation of cannabinoid receptors. In conclusion, our data demonstrate that MGL
in astrocytes is an important regulator of 2-AG levels, AA availability, and
neuroinflammation.
PMID- 26565025
TI - Transforming Growth Factor beta1-induced Apoptosis in Podocytes via the
Extracellular Signal-regulated Kinase-Mammalian Target of Rapamycin Complex 1
NADPH Oxidase 4 Axis.
AB - TGF-beta is a pleiotropic cytokine that accumulates during kidney injuries,
resulting in various renal diseases. We have reported previously that TGF-beta1
induces the selective up-regulation of mitochondrial Nox4, playing critical roles
in podocyte apoptosis. Here we investigated the regulatory mechanism of Nox4 up
regulation by mTORC1 activation on TGF-beta1-induced apoptosis in immortalized
podocytes. TGF-beta1 treatment markedly increased the phosphorylation of
mammalian target of rapamycin (mTOR) and its downstream targets p70S6K and 4EBP1.
Blocking TGF-beta receptor I with SB431542 completely blunted the phosphorylation
of mTOR, p70S6K, and 4EBP1. Transient adenoviral overexpression of mTOR-WT and
constitutively active mTORDelta augmented TGF-beta1-treated Nox4 expression,
reactive oxygen species (ROS) generation, and apoptosis, whereas mTOR kinase-dead
suppressed the above changes. In addition, knockdown of mTOR mimicked the effect
of mTOR-KD. Inhibition of mTORC1 by low-dose rapamycin or knockdown of p70S6K
protected podocytes through attenuation of Nox4 expression and subsequent
oxidative stress-induced apoptosis by TGF-beta1. Pharmacological inhibition of
the MEK-ERK cascade, but not the PI3K-Akt-TSC2 pathway, abolished TGF-beta1
induced mTOR activation. Inhibition of either ERK1/2 or mTORC1 did not reduce the
TGF-beta1-stimulated increase in Nox4 mRNA level but significantly inhibited
total Nox4 expression, ROS generation, and apoptosis induced by TGF-beta1.
Moreover, double knockdown of Smad2 and 3 or only Smad4 completely suppressed TGF
beta1-induced ERK1/2-mTORactivation. Our data suggest that TGF-beta1 increases
translation of Nox4 through the Smad-ERK1/2-mTORC1 axis, which is independent of
transcriptional regulation. Activation of this pathway plays a crucial role in
ROS generation and mitochondrial dysfunction, leading to podocyte apoptosis.
Therefore, inhibition of the ERK1/2-mTORC1 pathway could be a potential
therapeutic and preventive target in proteinuric and chronic kidney diseases.
PMID- 26565026
TI - Monitoring Ras Interactions with the Nucleotide Exchange Factor Son of Sevenless
(Sos) Using Site-specific NMR Reporter Signals and Intrinsic Fluorescence.
AB - The activity of Ras is controlled by the interconversion between GTP- and GDP
bound forms partly regulated by the binding of the guanine nucleotide exchange
factor Son of Sevenless (Sos). The details of Sos binding, leading to nucleotide
exchange and subsequent dissociation of the complex, are not completely
understood. Here, we used uniformly (15)N-labeled Ras as well as [(13)C]methyl
Met,Ile-labeled Sos for observing site-specific details of Ras-Sos interactions
in solution. Binding of various forms of Ras (loaded with GDP and mimics of GTP
or nucleotide-free) at the allosteric and catalytic sites of Sos was
comprehensively characterized by monitoring signal perturbations in the NMR
spectra. The overall affinity of binding between these protein variants as well
as their selected functional mutants was also investigated using intrinsic
fluorescence. The data support a positive feedback activation of Sos by Ras.GTP
with Ras.GTP binding as a substrate for the catalytic site of activated Sos more
weakly than Ras.GDP, suggesting that Sos should actively promote unidirectional
GDP -> GTP exchange on Ras in preference of passive homonucleotide exchange.
Ras.GDP weakly binds to the catalytic but not to the allosteric site of Sos. This
confirms that Ras.GDP cannot properly activate Sos at the allosteric site. The
novel site-specific assay described may be useful for design of drugs aimed at
perturbing Ras-Sos interactions.
PMID- 26565027
TI - Tetrahydrobiopterin Biosynthesis as a Potential Target of the Kynurenine Pathway
Metabolite Xanthurenic Acid.
AB - Tryptophan metabolites in the kynurenine pathway are up-regulated by pro
inflammatory cytokines or glucocorticoids, and are linked to anti-inflammatory
and immunosuppressive activities. In addition, they are up-regulated in
pathologies such as cancer, autoimmune diseases, and psychiatric disorders. The
molecular mechanisms of how kynurenine pathway metabolites cause these effects
are incompletely understood. On the other hand, pro-inflammatory cytokines also
up-regulate the amounts of tetrahydrobiopterin (BH4), an enzyme cofactor
essential for the synthesis of several neurotransmitter and nitric oxide species.
Here we show that xanthurenic acid is a potent inhibitor of sepiapterin reductase
(SPR), the final enzyme in de novo BH4 synthesis. The crystal structure of
xanthurenic acid bound to the active site of SPR reveals why among all kynurenine
pathway metabolites xanthurenic acid is the most potent SPR inhibitor. Our
findings suggest that increased xanthurenic acid levels resulting from up
regulation of the kynurenine pathway could attenuate BH4 biosynthesis and BH4
dependent enzymatic reactions, linking two major metabolic pathways known to be
highly up-regulated in inflammation.
PMID- 26565028
TI - A Revised Mechanism for Human Cyclooxygenase-2.
AB - The mechanism of omega-6 polyunsaturated fatty acid oxidation by wild-type
cyclooxygenase 2 and the Y334F variant, lacking a conserved hydrogen bond to the
catalytic tyrosyl radical/tyrosine, was examined for the first time under
physiologically relevant conditions. The enzymes show apparent bimolecular rate
constants and deuterium kinetic isotope effects that increase in proportion to co
substrate concentrations before converging to limiting values. The trends exclude
multiple dioxygenase mechanisms as well as the proposal that initial hydrogen
atom abstraction from the fatty acid is the first irreversible step in catalysis.
Temperature dependent kinetic studies reinforce the novel finding that hydrogen
transfer from the reduced catalytic tyrosine to a terminal peroxyl radical is the
first irreversible step that controls regio- and stereospecific product
formation.
PMID- 26565029
TI - Synthetic Antibodies Inhibit Bcl-2-associated X Protein (BAX) through Blockade of
the N-terminal Activation Site.
AB - The BCL-2 protein family plays a critical role in regulating cellular commitment
to mitochondrial apoptosis. Pro-apoptotic Bcl-2-associated X protein (BAX) is an
executioner protein of the BCL-2 family that represents the gateway to
mitochondrial apoptosis. Following cellular stresses that induce apoptosis,
cytosolic BAX is activated and translocates to the mitochondria, where it inserts
into the mitochondrial outer membrane to form a toxic pore. How the BAX
activation pathway proceeds and how this may be inhibited is not yet completely
understood. Here we describe synthetic antibody fragments (Fabs) as structural
and biochemical probes to investigate the potential mechanisms of BAX regulation.
These synthetic Fabs bind with high affinity to BAX and inhibit its activation by
the BH3-only protein tBID (truncated Bcl2 interacting protein) in assays using
liposomal membranes. Inhibition of BAX by a representative Fab, 3G11, prevented
mitochondrial translocation of BAX and BAX-mediated cytochrome c release. Using
NMR and hydrogen-deuterium exchange mass spectrometry, we showed that 3G11 forms
a stoichiometric and stable complex without inducing a significant conformational
change on monomeric and inactive BAX. We identified that the Fab-binding site on
BAX involves residues of helices alpha1/alpha6 and the alpha1-alpha2 loop.
Therefore, the inhibitory binding surface of 3G11 overlaps with the N-terminal
activation site of BAX, suggesting a novel mechanism of BAX inhibition through
direct binding to the BAX N-terminal activation site. The synthetic Fabs reported
here reveal, as probes, novel mechanistic insights into BAX inhibition and
provide a blueprint for developing inhibitors of BAX activation.
PMID- 26565030
TI - MAP1S Protein Regulates the Phagocytosis of Bacteria and Toll-like Receptor (TLR)
Signaling.
AB - Phagocytosis is a critical cellular process for innate immune defense against
microbial infection. The regulation of phagocytosis process is complex and has
not been well defined. An intracellular molecule might regulate cell surface
initiated phagocytosis, but the underlying molecular mechanism is poorly
understood (1). In this study, we found that microtubule-associated protein 1S
(MAP1S), a protein identified recently that is involved in autophagy (2), is
expressed primarily in macrophages. MAP1S-deficient macrophages are impaired in
the phagocytosis of bacteria. Furthermore, we demonstrate that MAP1S interacts
directly with MyD88, a key adaptor of Toll-like receptors (TLRs), upon TLR
activation and affects the TLR signaling pathway. Intriguingly, we also observe
that, upon TLR activation, MyD88 participates in autophagy processing in a MAP1S
dependent manner by co-localizing with MAP1 light chain 3 (MAP1-LC3 or LC3).
Therefore, we reveal that an intracellular autophagy-related molecule of MAP1S
controls bacterial phagocytosis through TLR signaling.
PMID- 26565031
TI - Complement Component C5a Primes Retinal Pigment Epithelial Cells for Inflammasome
Activation by Lipofuscin-mediated Photooxidative Damage.
AB - Complement activation, oxidative damage, and activation of the NLRP3 inflammasome
have been implicated in retinal pigment epithelium (RPE) pathology in age-related
macular degeneration (AMD). Following priming of RPE cells, the NLRP3
inflammasome can be activated by various stimuli such as lipofuscin-mediated
photooxidative damage to lysosomal membranes. We investigated whether products of
complement activation are capable of providing the priming signal for
inflammasome activation in RPE cells. We found that incubation of primary human
RPE cells and ARPE-19 cells with complement-competent human serum resulted in up
regulation of C5a receptor, but not C3a receptor. Furthermore, human serum
induced expression of pro-IL-1beta and enabled IL-1beta secretion in response to
lipofuscin phototoxicity, thus indicating inflammasome priming. Complement heat
inactivation, C5 depletion, and C5a receptor inhibition suppressed the priming
effect of human serum whereas recombinant C5a likewise induced priming.
Conditioned medium of inflammasome-activated RPE cells provided an additional
priming effect that was mediated by the IL-1 receptor. These results identify
complement activation product C5a as a priming signal for RPE cells that allows
for subsequent inflammasome activation by stimuli such as lipofuscin-mediated
photooxidative damage. This molecular pathway provides a functional link between
key factors of AMD pathogenesis including lipofuscin accumulation, photooxidative
damage, complement activation, and RPE degeneration and may provide novel
therapeutic targets in this disease.
PMID- 26565032
TI - In Vitro Characterization of the Type I Toxin-Antitoxin System bsrE/SR5 from
Bacillus subtilis.
AB - BsrE/SR5 is a new type I toxin/antitoxin system located on the prophage-like
region P6 of the Bacillus subtilis chromosome. The bsrE gene encoding a 30-amino
acid hydrophobic toxin and the antitoxin gene sr5 overlap at their 3' ends by 112
bp. Overexpression of bsrE causes cell lysis on agar plates. Here, we present a
detailed in vitro analysis of bsrE/SR5. The secondary structures of SR5, bsrE
mRNA, and the SR5/bsrE RNA complex were determined. Apparent binding rate
constants (kapp) of wild-type and mutated SR5 species with wild-type bsrE mRNA
were calculated, and SR5 regions required for efficient inhibition of bsrE mRNA
narrowed down. In vivo studies confirmed the in vitro data but indicated that a
so far unknown RNA binding protein might exist in B. subtilis that can promote
antitoxin/toxin RNA interaction. Using time course experiments, the binding
pathway of SR5 and bsrE RNA was elucidated. A comparison with the previously well
characterized type I TA system from the B. subtilis chromosome, bsrG/SR4, reveals
similarities but also significant differences.
PMID- 26565044
TI - Adult idiopathic occlusion of Monro foramina: Intraoperative endoscopic
reinterpretation of radiological data and review of the literature.
PMID- 26565033
TI - The SUMO (Small Ubiquitin-like Modifier) Ligase PIAS3 Primes ATR for Checkpoint
Activation.
AB - The maintenance of genomic stability relies on the concerted action of DNA repair
and DNA damage signaling pathways. The PIAS (protein inhibitor of activated STAT)
family of SUMO (small ubiquitin-like modifier) ligases has been implicated in DNA
repair, but whether it plays a role in DNA damage signaling is still unclear.
Here, we show that the PIAS3 SUMO ligase is important for activation of the ATR
(ataxia telangiectasia and Rad3 related)-regulated DNA damage signaling pathway.
PIAS3 is the only member of the PIAS family that is indispensable for ATR
activation. In response to different types of DNA damage and replication stress,
PIAS3 plays multiple roles in ATR activation. In cells treated with camptothecin
(CPT), PIAS3 contributes to formation of DNA double-stranded breaks. In UV
(ultraviolet light)- or HU (hydroxyurea)-treated cells, PIAS3 is required for
efficient ATR autophosphorylation, one of the earliest events during ATR
activation. Although PIAS3 is dispensable for ATRIP (ATR-interacting protein)
SUMOylation and the ATR-ATRIP interaction, it is required for maintaining the
basal kinase activity of ATR prior to DNA damage. In the absence of PIAS3, ATR
fails to display normal kinase activity after DNA damage, which accompanies with
reduced phosphorylation of ATR substrates. Together, these results suggest that
PIAS3 primes ATR for checkpoint activation by sustaining its basal kinase
activity, revealing a new function of the PIAS family in DNA damage signaling.
PMID- 26565046
TI - Retraction Notice to: Grizzly Bears Exhibit Augmented Insulin Sensitivity while
Obese Prior to a Reversible Insulin Resistance during Hibernation.
PMID- 26565045
TI - Women in Metabolism: Part II.
AB - The "Rosies" of Cell Metabolism are back for the second part of the "Women in
Metabolism" series. In continuing our celebrations of Cell Metabolism's 10th
anniversary, we are happy to present 15 new fascinating stories, revealing the
passion, dedication, and words of wisdom from women leaders in the metabolism
field.
PMID- 26565047
TI - Non-profit organizations: Scientists on a mission.
PMID- 26565048
TI - This is a new book series entitled Neuroscience and Respiration. Introduction.
PMID- 26565049
TI - [Not Available].
PMID- 26565050
TI - [Not Available].
PMID- 26565051
TI - Electrosurgery: what do young surgeons need to know?
PMID- 26565052
TI - Medicine in small doses.
PMID- 26565053
TI - Info card for surgery waiting room improves satisfaction.
AB - A hospital is reporting improved patient satisfaction from providing an
information card in the surgery department. The card includes expected wait
times. The card is provided by the patient transport team. Telephone numbers are
included for more information. Staff update family members hourly during surgery.
PMID- 26565054
TI - Waiting room card reduces family anxiety.
PMID- 26565055
TI - Compliance with standing orders, protocol rule can be difficult.
PMID- 26565057
TI - IOM says diagnosis errors underappreciated.
PMID- 26565056
TI - Diagnosis errors are a system problem, not just doctor's fault.
AB - The Institute of Medicine has issued a report calling on the medical community to
more effectively address diagnostic errors. Reducing these errors will require a
collaborative approach. Diagnostic errors are not typically caused by only a
physician's error. Radiologists and pathologists should be more involved with
diagnoses. Risk managers should treat diagnostic errors as a system problem.
PMID- 26565058
TI - NLRB says nurses entitled to union representation at peer review.
PMID- 26565059
TI - Sentinel Event Alert focuses on patient falls.
PMID- 26565061
TI - [Periconceptional folic acid prophylaxis and neural tube defects].
AB - Neural tube defects (NTD) affect 60-70 pregnancies each year in Denmark. Folic
acid (FA) intake can reduce the risk of NTD in pregnancy. The Danish Health and
Medicines Authority recom-mends Danish women to take 0.4 mg of FA daily
periconcep-tionally. Several studies have found low compliance regarding FA
supplementation. In more than 70 countries around the world FA fortification of
food products has been introduced and in USA and Canada this has lead to a
decrease in NTD. FA fortification has not yet been introduced in Denmark. A
revision of national Danish recommendations is needed.
PMID- 26565062
TI - Minimal evolution time and quantum speed limit of non-Markovian open systems.
AB - We derive a sharp bound as the quantum speed limit (QSL) for the minimal
evolution time of quantum open systems in the non-Markovian strong-coupling
regime with initial mixed states by considering the effects of both renormalized
Hamiltonian and dissipator. For a non-Markovian quantum open system, the possible
evolution time between two arbitrary states is not unique, among the set of which
we find that the minimal one and its QSL can decrease more steeply by adjusting
the coupling strength of the dissipator, which thus provides potential
improvements of efficiency in many quantum physics and quantum information areas.
PMID- 26565063
TI - The socioeconomic impact of international aid: a qualitative study of healthcare
recovery in post-earthquake Haiti and implications for future disaster relief.
AB - We assessed healthcare provider perspectives of international aid four years
after the Haiti Earthquake to better understand the impact of aid on the Haitian
healthcare system and learn best practices for recovery in future disaster
contexts. We conducted 22 semi-structured interviews with the directors of local,
collaborative, and aid-funded healthcare facilities in Leogane, Haiti. We coded
and analysed the interviews using an iterative method based on a grounded theory
approach of data analysis. Healthcare providers identified positive aspects of
aid, including acute emergency relief, long-term improved healthcare access, and
increased ease of referrals for low-income patients. However, they also
identified negative impacts of international aid, including episodes of poor
quality care, internal brain drain, competition across facilities, decrease in
patient flow to local facilities, and emigration of Haitian doctors to abroad. As
Haiti continues to recover, it is imperative for aid institutions and local
healthcare facilities to develop a more collaborative relationship to transition
acute relief to sustainable capacity building. In future disaster contexts, aid
institutions should specifically utilise quality of care metrics, NGO Codes of
Conduct, Master Health Facility Lists, and sliding scale payment systems to
improve disaster response.
PMID- 26565064
TI - The degradation behaviour of nine diverse contaminants in urban surface water and
wastewater prior to water treatment.
AB - An increasing diversity of emerging contaminants are entering urban surface water
and wastewater, posing unknown risks for the environment. One of the main
contemporary challenges in ensuring water quality is to design efficient
strategies for minimizing such risks. As a first step in such strategies, it is
important to establish the fate and degradation behavior of contaminants prior to
any engineered secondary water treatment. Such information is relevant for
assessing treatment solutions by simple storage, or to assess the impacts of
contaminant spreading in the absence of water treatment, such as during times of
flooding or in areas of poor infrastructure. Therefore in this study we examined
the degradation behavior of a broad array of water contaminants in actual urban
surface water and wastewater, in the presence and absence of naturally occurring
bacteria and at two temperatures. The chemicals included caffeine,
sulfamethoxazole, carbamazepine, atrazine, 17beta-estradiol, ethinylestradiol,
diclofenac, desethylatrazine and norethindrone. Little information on the
degradation behavior of these pollutants in actual influent wastewater exist, nor
in general in water for desethylatrazine (a transformation product of atrazine)
and the synthetic hormone norethindrone. Investigations were done in aerobic
conditions, in the absence of sunlight. The results suggest that all chemicals
except estradiol are stable in urban surface water, and in waste water neither
abiotic nor biological degradation in the absence of sunlight contribute
significantly to the disappearance of desethylatrazine, atrazine, carbamazepine
and diclofenac. Biological degradation in wastewater was effective at
transforming norethindrone, 17beta-estradiol, ethinylestradiol, caffeine and
sulfamethoxazole, with measured degradation rate constants k and half-lives
ranging respectively from 0.0082-0.52 d(-1) and 1.3-85 days. The obtained
degradation data generally followed a pseudo-first-order-kinetic model. This
information can be used to model degradation prior to water treatment.
PMID- 26565065
TI - Naringenin ameliorates renal and platelet purinergic signalling alterations in
high-cholesterol fed rats through the suppression of ROS and NF-kappaB signaling
pathways.
AB - Naringenin (NGEN) is a natural flavonoid aglycone of naringin that has been
reported to have a wide range of pharmacological properties, such as antioxidant
activity and free radical scavenging capacity. The aim of this study was to
investigate the protective effect of NGEN on oxidative and inflammatory
parameters, as well as to evaluate the hydrolysis of adenine nucleotides in
kidney and platelet membranes of rats exposed to a hypercholesterolemic diet
(HCD) for 90 days. Kidney oxidative stress and mRNA expression of the
ectonucleoside triphosphate diphosphohydrolases (NTPDases), ecto-5'-nucleotidase
(CD73), inducible NO synthase (iNOS), tumor necrosis factor-alpha (TNF-alpha),
interleukin 6 (IL-6) and the nuclear factor kappa B (NF-kappaB) genes were
evaluated by real time RT-PCR. The co-administration of NGEN (50 mg kg(-1)) for
90 days significantly prevented renal failure in HCD rats as indicated by an
improvement of renal markers. Histopathological observation findings are also
consistent with these effects. Moreover, NGEN (50 mg kg(-1)) significantly
decreased the lipid profile and inhibited pro-oxidant and inflammation marker
levels in the kidney of HCD rats. Furthermore, the NTPDase activities were
significantly decreased in platelets and kidney membranes of HCD-treated rats and
these alterations were improved by NGEN. In conclusion, this study suggests that
naringenin can potentially improve the renal failure and platelet alterations
observed in rats fed a hypercholesterolemic diet probably through its antioxidant
effects.
PMID- 26565066
TI - Delaying diagnostic procedure significantly increases mortality in patients with
invasive mucormycosis.
AB - Invasive mucormycosis is an uncommon but increasing life-threatening fungal
infection. The present study investigated clinical characteristics and mortality
among patients diagnosed as invasive mucormycosis infection. We retrospectively
reviewed a total of 24 histologically proven cases of invasive mucormycosis at
two tertiary care referral hospitals between November 2005 and February 2014.
Overall survival was 50% (n = 12). The time between onset of symptom and
diagnostic procedure proved to be associated with mortality (P = 0.009). In
addition, preexisting renal failure and thrombocytopenia demonstrated trends
toward a poor outcome in our study (P = 0.089 and 0.065, respectively). On
multivariate regression analysis, delayed diagnostic procedure (more than 16 days
after the onset of symptoms) was an independent predictor of mortality (OR=
12.34, 95% CI, 1.43-10.64; P = 0.022). Mucormycosis is a destructive fungal
infection that is associated with high mortality rates, ranging from 40% to 100%
depending on the form of disease. When a clinician suspects invasive mucormycosis
infection, an early diagnostic procedure performed within 16 days from the onset
of symptom and early initiation of antifungal therapy will lead to successful
management of this highly fatal disease.
PMID- 26565067
TI - Antibodies as means for selective mass spectrometry.
AB - For protein analysis of biological samples, two major strategies are used today;
mass spectrometry (MS) and antibody-based methods. Each strategy offers
advantages and drawbacks. However, combining the two using an immunoenrichment
step with MS analysis brings together the benefits of each method resulting in
increased sensitivity, faster analysis and possibility of higher degrees of
multiplexing. The immunoenrichment can be performed either on protein or peptide
level and quantification standards can be added in order to enable determination
of the absolute protein concentration in the sample. The combination of
immunoenrichment and MS holds great promise for the future in both proteomics and
clinical diagnostics. This review describes different setups of immunoenrichment
coupled to mass spectrometry and how these can be utilized in various
applications.
PMID- 26565068
TI - Development, validation and application of a fast analytical methodology for the
simultaneous determination of DNA- and RNA-derived urinary nucleosides by liquid
chromatography coupled to tandem mass spectrometry.
AB - In the present work we report the development and validation of a fast liquid
chromatography-mass spectrometry method for the simultaneous determination of
endogenous nucleosides derived from DNA and RNA in urine. The target compounds
were 2'-deoxyguanosine and 8-hydroxy-2'-deoxyguanosine, derived from DNA, and the
analogue 8-hydroxyguanosine, derived from RNA, together with adenosine, 1
methyladenosine, 7-methylguanosine and inosine. The method is based on the use of
a chromatographic column packed with superficially porous particles for high
efficiency separation; further detection by MS/MS was accomplished with a triple
quadrupole-mass spectrometer for analyte identification and accurate
quantification. As a preliminary purification step, we developed a new procedure
based on solid-phase extraction (SPE) with a mixed-sorbent prepared from three
polymeric materials that facilitated the isolation of modified nucleosides, such
as 2-deoxynucleosides, that are not retained by phenylboronic acid-based SPE. The
proposed approach (SPE prior to LC-MS/MS) was validated in human urine in terms
of linearity, the limit of detection, the limit of quantification, accuracy,
recovery, repeatability, reproducibility and matrix-effects. For the SPE step,
intra-day and inter-cartridge reproducibility were evaluated in natural and
spiked urine samples, being +/- 16.9% or below, with recoveries in the 74-125%
range. No significant matrix effects were found in further MS/MS detection. The
application of the present method to urine from healthy smoker and non-smoker
volunteers is also reported in order to test its usefulness as a tool for
clinical and toxicological trials.
PMID- 26565069
TI - Spatial distribution patterns of ammonia-oxidizing archaea abundance in
subtropical forests at early and late successional stages.
AB - Characterizing the spatial distribution patterns of soil microorganisms is
helpful in understanding the biogeochemical processes they perform, but has been
less studied relative to those of macroorganisms. In this study, we investigated
and compared the spatially explicit distribution patterns of ammonia-oxidizing
archaea (AOA) abundance and the influential factors between an early (ES) and a
late successional (LS) subtropical forest stand. The average AOA abundance,
vegetational attributes, and soil nutrient contents were mostly greater in the LS
than the ES stand (P = 0.085 or smaller), but their spatial variations were more
pronounced in the ES than the LS stand. The spatial distribution patches of AOA
abundance were smaller and more irregular in the ES stand (patch size <50 m) than
in the LS stand (patch size about 120 m). Edaphic and vegetational variables
contributed more to the spatial variations of AOA abundance for the ES (9.3%)
stand than for LS stand, whereas spatial variables (MEMs) were the main
contributors (62%) for the LS stand. These results suggest that environmental
filtering likely influence the spatial distribution of AOA abundance at early
successional stage more than that at late successional stage, while spatial
dispersal is dominant at late successional stage.
PMID- 26565070
TI - The contact activation and kallikrein/kinin systems: pathophysiologic and
physiologic activities.
AB - The contact activation system (CAS) and kallikrein/kinin system (KKS) are older
recognized biochemical pathways that include several proteins that skirt the
fringes of the blood coagulation, fibrinolytic, complement and renin-angiotensin
fields. These proteins initially were proposed as part of the hemostatic pathways
because their deficiencies are associated with prolonged clinical assays.
However, the absence of bleeding states with deficiencies of factor XII (FXII),
prekallikrein (PK) and high-molecular-weight kininogen indicates that the CAS and
KKS do not contribute to hemostasis. Since the discovery of the Hageman factor 60
years ago much has been learned about the biochemistry, cell biology and animal
physiology of these proteins. The CAS is a pathophysiologic surface defense
mechanism against foreign proteins, organisms and artificial materials. The KKS
is an inflammatory response mechanism. Targeting their activation through FXIIa
or plasma kallikrein inhibition when blood interacts with the artificial surfaces
of modern interventional medicine or in acute attacks of hereditary angioedema
restores vascular homeostasis. FXII/FXIIa and products that arise with PK
deficiency also offer novel ways to reduce arterial and venous thrombosis without
an effect on hemostasis. In summary, there is revived interest in the CAS and KKS
due to better understanding of their activities. The new appreciation of these
systems will lead to several new therapies for a variety of medical disorders.
PMID- 26565072
TI - Ten Years of Linear Endobronchial Ultrasound: Evidence of Efficacy, Safety and
Cost-effectiveness.
AB - Real-time endobronchial ultrasound-guided transbronchial needle aspiration (EBUS
TBNA) is one of the major landmarks in the history of bronchoscopy. In the 10
years since it was introduced, a vast body of literature on the procedure and its
results support the use of this technique in the study of various mediastinal and
pulmonary lesions. This article is a comprehensive, systematic review of all the
available scientific evidence on the more general indications for this technique.
Results of specific studies on efficacy, safety and cost-effectiveness available
to date are examined. The analysis shows that EBUS-TBNA is a safe, cost-effective
technique with a high grade of evidence that is a valuable tool in the diagnosis
and mediastinal staging of patients with suspected or confirmed lung cancer.
However, more studies are needed to guide decision-making in the case of a
negative result. Evidence on the role of EBUS-TBNA in the diagnosis of
sarcoidosis and extrathoracic malignancies is also high, but much lower when used
in the study of tuberculosis, lymphoma and for the re-staging of lung cancer
after neoadjuvant chemotherapy. Nevertheless, due to its good safety record and
lack of invasiveness compared to surgical techniques, the grade of evidence for
recommending EBUS-TBNA as the initial diagnostic test in patients with these
diseases is very high in most cases.
PMID- 26565071
TI - Efficacy and safety of tacrolimus compared with ciclosporin-A in renal
transplantation: 7-year observational results.
AB - The European Tacrolimus versus Ciclosporin-A Microemulsion (CsA-ME) Renal
Transplantation Study demonstrated that tacrolimus decreased acute rejection
rates at 6 months. Primary endpoints of this investigator-initiated,
observational 7-year follow-up study were acute rejection rates, patient and
graft survival rates, and a composite endpoint (BPAR, graft loss, and patient
death). We analyzed data from the original intent-to-treat population (n = 557;
286 tacrolimus, 271 CsA-ME). A total of 237 tacrolimus and 208 CsA-ME patients
provided data. At 7 years, Kaplan-Meier estimated rates of patients free from
BPAR were 77.1% in the tacrolimus arm and 59.9% in the CsA-ME arm, graft survival
rates amounted to 82.6% and 80.6%, and patient survival rates to 89.9% and 88.1%.
Estimated combined endpoint-free survival rates were 60.2% in the tacrolimus arm
and 47.0% in the CsA-ME arm (P = <0.0001). A higher number of patients from the
CsA-ME arm crossed over to tacrolimus during 7 year follow-up: 19.7% vs. 7.9% (P
= <0.002). More patients in the tacrolimus group stopped steroids and received
immunosuppressive monotherapy. Significantly, more CsA-ME patients received lipid
lowering medication and experienced cosmetic and cardiovascular adverse events.
Tacrolimus-treated renal transplant recipients had significantly higher combined
endpoint-free survival rates mainly driven by lower acute rejection rates despite
less immunosuppressive medication at 7 years.
PMID- 26565073
TI - Phonon limited superconducting correlations in metallic nanograins.
AB - Conventional superconductivity is inevitably suppressed in ultra-small metallic
grains for characteristic sizes smaller than the Anderson limit. Experiments have
shown that above the Anderson limit the critical temperature may be either
enhanced or reduced when decreasing the particle size, depending on the
superconducting material. In addition, there is experimental evidence that
whether an enhancement or a reduction is found depends on the strength of the
electron-phonon interaction in the bulk. We reveal how the strength of the e-ph
interaction interplays with the quantum-size effect and theoretically obtain the
critical temperature of the superconducting nanograins in excellent agreement
with experimental data. We demonstrate that strong e-ph scattering smears the
peak structure in the electronic density-of-states of a metallic grain and
enhances the electron mass, and thereby limits the highest Tc achievable by
quantum confinement.
PMID- 26565074
TI - Home use of misoprostol for early medical abortion in a low resource setting:
secondary analysis of a randomized controlled trial.
AB - INTRODUCTION: Although home use of misoprostol for early medical abortion is
considered to be safe, effective and feasible, it has not become standard service
delivery practice. The aim of this study was to compare the efficacy, safety, and
acceptability of home use of misoprostol with clinic misoprostol in a low
resource setting. MATERIAL AND METHODS: This was a secondary analysis of a
randomized controlled trial conducted in six primary care clinics in India. Women
seeking medical abortion within up to nine gestational weeks (n = 731) received
mifepristone in the clinic and were allocated either to home or clinic
administration of misoprostol. Follow-up contact was after 10-15 days. RESULTS:
Of 731 participants, 73% were from rural areas and 55% had no formal education.
Complete abortion rates in the home and clinic misoprostol groups were 94.2 and
94.4%, respectively. The rate of adverse events was similar in both groups
(0.3%). A greater proportion of home users (90.2%) said that they would opt for
misoprostol at home in the event of a future abortion compared with clinic users
(79.7%) who would opt for misoprostol at the clinic in a similar situation (p =
0.0002). Ninety-six percent women using misoprostol at home or in the clinic were
satisfied with their abortion experience. CONCLUSIONS: Home-use of misoprostol
for early medical abortion is as effective and acceptable as clinic use, in low
resource settings. Women should be offered a choice of this option regardless of
distance of their residence from the clinic and communication facilities.
PMID- 26565075
TI - Diastereoselective Synthesis of Glycosyl Phosphates by Using a Phosphorylase
Phosphatase Combination Catalyst.
AB - Sugar phosphates play an important role in metabolism and signaling, but also as
constituents of macromolecular structures. Selective phosphorylation of sugars is
chemically difficult, particularly at the anomeric center. We report phosphatase
catalyzed diastereoselective "anomeric" phosphorylation of various aldose
substrates with alpha-D-glucose 1-phosphate, derived from phosphorylase-catalyzed
conversion of sucrose and inorganic phosphate, as the phosphoryl donor.
Simultaneous and sequential two-step transformations by the phosphorylase
phosphatase combination catalyst yielded glycosyl phosphates of defined anomeric
configuration in yields of up to 70 % based on the phosphate applied to the
reaction. An efficient enzyme-assisted purification of the glycosyl phosphate
products from reaction mixtures was established.
PMID- 26565076
TI - Severe Vincristine-induced Neuropathic Pain in a CYP3A5 Nonexpressor With Reduced
CYP3A4/5 Activity: Case Study.
AB - PURPOSE: Peripheral neuropathy is a frequent vincristine-induced adverse effect.
Vincristine is a substrate of P-glycoprotein and is metabolized by the cytochrome
P-450 (CYP) 3A5 and 3A4 isoforms, with CYP3A5 contributing to 75% of the
intrinsic clearance of vincristine. Alterations in the function of these proteins
may lead to an increase in vincristine toxicity. CYP3A5 nonexpressor status has
been associated with vincristine-induced peripheral neuropathy. The severity of
neuropathy has been reported to be inversely correlated to vincristine metabolite
concentrations. Recently, the presence of a mutation in the CEP72 gene, which
encodes for a protein involved in microtubule formation, has also been associated
with vincristine-induced peripheral neuropathy. However, a clear correlation
between genetic polymorphisms and vincristine toxicity has not been established.
METHODS: Here we report the case of a 21-year old patient in whom severe
neuropathic pain developed after vincristine treatment. FINDINGS: The patient was
a CYP3A5 nonexpressor and presented with reduced CYP3A4/5 functional activity, a
likely reason for the occurrence of the adverse event, as genotyping showed that
his status was wild type for the ABCB1 and CEP72 genes. IMPLICATIONS: CYP
phenotype and genotype may explain the occurrence of severe neuropathy in some
patients treated with vincristine.
PMID- 26565077
TI - Effects of Dalfampridine Extended-release Tablets on 6-minute Walk Distance in
Patients With Multiple Sclerosis: A Post Hoc Analysis of a Double-blind, Placebo
controlled Trial.
AB - PURPOSE: Dalfampridine extended-release (ER) tablets 10 mg BID have been approved
for use in improving walking in people with multiple sclerosis (MS). This
subgroup analysis evaluated the effects of dalfampridine ER 5 and 10 mg BID on
distance walked, as assessed using the 6-minute walk (6MW) test. METHODS: This
analysis of data from a randomized, placebo-controlled, double-blind study (N =
430) included only the 153 patients with 6MW data available. Participants (aged
18-70 years) were randomly assigned in a 1:1:1 ratio to receive dalfampridine ER
5 or 10 mg or placebo, BID for 4 weeks. The 6MW was used for assessing walking
distance at baseline and 2 weeks after the start of treatment at the 26 study
sites that were able to perform this test. Participants were administered the 12
item MS Walking Scale (MSWS-12), a patient-reported measure of the impact of MS
on walking. Post hoc outcomes included the percentages of patients who achieved
an increase from baseline in 6MW distance of >=20% and who achieved a minimal
clinically important difference (MCID) from baseline in 6MW distance, defined as
>=+55 m. Changes from baseline in walking speed (MSWS-12) were compared,
stratified by subgroup that achieved >=20% versus <20% improvement on the 6MW.
The correlation between change in walking speed over time and subgroup (by change
in distance walked) was evaluated. The tolerability of dalfampridine was assessed
based on the prevalence of treatment-emergent adverse events (TEAEs). FINDINGS:
In the post hoc analysis, the percentage of patients with an improvement in 6MW
distance that met or exceeded the MCID was significantly greater with
dalfampridine ER 10 mg BID relative to placebo (37.3% vs 12.2%; nominal P =
0.004). Similarly, the percentage with an improvement in 6MW distance of >=20%
was significantly greater with dalfampridine 10 mg BID relative to placebo (45.1%
vs 14.3%; nominal P < 0.001). Regardless of treatment allocation, improvement in
MSWS-12 was significantly greater in the subgroup that achieved a >=20%
improvement on the 6MW compared with the subgroup with <20% improvement (mean
changes, -15.5 vs -7.2; nominal P = 0.041). The prevalences and types of TEAEs
were consistent with those reported in previous studies. IMPLICATIONS: Based on
the MCID for 6MW, the use of dalfampridine ER 10 mg BID but not 5 mg BID was
associated with statistically significant and clinically meaningful improvements
in walking relative to placebo. The correlation between improvement on MSWS-12
and the 20% increase in 6MW distance suggests that an improvement on MSWS-12 is
clinically relevant. These results, although highlighting a lack of efficacy of
dalfampridine ER 5 mg BID, suggest that the 10-mg BID dose is effective for
improving walking speed, as observed on short timed-walk tests, and for
increasing distance walked over longer timed-walk periods. ClinicalTrials.gov
identifier: NCT01328379.
PMID- 26565078
TI - Phononic crystal surface mode coupling and its use in acoustic Doppler
velocimetry.
AB - It is numerically shown that surface modes of two-dimensional phononic crystals,
which are Bloch modes bound to the interface between the phononic crystal and the
surrounding host, can couple back and forth between the surfaces in a length
scale determined by the separation of two surfaces and frequency. Supercell band
structure computations through the finite-element method reveal that the surface
band of an isolated surface splits into two bands which support either symmetric
or antisymmetric hybrid modes. When the surface separation is 3.5 times the
lattice constant, a coupling length varying between 30 and 48 periods can be
obtained which first increases linearly with frequency and, then, decreases
rapidly. In the linear regime, variation of coupling length can be used as a
means of measuring speeds of objects on the order of 0.1m/s by incorporating the
Doppler shift. Speed sensitivity can be improved by increasing surface separation
at the cost of larger device sizes.
PMID- 26565079
TI - Cultural Safety and Providing Care to Aboriginal patients in the Emergency
Department.
PMID- 26565080
TI - The Kinect Recording System for objective three- and four-dimensional breast
assessment with image overlays.
AB - INTRODUCTION: We investigated the application of the validated portable Kinect
camera for three- and four-dimensional breast assessment in female life models.
METHOD: Breast images from six life models were captured using the Kinect camera.
Capture was conducted with taking three different arm positions while standing
upright: with the arms straight down, straight up to the side at 90 degrees and
straight all the way up. Images of the volunteers were superimposed on each
other. Digital linear distances between sternal notch and nipple-areola complexes
were obtained and compared. The views of plastic and breast surgeons to arm
positions were questioned. An example for clinical application was provided.
RESULTS: Successful capture of images of the female life breast models was
achieved. Digital breast measurements at the three different arm positions
revealed considerable variation in linear distances measured on the images
obtained with the Kinect camera. The dynamic of breast movements could be
demonstrated by image overlay and the first ever four-dimensional breast
assessment was demonstrated. Fourteen plastic and breast surgeons were found to
have nine different opinions regarding their favoured arm positions for breast
capture. Even though precision of image sharpness still needs improvement, the
images were satisfactory for clinical patient use. The Kinect data were shown to
be applicable to surgery planning by designing a planar flap from the 3D mesh.
CONCLUSION: The portable and low-cost Kinect camera proved to be easy to use for
the first application in life models for three- and four-dimensional breast
assessment.
PMID- 26565081
TI - Probiotic yeast Kluyveromyces marxianus CIDCA 8154 shows anti-inflammatory and
anti-oxidative stress properties in in vivo models.
AB - Inflammatory bowel diseases (IBDs) are complex affections with increasing
incidence worldwide. Multiple factors are involved in the development and
maintenance of the symptoms including enhanced oxidative stress in intestinal
mucosa. The conventional therapeutic approaches for IBDs are based on the use
anti-inflammatory drugs with important collateral effects and partial efficacy.
In the present work we tested the anti-inflammatory capacity of Kluyveromyces
marxianus CIDCA 8154 in different models. In vitro, we showed that the
pretreatment of epithelial cells with the yeast reduce the levels of
intracellular reactive oxygen species. Furthermore, in a murine model of trinitro
benzene sulfonic acid-induced colitis, yeast-treated animals showed a reduced
histopathological score (P<0.05) and lower levels of circulating interleukin 6
(P<0.05). The capacity to modulate oxidative stress in vivo was assessed using a
Caenorhabditis elegans model. The yeast was able to protect the nematodes from
oxidative stress by modulating the SKN-1 transcription factor trough the DAF-2
pathway. These results indicate that K. marxianus CIDCA 8154 could control the
intestinal inflammation and cellular oxidative stress. Deciphering the mechanisms
of action of different probiotics might be useful for the rational formulation of
polymicrobial products containing microorganisms targeting different anti
inflammatory pathways.
PMID- 26565082
TI - Probiotic supplementation influences faecal short chain fatty acids in infants at
high risk for eczema.
AB - The composition of the gut microbiota plays a role in the development of
allergies. Based on the immunomodulating capacities of bacteria, various studies
have investigated the potential role for probiotics in the prevention of
childhood eczema. In a previous study we have shown that significantly less
children developed eczema after probiotic supplementation (Bifidobacterium
bifidum W23, Bifidobacterium animalis subsp. lactis W52 and Lactococcus lactis
W58, Ecologic((r))Panda) at three months of age as compared to controls. Here,
metabolites in faecal samples of these 3-month old children were measured by (1)H
nuclear magnetic resonance to investigate possible gut metabolic alterations.
Lower amounts of short-chain fatty acids (SCFAs), succinate, phenylalanine and
alanine were found in faecal samples of children later developing eczema, whereas
the amounts of glucose, galactose, lactate and lactose were higher compared to
the children not developing eczema. Although these differences were already
present at the age of 3 months, eczema did not develop in the majority of
children before the age of 1 year. Supplementation of multispecies probiotics
seems to induce higher levels of lactate and SCFAs, and lower levels of lactose
and succinate when compared with the placebo group. This might explain the
temporary preventive effect of probiotics on the development of eczema. These
results highlight the role bacterial metabolites may play in development of the
immune system, even before clinical manifestations of allergic disease arise.
PMID- 26565083
TI - In vitro evidence for efficacy in food intolerance for the multispecies probiotic
formulation Ecologic(r) Tolerance (SyngutTM).
AB - The beneficial effects of probiotics are currently the subject of extensive
studies in health and medical research. The aim of this research was to
specifically design a new probiotic formulation for supplementation in people
suffering from food intolerance. The selection of strains was focussed on the
capacity to influence mechanisms of action that are important in development of
food intolerance with the following parameters measure: in vitro capacity to
produce beta-galactosidase, in vitro strengthening of the epithelial barrier, in
vitro stimulation of cytokines produced by regulatory T cells, in addition to
assessing fundamental quality criteria (stability, gastrointestinal (GI)
survival, multispecies concept, allergen-free). Ecologic(r)Tolerance/SyngutTM was
subsequently developed consisting of a multispecies concept using 4 different
probiotic strains (Bifidobacterium lactis W51, Lactobacillus acidophilus W22,
Lactobacillus plantarum W21 and Lactococcus lactis W19). Each of these strains
demonstrated ability to survive the GI-tract and strain specific effects in
producing beta-galactosidase, strengthening the gut barrier function after
immunological-induced stress and inhibiting Th2 cytokines (IL-4, IL-5 and IL-13
(>=50%), in addition to stimulating interleukin-10 levels; thus, providing in
vitro evidence for the efficacy of the selected strains to provide beneficial
effects in patients suffering from food intolerance.
PMID- 26565084
TI - Effect of dietary supplementation of Bifidobacterium and Lactobacillus strains in
Apis mellifera L. against Nosema ceranae.
AB - Nosema ceranae is a widespread microsporidium of European honeybee Apis mellifera
L. affecting bee health. The ban of Fumagillin-B (dicyclohexylammonium salt) in
the European Union has driven the search for sustainable strategies to prevent
and control the infection. The gut microbial symbionts, associated to the
intestinal system of vertebrates and invertebrates and its impact on host health,
are receiving increasing attention. In particular, bifidobacteria and
lactobacilli, which are normal inhabitants of the digestive system of bees, are
known to protect their hosts via antimicrobial metabolites, immunomodulation and
competition. In this work, the dietary supplementation of gut bacteria was
evaluated under laboratory conditions in bees artificially infected with the
parasite and bees not artificially infected but evidencing a low natural
infection. Supplemented bacteria were selected among bifidobacteria, previously
isolated, and lactobacilli, isolated in this work from healthy honeybee gut. Four
treatments were compared: bees fed with sugar syrup (CTR); bees fed with sugar
syrup containing bifidobacteria and lactobacilli (PRO); bees infected with N.
ceranae spores and fed with sugar syrup (NOS); bees infected with N. ceranae and
fed with sugar syrup containing bifidobacteria and lactobacilli (NP). The sugar
syrup, with or without microorganisms, was administered to bees from the first
day of life for 13 days. N. ceranae infection was carried out individually on
anesthetised 5-day-old bees. Eight days after infection, a significant (P<0.05)
lower level of N. ceranae was detected by real-time PCR in both NP and PRO group,
showing a positive effect of supplemented microorganisms in controlling the
infection. These results represent a first attempt of application of
bifidobacteria and lactobacilli against N. ceranae in honeybees.
PMID- 26565085
TI - Weissella paramesenteroides WpK4 reduces gene expression of intestinal cytokines,
and hepatic and splenic injuries in a murine model of typhoid fever.
AB - Diarrhoea in piglets by Salmonella and other pathogens can be a serious health
problem. Non-drug treatments such as probiotic microorganisms have various
effects on the gastrointestinal microbiota dysbiosis and host immune system
modulation. The aim of this study was to demonstrate the suitable use of
Weissella paramesenteroides WpK4 strain isolated from healthy piglets as an
alternative prophylactic or therapeutic treatment against Salmonella Typhimurium.
Out of 37 lactic acid bacteria isolates, 24 strains belonging to the Weissella
and Lactobacillus genera were analysed in vitro for desirable probiotic
characteristics. The W. paramesenteroides WpK4 strain fulfilled all in vitro
tests: resistance to acidic pH and bile salts, hydrophobic cell surface,
antagonism against bacterial pathogens, H2O2 production and exopolysaccharide
secretion, and non-transferable resistance to antibiotics. Mice fed with WpK4
showed no signs of bacterial translocation to the liver or spleen and decreased
Salmonella translocation to these organs. Significantly, WpK4 intake attenuated
the weight loss, fostered the preservation of intestinal architecture and
integrity, and promoted survival in mice following infection with Salmonella
Typhimurium. In addition, WpK4 modulated immune cellular response by inhibiting
the production of pro-inflammatory cytokines and inducing anti-inflammatory
mediators. These findings validate the probiotic properties of W.
paramesenteroides WpK4 strain, and its eventual use in piglets.
PMID- 26565086
TI - Selection, characterisation and evaluation of potential probiotic Lactobacillus
spp. isolated from poultry droppings.
AB - Aim of the present study was to characterise and evaluate probiotic potential of
lactobacilli isolated from indigenous poultry. Lactobacilli were isolated from
poultry droppings and identified by genus specific polymerase chain reaction and
16S rRNA gene sequencing. Isolates were characterised in vitro by their ability
to tolerate low pH and bile salts, phytase activity, antimicrobial activity,
antibiotic susceptibility profile, and autoaggregation and coaggregation with
poultry gut pathogens. In vivo evaluation of selected isolates was done by their
effect on the body weight gain and immune response of broiler chicks. Total of
90, one-day old chicks, were randomly divided in 9 groups and given selected
lactobacilli alone and in combinations (108 cfu/bird, daily) from day 7 to day
35. Body weight gain and humoral immune response to New Castle Disease Virus
(NDV) vaccine were determined weekly. Three lactobacilli isolates (SMP52, SMP64
and SMP70) were selected as potentially probiotic bacteria on the basis of in
vitro characterisation and identified as Lactobacillus crispatus, Lactobacillus
casei and L. crispatus, respectively. Chicks supplemented with 'SMP52', 'SMP64',
'SMP70' and 'SMP64+SMP70' and a commercial probiotic product (Protexin) showed
significantly higher mean weight gain per bird (1,584+/-35.2, 1,629+/-30.6,
1,668+/-34.7, 1,619+/-29.5 and 1,576+/-31.7 g/bird, respectively) as compared to
negative control group (1,394+/-26.7 g/bird), on day 35. SMP 70 also showed
significantly higher geometric mean titre against NDV vaccine at day 21 as
compared to negative control. It is concluded that L. crispatus SMP52, L. casei
SMP64 and L. crispatus SMP70 are potential probiotic candidates which alone or in
different combinations may increase body weight of broilers.
PMID- 26565087
TI - Establishing a causal link between gut microbes, body weight gain and glucose
metabolism in humans - towards treatment with probiotics.
AB - Changes in the gut microbiota are associated with metabolic disorders, such as
overweight and elevated blood glucose. Mouse studies have shown that gut
microbiota can regulate metabolism with a mechanism related to gut barrier
function. An impaired gut barrier permits the translocation of bacteria and their
components which, when in contact with the sub-mucosal immune system, evoke
metabolic inflammation and distract signalling in metabolically active tissues.
Despite thorough research of the topic in animals, the hypothesis is yet to be
proven in humans. Cross-sectional studies have shown that certain bacterial
populations - such as Akkermansia muciniphila, Faecalibacterium prausnitzii,
Methanobrevibacter smithii and Christensenellaceae - are better represented in
lean individuals compared to those who are overweight or metabolically unhealthy.
Although these differences reflect those seen in mice, it is possible that they
are caused by different dietary or other lifestyle habits. Diet has an
indisputable influence on gut microbiota making it very difficult to draw
conclusions on microbiota-host interactions from cross-sectional studies. Certain
research areas do, however, indicate that gut microbiota could causally influence
metabolism. Several studies show that antibiotic use in infancy increases body
weight in later childhood. Also, probiotics are emerging as a potential therapy
for metabolic syndrome. In fact, a handful of human studies and numerous animal
studies show promise for probiotics in reducing blood glucose levels or improving
insulin sensitivity. For weight management human evidence is scarcer.
Nevertheless, it is becoming increasingly recognised that gut microbiota plays a
part regulating metabolism, also in humans, which gives rise to novel
opportunities for preventative and treatment strategies.
PMID- 26565088
TI - Steady Progress in 2015.
PMID- 26565089
TI - State of the Journal, 2015.
AB - The American Journal of Occupational Therapy (AJOT) had a successful 2015. From
September 2014 to September 2015, the number of manuscripts submitted had
increased by 35%. Manuscripts were received from 23 countries, compared with 17
countries in 2014. AJOT continues to have the highest impact factor and to be the
highest ranked of the occupational therapy journals listed in Journal Citation
Reports. AJOT continues to focus on publishing research articles on aspects of
occupational therapy among varied populations with diverse acute and chronic
conditions. Additional changes for 2015 include new associate editors, a
significantly enlarged pool of reviewers from across the globe, continuous
publishing, pay-per-view, updated author guidelines, and the adoption of clinical
trial registration requirements effective January 1, 2016.
PMID- 26565090
TI - Engagement, Exploration, Empowerment.
AB - Engagement, exploration, and empowerment are significant practice strategies used
by occupational therapy practitioners as a means of getting to know what matters
to clients and how to facilitate their participation in everyday life. Applied to
the American Occupational Therapy Association (AOTA) as an organization,
professional engagement, exploration of new service contexts, and empowerment of
members to take an active role in shaping the profession's future are examined.
This address, given at the 2015 AOTA Annual Convention & Expo, looks to the
future in terms of engaging greater numbers of members; participating in Vision
2025, a strategic planning initiative that will be unveiled at the 2016 AOTA
Annual Conference & Expo; and empowering members to achieve excellence in
occupational therapy.
PMID- 26565091
TI - A Career in Inquiry.
AB - This article, based on the 52nd Eleanor Clarke Slagle lecture given at the 95th
American Occupational Therapy Association Annual Conference & Expo, explores the
concept of inquiry as the basis for a career and as an activity of daily living.
Using the heliocentric theory and the space program at NASA as examples, the
broad concept of inquiry is discussed, because it has led to important changes in
society over the course of history. The article describes how a career as a
clinician-scientist can be grounded in the concept of inquiry and explains how
all occupational therapists and occupational therapy assistants can base their
own careers in inquiry, using examples from the early history of the profession
of occupational therapy and from work by current investigators. Practical
suggestions applicable to every clinician are provided.
PMID- 26565093
TI - Paying It Forward: Honoring Jane Case-Smith for Commitment to Occupational
Therapy Education and Research.
PMID- 26565094
TI - Multiple Treatments of Pediatric Constraint-Induced Movement Therapy (pCIMT): A
Clinical Cohort Study.
AB - Pediatric constraint-induced movement therapy (pCIMT) is one of the most
efficacious treatments for children with cerebral palsy (CP). Distinctive
components of pCIMT include constraint of the less impaired upper extremity (UE),
high-intensity therapy for the more impaired UE (>= 3 hr/day, many days per week,
for multiple weeks), use of shaping techniques combined with repetitive task
practice, and bimanual transfer. A critical issue is whether multiple treatments
of pCIMT produce additional benefit. In a clinical cohort (mean age = 31 mo) of
28 children with asymmetrical CP whose parents sought multiple pCIMT treatments,
the children gained a mean of 13.2 (standard deviation [SD] = 4.2) new functional
skills after Treatment 1; Treatment 2 produced a mean of 7.3 (SD = 4.7) new
skills; and Treatment 3, 6.5 (SD = 4.2). These findings support the conclusion
that multiple pCIMT treatments can produce clinically important functional gains
for children with hemiparetic CP.
PMID- 26565095
TI - Occupational Therapists' Opinions of Two Pediatric Constraint-Induced Movement
Therapy Protocols.
AB - OBJECTIVE: We sought to determine occupational therapists' opinions of two
pediatric constraint-induced movement therapy (pCIMT) protocols. METHOD: A total
of 272 therapists in pediatric rehabilitation clinics completed an electronic
survey to determine their opinions of two published pCIMT protocols. In Protocol
A, restraint is worn 24 hr/day on the nonparetic upper extremity (UE), and in
clinic, therapist-supervised practice sessions occur 7 days/wk for 6 hr/day over
3 wk. In Protocol B, restraint is worn 2 hr/day on the nonparetic UE, and in
clinic, therapist-supervised practice sessions occur 1 day/wk for 2 hr/day over 8
wk. RESULTS: The majority of participants reported moderate to high concerns
about every facet of Protocol A. Conversely, >50% of participants reported low or
no concerns about five of seven facets of Protocol B. CONCLUSION: This study adds
to a growing body of evidence suggesting that therapists strongly prefer low
duration pCIMT protocols.
PMID- 26565096
TI - Behavioral and Physiological Factors Associated With Selective Eating in Children
With Autism Spectrum Disorder.
AB - Selective eating is common in children with autism spectrum disorder (ASD), but
it is not yet well understood. The objectives of this study were to examine a new
definition of selective eating, compare behavioral measures between children with
ASD and selective eating and those without selective eating, and determine
relationships among behavioral measures and measures of selective eating.
Participants were assigned to groups on the basis of number of foods eaten
compared with a population-based sample. Results of one-way multivariate analysis
of variance indicated no overall effect of group for challenging behaviors,
sensory reactivity, or repetitive behaviors. Between-participant tests indicated
that scores for compulsive behaviors were significantly lower (p = .036) for the
selective eating group. Correlations were moderately strong among variables
relating to food intake and behavioral variables, but were not significant
between selective eating and behavioral variables. Further research is needed to
validate the definition of selective eating and to identify targets for
intervention.
PMID- 26565097
TI - Development of the Classroom Sensory Environment Assessment (CSEA).
AB - The Classroom Sensory Environment Assessment (CSEA) is a tool that provides a
means of understanding the impact of a classroom's sensory environment on student
behavior. The purpose of the CSEA is to promote collaboration between
occupational therapists and elementary education teachers. In particular,
students with autism spectrum disorder included in general education classrooms
may benefit from a suitable match created through this collaborative process
between the sensory environment and their unique sensory preferences. The
development of the CSEA has occurred in multiple stages over 2 yr. This article
reports on descriptive results for 152 classrooms and initial reliability
results. Descriptive information suggests that classrooms are environments with
an enormous variety of sensory experiences that can be quantified. Visual
experiences are most frequent. The tool has adequate internal consistency but
requires further investigation of interrater reliability and validity.
PMID- 26565098
TI - Handwriting Without Tears((r)): General Education Effectiveness Through a
Consultative Approach.
AB - OBJECTIVE: This study explores the effectiveness of the Handwriting Without
Tears((r)) (HWT) kindergarten printing curriculum in general education through a
consultative approach with occupational therapy. METHOD: One cohort of students
was the control (n = 19), whereas two other cohorts were experimental groups
learning printing through the HWT curriculum (n = 20 each). The Test of
Handwriting Skills-Revised (THS-R) was used to collect end-of-year legibility
scores for all cohorts. RESULTS: Both experimental groups individually and both
experimental groups combined into one group outperformed the control group on all
10 of the THS-R subtests-scoring significantly higher (p < .05 using analysis of
covariance controlling for age and gender) on 6 of the subtests for the former
and 7 for the latter-and on overall score. Large treatment effects were found for
the standard score for each experimental group (d = 0.81, 1.03, and 1.00).
CONCLUSION: This study supports the consultative role of occupational therapy
with teachers in general education for handwriting curriculum implementation and
the success of HWT for printing instruction.
PMID- 26565100
TI - Systematic Review of Yoga Interventions for Anxiety Reduction Among Children and
Adolescents.
AB - OBJECTIVE: Anxiety disorders are the most prevalent psychological disorders among
children and youths. There is growing interest in intervention options for
anxiety. Yoga is widely used in clinical, school, and community settings, but
consolidated sources outlining its effectiveness in reducing anxiety are limited.
METHOD: This systematic review examined the evidence base (1990-2014) for yoga
interventions addressing anxiety among children and adolescents (ages 3-18 yr).
RESULTS: We identified 2,147 references and found 80 articles that were eligible
for full-text review. The final analysis included 16: 6 randomized controlled
trials, 2 nonrandomized preintervention-postintervention control-group designs, 7
uncontrolled preintervention-postintervention studies, and 1 case study.
CONCLUSION: Nearly all studies indicated reduced anxiety after a yoga
intervention. However, because of the wide variety of study populations,
limitations in some study designs, and variable outcome measures, further
research is needed to enhance the ability to generalize and apply yoga to reduce
anxiety.
PMID- 26565099
TI - Building Capacity of Occupational Therapy Practitioners to Address the Mental
Health Needs of Children and Youth: A Mixed-Methods Study of Knowledge
Translation.
AB - PURPOSE: We explored the meaning and outcomes of a 6-mo building capacity process
designed to promote knowledge translation of a public health approach to mental
health among pediatric occupational therapy practitioners participating in a
Community of Practice. METHOD: A one-group (N = 117) mixed-methods design using a
pretest-posttest survey and qualitative analysis of written reflections was used
to explore the meaning and outcomes of the building capacity process. RESULTS:
Statistically significant improvements (p < .02) in pretest-posttest scores of
knowledge, beliefs, and actions related to a public health approach to mental
health were found. Qualitative findings suggest that participation resulted in a
renewed commitment to addressing children's mental health. CONCLUSION: The
building capacity process expanded practitioner knowledge, renewed energy, and
promoted confidence, resulting in change leaders empowered to articulate,
advocate for, and implement practice changes reflecting occupational therapy's
role in addressing children's mental health.
PMID- 26565101
TI - Development and Psychometric Evaluation of the Vocational Fit Assessment (VFA).
AB - OBJECTIVE: The objective of this study was to determine the psychometric
properties of the Vocational Fit Assessment (VFA) by examining its factor
structure and subscale reliability. METHOD: This prospective cross-sectional
study used two surveys (one for worker abilities and one for job demands) to
collect the data needed for the psychometric evaluation of the VFA. Latent
parallel analysis and ordinal exploratory factor analysis were used to
iteratively refine VFA subscales. RESULTS: Ten unidimensional subscales emerged
from factor analysis of VFA items: (1) Cognitive Abilities, (2) Communication
Skills, (3) Computer Skills, (4) Higher Task-Related Abilities, (5) Interpersonal
Skills, (6) Lower Task-Related Abilities, (7) Physical Abilities, (8) Safety, (9)
Self-Determination, and (10) Work Structure. Subscale internal consistency
(ordinal alpha) was >=.86 for VFA for worker abilities and >=.77 for VFA for job
demands. CONCLUSION: The unidimensional structure of VFA subscales and estimates
of internal consistency lend initial evidence in support of their reliability and
validity.
PMID- 26565102
TI - Developing Occupation-Based Preventive Programs for Late-Middle-Aged Latino
Patients in Safety-Net Health Systems.
AB - Latino adults between ages 50 and 60 yr are at high risk for developing chronic
conditions that can lead to early disability. We conducted a qualitative pilot
study with 11 Latinos in this demographic group to develop a foundational schema
for the design of health promotion programs that could be implemented by
occupational therapy practitioners in primary care settings for this population.
One-on-one interviews addressing routines and activities, health management, and
health care utilization were conducted, audiotaped, and transcribed. Results of a
content analysis of the qualitative data revealed the following six domains of
most concern: Weight Management; Disease Management; Mental Health and Well
Being; Personal Finances; Family, Friends, and Community; and Stress Management.
A typology of perceived health-actualizing strategies was derived for each
domain. This schema can be used by occupational therapy practitioners to inform
the development of health-promotion lifestyle interventions designed specifically
for late-middle-aged Latinos.
PMID- 26565103
TI - Food Activities and Identity Maintenance Among Community-Living Older Adults: A
Grounded Theory Study.
AB - OBJECTIVE: Engaging in food activities and maintaining identity are each
important for productive aging. This study explored the role of food activities
in identity maintenance among community-living older adults. METHOD: We used a
grounded theory approach to analyze data collected in semistructured interviews
with 39 predominantly White, British older adults living in West London. RESULTS:
Two lifelong food identities-"food lover" and "nonfoodie"-were maintained in the
processes of participation and maintenance and threat and compensation. The
process change in meaning and identity explained the development of a third food
identity-"not bothered"-when participants experienced being alone at the table,
deteriorating health, and worry about the cost of food. CONCLUSION: Food
activities that are a pleasurable and important part of daily life contribute to
the maintenance of important identities and mental well-being in older adults.
PMID- 26565104
TI - Functional Deficits and Quality of Life Among Cancer Survivors: Implications for
Occupational Therapy in Cancer Survivorship Care.
AB - This study aimed to explore functional deficits and perceived quality of life
(QoL) among cancer survivors. Sixty-six participants completed the Post Cancer
Outcome Survey developed for the purpose of this study. The results indicated (1)
modest to moderate degrees of functional deficits in 28 of the 70 items measuring
areas of occupation, performance skills, body functions, and psychosocial well
being within the first year after cancer treatment; (2) significantly lower
perceived QoL during the first year of survivorship compared with that before
diagnosis, at present, and 5 yr hereafter (p < .001); (3) significant moderate
negative correlations between the reported functional deficits and QoL (rs = -.45
to -.57); and (4) a very low percentage of participants (4.5%) receiving
occupational therapy during the first year posttreatment. Functional difficulties
and compromised QoL identified in this study indicate the need for occupational
therapy among cancer survivors. Increasing clients' awareness of occupational
therapy for postcancer care is also suggested.
PMID- 26565105
TI - Development and Preliminary Reliability of the Functional Upper Extremity Levels
(FUEL).
AB - OBJECTIVE: The Functional Upper Extremity Levels (FUEL) is a new classification
tool to assess a person's upper-extremity functional and physical performance
after sustaining a stroke. The aim of this preliminary study was to develop the
tool and determine its content validity and interrater reliability. METHOD: Forty
four licensed occupational therapists ranging in years of experience from 6 mo to
16 yr participated in this study. A two-phase study was conducted: (1)
constructing the FUEL and determining its content validity and (2) ascertaining
its interrater reliability. RESULTS: We found that the FUEL had initial content
validity and substantial interrater reliability (Fleiss kappa = .754).
CONCLUSION: The FUEL can be a useful clinical and research tool in occupational
therapy for the assessment and classification of upper-extremity function for
people after stroke. Further studies with larger samples and comparison studies
with other similar tools are required to support the tool's reliability and
validity.
PMID- 26565106
TI - Managing Poststroke Fatigue Using Telehealth: A Case Report.
AB - OBJECTIVE: The objective of this study was to examine the effectiveness of
delivering Managing Fatigue: A Six-Week Course for Energy Conservation via
telehealth for a 70-yr-old man with poststroke fatigue (PSF). METHOD: For this
pilot case study, a questionnaire developed by the authors and the Patient
Reported Outcomes Measurement Information System Fatigue Short Form 7a were used
for screening. The study was implemented via teleconference over an 8-wk period.
The Fatigue Impact Scale (FIS) and the Canadian Occupational Performance Measure
(COPM) were used to gather pretest and posttest data. RESULTS: After the
participant completed the course, decreased fatigue impact was noted on the FIS,
and modestly improved occupational performance and satisfaction were evidenced by
the COPM. CONCLUSION: For this single participant experiencing PSF, performance
and satisfaction on the COPM guardedly improved and fatigue impact decreased
after participation in the energy conservation course offered by teleconference,
a form of telehealth delivery. Further research is recommended with larger sample
sizes.
PMID- 26565107
TI - State of the Science: A Roadmap for Research in Sensory Integration.
AB - This article builds on the work of Case-Smith and colleagues and proposes a
roadmap to guide future research in occupational therapy. To foster best practice
in the application of principles and practices of sensory integration (SI), the
pillars of practice, advocacy, and education are identified as elements that
provide the foundation for research. Each pillar ensures that SI research is
conducted in a rigorous and relevant manner. To this end, achievements to date
are discussed, with proposed goals presented for each pillar. Finally, the
roadmap builds on the pillars and outlines implications for occupational therapy
with the overarching theme that a wide array of scientists, educators,
therapists, and service recipients will be needed to ensure that those who may
benefit most have access to intervention that is evidence based, theory driven,
and provided within the highest standards of service delivery.
PMID- 26565109
TI - Analytical Aspects of Nanotoxicology.
PMID- 26565110
TI - Cytokines profile in hypertensive patients with left ventricular remodeling and
dysfunction.
AB - There is strong evidence that inflammatory mediators play a key role in the
progression to heart failure in patients with systemic hypertension (HTN). The
present study aimed to identify a set of cytokines that are associated with early
left ventricular (LV) remodeling and dysfunction as captured by echocardiography
in patients with HTN in a cross-sectional case-control study nested within the
FLEMish study on ENvironment, Genes and Health Outcome. We identified three
groups of participants from the cohort: normotensive subjects (normotension; n =
30), HTN with normal LV structure and function (HTN [LV-]; n = 30), and HTN with
evidence of adverse LV remodeling (HTN [LV+]; n = 50). We measured cytokines
using a 63-plex Luminex platform. Using partial least squares-discriminant
analysis, we constructed three latent variables from the measured cytokines that
explained 35%-45% of the variance between groups. We identified five common
cytokines (interleukin 18, monokine induced by gamma interferon, hepatocyte
growth factor, epithelial neutrophil-activating peptide 78, and vascular
endothelial growth factor D) with a stable signal which had a major impact on the
construction of the latent variables. Among these cytokines, after adjustment for
confounders, interleukin 18 remained significantly different between HTN
participants with and without LV involvement (P = .02). Moreover, granulocyte
macrophage colony-stimulating factor and leptin showed a consistent upward trend
in all HTN patients compared with normotensive subjects. In conclusion, in HTN
patients with LV remodeling or/and dysfunction, we identified a set of cytokines
strongly associated with LV maladaptation. We also found a distinct profile of
inflammatory biomarkers that characterize HTN.
PMID- 26565111
TI - Mitophagy in mitosis: More than a myth.
PMID- 26565112
TI - Rotationally Commensurate Growth of MoS2 on Epitaxial Graphene.
AB - Atomically thin MoS2/graphene heterostructures are promising candidates for
nanoelectronic and optoelectronic technologies. Among different graphene
substrates, epitaxial graphene (EG) on SiC provides several potential advantages
for such heterostructures, including high electronic quality, tunable substrate
coupling, wafer-scale processability, and crystalline ordering that can template
commensurate growth. Exploiting these attributes, we demonstrate here the
thickness-controlled van der Waals epitaxial growth of MoS2 on EG via chemical
vapor deposition, giving rise to transfer-free synthesis of a two-dimensional
heterostructure with registry between its constituent materials. The rotational
commensurability observed between the MoS2 and EG is driven by the energetically
favorable alignment of their respective lattices and results in nearly strain
free MoS2, as evidenced by synchrotron X-ray scattering and atomic-resolution
scanning tunneling microscopy (STM). The electronic nature of the MoS2/EG
heterostructure is elucidated with STM and scanning tunneling spectroscopy, which
reveals bias-dependent apparent thickness, band bending, and a reduced band gap
of ~0.4 eV at the monolayer MoS2 edges.
PMID- 26565113
TI - Dynamic Chromatin Regulation from a Single Molecule Perspective.
AB - Chromatin regulatory processes, like all biological reactions, are dynamic and
stochastic in nature but can give rise to stable and inheritable changes in gene
expression patterns. A molecular understanding of those processes is key for
fundamental biological insight into gene regulation, epigenetic inheritance,
lineage determination, and therapeutic intervention in the case of disease. In
recent years, great progress has been made in identifying important molecular
players involved in key chromatin regulatory pathways. Conversely, we are only
beginning to understand the dynamic interplay between protein effectors,
transcription factors, and the chromatin substrate itself. Single-molecule
approaches employing both highly defined chromatin substrates in vitro, as well
as direct observation of complex regulatory processes in vivo, open new avenues
for a molecular view of chromatin regulation. This review highlights recent
applications of single-molecule methods and related techniques to investigate
fundamental chromatin regulatory processes.
PMID- 26565114
TI - Zigzag nanoribbons of two-dimensional silicene-like crystals: magnetic,
topological and thermoelectric properties.
AB - The effects of electron-electron and spin-orbit interactions on the ground-state
magnetic configuration and on the corresponding thermoelectric and spin
thermoelectric properties in zigzag nanoribbons of two-dimensional hexagonal
crystals are analysed theoretically. The thermoelectric properties of quasi
stable magnetic states are also considered. Of particular interest is the
influence of Coulomb and spin-orbit interactions on the topological edge states
and on the transition between the topological insulator and conventional gap
insulator states. It is shown that the interplay of both interactions also has a
significant impact on the transport and thermoelectric characteristics of the
nanoribbons. The spin-orbit interaction also determines the in-plane magnetic
easy axis. The thermoelectric properties of nanoribbons with in-plane magnetic
moments are compared to those of nanoribbons with edge magnetic moments oriented
perpendicularly to their plane. Nanoribbons with ferromagnetic alignment of the
edge moments are shown to reveal spin thermoelectricity in addition to the
conventional one.
PMID- 26565116
TI - Evolution of a Native Oxide Layer at the a-Si:H/c-Si Interface and Its Influence
on a Silicon Heterojunction Solar Cell.
AB - The interface microstructure of a silicon heterojunction (SHJ) solar cell was
investigated. We found an ultrathin native oxide layer (NOL) with a thickness of
several angstroms was formed on the crystalline silicon (c-Si) surface in a very
short time (~30 s) after being etched by HF solution. Although the NOL had a
loose structure with defects that are detrimental for surface passivation, it
acted as a barrier to restrain the epitaxial growth of hydrogenated amorphous
silicon (a-Si:H) during the plasma-enhanced chemical vapor deposition (PECVD).
The microstructure change of the NOL during the PECVD deposition of a-Si:H layers
with different conditions and under different H2 plasma treatments were
systemically investigated in detail. When a brief H2 plasma was applied to treat
the a-Si:H layer after the PECVD deposition, interstitial oxygen and small-size
SiO2 precipitates were transformed to hydrogenated amorphous silicon suboxide
alloy (a-SiO(x):H, x ~ 1.5). In the meantime, the interface defect density was
reduced by about 50%, and the parameters of the SHJ solar cell were improved due
to the post H2 plasma treatment.
PMID- 26565115
TI - Nanogel-Conjugated Reverse Transcriptase Inhibitors and Their Combinations as
Novel Antiviral Agents with Increased Efficacy against HIV-1 Infection.
AB - Nucleoside reverse transcriptase inhibitors (NRTIs) are an integral part of the
current antiretroviral therapy (ART), which dramatically reduced the mortality
from AIDS and turned the disease from lethal to chronic. The further steps in
curing the HIV-1 infection must include more effective targeting of infected
cells and virus sanctuaries inside the body and modification of drugs and
treatment schedules to reduce common complications of the long-term treatment and
increase patient compliancy. Here, we describe novel NRTI prodrugs synthesized
from cholesteryl-epsilon-polylysine (CEPL) nanogels by conjugation with NRTI 5'
succinate derivatives (sNRTI). Biodegradability, small particle size, and high
NRTI loading (30% by weight) of these conjugates; extended drug release, which
would allow a weekly administration schedule; high therapeutic index (>1000) with
a lower toxicity compared to NRTIs; and efficient accumulation in macrophages
known as carriers for HIV-1 infection are among the most attractive properties of
new nanodrugs. Nanogel conjugates of zidovudine (AZT), lamivudine (3TC), and
abacavir (ABC) have been investigated individually and in formulations similar to
clinical NRTI cocktails. Nanodrug formulations demonstrated 10-fold suppression
of reverse transcriptase activity (EC90) in HIV-infected macrophages at 2-10, 2
4, and 1-2 MUM drug levels, respectively, for single nanodrugs and dual and
triple nanodrug cocktails. Nanogel conjugate of lamivudine was the most effective
single nanodrug (EC90 2 MUM). Nanodrugs showed a more favorable pharmacokinetics
compared to free NRTIs. Infrequent iv injections of PEGylated CEPL-sAZT alone
could efficiently suppress HIV-1 RT activity to background level in humanized
mouse (hu-PBL) HIV model.
PMID- 26565117
TI - 75 years on: a tribute to the contribution of Fuller Albright.
PMID- 26565118
TI - The clinical management of acute intracerebral hemorrhage.
AB - Intracerebral hemorrhage (ICH) is a stroke subtype with high mortality and
significant disability among survivors. The management of ICH has been influenced
by the results of several major trials completed in the last decade. It is now
recognized that hematoma expansion is a major cause of morbidity and mortality.
However, efforts to improve clinical outcome through mitigation of hematoma
expansion have so far been unsuccessful. Acute blood pressure management has
recently been shown to be safe in the setting of acute ICH but there was no
reduction in mortality with early blood pressure (BP) lowering. Two large trials
of surgical evacuation of supratentorial ICH have not shown improvement in
outcome with surgery, thus minimally invasive surgical strategies are currently
being studied. Lastly, a better understanding of the pathophysiology of ICH has
led to the identification of several new mechanisms of injury that could be
potential therapeutic targets.
PMID- 26565119
TI - A joint model based on longitudinal CA125 in ovarian cancer to predict
recurrence.
AB - AIMS: To develop a new package of joint model to fit longitudinal CA125 in
epithelial ovarian cancer relapse. PATIENTS & METHODS: Included were 305
epithelial ovarian cancer patients who reached complete remission after
cytoreductive surgery and first-line chemotherapy. Univariate and multivariate
analysis with a joint model was performed to select independent risk factors,
which were subsequently combined to predict recurrence. RESULTS: Independent
factors were longitudinal CA125, age, stage and residual tumor size (p < 0.05).
Prediction of recurrence with these factors had an average of 80.7% accuracy, 5.6
10.7% better than kinetic factors. CONCLUSION: The new package of joint model
fits longitudinal CA125 well. Potential application can be extended to other
biomarkers.
PMID- 26565120
TI - History of the Concept of Addiction.
AB - Our distant forebears wrestled with concepts of alcohol addiction not unlike
those of today: Is addiction a sin or a disease? Is addiction caused by the gods,
the substance, the individual's vulnerability, or psychological or social
factors? Luther, Calvin, and Catholic Church leaders viewed moderate alcohol use
as God's gift; used intemperately, it was a moral transgression. The founders of
modern scientific psychiatry rejected moral explanations for addiction in favor
of an early biological model. The first two versions of the Diagnostic and
Statistical Manual of Mental Disorders (DSM-I and DSM-II) stigmatized addiction
by listing it with other societally disapproved disorders stemming from
personality disorder. DSM-III espoused atheoretical, descriptive diagnoses but
required tolerance or withdrawal to diagnose dependence. Substance dependence in
DSM-III-R included physiological and behavioral symptoms and reflected the
substance dependence syndrome. DSM-IV's emphasis on biology in its concept of
dependence was unchanged from its immediate predecessors. DSM-5 declared that all
drugs taken in excess have in common the direct activation of the brain reward
system. This article examines evolving concepts of alcohol addiction through
12,000 years of recorded human history, from the first mention of alcohol
consumption in China more than 12,000 years ago to alcohol use and abuse in the
DSM era, 1952 to the present.
PMID- 26565121
TI - The Role of Craving in Substance Use Disorders: Theoretical and Methodological
Issues.
AB - Craving is a central feature of addiction. Its recent inclusion as a diagnostic
criterion for substance use disorders in the fifth edition of the Diagnostic and
Statistical Manual of Mental Disorders appears at a time when craving research is
at an all-time high. Craving is thought to predict relapse and may deter
individuals from even trying to quit. Researchers have developed experimental
craving-induction paradigms to identify factors contributing to craving and to
test interventions to alleviate craving. This review offers a critique of
laboratory craving studies, with particular emphasis on cigarette craving. It
raises questions concerning several conceptual and methodological assumptions
underlying this research, identifies processes that may explain why cravings are
linked to drug use and relapse, addresses contextual factors that may influence
various experiences of craving, and considers recent interventions targeting
craving. The relation between craving and both emotion and coping is discussed,
as well as the level of insight that individuals have about their own future
cravings.
PMID- 26565122
TI - The Efficacy of Exposure Therapy for Anxiety-Related Disorders and Its Underlying
Mechanisms: The Case of OCD and PTSD.
AB - In this review we describe the intricate interrelationship among basic research,
conceptualization of psychopathology, treatment development, treatment outcome
research, and treatment mechanism research and how the interactions among these
areas of study further our knowledge about psychopathology and its treatment. In
describing the work of Edna Foa and her colleagues in anxiety disorders, we
demonstrate how emotional processing theory of anxiety-related disorders and
their treatment using exposure therapy have generated hypotheses about the
psychopathology of posttraumatic stress disorder and obsessive-compulsive anxiety
disorder that have informed the development and refinement of specific treatment
protocols for these disorders: prolonged exposure and exposure and response
(ritual) prevention. Further, we have shown that the next step after the
development of theoretically driven treatment protocols is to evaluate their
efficacy. Once evidence for a treatment's efficacy has accumulated, studies of
the mechanisms involved in the reduction of the targeted psychopathology are
conducted, which in turn inform the theory and further refine the treatments. We
conclude our review with a discussion of how the knowledge derived from Foa and
colleagues' programmatic research together with knowledge emerging from basic
research on extinction learning can inform future research on the psychopathology
of anxiety disorders and their treatments.
PMID- 26565124
TI - Sobetirome: the past, present and questions about the future.
AB - Sobetirome binds selectively to the main hepatic form of thyroid hormone (TH)
receptor, TRbeta1, compared to TRalpha1, which is principally responsible for
thyrotoxic effects on heart, muscle and bone. Sobetirome also preferentially
accumulates in liver. It was originally envisaged that sobetirome could be used
to stimulate hepatic pathways that lower cholesterol without harmful side effects
and might be used in conjunction with statins. Indeed, sobetirome progressed
through preclinical animal studies and Phase I human clinical trials with
excellent results and without obvious harmful side effects. Despite the fact that
cardiovascular disease remains a major cause of mortality and that new therapies
are desperately needed, it is unlikely that sobetirome will progress in further
human clinical trials in the near future. The emergence of alternative
cholesterol-lowering therapeutics may render selective thyromimetics redundant.
Further, fears of thyrotoxic effects in the heart and emergence of cartilage
defects in dogs after long-term use of eprotirome, a similar though not identical
compound, has reduced enthusiasm for this strategy. We argue that it is
nevertheless important to explore uses of sobetirome in humans; more treatment
strategies would help patients with hard-to-treat dyslipidemias. Sobetirome may
also have additional applications in orphan indications and short-term controlled
weight loss.
PMID- 26565125
TI - Retrospective Analysis of an Ongoing Group-Based Modified Constraint-Induced
Movement Therapy Program for Children with Acquired Brain Injury.
AB - AIM: A pretest-posttest retrospective design was used to evaluate the impact of a
group-based modified constraint-induced movement therapy (mCIMT) program on upper
extremity function and occupational performance. METHODS: 20 children ages 3 to
18 years with hemiplegia following an acquired brain injury participated in a 2
week group mCIMT program. Upper extremity function was measured with the
Assisting Hand Assessment (AHA) and subtests from the Quality of Upper Extremity
Skills Test (QUEST). Occupational performance and satisfaction were assessed
using the Canadian Occupational Performance Measure (COPM). Data were analyzed
using a Wilcoxon signed-ranks test. RESULTS: Group-based analysis revealed upper
extremity function and occupational performance attained statistically
significant improvements from pre- to postintervention on all outcome measures
(AHA: Z = -3.63, p = <.001; QUEST Grasps: Z = -3.10, p = .002; QUEST Dissociated
Movement: Z = -2.51, p = .012; COPM Performance: Z = -3.64, p = <.001; COPM
Satisfaction: Z = -3.64, p = <.001). Across individuals, clinically significant
improvements were found in 65% of participants' AHA scores. 80% of COPM
Performance scores and 70% of COPM Satisfaction scores demonstrated clinically
significant improvements in at least one identified goal. CONCLUSIONS: This study
is an initial step in evaluating and providing preliminary evidence supporting
the effectiveness of a group-based mCIMT program for children with hemiplegia
following an acquired brain injury.
PMID- 26565126
TI - Role of Multi-Electron Effects in the Asymmetry of Strong-Field Ionization and
Fragmentation of Polar Molecules: The Methyl Halide Series.
AB - We report angle- and momentum-resolved measurements of the dissociative
ionization and Coulomb explosion of methyl halides (CH3F, CH3Cl, CH3Br, and CH3I)
in intense phase-controlled two-color laser fields. At moderate laser
intensities, we find that the emission asymmetry of low-energy CH3(+) fragments
from the CH3(+) + X(+) (X = F, Cl, Br, or I) channel reflects the asymmetry of
the highest occupied molecular orbital of the neutral molecule with important
contributions from the Stark effect. This asymmetry is correctly predicted by the
weak-field asymptotic theory, provided that the Stark effect on the ionization
potentials is calculated using a nonperturbative multielectron approach. In the
case of high laser intensities, we observe a reversal of the emission asymmetries
for high-energy CH3(+) fragments, originating from the dissociation of CH3X(q+)
with q >= 2. We propose ionization to electronically excited states to be at the
origin of the reversed asymmetries. We also report the measurements of the
emission asymmetry of H3(+), which is found to be identical to that of the low
energy CH3(+) fragments measured at moderate laser intensities. All observed
fragmentation channels are assigned with the help of CCSD(T) calculations. Our
results provide a benchmark for theories of strong-field processes and
demonstrate the importance of multielectron effects in new aspects of the
molecular response to intense laser fields.
PMID- 26565127
TI - Electrochemical Imaging and Redox Interrogation of Surface Defects on Operating
SrTiO3 Photoelectrodes.
AB - We introduce electrochemical imaging and nano-resolved measurements of catalytic
intermediates on operating SrTiO3 photoelectrodes. Spatially resolved redox
titrations of photogenerated reactive oxygen species (ROS) were used to profile
changes in ROS coverage and reactivity at pristine and ion-milled defective areas
on n-doped (100) SrTiO3. Adsorbed ROS reached a potential-dependent limiting
coverage of ~0.1 monolayer and did not differ significantly between milled and
pristine areas. However, the reaction kinetics between a solution-phase mediator
and adsorbed ROS were found to be significantly decreased over ion-milled areas.
Using a nanoelectrode, we resolved ksi values of 5 and 300 m(3)/s.mol for these
bimolecular reactions at defective and pristine sites, respectively. Ion-milled
areas also showed significantly decreased activity toward photo-oxidations,
providing evidence that photogenerated ROS mediate fast charge-transfer reactions
with solution-phase species at the semiconductor-electrolyte interface. Our
results provide spatially resolved direct evidence of the impact of surface
defects on the performance of photoelectrochemical systems. Scanning
electrochemical microscopy offers a powerful method for evaluating the reactivity
of an operating electrochemical interface by using redox titrations that detected
as few as 30 attomoles of adsorbed ROS.
PMID- 26565128
TI - Tracing Stigma in Long-Term Care Insurance in Israel: Stakeholders' Views of
Policy Implementation.
AB - Almost all developed countries provide some answers for long-term care, but only
a few countries in the world, such as Japan, Austria, the Netherlands, Germany,
and Israel, have implemented long-term care insurance (LTCI) based on legislation
and entitlement principles. In Israel, a community-based LTCI social program has
achieved multiple goals and considerably improved the life of frail older people.
However, some studies show that despite the rising costs of home care and the
mandatory and almost universal nature of LTCI there are still cases in which
people with Alzheimer's disease (AD) and other types of dementia or their
relatives vacillate or even decline to make use of their rights. We examined the
question of whether these patterns may reflect the presence of welfare stigma
(i.e., stigmatized views of LTCI) either related to identity stigma of persons
with AD or to treatment stigma, usually associated with welfare bureaucracy.
Based on a qualitative design, this article uses a methodology of personal in
depth and focus group triangulation, by which the views of three groups of
stakeholders are explored and compared: persons with AD, relatives, and
professionals. Findings showed the presence of stigmatic self-images among
persons with AD or other types of dementia and the absence of such images in
relatives' and professionals' views of them and of LTCI. However, treatment
stigma was found to be primarily associated with eligibility determination
procedures. The study concludes that LTCI, even when mandated and almost
universal, may also generate welfare stigma due to the ways in which it is
implemented.
PMID- 26565129
TI - Evaluating Long-term Outcomes of NHS Stop Smoking Services (ELONS): a prospective
cohort study.
AB - BACKGROUND: NHS Stop Smoking Services (SSSs) provide free at the point of use
treatment for smokers who would like to stop. Since their inception in 1999 they
have evolved to offer a variety of support options. Given the changes that have
happened in the provision of services and the ongoing need for evidence on
effectiveness, the Evaluating Long-term Outcomes for NHS Stop Smoking Services
(ELONS) study was commissioned. OBJECTIVES: The main aim of the study was to
explore the factors that determine longer-term abstinence from smoking following
intervention by SSSs. There were also a number of additional objectives. DESIGN:
The ELONS study was an observational study with two main stages: secondary
analysis of routine data collected by SSSs and a prospective cohort study of
service clients. The prospective study had additional elements on client
satisfaction, well-being and longer-term nicotine replacement therapy (NRT) use.
SETTING: The setting for the study was SSSs in England. For the secondary
analysis, routine data from 49 services were obtained. For the prospective study
and its added elements, nine services were involved. The target population was
clients of these services. PARTICIPANTS: There were 202,804 cases included in
secondary analysis and 3075 in the prospective study. INTERVENTIONS: A
combination of behavioural support and stop smoking medication delivered by SSS
practitioners. MAIN OUTCOME MEASURES: Abstinence from smoking at 4 and 52 weeks
after setting a quit date, validated by a carbon monoxide (CO) breath test.
RESULTS: Just over 4 in 10 smokers (41%) recruited to the prospective study were
biochemically validated as abstinent from smoking at 4 weeks (which was broadly
comparable with findings from the secondary analysis of routine service data,
where self-reported 4-week quit rates were 48%, falling to 34% when biochemical
validation had occurred). At the 1-year follow-up, 8% of prospective study
clients were CO validated as abstinent from smoking. Clients who received
specialist one-to-one behavioural support were twice as likely to have remained
abstinent than those who were seen by a general practitioner (GP) practice and
pharmacy providers [odds ratio (OR) 2.3, 95% confidence interval (CI) 1.2 to
4.6]. Clients who received group behavioural support (either closed or rolling
groups) were three times more likely to stop smoking than those who were seen by
a GP practice or pharmacy providers (OR 3.4, 95% CI 1.7 to 6.7). Satisfaction
with services was high and well-being at baseline was found to be a predictor of
abstinence from smoking at longer-term follow-up. Continued use of NRT at 1 year
was rare, but no evidence of harm from longer-term use was identified from the
data collected. CONCLUSIONS: Stop Smoking Services in England are effective in
helping smokers to move away from tobacco use. Using the 52-week CO-validated
quit rate of 8% found in this study, we estimate that in the year 2012-13 the
services supported 36,249 clients to become non-smokers for the remainder of
their lives. This is a substantial figure and provides one indicator of the
ongoing value of the treatment that the services provide. The study raises a
number of issues for future research including (1) examining the role of
electronic cigarettes (e-cigarettes) in smoking cessation for service clients
[this study did not look at e-cigarette use (except briefly in the longer-term
NRT study) but this is a priority for future studies]; (2) more detailed
comparisons of rolling groups with other forms of behavioural support; (3)
further exploration of the role of practitioner knowledge, skills and use of
effective behaviour change techniques in supporting service clients to stop
smoking; (4) surveillance of the impact of structural and funding changes on the
future development and sustainability of SSSs; and (5) more detailed analysis of
well-being over time between those who successfully stop smoking and those who
relapse. Further research on longer-term use of non-combustible nicotine products
that measures a wider array of biomarkers of smoking-related harm such as lung
function tests or carcinogen metabolites. FUNDING: The National Institute for
Health Research Health Technology Assessment programme. The UK Centre for Tobacco
and Alcohol Studies provided funding for the longer-term NRT study.
PMID- 26565130
TI - Upper extremity neuro-rehabilitation through the use of power mobility.
AB - Power mobility is typically used as an accommodative form of assistive technology
allowing individuals with impaired ambulation to remain mobile. While research
has focused on the cognitive development and social benefits of power mobility
for individuals with developmental disabilities, research is lacking on using
this technology to rehabilitate physical dysfunction. Recent technology, such as
robot-mediated neuro-rehabilitation, is proving effective in upper extremity
rehabilitation, but lacks the movement feedback of power mobility. This article
presents a case study of a client with cerebral palsy who experienced severe
neural impairment following a motor vehicle accident. As a previous power
mobility user, the client identified returning to using power mobility with the
affected upper extremity as a key functional goal. This case study describes the
series of steps that returned the client to independent mobility and increased
upper extremity function.
PMID- 26565131
TI - Developing a research agenda for nursing and midwifery: a modified Delphi study.
AB - AIM: We sought to ascertain the nursing and midwifery research priorities at a
large private tertiary hospital in Australia. DESIGN: A modified Delphi technique
with two rounds of questionnaires. METHODS: The first round survey was
distributed to 448 nurses and midwives with a 19.2% (n=86) response rate. Due to
a low response rate in some specialties, the second round of the Delphi was only
sent to nurses in the Learning and Organisational Development speciality who were
asked to rank 10 identified topics specific to Learning and Organisational
Development using a five point Likert-type scale. RESULTS: Two hundred and fifty
seven topics were identified in Round One and were condensed to 181 topics. Each
topic was assigned to one of four categories: clinical audit; existing evidence
base; research; or other topics which fell beyond the bounds of nursing or
midwifery research. Twenty three research topics were identified with priorities
focusing on learning and development and workforce issues. CONCLUSION: Priorities
were congruent with the organisation's strategic workforce focus. Topics
identified in this study will ensure that the nursing and midwifery research
conducted at the study setting is relevant and reflects priorities as determined
by clinical nurses and midwives.
PMID- 26565132
TI - Improving proprioceptive deficits after stroke through robot-assisted training of
the upper limb: a pilot case report study.
AB - The purpose of this study was to determine whether a conventional robot-assisted
therapy of the upper limb was able to improve proprioception and motor recovery
of an individual after stroke who exhibited proprioceptive deficits. After
robotic sensorimotor training, significant changes were observed in kinematic
performance variables. Two quantitative parameters evaluating position sense
improved after training. Range of motion during shoulder and wrist flexion
improved, but only wrist flexion remained improved at 3-month follow-up. These
preliminary results suggest that intensive robot-aided rehabilitation may play an
important role in the recovery of sensory function. However, further studies are
required to confirm these data.
PMID- 26565133
TI - Hospital Ownership of a Postacute Care Facility Influences Discharge Destinations
After Emergent Surgery.
AB - OBJECTIVE: The aim of the study was to identify hospital characteristics
associated with variation in patient disposition after emergent surgery. SUMMARY
BACKGROUND DATA: Colon resections in elderly patients are often done in emergent
settings. Although these operations are known to be riskier, there are limited
data regarding postoperative discharge destination. METHODS: We evaluated
Medicare beneficiaries who underwent emergent colectomy between 2008 and 2010.
Using hierarchical logistic regression, we estimated patient and hospital-level
risk-adjusted rates of nonhome discharges. Hospitals were stratified into
quintiles based on their nonhome discharge rates. Generalized linear models were
used to identify hospital structural characteristics associated with nonhome
discharges (comparing discharge to skilled nursing facilities vs home
with/without home health services). RESULTS: Of the 122,604 patients surviving to
discharge after emergent colectomy at 3012 hospitals, 46.7% were discharged to a
nonhome destination. There was a wide variation in risk and reliability-adjusted
nonhome discharge rates across hospitals (15% to 80%). Patients at hospitals in
the highest quintile of nonhome discharge rates were more likely to have longer
hospitalizations (15.1 vs 13.2; P < 0.001) and more complications (43.2% vs 34%;
P < 0.001). On multivariable analysis, only hospital ownership of a skilled
nursing facility (P < 0.001), teaching status (P = 0.025), and low nurse-to
patient ratios (P = 0.002) were associated with nonhome discharges. CONCLUSIONS:
Nearly half of Medicare beneficiaries are discharged to a nonhome destination
after emergent colectomy. Hospital ownership of a skilled nursing facility and
low nurse-to-patient ratios are highly associated with nonhome discharges. This
may signify the underlying financial incentives to preferentially utilize
postacute care facilities under the traditional fee-for-service payment model.
PMID- 26565135
TI - Best Practices: Targeting Surgeon Communication at the End of Life.
PMID- 26565136
TI - Long-term Mortality After Rapid Screening and Decolonization of Staphylococcus
Aureus Carriers: Observational Follow-up Study of a Randomized, Placebo
controlled Trial.
AB - OBJECTIVE: To identify patients who benefit most from Staphylococcus aureus
screening and decolonization treatment upon admission. BACKGROUND: S. aureus
carriers are at increased risk of developing surgical-site infections with S.
aureus. Previously, we demonstrated in a randomized, placebo-controlled trial
(RCT) that these infections can largely be prevented by detection of carriage and
decolonization treatment upon admission. In this study, we analyzed 1- and 3-year
mortality rates in both treatment arms of the RCT to identify patient groups that
should be targeted when implementing the screen-and-treat strategy. METHODS:
Three years after enrolment in the RCT, mortality dates of all surgical patients
were checked. One- and 3-year mortality rates were calculated for all patients
and for various subgroups. RESULTS: After 3 years, 44 of 431 (10.2%) and 43 of
362 (11.9%) patients had died in the mupirocin/chlorhexidine and placebo groups,
respectively. No significant differences in mortality rates were observed between
the treatment groups or the subgroups according to type of surgery. In the
subgroup of patients with clean procedures (382 cardiothoracic, 167 orthopedic,
61 vascular, and 56 other), mupirocin/chlorhexidine reduced 1-year mortality: 11
of 365 (3.0%) died in the mupirocin/chlorhexidine versus 21 of 301 (7.0%) in the
placebo group [hazard ratio = 0.38 (95% CI: 0.18-0.81)]. CONCLUSIONS: Detection
and decolonization of S. aureus carriage not only prevents S. aureus surgical
site infections but also reduces 1-year mortality in surgical patients undergoing
clean procedures. Such patients with a high risk of developing S. aureus
infections should therefore be the primary target when implementing the screen
and-treat strategy in clinical practice.
PMID- 26565138
TI - Perioperative Fluid Utilization Variability and Association With Outcomes:
Considerations for Enhanced Recovery Efforts in Sample US Surgical Populations.
AB - OBJECTIVES: To study current perioperative fluid administration and associated
outcomes in common surgical cohorts in the United States. BACKGROUND: An element
of enhanced recovery care protocols, optimized perioperative fluid administration
may be associated with improved outcomes; however, there is currently no
consensus in the United States on fluid use or the effects on outcomes of this
use. METHODS: The study included all inpatients receiving colon, rectal, or
primary hip or knee surgery, 18 years of age or older, who were discharged from a
hospital between January 1, 2008 and June, 30 2012 in the Premier Research
Database. Patient outcomes and intravenous fluid utilization on the day of
surgery were summarized for each surgical cohort. Regression models were
developed to evaluate associations of high or low day-of-surgery fluids with the
likelihood of increased hospital length of stay (LOS), total costs, or
postoperative ileus. RESULTS: The study showed significant associations between
high fluid volume given on the day of surgery with both increased LOS (odds ratio
1.10-1.40) and increased total costs (odds ratio 1.10-1.50). High fluid
utilization was associated with increased presence of postoperative ileus for
both rectal and colon surgery patients. Low fluid utilization was also associated
with worse outcomes. CONCLUSIONS: According to results from this review of
current practice in US hospitals, fluid optimization would likely lead to
decreased variability and improved outcomes.
PMID- 26565140
TI - Weekday of Esophageal Cancer Surgery and Its Relation to Prognosis.
AB - OBJECTIVE: To assess whether weekday of surgery influences long-term survival in
esophageal cancer. BACKGROUND: Increased 30-day mortality rates have been
reported in patients undergoing elective surgery later compared with earlier in
the week. METHODS: This population-based cohort study included 98% of all
esophageal cancer patients who underwent elective surgery in Sweden in 1987 to
2010, with follow-up until 2014. The association between weekday of surgery and 5
year all-cause and disease-specific mortality was analyzed using a multivariable
Cox proportional hazards model, providing hazard ratios (HRs) with 95% confidence
intervals (CIs), adjusted for age, comorbidity, tumor stage, histology,
neoadjuvant therapy, and surgeon volume. RESULTS: Among 1748 included patients,
surgery conducted from Wednesday to Friday entailed 13% increased all-cause 5
year mortality compared with surgery conducted from Monday to Tuesday (HR = 1.13,
95% CI, 1.01-1.26). The corresponding association was strong for early tumor
stages (0-I) (HR = 1.59, 95% CI, 1.17-2.16), moderate for intermediate tumor
stage (II) (HR = 1.28, 95% CI, 1.07-1.53), and absent in advanced tumor stages
(III-IV) (HR = 0.93, 95% CI, 0.79-1.09). The increase in 5-year mortality for
each later weekday (discrete variable) was 7% for all tumor stages (HR = 1.07,
95% CI, 1.02-1.12), 24% for early tumor stages (HR = 1.24, 95% CI, 1.09-1.41),
13% for intermediate stage (HR = 1.13, 95% CI, 1.05-1.22), whereas no increase
was found for advanced stages (HR = 0.98, 95% CI, 0.92-1.05). The disease
specific 5-year mortality was similar to the all-cause mortality. CONCLUSIONS:
The increased 5-year mortality of potentially curable esophageal cancer after
surgery later in the week suggests that this surgery is better carried out
earlier in the week.
PMID- 26565141
TI - A Degenerative/Proinflammatory Intervertebral Disc Organ Culture: An Ex Vivo
Model for Anti-inflammatory Drug and Cell Therapy.
AB - Resolution of intervertebral disc (IVD) degeneration-associated inflammation is a
prerequisite for tissue regeneration and could possibly be achieved by strategies
ranging from pharmacological to cell-based therapies. In this study, a
proinflammatory disc organ culture model was established. Bovine caudal disc
punches were needle punctured and additionally stimulated with lipopolysaccharide
(10 MUg/mL) or interleukin-1beta (IL-1beta, 10-100 ng/mL) for 48 h. Two
intradiscal therapeutic approaches were tested: (i) a nonsteroidal anti
inflammatory drug, diclofenac (Df) and (ii) human mesenchymal stem/stromal cells
(MSCs) embedded in an albumin/hyaluronan hydrogel. IL-1beta-treated disc organ
cultures showed a statistically significant upregulation of proinflammatory
markers (IL-6, IL-8, prostaglandin E2 [PGE2]) and metalloproteases (MMP1, MMP3)
expression, while extracellular matrix (ECM) proteins (collagen II, aggrecan)
were significantly downregulated. The injection of the anti-inflammatory drug,
Df, was able to reduce the levels of proinflammatory cytokines and MMPs and
surprisingly increase ECM protein levels. These results point the intradiscal
application of anti-inflammatory drugs as promising therapeutics for disc
degeneration. In parallel, the immunomodulatory role of MSCs on this model was
also evaluated. Although a slight downregulation of IL-6 and IL-8 expression
could be found, the variability among the five donors tested was high, suggesting
that the beneficial effect of these cells on disc degeneration needs to be
further evaluated. The proinflammatory/degenerative IVD organ culture model
established can be considered a suitable approach for testing novel therapeutic
drugs, thus reducing the number of animals in in vivo experimentation. Moreover,
this model can be used to address the cellular and molecular mechanisms that
regulate inflammation in the IVD and their implications in tissue degeneration.
PMID- 26565142
TI - Chemopreventive role of food-derived proteins and peptides: A review.
AB - Cancer is one of the leading causes of mortality and disability worldwide.
Although great advances in cancer treatments such as chemotherapy, surgery, and
radiation are currently being achieved, their application is associated with
numerous and expensive adverse side effects. Epidemiological evidence has
demonstrated that the consumption of certain foods potentially prevents up to 35%
of cancer cases. Bioactive components are ubiquitous in nature, also in dietary
food, providing an essential link in health maintenance, promotion, and
prevention of chronic diseases, such as cancer. Development of bioactive proteins
and peptides is a current and innovative strategy for cancer prevention/cure. A
growing body of anticancer protein and peptides from natural sources has shown
the ability to reduce tumor progression through multiple mechanisms including
apoptotic, antiproliferative, antiangiogenic, and immunomodulatory activities.
This review is focused on proteins and peptides from different food sources
including plants, milk, egg, and marine organisms in which chemopreventive
properties have been demonstrated. Other aspects such as mechanism of action,
bioavailability, and identification and characterization of food-derived peptides
by advance separated technologies are also included. This review highlights the
potential application of food-derived peptides as functional food ingredients and
pharmaceutical candidates in the auxiliary therapy of cancer.
PMID- 26565143
TI - Reducing sample size in experiments with animals: historical controls and related
strategies.
AB - Reducing the number of animal subjects used in biomedical experiments is
desirable for ethical and practical reasons. Previous reviews of the benefits of
reducing sample sizes have focused on improving experimental designs and methods
of statistical analysis, but reducing the size of control groups has been
considered rarely. We discuss how the number of current control animals can be
reduced, without loss of statistical power, by incorporating information from
historical controls, i.e. subjects used as controls in similar previous
experiments. Using example data from published reports, we describe how to
incorporate information from historical controls under a range of assumptions
that might be made in biomedical experiments. Assuming more similarities between
historical and current controls yields higher savings and allows the use of
smaller current control groups. We conducted simulations, based on typical
designs and sample sizes, to quantify how different assumptions about historical
controls affect the power of statistical tests. We show that, under our
simulation conditions, the number of current control subjects can be reduced by
more than half by including historical controls in the analyses. In other
experimental scenarios, control groups may be unnecessary. Paying attention to
both the function and to the statistical requirements of control groups would
result in reducing the total number of animals used in experiments, saving time,
effort and money, and bringing research with animals within ethically acceptable
bounds.
PMID- 26565145
TI - Percolation on a multifractal scale-free planar stochastic lattice and its
universality class.
AB - We investigate site percolation on a weighted planar stochastic lattice (WPSL),
which is a multifractal and whose dual is a scale-free network. Percolation is
typically characterized by a threshold value p(c) at which a transition occurs
and by a set of critical exponents beta, gamma, nu which describe the critical
behavior of the percolation probability P(p), mean cluster size S(p), and the
correlation length xi. Besides, the exponent tau characterizes the cluster size
distribution function n(s)(p(c)) and the fractal dimension d(f) characterizes the
spanning cluster. We numerically obtain the value of p(c) and of all the
exponents. These results suggest that the percolation on WPSL belong to a
separate universality class than on all other planar lattices.
PMID- 26565144
TI - Plasma biomarkers for neuronal ceroid lipofuscinosis.
AB - The neuronal ceroid lipofuscinoses (NCLs) are a group of neurodegenerative
genetic diseases that primarily affect children and have no known cure. A unified
clinical rating scale for the juvenile form of NCL has been developed, although
it has not been validated in other subtypes and does not give a true measure of
the pathophysiological changes occurring during disease progression. In the
present study, we have identified candidate biomarkers in blood plasma of NCL
disease using multiple proteomic approaches, with the aim of developing a panel
of biomarkers that could serve as a metric for therapeutic response. Candidate
biomarkers were identified as proteins with levels that significantly differed
between patients and controls in both sample sets. The seven candidates
identified have previously been associated with neurodegenerative and
inflammatory diseases. Multiplex immunoassay based testing was the most efficient
and effective evaluation technique and could be employed on a broad scale to
track patient response to treatment.
PMID- 26565146
TI - Crossing probability for directed polymers in random media.
AB - We study the probability that two directed polymers in the same random potential
do not intersect. We use the replica method to map the problem onto the
attractive Lieb-Liniger model with generalized statistics between particles.
Employing both the nested Bethe ansatz and known formula from MacDonald
processes, we obtain analytical expressions for the first few moments of this
probability and compare them to a numerical simulation of a discrete model at
high temperature. From these observations, several large time properties of the
noncrossing probabilities are conjectured. Extensions of our formalism to more
general observables are discussed.
PMID- 26565147
TI - Eigenstate thermalization in systems with spontaneously broken symmetry.
AB - A strongly nonintegrable system is expected to satisfy the eigenstate
thermalization hypothesis, which states that the expectation value of an
observable in an energy eigenstate is the same as the thermal value. This must be
revised if the observable is an order parameter for a spontaneously broken
symmetry, which has multiple thermal values. We propose that in this case the
system is unstable towards forming nearby eigenstates which yield each of the
allowed thermal values. We provide strong evidence for this from a numerical
study of the two-dimensional transverse-field quantum Ising model.
PMID- 26565148
TI - Anisotropy of weakly vibrated granular flows.
AB - We experimentally probe the anisotropy of weakly vibrated flowing granular media.
Depending on the driving parameters-flow rate and vibration strength-this
anisotropy varies significantly. We show how the anisotropy collapses when
plotted as a function of the driving stresses, uncovering a direct link between
stresses and anisotropy. Moreover, our data suggest that for small anisotropies,
the shear stresses vanish. Anisotropy of the fabric of granular media thus plays
a crucial role in determining the rheology of granular flows.
PMID- 26565149
TI - Using dislocations to probe surface reconstruction in thick freely suspended
liquid crystalline films.
AB - Surface interactions can cause freely suspended thin liquid crystalline films to
form phases different from the bulk material, but it is not known what happens at
the surface of thick films. Edge dislocations can be used as a marker for the
boundary between the bulk center and the reconstructed surface. We use noncontact
mode atomic force microscopy to determine the depth of edge dislocations below
the surface of freely suspended thick films of 4-n-heptyloxybenzylidene-4-n
heptylaniline (7O.7) in the crystalline B phase. Here, 3.0+/-0.1 nm high steps
are found with a width that varies with temperature between 56 and 59 degrees C.
Using a strain model for the profile of liquid crystalline layers above an edge
dislocation to estimate the depth of the dislocation, we find that the number of
reconstructed surface layers increases from 4 to 50 layers as the temperature
decreases from 59 to 56 degrees C. This trend tracks the behavior of the phase
boundary in the thickness dependent phase diagram of freely suspended films of
7O.7, suggesting that the surface may be reconstructed into a smectic F region.
PMID- 26565150
TI - Reconciling transport models across scales: The role of volume exclusion.
AB - Diffusive transport is a universal phenomenon, throughout both biological and
physical sciences, and models of diffusion are routinely used to interrogate
diffusion-driven processes. However, most models neglect to take into account the
role of volume exclusion, which can significantly alter diffusive transport,
particularly within biological systems where the diffusing particles might occupy
a significant fraction of the available space. In this work we use a random walk
approach to provide a means to reconcile models that incorporate crowding effects
on different spatial scales. Our work demonstrates that coarse-grained models
incorporating simplified descriptions of excluded volume can be used in many
circumstances, but that care must be taken in pushing the coarse-graining process
too far.
PMID- 26565151
TI - Rheology of the cytoskeleton as a fractal network.
AB - We model the cytoskeleton as a fractal network by identifying each segment with a
simple Kelvin-Voigt element with a well defined equilibrium length. The final
structure retains the elastic characteristics of a solid or a gel, which may
support stress, without relaxing. By considering a very simple regular self
similar structure of segments in series and in parallel, in one, two, or three
dimensions, we are able to express the viscoelasticity of the network as an
effective generalized Kelvin-Voigt model with a power law spectrum of retardation
times L~tau(alpha). We relate the parameter alpha with the fractal dimension of
the gel. In some regimes (00,sigma->infinity at nonzero and finite sigmaV(c), connects our
highest-resolution results with known exact results for monodisperse rods in a
continuum. We also compare the pressure profiles obtained from density
functionals with the average microscopic pressure profiles derived from the pair
distribution function.
PMID- 26565174
TI - Exchange fluctuation theorem for correlated quantum systems.
AB - We extend the exchange fluctuation theorem for energy exchange between thermal
quantum systems beyond the assumption of molecular chaos, and describe the
nonequilibrium exchange dynamics of correlated quantum states. The relation
quantifies how the tendency for systems to equilibrate is modified in high
correlation environments. In addition, a more abstract approach leads us to a
"correlation fluctuation theorem". Our results elucidate the role of measurement
disturbance for such scenarios. We show a simple application by finding a
semiclassical maximum work theorem in the presence of correlations. We also
present a toy example of qubit-qudit heat exchange, and find that non-classical
behaviour such as deterministic energy transfer and anomalous heat flow are
reflected in our exchange fluctuation theorem.
PMID- 26565175
TI - Small-scale properties of a stochastic cubic-autocatalytic reaction-diffusion
model.
AB - We investigate the small-scale properties of a stochastic cubic-autocatalytic
reaction-diffusion (CARD) model using renormalization techniques. We renormalize
noise-induced ultraviolet divergences and obtain beta functions for the decay
rate and coupling at one loop. Assuming colored (power-law) noise, our results
show that the behavior of both decay rate and coupling with scale depends
crucially on the noise exponent. Interpreting the CARD model as a proxy for a
(very simple) living system, our results suggest that power-law correlations in
environmental fluctuations can both decrease or increase the growth of structures
at smaller scales.
PMID- 26565176
TI - Dynamics of the transverse Ising model with next-nearest-neighbor interactions.
AB - We study the effects of next-nearest-neighbor (NNN) interactions on the dynamics
of the one-dimensional spin-1/2 transverse Ising model in the high-temperature
limit. We use exact diagonalization to obtain the time-dependent transverse
correlation function and the corresponding spectral density for a tagged spin.
Our results for chains of 13 spins with periodic boundary conditions produce
results which are valid in the infinite-size limit. In general we find that the
NNN coupling produces slower dynamics accompanied by an enhancement of the
central mode behavior. Even in the case of a strong transverse field, if the NNN
coupling is sufficiently large, then there is a crossover from collective mode to
central mode behavior. We also obtain several recurrants for the continued
fraction representation of the relaxation function.
PMID- 26565177
TI - Clique percolation in random graphs.
AB - As a generation of the classical percolation, clique percolation focuses on the
connection of cliques in a graph, where the connection of two k cliques means
that they share at least l1 makes a step-function-like discontinuous phase transition in the
thermodynamic limit and a continuous phase transition for l=1. More interesting,
our analysis shows that at the critical point, the order parameter phi(c) for l>1
is neither 0 nor 1, but a constant depending on k and l. All these theoretical
findings are in agreement with the simulation results, which give theoretical
support and clarification for previous simulation studies of clique percolation.
PMID- 26565178
TI - Distributed-order diffusion equations and multifractality: Models and solutions.
AB - We study distributed-order time fractional diffusion equations characterized by
multifractal memory kernels, in contrast to the simple power-law kernel of common
time fractional diffusion equations. Based on the physical approach to anomalous
diffusion provided by the seminal Scher-Montroll-Weiss continuous time random
walk, we analyze both natural and modified-form distributed-order time fractional
diffusion equations and compare the two approaches. The mean squared displacement
is obtained and its limiting behavior analyzed. We derive the connection between
the Wiener process, described by the conventional Langevin equation and the
dynamics encoded by the distributed-order time fractional diffusion equation in
terms of a generalized subordination of time. A detailed analysis of the
multifractal properties of distributed-order diffusion equations is provided.
PMID- 26565179
TI - Monte Carlo study of anisotropic scaling generated by disorder.
AB - We analyze the critical properties of the three-dimensional Ising model with
linear parallel extended defects. Such a form of disorder produces two distinct
correlation lengths, a parallel correlation length xi(?) in the direction along
defects and a perpendicular correlation length xi(?) in the direction
perpendicular to the lines. Both xi(?) and xi(?) diverge algebraically in the
vicinity of the critical point, but the corresponding critical exponents nu(?)
and nu(?) take different values. This property is specific for anisotropic
scaling and the ratio nu(?)/nu(?) defines the anisotropy exponent theta. Until
now, estimates of quantitative characteristics of the critical behavior for such
systems have been obtained only within the renormalization group approach. We
report a study of the anisotropic scaling in this system via Monte Carlo
simulation of the three-dimensional system with Ising spins and nonmagnetic
impurities arranged into randomly distributed parallel lines. Several independent
estimates for the anisotropy exponent theta of the system are obtained, as well
as an estimate of the susceptibility exponent gamma. Our results corroborate the
renormalization group predictions obtained earlier.
PMID- 26565180
TI - Flocking with discrete symmetry: The two-dimensional active Ising model.
AB - We study in detail the active Ising model, a stochastic lattice gas where
collective motion emerges from the spontaneous breaking of a discrete symmetry.
On a two-dimensional lattice, active particles undergo a diffusion biased in one
of two possible directions (left and right) and align ferromagnetically their
direction of motion, hence yielding a minimal flocking model with discrete
rotational symmetry. We show that the transition to collective motion amounts in
this model to a bona fide liquid-gas phase transition in the canonical ensemble.
The phase diagram in the density-velocity parameter plane has a critical point at
zero velocity which belongs to the Ising universality class. In the density
temperature "canonical" ensemble, the usual critical point of the equilibrium
liquid-gas transition is sent to infinite density because the different
symmetries between liquid and gas phases preclude a supercritical region. We
build a continuum theory which reproduces qualitatively the behavior of the
microscopic model. In particular, we predict analytically the shapes of the phase
diagrams in the vicinity of the critical points, the binodal and spinodal
densities at coexistence, and the speeds and shapes of the phase-separated
profiles.
PMID- 26565181
TI - Statistical analysis of loopy belief propagation in random fields.
AB - Loopy belief propagation (LBP), which is equivalent to the Bethe approximation in
statistical mechanics, is a message-passing-type inference method that is widely
used to analyze systems based on Markov random fields (MRFs). In this paper, we
propose a message-passing-type method to analytically evaluate the quenched
average of LBP in random fields by using the replica cluster variation method.
The proposed analytical method is applicable to general pairwise MRFs with random
fields whose distributions differ from each other and can give the quenched
averages of the Bethe free energies over random fields, which are consistent with
numerical results. The order of its computational cost is equivalent to that of
standard LBP. In the latter part of this paper, we describe the application of
the proposed method to Bayesian image restoration, in which we observed that our
theoretical results are in good agreement with the numerical results for natural
images.
PMID- 26565182
TI - Role of trapping and crowding as sources of negative differential mobility.
AB - Increasing the crowding in an environment does not necessarily trigger negative
differential mobility of strongly pushed particles. Moreover, the choice of the
model, in particular the kind of microscopic jump rates, may be very relevant in
determining the mobility. We support these points via simple examples and we
therefore address recent claims saying that crowding in an environment is likely
to promote negative differential mobility. Trapping of tagged particles enhanced
by increasing the force remains the mechanism determining a drift velocity not
monotonous in the driving force.
PMID- 26565183
TI - First-passage times for pattern formation in nonlocal partial differential
equations.
AB - We describe the lifetimes associated with the stochastic evolution from an
unstable uniform state to a patterned one when the time evolution of the field is
controlled by a nonlocal Fisher equation. A small noise is added to the evolution
equation to define the lifetimes and to calculate the mean first-passage time of
the stochastic field through a given threshold value, before the patterned steady
state is reached. In order to obtain analytical results we introduce a stochastic
multiscale perturbation expansion. This multiscale expansion can also be used to
tackle multiplicative stochastic partial differential equations. A critical
slowing down is predicted for the marginal case when the Fourier phase of the
unstable initial condition is null. We carry out Monte Carlo simulations to show
the agreement with our theoretical predictions. Analytic results for the
bifurcation point and asymptotic analysis of traveling wave-front solutions are
included to get insight into the noise-induced transition phenomena mediated by
invading fronts.
PMID- 26565184
TI - Performance limits of multilevel and multipartite quantum heat machines.
AB - We present the general theory of a quantum heat machine based on an N-level
system (working medium) whose N-1 excited levels are degenerate, a prerequisite
for steady-state interlevel coherence. Our goal is to find out the extent to
which coherence in the working medium is an asset for heat machines. The
performance bounds of such a machine are common to (reciprocating) cycles that
consist of consecutive strokes and continuous cycles wherein the periodically
driven system is constantly coupled to cold and hot heat baths. Intriguingly, we
find that the machine's performance strongly depends on the relative orientations
of the transition-dipole vectors in the system. Perfectly aligned (parallel)
transition dipoles allow for steady-state coherence effects, but also give rise
to dark states, which hinder steady-state thermalization and thus reduce the
machine's performance. Similar thermodynamic properties hold for N two-level
atoms conforming to the Dicke model. We conclude that level degeneracy, but not
necessarily coherence, is a thermodynamic resource, equally enhancing the heat
currents and the power output of the heat machine. By contrast, the efficiency
remains unaltered by this degeneracy and adheres to the Carnot bound.
PMID- 26565185
TI - Linear-noise approximation and the chemical master equation agree up to second
order moments for a class of chemical systems.
AB - It is well known that the linear-noise approximation (LNA) agrees with the
chemical master equation, up to second-order moments, for chemical systems
composed of zero and first-order reactions. Here we show that this is also a
property of the LNA for a subset of chemical systems with second-order reactions.
This agreement is independent of the number of interacting molecules.
PMID- 26565186
TI - Disentangling geometric and dissipative origins of negative Casimir entropies.
AB - Dissipative electromagnetic response and scattering geometry are potential
sources for the appearance of a negative Casimir entropy. We show that the
dissipative contribution familiar from the plane-plane geometry appears also in
the plane-sphere and the sphere-sphere geometries and adds to the negative
Casimir entropy known to exist in these geometries even for perfectly reflecting
objects. Taking the sphere-sphere geometry as an example, we carry out a
scattering-channel analysis, which allows us to distinguish between the
contributions of different polarizations. We demonstrate that dissipation and
geometry share a common feature making possible negative values of the Casimir
entropy. In both cases there exists a scattering channel whose contribution to
the Casimir free energy vanishes in the high-temperature limit. While the mode
mixing channel is associated with the geometric origin, the transverse electric
channel is associated with the dissipative origin of the negative Casimir
entropy. By going beyond the Rayleigh limit, we find even for large distances
that negative Casimir entropies can occur also for Drude-type metals provided the
dissipation strength is sufficiently small.
PMID- 26565187
TI - Thermodynamic universality of quantum Carnot engines.
AB - The Carnot statement of the second law of thermodynamics poses an upper limit on
the efficiency of all heat engines. Recently, it has been studied whether generic
quantum features such as coherence and quantum entanglement could allow for
quantum devices with efficiencies larger than the Carnot efficiency. The present
study shows that this is not permitted by the laws of thermodynamics-independent
of the model. We will show that rather the definition of heat has to be modified
to account for the thermodynamic cost of maintaining non-Gibbsian equilibrium
states. Our theoretical findings are illustrated for two experimentally relevant
examples.
PMID- 26565188
TI - Phase transitions and critical phenomena in the two-dimensional Ising model with
dipole interactions: A short-time dynamics study.
AB - The ferromagnetic Ising model with antiferromagnetic dipole interactions is
investigated by means of Monte Carlo simulations, focusing on the
characterization of the phase transitions between the tetragonal liquid and
stripe of width h phases. The dynamic evolution of the physical observables is
analyzed within the short-time regime for 0.5<=delta<=1.3, where delta is the
ratio between the short-range exchange and the long-range dipole interaction
constants. The obtained results for the interval 0.5<=delta<=1.2 indicate that
the phase transition line between the h=1 stripe and tetragonal liquid phases is
continuous. This finding contributes to clarifying the controversy about the
order of this transition. This controversy arises from the difficulties
introduced in the simulations due to the presence of long-range dipole
interactions, such as an important increase in the simulation times that limits
the system size used, strong finite size effects, as well as to the existence of
multiple metastable states at low temperatures. The study of the short-time
dynamics of the model allows us to avoid these hindrances. Moreover, due to the
fact that the finite-size effects do not significantly affect the power-law
behavior exhibited in the observables within the short-time regime, the results
could be attributed to those corresponding to the thermodynamic limit. As a
consequence of this, a careful characterization of the critical behavior for the
whole transition line is performed by giving the complete set of critical
exponents.
PMID- 26565189
TI - Nonequilibrium interfacial tension during relaxation.
AB - The concept of a nonequilibrium interfacial tension, defined via the work
required to deform a system such that the interfacial area is changed while the
volume is conserved, is investigated theoretically in the context of the
relaxation of an initial perturbation of a colloidal fluid towards the
equilibrium state. The corresponding general formalism is derived for systems
with planar symmetry and applied to fluid models of colloidal suspensions and
polymer solutions. It is shown that the nonequilibrium interfacial tension is not
necessarily positive, that negative nonequilibrium interfacial tensions are
consistent with strictly positive equilibrium interfacial tensions, and that the
sign of the interfacial tension can influence the morphology of density
perturbations during relaxation.
PMID- 26565190
TI - Quasi-steady-state analysis of coupled flashing ratchets.
AB - We perform a quasi-steady-state (QSS) reduction of a flashing ratchet to obtain a
Brownian particle in an effective potential. The resulting system is analytically
tractable and yet preserves essential dynamical features of the full model. We
first use the QSS reduction to derive an explicit expression for the velocity of
a simple two-state flashing ratchet. In particular, we determine the relationship
between perturbations from detailed balance, which are encoded in the transitions
rates of the flashing ratchet, and a tilted-periodic potential. We then perform a
QSS analysis of a pair of elastically coupled flashing ratchets, which reduces to
a Brownian particle moving in a two-dimensional vector field. We suggest that the
fixed points of this vector field accurately approximate the metastable spatial
locations of the coupled ratchets, which are, in general, impossible to identify
from the full system.
PMID- 26565191
TI - Free-energy landscape and nucleation pathway of polymorphic minerals from
solution in a Potts lattice-gas model.
AB - Metastable minerals commonly form during reactions between water and rock. The
nucleation mechanism of polymorphic phases from solution are explored here using
a two-dimensional Potts model. The model system is composed of a solvent and
three polymorphic solid phases. The local state and position of the solid phase
are updated by Metropolis dynamics. Below the critical temperature, a large
cluster of the least stable solid phase initially forms in the solution before
transitioning into more-stable phases following the Ostwald step rule. The free
energy landscape as a function of the modal abundance of each solid phase clearly
reveals that before cluster formation, the least stable phase has an energetic
advantage because of its low interfacial energy with the solution, and after
cluster formation, phase transformation occurs along the valley of the free
energy landscape, which contains several minima for the regions of three phases.
Our results indicate that the solid-solid and solid-liquid interfacial energy
contribute to the formation of the complex free-energy landscape and nucleation
pathways following the Ostwald step rule.
PMID- 26565192
TI - Conditions for predicting quasistationary states by rearrangement formula.
AB - Predicting the long-lasting quasistationary state for a given initial state is
one of central issues in Hamiltonian systems having long-range interaction. A
recently proposed method is based on the Vlasov description and uniformly
redistributes the initial distribution along contours of the asymptotic effective
Hamiltonian, which is defined by the obtained quasistationary state and is
determined self-consistently. The method, to which we refer as the rearrangement
formula, was suggested to give precise prediction under limited situations.
Restricting initial states consisting of a spatially homogeneous part and small
perturbation, we numerically reveal two conditions that the rearrangement formula
prefers: One is a no Landau damping condition for the unperturbed homogeneous
part, and the other comes from the Casimir invariants. Mechanisms of these
conditions are discussed. Clarifying these conditions, we validate to use the
rearrangement formula as the response theory for an external field, and we shed
light on improving the theory as a nonequilibrium statistical mechanics.
PMID- 26565193
TI - Fluctuating currents in stochastic thermodynamics. I. Gauge invariance of
asymptotic statistics.
AB - Stochastic thermodynamics uses Markovian jump processes to model random
transitions between observable mesoscopic states. Physical currents are obtained
from antisymmetric jump observables defined on the edges of the graph
representing the network of states. The asymptotic statistics of such currents
are characterized by scaled cumulants. In the present work, we use the algebraic
and topological structure of Markovian models to prove a gauge invariance of the
scaled cumulant-generating function. Exploiting this invariance yields an
efficient algorithm for practical calculations of asymptotic averages and
correlation integrals. We discuss how our approach generalizes the Schnakenberg
decomposition of the average entropy-production rate, and how it unifies previous
work. The application of our results to concrete models is presented in an
accompanying publication.
PMID- 26565194
TI - Fluctuating currents in stochastic thermodynamics. II. Energy conversion and
nonequilibrium response in kinesin models.
AB - Unlike macroscopic engines, the molecular machinery of living cells is strongly
affected by fluctuations. Stochastic thermodynamics uses Markovian jump processes
to model the random transitions between the chemical and configurational states
of these biological macromolecules. A recently developed theoretical framework
[A. Wachtel, J. Vollmer, and B. Altaner, Phys. Rev. E 92, 042132 (2015)] provides
a simple algorithm for the determination of macroscopic currents and correlation
integrals of arbitrary fluctuating currents. Here we use it to discuss energy
conversion and nonequilibrium response in different models for the molecular
motor kinesin. Methodologically, our results demonstrate the effectiveness of the
algorithm in dealing with parameter-dependent stochastic models. For the concrete
biophysical problem our results reveal two interesting features in experimentally
accessible parameter regions: the validity of a nonequilibrium Green-Kubo
relation at mechanical stalling as well as a negative differential mobility for
superstalling forces.
PMID- 26565195
TI - Screening like charges in one-dimensional Coulomb systems: Exact results.
AB - The possibility that like charges can attract each other under the mediation of
mobile counterions is by now well documented experimentally, numerically, and
analytically. Yet, obtaining exact results is in general impossible, or
restricted to some limiting cases. We work out here in detail a one-dimensional
model that retains the essence of the phenomena present in higher-dimensional
systems. The partition function is obtained explicitly, from which a wealth of
relevant quantities follow, such as the effective force between the charges or
the counterion profile in their vicinity. Isobaric and canonical ensembles are
distinguished. The case of two equal charges screened by an arbitrary number N of
counterions is first studied, before the more general asymmetric situation is
addressed. It is shown that the parity of N plays a key role in the long-range
physics.
PMID- 26565196
TI - Avalanche-size distributions in mean-field plastic yielding models.
AB - We discuss the size distribution N(S) of avalanches occurring at the yielding
transition of mean-field (i.e., Hebraud-Lequeux) models of amorphous solids. The
size distribution follows a power law dependence of the form N(S)~S(-tau).
However (contrary to what is found in its depinning counterpart), the value of
tau depends on details of the dynamic protocol used. For random triggering of
avalanches we recover the tau=3/2 exponent typical of mean-field models, which,
in particular, is valid for the depinning case. However, for the physically
relevant case of external loading through a quasistatic increase of applied
strain, a smaller exponent (close to 1) is obtained. This result is rationalized
by mapping the problem to an effective random walk in the presence of a moving
absorbing boundary.
PMID- 26565197
TI - Deviation from the Maxwell-Cattaneo law: Role of asymmetric interparticle
interactions.
AB - Nonstationary heat conduction in a few one-dimensional nonlinear lattices is
studied numerically based on the Maxwell-Cattaneo (MC) law. We simulate the
relaxation process and calculate the magnitudes of the temperature oscillation
A(T)(t) and the local heat current oscillation A(j)(t). A phase difference
between A(T)(t) and A(j)(t) is observed, which not only verifies the existence of
the time lag tau in the MC law but also provides a better way of determining the
critical wavelength L(*) that separates between oscillatory and diffusive
relaxation modes. However, clear deviations from the MC law are observed. Not
only do the decay exponents differ from the theoretical expectations, but, more
importantly, suboscillation in the diffusive regime, which is not expected by the
MC law, is found in the lattices with asymmetric interactions as well. These
findings imply that higher-order effects must be considered in order to well
describe the nonstationary heat conduction process in these systems.
PMID- 26565198
TI - Sample-dependent first-passage-time distribution in a disordered medium.
AB - Above two dimensions, diffusion of a particle in a medium with quenched random
traps is believed to be well described by the annealed continuous-time random
walk. We propose an approximate expression for the first-passage-time (FPT)
distribution in a given sample that enables detailed comparison of the two
problems. For a system of finite size, the number and spatial arrangement of deep
traps yield significant sample-to-sample variations in the FPT statistics.
Numerical simulations of a quenched trap model with power-law sojourn times
confirm the existence of two characteristic time scales and a non-self-averaging
FPT distribution, as predicted by our theory.
PMID- 26565199
TI - Relating Granger causality to long-term causal effects.
AB - In estimation of causal couplings between observed processes, it is important to
characterize coupling roles at various time scales. The widely used Granger
causality reflects short-term effects: it shows how strongly perturbations of a
current state of one process affect near future states of another process, and it
quantifies that via prediction improvement (PI) in autoregressive models.
However, it is often more important to evaluate the effects of coupling on long
term statistics, e.g., to find out how strongly the presence of coupling changes
the variance of a driven process as compared to an uncoupled case. No general
relationships between Granger causality and such long-term effects are known.
Here, we pose the problem of relating these two types of coupling
characteristics, and we solve it for a class of stochastic systems. Namely, for
overdamped linear oscillators, we rigorously derive that the above long-term
effect is proportional to the short-term effects, with the proportionality
coefficient depending on the prediction interval and relaxation times. We reveal
that this coefficient is typically considerably greater than unity so that small
normalized PI values may well correspond to quite large long-term effects of
coupling. The applicability of the derived relationship to wider classes of
systems, its limitations, and its value for further research are discussed. To
give a real-world example, we analyze couplings between large-scale climatic
processes related to sea surface temperature variations in equatorial Pacific and
North Atlantic regions.
PMID- 26565200
TI - Data-driven coarse graining in action: Modeling and prediction of complex
systems.
AB - In many physical, technological, social, and economic applications, one is
commonly faced with the task of estimating statistical properties, such as mean
first passage times of a temporal continuous process, from empirical data
(experimental observations). Typically, however, an accurate and reliable
estimation of such properties directly from the data alone is not possible as the
time series is often too short, or the particular phenomenon of interest is only
rarely observed. We propose here a theoretical-computational framework which
provides us with a systematic and rational estimation of statistical quantities
of a given temporal process, such as waiting times between subsequent bursts of
activity in intermittent signals. Our framework is illustrated with applications
from real-world data sets, ranging from marine biology to paleoclimatic data.
PMID- 26565201
TI - Relaxation dynamics in the presence of pulse multiplicative noise sources with
different correlation properties.
AB - The relaxation dynamics of a system described by a Langevin equation with pulse
multiplicative noise sources with different correlation properties is considered.
The solution of the corresponding Fokker-Planck equation is derived for Gaussian
white noise. Moreover, two pulse processes with regulated periodicity are
considered as a noise source: the dead-time-distorted Poisson process and the
process with fixed time intervals, which is characterized by an infinite
correlation time. We find that the steady state of the system is dependent on the
correlation properties of the pulse noise. An increase of the noise correlation
causes the decrease of the mean value of the solution at the steady state. The
analytical results are in good agreement with the numerical ones.
PMID- 26565202
TI - Comparison between Smoluchowski and Boltzmann approaches for self-propelled rods.
AB - Considering systems of self-propelled polar particles with nematic interactions
("rods"), we compare the continuum equations describing the evolution of polar
and nematic order parameters, derived either from Smoluchowski or Boltzmann
equations. Our main goal is to understand the discrepancies between the continuum
equations obtained so far in both frameworks. We first show that, in the simple
case of point-like particles with only alignment interactions, the continuum
equations obtained have the same structure in both cases. We further study, in
the Smoluchowski framework, the case where an interaction force is added on top
of the aligning torque. This clarifies the origin of the additional terms
obtained in previous works. Our observations lead us to emphasize the need for a
more involved closure scheme than the standard normal form of the distribution
when dealing with active systems.
PMID- 26565203
TI - Confinement effects upon the separation of structural transitions in linear
systems with restricted bond fluctuation ranges.
AB - By means of advanced parallel replica-exchange Monte Carlo methods we examine the
influence of elasticity and confinement on the structural transitions of linear
systems with restricted bonded interaction. For this purpose, we adopt a model
for coarse-grained flexible polymers of finite length in the dilute regime.
Hyperphase diagrams are constructed using energy-dependent canonical quantities
to demonstrate the effects of the changes in the range of the confined
interaction on the liquid and solid structural phases. With increasing bonded
interaction range we observe the disappearance of the liquid phase and the fusion
of the gas-liquid (or Theta) and the liquid-solid transitions. One of the most
remarkable features, the liquid-gas transition, changes from second to first
order if the confined interaction range exceeds a threshold that separates
polymeric from nonpolymeric systems. The notoriously difficult sampling of the
entropically suppressed conformations in the region of very strong first-order
transitions is improved by using multiple Gaussian modified ensembles.
PMID- 26565204
TI - Relaxation times of dissipative many-body quantum systems.
AB - We study relaxation times, also called mixing times, of quantum many-body systems
described by a Lindblad master equation. We in particular study the scaling of
the spectral gap with the system length, the so-called dynamical exponent,
identifying a number of transitions in the scaling. For systems with bulk
dissipation we generically observe different scaling for small and for strong
dissipation strength, with a critical transition strength going to zero in the
thermodynamic limit. We also study a related phase transition in the largest
decay mode. For systems with only boundary dissipation we show a generic bound
that the gap cannot be larger than ~1/L. In integrable systems with boundary
dissipation one typically observes scaling of ~1/L(3), while in chaotic ones one
can have faster relaxation with the gap scaling as ~1/L and thus saturating the
generic bound. We also observe transition from exponential to algebraic gap in
systems with localized modes.
PMID- 26565205
TI - Exact satisfiability threshold for k-satisfiability problems on a Bethe lattice.
AB - The satisfiability threshold for constraint satisfaction problems is that value
of the ratio of constraints (or clauses) to variables, above which the
probability that a random instance of the problem has a solution is zero in the
large system limit. Two different approaches to obtaining this threshold have
been discussed in the literature: using first or second moment methods which give
rigorous bounds or using the nonrigorous but powerful replica-symmetry-breaking
(RSB) approach, which gives very accurate predictions on random graphs. In this
paper, we lay out a different route to obtaining this threshold on a Bethe
lattice. We need make no assumptions about the solution-space structure, a key
assumption in the RSB approach. Despite this, our expressions and threshold
values exactly match the best predictions of the cavity method under the one-step
RSB hypothesis. In addition we can use the same procedure to obtain other useful
quantities on the Bethe lattice such as the second moment of the number of
solutions. Our method hence provides alternate interpretations as well as
motivations for the key equations in the RSB approach.
PMID- 26565206
TI - Stability and anomalous entropic elasticity of subisostatic random-bond networks.
AB - We study the elasticity of thermalized spring networks under an applied bulk
strain. The networks considered are subisostatic random-bond networks that, in
the athermal limit, are known to have vanishing bulk and linear shear moduli at
zero bulk strain. Above a bulk strain threshold, however, these networks become
rigid, although surprisingly the shear modulus remains zero until a second,
higher, strain threshold. We find that thermal fluctuations stabilize all
networks below the rigidity transition, resulting in systems with both finite
bulk and shear moduli. Our results show a T(0.66) temperature dependence of the
moduli in the region below the bulk strain threshold, resulting in networks with
anomalously high rigidity as compared to ordinary entropic elasticity.
Furthermore, we find a second regime of anomalous temperature scaling for the
shear modulus at its zero-temperature rigidity point, where it scales as T(0.5),
behavior that is absent for the bulk modulus since its athermal rigidity
transition is discontinuous.
PMID- 26565207
TI - Dynamical class of a two-dimensional plasmonic Dirac system.
AB - A current goal in plasmonic science and technology is to figure out how to manage
the relaxational dynamics of surface plasmons in graphene since its damping
constitutes a hinder for the realization of graphene-based plasmonic devices. In
this sense we believe it might be of interest to enlarge the knowledge on the
dynamical class of two-dimensional plasmonic Dirac systems. According to the
recurrence relations method, different systems are said to be dynamically
equivalent if they have identical relaxation functions at all times, and such
commonality may lead to deep connections between seemingly unrelated physical
systems. We employ the recurrence relations approach to obtain relaxation and
memory functions of density fluctuations and show that a two-dimensional
plasmonic Dirac system at long wavelength and zero temperature belongs to the
same dynamical class of standard two-dimensional electron gas and classical
harmonic oscillator chain with an impurity mass.
PMID- 26565208
TI - Most energetic passive states.
AB - Passive states are defined as those states that do not allow for work extraction
in a cyclic (unitary) process. Within the set of passive states, thermal states
are the most stable ones: they maximize the entropy for a given energy, and
similarly they minimize the energy for a given entropy. Here we find the passive
states lying in the other extreme, i.e., those that maximize the energy for a
given entropy, which we show also minimize the entropy when the energy is fixed.
These extremal properties make these states useful to obtain fundamental bounds
for the thermodynamics of finite-dimensional quantum systems, which we show in
several scenarios.
PMID- 26565209
TI - Shortcuts to adiabaticity from linear response theory.
AB - A shortcut to adiabaticity is a finite-time process that produces the same final
state as would result from infinitely slow driving. We show that such shortcuts
can be found for weak perturbations from linear response theory. With the help of
phenomenological response functions, a simple expression for the excess work is
found-quantifying the nonequilibrium excitations. For two specific examples,
i.e., the quantum parametric oscillator and the spin 1/2 in a time-dependent
magnetic field, we show that finite-time zeros of the excess work indicate the
existence of shortcuts. Finally, we propose a degenerate family of protocols,
which facilitates shortcuts to adiabaticity for specific and very short driving
times.
PMID- 26565210
TI - Stationary properties of maximum-entropy random walks.
AB - Maximum-entropy (ME) inference of state probabilities using state-dependent
constraints is popular in the study of complex systems. In stochastic systems,
how state space topology and path-dependent constraints affect ME-inferred state
probabilities remains unknown. To that end, we derive the transition
probabilities and the stationary distribution of a maximum path entropy Markov
process subject to state- and path-dependent constraints. A main finding is that
the stationary distribution over states differs significantly from the Boltzmann
distribution and reflects a competition between path multiplicity and imposed
constraints. We illustrate our results with particle diffusion on a two
dimensional landscape. Connections with the path integral approach to diffusion
are discussed.
PMID- 26565211
TI - Full distribution of work done on a quantum system for arbitrary initial states.
AB - We propose an approach to define and measure the statistics of work, internal
energy and dissipated heat in a driven quantum system. In our framework the
presence of a physical detector arises naturally and work and its statistics can
be investigated in the most general case. In particular, we show that the quantum
coherence of the initial state can lead to measurable effects on the moments of
the work done on the system. At the same time, we recover the known results if
the initial state is a statistical mixture of energy eigenstates. Our method can
also be applied to measure the dissipated heat in an open quantum system. By
sequentially coupling the system to a detector, we can track the energy
dissipated in the environment while accessing only the system degrees of freedom.
PMID- 26565212
TI - Aging and coarsening in isolated quantum systems after a quench: Exact results
for the quantum O(N) model with N -> infinity.
AB - The nonequilibrium dynamics of an isolated quantum system after a sudden quench
to a dynamical critical point is expected to be characterized by scaling and
universal exponents due to the absence of time scales. We explore these features
for a quench of the parameters of a Hamiltonian with O(N) symmetry, starting from
a ground state in the disordered phase. In the limit of infinite N, the exponents
and scaling forms of the relevant two-time correlation functions can be
calculated exactly. Our analytical predictions are confirmed by the numerical
solution of the corresponding equations. Moreover, we find that the same scaling
functions, yet with different exponents, also describe the coarsening dynamics
for quenches below the dynamical critical point.
PMID- 26565213
TI - Hydration of ions in two-dimensional water.
AB - We present a two-dimensional lattice model of water to study the effects of ion
hydration on the properties of water. We map the water molecules as lattice
particles consisting of a single oxygen atom at the center of a site and two
hydrogen atoms on each side. The internal state of the system, such as the dipole
moment at a site, is defined with respect to the location of the hydrogen atoms
at the site depending on their role in hydrogen bonds (H bonds) being a donor or
an acceptor. We study the influence of the charge and the radius of the ion on
the insertion energy and on the H bonds in the first and second hydration layers
around the ion and in the bulk. In particular we analyze how the competing
interactions of the short-ranged H bonds and the long-ranged electrostatics
influence the hydration properties. The role of the ion both as a source of the
electrostatic interactions as well as a defect is also discussed. Our model also
shows the well-known fact that the polarizability of the water molecules destroys
the hydrogen bond network and increases the dipole moment of the molecules near
the ion.
PMID- 26565214
TI - Index statistical properties of sparse random graphs.
AB - Using the replica method, we develop an analytical approach to compute the
characteristic function for the probability P(N)(K,lambda) that a large N*N
adjacency matrix of sparse random graphs has K eigenvalues below a threshold
lambda. The method allows to determine, in principle, all moments of
P(N)(K,lambda), from which the typical sample-to-sample fluctuations can be fully
characterized. For random graph models with localized eigenvectors, we show that
the index variance scales linearly with N?1 for |lambda|>0, with a model
dependent prefactor that can be exactly calculated. Explicit results are
discussed for Erdos-Renyi and regular random graphs, both exhibiting a prefactor
with a nonmonotonic behavior as a function of lambda. These results contrast with
rotationally invariant random matrices, where the index variance scales only as
lnN, with an universal prefactor that is independent of lambda. Numerical
diagonalization results confirm the exactness of our approach and, in addition,
strongly support the Gaussian nature of the index fluctuations.
PMID- 26565215
TI - When the mean is not enough: Calculating fixation time distributions in birth
death processes.
AB - Studies of fixation dynamics in Markov processes predominantly focus on the mean
time to absorption. This may be inadequate if the distribution is broad and
skewed. We compute the distribution of fixation times in one-step birth-death
processes with two absorbing states. These are expressed in terms of the spectrum
of the process, and we provide different representations as forward-only
processes in eigenspace. These allow efficient sampling of fixation time
distributions. As an application we study evolutionary game dynamics, where
invading mutants can reach fixation or go extinct. We also highlight the median
fixation time as a possible analog of mixing times in systems with small mutation
rates and no absorbing states, whereas the mean fixation time has no such
interpretation.
PMID- 26565216
TI - Melting in three-dimensional and two-dimensional Yukawa systems.
AB - Solid-liquid phase transitions in three-dimensional (3D) and two-dimensional (2D)
Yukawa systems were studied numerically and analytically, including the melting
of the fcc and bcc 3D lattices, and of a hexagonal primitive (hp) 2D lattice. An
approach is proposed for the determination of the melting lines in these systems.
The suggested approach takes into account the nonlinearity (anharmonicity) of
pair interaction forces and allows one to correctly predict the conditions of
melting for 3D and 2D crystal systems. The obtained results are compared with the
existing theoretical and numerical data.
PMID- 26565217
TI - Levy flights with power-law absorption.
AB - We consider a particle performing a stochastic motion on a one-dimensional
lattice with jump lengths distributed according to a power law with exponent
MU+1. Assuming that the walker moves in the presence of a distribution a(x) of
targets (traps) depending on the spatial coordinate x, we study the probability
that the walker will eventually find any target (will eventually be trapped). We
focus on the case of power-law distributions a(x)~x(-alpha) and we find that, as
long as MU=2d is qualitatively different: At lambda?4 long chains collapse into
dense quasispherical globules and at lambda?8 these globules take toroidal
configuration with a spontaneous azimuthal ordering of magnetic dipoles. With the
increase of r(max) to larger values (r(max)>10d) globules expand and break down
to form separate rings.
PMID- 26565237
TI - Universal buckling kinetics in drying nanoparticle-laden droplets on a
hydrophobic substrate.
AB - We provide a comprehensive physical description of the vaporization, self
assembly, agglomeration, and buckling kinetics of sessile nanofluid droplets
pinned on a hydrophobic substrate. We have deciphered five distinct regimes of
the droplet life cycle. Regimes I-III consists of evaporation-induced
preferential agglomeration that leads to the formation of a unique dome-shaped
inhomogeneous shell with a stratified varying-density liquid core. Regime IV
involves capillary-pressure-initiated shell buckling and stress-induced shell
rupture. Regime V marks rupture-induced cavity inception and growth. We
demonstrate through scaling arguments that the growth of the cavity (which
controls the final morphology or structure) can be described by a universal
function.
PMID- 26565238
TI - Self-replication with magnetic dipolar colloids.
AB - Colloidal self-replication represents an exciting research frontier in soft
matter physics. Currently, all reported self-replication schemes involve coating
colloidal particles with stimuli-responsive molecules to allow switchable
interactions. In this paper, we introduce a scheme using ferromagnetic dipolar
colloids and preprogrammed external magnetic fields to create an autonomous self
replication system. Interparticle dipole-dipole forces and periodically varying
weak-strong magnetic fields cooperate to drive colloid monomers from the solute
onto templates, bind them into replicas, and dissolve template complexes. We
present three general design principles for autonomous linear replicators,
derived from a focused study of a minimalist sphere-dimer magnetic system in
which single binding sites allow formation of dimeric templates. We show via
statistical models and computer simulations that our system exhibits nonlinear
growth of templates and produces nearly exponential growth (low error rate) upon
adding an optimized competing electrostatic potential. We devise experimental
strategies for constructing the required magnetic colloids based on documented
laboratory techniques. We also present qualitative ideas about building more
complex self-replicating structures utilizing magnetic colloids.
PMID- 26565239
TI - Understanding the approximations of mode-coupling theory for sheared steady
states of colloids.
AB - The lack of clarity of various mode-coupling theory (MCT) approximations, even in
equilibrium, makes it hard to understand the relation between various MCT
approaches for sheared steady states as well as their regime of validity. Here we
try to understand these approximations indirectly by deriving the MCT equations
through two different approaches for a colloidal system under shear, first
through a microscopic approach, as suggested by Zaccarelli et al., and second
through fluctuating hydrodynamics, where the approximations used in the
derivation are quite clear. The qualitative similarity of our theory with a
number of existing theories show that linear response theory might play a role in
various approximations employed in deriving those theories and one needs to be
careful while applying them for systems arbitrarily far away from equilibrium,
such as a granular system or when shear is very strong. As a by-product of our
calculation, we obtain the extension of the Yvon-Born-Green (YBG) equation for a
sheared system and under the assumption of random-phase approximation, the YBG
equation yields the distorted structure factor that was earlier obtained through
different approaches.
PMID- 26565240
TI - Interconversion algorithm between mechanical and dielectric relaxation
measurements for acetate of cis- and trans-2-phenyl-5-hydroxymethyl-1,3-dioxane.
AB - The dielectric and mechanical spectroscopies of acetate of cis- and trans-2
phenyl-5-hydroxymethyl-1,3-dioxane are reported in the frequency domain from 10(
2) to 10(6)Hz. This ester has been selected in this study for its predominant
alpha relaxation with regard to the beta relaxation, which can be neglected. This
study consists of determining an interconversion algorithm between dielectric and
mechanical measurements, given by using a relation between rotational and
translational complex viscosities. These important viscosities were obtained from
measures of the dielectric complex permittivity and by dynamic mechanical
analysis, respectively. The definitions of rotational and translational
viscosities were evaluated by means of fractional calculus, by using the fit
parameters of the Havriliak-Negami empirical model obtained in the dielectric and
mechanical characterization of the alpha relaxation. This interconversion
algorithm is a generalization of the break of the Stokes-Einstein-Debye
relationship. It uses a power law with an exponent defined as the shape factor,
which modifies the translational viscosity. Two others factors are introduced for
the interconversion, a shift factor, which displaces the translational viscosity
in the frequency domain, and a scale factor, which makes equal values of the two
viscosities. In this paper, the shape factor has been identified as the relation
between the slopes of the moduli of the complex viscosities at higher frequency.
This is interpreted as the degree of kinetic coupling between the molecular
rotation and translational movements. Alternatively, another interconversion
algorithm has been expressed by means of dielectric and mechanical moduli.
PMID- 26565241
TI - Dynamic dielectric response of electrorheological fluids in drag flow.
AB - We have determined the response time of dilute electrorheological fluids (ER) in
drag flow from the dynamic dielectric response. On the basis of a kinetic rate
equation a new formula was derived to approximate the experimental time-dependent
dielectric permittivity during the temporal evolution of the microstructure. The
dielectric response time was compared to the standard rheological response time
extracted from the time-dependent shear stress, and a good agreement was
obtained. We found that the dielectric method is more sensitive to detect any
transient during the chain formation process. The experimental saturation value
of the dielectric permittivity corresponding to the equilibrium microstructure
was estimated on the basis of formulas derived from the Clausius-Mossotti
equation.
PMID- 26565242
TI - Self-assembly of Janus particles into helices with tunable pitch.
AB - Janus particles present an important class of building blocks for directional
assembly. These are compartmentalized colloids with two different hemispheres. In
this work we consider a three-dimensional model of Janus spheres that contain one
hydrophobic and one charged hemisphere. Using molecular dynamics simulations, we
study the morphology of these particles when confined in a channel-like
environment. The interplay between the attractive and repulsive forces on each
particle gives rise to a rich phase space where the relative orientation of each
particle plays a dominant role in the formation of large-scale clusters. The
interest in this system is primarily due to the fact that it could give a better
understanding of the mechanisms of the formation of polar membranes. A variety of
ordered membranelike morphologies is found consisting of single and multiple
connected chain configurations. The helicity of these chains can be chosen by
simply changing the salt concentration of the solution. Special attention is
given to the formation of Bernal spirals. These helices are composed of regular
tetrahedra and are known to exhibit nontrivial translational and rotational
symmetry.
PMID- 26565243
TI - Anisotropic pair correlations in binary and multicomponent hard-sphere mixtures
in the vicinity of a hard wall: A combined density functional theory and
simulation study.
AB - The fundamental measure approach to classical density functional theory has been
shown to be a powerful tool to predict various thermodynamic properties of hard
sphere systems. We employ this approach to determine not only one-particle
densities but also two-particle correlations in binary and six-component mixtures
of hard spheres in the vicinity of a hard wall. The broken isotropy enables us to
carefully test a large variety of theoretically predicted two-particle features
by quantitatively comparing them to the results of Brownian dynamics simulations.
Specifically, we determine and compare the one-particle density, the total
correlation functions, their contact values, and the force distributions acting
on a particle. For this purpose, we follow the compressibility route and
theoretically calculate the direct correlation functions by taking functional
derivatives. We usually observe an excellent agreement between theory and
simulations, except for small deviations in cases where local crystal-like order
sets in. Our results set the course for further investigations on the consistency
of functionals as well as for structural analysis on, e.g., the primitive model.
In addition, we demonstrate that due to the suppression of local crystallization,
the predictions of six-component mixtures are better than those in bidisperse or
monodisperse systems. Finally, we are confident that our results of the
structural modulations induced by the wall lead to a deeper understanding of
ordering in anisotropic systems in general, the onset of heterogeneous
crystallization, caging effects, and glassy dynamics close to a wall, as well as
structural properties in systems with confinement.
PMID- 26565244
TI - Temperature dependence of the Soret coefficient of ionic colloids.
AB - The temperature dependence of the Soret coefficient S(T)(T) in electrostatically
charged magnetic colloids is investigated. Two different ferrofluids, with
different particles' mean dimensions, are studied. In both cases we obtain a
thermophilic behavior of the Soret effect. The temperature dependence of the
Soret coefficient is described assuming that the nanoparticles migrate along the
ionic thermoelectric field created by the thermal gradient. A model based on the
contributions from the thermoelectrophoresis and variation of the double-layer
energy, without fitting parameters, is used to describe the experimental results
of the colloid with the bigger particles. To do so, independent measurements of
the zeta potential, mass diffusion coefficient, and Seebeck coefficient are
performed. The agreement of the theory and the experimental results is rather
good. In the case of the ferrofluid with smaller particles, it is not possible to
get experimentally reliable values of the zeta potential and the model described
is used to evaluate this parameter and its temperature dependence.
PMID- 26565245
TI - Rotational properties of ferromagnetic nanoparticles driven by a precessing
magnetic field in a viscous fluid.
AB - We study the deterministic and stochastic rotational dynamics of ferromagnetic
nanoparticles in a precessing magnetic field. Our approach is based on the system
of effective Langevin equations and on the corresponding Fokker-Planck equation.
Two key characteristics of the rotational dynamics, namely the average angular
frequency of precession of nanoparticles and their average magnetization, are of
interest. Using the Langevin and Fokker-Planck equations, we calculate both
analytically and numerically these characteristics in the deterministic and
stochastic cases, determine their dependence on the model parameters, and analyze
in detail the role of thermal fluctuations.
PMID- 26565246
TI - Wall slip and fluidity in emulsion flow.
AB - The microscopic origin of apparent wall slip is studied systematically using a
confocal laser scanning microscope coupled to a rheometer. We obtain flow curves
on a model emulsion from classical macroscopic measurements that are compared
with flow curves obtained from microscopic measurements. By controlling the
wetting properties of the shearing walls, we show that the characteristic length
used in the so-called fluidity model, proposed by Goyon et al. [Nature (London)
454, 84 (2008)], can be understood in terms of roughness induced by adsorbed
droplets on the surface. Additionally, we disentangle two different effects that
contribute to the difference between micro- and macrorheology. Both effects
manifest themselves as gap-dependent viscosities due to either the formation of a
lubricating layer close to the shearing walls or cooperative effects when the
flow is strongly confined. Finally, we show that the cooperative effects can also
be translated into an effective slip velocity.
PMID- 26565247
TI - Linear and nonlinear magnetic properties of ferrofluids.
AB - Within a high-magnetic-field approximation, employing Ruelle's algebraic
perturbation theory, a field-dependent free-energy expression is proposed which
allows one to determine the magnetic properties of ferrofluids modeled as dipolar
hard-sphere systems. We compare the ensuing magnetization curves, following from
this free energy, with those obtained by Ivanov and Kuznetsova [Phys. Rev. E 64,
041405 (2001)] as well as with new corresponding Monte Carlo simulation data.
Based on the power-series expansion of the magnetization, a closed expression for
the magnetization is also proposed, which is a high-density extension of the
corresponding equation of Ivanov and Kuznetsova. From both magnetization
equations the zero-field susceptibility expression due to Tani et al. [Mol. Phys.
48, 863 (1983)] can be obtained, which is in good agreement with our MC
simulation results. From the closed expression for the magnetization the second
order nonlinear magnetic susceptibility is also derived, which shows fair
agreement with the corresponding MC simulation data.
PMID- 26565248
TI - Many-body critical Casimir interactions in colloidal suspensions.
AB - We study the fluctuation-induced Casimir interactions in colloidal suspensions,
especially between colloids immersed in a binary liquid close to its critical
demixing point. To simulate these systems, we present a highly efficient cluster
Monte Carlo algorithm based on geometric symmetries of the Hamiltonian. Utilizing
the principle of universality, the medium is represented by an Ising system while
the colloids are areas of spins with fixed orientation. Our results for the
Casimir interaction potential between two particles at the critical point in two
dimensions perfectly agree with the exact predictions. However, we find that in
finite systems the behavior strongly depends on whether the Z(2) symmetry of the
system is broken by the particles. We present Monte Carlo results for the three
body Casimir interaction potential and take a close look onto the case of one
particle in the vicinity of two adjacent particles, which can be calculated from
the two-particle interaction by a conformal mapping. These results emphasize the
failure of the common decomposition approach for many-particle critical Casimir
interactions.
PMID- 26565249
TI - Hypernetted-chain investigation of the random first-order transition of a Lennard
Jones liquid to an ideal glass.
AB - The structural and thermodynamic behavior of a deeply supercooled Lennard-Jones
liquid, and its random first-order transition (RFOT) to an ideal glass is
investigated, using a system of two weakly coupled replicas and the hypernetted
chain integral equation for the pair structure of this symmetric binary system. A
systematic search in the density-temperature plane points to the existence of two
glass branches below a density-dependent threshold temperature. The branch of
lower free energy exhibits a rapid growth of the structural overlap order
parameter upon cooling and may be identified with the ideal glass phase
conjectured by several authors for both spin and structural glasses. The RFOT,
signaled by a sharp discontinuity of the order parameter, is predicted to be
weakly first order from a thermodynamic viewpoint. The transition temperature
T(cr) increases rapidly with density and approximately obeys a scaling relation
valid for a reference system of particles interacting via a purely repulsive
1/r(18) potential.
PMID- 26565250
TI - Generalization of Clausius-Mossotti approximation in application to short-time
transport properties of suspensions.
AB - In 1983, Felderhof, Ford, and Cohen gave microscopic explanation of the famous
Clausius-Mossotti formula for the dielectric constant of nonpolar dielectric.
They based their considerations on the cluster expansion of the dielectric
constant, which relates this macroscopic property with the microscopic
characteristics of the system. In this article, we analyze the cluster expansion
of Felderhof, Ford, and Cohen by performing its resummation (renormalization).
Our analysis leads to the ring expansion for the macroscopic characteristic of
the system, which is an expression alternative to the cluster expansion. Using
similarity of structures of the cluster expansion and the ring expansion, we
generalize (renormalize) the Clausius-Mossotti approximation. We apply our
renormalized Clausius-Mossotti approximation to the case of the short-time
transport properties of suspensions, calculating the effective viscosity and the
hydrodynamic function with the translational self-diffusion and the collective
diffusion coefficient. We perform calculations for monodisperse hard-sphere
suspensions in equilibrium with volume fraction up to 45%. To assess the
renormalized Clausius-Mossotti approximation, it is compared with numerical
simulations and the Beenakker-Mazur method. The results of our renormalized
Clausius-Mossotti approximation lead to comparable or much less error (with
respect to the numerical simulations) than the Beenakker-Mazur method for the
volume fractions below phi~30% (apart from a small range of wave vectors in
hydrodynamic function). For volume fractions above phi~30%, the Beenakker-Mazur
method gives in most cases lower error than the renormalized Clausius-Mossotti
approximation.
PMID- 26565251
TI - Oscillatory cellular patterns in three-dimensional directional solidification.
AB - We present a phase-field study of oscillatory breathing modes observed during the
solidification of three-dimensional cellular arrays in microgravity. Directional
solidification experiments conducted onboard the International Space Station have
allowed us to observe spatially extended homogeneous arrays of cells and
dendrites while minimizing the amount of gravity-induced convection in the
liquid. In situ observations of transparent alloys have revealed the existence,
over a narrow range of control parameters, of oscillations in cellular arrays
with a period ranging from about 25 to 125 min. Cellular patterns are spatially
disordered, and the oscillations of individual cells are spatiotemporally
uncorrelated at long distance. However, in regions displaying short-range spatial
ordering, groups of cells can synchronize into oscillatory breathing modes.
Quantitative phase-field simulations show that the oscillatory behavior of cells
in this regime is linked to a stability limit of the spacing in hexagonal
cellular array structures. For relatively high cellular front undercooling (i.e.,
low growth velocity or high thermal gradient), a gap appears in the otherwise
continuous range of stable array spacings. Close to this gap, a sustained
oscillatory regime appears with a period that compares quantitatively well with
experiment. For control parameters where this gap exists, oscillations typically
occur for spacings at the edge of the gap. However, after a change of growth
conditions, oscillations can also occur for nearby values of control parameters
where this gap just closes and a continuous range of spacings exists. In
addition, sustained oscillations at to the opening of this stable gap exhibit a
slow periodic modulation of the phase-shift among cells with a slower period of
several hours. While long-range coherence of breathing modes can be achieved in
simulations for a perfect spatial arrangement of cells as initial condition,
global disorder is observed in both three-dimensional experiments and simulations
from realistic noisy initial conditions. In the latter case, erratic tip
splitting events promoted by large-amplitude oscillations contribute to
maintaining the long-range array disorder, unlike in thin-sample experiments
where long-range coherence of oscillations is experimentally observable.
PMID- 26565252
TI - Doughnut-shaped soap bubbles.
AB - Soap bubbles are thin liquid films enclosing a fixed volume of air. Since the
surface tension is typically assumed to be the only factor responsible for
conforming the soap bubble shape, the realized bubble surfaces are always minimal
area ones. Here, we consider the problem of finding the axisymmetric minimal area
surface enclosing a fixed volume V and with a fixed equatorial perimeter L. It is
well known that the sphere is the solution for V=L(3)/6pi(2), and this is indeed
the case of a free soap bubble, for instance. Surprisingly, we show that for
Vinfinity),
St(infinity)=1/A?0.21 is constant independent of rod shapes, leaving B the only
parameter that is shape dependent.
PMID- 26565337
TI - Time-stepping approach for solving upper-bound problems: Application to two
dimensional Rayleigh-Benard convection.
AB - An alternative computational procedure for numerically solving a class of
variational problems arising from rigorous upper-bound analysis of forced
dissipative infinite-dimensional nonlinear dynamical systems, including the
Navier-Stokes and Oberbeck-Boussinesq equations, is analyzed and applied to
Rayleigh-Benard convection. A proof that the only steady state to which this
numerical algorithm can converge is the required global optimal of the relevant
variational problem is given for three canonical flow configurations. In contrast
with most other numerical schemes for computing the optimal bounds on transported
quantities (e.g., heat or momentum) within the "background field" variational
framework, which employ variants of Newton's method and hence require very
accurate initial iterates, the new computational method is easy to implement and,
crucially, does not require numerical continuation. The algorithm is used to
determine the optimal background-method bound on the heat transport enhancement
factor, i.e., the Nusselt number (Nu), as a function of the Rayleigh number (Ra),
Prandtl number (Pr), and domain aspect ratio L in two-dimensional Rayleigh-Benard
convection between stress-free isothermal boundaries (Rayleigh's original 1916
model of convection). The result of the computation is significant because
analyses, laboratory experiments, and numerical simulations have suggested a
range of exponents alpha and beta in the presumed Nu~Pr(alpha)Ra(beta) scaling
relation. The computations clearly show that for Ra<=10(10) at fixed
L=2?[2],Nu<=0.106Pr(0)Ra(5/12), which indicates that molecular transport cannot
generally be neglected in the "ultimate" high-Ra regime.
PMID- 26565338
TI - Exact relations between the moments of dissipation and longitudinal velocity
derivatives in turbulent flows.
AB - Following an approach by Siggia, we present coefficients C(n) relating the
moments of the dissipation of kinetic energy and the longitudinal velocity
gradient under the assumption of isotropy and continuity.
Particularly, we find that the moment of order n is completely determined
by <(?u(1)/?x(1))(2n)> and an order- (and viscosity-) dependent coefficient for
all n under the assumption of (local) isotropy. This implies that all theories
which specify also implicitly determine <(?u(1)/?x(1))(2n)> and vice
versa. As a corollary to the direct connection between the moments of the
dissipation and the longitudinal velocity gradient, the even standardized moments
of order 2n of ?u(1)/?x(1) (flatness, hyperflatness, and so on) are directly
related to the ratio of the moments /(n). We compare the theoretical
values of the coefficients C(n) up to n=6 with homogeneous isotropic DNS data
ranging from Re(lambda)=88 to Re(lambda)=529.
PMID- 26565339
TI - Simple framework for understanding the universality of the maximum drag reduction
asymptote in turbulent flow of polymer solutions.
AB - Self-consistent direct numerical simulations of turbulent channel flows of dilute
polymer solutions exhibiting friction drag reduction (DR) show that an effective
Deborah number defined as the ratio of polymer relaxation time to the time scale
of fluctuations in the vorticity in the mean flow direction remains O(1) from the
onset of DR to the maximum drag reduction (MDR) asymptote. However, the ratio of
the convective time scale associated with streamwise vorticity fluctuations to
the vortex rotation time decreases with increasing DR, and the maximum drag
reduction asymptote is achieved when these two time scales become nearly equal.
Based on these observations, a simple framework is proposed that adequately
describes the influence of polymer additives on the extent of DR from the onset
of DR to MDR as well as the universality of the MDR in wall-bounded turbulent
flows with polymer additives.
PMID- 26565340
TI - Fabry-Perot resonance of water waves.
AB - We show that significant water wave amplification is obtained in a water
resonator consisting of two spatially separated patches of small-amplitude
sinusoidal corrugations on an otherwise flat seabed. The corrugations reflect the
incident waves according to the so-called Bragg reflection mechanism, and the
distance between the two sets controls whether the trapped reflected waves
experience constructive or destructive interference within the resonator. The
resulting amplification or suppression is enhanced with increasing number of
ripples and is most effective for specific resonator lengths and at the Bragg
frequency, which is determined by the corrugation period. Our analysis draws on
the analogous mechanism that occurs between two partially reflecting mirrors in
optics, a phenomenon named after its discoverers Charles Fabry and Alfred Perot.
PMID- 26565341
TI - Obtaining self-similar scalings in focusing flows.
AB - The surface structure of converging thin fluid films displays self-similar
behavior, as was shown in the work by Diez et al. [Q. Appl. Math. 210, 155
(1990)]. Extracting the related similarity scaling exponents from either
numerical or experimental data is nontrivial. Here we provide two such methods.
We apply them to experimental and numerical data on converging fluid films driven
by both surface tension and gravitational forcing. In the limit of pure
gravitational driving, we recover Diez' semianalytic result, but our methods also
allow us to explore the entire regime of mixed capillary and gravitational
driving, up to entirely surface-tension-driven flows. We find scaling forms of
smoothly varying exponents up to surprisingly small Bond numbers. Our
experimental results are in reasonable agreement with our numerical simulations,
which confirm theoretically obtained relations between the scaling exponents.
PMID- 26565342
TI - Thermohydrodynamics of boiling in binary compressible fluids.
AB - We numerically study the thermohydrodynamics of boiling for a CO(2) + ethanol
mixture on lyophilic and lyophobic surfaces in both closed and open systems,
based on a diffuse interface model for a two-component system. The corresponding
wetting boundary conditions for an isothermal system are proposed and verified in
this paper. New phenomena due to the addition of another component, mainly the
preferential evaporation of the more volatile component, are observed. In the
open system and the closed system, the physical process shows very different
characteristics. In the open system, except for the movement of the contact line,
the qualitative features are rather similar for lyophobic and lyophilic surfaces.
In the closed system, the vortices that are observed on a lyophobic surface are
not seen on a lyophilic surface. More sophisticated wetting boundary conditions
for nonisothermal, two-component systems might need to be further developed,
taking into account the variations of density, temperature, and surface tension
near the wall, while numerical results show that the boundary conditions proposed
here also work well even in boiling, where the temperature is nonuniform.
PMID- 26565343
TI - Passive advection of a vector field: Anisotropy, finite correlation time, exact
solution, and logarithmic corrections to ordinary scaling.
AB - In this work we study the generalization of the problem considered in [Phys. Rev.
E 91, 013002 (2015)] to the case of finite correlation time of the environment
(velocity) field. The model describes a vector (e.g., magnetic) field, passively
advected by a strongly anisotropic turbulent flow. Inertial-range asymptotic
behavior is studied by means of the field theoretic renormalization group and the
operator product expansion. The advecting velocity field is Gaussian, with finite
correlation time and preassigned pair correlation function. Due to the presence
of distinguished direction n, all the multiloop diagrams in this model vanish, so
that the results obtained are exact. The inertial-range behavior of the model is
described by two regimes (the limits of vanishing or infinite correlation time)
that correspond to the two nontrivial fixed points of the RG equations. Their
stability depends on the relation between the exponents in the energy spectrum
E?k(?)(1-xi) and the dispersion law omega?k(?)(2-eta). In contrast to the well
known isotropic Kraichnan's model, where various correlation functions exhibit
anomalous scaling behavior with infinite sets of anomalous exponents, here the
corrections to ordinary scaling are polynomials of logarithms of the integral
turbulence scale L.
PMID- 26565344
TI - Kinetic undercooling in Hele-Shaw flows.
AB - A central topic in Hele-Shaw flow research is the inclusion of physical effects
on the interface between fluids. In this context, the addition of surface tension
restrains the emergence of high interfacial curvatures, while consideration of
kinetic undercooling effects inhibits the occurrence of high interfacial
velocities. By connecting kinetic undercooling to the action of the dynamic
contact angle, we show in a quantitative manner that the kinetic undercooling
contribution varies as a linear function of the normal velocity at the interface.
A perturbative weakly nonlinear analysis is employed to extract valuable
information about the influence of kinetic undercooling on the shape of the
emerging fingered structures. Under radial Hele-Shaw flow, it is found that
kinetic undercooling delays, but does not suppress, the development of finger tip
broadening and finger tip-splitting phenomena. In addition, our results indicate
that kinetic undercooling plays a key role in determining the appearance of tip
splitting in rectangular Hele-Shaw geometry.
PMID- 26565345
TI - Quasiperiodic routes to chaos in confined two-dimensional differential
convection.
AB - The complete cascade of bifurcations from steady to chaotic convection, as the
Rayleigh number is varied, is considered numerically inside an air-filled
differentially heated cavity. The system is assumed to be two-dimensional and is
invariant under a generalized reflection about the center of the cavity. In the
neighborhood of several codimension-two points, two main routes emerge,
characterized by different symmetries of the first oscillatory eigenstate. Along
these two competing routes, different sequences of bifurcations and symmetry
breakings lead from the steady base flow to the hyperchaotic regime. Several
families of two- and three-frequency tori have been identified via the
computation of the leading Lyapunov exponents. Modal structures extracted from
time series reveal the occurrence of slow internal oscillations in the center of
the cavity and faster wall modes confined to vertical boundary layers. Further
quasiperiodicity windows have been detected on each route. The different regimes
eventually disappear in a boundary crisis in favor of a single, globally
symmetric, hyperchaotic regime.
PMID- 26565346
TI - Inverse energy cascade in nonlocal helical shell models of turbulence.
AB - Following the exact decomposition in eigenstates of helicity for the Navier
Stokes equations in Fourier space [F. Waleffe, Phys. Fluids A 4, 350 (1992)], we
introduce a modified version of helical shell models for turbulence with nonlocal
triadic interactions. By using both an analytical argument and numerical
simulation, we show that there exists a class of models, with a specific helical
structure, that exhibits a statistically stable inverse energy cascade, in close
analogy with that predicted for the Navier-Stokes equations restricted to the
same helical interactions. We further support the idea that turbulent energy
transfer is the result of a strong entanglement among triads possessing different
transfer properties.
PMID- 26565347
TI - Relative velocity distribution of inertial particles in turbulence: A numerical
study.
AB - The distribution of relative velocities between particles provides invaluable
information on the rates and characteristics of particle collisions. We show that
the theoretical model of Gustavsson and Mehlig [K. Gustavsson and B. Mehlig, J.
Turbul. 15, 34 (2014)], within its anticipated limits of validity, can predict
the joint probability density function of relative velocities and separations of
identical inertial particles in isotropic turbulent flows with remarkable
accuracy. We also quantify the validity range of the model. The model matches two
limits (or two types) of relative motion between particles: one where pair
diffusion dominates (i.e., large coherence between particle motion) and one where
caustics dominate (i.e., large velocity differences between particles at small
separations). By using direct numerical simulation combined with Lagrangian
particle tracking, we assess the model prediction in homogeneous and isotropic
turbulence. We demonstrate that, when sufficient caustics are present at a given
separation and the particle response time is significantly smaller than the
integral time scales of the flow, the distribution exhibits the same universal
power-law form dictated by the correlation dimension as predicted by the model of
Gustavsson and Mehlig. In agreement with the model, no strong dependency on the
Taylor-based Reynolds number is observed.
PMID- 26565348
TI - Direct relations between morphology and transport in Boolean models.
AB - We study the relation of permeability and morphology for porous structures
composed of randomly placed overlapping circular or elliptical grains, so-called
Boolean models. Microfluidic experiments and lattice Boltzmann simulations allow
us to evaluate a power-law relation between the Euler characteristic of the
conducting phase and its permeability. Moreover, this relation is so far only
directly applicable to structures composed of overlapping grains where the grain
density is known a priori. We develop a generalization to arbitrary structures
modeled by Boolean models and characterized by Minkowski functionals. This
generalization works well for the permeability of the void phase in systems with
overlapping grains, but systematic deviations are found if the grain phase is
transporting the fluid. In the latter case our analysis reveals a significant
dependence on the spatial discretization of the porous structure, in particular
the occurrence of single isolated pixels. To link the results to percolation
theory we performed Monte Carlo simulations of the Euler characteristic of the
open cluster, which reveals different regimes of applicability for our
permeability-morphology relations close to and far away from the percolation
threshold.
PMID- 26565349
TI - Drag of buoyant vortex rings.
AB - Extending from the model proposed by Vasel-Be-Hagh et al. [J. Fluid Mech. 769,
522 (2015)], a perturbation analysis is performed to modify Turner's radius by
taking into account the viscous effect. The modified radius includes two terms;
the zeroth-order solution representing the effect of buoyancy, and the first
order perturbation correction describing the influence of viscosity. The zeroth
order solution is explicit Turner's radius; the first-order perturbation
modification, however, includes the drag coefficient, which is unknown and of
interest. Fitting the photographically measured radius into the modified equation
yields the time history of the drag coefficient of the corresponding buoyant
vortex ring. To give further clarification, the proposed model is applied to
calculate the drag coefficient of a buoyant vortex ring at a Bond number of
approximately 85; a similar procedure can be applied at other Bond numbers.
PMID- 26565350
TI - Bistable intrinsic charge fluctuations of a dust grain subject to secondary
electron emission in a plasma.
AB - A master equation was formulated to study intrinsic charge fluctuations of a
grain in a plasma as ions and primary electrons are attached to the grain through
collisional collection, and secondary electrons are emitted from the grain. Two
different plasmas with Maxwellian and non-Maxwellian distributions were
considered. The fluctuations could be bistable in either plasma when the
secondary electron emission is present, as two stable macrostates, associated
with two stable roots of the charge net current, may exist. Metastablity of
fluctuations, manifested by the passage of the grain charge between two
macrostates, was shown to be possible.
PMID- 26565351
TI - Shock ion acceleration by an ultrashort circularly polarized laser pulse via
relativistic transparency in an exploded target.
AB - We investigated ion acceleration by an electrostatic shock in an exploded target
irradiated by an ultrashort, circularly polarized laser pulse by means of one-
and three-dimensional particle-in-cell simulations. We discovered that the laser
field penetrating via relativistic transparency (RT) rapidly heated the upstream
electron plasma to enable the formation of a high-speed electrostatic shock.
Owing to the RT-based rapid heating and the fast compression of the initial
density spike by a circularly polarized pulse, a new regime of the shock ion
acceleration driven by an ultrashort (20-40 fs), moderately intense (1-1.4 PW)
laser pulse is envisaged. This regime enables more efficient shock ion
acceleration under a limited total pulse energy than a linearly polarized pulse
with crystal laser systems of lambda~1MUm.
PMID- 26565352
TI - Microscopic nonlinear relativistic quantum theory of absorption of powerful x-ray
radiation in plasma.
AB - The microscopic quantum theory of plasma nonlinear interaction with the coherent
shortwave electromagnetic radiation of arbitrary intensity is developed. The
Liouville-von Neumann equation for the density matrix is solved analytically
considering a wave field exactly and a scattering potential of plasma ions as a
perturbation. With the help of this solution we calculate the nonlinear inverse
bremsstrahlung absorption rate for a grand canonical ensemble of electrons. The
latter is studied in Maxwellian, as well as in degenerate quantum plasma for x
ray lasers at superhigh intensities and it is shown that one can achieve the
efficient absorption coefficient in these cases.
PMID- 26565353
TI - First-principles equation of state of polystyrene and its effect on inertial
confinement fusion implosions.
AB - Obtaining an accurate equation of state (EOS) of polystyrene (CH) is crucial to
reliably design inertial confinement fusion (ICF) capsules using CH/CH-based
ablators. With first-principles calculations, we have investigated the extended
EOS of CH over a wide range of plasma conditions (rho=0.1to100g/cm(3) and T=1000
to 4,000,000 K). When compared with the widely used SESAME-EOS table, the first
principles equation of state (FPEOS) of CH has shown significant differences in
the low-temperature regime, in which strong coupling and electron degeneracy play
an essential role in determining plasma properties. Hydrodynamic simulations of
cryogenic target implosions on OMEGA using the FPEOS table of CH have predicted
~30% decrease in neutron yield in comparison with the usual SESAME simulations.
This is attributed to the ~5% reduction in implosion velocity that is caused by
the ~10% lower mass ablation rate of CH predicted by FPEOS. Simulations using CH
FPEOS show better agreement with measurements of Hugoniot temperature and
scattered light from ICF implosions.
PMID- 26565354
TI - Impact of quantum effects on relativistic electron motion in a chaotic regime.
AB - The impact of quantum effects on electron dynamics in a plane linearly polarized
standing wave with relativistic amplitudes is considered. Using spectral analysis
of Lyapunov characteristic exponents with and without radiation losses we show
that the contraction effect of phase space due to the radiation reaction force in
the classical form does not occur in the quantum case when the discreteness of
photon emission is taken into account. It is also demonstrated that electron
bunch kinetics has a diffusion solution rather than the d'Alambert type solution
as in the classical description. For this case, we applied the Markov chain
formalism and showed that this method gives exact characteristics of electron
bunch evolution, such as motion of the center of mass and electron bunch
dimensions.
PMID- 26565355
TI - Stochastic heating of a single Brownian particle by charge fluctuations in a
radio-frequency produced plasma sheath.
AB - The Brownian motion of a single particle in the plasma sheath is studied to
separate the effect of stochastic heating by charge fluctuations from heating by
collective effects. By measuring the particle velocities in the ballistic regime
and by carefully determining the particle mass from the Epstein drag it is shown
that for a pressure of 10 Pa, which is typical of many experiments, the proper
kinetic temperature of the Brownian particle remains close to the gas temperature
and rises only slightly with particle size. This weak effect is confirmed by a
detailed model for charging and charge fluctuations in the sheath. A substantial
temperature rise is found for decreasing pressure, which approximately shows the
expected scaling with p(-2). The system under study is an example for non
equilibrium Brownian motion under the influence of white noise without
corresponding dissipation.
PMID- 26565356
TI - Dynamic model of target charging by short laser pulse interactions.
AB - A model providing an accurate estimate of the charge accumulation on the surface
of a metallic target irradiated by a high-intensity laser pulse of fs-ps duration
is proposed. The model is confirmed by detailed comparisons with specially
designed experiments. Such a model is useful for understanding the
electromagnetic pulse emission and the quasistatic magnetic field generation in
laser-plasma interaction experiments.
PMID- 26565357
TI - Transport properties of hydrogen-helium mixtures at extreme density and
temperature conditions.
AB - We perform a systematic study of hydrogen-helium mixtures using quantum molecular
dynamics (QMD) with a focus on the equations of state and structural and
transport properties such as electrical conductivity, diffusion, and viscosity at
conditions of giant planet interiors of 0.2~2.3 g/cm(3) and 1000~80,000 K for a
typical helium mass fraction of 0.245. The H-He separation is found at low
temperatures by analyzing the trajectories and pair distribution functions. We
show that the diffusion coefficients exhibit transitions from kinetics- to
potential-, and then to demixing-dominated regimes. In addition, we identify the
discontinuity feature of optical absorption of a H-He mixture at low density and
temperature conditions, which results from the change from an intraband to an
interband transition. The Stokes-Einstein relation between the diffusion and
viscosity coefficients is also discussed.
PMID- 26565358
TI - Benchmark values for molecular three-center integrals arising in the Dirac
equation.
AB - Previous papers by the authors report that they obtained compact, arbitrarily
accurate expressions for two-center, one- and two-electron relativistic molecular
integrals expressed over Slater-type orbitals. In the present study, accuracy
limits of expressions given are examined for three-center nuclear attraction
integrals, which are one-electron, three-center integrals with no analytically
closed-form expression. In this work new molecular auxiliary functions are used.
They are obtained via Neumann expansion of the Coulomb interaction. The numerical
global adaptive method is used to evaluate these integrals for arbitrary values
of orbital parameters and quantum numbers. Several methods, such as Laplace
expansion of Coulomb interaction, single-center expansion, and the Fourier
transformation method, have previously been used to evaluate these integrals
considering the values of principal quantum numbers in the set of positive
integer numbers. This study of three-center integrals places no restrictions on
quantum numbers in all ranges of orbital parameters.
PMID- 26565359
TI - Nonlinear Kalman filter based on duality relations between continuous and
discrete-state stochastic processes.
AB - An alternative application of duality relations of stochastic processes is
demonstrated. Although conventional usages of the duality relations need
analytical solutions for the dual processes, here I employ numerical solutions of
the dual processes and investigate the usefulness. As a demonstration, estimation
problems of hidden variables in stochastic differential equations are discussed.
Employing algebraic probability theory, a little complicated birth-death process
is derived from the stochastic differential equations, and an estimation method
based on the ensemble Kalman filter is proposed. As a result, the possibility for
making faster computational algorithms based on the duality concepts is shown.
PMID- 26565360
TI - Very fast averaging of thermal properties of crystals by molecular simulation.
AB - Knowledge of approximate harmonic behavior of crystals is introduced into a new
"mapped averaging" framework to yield alternative expressions for the
thermodynamic properties of crystalline systems. The expressions separate the
known harmonic behavior from residual averages, which thus encapsulate anharmonic
contributions to the properties. With harmonic contributions removed, direct
measurement of these anharmonic contributions by molecular simulation can be
accomplished without contamination by noise produced by the already-known
harmonic behavior. We show with application to the Lennard-Jones model that first
derivative properties (pressure, energy) can be obtained to a given precision via
this harmonically mapped averaging at least 10 times faster than by using
conventional averaging, and second-derivative properties (e.g., heat capacity)
are obtained at least 100 times faster; in more favorable cases, the speedup
exceeds a millionfold. Free-energy calculations are accelerated by 50 to 1000
times. Data obtained using these formulations are rigorous and not subject to any
added approximation, and in fact are less sensitive to inaccuracies relating to
finite-size effects, potential truncation, equilibration, and similar
considerations. Moreover, the approach does not require any alteration in how
sampling is performed during the simulation, so it may be used with standard
Monte Carlo or molecular dynamics methods. However, the mapped averages do
require evaluation of first and second derivatives of the intermolecular
potential, for evaluation of first and second thermodynamic-derivative
properties, respectively. Apart from its usefulness to simulation, the formalism
developed here may constitute a basis for new theoretical treatments of crystals.
PMID- 26565361
TI - Monte Carlo simulation of a noisy quantum channel with memory.
AB - The classical capacity of quantum channels is well understood for channels with
uncorrelated noise. For the case of correlated noise, however, there are still
open questions. We calculate the classical capacity of a forgetful channel
constructed by Markov switching between two depolarizing channels. Techniques
have previously been applied to approximate the output entropy of this channel
and thus its capacity. In this paper, we use a Metropolis-Hastings Monte Carlo
approach to numerically calculate the entropy. The algorithm is implemented in
parallel and its performance is studied and optimized. The effects of memory on
the capacity are explored and previous results are confirmed to higher precision.
PMID- 26565362
TI - Multiple-relaxation-time lattice Boltzmann model for incompressible miscible flow
with large viscosity ratio and high Peclet number.
AB - A lattice Boltzmann model with a multiple-relaxation-time (MRT) collision
operator is proposed for incompressible miscible flow with a large viscosity
ratio as well as a high Peclet number in this paper. The equilibria in the
present model are motivated by the lattice kinetic scheme previously developed by
Inamuro et al. [Philos. Trans. R. Soc. London, Ser. A 360, 477 (2002)]. The fluid
viscosity and diffusion coefficient depend on both the corresponding relaxation
times and additional adjustable parameters in this model. As a result, the
corresponding relaxation times can be adjusted in proper ranges to enhance the
performance of the model. Numerical validations of the Poiseuille flow and a
diffusion-reaction problem demonstrate that the proposed model has second-order
accuracy in space. Thereafter, the model is used to simulate flow through a
porous medium, and the results show that the proposed model has the advantage to
obtain a viscosity-independent permeability, which makes it a robust method for
simulating flow in porous media. Finally, a set of simulations are conducted on
the viscous miscible displacement between two parallel plates. The results reveal
that the present model can be used to simulate, to a high level of accuracy,
flows with large viscosity ratios and/or high Peclet numbers. Moreover, the
present model is shown to provide superior stability in the limit of high
kinematic viscosity. In summary, the numerical results indicate that the present
lattice Boltzmann model is an ideal numerical tool for simulating flow with a
large viscosity ratio and/or a high Peclet number.
PMID- 26565363
TI - Relative frequencies of constrained events in stochastic processes: An analytical
approach.
AB - The stochastic simulation algorithm (SSA) and the corresponding Monte Carlo (MC)
method are among the most common approaches for studying stochastic processes.
They relies on knowledge of interevent probability density functions (PDFs) and
on information about dependencies between all possible events. Analytical
representations of a PDF are difficult to specify in advance, in many real life
applications. Knowing the shapes of PDFs, and using experimental data, different
optimization schemes can be applied in order to evaluate probability density
functions and, therefore, the properties of the studied system. Such methods,
however, are computationally demanding, and often not feasible. We show that, in
the case where experimentally accessed properties are directly related to the
frequencies of events involved, it may be possible to replace the heavy Monte
Carlo core of optimization schemes with an analytical solution. Such a
replacement not only provides a more accurate estimation of the properties of the
process, but also reduces the simulation time by a factor of order of the sample
size (at least ~10(4)). The proposed analytical approach is valid for any choice
of PDF. The accuracy, computational efficiency, and advantages of the method over
MC procedures are demonstrated in the exactly solvable case and in the evaluation
of branching fractions in controlled radical polymerization (CRP) of acrylic
monomers. This polymerization can be modeled by a constrained stochastic process.
Constrained systems are quite common, and this makes the method useful for
various applications.
PMID- 26565364
TI - High-precision evaluation of Wigner's d matrix by exact diagonalization.
AB - The precise calculations of Wigner's d matrix are important in various research
fields. Due to the presence of large numbers, direct calculations of the matrix
using Wigner's formula suffer from a loss of precision. We present a simple
method to avoid this problem by expanding the d matrix into a complex Fourier
series and calculate the Fourier coefficients by exactly diagonalizing the
angular momentum operator J(y) in the eigenbasis of J(z). This method allows us
to compute the d matrix and its various derivatives for spins up to a few
thousand. The precision of the d matrix from our method is about 10(-14) for
spins up to 100.
PMID- 26565365
TI - Lattice Boltzmann approach for complex nonequilibrium flows.
AB - We present a lattice Boltzmann realization of Grad's extended hydrodynamic
approach to nonequilibrium flows. This is achieved by using higher-order
isotropic lattices coupled with a higher-order regularization procedure. The
method is assessed for flow across parallel plates and three-dimensional flows in
porous media, showing excellent agreement of the mass flow with analytical and
numerical solutions of the Boltzmann equation across the full range of Knudsen
numbers, from the hydrodynamic regime to ballistic motion.
PMID- 26565366
TI - Entropic multirelaxation lattice Boltzmann models for turbulent flows.
AB - We present three-dimensional realizations of a class of lattice Boltzmann models
introduced recently by the authors [I. V. Karlin, F. Bosch, and S. S.
Chikatamarla, Phys. Rev. E 90, 031302(R) (2014)] and review the role of the
entropic stabilizer. Both coarse- and fine-grid simulations are addressed for the
Kida vortex flow benchmark. We show that the outstanding numerical stability and
performance is independent of a particular choice of the moment representation
for high-Reynolds-number flows. We report accurate results for low-order moments
for homogeneous isotropic decaying turbulence and second-order grid convergence
for most assessed statistical quantities. It is demonstrated that all the three
dimensional lattice Boltzmann realizations considered herein converge to the
familiar lattice Bhatnagar-Gross-Krook model when the resolution is increased.
Moreover, thanks to the dynamic nature of the entropic stabilizer, the present
model features less compressibility effects and maintains correct energy and
enstrophy dissipation. The explicit and efficient nature of the present lattice
Boltzmann method renders it a promising candidate for both engineering and
scientific purposes for highly turbulent flows.
PMID- 26565367
TI - Simulations in generalized ensembles through noninstantaneous switches.
AB - Generalized-ensemble simulations, such as replica exchange and serial generalized
ensemble methods, are powerful simulation tools to enhance sampling of free
energy landscapes in systems with high energy barriers. In these methods,
sampling is enhanced through instantaneous transitions of replicas, i.e., copies
of the system, between different ensembles characterized by some control
parameter associated with thermodynamical variables (e.g., temperature or
pressure) or collective mechanical variables (e.g., interatomic distances or
torsional angles). An interesting evolution of these methodologies has been
proposed by replacing the conventional instantaneous (trial) switches of replicas
with noninstantaneous switches, realized by varying the control parameter in a
finite time and accepting the final replica configuration with a Metropolis-like
criterion based on the Crooks nonequilibrium work (CNW) theorem. Here we revise
these techniques focusing on their correlation with the CNW theorem in the
framework of Markovian processes. An outcome of this report is the derivation of
the acceptance probability for noninstantaneous switches in serial generalized
ensemble simulations, where we show that explicit knowledge of the time
dependence of the weight factors entering such simulations is not necessary. A
generalized relationship of the CNW theorem is also provided in terms of the
underlying equilibrium probability distribution at a fixed control parameter.
Illustrative calculations on a toy model are performed with serial generalized
ensemble simulations, especially focusing on the different behavior of
instantaneous and noninstantaneous replica transition schemes.
PMID- 26565368
TI - Regularized lattice Boltzmann model for a class of convection-diffusion
equations.
AB - In this paper, a regularized lattice Boltzmann model for a class of nonlinear
convection-diffusion equations with variable coefficients is proposed. The main
idea of the present model is to introduce a set of precollision distribution
functions that are defined only in terms of macroscopic moments. The Chapman
Enskog analysis shows that the nonlinear convection-diffusion equations can be
recovered correctly. Numerical tests, including Fokker-Planck equations, Buckley
Leverett equation with discontinuous initial function, nonlinear convection
diffusion equation with anisotropic diffusion, are carried out to validate the
present model, and the results show that the present model is more accurate than
some available lattice Boltzmann models. It is also demonstrated that the present
model is more stable than the traditional single-relaxation-time model for the
nonlinear convection-diffusion equations.
PMID- 26565369
TI - Comment on "Direct linear term in the equation of state of plasmas".
AB - In a recent paper [Phys. Rev. E 91, 013108 (2015)], Kraeft et al. criticize known
exact results on the equation of state of quantum plasmas, which have been
obtained independently by several authors. They argue about a difference in the
definition of the direct two-body function Q(x), which appears in virial
expansions of thermodynamical quantities, but Q(x) is not a measurable quantity
in itself. Differences in definitions of intermediate quantities are irrelevant,
and only differences in physical quantities are meaningful. Beyond Kraeft et
al.'s broad statement that there is no agreement at order rho(5/2) in the virial
equation for the pressure, we show that their published results for this quantity
are in fact in perfect agreement with previous existing expressions.
PMID- 26565370
TI - Reply to "Comment on 'Direct linear term in the equation of state of plasmas' ".
AB - The long-standing discrepancy in the equation of state of charge neutral plasmas,
the occurrence of an e(2) direct term in the second virial coefficient, is dealt
with. We state that such a contribution should not appear for a pure Coulomb
interaction.
PMID- 26565371
TI - Publisher's Note: Swim pressure on walls with curves and corners [Phys. Rev. E
92, 032304 (2015)].
AB - This corrects the article DOI: 10.1103/PhysRevE.92.032304.
PMID- 26565372
TI - Publisher's Note: Generating functionals and Gaussian approximations for
interruptible delay reactions [Phys. Rev. E 92, 042105 (2015)].
AB - This corrects the article DOI: 10.1103/PhysRevE.92.042105.
PMID- 26565373
TI - Erratum: Driving-induced bistability in coupled chaotic attractors [Phys. Rev. E
87, 042909 (2013)].
AB - This corrects the article DOI: 10.1103/PhysRevE.87.042909.
PMID- 26565374
TI - Publisher's Note: Counter-extrapolation method for conjugate interfaces in
computational heat and mass transfer [Phys. Rev. E 91, 033306 (2015)].
AB - This corrects the article DOI: 10.1103/PhysRevE.91.033306.
PMID- 26565376
TI - Perceived fatigability and metabolic and energetic responses to 6-minute walk
test in older women.
AB - OBJECTIVE: To determine the relationship between perceived fatigability and
oxygen consumption, carbon dioxide production, respiratory exchange ratio and the
energy cost of walking in older women. DESIGN: Observational, cross-sectional
study. PARTICIPANTS: Forty-eight community-dwelling older women were recruited
from senior centres in Natal, Rio Grande do Norte, Brazil. MAIN OUTCOME MEASURES:
Participants were evaluated in two different sessions. In the first session,
sociodemographic and clinical factors were assessed and accelerometers were
delivered. In the second session, the 6-minute walking test (6MWT) was performed
and expired gases were analysed. Self-reported levels of fatigue were evaluated
on a numerical scale from 1 ('extremely energetic') to 7 ('extremely tired').
Severity scores for perceived and performance fatigability were calculated as the
ratio of change in performance and fatigue, respectively, with walking distance.
The O2 cost of walking was based on the oxygen consumption rate and the 6MWT
walking speed. RESULTS: No correlation was found between the severity of
perceived fatigability and metabolic measures. However, the severity of perceived
fatigability was significantly correlated with greater O2 cost (r=0.579, P<0.01),
physical activity (r=-0.654, P<0.01), walking distance (r=-0.712, P<0.01) and
severity of performance fatigability (r=0.690, P<0.01). CONCLUSION: Perceived
fatigability was not directly associated with metabolic measures; however,
greater severity of perceived fatigability was associated with an increase in the
O2 cost of walking. Important relationships were also found between greater
severity of perceived fatigability and poor functional fitness, high level of
energy expenditure and lower level of physical activity.
PMID- 26565375
TI - Natural and synthetic flavonoid modulation of TRPC5 channels.
AB - BACKGROUND AND PURPOSE: The TRPC5 proteins assemble to create calcium-permeable,
non-selective, cationic channels. We sought novel modulators of these channels
through studies of natural products. EXPERIMENTAL APPROACH: Intracellular calcium
measurements and patch clamp recordings were made from cell lines. Compounds were
generated by synthetic chemistry. KEY RESULTS: Through a screen of natural
products used in traditional Chinese medicines, the flavonol galangin was
identified as an inhibitor of lanthanide-evoked calcium entry in TRPC5
overexpressing HEK 293 cells (IC50 0.45 MUM). Galangin also inhibited lanthanide
evoked TRPC5-mediated current in whole-cell and outside-out patch recordings. In
differentiated 3T3-L1 cells, it inhibited constitutive and lanthanide-evoked
calcium entry through endogenous TRPC5-containing channels. The related natural
flavonols, kaempferol and quercetin were less potent inhibitors of TRPC5.
Myricetin and luteolin lacked effect, and apigenin was a stimulator. Based on
structure-activity relationship studies with natural and synthetic flavonols, we
designed 3,5,7-trihydroxy-2-(2-bromophenyl)-4H-chromen-4-one (AM12), which
inhibited lanthanide-evoked TRPC5 activity with an IC50 of 0.28 MUM. AM12 also
inhibited TRPC5 activity evoked by the agonist (-)-Englerin A and was effective
in excised outside-out membrane patches, suggesting a relatively direct effect.
It inhibited TRPC4 channels similarly, but its inhibitory effect on TRPC1-TRPC5
heteromeric channels was weaker. CONCLUSIONS AND IMPLICATIONS: The data suggest
that galangin (a natural product from the ginger family) is a TRPC5 inhibitor and
that other natural and synthetic flavonoids contain antagonist or agonist
capabilities at TRPC5 and closely related channels depending on the substitution
patterns of both the chromone core and the phenyl ring.
PMID- 26565377
TI - Haematocrit influences insulin concentration measurements in dried blood spots.
AB - INTRODUCTION: A major barrier to conducting pharmacokinetic studies in neonates
is the relatively large blood volume required by most assays. The use of dried
blood spots (DBS) has potential to enable the use of smaller volumes and simplify
sample processing and handling. AIM: The aim of this study is to determine the
effect of haematocrit on insulin concentrations from DBS. METHODS: DBS of varying
haematocrit (0.25-0.65) were prepared at three insulin plasma concentrations (10,
25, and 50 mU/L). DBS were analysed for insulin using the method developed by
Butter et al. (2001). DBS and paired plasma samples were obtained from neonates
at Dunedin Hospital NICU. RESULTS: Insulin chemiluminescence responses were
significantly lower at higher haematocrit values (p < 0.05). All results showed
high variability (CV% = 9-61%). Calculated whole blood concentrations were
plotted against chemiluminescence and an exponential function [Formula: see text]
fitted. Plasma insulin concentrations from neonatal DBS were typically higher
than paired plasma samples. CONCLUSIONS: Haematocrit has a significant effect on
insulin measurement by chemiluminescence when using DBS. Plasma insulin
concentration could be determined when haematocrit was known. DBS insulin
concentrations higher than plasma indicate that insulin may be present in red
blood cells. However, measuring plasma insulin concentrations with DBS in
neonates is not ideal due to high variability.
PMID- 26565378
TI - Therapeutic drug monitoring: A patient management tool for precision medicine.
AB - The precision medicine initiative is designed to better understand the causes of
disease, to develop target therapies, and to identify patients that would benefit
from treatment. Prescribing the right dose, which is not always the same to all
patients, is needed for a successful outcome. The purpose of this commentary is
to discuss the role of dose individualization based on therapeutic drug
monitoring as a clinical patient management tool in the application of precision
medicine.
PMID- 26565379
TI - The Core of Care Management: The Role of Authentic Relationships in Caring for
Patients with Frequent Hospitalizations.
AB - In the movement to improve the health of patients with multiple chronic
conditions and vulnerabilities, while reducing the need for hospitalizations,
care management programs have garnered wide attention and support. The
qualitative data presented in this paper sheds new light on key components of
successful chronic care management programs. By going beyond a task- and temporal
based framework, this analysis identifies and defines the importance of
"authentic healing relationships" in driving individual and systemic change.
Drawing on the voices of 30 former clients of the Camden Coalition of Healthcare
Providers, the investigators use qualitative methods to identify and elaborate
the core elements of the authentic healing relationship-security, genuineness,
and continuity-a relationship that is linked to patient motivation and active
health management. Although not readily found in the traditional health care
delivery system, these authentic healing relationships present significant
implications for addressing the persistent health-related needs of patients with
frequent hospitalizations. (Population Health Management 2016;19:248-256).
PMID- 26565380
TI - Environment- and eye-centered inhibitory cueing effects are both observed after a
methodological confound is eliminated.
AB - Inhibition of return (IOR), typically explored in cueing paradigms, is a
performance cost associated with previously attended locations and has been
suggested as a crucial attentional mechanism that biases orientation towards
novelty. In their seminal IOR paper, Posner and Cohen (1984) showed that IOR is
coded in spatiotopic or environment-centered coordinates. Recent studies,
however, have consistently reported IOR effects in both spatiotopic and
retinotopic (eye-centered) coordinates. One overlooked methodological confound of
all previous studies is that the spatial gradient of IOR is not considered when
selecting the baseline for estimating IOR effects. This methodological issue
makes it difficult to tell if the IOR effects reported in previous studies were
coded in retinotopic or spatiotopic coordinates, or in both. The present study
addresses this issue with the incorporation of no-cue trials to a modified cueing
paradigm in which the cue and target are always intervened by a gaze-shift. The
results revealed that a) IOR is indeed coded in both spatiotopic and retinotopic
coordinates, and b) the methodology of previous work may have underestimated
spatiotopic and retinotopic IOR effects.
PMID- 26565381
TI - Durable Clinical Response to Entrectinib in NTRK1-Rearranged Non-Small Cell Lung
Cancer.
AB - INTRODUCTION: Chromosomal rearrangements involving neurotrophic tyrosine kinase 1
(NTRK1) occur in a subset of non-small cell lung cancers (NSCLCs) and other solid
tumor malignancies, leading to expression of an oncogenic TrkA fusion protein.
Entrectinib (RXDX-101) is an orally available tyrosine kinase inhibitor,
including TrkA. We sought to determine the frequency of NTRK1 rearrangements in
NSCLC and to assess the clinical activity of entrectinib. METHODS: We screened
1378 cases of NSCLC using anchored multiplex polymerase chain reaction (AMP). A
patient with an NTRK1 gene rearrangement was enrolled onto a Phase 1 dose
escalation study of entrectinib in adult patients with locally advanced or
metastatic tumors (NCT02097810). We assessed safety and response to treatment.
RESULTS: We identified NTRK1 gene rearrangements at a frequency of 0.1% in this
cohort. A patient with stage IV lung adenocrcinoma with an SQSTM1-NTRK1 fusion
transcript expression was treated with entrectinib. Entrectinib was well
tolerated, with no grade 3-4 adverse events. Within three weeks of starting on
treatment, the patient reported resolution of prior dyspnea and pain. Restaging
CT scans demonstrated a RECIST partial response (PR) and complete resolution of
all brain metastases. This patient has continued on treatment for over 6 months
with an ongoing PR. CONCLUSIONS: Entrectinib demonstrated significant anti-tumor
activity in a patient with NSCLC harboring an SQSTM1-NTRK1 gene rearrangement,
indicating that entrectinib may be an effective therapy for tumors with NTRK gene
rearrangements, including those with central nervous system metastases.
PMID- 26565382
TI - Molecular simulation studies on the binding selectivity of 2-anilino-4-(thiazol-5
yl)-pyrimidines in complexes with CDK2 and CDK7.
AB - Cyclin dependent kinase 2 (CDK2) was regarded as a potentially therapeutic target
for cancer therapy. Since the CDK family includes couples of high homology
members, designing CDK2-selective inhibitors would provide valuable opportunities
for the development of anticancer drugs with optimal efficacy. In this study,
three thiazo-5-yl-pyrimidines as CDK2 inhibitors with different selectivity over
cyclin dependent kinase 7 (CDK7) were examined to study the selectivity mechanism
using a combined approach of computational techniques of flexible docking,
EasyMIFs, molecular electrostatic potential (MESP), natural bond orbital (NBO),
molecular dynamics (MD) simulations, and binding free energy calculations.
Molecular simulations elicited new chemical insights into steric and electronic
complementarities of these molecules to the binding sites of CDK2 and CDK7. The
computed binding free energies were consistent with the ranking of their
experimental binding affinities on CDK2 and CDK7. We also conducted in silico
mutations of three key amino acids (CDK2: Gln85, Lys89, Asp145) to examine their
impact on ligand binding with MD simulations and binding free energy
calculations. The results indicated that these residues exhibited a strong
tendency to mediate ligand-protein interactions through the H-bond and vdW
interaction with CDK2-selective inhibitor. The present work may provide a better
structural understanding of the molecular mechanism of CDK2 selective inhibition.
The new computational insights presented in this study are expected to be
valuable for the guidelines and development of new potent CDK2 inhibitors.
PMID- 26565383
TI - Maximizing clozapine utilization while minimizing blood dyscrasias: evaluation of
patient demographics and severity of events.
AB - The aim of this study was to determine whether patient characteristics such as
age, sex, race/ethnicity, and frequency of monitoring play a role in clozapine
related blood dyscrasias. This study examined all neutropenic events to identify
any potential demographic qualities that may pose increased risk to individuals
receiving clozapine treatment in accordance with the FDA guidelines released in
2005. These guidelines required the addition of absolute neutrophil count (ANC)
tests in addition to white blood cell (WBC) counts to regular monitoring and a
reduction in the frequency of testing to once monthly after 1 year of
satisfactory WBC counts and ANCs. The previous schedule neither included ANC
testing nor allowed for further reductions in the frequency of testing after 1
year, with patients continuing to be tested every 2 weeks indefinitely. This is a
retrospective, closed chart review of all patients who received clozapine at the
State Psychiatric Center and experienced a leukopenic/neutropenic event and/or
who had a substantial drop in WBC/ANC from January 2009 to December 2011. A
subset of patients who were identified as achieving 'non-rechallengeable' status
with either an ANC and/or WBC threshold value from 2001 to 2014 were also
examined. This protocol was approved by the New York State Psychiatric Institute
Institutional Review Board. A total of 193 patients were included in the study.
Males experienced more total events at 6.4 events per person compared with 5.2
events per woman. White patients had 6.5 total events per person compared with
4.2 total events per Black patient; however, Black patients experienced more
moderate leukopenia/granulocytopenia events compared with Whites. Regardless of
race or ethnicity, patients in the 40-49-year age range had the most events at
8.1 events per person and also presented with the highest number of moderate
leukopenia/granulocytopenia events as did those scheduled for weekly monitoring.
Conversely, the majority of patients with no recorded events were female and
either 20-29 or 60-69 years of age. In total, 16 patients were exclusively
designated as non-rechallengeable from 2001 to 2014 and only had one single blood
event prompting this clozapine monitoring status. Of these 16 patient events,
seven were White males, eight were White females, and one was a Black female with
roughly 40% of those patients in the 50-59-year age group. Currently published
predictions on possible demographic risk groups may not reflect clinical
experience and may pose unnecessary treatment barriers in the provision of
clozapine. Although the healthcare team should be aware of the possible
demographic predictors of blood dyscrasias when using clozapine, treatment goals
and monitoring strategies must be individualized to ensure successful clozapine
therapy.
PMID- 26565384
TI - Mitochondrial DNA Assessment to Determine Oocyte and Embryo Viability.
AB - Mitochondria are the key regulators of multiple vital cellular processes,
including apoptosis, calcium homeostasis, and the generation of ATP via the
metabolic pathway known as oxidative phosphorylation. Unlike other cellular
organelles, mitochondria contain one or more copies of their own genome (mtDNA).
The mtDNA encodes a total of 13 genes with critical functions in cellular
metabolism. The energy required to support the normal progress of preimplantation
embryo development is provided in the form of ATP generated by the mitochondria.
It has been suggested that cellular bioenergetic capacity and suboptimal levels
of mitochondria-generated ATP could contribute to a variety of embryo
developmental defects, and therefore adversely affect in vitro fertilization
success rates. During this review, we discuss the role of mitochondria and their
genome during oogenesis and early embryo development. We also assess whether
analysis of mitochondria and their genome could be used as biomarkers to
determine oocyte quality and embryo viability.
PMID- 26565385
TI - Ovarian Aging, from Bench to Bedside.
PMID- 26565386
TI - Ovarian Aging.
PMID- 26565387
TI - Correction: Rapid detection of Cu(2+) by a paper-based microfluidic device coated
with bovine serum albumin (BSA)-Au nanoclusters.
AB - Correction for 'Rapid detection of Cu(2+) by a paper-based microfluidic device
coated with bovine serum albumin (BSA)-Au nanoclusters' by Xueen Fang, et al.,
Analyst, 2015, 140, 7823-7826.
PMID- 26565388
TI - Inhibition of Rho-kinase by Fasudil protects dopamine neurons and attenuates
inflammatory response in an intranasal lipopolysaccharide-mediated Parkinson's
model.
AB - Microglia activation and inflammatory factors in brain microenvironment are
associated with degeneration of neurons in the substantia nigra (SN) of
Parkinson's disease (PD) patients and various PD models. There is increasing
evidence that the Rho/ROCK (Rho kinase) signalling pathway may play a critical
role in the inflammatory response, and ROCK inhibitor has been reported to have
neuroprotective effects. In this study, we examined the neuroprotective potential
and possible mechanism of ROCK inhibitor Fasudil in an intranasal
lipopolysaccharide (LPS)-induced PD model. ROCK was activated with LPS
stimulation and inhibited by Fasudil treatment in this PD model. Behavioural
tests demonstrated a clear improvement in motor performance after Fasudil
treatment. Furthermore, Fasudil resulted in a significant attenuation of dopamine
cell loss, alpha-synuclein accumulation and inflammatory response with the
reversion of inflammatory M1 to anti-inflammatory M2 microglia, decreased NF-kB
activation, and IL-12 and TNF-alpha generation in the SN and olfactory bulb in
this model. This study establishes a role for Fasudil in protecting against LPS
mediated dopamine degeneration and provides a therapeutic strategy for the
treatment of PD.
PMID- 26565390
TI - Heterogeneity of muscle sizes in the lower limbs of children with cerebral palsy.
AB - INTRODUCTION: Cerebral palsy (CP) is associated with reduced muscle volumes, but
previous studies have reported deficits in only a small number of muscles. The
extent of volume deficits across lower limb muscles is not known. This study
presents an imaging-based assessment of muscle volume and length deficits in 35
lower limb muscles. METHODS: We imaged and segmented 35 muscles in 10 subjects
with CP and 8 typically developing (TD) controls using MRI. Muscle volumes were
normalized, and Z-scores were computed using TD data. Volume Z-scores and percent
deficits in volume, length, and cross-sectional area are reported. RESULTS:
Muscle volumes are 20% lower, on average, for subjects with CP. Volume deficits
differ significantly between muscles (12%-43%) and display significant
heterogeneity across subjects. Distal muscles, especially the soleus, are
commonly and severely small. CONCLUSIONS: Heterogeneity across muscles and across
subjects reinforces the subject specificity of CP and the need for individualized
treatment planning. Muscle Nerve 53: 933-945, 2016.
PMID- 26565391
TI - MSCs: The Sentinel and Safe-Guards of Injury.
AB - Mesenchymal stem cells (MSCs) were originally named because they could
differentiate in a variety of mesenchymal phenotypes in culture. Evidence
indicates that MSCs arise from perivascular cells, pericytes, when the blood
vessels are broken or inflamed. These pericyte/MSCs are situated on every blood
vessel in the body. The MSCs sense the micro-environment of the injury site and
secrete site-specific factors that serve several important reparative functions:
first, a curtain of molecules from the front of the MSCs provide a barrier from
the interrogation of the over-aggressive immune system. Second, from the back of
the MSCs, a different set of bioactive agents inhibit scar formation and
establish a regenerative micro-environment. Third, if bacteria are sensed by the
MSCs, they produce powerful protein antibiotics that kill the bacteria on
contact. Last, the MSCs surround and encyst intruding solid objects like a piece
of wood (a "splinter") or other foreign objects. The MSCs act as a combination
paramedic and emergency room (ER) staff to survey the damage, isolate foreign
components, stabilize the injured tissues, provide antibiotics and encysting
protection before a slower, medicinal sequence can be initiated to regenerate the
damaged tissue. The MSCs, thus, act as sentinels to safeguard the individual from
intrusion and chronic injury. A societal treatment system has evolved, paramedics
and ER procedures, which mirror in a macro-sense what MSCs orchestrate in a micro
sense. Key to this new understanding is that MSCs are not "stem cells," but
rather as Medicinal Signaling Cells as the therapeutic agents.
PMID- 26565392
TI - NME1L Negatively Regulates IGF1-Dependent Proliferation of Breast Cancer Cells.
AB - Non-metastatic cells 1 (NME1) or nm23 is the first metastasis suppressor gene
discovered. It functions through various enzymatic activities and interacts with
many intracellular proteins. The NME1 gene encodes two splicing variants, NME1
and NME1L. Most studies have focused on NME1 because of its abundance in cells.
We previously reported NME1L-mediated suppression of NF-kappaB signaling by
interacting with and inhibiting IKKbeta. In this study, we demonstrated that
NME1L, but not NME1, mediated inhibition of cell proliferation, although both
NME1 and NME1L were involved in suppressing metastasis. A reporter gene assay was
performed to determine the growth signaling pathway regulated by NME1L but none
of the growth factors tested could induce an NF-kappaB-dependent luciferase
expression except TNFalpha. Interestingly, SRE-reporter gene activation by IGF1
was significantly downregulated, along with reduction of ERK phosphorylation in
NME1L expressing cells, compared to vector or NME1 expressing cells. NME1L
directly interacted with KSR1, which is a scaffold for Raf-1, MEK, and ERK, that
regulates ERK activation. Hence, NME1L plays a crucial role in regulation of cell
proliferation by inhibiting IGF1-stimulated ERK phosphorylation through N
terminal 25 amino acid-mediated interaction with KSR1.
PMID- 26565393
TI - Soy-Based Infant Formula Feeding and Ultrasound-Detected Uterine Fibroids among
Young African-American Women with No Prior Clinical Diagnosis of Fibroids.
AB - BACKGROUND: Early-life soy phytoestrogen exposure has been shown in Eker rats to
increase uterine fibroid incidence in adulthood. Two large epidemiologic cohorts
have provided some support for increased fibroid risk with infant soy formula
feeding in women, but both cohorts relied on self-report of clinically diagnosed
fibroids. OBJECTIVE: We evaluated the relationship between infant soy formula
feeding and ultrasound-detected fibroids. METHODS: The Study of Environment,
Lifestyle & Fibroids (SELF) is an ongoing cohort study of 1,696 African-American
women ages 23-34 years with baseline ultrasound screening to detect and measure
fibroids >= 0.5 cm in diameter. Questionnaire data on soy formula feeding during
infancy was ascertained for 1,553 participants (89% based on mother's report), of
whom 345 were found to have fibroids. We estimated the association between soy
formula feeding and fibroid prevalence and tumor number using log-binomial
regression. Among those with fibroids, we compared fibroid size between soy
formula-exposed and unexposed women using multivariable linear regression.
RESULTS: We did not observe an association between soy formula feeding and
fibroid prevalence [adjusted prevalence ratio (aPR) 0.9, 95% CI: 0.7, 1.3]. Nor
were exposed women with fibroids more likely to have >= 2 tumors than unexposed
women with fibroids (aPR 1.0, 95% CI: 0.7, 1.6). However, exposed women with
fibroids had significantly larger fibroids than unexposed women with fibroids. On
average, soy formula feeding was associated with a 32% increase in the diameter
of the largest fibroid (95% CI: 6%, 65%) and a 127% increase in total tumor
volume (95% CI: 12%, 358%). CONCLUSIONS: Our observation that women fed soy
formula as infants have larger fibroids than unexposed women provides further
support for persistent effects of early life phytoestrogen exposure on the
uterus. CITATION: Upson K, Harmon QE, Baird DD. 2016. Soy-based infant formula
feeding and ultrasound-detected uterine fibroids among young African-American
women with no prior clinical diagnosis of fibroids. Environ Health Perspect
124:769-775; http://dx.doi.org/10.1289/ehp.1510082.
PMID- 26565395
TI - Order and disorder in quaternary atomic laminates from first-principles
calculations.
AB - We report on the phase stability of chemically ordered and disordered quaternary
MAX phases - TiMAlC, TiM2AlC2, MTi2AlC2, and Ti2M2AlC3 where M = Zr, Hf (group
IV), M = V, Nb, Ta (group V), and M = Cr, Mo, W (group VI). At 0 K, layered
chemically ordered structures are predicted to be stable for M from groups V and
VI. By taking into account the configurational entropy, an order-disorder
temperature Tdisorder can be estimated. TiM2AlC2 (M = Cr, Mo, W) and Ti2M2AlC3 (M
= Mo, W) are found with Tdisorder > 1773 K and are hence predicted to be ordered
at the typical bulk synthesis temperature of 1773 K. Other ordered phases, even
though metastable at elevated temperatures, may be synthesized by non-equilibrium
methods such as thin film growth. Furthermore, phases predicted not to be stable
in any form at 0 K can be stabilized at higher temperatures in a disordered form,
being the case for group IV, for MTi2AlC2 (M = V, Cr, Mo), and for Ti2M2AlC3 (M =
V, Ta). The stability of the layered ordered structures with M from group VI can
primarily be explained by Ti breaking the energetically unfavorable stacking of M
and C where M is surrounded by C in a face-centered cubic configuration, and by M
having a larger electronegativity than Al resulting in a fewer electrons
available for populating antibonding Al-Al orbitals. The results show that these
chemically ordered quaternary MAX phases allow for new elemental combinations in
MAX phases, which can be used to add new properties to this family of atomic
laminates and in turn prospects for tuning these properties.
PMID- 26565394
TI - Entorhinal Cortex Thickness across the Human Lifespan.
AB - BACKGROUND AND PURPOSE: Human entorhinal cortex (ERC) connects the temporal
neocortex with hippocampus and is essential for memory retrieval and navigation.
Markedly, there have been only few quantitative MRI works on the ERC geometric
measurements in pediatric and adult healthy subjects across the lifespan. Here,
we sought to fill this gap in knowledge by quantifying the ERC thickness in a
very large cohort of subjects spanning 9 decades of life. METHODS: Using magnetic
resonance imaging data from multiple centers (IXI, MMRR, NKI, OASIS combined with
the NIH-Child Dev database and locally recruited healthy subjects), we analyzed
the lifespan trajectory of ERC thickness in 1,660 healthy controls ranging from 2
to 94 years of age. RESULTS: The ERC thickness increased with age, reached a peak
at about 44 years, and then decreased with age. ERC thickness is hemispherically
rightward-asymmetric with no gender differences. Mean ERC thickness was found to
vary between 2.943 +/- .438 mm and 3.525 +/- .355 mm across different age
populations. Also, more pronounced loss of the ERC thickness in healthy aging men
was noticeable. DISCUSSION: Our report with high spatial resolution brain MRI
data from 1,660 healthy controls provided important clues about ERC thickness
across lifespan. We believe that our report will pave the way for the future
studies investigating distinct neural pathologies related with cognitive
dysfunctions.
PMID- 26565396
TI - Antiviral therapy in chronic hepatitis B viral infection during pregnancy: A
systematic review and meta-analysis.
AB - Perinatal or mother-to-child transmission (MTCT) of hepatitis B virus (HBV)
remains the major risk factor for chronic HBV infection worldwide. In addition to
hepatitis B immune globulin and vaccination, oral antiviral therapies in highly
viremic mothers can further decrease MTCT of HBV. We conducted a systematic
review and meta-analysis to synthesize the evidence on the efficacy and maternal
and fetal safety of antiviral therapy during pregnancy. A protocol was developed
by the American Association for the Study of Liver Diseases guideline writing
committee. We searched multiple databases for controlled studies that enrolled
pregnant women with chronic HBV infection treated with antiviral therapy.
Outcomes of interest were reduction of MTCT and adverse outcomes to mothers and
newborns. Study selection and data extraction were done by pairs of independent
reviewers. We included 26 studies that enrolled 3622 pregnant women. Antiviral
therapy reduced MTCT, as defined by infant hepatitis B surface antigen
seropositivity (risk ratio = 0.3, 95% confidence interval 0.2-0.4) or infant HBV
DNA seropositivity (risk ratio = 0.3, 95% confidence interval 0.2-0.5) at 6-12
months. No significant differences were found in the congenital malformation
rate, prematurity rate, and Apgar scores. Compared to control, lamivudine or
telbivudine improved maternal HBV DNA suppression at delivery and during 4-8
weeks' postpartum follow-up. Tenofovir showed improvement in HBV DNA suppression
at delivery. No significant differences were found in postpartum hemorrhage,
cesarean section, and elevated creatinine kinase rates. CONCLUSIONS: Antiviral
therapy improves HBV suppression and reduces MTCT in women with chronic HBV
infection with high viral load compared to the use of hepatitis B immunoglobulin
and vaccination alone; the use of telbivudine, lamivudine, and tenofovir appears
to be safe in pregnancy with no increased adverse maternal or fetal outcome.
PMID- 26565397
TI - Biochemical Analysis of CagE: A VirB4 Homologue of Helicobacter pylori Cag-T4SS.
AB - Helicobacter pylori are among the most successful human pathogens that harbour a
distinct genomic segment called cag Pathogenicity Island (cag-PAI). This genomic
segment codes for a type IV secretion system (Cag-T4SS) related to the
prototypical VirB/D4 system of Agrobacterium tumefaciens (Ag), a plant pathogen.
Some of the components of Cag-T4SS share homology to that of VirB proteins
including putative energy providing CagE (HP0544), the largest VirB4 homologue.
In Ag, VirB4 is required for the assembly of the system, substrate translocation
and pilus formation, however, very little is known about CagE. Here we have
characterised the protein biochemically, genetically, and microscopically and
report that CagE is an inner membrane associated active NTPase and has multiple
interacting partners including the inner membrane proteins CagV and Cagbeta.
Through CagV it is connected to the outer membrane sub-complex proteins.
Stability of CagE is not dependent on several of the cag-PAI proteins tested.
However, localisation and stability of the pilus associated CagI, CagL and
surface associated CagH are affected in its absence. Stability of the inner
membrane associated energetic component Cagbeta, a VirD4 homologue seems to be
partially affected in its absence. Additionally, CagA failed to cross the
membrane barriers in its absence and no IL-8 induction is observed under
infection condition. These results thus suggest the importance of CagE in Cag
T4SS functions. In future it may help in deciphering the mechanism of substrate
translocation by the system.
PMID- 26565398
TI - Lily Cultivars Have Allelopathic Potential in Controlling Orobanche aegyptiaca
Persoon.
AB - As a devastating holoparasitic weed, Orobanche aegyptiaca Persoon. (Egyptian
broomrape) causes serious damage to agricultural production and threatens
economic development, which has raised widespread concern. The present study was
conducted to determine whether lilies have the potential to be used as 'trap
crops' for controlling O. aegyptiaca Persoon. In the experiments, the ability of
three popular lily cultivars (Lilium Oriental hybrids 'Sorbonne', Lilium LA
(Longiflorum hybrids x Asiatic hybrids) hybrids 'Ceb Dazzle', and Lilium
Longiflorum hybrids (L. formosanum x L. longiflorum) 'L. formolongo') to induce
O. aegyptiaca Persoon. seed germination was assessed. Parts of the three lily
cultivars, including the rhizosphere soil and underground and above-ground
organs, all induced "suicidal germination" of parasitic O. aegyptiaca Persoon.
seed at four growth stages. Specifically, Sorbonne and Ceb Dazzle behaved with
similar allelopathy, and the bulb, scale leaf and aerial stem exhibited stronger
allelopathic effects on O. aegyptiaca Pers. germination compared to other organs.
Aqueous L. formolongo leaf extracts may contain more stable, effective stimulants
given that they induced the highest germination rate at 76.7% even though the
extracts were serially diluted. We speculate that these organs may be
advantageous in further isolating and purifying economical active substances that
can be substitutes for GR24. These results indicate that lilies have the
potential to be used as a trap crops or can be processed into green herbicide
formulations that can be applied in agriculture production to rapidly deplete the
seed bank of O. aegyptiaca Persoon. parasitic weeds in soil.
PMID- 26565399
TI - Automated and Accurate Estimation of Gene Family Abundance from Shotgun
Metagenomes.
AB - Shotgun metagenomic DNA sequencing is a widely applicable tool for characterizing
the functions that are encoded by microbial communities. Several bioinformatic
tools can be used to functionally annotate metagenomes, allowing researchers to
draw inferences about the functional potential of the community and to identify
putative functional biomarkers. However, little is known about how decisions made
during annotation affect the reliability of the results. Here, we use statistical
simulations to rigorously assess how to optimize annotation accuracy and speed,
given parameters of the input data like read length and library size. We identify
best practices in metagenome annotation and use them to guide the development of
the Shotgun Metagenome Annotation Pipeline (ShotMAP). ShotMAP is an analytically
flexible, end-to-end annotation pipeline that can be implemented either on a
local computer or a cloud compute cluster. We use ShotMAP to assess how different
annotation databases impact the interpretation of how marine metagenome and
metatranscriptome functional capacity changes across seasons. We also apply
ShotMAP to data obtained from a clinical microbiome investigation of inflammatory
bowel disease. This analysis finds that gut microbiota collected from Crohn's
disease patients are functionally distinct from gut microbiota collected from
either ulcerative colitis patients or healthy controls, with differential
abundance of metabolic pathways related to host-microbiome interactions that may
serve as putative biomarkers of disease.
PMID- 26565400
TI - Clinical Practice Experiences in Diagnosis and Treatment of Traumatic Brain
Injury in Children: A Survey among Clinicians at 9 Large Hospitals in China.
AB - Proper diagnosis and treatment of traumatic brain injury (TBI) in children is
becoming an increasingly problematic issue in China. This study investigated
Chinese clinicians to provide information about their knowledge and experiences
in diagnosis and treatment of pediatric TBI. We conducted a questionnaire survey
among clinicians in the emergency departments and neurosurgery departments at 9
major hospitals in China. The questionnaire included demographic information, and
knowledge and experiences regarding the diagnosis and treatment of pediatric TBI.
A total of 235 clinicians completed questionnaires. 43.8% of the surveyed
clinicians reported children with only scalp hematoma without any other signs and
symptoms of concussion as TBI cases. Most clinicians (85.1%) reported no existing
uniform diagnostic criteria for children with TBI in China. The majority of
clinicians (91.9%) reported that CT scans were performed in all patients with
suspected head injury as a routine procedure in their hospitals. Only 20.9% of
clinicians believed that radiation from CT scanning may increase cancer risk in
children. About 33.6% of the clinicians reported that they ordered CT scans to
investigate suspected head injury due to the poor doctor-patient relationship in
China, and to protect themselves against any medical lawsuits in the future.
About 80% of the clinicians reported that there are no existing pediatric TBI
treatment guidelines in China. Instead a senior doctor's advice is the most
reported guidelines regarding treating pediatric TBI (66.0%). All of the surveyed
clinicians reported that the lack of diagnosis and/or treatment standard is the
biggest problem in effectively diagnosing and treating pediatric TBI in China.
Developing guidelines for the diagnosis and treatment of children with TBI is a
high priority in China. The extremely high usage of CT for pediatric TBI in China
suggests that it is important to establish evidence-based clinical decision rules
to help Chinese clinicians make diagnostic and therapeutic decisions during their
practice in order to identify children unlikely to have a clinically-important
TBI who can be safely discharged without a CT scan.
PMID- 26565401
TI - HMGB1 Promotes Mitochondrial Dysfunction-Triggered Striatal Neurodegeneration via
Autophagy and Apoptosis Activation.
AB - Impairments in mitochondrial energy metabolism are thought to be involved in many
neurodegenerative diseases. The mitochondrial inhibitor 3-nitropropionic acid (3
NP) induces striatal pathology mimicking neurodegeneration in vivo. Previous
studies showed that 3-NP also triggered autophagy activation and apoptosis. In
this study, we focused on the high-mobility group box 1 (HMGB1) protein, which is
important in oxidative stress signaling as well as in autophagy and apoptosis, to
explore whether the mechanisms of autophagy and apoptosis in neurodegenerative
diseases are associated with metabolic impairment. To elucidate the role of HMGB1
in striatal degeneration, we investigated the impact of HMGB1 on autophagy
activation and cell death induced by 3-NP. We intoxicated rat striata with 3-NP
by stereotaxic injection and analyzed changes in expression HMGB1, proapoptotic
proteins caspase-3 and phospho-c-Jun amino-terminal kinases (p-JNK). 3-NP-induced
elevations in p-JNK, cleaved caspase-3, and autophagic marker LC3-II as well as
reduction in SQSTM1 (p62), were significantly reduced by the HMGB1 inhibitor
glycyrrhizin. Glycyrrhizin also significantly inhibited 3-NP-induced striatal
damage. Neuronal death was replicated by exposing primary striatal neurons in
culture to 3-NP. It was clear that HMGB1 was important for basal autophagy which
was shown by rescue of cells through HMGB1 targeting shRNA approach.3-NP also
induced the expression of HMGB1, p-JNK, and LC3-II in striatal neurons, and p-JNK
expression was significantly reduced by shRNA knockdown of HMGB1, an effect that
was reversed by exogenously increased expression of HMGB1. These results suggest
that HMGB1 plays important roles in signaling for both autophagy and apoptosis in
neurodegeneration induced by mitochondrial dysfunction.
PMID- 26565402
TI - The Cytoprotective Effects of E-alpha-(4-Methoxyphenyl)-2',3,4,4'
Tetramethoxychalcone (E-alpha-p-OMe-C6H4-TMC)--A Novel and Non-Cytotoxic HO-1
Inducer.
AB - Cell protection against different noxious stimuli like oxidative stress or
chemical toxins plays a central role in the treatment of many diseases. The
inducible heme oxygenase isoform, heme oxygenase-1 (HO-1), is known to protect
cells against a variety of harmful conditions including apoptosis. Because a
number of medium strong electrophiles from a series of alpha-X-substituted
2',3,4,4'-tetramethoxychalcones (alpha-X-TMCs, X = H, F, Cl, Br, I, CN, Me, p-NO2
C6H4, Ph, p-OMe-C6H4, NO2, CF3, COOEt, COOH) had proven to activate Nrf2
resulting in HO-1 induction and inhibit NF-kappaB downstream target genes, their
protective effect against staurosporine induced apoptosis and reactive oxygen
species (ROS) production was investigated. RAW264.7 macrophages treated with 19
different chalcones (15 alpha-X-TMCs, chalcone, 2'-hydroxychalcone, calythropsin
and 2'-hydroxy-3,4,4'-trimethoxychalcone) prior to staurosporine treatment were
analyzed for apoptosis and ROS production, as well as HO-1 protein expression and
enzyme activity. Additionally, Nrf2 and NF-kappaB activity was assessed. We found
that amongst all tested chalcones only E-alpha-(4-methoxyphenyl)-2',3,4,4'
tetramethoxychalcone (E-alpha-p-OMe-C6H4-TMC) demonstrated a distinct,
statistically significant antiapoptotic effect in a dose dependent manner,
showing no toxic effects, while its double bond isomer Z-alpha-p-OMe-C6H4-TMC
displayed no significant activity. Also, E-alpha-p-OMe-C6H4-TMC induced HO-1
protein expression and increased HO-1 activity, whilst inhibition of HO-1 by SnPP
IX abolished its antiapoptotic effect. The only weakly electrophilic chalcone E
alpha-p-OMe-C6H4-TMC reduced the staurosporine triggered formation of ROS, while
inducing the translocation of Nrf2 into the nucleus. Furthermore, staurosporine
induced NF-kappaB activity was attenuated following E-alpha-p-OMe-C6H4-TMC
treatment. Overall, E-alpha-p-OMe-C6H4-TMC demonstrated its effective
cytoprotective potential via a non-toxic induction of HO-1 in RAW264.7
macrophages. The observed cytoprotective effect may partly be related to both,
the activation of the Nrf2- and inhibition of the NF-kappaB pathway.
PMID- 26565404
TI - Obinutuzumab (GA101) is highly effective against chronic lymphocytic leukemia
cells in ex vivo B-cell depletion irrespective of high-risk prognostic markers.
PMID- 26565403
TI - Blast transformation and fibrotic progression in polycythemia vera and essential
thrombocythemia: a literature review of incidence and risk factors.
AB - Polycythemia vera (PV) and essential thrombocythemia (ET) constitute two of the
three BCR-ABL1-negative myeloproliferative neoplasms and are characterized by
relatively long median survivals (approximately 14 and 20 years, respectively).
Potentially fatal disease complications in PV and ET include disease
transformation into myelofibrosis (MF) or acute myeloid leukemia (AML). The range
of reported frequencies for post-PV MF were 4.9-6% at 10 years and 6-14% at 15
years and for post-ET MF were 0.8-4.9% at 10 years and 4-11% at 15 years. The
corresponding figures for post-PV AML were 2.3-14.4% at 10 years and 5.5-18.7% at
15 years and for post-ET AML were 0.7-3% at 10 years and 2.1-5.3% at 15 years.
Risk factors cited for post-PV MF include advanced age, leukocytosis, reticulin
fibrosis, splenomegaly and JAK2V617F allele burden and for post-ET MF include
advanced age, leukocytosis, anemia, reticulin fibrosis, absence of JAK2V617F, use
of anagrelide and presence of ASXL1 mutation. Risk factors for post-PV AML
include advanced age, leukocytosis, reticulin fibrosis, splenomegaly, abnormal
karyotype, TP53 or RUNX1 mutations as well as use of pipobroman, radiophosphorus
(P(32)) and busulfan and for post-ET AML include advanced age, leukocytosis,
anemia, extreme thrombocytosis, thrombosis, reticulin fibrosis, TP53 or RUNX1
mutations. It is important to note that some of the aforementioned incidence
figures and risk factor determinations are probably inaccurate and at times
conflicting because of the retrospective nature of studies and the inadvertent
labeling, in some studies, of patients with prefibrotic primary MF or 'masked'
PV, as ET. Ultimately, transformation of MPN leads to poor outcomes and
management remains challenging. Further understanding of the molecular events
leading to disease transformation is being investigated.
PMID- 26565405
TI - Loss in MCL-1 function sensitizes non-Hodgkin's lymphoma cell lines to the BCL-2
selective inhibitor venetoclax (ABT-199).
AB - As a population, non-Hodgkin's lymphoma (NHL) cell lines positive for the
t(14;18) translocation and/or possessing elevated BCL2 copy number (CN;
BCL2(High)) are exquisitely sensitive to navitoclax or the B-cell lymphoma
protein-2 (BCL-2)-selective inhibitor venetoclax. Despite this, some BCL2(High)
cell lines remain resistant to either agent. Here we show that the MCL-1-specific
inhibitor A-1210477 sensitizes these cell lines to navitoclax. Chemical
segregation of this synergy with the BCL-2-selective inhibitor venetoclax or BCL
XL-selective inhibitor A-1155463 indicated that MCL-1 and BCL-2 are the two key
anti-apoptotic targets for sensitization. Similarly, the CDK inhibitor
flavopiridol downregulated MCL-1 expression and synergized with venetoclax in
BCL2(High) NHL cell lines to a similar extent as A-1210477. A-1210477 also
synergized with navitoclax in the majority of BCL2(Low) NHL cell lines. However,
chemical segregation with venetoclax or A-1155463 revealed that synergy was
driven by BCL-XL inhibition in this population. Collectively these data emphasize
that BCL2 status is predictive of venetoclax potency in NHL not only as a single
agent, but also in the adjuvant setting with anti-tumorigenic agents that inhibit
MCL-1 function. These studies also potentially identify a patient population
(BCL2(Low)) that could benefit from BCL-XL (navitoclax)-driven combination
therapy.
PMID- 26565406
TI - Mobilization of Bone Marrow-Derived Endothelial Progenitor Cells following
Finnish Sauna: A Pilot Study.
AB - BACKGROUND: Sauna bathing is claimed to provide benefits for patients suffering
from cardiovascular diseases. The current study aims at analyzing the induction
of potential regenerative processes by quantifying the mobilization of bone
marrow-derived stem cells into the peripheral blood of healthy adults following
Finnish sauna. MATERIALS AND METHODS: Twenty healthy unbiased male volunteers (20
30 years old) were exposed to a Finnish sauna bath (3 * 10 min, 90 degrees C).
Venous blood samples were drawn before (baseline), immediately, and 6 h as well
as 24 h after the sauna bath. Blood analysis included isolation of mononuclear
cells, cell staining with mononuclear antibodies, and fluorescence-activated cell
sorting (FACS). For baseline and 24 h post-sauna samples colony-forming unit-Hill
assays were applied to quantify endothelial progenitor cells (EPC). RESULTS: Flow
cytometry revealed an upregulation of circulating CD45+/CD309+ progenitor cells
immediately after the sauna bath, however without reaching statistical
significance. Circulating cell numbers of the CD45+CD34+, CD45+CD34+CD133+, and
CD45+CD34+CD117+ populations did not show clear enhancements following sauna. EPC
colony formation tended to be enhanced after sauna as compared to baseline
values. CONCLUSION: Peripheral EPC numbers exhibited a moderate increase
following Finnish sauna in a cohort of healthy young men. Furthermore, sauna
bathing tended to increase EPC colony-forming capacity. These rather weak
responses to thermotherapy might indicate a ceiling effect. In individuals
exhibiting cardiovascular risk factors the effects may be more pronounced.
PMID- 26565407
TI - Correction: RootAnalyzer: A Cross-Section Image Analysis Tool for Automated
Characterization of Root Cells and Tissues.
PMID- 26565408
TI - Digital Presence of Norwegian Scholars on Academic Network Sites--Where and Who
Are They?
AB - The use of academic profiling sites is becoming more common, and emerging
technologies boost researchers' visibility and exchange of ideas. In our study we
compared profiles at five different profiling sites. These five sites are
ResearchGate, Academia.edu, Google Scholar Citations, ResearcherID and ORCID. The
data set is enriched by demographic information including age, gender, position
and affiliation, which are provided by the national CRIS-system in Norway. We
find that approximately 37% of researchers at the University of Bergen have at
least one profile, the prevalence being highest (> 40%) for members at the
Faculty of Psychology and the Faculty of Social Sciences. Across all disciplines,
ResearchGate is the most widely used platform. However, within Faculty of
Humanities, Academia.edu is the preferred one. Researchers are reluctant to
maintain multiple profiles, and there is little overlap between different
services. Age turns out to be a poor indicator for presence in the investigated
profiling sites, women are underrepresented and professors together with PhD
students are the most likely profile holders. We next investigated the
correlation between bibliometric measures, such as publications and citations,
and user activities, such as downloads and followers. We find different
bibliometric indicators to correlate strongly within individual platforms and
across platforms. There is however less agreement between the traditional
bibliometric and social activity indicators.
PMID- 26565409
TI - Butylated Hydroxyanisole Potently Inhibits Rat and Human 11beta-Hydroxysteroid
Dehydrogenase Type 2.
AB - Butylated hydroxyanisole (BHA) is a widely used antioxidant for food
preservation. 11beta-hydroxysteroid dehydrogenases, isoforms 1 (HSD11B1) and 2
(HSD11B2) have been demonstrated to be the regulators of the local level of
active glucocorticoid, which has a broad range of physiological actions. In this
study, the potency of BHA was tested for the inhibition of HSD11B1 and HSD11B2 in
rat and human tissues. BHA showed potent inhibition of HSD11B2 with the half
maximal inhibitory concentration calculated at 13.99 and 69.25 umol/l for the rat
and human, respectively. Results showed that BHA competitively inhibited HSD11B2
when a steroid substrate was used. However, it served as a mixed inhibition
factor when the cofactor NAD+ was used. In contrast, the potency of BHA to
inhibit both rat and human HSD11B1 was diminished, with the concentration of 100
MUmol/l causing no inhibitory effect on the isoform. In conclusion, we observed
that BHA is a selective inhibitor of HSD11B2, implying that this agent may cause
excessive glucocorticoid action in local tissues such as kidney and placentas.
PMID- 26565410
TI - Measurement Invariance of the WHODAS 2.0 in a Population-Based Sample of Youth.
AB - The World Health Organization Disability Assessment Schedule 2.0 (WHODAS 2.0) is
a brief measure of global disability originally developed for adults, which has
since been implemented among samples of children and youth. However, evidence of
its validity for use among youth, particularly measurement invariance, is
lacking. Investigations of measurement invariance assess the extent to which the
psychometric properties of observed items in a measure are generalizable across
samples. Satisfying the assumption of measurement invariance is critical for any
inferences about between-group differences. The objective of this paper was to
empirically assess the measurement invariance of the 12-item interview version of
the WHODAS 2.0 measure in an epidemiological sample of youth (15 to 17 years) and
adults (>= 18 years) in Canada. Multiple-group confirmatory factor analysis using
a categorical variable framework allowed for the sequential testing of
increasingly restrictive models to evaluate measurement invariance of the WHODAS
2.0 between adults and youth. Findings provided evidence for full measurement
invariance of the WHODAS 2.0 in youth aged 15 to 17 years. The final model fit
the data well: chi(2)(159) = 769.04, p < .001; CFI = 0.950, TLI = 0.958, RMSEA
(90% CI) = 0.055 [0.051, 0.059]. Results from this study build on previous work
supporting the validity of the WHODAS 2.0. Findings indicate that the WHODAS 2.0
is valid for making substantive comparisons of disability among youth as young as
15 years of age.
PMID- 26565411
TI - Antiapoptotic Role for Lifeguard in T Cell Mediated Immune Response.
AB - Anti-apoptotic protein Lifeguard (LFG) is upregulated on T cells upon in vitro
activation. To investigate its role in T cell immunity we infected wild type and
LFG knockout bone marrow chimaeras mice with LCMV. We observed a decreased number
of LFG KO activated CD8 and CD4 T cells throughout the infection and a marked
decrease in LFG KO LCMV specific memory T cells. WT and KO T cells proliferated
at the same rate, however, LFG KO CD44(hi) T cells showed increased cell death
during the initial phase of the immune response. LFG KO and WT T cells were
equally sensitive to the FAS antibody Jo-2 in ex vivo cultures, and blocking
extrinsic pathways of cell death in vivo with Fas L or caspase 8 inhibitors did
not rescue the increased apoptosis in LFG KO T cells. Our data suggest that LFG
plays a role in T cell survival during the initial phase of anti-viral immune
response by protecting pre-existing memory T cells and possibly newly activated T
cells resulting in a diminished immune response and a decreased number of LCMV
specific memory T cells.
PMID- 26565412
TI - Probing the Strength of Infants' Preference for Helpers over Hinderers: Two
Replication Attempts of Hamlin and Wynn (2011).
AB - Several studies indicate that infants prefer individuals who act prosocially over
those who act antisocially toward unrelated third parties. In the present study,
we focused on a paradigm published by Kiley Hamlin and Karen Wynn in 2011. In
this study, infants were habituated to a live puppet show in which a protagonist
tried to open a box to retrieve a toy placed inside. The protagonist was either
helped by a second puppet (the "Helper"), or hindered by a third puppet (the
"Hinderer"). At test, infants were presented with the Helper and the Hinderer,
and encouraged to reach for one of them. In the original study, 75% of 9-month
olds selected the Helper, arguably demonstrating a preference for prosocial over
antisocial individuals. We conducted two studies with the aim of replicating this
result. Each attempt was performed by a different group of experimenters. Study 1
followed the methods of the published study as faithfully as possible. Study 2
introduced slight modifications to the stimuli and the procedure following the
guidelines generously provided by Kiley Hamlin and her collaborators. Yet, in our
replication attempts, 9-month-olds' preference for helpers over hinderers did not
differ significantly from chance (62.5% and 50%, respectively, in Studies 1 and
2). Two types of factors could explain why our results differed from those of
Hamlin and Wynn: minor methodological dissimilarities (in procedure, materials,
or the population tested), or the effect size being smaller than originally
assumed. We conclude that fine methodological details that are crucial to
infants' success in this task need to be identified to ensure the replicability
of the original result.
PMID- 26565413
TI - Mycoplasma hyorhinis-Contaminated Cell Lines Activate Primary Innate Immune Cells
via a Protease-Sensitive Factor.
AB - Mycoplasma are a frequent and occult contaminant of cell cultures, whereby these
prokaryotic organisms can modify many aspects of cell physiology, rendering
experiments that are conducted with such contaminated cells problematic. Chronic
Mycoplasma contamination in human monocytic cells lines has been associated with
suppressed Toll-like receptor (TLR) function. In contrast, we show here that
components derived from a Mycoplasma hyorhinis-infected cell line can activate
innate immunity in non-infected primary immune cells. Release of pro-inflammatory
cytokines such as IL-6 by dendritic cells in response to Mycoplasma hyorhinis
infected cell components was critically dependent on the adapter protein MyD88
but only partially on TLR2. Unlike canonical TLR2 signaling that is triggered in
response to the detection of Mycoplasma infection, innate immune activation by
components of Mycoplasma-infected cells was inhibited by chloroquine treatment
and sensitive to protease treatment. We further show that in plasmacytoid
dendritic cells, soluble factors from Mycoplasma hyorhinis-infected cells induce
the production of large amounts of IFN-alpha. We conclude that Mycoplasma
hyorhinis-infected cell lines release protein factors that can potently activate
co-cultured innate immune cells via a previously unrecognized mechanism, thus
limiting the validity of such co-culture experiments.
PMID- 26565414
TI - How Exercise Influences Cognitive Performance When Mild Cognitive Impairment
Exists: A Literature Review.
AB - Older adults who present with mild cognitive impairment (MCI) have an increased
risk of developing more advanced dementia. However, no pharmacological treatment
currently exists to slow the progression of or reverse MCI. The purpose of the
current systematic review is to summarize evidence surrounding the impact of
exercise interventions on the cognitive performance levels of community-dwelling
older adults with MCI. Computerized database and ancestry search strategies
located distinct intervention trials between 1990 and 2015. Results indicated
that physical exercise may benefit cognitive function among older adults who have
MCI, including improvements in global cognition, executive function, memory,
attention, and processing speed. Physical exercise may also positively impact the
physiology of the aging brain. However, evidence surrounding the characteristics
of effective physical exercise interventions in terms of exercise type,
intensity, duration, and frequency remains limited.
PMID- 26565415
TI - Lifestyle and Behavioral Interventions to Reduce the Risk of Metabolic Syndrome
in Community-Dwelling Adults With Serious Mental Illness: Implications for
Nursing Practice.
AB - Adults with mental illness are at increased risk of being diagnosed with
metabolic syndrome and consequently cardiovascular disease, diabetes, and stroke.
The current article explores community-based interventions to decrease the risk
of metabolic syndrome by changing health behaviors among adults with serious
mental illness (SMI). Ovid Medline, PsycINFO, and CINAHL were searched for
nonpharmacological studies of behavioral or lifestyle interventions addressing
risk of metabolic syndrome among adults with SMI. Thirteen studies, seven with
statistically significant results, were included in the review. Despite the well
known risks of metabolic syndrome, the majority of available studies had small
samples with insufficient power. There was little consistency in methods or
outcome measures. Studies that were client-directed and involved peer and staff
support appeared most useful in changing health behaviors and improving quality
of life. Further research is needed to guide nursing practice in implementing
effective methods to reduce the risk of metabolic syndrome in community-dwelling
adults with SMI.
PMID- 26565416
TI - Use of the 9-Item Patient Health Questionnaire for Depression Assessment in
Primary Care Patients With Type 2 Diabetes.
AB - The purpose of the current study was to assess the frequency and distribution of
the 9-Item Patient Health Questionnaire (PHQ-9) among individuals with type 2
diabetes with and without depression. The current case-control study used
electronic medical record data from two primary care institutions. The sample was
divided into cases with coexisting depression and type 2 diabetes and controls
without depression. Data included demographics, biomarkers, number of services
delivered, and clinic visits in 2013. Similar PHQ-9 use was seen between unique
primary care practices. However, less than one third of patients at either site
received depression screening with the PHQ-9 in 2013. Male and older adult
patients were less likely to receive assessment. Guideline ambiguity and lack of
accountability in primary care practice has made the use of depression metrics
arbitrary in diabetic populations at risk for depression. To assure adequate care
provision, it is imperative that proven tools for assessing depressive symptoms
are used.
PMID- 26565417
TI - Surface state photoelectrons in topological insulators: Green's function
approach.
AB - We compute the photoemission intensity and polarization for the surface states in
topological insulators. Due to the chirality and linear energy dispersion the
effective electron-photon coupling is normalized by the tunneling amplitude (tau)
into the vacuum. We investigate a chiral Dirac Hamiltonian for different cases:
helical, Zeeman and warping, allowing us to study spin textures. Using the
Green's function formalism we obtain exact results for the emitted photoelectrons
to second order in the laser field. The number of emitted photoelectrons is
sensitive to the laser coherent state intensity whereas the photoelectron
polarization is sensitive to the surface topology of electronic states and
incoming photon polarization.
PMID- 26565419
TI - Suicidal ideation among rural immigrant daughters-in-law with multi-roles as
females, farmers and immigrants in China.
AB - Suicide is a major public health issue in China, and suicidal ideation is an
important step in the suicidal process. The purpose of this study was to
understand the prevalence and correlates of suicidal ideation among rural
immigrant daughters-in-law with multi-role of female, farmer and immigrant in
China. A total of 939 participants including 474 local daughters-in-law and 465
immigrant daughters-in-law were surveyed using the self-rating questionnaire.
Demographic characteristics, depression, anxiety, impulsivity and suicidal
ideation were assessed. Results indicated that the lifetime prevalence of
suicidal ideation among rural immigrant daughters-in-law was 9.68%. Physical
disability, domestic violence and negative events demonstrated statistical
significance by suicidal ideation (p < .05), and participants with suicidal
ideation had higher scores of depression, anxiety and impulsiveness in the
univariate analysis. Multivariate logistic regression showed that physical
disability (OR = 7.43, 95%CI: 2.84-19.46), domestic violence (OR = 2.65, 95%CI:
1.02-6.88), depression (OR = 1.07, 95%CI: 1.01-1.12), impulsiveness (OR = 1.04,
95%CI: 1.01-1.08) and motor impulsiveness (OR = 1.07, 95%CI: 1.01-1.14) were
significantly associated with suicidal ideation. Suicidal ideation is an issue
that can't be ignored among rural immigrant daughters-in-law. And the findings
should be considered for the intervention of the suicide among the rural
immigrant daughters-in-law.
PMID- 26565418
TI - The chemokine CXCL13 in lung cancers associated with environmental polycyclic
aromatic hydrocarbons pollution.
AB - More than 90% of lung cancers are caused by cigarette smoke and air pollution,
with polycyclic aromatic hydrocarbons (PAHs) as key carcinogens. In Xuanwei City
of Yunnan Province, the lung cancer incidence is among the highest in China,
attributed to smoky coal combustion-generated PAH pollution. Here, we screened
for abnormal inflammatory factors in non-small cell lung cancers (NSCLCs) from
Xuanwei and control regions (CR) where smoky coal was not used, and found that a
chemokine CXCL13 was overexpressed in 63/70 (90%) of Xuanwei NSCLCs and 44/71
(62%) of smoker and 27/60 (45%) of non-smoker CR patients. CXCL13 overexpression
was associated with the region Xuanwei and cigarette smoke. The key carcinogen
benzo(a)pyrene (BaP) induced CXCL13 production in lung epithelial cells and in
mice prior to development of detectable lung cancer. Deficiency in Cxcl13 or its
receptor, Cxcr5, significantly attenuated BaP-induced lung cancer in mice,
demonstrating CXCL13's critical role in PAH-induced lung carcinogenesis.
PMID- 26565420
TI - Dewetting Transitions of Dropwise Condensation on Nanotexture-Enhanced
Superhydrophobic Surfaces.
AB - Although realizing dewetting transitions of droplets spontaneously on solid
textured surfaces is quite challenging, it has become a key research topic in
many practical applications that require highly efficient removal of liquid.
Despite intensive efforts over the past few decades, due to impalement of vapor
pockets inducing strong pinning of the contact lines, how to realize the self
removal of small droplets trapped in the textures remains an urgent problem. We
report an in situ spontaneous dewetting transition of condensed droplets
occurring on pillared surfaces with two-tier roughness, from the valleys to the
tops of the pillars, owing to the nanotexture-enhanced superhydrophobicity, as
well as the topology of the micropillars. Three wetting transition modes are
observed. It is found that a further decreased Laplace pressure on the top side
of the individual droplets accounts for such a surprising transition and self
removal of condensed water. An explicit model is constructed, which quite
effectively predicts the Laplace pressure of droplets trapped by the textures.
Our model also reveals that the critical size of the droplet for transition
scales as the spacing of the micropillars. These findings are expected to be
crucial to a fundamental understanding, as well as a remarkable strategy to guide
the fabrication, of optimum super-water-repellant surfaces.
PMID- 26565421
TI - Biogenesis of autophagosomal precursors for LC3 lipidation from the ER-Golgi
intermediate compartment.
AB - Autophagosome biogenesis requires efficient mobilization and delivery of
membranes from intracellular sources. How these membranes are mobilized remains
poorly understood. Our recent work reported an autophagic signal-induced membrane
mobilization event from the ER-Golgi intermediate compartment (ERGIC) to generate
an early autophagosomal membrane precursor. We found that starvation activates
the autophagic phosphatidylinositol 3-kinase, which promotes a relocation of
COPII proteins from the ER-exit sites to the ERGIC. The relocation of COPII
generates ERGIC-derived COPII vesicles as a membrane template for LC3 lipidation,
a key step for autophagosome biogenesis.
PMID- 26565422
TI - Previous Knee Injury and Health-Related Quality of Life in Collegiate Athletes.
AB - CONTEXT: Patient-rated outcome measures (PROMs) capture changes that are
important and meaningful to patients, such as health-related quality of life
(HRQOL). Although group differences in HRQOL have been reported, little is known
about the effect of injury history on HRQOL in collegiate athletes. OBJECTIVE:
To determine whether knee-specific function (International Knee Documentation
Committee Subjective Knee Evaluation Form [IKDC]) and HRQOL (Short Form 12 [SF
12]) differs in collegiate athletes based on sex and the severity of a previous
knee injury. DESIGN: Cross-sectional study. SETTING: Athletic training
facilities. PATIENTS OR OTHER PARTICIPANTS: Healthy collegiate athletes (n =
263) were grouped based on self-report of a previous knee injury: severe (n =
47), mild (n = 40), and no (n = 176) knee injury. INTERVENTION(S): Participants
completed the IKDC and SF-12 during their preparticipation examinations. MAIN
OUTCOME MEASURE(S): Generalized linear models were used to assess interactions
and main effects of all scores. RESULTS: An interaction effect was observed for
the SF-12 role physical subscale (P = .02), with men in the mild- and severe
injury groups reporting worse scores than men with no injury history. We noted a
main effect for injury group for the IKDC total score (P < .001) and SF-12
physical functioning (P = .04) and role emotional (P = .04) subscales, with the
severe-injury group reporting worse scores than the mild- and no-injury groups.
No main effects of sex were reported (P > .05). CONCLUSIONS: Despite returning
to full participation, collegiate athletes who previously sustained severe knee
injuries tended to report worse knee-specific function and less ability to
complete activities due to physical health. In addition, individuals with a
history of severe knee injury tended to report more emotional concerns than
athletes with a history of mild or no knee injury. Region-specific PROMs may be
more sensitive in detecting deficits than generic PROMs after return to full
participation. Researchers should investigate the role of PROMs, particularly
region-specific PROMs, as potential screening tools for clinical care.
PMID- 26565423
TI - Perceptions of Support Networks During the Graduate-Assistant Athletic Trainer
Experience.
AB - CONTEXT: The graduate-assistant position can be a highly influential experience
because it is often the first time novice athletic trainers (ATs) are practicing
autonomously. OBJECTIVE: To gain an understanding of how graduate-assistant ATs
(GAATs) perceive professional socialization and mentorship during their
assistantships. DESIGN: Semistructured phone interviews. SETTING: Graduate
assistant ATs in various clinical settings. PATIENTS OR OTHER PARTICIPANTS:
Twenty-five GAATs (20 women, 5 men) studying in 1 of 3 academic tracks
(postprofessional athletic training = 8, athletic training-based curriculum = 11,
non-athletic training-based curriculum = 6). Median age was 24 years. DATA
COLLECTION AND ANALYSIS: Phone interviews were digitally recorded and transcribed
verbatim. Data analysis used principles of the general inductive approach.
Credibility was maintained using peer review, field notes, and intercoder
reliability. RESULTS: Participants identified peer support throughout their
experiences, in both academic and clinical settings. The GAATs frequently relied
on other GAATs for support due to shared experiences and understanding of
workloads. Participants described difficulty receiving supervisor support from
full-time staff ATs due to the supervisors' workload and time constraints,
limiting their availability for mentoring. Guidance from academic support
personnel occurred only in athletic training-centered academic programs.
Communication emerged as helpful for incoming GAATs; the previous GAATs provided
formal mentorship via job descriptions highlighting role responsibilities and
expectations. Differences between assistantship types were noted only in terms of
receiving balanced mentorship between the academic and clinical staffs, such that
students studying in postprofessional athletic training programs perceived more
balanced support. CONCLUSIONS: Our results confirm the literature regarding the
GAAT's pursuit of continued formal mentoring. The GAATs perceived less support
from full-time AT staff members due to limited availability. Therefore, GAATs
leaned on their peers for support during the graduate experience.
PMID- 26565424
TI - A Preliminary Formula to Predict Timing of Symptom Resolution for Collegiate
Athletes Diagnosed With Sport Concussion.
AB - CONTEXT: Symptom presentation and recovery after sport concussion (SC) are
variable. Empirically based models documenting typical symptom duration would
assist health care providers in managing return to play after SC. OBJECTIVE: To
develop a prediction model for SC symptom duration. DESIGN: Cross-sectional
study. SETTING: Two National Collegiate Athletic Association Division I
university laboratories. PATIENTS OR OTHER PARTICIPANTS: Seventy-six (51 male and
25 female) concussed athletes with an average age of 19.5 +/- 1.65 years who were
evaluated within 24 hours of diagnosis. INTERVENTION(S): Participants completed
the Revised Head Injury Scale (HIS-r), Immediate Post-Concussion Assessment and
Cognitive Testing (ImPACT), and Sensory Organization Test within 24 hours of SC
diagnosis. MAIN OUTCOME MEASURE(S): A stepwise multivariate regression
incorporating ImPACT and Sensory Organization Test composites and HIS-r symptom
severity-duration was used to predict the number of days athletes reported
symptoms after SC. The resulting regression formula was cross-validated using the
Stine cross-validation coefficient. RESULTS: The final formula consisted of the
HIS-r's self-reported neck pain, drowsiness, tingling, and nervousness duration
and ImPACT total symptom severity (R = 0.62, R(2) = 39%, R(2)(adj) = 34.2%, P <
.001). Approximately 29% (R(2)(cv) = 29%) of the variance associated with total
days symptomatic after SC was explained by our preliminary formula when cross
validated. The current formula correctly identified 76% of participants who
recovered within 10 days of injury. CONCLUSIONS: Our results suggest that self
reported duration of 4 symptoms during the initial 24 hours after injury along
with total symptom severity as measured by ImPACT accounted for a considerable
amount of variance associated with days symptomatic after SC in collegiate
athletes. Until the formula is cross-validated in a college-aged sample, caution
is warranted in using it clinically.
PMID- 26565425
TI - Exertional Heat Stroke and Susceptibility to Malignant Hyperthermia in an
Athlete: Evidence for a Link?
AB - OBJECTIVE: To describe the possible association (pathophysiologic and clinical
features) between exertional heat stroke (EHS) and malignant hyperthermia (MH).
BACKGROUND: Both EHS and MH are acute and life-threatening disorders. It has
repeatedly been shown that EHS can occur in well-trained patients with known MH
associated mutation in the RYR1 gene in the absence of any extreme environmental
conditions or extreme physical activity, thereby supporting a possible link
between EHS and MH. In this case, a highly trained 30-year-old male athlete
suddenly collapsed while running. He had initial hyperthermia (40.2 degrees C)
and progressive multiple organ failure requiring medical management in an
intensive care unit. After he recovered completely, a maximal exercise test was
performed and showed an obvious abnormality of oxidative metabolism in muscle;
genetic analysis of the RYR1 gene identified a heterozygous missense variation
p.K1393R. Consequently, the athlete was given appropriate information and allowed
to progressively return to sport competition. DIFFERENTIAL DIAGNOSIS: Doping, use
of drugs and toxic agents, exercise-associated hyponatremia, exertional heat
illness. TREATMENT: Initial management started with the basic resuscitative
guidelines of airway, breathing, and circulation (intubation). Cooling,
administration of fresh frozen plasma, and intensive rehydration resulted in
improvement. UNIQUENESS: To our knowledge, ours is the first description of this
MH mutation (p.K1393R) in the RYR1 gene that was associated with exertional
rhabdomyolysis involving a dramatic impairment of oxidative metabolism in muscle.
CONCLUSIONS: Common features are shared by EHS and MH. Careful attention must
therefore be paid to athletes who experience EHS, especially in temperate
climates or when there are no other predisposing factors.
PMID- 26565426
TI - Arsenic Adsorption on Lanthanum-Impregnated Activated Alumina: Spectroscopic and
DFT Study.
AB - Rare earth-modified adsorbents (REMAs) have been widely used to remove oxyanion
pollutants from water, including arsenic (As). However, the molecular-level
structural information and reactions at the liquid/solid interface are still
murky, which limits the design of applicable REMAs. Herein, a lanthanum
impregnated activated alumina (LAA) was synthesized as a representative REMA, and
its As uptake mechanisms were explored using multiple complementary
characterization techniques. Our adsorption experiments showed that LAA exhibited
2-3 times higher As adsorption capacity than AA. In contrast to the bidentate
configuration formed on most metal oxide surfaces, our EXAFS and DFT results
suggest that As(III) and As(V) form monodentate surface complexes on LAA through
As-O-La coordinative bonding. In situ flow cell ATR-FTIR observed a strong
dependence of As-O peak positions on pH, which could be interpreted as the change
in the fractions of As(V) surface complexes with zero- to double-protonation on
LAA, AA, and LaOOH. As(V) on LAA existed as singly and doubly protonated surface
species, and the pKa of transition from double to single protonation (~5.8) was
lower than that for its soluble counterpart (6.97). The surface reaction and
structural configuration were incorporated in a CD-MUSIC model to satisfactorily
predict macroscopic As adsorption behaviors. The insights gained from the
molecular-level reactions shed light on the design and application of REMAs in
environmental remediation for As and its structural analogues.
PMID- 26565428
TI - Loss to follow-up among youth accessing outpatient HIV care and treatment
services in Kisumu, Kenya.
AB - Youth are particularly vulnerable to acquiring HIV, yet reaching them with HIV
prevention interventions and engaging and retaining those infected in care and
treatment remains a challenge. We sought to determine the incidence rate of loss
to follow-up (LTFU) and explore socio-demographic and clinical characteristics
associated with LTFU among HIV-positive youth aged 15-21 years accessing
outpatient care and treatment clinics in Kisumu, Kenya. Between July 2007 and
September 2010, youth were enrolled into two different HIV care and treatment
clinics, one youth specific and the other family oriented. An individual was
defined as LTFU when absent from the HIV treatment clinic for >= 4 months
regardless of their antiretroviral treatment status. The incidence rate of LTFU
was calculated and Cox regression analysis used to identify factors associated
with LTFU. A total of 924 youth (79% female) were enrolled, with a median age of
20 years (IQR 18-21). Over half, (529 (57%)), were documented as LTFU, of whom
139 (26%) were LTFU immediately after enrolment. The overall incidence rate of
LTFU was 52.9 per 100 person-years (p-y). Factors associated with LTFU were
pregnancy during the study period (crude HR 0.68, 95% CI 0.53-0.89); CD4 cell
count >350 (adjusted hazard ratios (AHR) 0.59, 95% CI 0.39-0.90); not being on
antiretroviral therapy (AHR 4.0, 95% CI 2.70-5.88); and non-disclosure of HIV
infection status (AHR 1.43, 95% CI 1.10-1.89). The clinic of enrolment, age,
marital status, employment status, WHO clinical disease stage and education level
were not associated with LTFU. Interventions to identify and enrol youth into
care earlier, support disclosure, and initiate ART earlier may improve retention
of youth and need further investigation. Further research is also needed to
explore the reasons for LTFU from care among HIV-infected youth and the true
outcomes of these patients.
PMID- 26565427
TI - Vaccine targets against Moraxella catarrhalis.
AB - INTRODUCTION: Moraxella catarrhalis is a prominent pathogen that causes acute
otitis media in children and lower respiratory tract infections in adults,
resulting in a significant socioeconomic burden on healthcare systems globally.
No vaccine is currently available for M. catarrhalis. Promising M. catarrhalis
target antigens have been characterized in animal models and should soon enter
human clinical trials. AREAS COVERED: This review discusses the detailed features
and research status of current candidate target antigens for an M. catarrhalis
vaccine. The approaches for assessing M. catarrhalis vaccine efficacy are also
discussed. EXPERT OPINION: Targeting the key molecules contributing to serum
resistance may be a viable strategy to identify effective vaccine targets among
M. catarrhalis antigens. Elucidating the role and mechanisms of the serum and
mucosal immune responses to M. catarrhalis is significant for vaccine target
selection, testing and evaluation. Developing animal models closely simulating M.
catarrhalis-caused human respiratory diseases is of great benefit in better
understanding pathogenesis and evaluating vaccine efficacy. Carrying out clinical
trials will be a landmark in the progress of M. catarrhalis vaccine research.
Combined multicomponent vaccines will be a focus of future M. catarrhalis vaccine
studies.
PMID- 26565429
TI - Transcriptomics in cancer diagnostics: developments in technology, clinical
research and commercialization.
AB - Transcriptomic technologies are evolving to diagnose cancer earlier and more
accurately to provide greater predictive and prognostic utility to oncologists
and patients. Digital techniques such as RNA sequencing are replacing still
imaging techniques to provide more detailed analysis of the transcriptome and
aberrant expression that causes oncogenesis, while companion diagnostics are
developing to determine the likely effectiveness of targeted treatments. This
article examines recent advancements in molecular profiling research and
technology as applied to cancer diagnosis, clinical applications and predictions
for the future of personalized medicine in oncology.
PMID- 26565430
TI - Proteomic Approaches for Biomarker Panels in Cancer.
AB - Proteomic technologies remain the main backbone of biomarkers discovery in
cancer. The continuous development of proteomic technologies also enlarges the
bioinformatics domain, thus founding the main pillars of cancer therapy. The main
source for diagnostic/prognostic/therapy monitoring biomarker panels are
molecules that have a dual role, being both indicators of disease development and
therapy targets. Proteomic technologies, such as mass-spectrometry approaches and
protein array technologies, represent the main technologies that can depict these
biomarkers. Herein, we will illustrate some of the most recent strategies for
biomarker discovery in cancer, including the development of immune-markers and
the use of cancer stem cells as target therapy. The challenges of proteomic
biomarker discovery need new forms of cross-disciplinary conglomerates that will
result in increased and tailored access to treatments for patients; diagnostic
companies would benefit from the enhanced co-development of companion diagnostics
and pharmaceutical companies. In the technology optimization in biomarkers,
immune assays are the leaders of discovery machinery.
PMID- 26565431
TI - Correction to Scientific Evidence Supports a Ban on Microbeads.
PMID- 26565432
TI - In silico exploratory study using structure-activity relationship models and
metabolic information for prediction of mutagenicity based on the Ames test and
rodent micronucleus assay.
AB - The mutagenic potential of chemicals is a cause of growing concern, due to the
possible impact on human health. In this paper we have developed a knowledge
based approach, combining information from structure-activity relationship (SAR)
and metabolic triggers generated from the metabolic fate of chemicals in
biological systems for prediction of mutagenicity in vitro based on the Ames test
and in vivo based on the rodent micronucleus assay. In the first part of the
work, a model was developed, which comprises newly generated SAR rules and a set
of metabolic triggers. These SAR rules and metabolic triggers were further
externally validated to predict mutagenicity in vitro, with metabolic triggers
being used only to predict mutagenicity of chemicals, which were predicted
unknown, by SARpy. Hence, this model has a higher accuracy than the SAR model,
with an accuracy of 89% for the training set and 75% for the external validation
set. Subsequently, the results of the second part of this work enlist a set of
metabolic triggers for prediction of mutagenicity in vivo, based on the rodent
micronucleus assay. Finally, the results of the third part enlist a list of
metabolic triggers to find similarities and differences in the mutagenic response
of chemicals in vitro and in vivo.
PMID- 26565433
TI - Self-Assembled PbSe Nanowire:Perovskite Hybrids.
AB - Inorganic semiconductor nanowires are of interest in nano- and microscale
photonic and electronic applications. Here we report the formation of PbSe
nanowires based on directional quantum dot alignment and fusion regulated by
hybrid organic-inorganic perovskite surface ligands. All material synthesis is
carried out at mild temperatures. Passivation of PbSe quantum dots was achieved
via a new perovskite ligand exchange. Subsequent in situ ammonium/amine
substitution by butylamine enables quantum dots to be capped by butylammonium
lead iodide, and this further drives the formation of a PbSe nanowire
superlattice in a two-dimensional (2D) perovskite matrix. The average spacing
between two adjacent nanowires agrees well with the thickness of single atomic
layer of 2D perovskite, consistent with the formation of a new self-assembled
semiconductor nanowire:perovskite heterocrystal hybrid.
PMID- 26565434
TI - Free Fe(3+)/Fe(2+) improved the biomass resource recovery and organic matter
removal in Rhodobacter sphaeroides purification of sewage.
AB - The enhancement in biomass production and organic matter removal of Rhodobacter
sphaeroides (R. sphaeroides) through iron ions in soybean protein wastewater
treatment was investigated. Different dosages of ferric ions were introduced in
the reactors under light-anaerobic conditions. Free ferric and ferrous ions in
wastewater were formed and their concentrations were the optimal for the growth
of R. sphaeroides when the total Fe dosage was 20 mg/L. At the optimal dosage,
biomass production (4000 mg/L) and protease activity improved by 50% and 48% when
compared to the controls, respectively. The organic matter and protein removal
reached above 90% and hydraulic retention time was shortened from 96 to 72 h. A
mechanism analysis indicated that iron ions can effectively improve the adenosine
triphosphate production, which may furthermore encourage the synthesis of
protease and the cellular material.
PMID- 26565435
TI - An updated review of dietary isoflavones: Nutrition, processing, bioavailability
and impacts on human health.
AB - Isoflavones (genistein, daidzein, and glycitein) are bioactive compounds with
mildly estrogenic properties and often referred to as phytoestrogen. These are
present in significant quantities (up to 4-5 mg.g-1 on dry basis) in legumes
mainly soybeans, green beans, mung beans. In grains (raw materials) they are
present mostly as glycosides, which are poorly absorbed on consumption. Thus,
soybeans are processed into various food products for digestibility, taste and
bioavailability of nutrients and bioactives. Main processing steps include
steaming, cooking, roasting, microbial fermentation that destroy protease
inhibitors and also cleaves the glycoside bond to yield absorbable aglycone in
the processed soy products, such as miso, natto, soy milk, tofu; and increase
shelf lives. Processed soy food products have been an integral part of regular
diets in many Asia-Pacific countries for centuries, e.g. China, Japan and Korea.
However, in the last two decades, there have been concerted efforts to introduce
soy products in western diets for their health benefits with some success.
Isoflavones were hailed as magical natural component that attribute to prevent
some major prevailing health concerns. Consumption of soy products have been
linked to reduction in incidence or severity of chronic diseases such as
cardiovascular, breast and prostate cancers, menopausal symptoms, bone loss, etc.
Overall, consuming moderate amounts of traditionally prepared and minimally
processed soy foods may offer modest health benefits while minimizing potential
for any adverse health effects.
PMID- 26565436
TI - Expanding Constructions of Elder Abuse and Neglect: Older Mothers' Subjective
Experiences.
AB - This study examines elder abuse within the broader framework of parenting in
later life and focuses on the experience of mothers with "difficult" adult
children. The sample is low-income and minority older women (>62). Using
constructive grounded theory, the analysis reveals that the women's lens as
mothers informs their explanations for not being able to take action to limit
their adult children's difficult/abusive behaviors. The author suggests the
utility of incorporating a feminist framework that highlights adult child-to
mother violence as a means of increasing self-reporting of elder abuse and older
women's willingness to accept services.
PMID- 26565437
TI - Palliative Surgery for Advanced Cancer: Identifying Evidence-Based Criteria for
Patient Selection: Case Report and Review of Literature.
AB - BACKGROUND: Criteria for selecting patients with advanced cancer for palliative
surgery (PS) remains poorly defined. Decision making for PS requires realistic
treatment goals with well-defined criteria. Here we discuss a 71-year-old
Jehovah's Witness with advanced stage renal cell carcinoma (RCC) who presented
with profound anemia due to intractable bleeding from gastric metastasis. After
repeated attempts with endoscopic and angiographic management, she underwent
surgical palliation. Through this case, we developed 10-item evidence-based
criteria for selecting patients for PS. OBJECTIVE: The study objective was to
provide a review of pertinent literature for PS and identify evidence-based
criteria for patient selection. These criteria were relevant for selecting this
patient with metastatic RCC and may prove beneficial for selecting advanced
cancer patients for PS. METHODS: A MEDLINE search revealed 175 publications
relevant to PS. Among these, 17 articles defining patient selection criteria
(PSC) were reviewed. A frequency-based analysis of each criterion was performed.
Another search returned 30 cases of RCC gastric metastases from 25 published
reports. Outcome analysis was determined by the Kaplan-Meier actuarial method.
RESULTS: Ten criteria were identified: symptom control, prognosis, preoperative
performance status, quality of life (QoL), tumor burden amenable to palliation,
procedure-related morbidity and mortality, feasibility of nonsurgical therapies,
anticipated hospitalization, requirement for additional palliation, and cost.
This patient met all inclusion criteria and underwent a successful gastrectomy.
Median survival for patients with RCC gastric metastasis was 20 months.
CONCLUSIONS: This report illustrates an example of implementation of evidence
based criteria for selecting advanced cancer patients for PS. Validation of these
criteria is warranted.
PMID- 26565438
TI - Does Context Matter? Mastery Motivation and Therapy Engagement of Children with
Cerebral Palsy.
AB - AIMS: To determine if mastery motivation at baseline predicts engagement in two
goal-directed upper limb (UL) interventions for children with unilateral cerebral
palsy (UCP). METHODS: Participants were 44 children with UCP, mean age 7 years 10
months, Manual Ability Classification System level I (N = 23) or II (N = 21).
Twenty-six children received intensive novel group-based intervention (Hybrid
Constraint Induced Movement Therapy, hCIMT) and 18 received distributed
individual occupational therapy (OT). Caregivers completed the Dimensions of
Mastery Questionnaire (DMQ) parent-proxy report at baseline. Children's
engagement was independently rated using the Pediatric Volitional Questionnaire
(PVQ). Associations between children's mastery motivation and engagement were
examined using linear regression. RESULTS: Children who received hCIMT had lower
DMQ persistence at baseline (p = .05) yet higher PVQ volitional (p = .04) and
exploration (p = .001) scores. Among children who received hCIMT, greater object
oriented persistence was associated with task-directedness (beta 0.25, p = .05),
seeking challenges (beta = 0.51, p = .02), exploration (beta = 0.10, p = .03),
and volitional scores (beta = 0.23, p = .01). CONCLUSION: Despite having lower
levels of persistence prior to engaging in UL interventions, children who
received hCIMT demonstrated greater engagement in goal-directed tasks than
children who received individual OT. Within hCIMT, children's motivational
predisposition to persist with tasks manifested in their exploration and
engagement in therapy.
PMID- 26565439
TI - Ambient air concentrations exceeded health-based standards for fine particulate
matter and benzene during the Deepwater Horizon oil spill.
AB - The Deepwater Horizon oil spill is considered one of the largest marine oil
spills in the history of the United States. Air emissions associated with the oil
spill caused concern among residents of Southeast Louisiana. The purpose of this
study was to assess ambient concentrations of benzene (n=3,887) and fine
particulate matter (n=102,682) during the oil spill and to evaluate potential
exposure disparities in the region. Benzene and fine particulate matter (PM2.5)
concentrations in the targeted parishes were generally higher following the oil
spill, as expected. Benzene concentrations reached 2 to 19 times higher than
background, and daily exceedances of PM2.5 were 10 to 45 times higher than
background. Both benzene and PM2.5 concentrations were considered high enough to
exceed public health criteria, with measurable exposure disparities in the
coastal areas closer to the spill and clean-up activities. These findings raise
questions about public disclosure of environmental health risks associated with
the oil spill. The findings also provide a science-based rationale for
establishing health-based action levels in future disasters. IMPLICATIONS:
Benzene and particulate matter monitoring during the Deepwater Horizon oil spill
revealed that ambient air quality was a likely threat to public health and that
residents in coastal Louisiana experienced significantly greater exposures than
urban residents. Threshold air pollution levels established for the oil spill
apparently were not used as a basis for informing the public about these
potential health impacts. Also, despite carrying out the most comprehensive air
monitoring ever conducted in the region, none of the agencies involved provided
integrated analysis of the data or conclusive statements about public health
risk. Better information about real-time risk is needed in future environmental
disasters.
PMID- 26565440
TI - Localization of Interacting Fermions in the Aubry-Andre Model.
AB - We consider interacting electrons in a one-dimensional lattice with an
incommensurate Aubry-Andre potential in the regime when the single-particle
eigenstates are localized. We rigorously establish the persistence of ground
state localization in the presence of weak many-body interaction, for almost all
the chemical potentials. The proof uses a quantum many-body extension of methods
adopted for the stability of tori of nearly integrable Hamiltonian systems and
relies on number-theoretic properties of the potential incommensurate frequency.
PMID- 26565441
TI - Dynamics of Spin-Orbit Coupled Bose-Einstein Condensates in a Random Potential.
AB - Disorder plays a crucial role in spin dynamics in solids and condensed matter
systems. We demonstrate that for a spin-orbit coupled Bose-Einstein condensate in
a random potential two mechanisms of spin evolution that can be characterized as
"precessional" and "anomalous" are at work simultaneously. The precessional
mechanism, typical for solids, is due to the condensate displacement. The
unconventional anomalous mechanism is due to the spin-dependent velocity
producing the distribution of the condensate spin polarization. The condensate
expansion is accompanied by a random displacement and fragmentation, where it
becomes sparse, as clearly revealed in the spin dynamics. Thus, different stages
of the evolution can be characterized by looking at the condensate spin.
PMID- 26565442
TI - Propagation Dynamics of a Light Beam in a Fractional Schrodinger Equation.
AB - The dynamics of wave packets in the fractional Schrodinger equation is still an
open problem. The difficulty stems from the fact that the fractional Laplacian
derivative is essentially a nonlocal operator. We investigate analytically and
numerically the propagation of optical beams in the fractional Schrodinger
equation with a harmonic potential. We find that the propagation of one- and two
dimensional input chirped Gaussian beams is not harmonic. In one dimension, the
beam propagates along a zigzag trajectory in real space, which corresponds to a
modulated anharmonic oscillation in momentum space. In two dimensions, the input
Gaussian beam evolves into a breathing ring structure in both real and momentum
spaces, which forms a filamented funnel-like aperiodic structure. The beams
remain localized in propagation, but with increasing distance display an
increasingly irregular behavior, unless both the linear chirp and the transverse
displacement of the incident beam are zero.
PMID- 26565443
TI - Quantum Phase Transition and Universal Dynamics in the Rabi Model.
AB - We consider the Rabi Hamiltonian, which exhibits a quantum phase transition (QPT)
despite consisting only of a single-mode cavity field and a two-level atom. We
prove QPT by deriving an exact solution in the limit where the atomic transition
frequency in the unit of the cavity frequency tends to infinity. The effect of a
finite transition frequency is studied by analytically calculating finite
frequency scaling exponents as well as performing a numerically exact
diagonalization. Going beyond this equilibrium QPT setting, we prove that the
dynamics under slow quenches in the vicinity of the critical point is universal;
that is, the dynamics is completely characterized by critical exponents. Our
analysis demonstrates that the Kibble-Zurek mechanism can precisely predict the
universal scaling of residual energy for a model without spatial degrees of
freedom. Moreover, we find that the onset of the universal dynamics can be
observed even with a finite transition frequency.
PMID- 26565444
TI - Tensor Network Renormalization.
AB - We introduce a coarse-graining transformation for tensor networks that can be
applied to study both the partition function of a classical statistical system
and the Euclidean path integral of a quantum many-body system. The scheme is
based upon the insertion of optimized unitary and isometric tensors
(disentanglers and isometries) into the tensor network and has, as its key
feature, the ability to remove short-range entanglement or correlations at each
coarse-graining step. Removal of short-range entanglement results in scale
invariance being explicitly recovered at criticality. In this way we obtain a
proper renormalization group flow (in the space of tensors), one that in
particular (i) is computationally sustainable, even for critical systems, and
(ii) has the correct structure of fixed points, both at criticality and away from
it. We demonstrate the proposed approach in the context of the 2D classical Ising
model.
PMID- 26565445
TI - Generalized Efimov Effect in One Dimension.
AB - We study a one-dimensional quantum problem of two particles interacting with a
third one via a scale-invariant subcritically attractive inverse square
potential, which can be realized, for example, in a mixture of dipoles and
charges confined to one dimension. We find that above a critical mass ratio, this
version of the Calogero problem exhibits the generalized Efimov effect, the
emergence of discrete scale invariance manifested by a geometric series of three
body bound states with an accumulation point at zero energy.
PMID- 26565446
TI - Quantum State Smoothing.
AB - Smoothing is an estimation method whereby a classical state (probability
distribution for classical variables) at a given time is conditioned on all-time
(both earlier and later) observations. Here we define a smoothed quantum state
for a partially monitored open quantum system, conditioned on an all-time
monitoring-derived record. We calculate the smoothed distribution for a
hypothetical unobserved record which, when added to the real record, would
complete the monitoring, yielding a pure-state "quantum trajectory." Averaging
the pure state over this smoothed distribution yields the (mixed) smoothed
quantum state. We study how the choice of actual unraveling affects the purity
increase over that of the conventional (filtered) state conditioned only on the
past record.
PMID- 26565447
TI - Approaching Tsirelson's Bound in a Photon Pair Experiment.
AB - We present an experimental test of the Clauser-Horne-Shimony-Holt Bell inequality
on photon pairs in a maximally entangled state of polarization in which a value
S=2.82759+/-0.00051 is observed. This value comes close to the Tsirelson bound of
|S|<=2sqrt[2], with S-2sqrt[2]=0.00084+/-0.00051. It also violates the bound
|S|<=2.82537 introduced by Grinbaum by 4.3 standard deviations. This violation
allows us to exclude that quantum mechanics is only an effective description of a
more fundamental theory.
PMID- 26565448
TI - Single-Photon-Resolved Cross-Kerr Interaction for Autonomous Stabilization of
Photon-Number States.
AB - Quantum states can be stabilized in the presence of intrinsic and environmental
losses by either applying an active feedback condition on an ancillary system or
through reservoir engineering. Reservoir engineering maintains a desired quantum
state through a combination of drives and designed entropy evacuation. We propose
and implement a quantum-reservoir engineering protocol that stabilizes Fock
states in a microwave cavity. This protocol is realized with a circuit quantum
electrodynamics platform where a Josephson junction provides direct, nonlinear
coupling between two superconducting waveguide cavities. The nonlinear coupling
results in a single-photon-resolved cross-Kerr effect between the two cavities
enabling a photon-number-dependent coupling to a lossy environment. The quantum
state of the microwave cavity is discussed in terms of a net polarization and is
analyzed by a measurement of its steady state Wigner function.
PMID- 26565449
TI - Secure Continuous Variable Teleportation and Einstein-Podolsky-Rosen Steering.
AB - We investigate the resources needed for secure teleportation of coherent states.
We extend continuous variable teleportation to include quantum teleamplification
protocols that allow nonunity classical gains and a preamplification or
postattenuation of the coherent state. We show that, for arbitrary Gaussian
protocols and a significant class of Gaussian resources, two-way steering is
required to achieve a teleportation fidelity beyond the no-cloning threshold.
This provides an operational connection between Gaussian steerability and secure
teleportation. We present practical recipes suggesting that heralded noiseless
preamplification may enable high-fidelity heralded teleportation, using minimally
entangled yet steerable resources.
PMID- 26565450
TI - Prethermalization and Thermalization in Models with Weak Integrability Breaking.
AB - We study the effects of integrability-breaking perturbations on the
nonequilibrium evolution of many-particle quantum systems. We focus on a class of
spinless fermion models with weak interactions. We employ equation of motion
techniques that can be viewed as generalizations of quantum Boltzmann equations.
We benchmark our method against time-dependent density matrix renormalization
group computations and find it to be very accurate as long as interactions are
weak. For small integrability breaking, we observe robust prethermalization
plateaux for local observables on all accessible time scales. Increasing the
strength of the integrability-breaking term induces a "drift" away from the
prethermalization plateaux towards thermal behavior. We identify a time scale
characterizing this crossover.
PMID- 26565451
TI - Gravitational Waves from a Dark Phase Transition.
AB - In this work, we show that a large class of models with a composite dark sector
undergo a strong first order phase transition in the early Universe, which could
lead to a detectable gravitational wave signal. We summarize the basic conditions
for a strong first order phase transition for SU(N) dark sectors with n_{f}
flavors, calculate the gravitational wave spectrum and show that, depending on
the dark confinement scale, it can be detected at eLISA or in pulsar timing array
experiments. The gravitational wave signal provides a unique test of the
gravitational interactions of a dark sector, and we discuss the complementarity
with conventional searches for new dark sectors. The discussion includes the twin
Higgs and strongly interacting massive particle models as well as symmetric and
asymmetric composite dark matter scenarios.
PMID- 26565452
TI - Detecting Gravitational-Wave Transients at 5sigma: A Hierarchical Approach.
AB - As second-generation gravitational-wave detectors prepare to analyze data at
unprecedented sensitivity, there is great interest in searches for unmodeled
transients, commonly called bursts. Significant effort has yielded a variety of
techniques to identify and characterize such transient signals, and many of these
methods have been applied to produce astrophysical results using data from first
generation detectors. However, the computational cost of background estimation
remains a challenging problem; it is difficult to claim a 5sigma detection with
reasonable computational resources without paying for efficiency with reduced
sensitivity. We demonstrate a hierarchical approach to gravitational-wave
transient detection, focusing on long-lived signals, which can be used to detect
transients with significance in excess of 5sigma using modest computational
resources. In particular, we show how previously developed seedless clustering
techniques can be applied to large data sets to identify high-significance
candidates without having to trade sensitivity for speed.
PMID- 26565453
TI - Signatures of a Two Million Year Old Supernova in the Spectra of Cosmic Ray
Protons, Antiprotons, and Positrons.
AB - The locally observed cosmic ray spectrum has several puzzling features, such as
the excess of positrons and antiprotons above ~20 GeV and the discrepancy in the
slopes of the spectra of cosmic ray protons and heavier nuclei in the TeV-PeV
energy range. We show that these features are consistently explained by a nearby
source which was active approximately two million years ago and has injected (2
3)*10^{50} erg in cosmic rays. The transient nature of the source and its overall
energy budget point to the supernova origin of this local cosmic ray source. The
age of the supernova suggests that the local cosmic ray injection was produced by
the same supernova that has deposited ^{60}Fe isotopes in the deep ocean crust.
PMID- 26565454
TI - Brans-Dicke Theory with Lambda>0: Black Holes and Large Scale Structures.
AB - A step-by-step approach is followed to study cosmic structures in the context of
Brans-Dicke theory with positive cosmological constant Lambda and parameter
omega. First, it is shown that regular stationary black-hole solutions not only
have constant Brans-Dicke field phi, but can exist only for omega=infinity, which
forces the theory to coincide with the general relativity. Generalizations of the
theory in order to evade this black-hole no-hair theorem are presented. It is
also shown that in the absence of a stationary cosmological event horizon in the
asymptotic region, a stationary black-hole horizon can support a nontrivial Brans
Dicke hair. Even more importantly, it is shown next that the presence of a
stationary cosmological event horizon rules out any regular stationary solution,
appropriate for the description of a star. Thus, to describe a star one has to
assume that there is no such stationary horizon in the faraway asymptotic region.
Under this implicit assumption generic spherical cosmic structures are studied
perturbatively and it is shown that only for omega>0 or omega?-5 their predicted
maximum sizes are consistent with observations. We also point out how, many of
the conclusions of this work differ qualitatively from the Lambda=0 spacetimes.
PMID- 26565455
TI - Widening the Axion Window via Kinetic and Stuckelberg Mixings.
AB - We point out that kinetic and Stuckelberg mixings that are generically present in
the low energy effective action of axions can significantly widen the window of
axion decay constants. We show that an effective super-Planckian decay constant
can be obtained even when the axion kinetic matrix has only sub-Planckian
entries. Our minimal model involves only two axions, a Stuckelberg U(1) and a
modest rank instanton generating non-Abelian group. Below the mass of the
Stuckelberg U(1), there is only a single axion with a nonperturbatively generated
potential. In contrast to previous approaches, the enhancement of the axion decay
constant is not tied to the number of degrees of freedom introduced. We also
discuss how kinetic mixings can lower the decay constant to the desired axion
dark matter window. String theory embeddings of this scenario and their
phenomenological features are briefly discussed.
PMID- 26565456
TI - Opening Up the Compressed Region of Top Squark Searches at 13 TeV LHC.
AB - Light top superpartners play a key role in stabilizing the electroweak scale in
supersymmetric theories. For R-parity conserved supersymmetric models,
traditional searches are not sensitive to the compressed regions. In this Letter,
we propose a new method targeting this region, with top squark and neutralino
mass splitting ranging from m_{t[over ~]}-m_{chi}?m_{t} to about 20 GeV. In
particular, we focus on the signal process in which a pair of top squarks are
produced in association with a hard jet, and we define a new observable R_{M}
whose distribution has a peak in this compressed region. The position of the peak
is closely correlated with m_{t[over ~]}. We show that for the 13 TeV LHC with a
luminosity of 3000 fb^{-1}, this analysis can extend the reach of the top squark
in the compressed region to m_{t[over ~]} around 800 GeV.
PMID- 26565457
TI - Effective Field Theory Approach to b->sll^{(')}, B->K^{(*)}nunu[over -] and B
>D^{(*)}taunu with Third Generation Couplings.
AB - The LHCb Collaboration reported anomalies in B->K^{*}MU^{+}MU^{-}, B_{s}
>phiMU^{+}MU^{-}, and R(K)=B->KMU^{+}MU^{-}/B->Ke^{+}e^{-}. Furthermore, BABAR,
BELLE, and LHCb Collaborations found hints for the violation of lepton-flavor
universality violation in R(D^{(*)})=B->D^{(*)}taunu/B->D^{(*)}lnu. In this
Letter we reexamine these decays and their correlations to B->K^{(*)}nunu[over -]
using gauge invariant dim-6 operators. For the numerical analysis we focus on
scenarios in which new physics couples, in the interaction eigenbasis, to third
generation quarks and lepton only. We conclude that such a setup can explain the
b->sMU^{+}MU^{-} data simultaneously with R(D^{(*)}) for small mixing angles in
the lepton sector (of the order of pi/16) and very small mixing angles in the
quark sector (smaller than V_{cb}). In these regions of parameter space, B
>K^{(*)}tauMU and B_{s}->tauMU can be order 10^{-6}. Possible UV completions are
briefly discussed.
PMID- 26565458
TI - Constraining Dark Matter Interactions with Pseudoscalar and Scalar Mediators
Using Collider Searches for Multijets plus Missing Transverse Energy.
AB - The monojet search, looking for events involving missing transverse energy
(E_{T}) plus one or two jets, is the most prominent collider dark matter search.
We show that multijet searches, which look for E_{T} plus two or more jets, are
significantly more sensitive than the monojet search for pseudoscalar- and scalar
mediated interactions. We demonstrate this in the context of a simplified model
with a pseudoscalar interaction that explains the excess in GeV energy gamma rays
observed by the Fermi Large Area Telescope. We show that multijet searches
already constrain a pseudoscalar interpretation of the excess in much of the
parameter space where the mass of the mediator M_{A} is more than twice the dark
matter mass m_{DM}. With the forthcoming run of the Large Hadron Collider at
higher energies, the remaining regions of the parameter space where M_{A}>2m_{DM}
will be fully explored. Furthermore, we highlight the importance of complementing
the monojet final state with multijet final states to maximize the sensitivity of
the search for the production of dark matter at colliders.
PMID- 26565459
TI - Unified Explanation of the eejj, Diboson, and Dijet Resonances at the LHC.
AB - We show that the excess events observed in a number of recent LHC resonance
searches can be simultaneously explained within a nonsupersymmetric left-right
inverse seesaw model for neutrino masses with W_{R} mass around 1.9 TeV. The
minimal particle content that leads to gauge coupling unification in this model
predicts g_{R}?0.51 at the TeV scale, which is consistent with data. The extra
color singlet, SU(2)-triplet fermions required for unification can be interpreted
as the dark matter of the Universe. Future measurements of the ratio of same-sign
to opposite-sign dilepton events can provide a way to distinguish this scenario
from the canonical cases of type-I and inverse seesaw, i.e., provide a measure of
the relative magnitudes of the Dirac and Majorana masses of the right-handed
neutrinos in the SU(2)_{R} doublet of the left-right symmetric model.
PMID- 26565460
TI - Searching for New Spin- and Velocity-Dependent Interactions by Spin Relaxation of
Polarized ^{3}He Gas.
AB - We have constrained possible new interactions which produce nonrelativistic
potentials between polarized neutrons and unpolarized matter proportional to
alphasigma[over ->].v[over ->] where sigma[over ->] is the neutron spin and
v[over ->] is the relative velocity. We use existing data from laboratory
measurements on the very long T_{1} and T_{2} spin relaxation times of polarized
^{3}He gas in glass cells. Using the best available measured T_{2} of polarized
^{3}He gas atoms as the polarized source and the Earth as an unpolarized source,
we obtain constraints on two new interactions. We present a new experimental
upper bound on possible vector-axial-vector (V_{VA}) type interactions for ranges
between 1 and 10^{8} m. In combination with previous results, we set the most
stringent experiment limits on g_{V}g_{A} ranging from ~MUm to ~10^{8} m. We also
report what is to our knowledge the first experimental upper limit on the
possible torsion fields induced by the Earth on its surface. Dedicated
experiments could further improve these bounds by a factor of ~100. Our method of
analysis also makes it possible to probe many velocity dependent interactions
which depend on the spins of both neutrons and other particles which have never
been searched for before experimentally.
PMID- 26565462
TI - Isotropization and Hydrodynamization in Weakly Coupled Heavy-Ion Collisions.
AB - We numerically solve the (2+1)-dimensional effective kinetic theory of weak
coupling QCD under longitudinal expansion, relevant for early stages of heavy-ion
collisions. We find agreement with viscous hydrodynamics and classical Yang-Mills
simulations in the regimes where they are applicable. By choosing initial
conditions that are motivated by a color-glass-condensate framework, we find that
for Q_{s}=2 GeV and alpha_{s}=0.3 the system is approximately described by
viscous hydrodynamics well before tau?1.0 fm/c.
PMID- 26565463
TI - Limit on Tensor Currents from ^{8}Li beta Decay.
AB - In the standard model, the weak interaction is formulated with a purely vector
axial-vector (V-A) structure. Without restriction on the chirality of the
neutrino, the most general limits on tensor currents from nuclear beta decay are
dominated by a single measurement of the beta-nu[over -] correlation in ^{6}He
beta decay dating back over a half century. In the present work, the beta-nu[over
-]-alpha correlation in the beta decay of ^{8}Li and subsequent alpha-particle
breakup of the ^{8}Be^{*} daughter was measured. The results are consistent with
a purely V-A interaction and in the case of couplings to right-handed neutrinos
(C_{T}=-C_{T}^{'}) limits the tensor fraction to |C_{T}/C_{A}|^{2}<0.011 (95.5%
C.L.). The measurement confirms the ^{6}He result using a different nuclear
system and employing modern ion-trapping techniques subject to different
systematic uncertainties.
PMID- 26565464
TI - Measurement of the Spin-Dipolar Part of the Tensor Polarizability of ^{87}Rb.
AB - We report on the measurement of the contribution of the magnetic-dipole hyperfine
interaction to the tensor polarizaility of the electronic ground state in
^{87}Rb. This contribution was isolated by measuring the differential shift of
the clock transition frequency in ^{87}Rb atoms that were optically trapped in
the focus of an intense CO_{2} laser beam. By comparing to previous tensor
polarizability measurements in ^{87}Rb, the contribution of the interaction with
the nuclear electric-quadrupole moment was isolated as well. Our measurement will
enable better estimation of blackbody shifts in Rb atomic clocks. The methods
reported here are applicable for future spectroscopic studies of atoms and
molecules under strong quasistatic fields.
PMID- 26565465
TI - Criterion for Distinguishing Sequential from Nonsequential Contributions to the
Double Ionization of Helium in Ultrashort Extreme-Ultraviolet Pulses.
AB - We quantify sequential and nonsequential contributions in two-photon double
ionization of helium atoms by intense ultrashort extreme-ultraviolet pulses with
central photon energies homega_{ctr} near the sequential double-ionization
threshold. If the spectrum of such pulses overlaps both the sequential
(homega>54.4 eV) and nonsequential (homega<54.4 eV) double-ionization regimes,
the sequential and nonsequential double-ionization mechanisms are difficult to
distinguish. By tracking the double-ionization asymmetry in joint photoelectron
angular distributions, we introduce the two-electron forward-backward-emission
asymmetry as a measure that allows the distinction of sequential and
nonsequential contributions. Specifically, for homega_{ctr}=50 eV pulses with a
sine-squared temporal profile, we find that the sequential double-ionization
contribution is the largest at a pulse length of 650 as, due to competing
temporal and spectral constraints. In addition, we validate a simple heuristic
expression for the sequential double-ionization contribution in comparison with
ab initio calculations.
PMID- 26565466
TI - Controlling Positronium Annihilation with Electric Fields.
AB - We show that the annihilation dynamics of excited positronium (Ps) atoms can be
controlled using parallel electric and magnetic fields. To achieve this, Ps atoms
were optically excited to n=2 sublevels in fields that were adjusted to control
the amount of short-lived and long-lived character of the resulting mixed states.
Inclusion of the former offers a practical approach to detection via annihilation
radiation, whereas the increased lifetimes due to the latter can be exploited to
optimize resonance-enhanced two-photon excitation processes (e.g., 1^{3}S->2^{3}P
>nS/nD), either by minimizing losses through intermediate state decay, or by
making it possible to separate the excitation laser pulses in time. In addition,
photoexcitation of mixed states with a 2^{3}S_{1} component represents an
efficient route to producing long-lived pure 2^{3}S_{1} atoms via single-photon
excitation.
PMID- 26565467
TI - What is the Temporal Analog of Reflection and Refraction of Optical Beams?
AB - It is shown numerically and analytically that when an optical pulse approaches a
moving temporal boundary across which the refractive index changes, it undergoes
a temporal equivalent of reflection and refraction of optical beams at a spatial
boundary. The main difference is that the role of angles is played by changes in
the frequency. The frequency dependence of the dispersion of the material in
which the pulse is propagating plays a fundamental role in determining the
frequency shifts experienced by the reflected and refracted pulses. Our analytic
expressions for these frequency shifts allow us to find the condition under which
an analog of total internal reflection may occur at the temporal boundary.
PMID- 26565468
TI - Popping Balloons: A Case Study of Dynamical Fragmentation.
AB - Understanding the physics of fragmentation is important in a wide range of
industrial and geophysical applications. Fragmentation processes involve large
strain rates and short time scales that take place during crack nucleation and
propagation. Using rubber membranes, we develop an experimental analysis that
enables us to track the fragmentation process in situ in both time and space. We
find that bursting a highly stretched membrane yields a treelike fragmentation
network that originates at a single seed crack, followed by successive crack tip
splitting events. We show that a dynamic instability drives this branching
mechanism. Fragmentation occurs when the crack tip speed attains a critical
velocity for which tip splitting becomes the sole available mechanism of
releasing the stored elastic energy. Given the general character of the
fragmentation processes, this framework should be applicable to other crack
networks in brittle materials.
PMID- 26565469
TI - Continuous Growth of Droplet Size Variance due to Condensation in Turbulent
Clouds.
AB - We use a stochastic model and direct numerical simulation to study the impact of
turbulence on cloud droplet growth by condensation. We show that the variance of
the droplet size distribution increases in time as t^{1/2}, with growth rate
proportional to the large-to-small turbulent scale separation and to the
turbulence integral scales but independent of the mean turbulent dissipation.
Direct numerical simulations confirm this result and produce realistically broad
droplet size spectra over time intervals of 20 min, comparable with the time of
rain formation.
PMID- 26565470
TI - Widely Tunable Two-Color Free-Electron Laser on a Storage Ring.
AB - With a wide wavelength tuning range, free-electron lasers (FELs) are well suited
for producing simultaneous lasing at multiple wavelengths. We present the first
experimental results of a novel two-color storage ring FEL. With three undulators
and a pair of dual-band mirrors, the two-color FEL can lase simultaneously in
infrared (IR) around 720 nm and in ultraviolet (UV) around 360 nm. We have
demonstrated independent wavelength tuning in a wide range (60 nm in IR and 24 nm
in UV). We have also realized two-color harmonic operation with the UV lasing
tuned to the second harmonic of the IR lasing. Furthermore, we have demonstrated
good power stability with two-color lasing, and good control of the power sharing
between the two colors.
PMID- 26565471
TI - Active Plasma Lensing for Relativistic Laser-Plasma-Accelerated Electron Beams.
AB - Compact, tunable, radially symmetric focusing of electrons is critical to laser
plasma accelerator (LPA) applications. Experiments are presented demonstrating
the use of a discharge-capillary active plasma lens to focus 100-MeV-level LPA
beams. The lens can provide tunable field gradients in excess of 3000 T/m,
enabling cm-scale focal lengths for GeV-level beam energies and allowing LPA
based electron beams and light sources to maintain their compact footprint. For a
range of lens strengths, excellent agreement with simulation was obtained.
PMID- 26565472
TI - Ab initio Lattice Results for Fermi Polarons in Two Dimensions.
AB - We investigate the attractive Fermi polaron problem in two dimensions using
nonperturbative Monte Carlo simulations. We introduce a new Monte Carlo algorithm
called the impurity lattice Monte Carlo method. This algorithm samples the path
integral in a computationally efficient manner and has only small sign
oscillations for systems with a single impurity. As a benchmark of the method, we
calculate the universal polaron energy in three dimensions in the scale-invariant
unitarity limit and find agreement with published results. We then present the
first fully nonperturbative calculations of the polaron energy in two dimensions
and density correlations between the impurity and majority particles in the limit
of zero-range interactions. We find evidence for a smooth crossover transition
from fermionic quasiparticle to molecular state as a function of the interaction
strength.
PMID- 26565473
TI - Suppression of beta Relaxation in Vapor-Deposited Ultrastable Glasses.
AB - Glassy materials display numerous important properties which relate to the
presence and intensity of the secondary (beta) relaxations that dominate the
dynamics below the glass transition temperature. However, experimental protocols
such as annealing allow little control over the beta relaxation for most glasses.
Here we report on the beta relaxation of toluene in highly stable glasses
prepared by physical vapor deposition. At conditions that generate the highest
kinetic stability, about 70% of the beta relaxation intensity is suppressed,
indicating the proximity of this state to the long-sought "ideal glass." While
preparing such a state via deposition takes less than an hour, it would require
~3500 years of annealing an ordinary glass to obtain similarly suppressed
dynamics.
PMID- 26565474
TI - Anomalous Stress Response of Ultrahard WB_{n} Compounds.
AB - Boron-rich tungsten borides are premier prototypes of a new class of ultrahard
compounds. Here, we show by first-principles calculations that their stress
strain relations display surprisingly diverse and anomalous behavior under a
variety of loading conditions. Most remarkable is the dramatically changing
bonding configurations and deformation modes with rising boron concentration in
WB_{n} (n=2, 3, 4), resulting in significantly different stress responses and
unexpected indentation strength variations. This novel phenomenon stems from the
peculiar structural arrangements in tungsten borides driven by boron's ability to
form unusually versatile bonding states. Our results elucidate the intriguing
deformation mechanisms that define a distinct type of ultrahard material. These
new insights underscore the need to explore unconventional structure-property
relations in a broad range of transition-metal light-element compounds.
PMID- 26565475
TI - Nonclassical Nucleation in a Solid-Solid Transition of Confined Hard Spheres.
AB - A solid-solid phase transition of colloidal hard spheres confined between two
planar hard walls is studied using a combination of molecular dynamics and Monte
Carlo simulation. The transition from a solid consisting of five crystalline
layers with square symmetry (5?) to a solid consisting of four layers with
triangular symmetry (4?) is shown to occur through a nonclassical nucleation
mechanism that involves the initial formation of a precritical liquid cluster,
within which the cluster of the stable 4? phase grows. Free-energy calculations
show that the transition occurs in one step, crossing a single free-energy
barrier, and that the critical nucleus consists of a small 4? solid cluster
wetted by a metastable liquid. In addition, the liquid cluster and the solid
cluster are shown to grow at the planar hard walls. We also find that the
critical nucleus size increases with supersaturation, which is at odds with
classical nucleation theory. The ?-solid-like cluster is shown to contain both
face-centered-cubic and hexagonal-close-packed ordered particles.
PMID- 26565476
TI - Water-COOH Composite Structure with Enhanced Hydrophobicity Formed by Water
Molecules Embedded into Carboxyl-Terminated Self-Assembled Monolayers.
AB - By combining molecular dynamics simulations and quantum mechanics calculations,
we show the formation of a composite structure composed of embedded water
molecules and the COOH matrix on carboxyl-terminated self-assembled monolayers
(COOH SAMs) with appropriate packing densities. This composite structure with an
integrated hydrogen bond network inside reduces the hydrogen bonds with the water
above. This explains the seeming contradiction on the stability of the surface
water on COOH SAMs observed in experiments. The existence of the composite
structure at appropriate packing densities results in the two-step distribution
of contact angles of water droplets on COOH SAMs, around 0 degrees and 35
degrees , which compares favorably to the experimental measurements of contact
angles collected from forty research articles over the past 25 years. These
findings provide a molecular-level understanding of water on surfaces (including
surfaces on biomolecules) with hydrophilic functional groups.
PMID- 26565477
TI - Phonons in Ultrathin Oxide Films: 2D to 3D Transition in FeO on Pt(111).
AB - The structural and magnetic properties of ultrathin FeO(111) films on Pt(111)
with thicknesses from 1 to 16 monolayers (MLs) were studied using the nuclear
inelastic scattering of synchrotron radiation. A distinct evolution of
vibrational characteristics with thickness, revealed in the phonon density of
states (PDOS), shows a textbook transition from 2D to 3D lattice dynamics. For
the thinnest films of 1 and 2 ML, the low-energy part of the PDOS followed a
linear ?E dependence in energy that is characteristic for two-dimensional
systems. This dependence gradually transforms with thickness to the bulk ?E^{2}
relationship. Density-functional theory phonon calculations perfectly reproduced
the measured 1-ML PDOS within a simple model of a pseudomorphic FeO/Pt(111)
interface. The calculations show that the 2D PDOS character is due to a weak
coupling of the FeO film to the Pt(111) substrate. The evolution of the
vibrational properties with an increasing thickness is closely related to a
transient long-range magnetic order and stabilization of an unusual structural
phase.
PMID- 26565478
TI - Ghost Branch Photoluminescence From a Polariton Fluid Under Nonresonant
Excitation.
AB - An expanding polariton condensate is investigated under pulsed nonresonant
excitation with a small laser pump spot. Far above the condensation threshold we
observe a pronounced increase in the dispersion curvature, with a subsequent
linearization of the spectrum and strong luminescence from a ghost branch
orthogonally polarized with respect to the linearly polarized condensate
emission. Polarization of both branches is understood in terms of spin-dependent
polariton-polariton scattering. The presence of the ghost branch has been
confirmed in time-resolved measurements. The effects of disorder and dissipation
in the photoluminescence of polariton condensates and their excitations are
discussed.
PMID- 26565479
TI - Detuning-Controlled Internal Oscillations in an Exciton-Polariton Condensate.
AB - We theoretically analyze exciton-photon oscillatory dynamics within a homogenous
polariton gas in the presence of energy detuning between the cavity and quantum
well modes. Whereas pure Rabi oscillations consist of the particle exchange
between the photon and exciton states in the polariton system without any
oscillations of the phases of the two subcondensates, we demonstrate that any
nonzero detuning results in oscillations of the relative phase of the photon and
exciton macroscopic wave functions. Different initial conditions reveal a variety
of behaviors of the relative phase between the two condensates, and a crossover
from Rabi-like to Josephson-like oscillations is predicted.
PMID- 26565481
TI - Helical Quantum Edge Gears in 2D Topological Insulators.
AB - We show that two-terminal transport can measure the Luttinger liquid (LL)
parameter K, in helical LLs at the edges of two-dimensional topological
insulators (TIs) with Rashba spin-orbit coupling. We consider a Coulomb drag
geometry with two coplanar TIs and short-ranged spin-flip interedge scattering.
Current injected into one edge loop induces circulation in the second, which
floats without leads. In the low-temperature (T->0) perfect drag regime, the
conductance is (e^{2}/h)(2K+1)/(K+1). At higher T, we predict a conductivity ~T^{
4K+3}. The conductivity for a single edge is also computed.
PMID- 26565480
TI - Pressure-Induced Electronic Transition in Black Phosphorus.
AB - In a semimetal, both electrons and holes contribute to the density of states at
the Fermi level. The small band overlaps and multiband effects engender novel
electronic properties. We show that a moderate hydrostatic pressure effectively
suppresses the band gap in the elemental semiconductor black phosphorus. An
electronic topological transition takes place at approximately 1.2 GPa, above
which black phosphorus evolves into a semimetal state that is characterized by a
colossal positive magnetoresistance and a nonlinear field dependence of Hall
resistivity. The Shubnikov-de Haas oscillations detected in magnetic field reveal
the complex Fermi surface topology of the semimetallic phase. In particular, we
find a nontrivial Berry phase in one Fermi surface that emerges in the semimetal
state, as evidence of a Dirac-like dispersion. The observed semimetallic behavior
greatly enriches the material property of black phosphorus and sets the stage for
the exploration of novel electronic states in this material.
PMID- 26565482
TI - Quantum Criticality in Quasi-Two-Dimensional Itinerant Antiferromagnets.
AB - Quasi-two-dimensional itinerant fermions in the antiferromagnetic (AFM) quantum
critical region of their phase diagram, such as in the Fe-based superconductors
or in some of the heavy-fermion compounds, exhibit a resistivity varying linearly
with temperature and a contribution to specific heat or thermopower proportional
to TlnT. It is shown, here, that a generic model of itinerant anti-ferromagnet
can be canonically transformed so that its critical fluctuations around the AFM
vector Q can be obtained from the fluctuations in the long wavelength limit of a
dissipative quantum XY model. The fluctuations of the dissipative quantum XY
model in 2D have been evaluated recently, and in a large regime of parameters,
they are determined, not by renormalized spin fluctuations, but by topological
excitations. In this regime, the fluctuations are separable in their spatial and
temporal dependence and have a spatial correlation length which is proportional
to the logarithm of the temporal correlation length, i.e., for some purposes, the
effective dynamic exponent z=infinity. The time dependence gives omega/T scaling
at criticality. The observed resistivity and entropy then follow. Several
predictions to test the theory are also given.
PMID- 26565483
TI - Many-Body Localization and Quantum Nonergodicity in a Model with a Single
Particle Mobility Edge.
AB - We investigate many-body localization in the presence of a single-particle
mobility edge. By considering an interacting deterministic model with an
incommensurate potential in one dimension we find that the single-particle
mobility edge in the noninteracting system leads to a many-body mobility edge in
the corresponding interacting system for certain parameter regimes. Using exact
diagonalization, we probe the mobility edge via energy resolved entanglement
entropy (EE) and study the energy resolved applicability (or failure) of the
eigenstate thermalization hypothesis (ETH). Our numerical results indicate that
the transition separating area and volume law scaling of the EE does not coincide
with the nonthermal to thermal transition. Consequently, there exists an extended
nonergodic phase for an intermediate energy window where the many-body
eigenstates violate the ETH while manifesting volume law EE scaling. We also
establish that the model possesses an infinite temperature many-body localization
transition despite the existence of a single-particle mobility edge. We propose a
practical scheme to test our predictions in atomic optical lattice experiments
which can directly probe the effects of the mobility edge.
PMID- 26565461
TI - Observation of a Neutral Charmoniumlike State Z_{c}(4025)^{0} in e^{+}e^{-}
>(D^{*}D[over -]^{*})^{0}pi^{0}.
AB - We report a study of the process e^{+}e^{-}->(D^{*}D[over -]^{*})^{0}pi^{0} using
e^{+}e^{-} collision data samples with integrated luminosities of 1092 pb^{-1} at
sqrt[s]=4.23 GeV and 826 pb^{-1} at sqrt[s]=4.26 GeV collected with the BESIII
detector at the BEPCII storage ring. We observe a new neutral structure near the
(D^{*}D[over -]^{*})^{0} mass threshold in the pi^{0} recoil mass spectrum, which
we denote as Z_{c}(4025)^{0}. Assuming a Breit-Wigner line shape, its pole mass
and pole width are determined to be (4025.5_{-4.7}^{+2.0}+/-3.1) MeV/c^{2} and
(23.0+/-6.0+/-1.0) MeV, respectively. The Born cross sections of e^{+}e^{-}
>Z_{c}(4025)^{0}pi^{0}->(D^{*}D[over -]^{*})^{0}pi^{0} are measured to be (61.6+/
8.2+/-9.0) pb at sqrt[s]=4.23 GeV and (43.4+/-8.0+/-5.4) pb at sqrt[s]=4.26 GeV.
The first uncertainties are statistical and the second are systematic.
PMID- 26565484
TI - Interaction-Driven Metal-Insulator Transition in Strained Graphene.
AB - The question of whether electron-electron interactions can drive a metal to
insulator transition in graphene under realistic experimental conditions is
addressed. Using three representative methods to calculate the effective long
range Coulomb interaction between pi electrons in graphene and solving for the
ground state using quantum Monte Carlo methods, we argue that, without strain,
graphene remains metallic and changing the substrate from SiO_{2} to suspended
samples hardly makes any difference. In contrast, applying a rather large-but
experimentally realistic-uniform and isotropic strain of about 15% seems to be a
promising route to making graphene an antiferromagnetic Mott insulator.
PMID- 26565485
TI - Effect of Structural Relaxation on the Electronic Structure of Graphene on
Hexagonal Boron Nitride.
AB - We performed calculations of electronic, optical, and transport properties of
graphene on hexagonal boron nitride with realistic moire patterns. The latter are
produced by structural relaxation using a fully atomistic model. This relaxation
turns out to be crucially important for electronic properties. We describe
experimentally observed features such as additional Dirac points and the
"Hofstadter butterfly" structure of energy levels in a magnetic field. We find
that the electronic structure is sensitive to many-body renormalization of the
local energy gap.
PMID- 26565486
TI - Intrinsic Quantum Anomalous Hall Effect in the Kagome Lattice
Cs_{2}LiMn_{3}F_{12}.
AB - In a kagome lattice, the time reversal symmetry can be broken by a staggered
magnetic flux emerging from ferromagnetic ordering and intrinsic spin-orbit
coupling, leading to several well-separated nontrivial Chern bands and intrinsic
quantum anomalous Hall effect. Based on this idea and ab initio calculations, we
propose the realization of the intrinsic quantum anomalous Hall effect in the
single layer Cs_{2}Mn_{3}F_{12} kagome lattice and on the (001) surface of a
Cs_{2}LiMn_{3}F_{12} single crystal by modifying the carrier coverage on it,
where the band gap is around 20 meV. Moreover, a simplified tight binding model
based on the in-plane ddsigma antibonding states is constructed to understand the
topological band structures of the system.
PMID- 26565487
TI - Critical Behavior of Alternately Pumped Nuclear Spins in Quantum Dots.
AB - Nuclear spins in a spin-blocked quantum dot can be pumped and eventually
polarized in either of two opposite directions that are selected by applying two
different source-drain voltages. Applying a square pulse train as the source
drain voltage can continuously switch the pumping direction alternately. We
propose and demonstrate a critical behavior in the polarization after alternate
pumping, where the final polarization is sensitive to the initial polarization
and pulse conditions. This sensitivity leads to stochastic behavior in the final
polarization under nominally the same pumping conditions.
PMID- 26565488
TI - Analyzing Longitudinal Magnetoresistance Asymmetry to Quantify Doping Gradients:
Generalization of the van der Pauw Method.
AB - A longitudinal magnetoresistance asymmetry (LMA) between a positive and negative
magnetic field is known to occur in both the extreme quantum limit and the
classical Drude limit in samples with a nonuniform doping density. By analyzing
the current stream function in van der Pauw measurement geometry, it is shown
that the electron density gradient can be quantitatively deduced from this LMA in
the Drude regime. Results agree with gradients interpolated from local densities
calibrated across an entire wafer, establishing a generalization of the van der
Pauw method to quantify density gradients.
PMID- 26565489
TI - Luttinger Theorem for the Strongly Correlated Fermi Liquid of Composite Fermions.
AB - While an ordinary Fermi sea is perturbatively robust to interactions, the
paradigmatic composite-fermion (CF) Fermi sea arises as a nonperturbative
consequence of emergent gauge fields in a system where there was no Fermi sea to
begin with. A mean-field picture suggests two Fermi seas, of composite fermions
made from electrons or holes in the lowest Landau level, which occupy different
areas away from half filling and thus appear to represent distinct states. Using
the microscopic theory of composite fermions, which satisfies particle-hole
symmetry in the lowest Landau level to an excellent approximation, we show that
the Fermi wave vectors at filling factors nu and 1-nu are equal when expressed in
units of the inverse magnetic length, and are generally consistent with the
experimental findings of Kamburov et al. [Phys. Rev. Lett. 113, 196801 (2014)].
Our calculations suggest that the area of the CF Fermi sea may slightly violate
the Luttinger area rule.
PMID- 26565490
TI - Topological Superconductivity in Dirac Semimetals.
AB - Dirac semimetals host bulk band-touching Dirac points and a surface Fermi loop.
We develop a theory of superconducting Dirac semimetals. Establishing a relation
between the Dirac points and the surface Fermi loop, we clarify how the
nontrivial topology of Dirac semimetals affects their superconducting state. We
note that the unique orbital texture of Dirac points and a structural phase
transition of the crystal favor symmetry-protected topological superconductivity
with a quartet of surface Majorana fermions. We suggest the possible application
of our theory to recently discovered superconducting states in Cd_{3}As_{2}.
PMID- 26565491
TI - Anomalous Light Cones and Valley Optical Selection Rules of Interlayer Excitons
in Twisted Heterobilayers.
AB - We show that, because of the inevitable twist and lattice mismatch in
heterobilayers of transition metal dichalcogenides, interlayer excitons have
sixfold degenerate light cones anomalously located at finite velocities on the
parabolic energy dispersion. The photon emissions at each light cone are
elliptically polarized, with the major axis locked to the direction of exciton
velocity, and helicity specified by the valley indices of the electron and the
hole. These finite-velocity light cones allow unprecedented possibilities for
optically injecting valley polarization and valley current, and the observation
of both direct and inverse valley Hall effects, by exciting interlayer excitons.
Our findings suggest potential excitonic circuits with valley functionalities,
and unique opportunities to study exciton dynamics and condensation phenomena in
semiconducting 2D heterostructures.
PMID- 26565492
TI - Early Breakdown of Area-Law Entanglement at the Many-Body Delocalization
Transition.
AB - We introduce the numerical linked cluster expansion as a controlled numerical
tool for the study of the many-body localization transition in a disordered
system with continuous nonperturbative disorder. Our approach works directly in
the thermodynamic limit, in any spatial dimension, and does not rely on any
finite size scaling procedure. We study the onset of many-body delocalization
through the breakdown of area-law entanglement in a generic many-body eigenstate.
By looking for initial signs of an instability of the localized phase, we obtain
a value for the critical disorder, which we believe should be a lower bound for
the true value, that is higher than current best estimates from finite size
studies. This implies that most current methods tend to overestimate the extent
of the localized phase due to finite size effects making the localized phase
appear stable at small length scales. We also study the mobility edge in these
systems as a function of energy density, and we find that our conclusion is the
same at all examined energies.
PMID- 26565493
TI - Thermodynamic Identities and Symmetry Breaking in Short-Range Spin Glasses.
AB - We present a technique to generate relations connecting pure state weights,
overlaps, and correlation functions in short-range spin glasses. These are
obtained directly from the unperturbed Hamiltonian and hold for general coupling
distributions. All are satisfied in phases with simple thermodynamic structure,
such as the droplet-scaling and chaotic pairs pictures. If instead nontrivial
mixed-state pictures hold, the relations suggest that replica symmetry is broken
as described by a Derrida-Ruelle cascade, with pure state weights distributed as
a Poisson-Dirichlet process.
PMID- 26565494
TI - THz-Frequency Modulation of the Hubbard U in an Organic Mott Insulator.
AB - We use midinfrared pulses with stable carrier-envelope phase offset to drive
molecular vibrations in the charge transfer salt ET-F_{2}TCNQ, a prototypical one
dimensional Mott insulator. We find that the Mott gap, which is probed resonantly
with 10 fs laser pulses, oscillates with the pump field. This observation reveals
that molecular excitations can coherently perturb the electronic on-site
interactions (Hubbard U) by changing the local orbital wave function. The gap
oscillates at twice the frequency of the vibrational mode, indicating that the
molecular distortions couple quadratically to the local charge density.
PMID- 26565495
TI - Superradiant Emission from a Collective Excitation in a Semiconductor.
AB - We report an anomalous wide broadening of the emission spectra of an electronic
excitation confined in a two-dimensional potential. We attribute these results to
an extremely fast radiative decay rate associated with superradiant emission from
the ensemble of confined electrons. Lifetimes extracted from the spectra are
below 100 fs and, thus, 6 orders of magnitude faster than for single particle
transitions at similar wavelength. Moreover, the spontaneous emission rate
increases with the electronic density, as expected for superradiant emission. The
data, all taken at 300 K, are in excellent agreement with our theoretical model,
which takes into account dipole-dipole Coulomb interaction between electronic
excitations. Our experimental results demonstrate that the interaction with
infrared light, which is usually considered a weak perturbation, can be a very
efficient relaxation mechanism for collective electronic excitations in solids.
PMID- 26565496
TI - Enhanced Magneto-Optical Edge Excitation in Nanoscale Magnetic Disks.
AB - We report unexpected enhancements of the magneto-optical effect in ferromagnetic
Permalloy disks of diameter D<400 nm. The effect becomes increasingly pronounced
for smaller D, reaching more than a 100% enhancement for D=100 nm samples. By
means of experiments and simulations, the origin of this effect is identified as
a nanoscale ring-shaped region at the disk edges, in which the magneto-optically
induced electric polarization is enhanced. This leads to a modification of the
electromagnetic near fields and causes the enhanced magneto-optical excitation,
independent from any optical resonance.
PMID- 26565497
TI - Dynamic Theory of Polydomain Liquid Crystal Elastomers.
AB - When liquid crystal elastomers are prepared without any alignment, disordered
polydomain structures emerge as the materials are cooled into the nematic phase.
These polydomain structures are often attributed to quenched disorder in the
cross-linked polymer network. As an alternative explanation, we develop a theory
for the dynamics of the isotropic-nematic transition in liquid crystal
elastomers, and show that the dynamics can induce a polydomain structure with a
characteristic length scale, through a mechanism analogous to the Cahn-Hilliard
equation for phase separation.
PMID- 26565498
TI - Stress Relaxation for Granular Materials near Jamming under Cyclic Compression.
AB - We have explored isotropically jammed states of semi-2D granular materials
through cyclic compression. In each compression cycle, systems of either
identical ellipses or bidisperse disks transition between jammed and unjammed
states. We determine the evolution of the average pressure P and structure
through consecutive jammed states. We observe a transition point phi_{m} above
which P persists over many cycles; below phi_{m}, P relaxes slowly. The
relaxation time scale associated with P increases with packing fraction, while
the relaxation time scale for collective particle motion remains constant. The
collective motion of the ellipses is hindered compared to disks because of the
rotational constraints on elliptical particles.
PMID- 26565499
TI - Collective Flow Enhancement by Tandem Flapping Wings.
AB - We examine the fluid-mechanical interactions that occur between arrays of
flapping wings when operating in close proximity at a moderate Reynolds number
(Re~100-1000). Pairs of flapping wings are oscillated sinusoidally at frequency
f, amplitude theta_{M}, phase offset phi, and wing separation distance D^{*}, and
outflow speed v^{*} is measured. At a fixed separation distance, v^{*} is
sensitive to both f and phi, and we observe both constructive and destructive
interference in airspeed. v^{*} is maximized at an optimum phase offset,
phi_{max}, which varies with wing separation distance, D^{*}. We propose a model
of collective flow interactions between flapping wings based on vortex advection,
which reproduces our experimental data.
PMID- 26565501
TI - Skewness and Kurtosis in Statistical Kinetics.
AB - We obtain lower and upper bounds on the skewness and kurtosis associated with the
cycle completion time of unicyclic enzymatic reaction schemes. Analogous to a
well-known lower bound on the randomness parameter, the lower bounds on skewness
and kurtosis are related to the number of intermediate states in the underlying
chemical reaction network. Our results demonstrate that evaluating these higher
order moments with single molecule data can lead to information about the
enzymatic scheme that is not contained in the randomness parameter.
PMID- 26565500
TI - Cell Chirality Induces Collective Cell Migration in Epithelial Sheets.
AB - During early development, epithelial cells form a monolayer sheet and migrate in
a uniform direction. Here, we address how this collective migration can occur
without breaking the cell-to-cell attachments. Repeated contraction and expansion
of the cell-to-cell interfaces enables the cells to rearrange their positions
autonomously within the sheet. We show that when the interface tension is
strengthened in a direction that is tilted from the body axis, cell
rearrangements occur in such a way that unidirectional movement is induced. We
use a vertex model to demonstrate that such anisotropic tension can generate the
unidirectional motion of cell sheets. Our results suggest that cell chirality
facilitates collective cell migration during tissue morphogenesis.
PMID- 26565502
TI - Algebraic Statistics of Poincare Recurrences in a DNA Molecule.
AB - The statistics of Poincare recurrences is studied for the base-pair breathing
dynamics of an all-atom DNA molecule in a realistic aqueous environment with
thousands of degrees of freedom. It is found that at least over five decades in
time the decay of recurrences is described by an algebraic law with the Poincare
exponent close to beta=1.2. This value is directly related to the correlation
decay exponent nu=beta-1, which is close to nu~0.15 observed in the time resolved
Stokes shift experiments. By applying the virial theorem we analyze the chaotic
dynamics in polynomial potentials and demonstrate analytically that an exponent
beta=1.2 is obtained assuming the dominance of dipole-dipole interactions in the
relevant DNA dynamics. Molecular dynamics simulations also reveal the presence of
strong low frequency noise with the exponent eta=1.6. We trace parallels with the
chaotic dynamics of symplectic maps with a few degrees of freedom characterized
by the Poincare exponent beta~1.5.
PMID- 26565503
TI - Hydraulic Fracture and Toughening of a Brittle Layer Bonded to a Hydrogel.
AB - Brittle materials propagate opening cracks under tension. When stress increases
beyond a critical magnitude, then quasistatic crack propagation becomes unstable.
In the presence of several precracks, a brittle material always propagates only
the weakest crack, leading to catastrophic failure. Here, we show that all these
features of brittle fracture are fundamentally modified when the material
susceptible to cracking is bonded to a hydrogel, a common situation in biological
tissues. In the presence of the hydrogel, the brittle material can fracture in
compression and can hydraulically resist cracking in tension. Furthermore, the
poroelastic coupling regularizes the crack dynamics and enhances material
toughness by promoting multiple cracking.
PMID- 26565504
TI - Stretching Response of Knotted and Unknotted Polymer Chains.
AB - Recent theoretical and experimental advances have clarified the major effects of
knotting on the properties of stretched chains. Yet, how knotted chains respond
to weak mechanical stretching and how this behavior differs from the unknotted
case are still open questions and we address them here by profiling the complete
stretching response of chains of hundreds of monomers and different topology. We
find that the ratio of the knotted and unknotted chain extensions varies
nonmonotonically with the applied force. This surprising feature is shown to be a
signature of the crossover between the well-known high-force stretching regime
and the previously uncharacterized low-force one. The observed differences of
knotted and unknotted chain response increases with knot complexity and are
sufficiently marked that they could be harnessed in single-molecule contexts to
infer the presence and complexity of physical knots in micron-long biomolecules.
PMID- 26565505
TI - Active Model H: Scalar Active Matter in a Momentum-Conserving Fluid.
AB - We present a continuum theory of self-propelled particles, without alignment
interactions, in a momentum-conserving solvent. To address phase separation, we
introduce a dimensionless scalar concentration field phi with advective-diffusive
dynamics. Activity creates a contribution Sigma_{ij}=-kappa[over
^][(?_{i}phi)(?_{j}phi)-(?phi)^{2}delta_{ij}/d] to the deviatoric stress, where
kappa[over ^] is odd under time reversal and d is the number of spatial
dimensions; this causes an effective interfacial tension contribution that is
negative for contractile swimmers. We predict that domain growth then ceases at a
length scale where diffusive coarsening is balanced by active stretching of
interfaces, and confirm this numerically. Thus, there is a subtle interplay of
activity and hydrodynamics, even without alignment interactions.
PMID- 26565506
TI - Self-Sustained Density Oscillations of Swimming Bacteria Confined in
Microchambers.
AB - We numerically study the dynamics of run-and-tumble particles confined in two
chambers connected by thin channels. Two dominant dynamical behaviors emerge: (i)
an oscillatory pumping state, in which particles periodically fill the two
vessels, and (ii) a circulating flow state, dynamically maintaining a near
constant population level in the containers when connected by two channels. We
demonstrate that the oscillatory behavior arises from the combination of a narrow
channel, preventing bacteria reorientation, and a density-dependent motility
inside the chambers.
PMID- 26565507
TI - Self-Diffusiophoresis of Janus Particles in Near-Critical Mixtures.
AB - We theoretically study the self-propulsion of a laser-heated Janus particle in a
near-critical water-lutidine mixture, and we relate its velocity v_{p} and
squirmer parameter beta to the wetting properties of its two hemispheres. For
nonionic surface forces, the particle moves the active cap at the front, whereas
a charged hydrophilic cap leads to backward motion, in agreement with the
experiment. Both v_{p} and beta show nonmonotonic dependencies on the heating
power, and they may even change sign. The variation of beta is expected to
strongly affect the collective behavior of dense squirmer systems.
PMID- 26565508
TI - Self-Propulsion Mechanism of Active Janus Particles in Near-Critical Binary
Mixtures.
AB - Gold-capped Janus particles immersed in a near-critical binary mixture can be
propelled using illumination. We employ a nonisothermal diffuse interface
approach to investigate the self-propulsion mechanism of a single colloid. We
attribute the motion to body forces at the edges of a micronsized droplet that
nucleates around the particle. Thus, the often-used concept of a surface velocity
cannot account for the self-propulsion. The particle's swimming velocity is
related to the droplet shape and size, which is determined by a so-called
critical isotherm. Two distinct swimming regimes exist, depending on whether the
droplet partially or completely covers the particle. Interestingly, the
dependence of the swimming velocity on temperature is nonmonotonic in both
regimes.
PMID- 26565509
TI - Sampling Motif-Constrained Ensembles of Networks.
AB - The statistical significance of network properties is conditioned on null models
which satisfy specified properties but that are otherwise random. Exponential
random graph models are a principled theoretical framework to generate such
constrained ensembles, but which often fail in practice, either due to model
inconsistency or due to the impossibility to sample networks from them. These
problems affect the important case of networks with prescribed clustering
coefficient or number of small connected subgraphs (motifs). In this Letter we
use the Wang-Landau method to obtain a multicanonical sampling that overcomes
both these problems. We sample, in polynomial time, networks with arbitrary
degree sequences from ensembles with imposed motifs counts. Applying this method
to social networks, we investigate the relation between transitivity and
homophily, and we quantify the correlation between different types of motifs,
finding that single motifs can explain up to 60% of the variation of motif
profiles.
PMID- 26565510
TI - Erratum: Turbulence Reduces Magnetic Diffusivity in a Liquid Sodium Experiment
[Phys. Rev. Lett. 113, 184501 (2014)].
PMID- 26565511
TI - Erratum: Local Adiabatic Mixing of Kramers Pairs of Majorana Bound States [Phys.
Rev. Lett. 113, 246401 (2014)].
PMID- 26565513
TI - Immunoglobulin G4-positive lymphoplasmacytic infiltration in a sarcoidal eyelid
mass.
AB - A 62-year-old woman presented with a one month history of a hard, nonmobile
subcutaneous mass along the right nasojugal fold. Hematological studies showed
elevated serum immunoglobulin G4 levels. Histopathological examination of the
biopsy sample disclosed immunoglobulin G4-positive lymphoplasmacytic infiltration
with a storiform fibrosis, vein occlusion, and epithelioid granulomas with
necrosis. Systemic review corresponded to a sarcoidosis. Without treatment, the
eyelid mass did not recur six months after the excisional biopsy.
PMID- 26565514
TI - The Affordable Care Act and the Burden of High Cost Sharing and Utilization
Management Restrictions on Access to HIV Medications for People Living with
HIV/AIDS.
AB - The HIV/AIDS epidemic continues to be a critical public health issue in the
United States, where an estimated 1.2 million individuals live with HIV
infection. Viral suppression is one of the primary public health goals for People
Living with HIV/AIDS (PLWHA). A crucial component of this goal involves adequate
access to health care, specifically anti-retroviral HIV medications. The
enactment of the Affordable Care Act (ACA) in 2010 raised hopes for millions of
PLWHA without access to health care coverage. High cost-sharing requirements
enacted by health plans place a financial burden on PLWHA who need ongoing access
to these life-saving medications. Plighted with poverty, Detroit, Michigan, is a
center of attention for examining the financial burden of HIV medications on
PLWHA under the new health plans. From November 2014 to January 2015, monthly out
of-pocket costs and medication utilization requirements for 31 HIV medications
were examined for the top 12 insurance carriers offering Qualified Health Plans
on Michigan's Health Insurance Marketplace Exchange. The percentage of
medications requiring quantity limits and prior authorization were calculated.
The average monthly out-of-pocket cost per person ranged from $12 to $667 per
medication. Three insurance carriers placed all 31 HIV medications on the highest
cost-sharing tier, charging 50% coinsurance. High out-of-pocket costs and
medication utilization restrictions discourage PLWHA from enrolling in health
plans and threaten interrupted medication adherence, drug resistance, and
increased risk of viral transmission. Health plans inflicting high costs and
medication restrictions violate provisions of the ACA and undermine health care
quality for PLWHA. (Population Health Management 2016;19:272-278).
PMID- 26565515
TI - Ultrasound Artifacts - Part 1.
AB - Knowledge of artifacts is essential for the competent use of ultrasound.
Artifacts are method-based and should be differentiated from image errors of
another genesis. They are logical and occur because the conditions required for
image generation do not fully correspond to the reality. Artifacts occur due to
disregard of the true dimensions of sound lobes (slice-thickness artifacts and
bow artifacts, range ambiguities) and due to different types of mirroring with
different appearances. There are also comet-tail-like artifacts such as comet
tail and ring-down artifacts.
PMID- 26565512
TI - Autophagy positively regulates DNA damage recognition by nucleotide excision
repair.
AB - Macroautophagy (hereafter autophagy) is a cellular catabolic process that is
essential for maintaining tissue homeostasis and regulating various normal and
pathologic processes in human diseases including cancer. One cancer-driving
process is accumulation of genetic mutations due to impaired DNA damage repair,
including nucleotide excision repair. Here we show that autophagy positively
regulates nucleotide excision repair through enhancing DNA damage recognition by
the DNA damage sensor proteins XPC and DDB2 via 2 pathways. First, autophagy
deficiency downregulates the transcription of XPC through TWIST1-dependent
activation of the transcription repressor complex E2F4-RBL2. Second, autophagy
deficiency impairs the recruitment of DDB2 to ultraviolet radiation (UV)-induced
DNA damage sites through TWIST1-mediated inhibition of EP300. In mice, the
pharmacological autophagy inhibitor Spautin-1 promotes UVB-induced tumorigenesis,
whereas the autophagy inducer rapamycin reduces UVB-induced tumorigenesis. These
findings demonstrate the crucial role of autophagy in maintaining proper
nucleotide excision repair in mammalian cells and suggest a previously
unrecognized tumor-suppressive mechanism of autophagy in cancer.
PMID- 26565516
TI - Evaluation of Liver and Spleen Stiffness with Acoustic Radiation Force Impulse
Quantification Elastography for Diagnosing Clinically Significant Portal
Hypertension.
AB - PURPOSE: Hepatic vein pressure gradient (HVPG) is the gold standard for
diagnosing clinically significant portal hypertension (CSPH). The aim of this
study was to investigate-in comparison to HVPG-the ability to diagnose CSPH by
liver and spleen stiffness measurements obtained by acoustic radiation force
impulse (ARFI) imaging. MATERIALS AND METHODS: A total of 78 patients (mean age:
53 +/- 13 years, 62 % male) with chronic liver disease were enrolled in this
study. Each patient received liver (LSM) and spleen (SSM) stiffness measurements
by ARFI, an HVPG measurement and a transjugular liver biopsy on the same day.
Patients were classified according to their HVPG into three different groups:
HVPG < 10 mmHg, HVPG >= 10-< 12 mmHg and HVPG >= 12 mmHg. RESULTS: LSM, SSM were
significantly higher in patients with HVPG >= 10 - < 12 in comparison to HVPG <
10 mmHg (p < 0.001 and p < 0.001, respectively), and in patients with HVPG >= 12
mmHg in comparison to >= 10 - < 12 mmHg (p < 0.001 and p < 0.001, respectively).
LSM and SSM were able to diagnose HVPG >= 10 mmHg and HVPG >= 12 mmHg with high
diagnostic performance (AUC LSM: 0.93 and 0.87, respectively; AUC SSM: 0.97 and
0.95, respectively). The AUC of SSM in predicting esophageal varices (EVs) plus
HVPG >= 10 mmHg and EVs plus HVPG >= 12 mmHg were higher compared to LSM in both
groups of patients (SSM: 0.90 and 0.93 vs. LSM: 0.84 and 0.88, respectively). No
significant difference between both AUCs was detected in the different HVPG
groups. In the multivariate -analysis SSM remained a factor predicting HVPG (HVPG
> 10 mmHg p = 0.007; HVPG >= 12 mmHg p = 0.003). CONCLUSION: LSM and SSM by ARFI
are noninvasive diagnostic tools that may help in diagnosing CSPH. LSM and SSM
could be used as a guiding noninvasive screening tool in patients with esophageal
varices requiring endoscopic evaluation.
PMID- 26565517
TI - Management of Intrauterine Arteriovenous Malformation (AVM) in 14 Patients by
Sonographically Guided Tisseel Application.
AB - PURPOSE: AVMs are rare tumorous vascular lesions derived from placental tissue
that may present with massive post-partum hemorrhage (PPH) causing potentially
life-threatening anemic shock. Current treatment options include the embolization
of uterine arteries and emergency postpartum hysterectomy. We present a new form
of minimally invasive, highly specific sonographically guided treatment in the
form of the application of a human fibrin sealant leading to the instant cease of
blood loss. MATERIALS AND METHODS: A management protocol was established and a
case series of 14 patients is presented. Diagnosis by endovaginal color Doppler
sonography is followed by the sonographically guided application of biological
glue (TISSEEL(r)), thus allowing for super-selective occlusion of the feeding
vessels. RESULTS: The procedure was technically successful in all 14 patients, 3
of whom (21 %) had a repeated procedure after 4 - 7 days. The mean age (yrs.) of
the patients was 31 (25 - 40), the gravity was median 2 (1 - 5) and the parity
was median 1 (0 - 4), the lowest Hb value was on average 9.35 +/- 2.25 (5.2 -
14.2) g/dl, the lowest Ht was on average 30.82 +/- 6.02 (18 - 41 %). Spectral
Doppler analysis revealed an average of 80.71 +/- 11.2 (66 - 115) cm/sec for the
maximal detectable PSV. In the period of 4 - 55 months after treatment, 7
patients (50 %) had 8 successful pregnancies and 2 miscarriages. CONCLUSION: In
PPH there is vital interest in timely diagnosis of the underlying cause, thus
allowing fertility-sparing, minimally invasive and super-selective emergency
treatment. In AVMs causing PPH, a positive impact on perinatal morbidity and
mortality may be achieved by sonographically guided application of this
biological glue.
PMID- 26565518
TI - Metal catalyzed defunctionalization reactions.
AB - Defunctionalization has a direct impact on the synthesis of value added products
(e.g. biomass degradation). In synthetic chemistry it enables the functional
group to act as a transient directing group. In this mini review, we have
described the chronological development of metal assisted defunctionalization
reactions from the stoichiometric to the catalytic stage with their application
in synthetic organic chemistry. The proposed catalytic cycles of the
transformations have been described to make this review comprehensible.
PMID- 26565519
TI - Summaries of plenary, symposia, and oral sessions at the XXII World Congress of
Psychiatric Genetics, Copenhagen, Denmark, 12-16 October 2014.
AB - The XXII World Congress of Psychiatric Genetics, sponsored by the International
Society of Psychiatric Genetics, took place in Copenhagen, Denmark, on 12-16
October 2014. A total of 883 participants gathered to discuss the latest findings
in the field. The following report was written by student and postdoctoral
attendees. Each was assigned one or more sessions as a rapporteur. This
manuscript represents topics covered in most, but not all of the oral
presentations during the conference, and contains some of the major notable new
findings reported.
PMID- 26565521
TI - Formation of polyelectrolyte multilayers: ionic strengths and growth regimes.
AB - This article presents a study of layer-by-layer (LbL) formation of poly
electrolyte multilayers (PEMs). Upon increasing ionic strength LbL growth
patterns vary from linear for the lowest salt concentrations ([NaCl] = 0, 0.001,
and 0.01 M) to exponential (for [NaCl] = 0.5 and 1 M). The slope of the linear
growth at the lowest ionic strengths increases with increasing [NaCl]. During the
LbL process at 0.5 M NaCl we observe a cross over from exponential to linear
growth for which the slope is orders of magnitude larger than those observed at
low salt concentrations. We provide a comprehensive interpretation of these
growth behaviors, which are also reported for many other LbL PEM systems, based
on the generic features of the phase diagram of aqueous solutions of mixtures of
oppositely charged poly-electrolytes. Processes occurring in LbL formation of
PEMs can be understood as moving in the direction of equilibrium, while never
achieving it. The experimental model system in this study was:
polydiallyldimethylammonium chloride/polystyrene sulfonate (PDADMAC/PSS). PEM
formation was followed in situ by optical reflectometry in combination with well
controlled transport conditions (impinging jet stagnation point flow).
PMID- 26565522
TI - CoxC encased in carbon nanotubes: an efficient oxygen reduction catalyst under
both acidic and alkaline conditions.
AB - The design of a non-precious metal oxygen reduction reaction (ORR) catalyst of
high activity and long durability in acidic electrolyte is of great importance
for the development and commercialization of low-temperature fuel cells, which
remains a great challenge to date. Here, we demonstrate a facile, scalable
protocol for the controlled synthesis of CoxC encapsulated in carbon nanotubes as
a novel kind of efficient electrochemical oxygen reduction reaction (ORR)
catalyst. The synthesized CoxC/carbon nanotube features a high BET surface area,
large pore volume and high graphitic content, which greatly favors enhanced ORR
properties. The resultant composite electro-catalyst shows high ORR activity
which is comparable with that of 20 wt% Pt/C in 0.1 M KOH electrolyte. More
importantly, it also exhibits a high ORR activity in 0.1 M HClO4 with a near
complete 4e pathway. More attractively, compared to the most investigated FexC,
CoxC as the proposed main catalytically active center shows much enhanced
activity in acidic electrolyte, which will pave the way towards the rational
design of an advanced electro-catalyst for an efficient ORR process especially
under acidic conditions. Moreover, a fuel cell using the synthesized CoxC/carbon
nanotube as a cathode catalyst showed a large open-circuit potential, high output
power density and long durability, which make it a promising alternative to Pt/C
as a non-precious metal ORR catalyst in proton exchange membrane fuel cells.
PMID- 26565523
TI - A simple excited-state intramolecular proton transfer probe based on a new
strategy of thiol-azide reaction for the selective sensing of cysteine and
glutathione.
AB - A simple azido-substituted fluorescent sensor showing a selective turn-on
response to cysteine (Cys) and glutathione (GSH) over homocysteine (Hcy), sulfide
and other amino acids has been constructed, which is based on the mechanism of
selective nucleophilic substitution-rearrangement reactions.
PMID- 26565524
TI - Evaluating Cardiovascular Health Disparities Using Estimated Race/Ethnicity: A
Validation Study.
AB - BACKGROUND: Methods of estimating race/ethnicity using administrative data are
increasingly used to examine and target disparities; however, there has been no
validation of these methods using clinically relevant outcomes. OBJECTIVE: To
evaluate the validity of the indirect method of race/ethnicity identification
based on place of residence and surname for assessing clinically relevant
outcomes. DATA SOURCES: A total of 2387 participants in the Post-MI Free Rx Event
and Economic Evaluation (MI FREEE) trial who had both self-reported and Bayesian
Improved Surname Geocoding method (BISG)-estimated race/ethnicity information
available. STUDY DESIGN: We used tests of interaction to compare differences in
the effect of providing full drug coverage for post-MI medications on adherence
and rates of major vascular events or revascularization for white and nonwhite
patients based upon self-reported and indirect racial/ethnic assignment. RESULTS:
The impact of full coverage on clinical events differed substantially when based
upon self-identified race (HR=0.97 for whites, HR=0.65 for nonwhites; interaction
P-value=0.05); however, it did not differ among race/ethnicity groups classified
using indirect methods (HR=0.87 for white and nonwhites; interaction P
value=0.83). The impact on adherence was the same for self-reported and BISG
estimated race/ethnicity for 2 of the 3 medication classes studied. CONCLUSIONS:
Quantitatively and qualitatively different results were obtained when indirectly
estimated race/ethnicity was used, suggesting that these techniques may not
accurately describe aspects of race/ethnicity related to actual health behaviors.
PMID- 26565525
TI - Do Patients Who Access Clinical Information on Patient Internet Portals Have More
Primary Care Visits?
AB - BACKGROUND: As health care costs alarm the nation and the debate increases about
the impact of health information technologies, patients are reviewing their
medical records increasingly through secure Internet portals. Important questions
remain about the impact of portal use on office visits. OBJECTIVE: To evaluate
whether use of patient Internet portals to access records is associated with
increased primary care utilization. RESEARCH DESIGN: A prospective cohort study.
SUBJECTS: Primary care patients registered on patient Internet portals, within an
integrated health system serving rural Pennsylvania and an academic medical
center in Boston. MEASURES: Frequency of "clinical portal use" (days/2 mo
intervals over 2 y) included secure messaging about clinical issues and viewing
laboratory and radiology findings. In year 2, a subset of patients also gained
access to their primary care doctor's visit notes. The main outcome was number of
primary care office visits. RESULTS: In the first 2 months of the 2-year period,
14% of 44,951 primary care patients engaged in clinical portal use 2 or more days
per month, 31% did so 1 day per month, and the remainder had no clinical portal
use. Overall, adjusted for age, sex, and chronic conditions, clinical portal use
was not associated with subsequent office visits. Fewer than 0.1% of patients
engaged in high levels of clinical portal use (31 or more login days in 2 mo)
that were associated with 1 or more additional visits in the subsequent 2 months
(months 3 and 4). However, the reverse was true: office visits led to subsequent
clinical portal use. Similar trends were observed among patients with or without
access to visit notes. CONCLUSIONS: Patients turn to their portals following
visits, but clinical portal use does not contribute to an increase in primary
care visits.
PMID- 26565526
TI - Role of Geography and Nurse Practitioner Scope-of-Practice in Efforts to Expand
Primary Care System Capacity: Health Reform and the Primary Care Workforce.
AB - BACKGROUND: Little is known about the geographic distribution of the overall
primary care workforce that includes both physician and nonphysician clinicians-
particularly in areas with restrictive nurse practitioner scope-of-practice laws
and where there are relatively large numbers of uninsured. OBJECTIVE: We
investigated whether geographic accessibility to primary care clinicians (PCCs)
differed across urban and rural areas and across states with more or less
restrictive scope-of-practice laws. RESEARCH DESIGN: An observational study.
SUBJECTS: 2013 Area Health Resource File (AHRF) and US Census Bureau county
travel data. MEASURES: The measures included percentage of the population in low
accessibility, medium-accessibility, and high-accessibility areas; number of
geographically accessible primary care physicians (PCMDs), nurse practitioners
(PCNPs), and physician assistants (PCPAs) per 100,000 population; and number of
uninsured per PCC. RESULTS: We found divergent patterns in the geographic
accessibility of PCCs. PCMDs constituted the largest share of the workforce
across all settings, but were relatively more concentrated within urban areas.
Accessibility to nonphysicians was highest in rural areas: there were more
accessible PCNPs per 100,000 population in rural areas of restricted scope-of
practice states (21.4) than in urban areas of full practice states (13.9).
Despite having more accessible nonphysician clinicians, rural areas had the
largest number of uninsured per PCC in 2012. While less restrictive scope-of
practice states had up to 40% more PCNPs in some areas, we found little evidence
of differences in the share of the overall population in low-accessibility areas
across scope-of-practice categorizations. CONCLUSIONS: Removing restrictive scope
of-practice laws may expand the overall capacity of the primary care workforce,
but only modestly in the short run. Additional efforts are needed that recognize
the locational tendencies of physicians and nonphysicains.
PMID- 26565527
TI - Trends in Black-White Disparities in Breast and Colorectal Cancer Screening Rates
in a Changing Screening Environment: The Peters-Belson Approach Using United
States National Health Interview Surveys 2000-2010.
AB - OBJECTIVE: Cancer screening utilization rates have changed over time and race
disparities have persisted. We apply the Peters-Belson (PB) methodology to assess
trends in disparities between blacks and whites in breast and colorectal cancer
screening rates in the United States from 2000 to 2010. During this time period,
the screening environment has changed for these screening modalities. METHODS:
Cancer screening data collected in Cancer Control Supplements to the US National
Health Interview Survey during 2000, 2003, 2005, 2008, and 2010 were used to
estimate disparities between blacks and whites. Using the PB method, logistic
regression models with selected covariates were fit to the white sample for each
year, sex, and type of screening. The unexplained part of the observed disparity
was estimated by the average difference between the expected (from the models)
and the observed rates for blacks. Weighted least squares linear regression was
used to analyze the trend in unexplained disparities. RESULTS: The black rates
were generally lower than white rates for both screening tests. Observed
mammogram rates for women ages 50-74 years declined slightly for whites with
little trend for blacks. There was no statistical trend in the unexplained
disparity. Colorectal cancer screening rates among men and women ages 50-75 years
increased for both races. The unexplained disparity decreased over time for women
(2.98 to -2.00; P=0.03) and nonsignificantly increased for men (5.1 to 8.6;
P=0.62). Higher education, health insurance, and a usual source of care were
significantly predictive of cancer screening between 2000 and 2010. CONCLUSIONS:
Over the period we studied, screening rates in the United States increased for
colorectal cancer but were stable or declining slightly for mammography. Our PB
analysis provides evidence that the unexplained disparity in colorectal screening
among women decreased between 2000 and 2010. It is important to continue to study
trends over time to evaluate whether the Affordable Care Act will reduce the
unexplained disparity for cancer screening in subgroups of the population by
increasing insurance coverage and usual source of care among all Americans.
PMID- 26565528
TI - A Framework for Improving Chronic Critical Illness Care: Adapting the Medical
Home's Central Tenets.
PMID- 26565529
TI - Recentering Patient-centered Care on the Patient: A Research Agenda.
PMID- 26565530
TI - The Challenge of Improving Breast Cancer Care Coordination in Safety-net
Hospitals: Barriers, Facilitators, and Opportunities.
AB - BACKGROUND: Minority breast cancer patients tend to have higher rates of adjuvant
treatment underuse. We implemented a web-based intervention that closes referral
loops between surgeons and oncologists at inner-city safety-net hospitals serving
high volumes of minority breast cancer patients to assist these hospitals and
improve care coordination. RESEARCH DESIGN: Following intervention
implementation, we conducted interviews with key personnel to improve our
understanding of the implementation process and to identify barriers,
facilitators, and opportunities for improvement. We used the constant comparative
method of analysis to code interview transcripts and identify common themes
regarding intervention implementation. SUBJECTS: We interviewed 64 administrative
and clinical key informants from 10 inner-city safety-net hospitals with high
volumes of minority breast cancer patients. RESULTS: We found substantial
barriers to implementing an intervention designed to support care coordination
efforts, despite initial feedback that the intervention itself was both easy to
use and in line with organizational goals. We also characterized facilitators and
challenges of breast cancer care coordination in the safety-net environment, as
well as opportunities to improve intervention design to support increased quality
of breast cancer care. CONCLUSIONS: Coordination of care for women with breast
cancer is extremely important, but safety-net hospitals face considerable
resource constraints from lack of time, support, and information systems. As
safety-net hospital networks grow across numerous care sites, the challenge of
care coordination will likely increase, highlighting the importance of
interventions that can be successfully implemented and used to promote better
care.
PMID- 26565531
TI - Auditory and visual reaction times in school going adolescents: effect of
structured and unstructured physical training - a randomized control trial.
AB - BACKGROUND: Cognitive development of adolescents is influenced by their physical
activity status. Exercises requiring greater cognitive engagement are likely to
have a stronger effect on their executive cognitive functions than simpler
exercises. MATERIALS AND METHODS: In our randomized, single blinded, parallel
group, active controlled trial (CTRI/ 2013/08/003897) the recruited healthy
student volunteers, aged 12-17 years (n=439), were allocated into structured
physical activity (SPA) and unstructured physical activity (USPA) groups by age
and gender stratified block randomization. Physical training for the respective
groups was administered by physical education instructors for 2 h per day, 6
times per week, continuously for 6 months. SPA was designed as recommended by the
WHO physical activity guidelines for children and young people, while USPA
included any indoor or outdoor recreational activity of the participant's choice.
This paper describes a part of our findings: comparison of auditory and visual
reaction times (ART and VRT), recorded pre- and post-intervention. Data analysis
was done by an investigator blinded to the allocation sequence using paired and
unpaired t-test for inter- and intra-group comparisons, respectively, by
following per-protocol analysis [SPA (n=172) and USPA (n=175)]. RESULTS AND
CONCLUSION: Both ART and VRT significantly improved in either group but the
effect size was more in SPA group vs. USPA group (VRT: 0.87 vs. 0.76; ART: 0.79
vs. 0.65). Thus structuring the physical training in a cognitively engaging
manner leads to greater improvements in the perceptual, executive cognitive
response phenomenon of growing adolescents.
PMID- 26565532
TI - Self-concept and social comparison and their relation with smoking and alcohol
consumption in adolescents.
AB - BACKGROUND: Some attitudes that possess certain risks such as smoking and alcohol
consumption rate are increasing among the adolescent age group. For this reason
it is very important to shape the attitudes of adolescents during their growth
period. OBJECTIVE: The aim of this study is to investigate the association of
social comparison and self-concept of adolescents' relation with smoking and
alcohol consumption. SUBJECTS: Study was conducted as a prospective study in nine
high schools which are located in city of Bursa, Turkey. Nine hundred and fifty
three (n=953) students were included. METHODS: Data were gathered using a
questionnaire form especially developed by researchers for this study according
to relevant literature. For social comparison and self-concept evaluation Piers
Harris Self Concept Scale and Social Comparison Scale of Gilbert were used.
RESULTS: The mean age was 15.74+/-1.27 and 411 of cases (43.1%) were male. It was
determined that smoking and alcohol consumption increased as the age of
adolescents' age increases. Rate of smoking and alcohol consumption was
significantly higher in males compared to females. The mean self-concept scale
score of the cases who smoke (52.30+/-11.01) were found to be lower than the non
smokers (56.07+/-10.13). The mean social comparison scale score of smoking
adolescents' (70.25+/-23.99) was higher than the non-smokers (69.43+/-25.47).
CONCLUSION: The social comparison scale scores were found to be higher in
adolescents who consume alcohol and smoke tobacco. In contrast to this result
self-concept scale scores were low. As a conclusion this study reveals that
attitudes such as smoking and alcohol consumption are mostly influenced by self
concept of the adolescents and family attitudes towards adolescents.
PMID- 26565533
TI - The contribution of the health management studies program to the professional
status of graduates.
AB - BACKGROUND: This study examines the contribution of the Health Management
Bachelor's degree program at an Israeli university to the professional
development of its graduates. The aims of this study were: To examine the
perceived gaps between acquired knowledge and required knowledge within the
workforce; To explore the potential changes in the graduates' occupation
conditions or professional status following their studies; To test the
contribution of the curricula content studied by the graduates to their
understanding, knowledge and integration within the healthcare system; and to
examine the graduates perceptions towards required content, that should be added
to the curricula. METHODS: A structured, self-reported questionnaire was
administered to 182 Health Management Department individuals whom have graduated
from the Bachelors program between the years 2005 and 2009. RESULTS: The majority
of the graduates reported the existance of a knowledge gap (greater among males,
young and single than among females, older and married graduates). Most of the
courses which were ranked as the lowest contributing ones were related to
Management (e.g. Mathematics for Social Sciences, Accounting Fundamentals,
Finance Theory), while the graduates recommended the inclusion of additional
components to the curricula. CONCLUSIONS: The study demonstrates that a perceived
gap exists between the acquired and the required knowledge of the Health
Management Studies graduates. Various changes have been reported by the graduates
(such as wage raise and role changes), following their study completion,
suggesting that the program has partially contributed to their professional
status. A 'Learning by Sharing' forum of academic staff, employers and graduates
is recommended.
PMID- 26565534
TI - Studying physician-adolescent patient communication in community-based practices:
recruitment challenges and solutions.
AB - BACKGROUND: Practice-based studies are needed to assess how physicians
communicate health messages about weight to overweight/obese adolescent patients,
but successful recruitment to such studies is challenging. This paper describes
challenges, solutions, and lessons learned to recruit physicians and adolescents
to the Teen Communicating Health Analyzing Talk (CHAT) study, a randomized
controlled trial of a communication skills intervention for primary care
physicians to enhance communication about weight with overweight/obese
adolescents. MATERIALS AND METHODS: A "peer-to-peer" approach was used to recruit
physicians, including the use of "clinic champions" who liaised between study
leaders and physicians. Consistent rapport and cooperative working relationships
with physicians and clinic staff were developed and maintained. Adolescent clinic
files were reviewed (HIPAA waiver) to assess eligibility. Parents could elect to
opt-out for their children. To encourage enrollment, confidentiality of audio
recordings was emphasized, and financial incentives were offered to all
participants. RESULTS: We recruited 49 physicians and audio-recorded 391 of their
overweight/obese adolescents' visits. Recruitment challenges included 1)
physician reticence to participate; 2) variability in clinic operating
procedures; 3) variability in adolescent accrual rates; 4) clinic open access
scheduling; and 5) establishing communication with parents and adolescents. Key
solutions included the use of a "clinic champion" to help recruit physicians, pro
active, consistent communication with clinic staff, and adapting calling times to
reach parents and adolescents. CONCLUSION: Recruiting physicians and adolescents
to audio-recorded, practice-based health communication studies can be successful.
Anticipated challenges to recruiting can be met with advanced planning; however,
optimal solutions to challenges evolve as recruitment progresses.
PMID- 26565535
TI - Nutritional status, Healthy Eating Index and eating attitudes of the adolescents
in Istanbul: a cross-sectional study.
AB - BACKGROUND: The aim of this study was to evaluate dietary quality of adolescents
by using the Healthy Eating Index (HEI) and to assess their eating attitudes by
the EAT-26 Eating Attitude Test. METHODS: Eight schools; four primary schools and
four secondary schools were randomly selected from the school list of official
website of Istanbul Education National Directorate. Five hundred and ninety-eight
students who met the inclusion criteria included in the study, 24 h dietary
recalls were collected to calculate their HEI scores and eating attitudes were
evaluated by EAT-26 Eating Attitude Test. Their weight, height and waist
circumference were measured. RESULTS: According to HEI scores, only two (0.3%) of
adolescents had high quality diet, 379 (63.4%) had diet quality that needed
improvement and 217 (36.3%) had poor diet. Regarding Eating Attitude Test scores,
513 (85.8%) had normal attitudes regarding eating behaviors. CONCLUSION: Almost
all of the participants need either development or major changes in their eating
behaviors. Interventions aiming high quality diet among adolescents are strongly
recommended.
PMID- 26565536
TI - Parental alienation: the impact on men's mental health.
AB - Parental alienation is defined as a mental state in which a child, usually one
whose parents are engaged in a high-conflict separation or divorce, allies
himself strongly with one parent (the preferred parent) and rejects a
relationship with the other parent (the alienated parent) without legitimate
justification. Parental alienation may affect men's mental health: a) parental
alienation negatively influences mental health of male children and adolescents
who are victims of parental alienation. Alienated children/adolescents display
guilt, sadness, and depressed mood; low self-esteem and lack of self-confidence;
distress and frustration; lack of impulse control, substance abuse and delinquent
behavior; separation anxiety, fears and phobias; hypochondria and increased
tendency to develop psychosomatic illness; suicidal ideation and suicide attempt;
sleep and eating disorders; educational problems; enuresis and encopresis; b)
parental alienation negatively affects the mental health of adult men who were
victims of parental alienation when they were children and/or adolescents. Long
term effects of parental alienation include low self-esteem, depression,
drug/alcohol abuse, lack of trust, alienation from own children, divorce,
problems with identity and not having a sense of belonging or roots, choosing not
to have children to avoid being rejected by them, low achievement, anger and
bitterness over the time lost with the alienated parent; c) parental alienation
negatively influences mental health of men who are alienated from their children.
Fathers who have lost some or all contact with their children for months or years
following separation or divorce may be depressed and suicidal.
PMID- 26565537
TI - A first case report of UDP-galactose-4'-epimerase deficiency in China: genotype
and phenotype.
AB - BACKGROUND: The aim of the study was to investigate the incidence and genotype
phenotype characteristics of UDP-galactose-4'-epimerase (GALE) deficiency in
newborn screening of Chinese population. METHODS: Neonates were screened at the
Newborn Screening Center of Zhejiang Province, China for GALE deficiency and
their condition was confirmed by testing of the GALE gene and GALE enzyme.
Clinical and laboratory follow-up data were recorded. RESULTS: A total of 350,023
of newborns were screened; of which, the condition of one female neonate was
diagnosed with GALE deficiency, accounting for an incidence rate of approximately
1:350,000 in our sample. The patient with GALE deficiency clinically manifested
slight increase in levels of blood galactose (122-251 mg/L), glutamyl
endopeptidase (61 U/L), total bile acid (17 MUmol/L), and lactic acid (1.8
mmol/L). The neonate was fed with lactose-free powdered milk and followed-up to 1
year. Re-examination showed that all biochemical indicators recovered to normal
range, whereas physical and mental development appeared normal without cataract
change. The genotype of GALE deficiency was identified as compound heterozygous
mutations: c.505C>T (p.R169W) and c.452G>A (p.G151D). The latter was a novel
mutation. The GALE enzyme value was 42% of control. CONCLUSIONS: GALE deficiency
is relatively rare in China. The genotype of compound heterozygous mutations at
R169W and G151D clinically manifest as mild-type; it is recommended to limit
galactose diet.
PMID- 26565538
TI - Transient congenital hypothyroidism caused by compound heterozygous mutations
affecting the NADPH-oxidase domain of DUOX2.
AB - Here, we describe three cases of loss-of-function mutations in the nicotinamide
adenine dinucleotide phosphate (NADPH)-oxidase (NOX) domain of dual oxidase 2
(DUOX2) occurring along with concurrent missense mutations in thyroid peroxidase
(TPO), leading to transient congenital hypothyroidism (CH). Three Japanese boys
with nonconsanguineous parents were diagnosed with CH during their neonatal
screenings. All patients presented with moderate-to-severe neonatal
hypothyroidism and were diagnosed with transient CH after re-evaluation of
thyroid function. Two siblings were compound heterozygous for p.[R1110Q]+[Y1180X]
in DUOX2; one of them was also heterozygous for p.[R361L] in TPO. The third
patient was compound heterozygous for p.[L1160del]+[R1334W] in DUOX2 and
heterozygous for p.[P883S] in TPO. This is the first report of a de novo L1160del
mutation affecting the DUOX2 gene and of the novel mutations Y1180X in DUOX2 and
R361L in TPO. R1110Q and L1160del were found to reduce H2O2 production (5%-9%,
p<0.01), while Y1180X, which introduces a premature stop codon, did not confer
detectable H2O2 production (-0.7%+/-0.6%, p<0.01). Moreover, R1334W, a missense
mutation possibly affecting electron transfer, led to reduced H2O2 production
(24%+/-0.9%, p<0.01) in vitro, and R1110Q and R1334W resulted in reduced protein
expression. Y1180X was detected in a 120 kDa truncated form, whereas L1160del
expression was maintained. Further, R361L, a novel missense mutation in TPO,
caused partial reduction in peroxidase activity (20.6%+/-0.8%, p=0.01), whereas
P883S, a missense variant, increased it (133.7%+/-2.8%, p=0.02). The protein
expression levels in the case of R361L and P883S were maintained. In conclusion,
we provide clinical and in vitro demonstrations of different functional defects
and phenotypic heterogeneity in the same thyroid hormonogenesis pathway.
PMID- 26565539
TI - Reduced frequency and severity of ketoacidosis at diagnosis of childhood type 1
diabetes in Northwest Saudi Arabia.
AB - BACKGROUND: Raising the awareness of childhood diabetes symptoms can reduce the
frequency of diabetic ketoacidosis (DKA) at onset of type 1 diabetes (T1D).
However, data on the effectiveness of such interventions are limited. The aim of
the study was to describe trends of DKA at onset of childhood T1D during 2005
2014 and assess the impact of a diabetes awareness campaign launched late 2010.
METHODS: Data of children <12 years presented with DKA at diagnosis were analyzed
according to age, gender and year of diagnosis. The frequency and severity of DKA
before and during the 4 years campaign were compared. RESULTS: During 2005-2014,
44.9% (243/541) of children diagnosed with T1D presented with DKA. Of these,
22.7% had pH <7.1. In both genders DKA was higher in children <6 years (47.8% vs.
40%; p<0.01) and more severe in <3 years old compared to older children (30% vs.
20%; p<0.01). Following the awareness campaign DKA rate dropped from 48% in 2010
to 39% in 2014 and 15.8% had severe DKA compared to 26.1% in 2005-2010 (p<0.01).
This trend was observed in both genders and across age groups. In children <3
years the reduction in DKA frequency and severity was not statistically
significant (p=0.15 and p=0.42, respectively). CONCLUSIONS: In NWSA, the
frequency and severity of DKA at onset of childhood T1D were reduced following 4
years awareness campaign; but the rate is still high. Maintaining the campaign
may result in further improvement following a longer period of observation.
PMID- 26565540
TI - Vitamin D status in perinatally HIV-infected Thai children receiving
antiretroviral therapy.
AB - BACKGROUND: Low vitamin D level is associated with adverse health outcomes and
compromises HIV treatment response. We assess vitamin D status in HIV-infected
Thai children receiving combination antiretroviral therapy (cART). METHODS: A
cross-sectional study in perinatally HIV-infected children. Vitamin D deficiency
and vitamin D insufficiency were defined as serum 25-hydroxyvitamin D (25-OHD)
level <20, and 21-29 ng/mL, respectively. RESULTS: Eighty participants were
enrolled. Their median age was 12.2 years. The median CD4 lymphocyte count was
784 cell/mm3; 95% had HIV RNA <50 copies/mL. The median (interquartile range,
IQR) 25-OHD level was 33.5 (26.2-39.8) ng/mL. Thirty-four (43%) participants had
low vitamin D level; 26 (33%) and 8 (10%) had vitamin D insufficiency and
deficiency, respectively. In multivariate analysis, only geographic location was
significantly associated with low vitamin D level. CONCLUSIONS: Most of
perinatally HIV-infected children receiving cART had low vitamin D level. Calcium
and vitamin D supplement might be beneficial.
PMID- 26565541
TI - Bone maturation along the spectrum from normal weight to obesity: a complex
interplay of sex, growth factors and weight gain.
AB - BACKGROUND: The aim of the study was to define the prevalence and degree of
advanced bone age (ABA) in normal vs. excessive weight children, and identify
variables affecting ABA. METHODS: We studied 167 children (3-18 years) with
normal weight (28 F, 28 M), overweight (8 F, 12 M), and obesity (OB) (63 F, 28 M)
at AI duPont Hospital for Children. We assessed bone age (BA), insulin, leptin,
estradiol (E2), DHEAS, and IGF-1 levels. RESULTS: Almost 25% of OB children have
ABA>2 SDS, 33% >2 years (range 2-6.5 years advanced). ABA correlated with leptin,
DHEAS and BMI z-score in girls, and with IGF-1 z-score and BMI z-score in boys
(p<0.01). Girls with ABA had higher BMI z-score (p<0.001), insulin levels
(p=0.02), and rates of weight gain (p=0.03). Boys with ABA had greater BMI z
score (p<0.001), but rate of weight gain did not differ. The greatest degree of
ABA was found combining variables by tertiles. The top tertile of BA/CA had the
highest insulin and IGF-1 z-scores. The top combined tertiles of DHEAS and BMI z
score or DHEAS and leptin in girls had the highest BA/CA. In boys, the top
tertiles of BMI z-score and IGF-1 z-score produced the highest BA/CA. The lowest
combined tertiles of any variables related to the lowest BA/CA. CONCLUSIONS:
Multiple factors influence skeletal maturation. Almost 25% of children with OB
have ABA, associated with BMI z-score, and one or more of the following: insulin,
leptin, DHEAS, IGF-1, and rate of weight gain. This report delineates the
prevalence and degree of ABA by sex, in children with normal versus excessive
weight.
PMID- 26565542
TI - The relation of urinary bisphenol A with kisspeptin in girls diagnosed with
central precocious puberty and premature thelarche.
AB - BACKGROUND: Bisphenol A (BPA) is known as an endocrine disruptor and it is
supposed to have a role on the development of central precocious puberty (CPP).
Kisspeptin, a hypothalamic peptide, is a neuromodulator of gonadotropin releasing
hormone and it has an important role on regulation of the onset of puberty. The
BPA levels in girls with CPP and premature thelarche (PT) and its relation with
kisspeptin levels were investigated. METHODS: Twenty-eight girls with CPP, 28
girls with PT and 22 prepubertal girls as a control group were enrolled to the
study. Urinary BPA and serum kisspeptin levels were compared in the groups.
Bivariate correlations were performed to evaluate the relations of BPA with
kisspeptin and estradiol. RESULTS: There was no statistical difference between
groups regarding BPA levels. Serum kisspeptin levels were higher in CPP group
than controls [306.56 (interquartile range (IQR), 175.63-504.66) vs. 157.62 (IQR,
55.61-285.00) p: 0.008]. There were no correlations between BPA and kisspeptin
levels (r: 0.088, p: 0.391) and between BPA and estradiol (r: -0.171, p: 0.144).
CONCLUSIONS: The BPA levels did not differentiate between groups and it seems
that the exposed amount of BPA in daily life did not affect kisspeptin levels in
girls with CPP and PT.
PMID- 26565543
TI - Anxiety, depression and self-esteem levels in obese children: a case-control
study.
AB - BACKGROUND: Obesity is a global health problem affecting all age groups.
Childhood obesity, which may cause chronic diseases including diabetes mellitus,
cardiovascular disease and cancer, etc., deserves more attention. However, few
studies highlight the association between childhood obesity and psychological
diseases. In the present study, we aimed to evaluate the psychological condition
in obese children. METHODS: One hundred and sixty-seven obese (body mass index
(BMI) >95th percentile) and 200 normal weight children (BMI between 5th and 85th
percentile) aged 9-16 years were enrolled into this case-control study. In order
to assess the self-concept, anxiety and depression levels: the Piers-Harris
Children's Self-Concept Scale (PHCSCS), state and trait anxiety inventory for
children (STAI-C) and the children depression inventory (CDI) were administered
both obese and control groups. RESULTS: There were significant differences among
obese and control groups in terms of the total score of PHCSCS [55 (22-69) versus
65 (57-74)], STAI-C [37 (20-55) versus 28 (20-42)], and CDI [12 (4-39)] versus [8
(3-19)]; respectively (p<0.001, p<0.001, p<0.001). We also found statistically
significant differences among groups in all of the subscales parameters of PHCSCS
(p<0.001). CONCLUSIONS: Our results indicate that obese children may experience
psychiatric disorders more than normal-weight peers.
PMID- 26565544
TI - Pattern of teen menstruation among secondary school girls in south east Nigeria.
AB - BACKGROUND: Menstruation in the teenage age has assumed variable trends which is
been influenced by several variables. This study is aimed at determining the
pattern and trend of menstruation among teens attending secondary school in south
east Nigeria and associated factors. METHODS: Menstruation patterns were
investigated using a stratified random sampling method of teens from junior
secondary schools in Enugu, south east Nigeria. A self-administered questionnaire
was developed and data analyzed using SPSS version 19. RESULTS: A total of 897
female teenagers aged 9-18 years completed the questionnaire with a mean age of
13.9+/-1.9 years. The mean age (SD) at onset of menarche was 12.5+/-1.2 years.
Teenage girls with higher BMI achieved menarche earlier at age 8 and 9 when
compared with their counterparts with lower BMI and this is statistically
significant. F=7.60, df=8, p<0.001. Teens with a 14-day cycle had a higher BMI
when compared with teens with longer cycle but this is not statistically
significant. F=1.05, df=4, p=0.381. There is a statistical significance
difference between teens duration of menstrual flow and BMI. Those with higher
BMI had longer duration(4-5 days) compared with those with lower BMI. F=3.329,
df=4, p=0.01 CONCLUSIONS: This study revealed that the mean age at onset of
menarche was 12.5+/-1.2 years showing a continuing decreasing trend. Teens with
higher BMI attain menarche earlier and had longer days of periods when compared
with their counterpart with lower BMI.
PMID- 26565545
TI - Relationship between visceral obesity and plasma fibrinogen in obese children.
AB - BACKGROUND: The prevalence of obesity in children and adolescents has increased
significantly worldwide with an alarming rise of its co-morbidities. The excess
of visceral adipose tissue is associated with hypertension, prothrombotic and pro
inflammatory states. Our aim was to find a possible association between visceral
obesity and plasma fibrinogen, as one of the cardiovascular risk factors, in
obese children. METHODS: Forty-three obese children and 40 non-obese controls
were studied regarding their history, complete physical examination,
anthropometric assessment, body composition analysis, ultrasonographic
measurement of visceral adipose tissue and subcutaneous fat as well as laboratory
measurement of plasma fibrinogen. RESULTS: Our study revealed significant higher
levels of fibrinogen in obese children than controls (14.5+5.1 and 2.9+0.52
mg/mL, respectively) with p-value <0.01. Moreover, the obese group had
statistically significant difference in visceral fat (5.96+0.77 cm) and
subcutaneous fat (2.66+0.70 cm) than controls (2.45+0.65 and 0.70+0.18 mg/mL,
respectively) with p-value <0.01. In addition, fibrinogen had significant
positive correlation with body mass index (r=0.327), waist/hip ratio (r=0.394),
fat percentage (r=0.301), visceral adipose tissue (r=0.323) and subcutaneous fat
(r=0.301). CONCLUSIONS: There was highly significant increase in the fibrinogen
level, visceral and subcutaneous abdominal fat in the obese group with
insignificant sex differences. Fibrinogen had a significant positive correlation
with the different adiposity markers, blood pressure, visceral and subcutaneous
fat. Visceral adipose tissue is a stronger predictor for cardiovascular risk
compared to subcutaneous fat.
PMID- 26565546
TI - Direct sequencing of FAH gene in Pakistani tyrosinemia type 1 families reveals a
novel mutation.
AB - BACKGROUND: Hereditary tyrosinemia type 1 (HT1) is a rare inborn error of
tyrosine catabolism with a worldwide prevalence of one out of 100,000 live
births. HT1 is clinically characterized by hepatic and renal dysfunction
resulting from the deficiency of fumarylacetoacetate hydrolase (FAH) enzyme,
caused by recessive mutations in the FAH gene. We present here the first report
on identification of FAH mutations in HT1 patients from Pakistan with a novel
one. METHODS: Three Pakistani families, each having one child affected with HT1,
were enrolled over a period of 1.5 years. Two of the affected children had died
as they were presented late with acute form. All regions of the FAH gene spanning
exons and splicing sites were amplified by polymerase chain reaction (PCR) and
mutation analysis was carried out by direct sequencing. Results of sequencing
were confirmed by restriction fragment length polymorphism (PCR-RFLP) analysis.
RESULTS: Three different FAH mutations, one in each family, were found to co
segregate with the disease phenotype. Two of these FAH mutations have been known
(c.192G>T and c.1062+5G>A [IVS12+5G>A]), while c.67T>C (p.Ser23Pro) was a novel
mutation. The novel variant was not detected in any of 120 chromosomes from
normal ethnically matched individuals. CONCLUSIONS: Most of the HT1 patients die
before they present to hospitals in Pakistan, as is indicated by enrollment of
only three families in 1.5 years. Most of those with late clinical presentation
do not survive due to delayed diagnosis followed by untimely treatment. This
tragic condition advocates the establishment of expanded newborn screening
program for HT1 within Pakistan.
PMID- 26565547
TI - Odontoiatric perspectives and osteonecrosis of the jaw as a possible adverse
effect of bisphosphonates therapy in fibrous dysplasia and McCune-Albright
syndrome.
AB - BACKGROUND: McCune-Albright syndrome (MAS) is characterized by the triad of
polyostotic bone fibrous dysplasia (PFD), endocrine disorders, and cafe-au-lait
skin pigmentation. Ninety percent of MAS patients have fibrous dysplasia (FD)
craniofacial lesions. Osteonecrosis of the jaw (ONJ) has been described as an
adverse side effect of bisphosphonate therapy. The aim of the study was to
investigate evidence of clinical and/or radiological signs of ONJ in FD/MAS
pediatric patients due to bisphosphonate therapy and describe odontoiatric tools
in this population. METHODS: Thirteen FD/MAS patients were enrolled. All patients
during pediatric age have been treated with pamidronate infusions. They underwent
complete oral clinical examination. Ortopantomography and/or CT were evaluated in
all cases. RESULTS AND CONCLUSIONS: No patient developed ONJ. None of them showed
radiological signs different from jaw FD. In spite of the low number of patients
enrolled, results confirm that, in this population, ONJ can be ruled out as a
chronic adverse side effect of bisphosphonate therapy.
PMID- 26565548
TI - Amitriptyline and phenytoin prevents memory deficit in sciatic nerve ligation
model of neuropathic pain.
AB - BACKGROUND: Phenytoin and amitriptyline are often reported to attenuate pain in
chronic conditions. Information on their ability to ameliorate cognitive
impairment associated with neuropathic pain remains unclear due to mixed results
from studies. This study investigated the effects of phenytoin and amitriptyline
on memory deficit associated with neuropathic pain. METHODS: Twenty-eight adult
male Wistar rats were randomly divided into four groups: A, B, C, and D (n=7).
Groups A, B, C, and D served as sham control, sciatic nerve ligated untreated,
sciatic nerve ligated receiving amitriptyline (5 mg/kg), and sciatic nerve
ligated receiving phenytoin (10 mg/kg) respectively. Treatments lasted for 14
days, after which both 'Y' maze and novel object recognition test (NOR) were
performed. On the last day of treatment, the animals were anesthetized and their
brain excised, and the prefrontal cortices and sciatic nerve were processed
histologically using hematoxylin and eosin. RESULTS: There was memory impairment
in the sciatic nerve ligated untreated group which was statistically significant
(p<0.05) when compared to the phenytoin-treated, amitriptyline-treated, and sham
control groups using the 'Y' maze and NOR tests. Histological quantification
showed that the prefrontal cortices of the ligated animals showed increased
neural population in comparison to normal control. These increases were
significantly marked in the untreated ligated group. Sciatic nerve of untreated
ligated group showed high demyelination and axonal degeneration which was
ameliorated in the treated animals. CONCLUSIONS: The administration of
amitriptyline and phenytoin can ameliorate neuronal injury, demyelination, and
memory impairment associated with neuropathic pain in Wistar rats.
PMID- 26565549
TI - Ulinastatin - a newer potential therapeutic option for multiple organ dysfunction
syndrome.
AB - Despite significant improvements in medical and surgical management, multiple
organ dysfunction syndrome (MODS) or multiple organ failure following conditions
such as acute pancreatitis, severe sepsis, and traumatic, hemorrhagic, and
endotoxin shocks is still accompanied with a high mortality rate. In light of the
crucial role of immunologic derangement recently conceptualized in these
conditions, ulinastatin, a urinary trypsin inhibitor, is considered as a
potentially beneficial immunomodulator drug for MODS. Mechanisms involving
protections against tissue organs and endothelial cell and anti-inflammatory
effects by ulinastatin are dependent on the inhibition of polymorphonuclear
leukocyte (PMN)-derived elastase, tumor necrosis factor alpha, and other pro
inflammatory cytokines and interleukins (IL-1, IL-6, and IL-8). Ulinastatin also
suppresses the activation of PMN cells, macrophages, and platelets. Derived from
these properties, ulinastatin has been investigated as a potential clinical
therapy for indications including shock and pancreatitis and approved in Japan
and China with ongoing clinical trials around the globe. Off-label potential uses
of ulinastatin have been reported in preterm labor and hematological, hepatic,
renal, and cardiovascular diseases including vasculitis syndromes such as
Kawasaki disease.
PMID- 26565550
TI - Seeing over the horizon - targeting the endocannabinoid system for the treatment
of ocular disease.
AB - The observation that marijuana reduces intraocular pressure was made by Hepler
and Frank in the 1970s. Since then, there has been a significant body of work
investigating cannabinoids for their potential use as therapeutics. To date, no
endocannabinoid system (ECS)-modulating drug has been approved for clinical use
in the eye; however, recent advances in our understanding of the ECS, as well as
new pharmacological tools, has renewed interest in the development of ocular ECS
based therapeutics. This review summarizes the current state-of-affairs for the
use of ECS-modulating drugs for the treatment of glaucoma and ocular inflammatory
and ischemic disease.
PMID- 26565551
TI - Are the endocannabinoid-like compounds N-acyl aminoacids neuroprotective after
traumatic brain injury?
AB - In recent years, a library of approx. 70 N-acyl aminoacids (NAAAs) was discovered
in the rat brain. A particular member of this family of compounds is arachidonoyl
serine (AraS), which has generated special interest as a potential therapy for
traumatic brain injury (TBI). This is due to its structural similarity to the
endocannabinoid (eCB) 2-arachidonoyl glycerol (2-AG), which was previously shown
to be beneficial in the recovery in a closed head injury model of TBI. Indeed,
AraS exerted eCB-mediated neuroprotection, which was evident in numerous aspects
related to the secondary damage characterizing TBI. These findings promoted
broadening of the research to additional compounds of the NAAA family that share
a structural similarity to AraS, namely, palmitoyl serine (PalmS) and oleoyl
serine. The latter did not exhibit any improvement in recovery, whereas the
former displayed some neuroprotection, albeit inferior to 2-AG and AraS, via
unknown mechanisms. Interestingly, when a combined treatment of 2-AG, AraS and
PalmS was tested, the overall effect on the severity score was inferior to their
individual effects, suggesting not only a lack of direct or indirect synergism,
but also possibly some spatial hindrance. Taken together, the complexity of the
damage caused by TBI and the many open questions concerning the role of the eCB
system in health and disease, the findings so far may serve as a small trace to
the understanding of the eCB system, as well as of the mechanisms underlying TBI.
PMID- 26565552
TI - Levels of bioactive lipids in cooking oils: olive oil is the richest source of
oleoyl serine.
AB - BACKGROUND: Rates of osteoporosis are significantly lower in regions of the world
where olive oil consumption is a dietary cornerstone. Olive oil may represent a
source of oleoyl serine (OS), which showed efficacy in animal models of
osteoporosis. Here, we tested the hypothesis that OS as well as structurally
analogous N-acyl amide and 2-acyl glycerol lipids are present in the following
cooking oils: olive, walnut, canola, high heat canola, peanut, safflower, sesame,
toasted sesame, grape seed, and smart balance omega. METHODS: Methanolic lipid
extracts from each of the cooking oils were partially purified on C-18 solid
phase extraction columns. Extracts were analyzed with high-performance liquid
chromatography-tandem mass spectrometry, and 33 lipids were measured in each
sample, including OS and bioactive analogs. RESULTS: Of the oils screened here,
walnut oil had the highest number of lipids detected (22/33). Olive oil had the
second highest number of lipids detected (20/33), whereas grape-seed and high
heat canola oil were tied for lowest number of detected lipids (6/33). OS was
detected in 8 of the 10 oils tested and the levels were highest in olive oil,
suggesting that there is something about the olive plant that enriches this
lipid. CONCLUSIONS: Cooking oils contain varying levels of bioactive lipids from
the N-acyl amide and 2-acyl glycerol families. Olive oil is a dietary source of
OS, which may contribute to lowered prevalence of osteoporosis in countries with
high consumption of this oil.
PMID- 26565553
TI - Inhibition of endopeptidase and exopeptidase activity of cathepsin B impairs
extracellular matrix degradation and tumour invasion.
AB - Cathepsin B is a lysosomal cysteine protease that is implicated in a number of
physiological processes, including protein turnover in lysosomes. Changes in its
expression are associated with a variety of pathological processes, including
cancer. Due to the structural feature, termed the occluding loop, cathepsin B
differs from other cysteine proteases in possessing both, endopeptidase and
exopeptidase activity. Here we investigated the impact of both cathepsin B
activities on intracellular and extracellular collagen IV degradation and tumour
cell invasion using new selective synthetic inhibitors, 2-{[(8-hydroxy-5
nitroquinoline-7-yl)methyl]amino}-acetonitrile (1), 8-(4-methylpiperidin-1-yl)-5
nitroquinoline (2) and 7-[(4-methylpiperidin-1yl)methyl]-5-nitroquinolin-8-ol
(3). All three compounds (5 MUM) reduced extracellular degradation of collagen IV
by MCF-10A neoT cells by 45-70% as determined by spectrofluorimetry and they (50
MUM) attenuated intracellular collagen IV degradation by 40-60% as measured with
flow cytometry. Furthermore, all three compounds (5 MUM) impaired MCF-10A neoT
cell invasion by 40-80% as assessed by measuring electrical impedance in real
time. Compounds 1 and 3 (5 MUM), but not compound 2, significantly reduced the
growth of MMTV-PyMT multicellular tumour spheroids. Collectively, these data
suggest that the efficient strategy to impair harmful cathepsin B activity in
tumour progression may include simultaneous and potent inhibition of cathepsin B
endopeptidase and exopeptidase activities.
PMID- 26565554
TI - Safety and pharmacokinetics of a kinin B1 receptor peptide agonist produced with
different counter-ions.
AB - Several studies have shown the potential therapeutic utility of kinin B1 receptor
(B1R) peptide agonists in neurological and ischemic cardiovascular diseases and
brain cancer. Preclinical safety studies are a prerequisite for further drug
development. The objectives of this study were to determine the acute toxicity
and pharmacokinetics of the peptide B1R agonist, SarLys[dPhe8]desArg9-bradykinin
(NG29), as trifluoroacetate (TFacetate) or acetate salt form, following
intravenous injection in rats. A maximum tolerated dose (MTD) of NG29-TFacetate
was established at 75 mg/kg from the results of a dose range-finding study (up to
200 mg/kg). The short-term (4-day) repeat-dose toxicity study of NG29, using its
MTD value, showed that NG29-acetate exhibited minimal non-adverse clinical
pathology changes in hematology, coagulation, clinical chemistry and urine
parameters and severe kidney histopathological changes characterized by renal
tubular degeneration. No such effects were observed with NG29-TFacetate. At the
injection site, NG29-TFacetate was considered to be more locally irritating when
compared to the acetate form. The extent of exposure and half-life values of NG29
TFacetate were comparable to the acetate form (AUC0-alpha of 10.2 mg/l*h vs. 9.9
mg/l*h; T1/2 of 2.3 h vs. 2.4 h). This study shows that in rats NG29-TFacetate
exhibits a superior tolerability profile compared with the peptide acetate form.
PMID- 26565555
TI - Cell-cell and cell-matrix adhesion in survival and metastasis: Stat3 versus Akt.
AB - Both cell-cell and cell-matrix adhesion are important for epithelial cell
differentiation and function. Classical cadherins mediate cell to cell
interactions and are potent activators of the signal transducer and activator of
transcription (Stat3), thereby offering survival signaling. While the epithelial
(E)-cadherin is required for cells to remain tightly associated within
differentiated epithelial tissues, cadherin-11 promotes invasion and metastasis,
preferentially to the bone. Cell adhesion to the extracellular matrix is mediated
through the integrin receptors that bind to the focal adhesion kinase (FAK)/Src
complex, thus activating downstream effectors such as Ras/Erk1/2 and PI3k/Akt,
but not Stat3. Therefore, at high densities of cultured cells or in epithelial
tissues, co-ordinate activation of the complementary cadherin/Stat3 and
integrin/FAK pathways can greatly enhance survival and growth of tumor cells. In
neoplastically transformed cells on the other hand, a variety of oncogenes
including activated Src or receptor tyrosine kinases, activate both pathways.
Still, most single-agent therapies directed against these signaling pathways have
proven disappointing in the clinic. Combined targeting of the Src/FAK and Stat3
pathways with inhibitory drugs would be expected to have greater efficacy in
inhibiting tumor cell survival, and enhancing sensitivity to conventional
cytotoxic drugs for treatment of metastatic disease.
PMID- 26565556
TI - A Semiparametric Bayesian Approach for Analyzing Longitudinal Data from Multiple
Related Groups.
AB - Often the biological and/or clinical experiments result in longitudinal data from
multiple related groups. The analysis of such data is quite challenging due to
the fact that groups might have shared information on the mean and/or covariance
functions. In this article, we consider a Bayesian semiparametric approach of
modeling the mean trajectories for longitudinal response coming from multiple
related groups. We consider matrix stick-breaking process priors on the group
mean parameters which allows information sharing on the mean trajectories across
the groups. Simulation studies are performed to demonstrate the effectiveness of
the proposed approach compared to the more traditional approaches. We analyze
data from a one-year follow-up of nutrition education for hypercholesterolemic
children with three different treatments where the children are from different
age-groups. Our analysis provides more clinically useful information than the
previous analysis of the same dataset. The proposed approach will be a very
powerful tool for analyzing data from clinical trials and other medical
experiments.
PMID- 26565557
TI - Multiple-Objective Optimal Designs for Studying the Dose Response Function and
Interesting Dose Levels.
AB - We construct an optimal design to simultaneously estimate three common
interesting features in a dose-finding trial with possibly different emphasis on
each feature. These features are (1) the shape of the dose-response curve, (2)
the median effective dose and (3) the minimum effective dose level. A main
difficulty of this task is that an optimal design for a single objective may not
perform well for other objectives. There are optimal designs for dual objectives
in the literature but we were unable to find optimal designs for 3 or more
objectives to date with a concrete application. A reason for this is that the
approach for finding a dual-objective optimal design does not work well for a 3
or more multiple-objective design problem. We propose a method for finding
multiple-objective optimal designs that estimate the three features with user
specified higher efficiencies for the more important objectives. We use the
flexible 4-parameter logistic model to illustrate the methodology but our
approach is applicable to find multiple-objective optimal designs for other types
of objectives and models. We also investigate robustness properties of multiple
objective optimal designs to mis-specification in the nominal parameter values
and to a variation in the optimality criterion. We also provide computer code for
generating tailor made multiple-objective optimal designs.
PMID- 26565558
TI - Copper(II) complexes of terminally free alloferon mutants containing two histidyl
binding sites inside peptide chain structure and stability.
AB - Mononuclear and polynuclear copper(II) complexes of alloferon 1 with point
mutations, H1A/H12A H2N-A(1)GVSGH(6)GQH(9)GVA(12)G-COOH, H1A/H9A H2N
A(1)GVSGH(6)GQA(9)GVH(12)G-COOH, and H1A/H6A H2N-A(1)GVSGA(6)GQH(9)GVH(12)G-COOH,
have been studied by potentiometric, UV-visible, CD, and EPR spectroscopy, and
mass spectrometry (MS) methods. Complete complex speciation at different metal-to
ligand molar ratios ranging from 1 : 1 to 3 : 1 was obtained. Over a wide 6-8 pH
range, including physiological pH 7.4, and a 1 : 1 metal-to-ligand molar ratio,
the peptides studied formed a CuH-1L complex with the 4N{NH2,N(-),2NIm}
coordination mode. The presence of the 4N binding site for the CuH-1L complexes
prevented the deprotonation and coordination of the second amide nitrogen atom to
copper(II) ions (pK-1/-2 7.83-8.07) compared to that of pentaGly (6.81). The
amine nitrogen donor and two imidazole nitrogen atoms (H(6)H(9), H(6)H(12) and
H(9)H(12)) can be considered to be independent metal-binding sites in the species
formed. As a consequence, di- and trinuclear complexes for the metal-to-ligand 2
: 1 and 3 : 1 molar ratios dominate in the solution, respectively. For the Cu(II)
H1A/H9A and Cu(II)-H1A/H12A systems, the Cu3H-9L complexes are likely formed by
the coordination of amide nitrogen atoms towards C-termini with ring sizes
(7,5,5).
PMID- 26565559
TI - CRISPR/Cas9-mediated genome engineering of the ferret.
PMID- 26565560
TI - We should be using nonlinear indices when relating heart-rate dynamics to
cognition and mood.
AB - Both heart rate (HR) and brain functioning involve the integrated output of a
multitude of regulatory mechanisms, that are not quantified adequately by linear
approximations such as means and standard deviations. It was therefore considered
whether non-linear measures of HR complexity are more strongly associated with
cognition and mood. Whilst resting, the inter-beat (R-R) time series of twenty
one males and twenty-four females were measured for five minutes. The data were
summarised using time, frequency and nonlinear complexity measures. Attention,
memory, reaction times, mood and cortisol levels were assessed. Nonlinear HR
indices captured additional information, enabling a greater percentage of the
variance in behaviour to be explained. On occasions non-linear indices were
related to aspects for behaviour, for example focused attention and cortisol
production, when time or frequency indices were not. These effects were sexually
dimorphic with HR complexity being more strongly associated with the behaviour of
females. It was concluded that nonlinear rather than linear methods of
summarizing the HR times series offers a novel way of relating brain functioning
and behaviour. It should be considered whether non-linear measures of HR
complexity can be used as a biomarker of the integrated functioning of the brain.
PMID- 26565561
TI - The value of routine pharmacogenomic screening-Are we there yet? A perspective on
the costs and benefits of routine screening-shouldn't everyone have this done?
AB - Although there are several examples in which pharmacogenomic testing seems to
provide clinical and economic value, use of pharmacogenomics as a tool to improve
drug therapy through routine screening of unselected patients is currently
tentative. An informal evaluation of the clinical benefits and economic costs of
pharmacogenomic screening suggests that improving the evidence base, addressing
uncertainty, and facilitating implementation can lead to practical and cost
effective pharmacogenomic screening programs.
PMID- 26565563
TI - Muscle & nerve joins the "App World".
PMID- 26565562
TI - Opposite roles of bradykinin B1 and B2 receptors during cerebral ischaemia
reperfusion injury in experimental diabetic rats.
AB - Bradykinin receptors play important roles in cerebral ischaemia-reperfusion (I/R)
injury of non-diabetics. Their functions in diabetics, however, have not been
studied. In this study, we hypothesized that bradykinin 1 receptor (B1R) and
bradykinin 2 receptor (B2R) would be upregulated and participate in the
regulation of diabetic ischaemic stroke. To investigate this, we first evaluated
B1R and B2R expression at different time points after I/R in non-diabetic and
diabetic rats (Sprague-Dawley) by using real-time quantitative reverse
transcription polymerase chain reaction, western blotting, and
immunofluorescence. Then, pharmacological inhibitors were separately administered
via the tail vein to analyse their effects on cerebral ischaemia in diabetics.
Both receptors were significantly upregulated after cerebral I/R in non-diabetic
and diabetic rats. B1R expression in diabetic rats increased in a sharper manner
than in non-diabetic rats, whereas B2R expression increased to the same level
during the early stage of reperfusion but later became lower. Interestingly, the
upregulated B1R was expressed in astrocytes, whereas B2R was mainly located in
neurons in the ischaemic penumbra. Functional studies showed that inhibition of
B1R significantly reduced infarct volume, neurological deficits, cell apoptosis,
and neuron degeneration, probably by attenuating blood-brain barrier (BBB)
disruption and post-ischaemic inflammation, at 24 h after reperfusion. In
contrast, B2R antagonist had opposite effects, and exacerbated BBB penetrability
and tissue inflammation. These findings suggest that B1R and B2R have detrimental
and beneficial effects, respectively in diabetic cerebral ischaemia, which might
open new avenues for the treatment of ischaemic stroke in diabetic patients
through selective pharmacological blockade or activation.
PMID- 26565564
TI - Microwave-Osmotic/Microwave-Vacuum Drying of Whole Cranberries: Comparison with
Other Methods.
AB - A novel drying method for frozen-thawed whole cranberries was developed by
combining microwave osmotic dehydration under continuous flow medium spray
(MWODS) conditions with microwave vacuum finish-drying. A central composite
rotatable design was used to vary temperature (33 to 67 degrees C), osmotic
solution concentration (33 to 67 degrees B), contact time (5 to 55 min), and
flow rate (2.1 to 4.1 L/min) in order to the determine the effects of MWODS input
parameters on quality of the dried berry. Quality indices monitored included
colorimetric and textural data in addition to anthocyanin retention and cellular
structure. Overall it was found that the MWODS-MWV process was able to produce
dried cranberries with quality comparable to freeze dried samples in much shorter
time. Additionally, cranberries dried via the novel process exhibited much higher
quality than those dried via either vacuum or convective air drying in terms of
color, anthocyanin content, and cellular structure.
PMID- 26565565
TI - Common foliar fungi of Populus trichocarpa modify Melampsora rust disease
severity.
AB - Nonpathogenic foliar fungi (i.e. endophytes and epiphytes) can modify plant
disease severity in controlled experiments. However, experiments have not been
combined with ecological studies in wild plant pathosystems to determine whether
disease-modifying fungi are common enough to be ecologically important. We used
culture-based methods and DNA sequencing to characterize the abundance and
distribution of foliar fungi of Populus trichocarpa in wild populations across
its native range (Pacific Northwest, USA). We conducted complementary,
manipulative experiments to test how foliar fungi commonly isolated from those
populations influence the severity of Melampsora leaf rust disease. Finally, we
examined correlative relationships between the abundance of disease-modifying
foliar fungi and disease severity in wild trees. A taxonomically and
geographically diverse group of common foliar fungi significantly modified
disease severity in experiments, either increasing or decreasing disease
severity. Spatial patterns in the abundance of some of these foliar fungi were
significantly correlated (in predicted directions) with disease severity in wild
trees. Our study reveals that disease modification is an ecological function
shared by common foliar fungal symbionts of P. trichocarpa. This finding raises
new questions about plant disease ecology and plant biodiversity, and has applied
potential for disease management.
PMID- 26565567
TI - A Tribute to Prosthetic Urology Legends.
PMID- 26565568
TI - Technological Improvements in Three-Piece Inflatable Penile Prosthesis Design
over the Past 40 Years.
AB - INTRODUCTION: The advent of the penile prosthesis revolutionized the treatment of
erectile dysfunction (ED), resulting in near-complete treatment efficacy and high
patient satisfaction rates. While several types of penile prosthesis are
available, the inflatable penile prosthesis (IPP) is the most commonly used
device in the United States. AIMS: To describe the key modifications to IPPs from
the two major manufacturers-American Medical Systems (AMS) and Coloplast-since
the invention of the IPP, and to relate these changes to improvements in
prosthesis function and patient outcomes based on available literature. METHODS:
Review and evaluation of the literature between 1973 and present describing
modifications in IPP design and the influence of these modifications on IPP
durability and patient-related factors. MAIN OUTCOME MEASURES: Data describing
the impact of iterative improvements in three-piece IPP design on device
function, durability, and patient outcomes. RESULTS: There were progressive
improvements in IPP technology from both major manufacturers not only on the
durability of the prosthesis but also on patient outcomes, with fewer device
failures and lower infection rates. Notable improvements include incorporation of
kink-resistant tubing, changes in the weave or addition of shear- and infection
resistant coatings to cylinder layers, pump and tubing connection modifications,
the addition of rear tip extenders, and the incorporation of lockout valves to
prevent autoinflation. CONCLUSIONS: Numerous incremental modifications to the IPP
from both major manufacturers since its invention have increased its durability
and improved patient outcomes.
PMID- 26565566
TI - APT070 (mirococept), a membrane-localizing C3 convertase inhibitor, attenuates
early human islet allograft damage in vitro and in vivo in a humanized mouse
model.
AB - BACKGROUND AND PURPOSE: A major obstacle to islet cell transplantation is the
early loss of transplanted islets resulting from the instant blood-mediated
inflammation reaction (IBMIR). The activation of complement pathways plays a
central role in IBMIR. The aim of this study was to test the inhibitory effect of
"painting" human islets with APT070, a membrane-localizing C3 convertase
inhibitor, on inflammation evoked by exposure to human serum in vitro and by
transplantation in vivo in a humanized diabetic mouse model. EXPERIMENTAL
APPROACH: In vitro, human islets pre-incubated with APT070 were exposed to
allogeneic whole blood. In vivo, similarly treated islets were transplanted
underneath the kidney capsule of streptozotocin-induced diabetic NOD-SCID
IL2rgamma(-/-) mice that had been reconstituted with human CD34(+) stem cells.
Complement activation and islet hormone content were assayed using enzyme-linked
immunosorbent assays. Supernatants and sera were assayed for cytokines using
cytometric beads array. Morphology of the islets incubated with human serum in
vitro and in graft-bearing kidney were evaluated using immunofluorescence
staining. KEY RESULTS: Pre-incubation with APT070 decreased C-peptide release and
iC3b production in vitro, with diminished deposition of C4d and C5b-9 in islets
embedded in blood clots. In vivo, the APT070-treated islets maintained intact
structure and showed less infiltration of inflammatory cells than untreated
islets. The pretreatments also significantly reduced pro-inflammatory cytokines
in supernatants and sera. CONCLUSIONS AND IMPLICATIONS: Pre-treatment of islets
with APT070 could reduce intra-islet inflammation with accompanying preservation
of insulin secretion by beta cells. APT070 could be as a potential therapeutic
tool in islet transplantation.
PMID- 26565569
TI - Editorial Comment on "Technological Improvements in 3-Piece Inflatable Penile
Prosthesis Design Over the Past 40 Years".
PMID- 26565570
TI - The Evolution and Utility of the Small-Carrion Prosthesis, Its Impact, and
Progression to the Modern-Day Malleable Penile Prosthesis.
AB - INTRODUCTION: Erectile dysfunction has plagued humanity for millennia. For years,
treatment had been in the hands of mental health professionals. It was not until
the 1970s that urologists created a modality that was marketable, reproducible,
and consistently successful at treating impotence, the Small-Carrion Penile
Prosthesis. AIM: We present the evolution of the malleable/semi-rigid penile
prosthesis, concentrating our efforts reviewing and critiquing the pivotal
article published by Drs. Michael P. Small, Hernan M. Carrion, and Julian A.
Gordon. We then discuss its continued advancement, current-day utilization, and
the future of the malleable prosthesis. METHODS: From the early 1900s, surgeons
have been toying with the idea of creating a penile implant. These initial
attempts utilized rib cartilage, and eventually synthetic materials, including
acrylic, silicone, and polyethylene. RESULTS: In 1975, Drs. Carrion and Small
presented their initial experience of 31 patients utilizing their silicone
implant. In their manuscript titled, "The Small-Carrion Penile Prosthesis: New
Implant for the Management of Impotence," they discuss their technique,
perioperative management of complications, and results. CONCLUSIONS: The
malleable penile prosthesis continued to evolve throughout the years to the
current day Genesis and Spectra. Although the current market is dominated by the
inflatable penile prosthesis, there are specific situations where the malleable
is ideally utilized. The pivotal article by Drs. Carrion and Small helped pave
the way for the "New Era" of penile prosthetics and still remains one of the most
impactful contributions to the management of erectile dysfunction.
PMID- 26565571
TI - History of Treatment of Patients with Erectile Dysfunction Using a Penile
Prosthesis.
PMID- 26565572
TI - The Mulcahy Salvage: Past and Present Innovations.
AB - INTRODUCTION: Inflatable penile implants are a mainstay for the surgical
correction of erectile dysfunction. For the last 40 years they have provided
reliable outcomes with durable patient satisfaction. Infection of the implant
continues to remain the primary surgical concern, despite the advent of
antibiotic-coated devices and improved skin preparation solutions. METHODS: In
this article, we review and evaluate the published literature for important
contributions surrounding the various salvage techniques and washout strategies.
In addition, the role of biofilm in prosthetic infection will be discussed.
RESULTS: First described by Mulcahy for instances of device infection, the
salvage or rescue procedure was established to avoid complete removal and staged
replacement. This approach, with its avoidance of difficult revision surgery,
penile shortening and patient discomfort, has produced success as high as 84%.
CONCLUSION: Mulcahy's innovative approach at salvage or rescue reimplantation has
proven to be a highly successful approach to this difficult surgical problem.
Without question, the report of the long-term results of his salvage patients has
directly influenced a generation of prosthetic surgeons.
PMID- 26565573
TI - Editorial Comment on "The Mulcahy Salvage: Past and Present Innovations".
PMID- 26565574
TI - Critical Appraisal and Review of Management Strategies for Severe Fibrosis During
Penile Implant Surgery.
AB - INTRODUCTION: Penile corporal fibrosis represents a challenging clinical scenario
for surgeons placing penile prostheses (PP). Because of its rarity, a small
number of series with limited follow-up have reported outcomes in this cohort.
AIM: The aim of this study was to perform a critical appraisal of the corporal
excavation technique, discuss its relevance to contemporary practice, and review
alternative surgical methods and outcomes. METHODS: A critical review was
performed of the 2006 article by Montague and Angermeier, "Corporeal excavation:
new technique for penile prosthesis implantation in men with severe corporeal
fibrosis." Notable inclusions and omissions were described, with emphasis placed
on methodology and outcomes. A PubMed search from 1990 to June 2015 was then
performed to review and summarize the literature on managing corporal fibrosis
during PP surgery. MAIN OUTCOME MEASURES: The main outcome measures used were the
major contributions and limitations of the 2006 article describing outcomes of
the corporal excavation technique. RESULTS: Corporal excavation is a relevant
surgical technique for managing severe corporal fibrosis. Compared with
alternatives, excavation achieves successful placement of PP without need for
grafting and with few complications. The article was limited by several notable
omissions including relevant patient demographic and disease characteristics,
patient selection, and minimal descriptions of complications and outcomes.
Alternative techniques include use of specialized dilators, counter incisions,
reconstruction with graft placement, minimal scar tissue excision, and endoscopic
resection. Because of limited data, no specific algorithm for managing corporal
fibrosis can be prescribed. CONCLUSIONS: Corporal fibrosis is a challenging
clinical scenario and requires surgical experience and specialized techniques to
manage appropriately. Corporal excavation represents one of several viable
techniques, which may be chosen based on surgeon's preference and clinical
factors.
PMID- 26565575
TI - Editorial Comment on "Critical Appraisal and Review of Management Strategies for
Severe Fibrosis During Penile Implant Surgery".
PMID- 26565576
TI - Adjuvant Maneuvers for Residual Curvature Correction During Penile Prosthesis
Implantation in Men with Peyronie's Disease.
AB - INTRODUCTION: The surgical treatment of comorbid erectile dysfunction and
Peyronie's disease has long included the implantation of an inflatable penile
prosthesis as well as a number of adjuvant maneuvers to address residual
curvature after prosthesis placement. AIM: To review the various surgical options
for addressing curvature after prosthesis placement, with specific attention paid
to an original article by Wilson et al. reporting on modeling over a penile
prosthesis for the management of Peyronie's disease. METHODS: A literature review
was performed analyzing articles reporting the management of penile curvature in
patients undergoing implantation of an inflatable penile prosthesis. MAIN OUTCOME
MEASURES: Reported improvement in Peyronie's deformity as well as the
complication rate associated with the various surgical techniques described.
RESULTS: Modeling is a well-established treatment modality among patients with
Peyronie's disease undergoing penile prosthesis implantation. A variety of other
adjuvant maneuvers to address residual curvature when modeling alone is
insufficient has been presented in the literature. CONCLUSIONS: Over 20 years of
experience with modeling over a penile prosthesis have proven the efficacy and
safety of this treatment option, providing the surgeon a simple initial step for
the management of residual curvature after penile implantation which allows for
the use of additional adjuvant maneuvers in those with significant deformities.
PMID- 26565577
TI - Management of Perforation Injuries During and Following Penile Prosthesis
Surgery.
AB - INTRODUCTION: Distal extrusion of penile prosthesis cylinders is a challenging
problem that is associated with pain and imminent erosion through penile skin.
Distal extrusion and other perforation injuries, including crural and urethral,
are other manifestations of tunica albuginea injuries that result in poor
clinical outcomes and patient satisfaction. AIM: A description of Dr. John
Mulcahy's landmark article for management of lateral extrusion is presented along
with discussion of techniques for managing other types of perforation injuries
associated with penile implants. METHODS: Dr. Mulcahy's original article is
reviewed and critiqued. Surgical methods to manage perforation injuries are
discussed. MAIN OUTCOMES MEASURES: The main outcome measures used were the review
of original article, subsequent articles, and commentary by Dr. Mulcahy. RESULTS:
Knowledge of techniques for management intraoperative and postoperative
complications related to tunical perforation is necessary for implant surgeons.
CONCLUSIONS: Perforation injuries are challenging noninfectious complications of
penile prosthesis surgery. Familiarity with techniques to manage these problems
is essential for ensuring good outcomes and patient satisfaction.
PMID- 26565578
TI - Editorial Comment: Management of Perforation Injuries During and Following Penile
Implant Surgery.
PMID- 26565579
TI - The Evolution of the Inflatable Penile Prosthesis Reservoir and Surgical
Placement.
AB - The traditional inflatable penile prosthesis (IPP) reservoir placement is below
the transversalis fascia in the space of Retzius. In 2002, Dr. Steve Wilson
described ectopic reservoir placement, thereby providing a safe and effective
alternative for implant surgeons. This new approach obviated the need for a
second incision and decreased operative times during surgery. In the manuscript,
he also described the introduction of a reservoir lock-out valve, which prevents
autoinflation of the penile implant. The development of lockout valves and flat
reservoirs has contributed to the early success and feasibility of submuscular
placement techniques. Thirteen years after Dr. Wilson's pivotal study, this
technique should be in the armamentarium of all urologic prosthetic surgeons.
Accordingly, in certain subsets of patients, ectopic/ submuscular reservoir site
placement should be considered a safe, effective alternative to standard
reservoir placement in the space of Retzius.
PMID- 26565580
TI - Editorial Comment on "The Evolution of the Inflatable Penile Prosthesis Reservoir
and Surgical Placement".
PMID- 26565581
TI - A long-term assessment of pesticide mixture effects on aquatic invertebrate
communities.
AB - To understand the potential effects of pesticide mixtures on aquatic ecosystems,
studies that incorporate increased ecological relevance are crucial. Using
outdoor mesocosms, the authors examined long-term effects on aquatic invertebrate
communities of tertiary mixtures of commonly used pesticides: 2 pyrethroids
(permethrin, lambda-cyhalothrin) and an organophosphate (chlorpyrifos).
Application scenarios were based on environmentally relevant concentrations and
stepwise increases of lethal concentrations from 10% (LC10) to 50% (LC50) based
on laboratory tests on Hyalella azteca and Chironomus dilutus; repeated
applications were meant to generally reflect runoff events in a multiple-grower
or homeowner watershed. Pyrethroids rapidly dissipated from the water column,
whereas chlorpyrifos was detectable even 6 wk after application. Twelve of 15
macroinvertebrate and 10 of 16 zooplankton taxa responded to contaminant
exposures. The most sensitive taxa were the snail Radix sp., the amphipod H.
azteca, the water flea Daphnia magna, and copepods. Environmentally relevant
concentrations had acute effects on D. magna and H. azteca (occurring 24 h after
application), whereas lag times were more pronounced in Radix sp. snails and
copepods, indicating chronic sublethal responses. Greatest effects on zooplankton
communities were observed in environmentally relevant concentration treatments.
The results indicate that insecticide mixtures continue to impact natural systems
over multiple weeks, even when no longer detectable in water and bound to
particles. Combinations of indirect and direct effects caused consequences across
multiple trophic levels.
PMID- 26565582
TI - AASLD practice guidelines: The past, the present, and the future.
PMID- 26565588
TI - Reliability of the one-crossing approximation in describing the Mott transition.
AB - We assess the reliability of the one-crossing approximation (OCA) approach in a
quantitative description of the Mott transition in the framework of the dynamical
mean field theory (DMFT). The OCA approach has been applied in conjunction with
DMFT to a number of heavy-fermion, actinide, transition metal compounds and
nanoscale systems. However, several recent studies in the framework of impurity
models pointed out serious deficiencies of OCA and raised questions regarding its
reliability. Here we consider a single band Hubbard model on the Bethe lattice at
finite temperatures and compare the results of OCA to those of a numerically
exact quantum Monte Carlo (QMC) method. The temperature-local repulsion U phase
diagram for the particle-hole symmetric case obtained by OCA is in good agreement
with that of QMC, with the metal-insulator transition captured very well. We
find, however, that the insulator to metal transition is shifted to higher values
of U and, simultaneously, correlations in the metallic phase are significantly
overestimated. This counter-intuitive behaviour is due to simultaneous
underestimations of the Kondo scale in the metallic phase and the size of the
insulating gap. We trace the underestimation of the insulating gap to that of the
second moment of the high-frequency expansion of the impurity spectral density.
Calculations of the system away from the particle-hole symmetric case are also
presented and discussed.
PMID- 26565589
TI - A forward genetic screen reveals novel independent regulators of ULBP1, an
activating ligand for natural killer cells.
AB - Recognition and elimination of tumor cells by the immune system is crucial for
limiting tumor growth. Natural killer (NK) cells become activated when the
receptor NKG2D is engaged by ligands that are frequently upregulated in primary
tumors and on cancer cell lines. However, the molecular mechanisms driving NKG2D
ligand expression on tumor cells are not well defined. Using a forward genetic
screen in a tumor-derived human cell line, we identified several novel factors
supporting expression of the NKG2D ligand ULBP1. Our results show stepwise
contributions of independent pathways working at multiple stages of ULBP1
biogenesis. Deeper investigation of selected hits from the screen showed that the
transcription factor ATF4 drives ULBP1 gene expression in cancer cell lines,
while the RNA-binding protein RBM4 supports ULBP1 expression by suppressing a
novel alternatively spliced isoform of ULBP1 mRNA. These findings offer insight
into the stress pathways that alert the immune system to danger.
PMID- 26565592
TI - External Validation of Early Weight Loss Nomograms for Exclusively Breastfed
Newborns.
AB - INTRODUCTION: Nomograms that show hour-by-hour percentiles of weight loss during
the birth hospitalization were recently developed to aid clinical care of
breastfeeding newborns. The nomograms for breastfed neonates were based on a
sample of 108,907 newborns delivered at 14 Kaiser Permanente medical centers in
Northern California (United States). The objective of this study was to
externally validate the published nomograms for newborn weight loss using data
from a geographically distinct population. MATERIALS AND METHODS: Data were
compiled from the Penn State Milton S. Hershey Medical Center located in Hershey,
PA. For singleton neonates delivered at >=36 weeks of gestation between January
2013 and September 2014, weights were obtained between 6 hours and 48 hours
(vaginal delivery) or 60 hours (cesarean delivery) for neonates who were
exclusively breastfeeding. Quantile regression methods appropriate for repeated
measures were used to estimate 50th, 75th, 90th, and 95th percentiles of weight
loss as a function of time after birth. These percentile estimates were compared
with the published nomograms. RESULTS: Of the 1,587 newborns who met inclusion
criteria, 1,148 were delivered vaginally, and 439 were delivered via cesarean
section. These newborns contributed 1,815 weights for vaginal deliveries (1.6 per
newborn) and 893 weights for cesarean deliveries (2.0 per newborn). Percentile
estimates from this Penn State sample were similar to the published nomograms.
Deviations in percentile estimates for the Penn State sample were similar to
deviations observed after fitting the same model separately to each medical
center that made up the Kaiser Permanente sample. CONCLUSIONS: The published
newborn weight loss nomograms for breastfed neonates were externally validated in
a geographically distinct population.
PMID- 26565590
TI - Progress in the Development of Small Molecule Therapeutics for the Treatment of
Neuronal Ceroid Lipofuscinoses (NCLs).
AB - The neuronal ceroid lipofuscinoses (NCLs) are a group of inherited and incurable
neurodegenerative disorders primarily afflicting the pediatric population.
Current treatment regimens offer only symptomatic relief and do not target the
underlying cause of the disease. Although the underlying pathophysiology that
drives disease progression is unknown, several small molecules have been
identified with diverse mechanisms of action that provide promise for the
treatment of this devastating disease. This review aims to summarize the current
cellular and animal models available for the identification of potential
therapeutics and presents the current state of knowledge on small molecule
compounds that demonstrate in vitro and/or in vivo efficacy across the NCLs with
an emphasis on targets of action.
PMID- 26565593
TI - Electrodeposition of Epitaxial Lead Iodide and Conversion to Textured
Methylammonium Lead Iodide Perovskite.
AB - Applications for lead iodide, such as lasing, luminescence, radiation detection,
and as a precursor for methylammonium lead iodide perovskite photovoltaic cells,
require highly ordered crystalline thin films. Here, an electrochemical synthesis
route is introduced that yields textured and epitaxial films of lead iodide at
room temperature by reducing molecular iodine to iodide ions in the presence of
lead ions. Lead iodide grows with a [0001] fiber texture on polycrystalline
substrates such as fluorine-doped tin oxide. On single-crystal Au(100), Au(111),
and Au(110) the out-of-plane orientation of lead iodide is also [0001], but the
in-plane orientation is controlled by the single-crystal substrate. The epitaxial
lead iodide on single-crystal gold is converted to textured methylammonium lead
iodide perovskite with a preferred [110] orientation via methylammonium iodide
vapor-assisted chemical transformation of the solid.
PMID- 26565591
TI - Constitutive autophagy contributes to resistance to TP53-mediated apoptosis in
Epstein-Barr virus-positive latency III B-cell lymphoproliferations.
AB - The Epstein-Barr virus (EBV) is associated with various lymphoproliferative
disorders and lymphomas. We have previously demonstrated that treating wild-type
TP53-expressing B cell lines with the TP53 pathway activator nutlin-3 induced
apoptosis in EBV-negative and EBV-positive latency I cells whereas EBV-positive
latency III cells remained much more apoptosis-resistant. Here, we report a
constitutively high level of autophagy in these resistant cells which express
high levels of the proautophagic protein BECN1/Beclin 1 based, at least in part,
on the activation of the NFKB signaling pathway by the viral protein LMP1.
Following treatment with nutlin-3, several autophagy-stimulating genes were
upregulated both in EBV-negative and EBV-positive latency III cells. However the
process of autophagy was only triggered in the latter and was associated with an
upregulation of SESN1/sestrin 1 and inhibition of MTOR more rapid than in EBV
negative cells. A treatment with chloroquine, an inhibitor of autophagy,
potentiated the apoptotic effect of nutlin-3, particularly in those EBV-positive
cells which were resistant to apoptosis induced by nutlin-3 alone, thereby
showing that autophagy participates in this resistant phenotype. Finally, using
immunohistochemical staining, clinical samples from various B cell
lymphoproliferations with the EBV-positive latency II or III phenotype were found
to harbor a constitutively active autophagy.
PMID- 26565594
TI - Casein kinases as potential therapeutic targets.
AB - INTRODUCTION: The conventional term 'casein kinase' (CK) denotes three classes of
kinases - CK1, CK2 and Golgi-CK (G-CK)/Fam20C (family with sequence similarity
20, member C) - sharing the ability to phoshorylate casein in vitro, but
otherwise unrelated to each other. All CKs have been reported to be implicated in
human diseases, and reviews individually dealing with the druggability of CK1 and
CK2 are available. Our aim is to provide a comparative analysis of the three
classes of CKs as therapeutic targets. AREAS COVERED: CK2 is the CK for which
implication in neoplasia is best documented, with the survival of cancer cells
often relying on its overexpression. An ample variety of cell-permeable CK2
inhibitors have been developed, with a couple of these now in clinical trials.
Isoform-specific CK1 inhibitors that are expected to play a beneficial role in
oncology and neurodegeneration have been also developed. In contrast, the
pathogenic potential of G-CK/Fam20C is caused by its loss of function. Activators
of Fam20C, notably sphingolipids and their analogs, may prove beneficial in this
respect. EXPERT OPINION: Optimization of CK2 and CK1 inhibitors will prove useful
to develop new therapeutic strategies for treating cancer and neurodegenerative
disorders, while the design of potent activators of G-CK/Fam20C will provide a
new tool in the fields of bio-mineralization and hypophosphatemic diseases.
PMID- 26565595
TI - HIV cure research in South Africa: a preliminary exploration of stakeholder
perspectives.
AB - Innovative strategies for HIV cure are in development and research studies are
being designed and planned globally. South Africa is no exception. However,
little is known about stakeholders' knowledge, understanding and expectations of
future cure research. This study aimed to obtain in-depth qualitative insights
into stakeholder perspectives at this formative stage of HIV cure research.
Fifteen stakeholders were interviewed in an HIV research clinic in the Western
Cape, South Africa with their consent. Interviews were transcribed verbatim and
analysed using thematic content analysis. Broad themes that emerged included the
meaning of cure, awareness of HIV cure research, risks and benefits of such
research. General awareness and understanding of HIV cure research was lower than
expected. Some participants expressed a fatalistic attitude to HIV and described
it as an "end-time illness" with no prospect of cure. In general, HIV cure
research was regarded as risky - biologically psychologically and socially. If
study designs were to include treatment interruption, participants would comply
only if success was guaranteed. Given these perceptions of HIV cure research,
significant challenges to consent processes and participant recruitment can be
anticipated. Authentic community engagement and intensive educational
interventions will be necessary prior to future cure research in South Africa.
PMID- 26565596
TI - The contribution of next generation sequencing to epilepsy genetics.
AB - During the last decade, next generation sequencing technologies such as targeted
gene panels, whole exome sequencing and whole genome sequencing have led to an
explosion of gene identifications in monogenic epilepsies including both familial
epilepsies and severe epilepsies, often referred to as epileptic
encephalopathies. The increased knowledge about causative genetic variants has
had a major impact on diagnosis of genetic epilepsies and has already been
translated into treatment recommendations for a few genes. This article provides
an overview of how next generation sequencing has advanced our understanding of
epilepsy genetics and discusses some of the recently discovered genes in
monogenic epilepsies.
PMID- 26565597
TI - Hybridized Electromagnetic-Triboelectric Nanogenerator for a Self-Powered
Electronic Watch.
AB - We report a hybridized nanogenerator including a triboelectric nanogenerator
(TENG) and six electromagnetic generators (EMGs) that can effectively scavenge
biomechanical energy for sustainably powering an electronic watch. Triggered by
the natural motions of the wearer's wrist, a magnetic ball at the center in an
acrylic box with coils on each side will collide with the walls, resulting in
outputs from both the EMGs and the TENG. By using the hybridized nanogenerator to
harvest the biomechanical energy, the electronic watch can be continuously
powered under different motion types of the wearer's wrist, where the best
approach is to charge a 100 MUF capacitor in 39 s to maintain the continuous
operation of the watch for 456 s. To increase the working time of the watch
further, a homemade Li-ion battery has been utilized as the energy storage unit
for realizing the continuous working of the watch for about 218 min by using the
hybridized nanogenerator to charge the battery within 32 min. This work will
provide the opportunities for developing a nanogenerator-based built-in power
source for self-powered wearable electronics such as an electronic watch.
PMID- 26565598
TI - Comparison of sEMG processing methods during whole-body vibration exercise.
AB - The objective was to investigate the influence of surface electromyography (sEMG)
processing methods on the quantification of muscle activity during whole-body
vibration (WBV) exercises. sEMG activity was recorded while the participants
performed squats on the platform with and without WBV. The spikes observed in the
sEMG spectrum at the vibration frequency and its harmonics were deleted using
state-of-the-art methods, i.e. (1) a band-stop filter, (2) a band-pass filter,
and (3) spectral linear interpolation. The same filtering methods were applied on
the sEMG during the no-vibration trial. The linear interpolation method showed
the highest intraclass correlation coefficients (no vibration: 0.999, WBV: 0.757
0.979) with the comparison measure (unfiltered sEMG during the no-vibration
trial), followed by the band-stop filter (no vibration: 0.929-0.975, WBV: 0.661
0.938). While both methods introduced a systematic bias (P < 0.001), the error
increased with increasing mean values to a higher degree for the band-stop
filter. After adjusting the sEMG(RMS) during WBV for the bias, the performance of
the interpolation method and the band-stop filter was comparable. The band-pass
filter was in poor agreement with the other methods (ICC: 0.207-0.697), unless
the sEMG(RMS) was corrected for the bias (ICC ? 0.931, %LOA ? 32.3). In
conclusion, spectral linear interpolation or a band-stop filter centered at the
vibration frequency and its multiple harmonics should be applied to delete the
artifacts in the sEMG signals during WBV. With the use of a band-stop filter it
is recommended to correct the sEMG(RMS) for the bias as this procedure improved
its performance.
PMID- 26565599
TI - Comparative Fitness and Determinants for the Characteristic Drug Resistance of
ST239-MRSA-III-t030 and ST239-MRSA-III-t037 Strains Isolated in China.
AB - Sequence type (ST) 239 with SCCmec type III methicillin-resistant Staphylococcus
aureus (ST239-MRSA-III) is the most predominant multidrug-resistant clone in
China. The subclone ST239-MRSA-III-t037 has been gradually replaced with ST239
MRSA-III-t030 since 2000. Subclones are characterized by drug resistance
profiles. However, the mechanisms of the clonal dynamics and determinants of
distinct drug resistance remain poorly understood. In the present study, 12 ST239
MRSA-III-t030 and 12 ST239-MRSA-III-t037 strains were collected from Chongqing,
Guangzhou, and Shanghai; these strains were selected and investigated in terms of
t030/t037 strain pairs. Independent growth curve assay revealed that the ST239
MRSA-III-t030 strains grew more rapidly, with significantly shorter doubling
times, than the ST239-MRSA-III-t037 strains (p < 0.001). The ST239-MRSA-III-t037
strains exhibited slightly to moderately higher (3-13%) fitness cost than the
ST239-MRSA-III-t030 strains in a competition assay in vitro. The ST239-MRSA-III
t037 strains yielded lower bacterial loads in the kidneys of the infected mice
than the ST239-MRSA-III-t030 rivals in a coinfection assay (p < 0.05). The ST239
MRSA-III-t030 strains were resistant to rifampicin but susceptible to
trimethoprim/sulfamethoxazole (SXT). In contrast, the ST239-MRSA-III-t037 strains
were susceptible to rifampicin but resistant to SXT. The genetic determinants of
the resistance to rifampicin and SXT in the MRSA strains were determined. Our
results suggest that the relatively low fitness cost and characteristic drug
resistance phenotype can help explain the current predominance of these ST239
MRSA-III-t030 strains in Chinese hospitals.
PMID- 26565600
TI - Histological severity of fetal inflammation is useful in predicting neonatal
outcome.
AB - Intrauterine inflammation contributes to neonatal infection-related morbidity. A
new histological framework of placental inflammation has recently been proposed;
however, the association between this method and clinical findings has not been
defined. To assess the clinical relevance of this system, we studied placental
findings in 272 singleton neonates born at less than 34 weeks gestation. The
incidences of sepsis, intraventricular hemorrhage, chronic lung disease, and
necrotizing enterocolitis increased in a stepwise fashion with severity of
placental inflammation. After adjusting for gestational age, a high grade of
fetal inflammation was significantly associated with chronic lung disease and
necrotizing enterocolitis.
PMID- 26565601
TI - Effects of selective reduced uterine perfusion pressure in pregnant rats.
AB - INTRODUCTION: To assess the effects of selective reduced uterine perfusion
pressure (SRUPP) in pregnant rats. METHODS: 20 pregnant Sprague-Dawley rats were
allocated either to an intervention group, exposed to SRUPP (n = 10) or a control
group, exposed to sham surgery (n = 10). Such procedures were performed on
gestational day (GD) 14. The Mean arterial pressure (MAP) was measured on GD14
(before surgery) and GD20. We measured 18 h proteinuria on GD20. On GD21, mean
fetal (MFW) and placental (MPW) weights were obtained. Oxidative stress and
angiogenic markers were measured in placental tissue and urine. Mann Whitney U or
Independent samples T test were used when appropriate. A two-sided P < 0.05
indicated statistical significance. RESULTS: MAP on GD20 was higher in the
intervention group (109 +/- 1.7 mmHg) when compared with the control group (83 +/
1.5 mmHg) (P = 0.002). There was no significant difference in urinary protein
excretion (117 +/- 3.1 mg/24 h versus 136 mg +/- 2.8/24 h, P = 0.18), MFW (4.14
+/- 0.05 versus 4.39 +/- 0.04 g, P = 0.19) or MPW (0.43 +/- 0.008 versus 0.44 +/-
0.006 g, P = 0.73) between the intervention and the control groups, respectively.
The oxidative stress was increased; whereas, the sFLT1 expression was not
increased when the SRUPP group was compared with controls. DISCUSSION: SRUPP is
associated with an increase in maternal MAP and oxidative stress and therefore it
may become a useful tool in the study of pregnancy-related hypertensive
disorders.
PMID- 26565603
TI - Supramolecular Enhancement of Protein Analysis via the Recognition of
Phenylalanine with Cucurbit[7]uril.
AB - Mass spectrometry (MS)-based analysis using enzymatic digestion is widely used
for protein sequencing and characterization. The large number of peptides
generated from proteolysis, however, suppresses the signal of peptides with low
ionization efficiency, thus precluding their observation and analysis. This study
describes a technique for improved analysis of peptic peptides by adding the
synthetic receptor cucurbit[7]uril (CB[7]), which binds selectively to peptides
with N-terminal aromatic residues. Capturing the N-terminal phenylalanine (Phe)
of peptides using CB[7] enhances the peptide abundances both in electrospray
ionization MS and in matrix-assisted laser desorption ionization MS. Moreover,
collision-induced dissociation (CID) of the CB[7].peptide complex ions generates
b- and y-type fragment ions with higher sequence coverage than those generated
with uncomplexed peptides. The signal enhancement mediated by CB[7] is attributed
to an increase in the peptide proton affinities upon CB[7] complexation. The
mechanistic details of the fragmentation process are discussed on the basis of
the structures of the complex ions obtained from ion mobility (IM) measurements
and molecular modeling. This study demonstrates a novel and powerful approach to
the enhancement of protein and peptide analysis using a synthetic receptor,
without the need for new instrumentation, chemical modifications, or specialized
sample preparation. The simplicity and potential generality of this technique
should provide a valuable asset in the toolbox of routine protein and peptide
analysis.
PMID- 26565604
TI - Designing New Kinase Inhibitor Derivatives as Therapeutics Against Common Complex
Diseases: Structural Basis of Microtubule Affinity-Regulating Kinase 4 (MARK4)
Inhibition.
AB - Drug development for common complex diseases is in need of new molecular entities
and actionable drug targets. MAP/microtubule affinity-regulating kinase 4 (MARK4)
is associated with numerous diseases such as neurodegenerative disorders,
obesity, cancer, and type 2 diabetes. Understanding the structural basis of
ligands' (inhibitors) and substrates' binding to MARK4 is crucial to design new
kinase inhibitors for therapeutic purposes. This study reports new observations
on docking three well-known kinase inhibitors in the kinase domain of MARK4
variants and the calculated binding affinity. These variants of MARK4 are named
as MARK4-F1 (59 N-terminal residues along with kinase domain) and MARK4-F2
(kinase domain of MARK4). We additionally performed molecular dynamics (MD)
simulation and fluorescence binding studies to calculate the actual binding
affinity of kinase inhibitors, BX-912, BX-795, and OTSSP167 (hydrochloride) for
the MARK4. Docking analyses revealed that ligands bind in the large hydrophobic
cavity of the kinase domain of MARK4 through several hydrophobic and hydrogen
bonded interactions. Simulations suggested that OTSSP167 (hydrochloride) is
forming a stable complex, and hence the best inhibitor of MARK4. Intrinsic
fluorescence of MARK4 was significantly quenched by addition of ligands,
indicating their potential binding to MARK4. A lower KD value of MARK4 with
OTSSP167 (hydrochloride) suggested that it is a better interacting partner than
BX-912 and BX-795. These data form a basis for designing novel and potent
OTSSP167 (hydrochloride) derivatives as therapeutic candidates against common
complex diseases. The inhibitors designed as such might possibly suppress the
growth of tumor-forming cells and be potentially applied for treatment of a wide
range of human cancers as well.
PMID- 26565602
TI - Roles and relevance of mast cells in infection and vaccination.
AB - In addition to their well-established role in allergy mast cells have been
described as contributing to functional regulation of both innate and adaptive
immune responses in host defense. Mast cells are of hematopoietic origin but
typically complete their differentiation in tissues where they express immune
regulatory functions by releasing diverse mediators and cytokines. Mast cells are
abundant at mucosal tissues which are portals of entry for common infectious
agents in addition to allergens. Here, we review the current understanding of the
participation of mast cells in defense against infection. We also discuss
possibilities of exploiting mast cell activation to provide adequate adjuvant
activity that is needed in high-quality vaccination against infectious diseases.
PMID- 26565605
TI - Capacitation-Related Lipid Remodeling of Mammalian Spermatozoa Membrane
Determines the Final Fate of Male Gametes: A Computational Biology Study.
AB - To become fully fertile, mammalian spermatozoa must undergo a complex process of
biochemical maturation within the female genital tract, which determines a marked
lipid remodeling (LR) of membranes. Here, we represent this process as a
biological network, which is a graph constituted by nodes (the molecules involved
in LR) and by edges (their interactions). As a result, we found that LR network
has a scale-free and small world topology. This implies that it is robust against
random damage and that it allows a fast and specific transmission of information.
In addition, the hubs in the network allow identification of the control
mechanisms involved in membrane-related signaling, which could concur in
determining the fate of ejaculated spermatozoa. Interestingly, different pathways
involved in LR (maintenance of functional incompetence, reaching of fertilizing
ability, apoptosis) are overlapped and some molecules take part in different
signalling cascades; thus their role in sperm biology needs to be interpreted in
a more large context. In addition, it was possible to differentiate, either based
on their topological and biological characteristics, the molecules acting as
global or local controller in LR. These findings may contribute to the
understanding of capacitation-related signaling and of sperm physiopathology.
PMID- 26565606
TI - Vitamin A and the epigenome.
AB - The epigenetic phenomena refer to heritable changes in gene expression other than
those in the DNA sequence, such as DNA methylation and histone modifications.
Major research progress in the last few years has provided further proof that
environmental factors, including diet and nutrition, can influence physiologic
and pathologic processes through epigenetic alterations, which in turn influence
gene expression. This influence is termed nutritional epigenetics, and one
prominent example is the regulation of gene transcription by vitamin A through
interaction to its nuclear receptor. Vitamin A is critical throughout life.
Together with its derivatives, it regulates diverse processes including
reproduction, embryogenesis, vision, growth, cellular differentiation and
proliferation, maintenance of epithelial cellular integrity and immune function.
Here we review the epigenetic role of vitamin A in cancer, stem cells
differentiation, proliferation, and immunity. The data presented here show that
retinoic acid is a potent agent capable of inducing alterations in epigenetic
modifications that produce various effects on the phenotype. Medical benefits of
vitamin A as an epigenetic modulator, especially with respect to its chronic use
as nutritional supplement, should rely on our further understanding of its
epigenetic effects during health and disease, as well as through different
generations.
PMID- 26565607
TI - Bringing regenerative medicines to the clinic: the future for regulation and
reimbursement.
AB - Significant investments in regenerative medicine necessitate discussion to align
evidentiary requirements and decision-making considerations from regulatory,
health system payer and developer perspectives. Only with coordinated efforts
will the potential of regenerative medicine be realized. We report on discussions
from two workshops sponsored by NICE, University of Alberta, Cell Therapy
Catapult and Centre for Commercialization of Regenerative Medicine. We discuss
methods to support the assessment of value for regenerative medicine products and
services and the synergies that exist between market authorization and
reimbursement regulations and practices. We discuss the convergence in novel
adaptive licensing practices that may promote the development and adoption of
novel therapeutics that meet the needs of healthcare payers.
PMID- 26565609
TI - Myocardial expression of transforming growth factor beta family and endothelin-1
in the progression from heart failure to ascites in broilers with cold-induced
pulmonary hypertension.
AB - We determined mRNA expression of genes of endothelin-1 (ET-1), and of the
transforming growth factor beta ligands (TGFbeta1, TGFbeta2 and TGFbeta3), their
receptors (TbetaRI and TbetaRII) and their pseudoreceptor BAMBI in the heart of
broilers raised under cold temperature conditions and affected by pulmonary
hypertension. Gene expression was determined by RT-qPCR in right myocardial
ventricle samples from 4-week-old chickens (n = 48) raised either under normal
(control) or cold temperature conditions (22 degrees C versus 14 degrees C). We
do not find differences among healthy birds, birds with cardiac failure and
ascitic birds in the mRNA levels of TGFbeta2, TGFbeta3 and BAMBI. In the control
group, ET-1 mRNA level was increased in the ascitic birds as compared with
healthy birds and birds with cardiac failure (p < 0.05) whereas in the cold
treated group, no increase was observed (p > 0.05); yet, ascitic birds in the
cold group showed lower mean than ascitic birds in the control group (p < 0.05).
TbetaRII mRNA expression was higher in ascitic than in healthy birds (p < 0.05)
in both control and cold treated groups; however, in the ascitic birds of the
cold treated group TbetaRII expression was lower than in ascitic birds from the
control group (p < 0.05). Thus, the higher ET-1 and TbetaRII levels observed in
ascitic birds seem to be attenuated by cold.
PMID- 26565610
TI - West Nile Virus in Mosquitoes of Iranian Wetlands.
AB - The West Nile virus (WNV) transmission cycle includes a wide range of migratory
wetland birds as reservoirs, mosquitoes as biological vectors, and equines and
humans as dead-end hosts. Despite the presence of potential vector species, there
is no information about the existence of WNV in mosquito vectors in Iran. The
Iranian West Azerbaijan Province is located in the northwestern part of Iran and
has borders with Turkey, Iraq, Armenia, and the Republic of Azerbaijan. The
current study was conducted to identify the wetland mosquitoes of the West
Azerbaijan Province and their infection with WNV. In this study, 2143 specimens
were collected, comprising 1541 adults and 602 larvae. Six species belonging to
four genera were collected and identified: Anopheles maculipennis sensu lato
(s.l.), Culex (Cx.) hortensis, Cx. pipiens s.l., Cx. theileri, Culiseta
longiareolata, and Aedes (Ae.) (Ochlerotatus) caspius. In total, 45 pools of
mosquitoes were examined. Two of the adult pools collected from the same location
showed the presence of WNV in Ae. (Och.) caspius, from Sangar, Makoo County, as
confirmed by PCR and sequencing. Due to the discovery of WNV in the mosquito
population of the region, and the presence of wetlands and significant
populations of migratory birds, the health sector should carefully monitor the
factors involved in the cycle of this disease.
PMID- 26565611
TI - Measure of Significance of Holotropic Breathwork in the Development of Self
Awareness.
AB - OBJECTIVES: To investigate whether Holotropic BreathworkTM (HB; Grof
Transpersonal Training, Mill Valley, CA) has any significance in the development
of self-awareness. DESIGN: A quasi-experiment design and multiple case studies. A
single case design was replicated. The statistical design was a related within
subject and repeated-measures design (pre-during-post design). SETTING/LOCATION:
The study was conducted in Denmark. PARTICIPANTS: The participants (n = 20) were
referred from Danish HB facilitators. Nine were novices and 11 had experience
with HB. INTERVENTION: Four HB sessions. OUTCOME MEASURES: The novices (n = 9)
underwent positive temperament changes and the experienced participants (n = 11)
underwent positive changes in character. Overall, positive self-awareness changes
were indicated; the participants' (n = 20) scores for persistence temperament,
interpersonal problems, overly accommodating, intrusive/needy, and hostility were
reduced. Changes in temperament were followed by changes in paranoid ideation
scale, indicating a wary phase. RESULTS: Participants (n = 20) experienced
reductions in their persistence temperament scores. The pretest mean (mean +/-
standard deviation, 114.15 +/- 16.884) decreased at post-test (110.40 +/- 16.481;
pre-during-test p = 0.046, pre-post-test p = 0.048, pre-post-test effect size [d]
= 0.2). Temperament changes were followed by an increase in paranoid ideation;
the pre-test mean (47.45 +/- 8.88) at post-test had increased to a higher but
normal score (51.55 +/- 7.864; pre-during-test p = 0.0215, pre-post-test p =
0.021, pre-post-test d = 0.5). Pre-test hostility mean (50.50 +/- 10.395)
decreased at post-test (47.20 +/- 9.001; p = 0.0185; d = 0.3). The Inventory of
Interpersonal Problems total pre-test mean (59.05 +/- 17.139) was decreased at
post-test (54.8 +/- 12.408; p = 0.044; d = 0.2). Overly accommodating pre-test
mean (56.00 +/- 12.303) was decreased at post-test (51.55 +/- 7.797; p = 0.0085;
d = 0.4). The intrusive/needy pre-test score (57.25 +/- 13.329) was decreased at
post-test (52.85 +/- 10.429; p = 0.005; d = 0.4). CONCLUSIONS: The theoretical
conclusion is that HB can induce very beneficial temperament changes, which can
have positive effects on development of character, measured as an increase in
self-awareness.
PMID- 26565613
TI - Possible preventive effect of salazosulfapyridine against development of
Pneumocystis pneumonia in methotrexate-receiving patients with rheumatoid
arthritis.
PMID- 26565612
TI - The integration of autophagy and cellular trafficking pathways via RAB GAPs.
AB - Macroautophagy is a conserved degradative pathway in which a double-membrane
compartment sequesters cytoplasmic cargo and delivers the contents to lysosomes
for degradation. Efficient formation and maturation of autophagic vesicles, so
called phagophores that are precursors to autophagosomes, and their subsequent
trafficking to lysosomes relies on the activity of small RAB GTPases, which are
essential factors of cellular vesicle transport systems. The activity of RAB
GTPases is coordinated by upstream factors, which include guanine nucleotide
exchange factors (RAB GEFs) and RAB GTPase activating proteins (RAB GAPs). A role
in macroautophagy regulation for different TRE2-BUB2-CDC16 (TBC) domain
containing RAB GAPs has been established. Recently, however, a positive
modulation of macroautophagy has also been demonstrated for the TBC domain-free
RAB3GAP1/2, adding to the family of RAB GAPs that coordinate macroautophagy and
additional cellular trafficking pathways.
PMID- 26565614
TI - Experiences and Lessons from Urban Health Insurance Reform in China.
AB - Health care systems often face competing goals and priorities, which make reforms
challenging. This study analyzed factors influencing the success of a health care
system based on urban health insurance reform evolution in China, and offers
recommendations for improvement. Findings based on health insurance reform
strategies and mechanisms that did or did not work can effectively inform
improvement of health insurance system design and practice, and overall health
care system performance, including equity, efficiency, effectiveness, cost,
finance, access, and coverage, both in China and other countries. This study is
the first to use historical comparison to examine the success and failure of
China's health care system over time before and after the economic reform in the
1980s. This study is also among the first to analyze the determinants of Chinese
health system effectiveness by relating its performance to both technical reasons
within the health system and underlying nontechnical characteristics outside the
health system, including socioeconomics, politics, culture, values, and beliefs.
In conclusion, a health insurance system is successful when it fits its social
environment, economic framework, and cultural context, which translates to
congruent health care policies, strategies, organization, and delivery. No health
system can survive without its deeply rooted socioeconomic environment and
cultural context. That is why one society should be cautious not to radically
switch from a successful model to an entirely different one over time. There is
no perfect health system model suitable for every population-only appropriate
ones for specific nations and specific populations at the right place and right
time. (Population Health Management 2016;19:291-297).
PMID- 26565615
TI - [Materials/Biomaterials in Clinical Practice - a Short Review and Current
Trends].
AB - Biomaterials play a major role in interventional medicine and surgery. However,
the development of biomaterials is still in its early phases in spite of the huge
progress made within the last decades. On the one hand, this is because our
knowledge of the molecular and cellular processes associated with biomaterials is
still increasing exponentially. On the other hand, a wide variety of advanced
materials with highly interesting properties is being developed currently. This
review provides a short introduction into the variety of materials in use as well
as their application in interventional medicine and surgery. Also the importance
of biomaterials for tissue engineering in the field of regenerative medicine and
the functionalisation of biomaterials, including sterilisation methods are
discussed. For the future, an even broader interdisciplinary scientific
collaboration is necessary in order to develop novel biomaterials and facilitate
their translation into clinical practice.
PMID- 26565616
TI - Peer-Assisted Learning in a Gross Anatomy Dissection Course.
AB - Peer-assisted learning encourages students to participate more actively in the
dissection process and promotes thoughtful dissection. We implemented peer
assisted dissection in 2012 and compared its effects on students' self
assessments of learning and their academic achievement with those of faculty-led
dissection. All subjects performed dissections after a lecture about upper-limb
gross anatomy. Experimental group (n = 134) dissected a cadaver while guided by
peer tutors who had prepared for the dissection in advance, and control group (n
= 71) dissected a cadaver after the introduction by a faculty via prosection.
Self-assessment scores regarding the learning objectives related to upper limbs
were significantly higher in experimental group than in control group.
Additionally, experimental group received significantly higher academic scores
than did control group. The students in peer-assisted learning perceived
themselves as having a better understanding of course content and achieved better
academic results compared with those who participated in faculty-led dissection.
Peer-assisted dissection contributed to self-perception and to the ability to
retain and explain anatomical knowledge.
PMID- 26565617
TI - LPS-Stimulated Human Skin-Derived Stem Cells Enhance Neo-Vascularization during
Dermal Regeneration.
AB - High numbers of adult stem cells are still required to improve the formation of
new vessels in scaffolds to accelerate dermal regeneration. Recent data indicate
a benefit for vascularization capacity by stimulating stem cells with
lipopolysaccharide (LPS). In this study, stem cells derived from human skin
(SDSC) were activated with LPS and seeded in a commercially available dermal
substitute to examine vascularization in vivo. Besides, in vitro assays were
performed to evaluate angiogenic factor release and tube formation ability.
Results showed that LPS-activated SDSC significantly enhanced vascularization of
the scaffolds, compared to unstimulated stem cells in vivo. Further, in vitro
assays confirmed higher secretion rates of proangiogenic as well as
proinflammatoric factors in the presence of LPS-activated SDSC. Our results
suggest that combining activated stem cells and a dermal substitute is a
promising option to enhance vascularization in scaffold-mediated dermal
regeneration.
PMID- 26565618
TI - Oligomycins as inhibitors of K-Ras plasma membrane localisation.
AB - Frequently present in pancreatic, colorectal and non-small cell lung carcinomas,
oncogenic mutant K-Ras must be localised to the plasma membrane (PM) to be
functional. Inhibitors of K-Ras PM localisation are therefore putative cancer
chemotherapeutics. By screening a microbial extract library in a high content
cell-based assay we detected the rare oligomycin class of Streptomyces
polyketides as inhibitors of K-Ras PM localisation. Cultivation and fractionation
of three unique oligomycin producing Streptomyces strains yielded oligomycins A-E
(1-5) and 21-hydroxy-oligomycin A (6), together with the new 21-hydroxy
oligomycin C (7) and 40-hydroxy-oligomycin B (8). Structures for 1-8 were
assigned by detailed spectroscopic analysis. Cancer cell viability screening
confirmed 1-8 were cytotoxic to human colorectal carcinoma cells (IC50 > 3 MUM),
and were inhibitors of the ABC transporter efflux pump P-glycoprotein (P-gp),
with 5 being comparable in potency to the positive control verapamil.
Significantly, oligomycins 1-8 proved to be exceptionally potent inhibitors of K
Ras PM localisation (Emax 0.67-0.75 with an IC50 ~ 1.5-14 nM).
PMID- 26565619
TI - Improving Collective Estimations Using Resistance to Social Influence.
AB - Groups can make precise collective estimations in cases like the weight of an
object or the number of items in a volume. However, in others tasks, for example
those requiring memory or mental calculation, subjects often give estimations
with large deviations from factual values. Allowing members of the group to
communicate their estimations has the additional perverse effect of shifting
individual estimations even closer to the biased collective estimation. Here we
show that this negative effect of social interactions can be turned into a method
to improve collective estimations. We first obtained a statistical model of how
humans change their estimation when receiving the estimates made by other
individuals. We confirmed using existing experimental data its prediction that
individuals use the weighted geometric mean of private and social estimations. We
then used this result and the fact that each individual uses a different value of
the social weight to devise a method that extracts the subgroups resisting social
influence. We found that these subgroups of individuals resisting social
influence can make very large improvements in group estimations. This is in
contrast to methods using the confidence that each individual declares, for which
we find no improvement in group estimations. Also, our proposed method does not
need to use historical data to weight individuals by performance. These results
show the benefits of using the individual characteristics of the members in a
group to better extract collective wisdom.
PMID- 26565620
TI - Bringing Down Cancer Aircraft: Searching for Essential Hypomutated Proteins in
Skin Melanoma.
AB - We propose an approach to detection of essential genes/proteins required for
cancer cell survival. A gene is considered essential if a mutation with high
impact upon the function of encoded protein causes death of the cancer cell. We
draw an analogy between essential cancer proteins and well-known Abraham Wald's
work on estimating the plane critical areas using data on survivability of
aircraft encountering enemy fire. Wald reasoned that parts with no bullet holes
on the airplanes returned to the airbase from a combat flight are the most
crucial ones for the airplane functioning: a hit in one of these parts downs an
airplane, so it does not return back for the survey. We have envisaged that the
airplane surface is a cancer genome and the bullets are somatic mutations with
high impact upon protein function. Similarly we propose that genes specifically
essential for tumor cell survival should carry less high-impact mutations in
cancer cells compared to polymorphisms found in normal cells. We used data on
mutations from the Cancer Genome Atlas and polymorphisms found in healthy humans
(from 1000 Genomes Project) to predict 91 protein-coding genes essential for
melanoma. These genes were selected according to several criteria, including
negative selection, expression in melanocytes and decrease in the proportion of
high-impact mutations in cancer compared with normal cells. The Gene Ontology
analysis revealed enrichment of essential proteins related to membrane and cell
periphery. We speculate that this could be a sign of immune system-driven
negative selection of cancer neo-antigens. Another finding is the
overrepresentation of semaphorin receptors, which can mediate distinctive
signaling cascades and are involved in various aspects of tumor development.
Cytokine receptors CCR5 and CXCR1 were also identified as cancer essential
proteins and this is confirmed by other studies. Overall, our goal was to
illustrate the idea of detecting proteins whose sequence integrity and
functioning is important for cancer cell survival. Hopefully, this prediction of
essential cancer proteins may point to new targets for anti-tumor therapies.
PMID- 26565622
TI - Correction: Incidence, Characteristics and Risk Factors of Acute Kidney Injury
among Dengue Patients: A Retrospective Analysis.
PMID- 26565621
TI - Adipose Tissue-Derived Stem Cells Reduce Acute and Chronic Kidney Damage in Mice.
AB - Acute and chronic kidney injuries (AKI and CKI) constitute syndromes responsible
for a large part of renal failures, and are today still associated with high
mortality rates. Given the lack of more effective therapies, there has been
intense focus on the use stem cells for organ protective and regenerative
effects. Mesenchymal stem cells (MSCs) have shown great potential in the
treatment of various diseases of immune character, although there is still debate
on its mechanism of action. Thus, for a greater understanding of the role of
MSCs, we evaluated the effect of adipose tissue-derived stem cells (AdSCs) in an
experimental model of nephrotoxicity induced by folic acid (FA) in FVB mice. AdSC
treated animals displayed kidney functional improvement 24h after therapy,
represented by reduced serum urea after FA. These data correlated with cell cycle
regulation and immune response modulation via reduced chemokine expression and
reduced neutrophil infiltrate. Long-term analyses, 4 weeks after FA, indicated
that AdSC treatment reduced kidney fibrosis and chronic inflammation. These were
demonstrated by reduced interstitial collagen deposition and tissue chemokine and
cytokine expression. Thus, we concluded that AdSC treatment played a protective
role in the framework of nephrotoxic injury via modulation of inflammation and
cell cycle regulation, resulting in reduced kidney damage and functional
improvement, inhibiting organ fibrosis and providing long-term immune regulation.
PMID- 26565623
TI - Getting Personal: Accelerating Personalised and Precision Medicine Integration
into Clinical Cancer Research and Care in Clinical Trials.
PMID- 26565624
TI - HOXB1 Is a Tumor Suppressor Gene Regulated by miR-3175 in Glioma.
AB - The HOXB1 gene plays a critical role as an oncogene in diverse tumors. However,
the functional role of HOXB1 and the mechanism regulating HOXB1 expression in
glioma are not fully understood. A preliminary bioinformatics analysis showed
that HOXB1 is ectopically expressed in glioma, and that HOXB1 is a possible
target of miR-3175. In this study, we investigated the function of HOXB1 and the
relationship between HOXB1 and miR-3175 in glioma. We show that HOXB1 expression
is significantly downregulated in glioma tissues and cell lines, and that its
expression may be closely associated with the degree of malignancy. Reduced HOXB1
expression promoted the proliferation and invasion of glioma cells, and inhibited
their apoptosis in vitro, and the downregulation of HOXB1 was also associated
with worse survival in glioma patients. More importantly, HOXB1 was shown
experimentally to be a direct target of miR-3175 in this study. The downregulated
expression of miR-3175 inhibited cell proliferation and invasion, and promoted
apoptosis in glioma. The oncogenicity induced by low HOXB1 expression was
prevented by an miR-3175 inhibitor in glioma cells. Our results suggest that
HOXB1 functions as a tumor suppressor, regulated by miR-3175 in glioma. These
results clarify the pathogenesis of glioma and offer a potential target for its
treatment.
PMID- 26565625
TI - O-GlcNAcylation Negatively Regulates Cardiomyogenic Fate in Adult Mouse Cardiac
Mesenchymal Stromal Cells.
AB - In both preclinical and clinical studies, cell transplantation of several cell
types is used to promote repair of damaged organs and tissues. Nevertheless,
despite the widespread use of such strategies, there remains little understanding
of how the efficacy of cell therapy is regulated. We showed previously that
augmentation of a unique, metabolically derived stress signal (i.e., O-GlcNAc)
improves survival of cardiac mesenchymal stromal cells; however, it is not known
whether enhancing O-GlcNAcylation affects lineage commitment or other aspects of
cell competency. In this study, we assessed the role of O-GlcNAc in
differentiation of cardiac mesenchymal stromal cells. Exposure of these cells to
routine differentiation protocols in culture increased markers of the
cardiomyogenic lineage such as Nkx2.5 and connexin 40, and augmented the
abundance of transcripts associated with endothelial and fibroblast cell fates.
Differentiation significantly decreased the abundance of O-GlcNAcylated proteins.
To determine if O-GlcNAc is involved in stromal cell differentiation, O
GlcNAcylation was increased pharmacologically during the differentiation
protocol. Although elevated O-GlcNAc levels did not significantly affect
fibroblast and endothelial marker expression, acquisition of cardiomyocyte
markers was limited. In addition, increasing O-GlcNAcylation further elevated
smooth muscle actin expression. In addition to lineage commitment, we also
evaluated proliferation and migration, and found that increasing O-GlcNAcylation
did not significantly affect either; however, we found that O-GlcNAc transferase-
the protein responsible for adding O-GlcNAc to proteins--is at least partially
required for maintaining cellular proliferative and migratory capacities. We
conclude that O-GlcNAcylation contributes significantly to cardiac mesenchymal
stromal cell lineage and function. O-GlcNAcylation and pathological conditions
that may affect O-GlcNAc levels (such as diabetes) should be considered carefully
in the context of cardiac cell therapy.
PMID- 26565626
TI - Spatial Targeting for Bovine Tuberculosis Control: Can the Locations of Infected
Cattle Be Used to Find Infected Badgers?
AB - Bovine tuberculosis is a disease of historical importance to human health in the
UK that remains a major animal health and economic issue. Control of the disease
in cattle is complicated by the presence of a reservoir species, the Eurasian
badger. In spite of uncertainty in the degree to which cattle disease results
from transmission from badgers, and opposition from environmental groups, culling
of badgers has been licenced in two large areas in England. Methods to limit
culls to smaller areas that target badgers infected with TB whilst minimising the
number of uninfected badgers culled is therefore of considerable interest. Here,
we use historical data from a large-scale field trial of badger culling to assess
two alternative hypothetical methods of targeting TB-infected badgers based on
the distribution of cattle TB incidents: (i) a simple circular 'ring cull'; and
(ii) geographic profiling, a novel technique for spatial targeting of infectious
disease control that predicts the locations of sources of infection based on the
distribution of linked cases. Our results showed that both methods required
coverage of very large areas to ensure a substantial proportion of infected
badgers were removed, and would result in many uninfected badgers being culled.
Geographic profiling, which accounts for clustering of infections in badger and
cattle populations, produced a small but non-significant increase in the
proportion of setts with TB-infected compared to uninfected badgers included in a
cull. It also provided no overall improvement at targeting setts with infected
badgers compared to the ring cull. Cattle TB incidents in this study were
therefore insufficiently clustered around TB-infected badger setts to design an
efficient spatially targeted cull; and this analysis provided no evidence to
support a move towards spatially targeted badger culling policies for bovine TB
control.
PMID- 26565627
TI - Patients as Active Partners in Research - a Fashionable Phrase or a Fundamental
Paradigm Shift?
PMID- 26565629
TI - Development of a Model of Interprofessional Shared Clinical Decision Making in
the ICU: A Mixed-Methods Study.
AB - OBJECTIVES: To develop a model to describe ICU interprofessional shared clinical
decision making and the factors associated with its implementation. DESIGN:
Ethnographic (observations and interviews) and survey designs. SETTING: Three
ICUs (two in Israel and one in the United States). SUBJECTS: A convenience sample
of nurses and physicians. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS:
Observations and interviews were analyzed using ethnographic and grounded theory
methodologies. Questionnaires included a demographic information sheet and the
Jefferson Scale of Attitudes toward Physician-Nurse Collaboration. From
observations and interviews, we developed a conceptual model of the process of
shared clinical decision making that involves four stepped levels, proceeding
from the lowest to the highest levels of collaboration: individual decision,
information exchange, deliberation, and shared decision. This process is
influenced by individual, dyadic, and system factors. Most decisions were made at
the lower two levels. Levels of perceived collaboration were moderate with no
statistically significant differences between physicians and nurses or between
units. CONCLUSIONS: Both qualitative and quantitative data corroborated that
physicians and nurses from all units were similarly and moderately satisfied with
their level of collaboration and shared decision making. However, most ICU
clinical decision making continues to take place independently, where there is
some sharing of information but rarely are decisions made collectively. System
factors, such as interdisciplinary rounds and unit culture, seem to have a strong
impact on this process. This study provides a model for further study and
improvement of interprofessional shared decision making.
PMID- 26565628
TI - Out with the Old and in with the New--Is Backward Inhibition a Domain-Specific
Process?
AB - Effective task switching is supported by the inhibition of the just executed
task, so that potential interference from previously executed tasks is adaptively
counteracted. This inhibitory mechanism, named Backward Inhibition (BI), has been
inferred from the finding that switching back to a recently executed task (A-B-A
task sequence) is harder than switching back to a less recently executed task (C
B-A task sequence). Despite the fact that BI effects do impact performance on
everyday life activities, up to now it is still not clear whether the BI
represents an amodal and material-independent process or whether it interacts
with the task material. To address this issue, a group of individuals with
Williams syndrome (WS) characterized by specific difficulties in maintaining and
processing visuo-spatial, but not verbal, information, and a mental age- and
gender-matched group of typically developing (TD) children were subjected to
three task-switching experiments requiring verbal or visuo-spatial material to be
processed. Results showed that individuals with WS exhibited a normal BI effect
during verbal task-switching, but a clear deficit during visuo-spatial task
switching. Overall, our findings demonstrating that the BI is a material-specific
process have important implications for theoretical models of cognitive control
and its architecture.
PMID- 26565630
TI - Critical Care Implications of the Affordable Care Act.
AB - OBJECTIVES: To provide an overview of key elements of the Affordable Care Act. To
evaluate ways in which the Affordable Care Act will likely impact the practice of
critical care medicine. To describe strategies that may help health systems and
providers effectively adapt to changes brought about by the Affordable Care Act.
DATA SOURCES AND SYNTHESIS: Data sources for this concise review include search
results from the PubMed and Embase databases, as well as sources relevant to
public policy such as the text of the Patient Protection and Affordable Care Act
and reports of the Congressional Budget Office. As all of the Affordable Care
Act's provisions will not be fully implemented until 2019, we also drew upon
cost, population, and utilization projections, as well as the experience of
existing state-based healthcare reforms. CONCLUSIONS: The Affordable Care Act
represents the furthest reaching regulatory changes in the U.S. healthcare system
since the 1965 Medicare and Medicaid provisions of the Social Security Act. The
Affordable Care Act aims to expand health insurance coverage to millions of
Americans and place an emphasis on quality and cost-effectiveness of care. From
models which link pay and performance to those which center on episodic care, the
Affordable Care Act outlines sweeping changes to health systems, reimbursement
structures, and the delivery of critical care. Staffing models that include daily
rounding by an intensivist, palliative care integration, and expansion of the
role of telemedicine in areas where intensivists are inaccessible are potential
strategies that may improve quality and profitability of ICU care in the post
Affordable Care Act era.
PMID- 26565631
TI - The Preschool Confusion Assessment Method for the ICU: Valid and Reliable
Delirium Monitoring for Critically Ill Infants and Children.
AB - OBJECTIVES: Delirium assessments in critically ill infants and young children
pose unique challenges due to evolution of cognitive and language skills. The
objectives of this study were to determine the validity and reliability of a
fundamentally objective and developmentally appropriate delirium assessment tool
for critically ill infants and preschool-aged children and to determine delirium
prevalence. DESIGN AND SETTING: Prospective, observational cohort validation
study of the PreSchool Confusion Assessment Method for the ICU in a tertiary
medical center PICU. PATIENTS: Participants aged 6 months to 5 years and admitted
to the PICU regardless of admission diagnosis were enrolled. MEASUREMENTS AND
MAIN RESULTS: An interdisciplinary team created the PreSchool Confusion
Assessment Method for the ICU for pediatric delirium monitoring. To assess
validity, patients were independently assessed for delirium daily by the research
team using the PreSchool Confusion Assessment Method for the ICU and by a child
psychiatrist using the Diagnostic and Statistical Manual of Mental Disorders
criteria. Reliability was assessed using blinded, concurrent PreSchool Confusion
Assessment Method for the ICU evaluations by research staff. A total of 530
paired delirium assessments were completed among 300 patients, with a median age
of 20 months (interquartile range, 11-37) and 43% requiring mechanical
ventilation. The PreSchool Confusion Assessment Method for the ICU demonstrated a
specificity of 91% (95% CI, 90-93), sensitivity of 75% (95% CI, 72-78), negative
predictive value of 86% (95% CI, 84-88), positive predictive value of 84% (95%
CI, 81-87), and a reliability kappa-statistic of 0.79 (0.76-0.83). Delirium
prevalence was 44% using the PreSchool Confusion Assessment Method for the ICU
and 47% by the reference rater. The rates of delirium were 53% versus 56% in
patients younger than 2 years old and 33% versus 35% in patients 2-5 years old
using the PreSchool Confusion Assessment Method for the ICU and reference rater,
respectively. The short-form PreSchool Confusion Assessment Method for the ICU
maintained a high specificity (87%) and sensitivity (78%) in post hoc analysis.
CONCLUSIONS: The PreSchool Confusion Assessment Method for the ICU is a highly
valid and reliable delirium instrument for critically ill infants and preschool
aged children, in whom delirium is extremely prevalent.
PMID- 26565632
TI - Early-Life Telomere Dynamics Differ between the Sexes and Predict Growth in the
Barn Swallow (Hirundo rustica).
AB - Telomeres are conserved DNA-protein structures at the termini of eukaryotic
chromosomes which contribute to maintenance of genome integrity, and their
shortening leads to cell senescence, with negative consequences for organismal
functions. Because telomere erosion is influenced by extrinsic and endogenous
factors, telomere dynamics may provide a mechanistic basis for evolutionary and
physiological trade-offs. Yet, knowledge of fundamental aspects of telomere
biology under natural selection regimes, including sex- and context-dependent
variation in early-life, and the covariation between telomere dynamics and
growth, is scant. In this study of barn swallows (Hirundo rustica) we
investigated the sex-dependent telomere erosion during nestling period, and the
covariation between relative telomere length and body and plumage growth.
Finally, we tested whether any covariation between growth traits and relative
telomere length depends on the social environment, as influenced by sibling sex
ratio. Relative telomere length declined on average over the period of nestling
maximal growth rate (between 7 and 16 days of age) and differently covaried with
initial relative telomere length in either sex. The frequency distribution of
changes in relative telomere length was bimodal, with most nestlings decreasing
and some increasing relative telomere length, but none of the offspring traits
predicted the a posteriori identified group to which individual nestlings
belonged. Tail and wing length increased with relative telomere length, but more
steeply in males than females, and this relationship held both at the within- and
among-broods levels. Moreover, the increase in plumage phenotypic values was
steeper when the sex ratio of an individual's siblings was female-biased. Our
study provides evidence for telomere shortening during early life according to
subtly different dynamics in either sex. Furthermore, it shows that the positive
covariation between growth and relative telomere length depends on sex as well as
social environment, in terms of sibling sex ratio.
PMID- 26565633
TI - Prognosis Biomarkers of Severe Sepsis and Septic Shock by 1H NMR Urine
Metabolomics in the Intensive Care Unit.
AB - Early diagnosis and patient stratification may improve sepsis outcome by a timely
start of the proper specific treatment. We aimed to identify metabolomic
biomarkers of sepsis in urine by (1)H-NMR spectroscopy to assess the severity and
to predict outcomes. Urine samples were collected from 64 patients with severe
sepsis or septic shock in the ICU for a (1)H NMR spectra acquisition. A
supervised analysis was performed on the processed spectra, and a predictive
model for prognosis (30-days mortality/survival) of sepsis was constructed using
partial least-squares discriminant analysis (PLS-DA). In addition, we compared
the prediction power of metabolomics data respect the Sequential Organ Failure
Assessment (SOFA) score. Supervised multivariate analysis afforded a good
predictive model to distinguish the patient groups and detect specific metabolic
patterns. Negative prognosis patients presented higher values of ethanol, glucose
and hippurate, and on the contrary, lower levels of methionine, glutamine,
arginine and phenylalanine. These metabolites could be part of a composite
biopattern of the human metabolic response to sepsis shock and its mortality in
ICU patients. The internal cross-validation showed robustness of the metabolic
predictive model obtained and a better predictive ability in comparison with SOFA
values. Our results indicate that NMR metabolic profiling might be helpful for
determining the metabolomic phenotype of worst-prognosis septic patients in an
early stage. A predictive model for the evolution of septic patients using these
metabolites was able to classify cases with more sensitivity and specificity than
the well-established organ dysfunction score SOFA.
PMID- 26565635
TI - A New Frontier: Improving Nursing Care for People With Dementia and Delirium in
Hospitals.
AB - Frederick Graham, a clinical nurse consultant from Princess Alexandra Hospital in
Brisbane, Australia, presents this month's column focused on improving nursing
care for people with dementia and delirium in hospitals.
PMID- 26565634
TI - Biodegradation of different petroleum hydrocarbons by free and immobilized
microbial consortia.
AB - The efficiencies of free and immobilized microbial consortia in the degradation
of different types of petroleum hydrocarbons were investigated. In this study,
the biodegradation rates of naphthalene, phenanthrene, pyrene and crude oil
reached about 80%, 30%, 56% and 48% under the optimum environmental conditions of
free microbial consortia after 7 d. We evaluated five unique co-metabolic
substances with petroleum hydrocarbons, alpha-lactose was the best co-metabolic
substance among glucose, alpha-lactose, soluble starch, yeast powder and urea.
The orthogonal biodegradation analysis results showed that semi-coke was the best
immobilized carrier followed by walnut shell and activated carbon. Meanwhile, the
significance of various factors that contribute to the biodegradation of semi
coke immobilized microbial consortia followed the order of: alpha-lactose > semi
coke > sodium alginate > CaCl2. Moreover, the degradation rate of the immobilized
microbial consortium (47%) was higher than that of a free microbial consortium
(26%) under environmental conditions such as the crude oil concentration of 3 g
L(-1), NaCl concentration of 20 g L(-1), pH at 7.2-7.4 and temperature of 25
degrees C after 5 d. SEM and FTIR analyses revealed that the structure of semi
coke became more porous and easily adhered to the microbial consortium; the
functional groups (e.g., hydroxy and phosphate) were identified in the microbial
consortium and were changed by immobilization. This study demonstrated that the
ability of microbial adaptation to the environment can be improved by
immobilization which expands the application fields of microbial remediation.
PMID- 26565636
TI - Nurse Executive Leadership During Organizational Mergers.
AB - The recent growth in hospital mergers and the resultant mergers of nursing
service departments (NSDs) have produced a need for chief nursing officers (CNOs)
to be aware of implications and anticipated dynamic changes. This article
addresses the major issues raised by mergers for NSDs and presents an operational
step-by-step checklist for CNOs.
PMID- 26565637
TI - Transitioning to Excellence in Nurse Staffing: A Statewide Initiative to Leverage
the Evidence.
AB - Successful transitions require leadership, focus, and tenacity. Substantive
change rarely comes easily. Leaders are frequently challenged to determine when
change is needed and how to best accomplish it. This month we will shine the
spotlight on a statewide initiative in Wisconsin to transition the nurse staffing
decision process, in every practice setting, from largely opinion based to
evidence based. This leadership initiative capitalized on the leadership
potential of a professional association and the power of consensus and a well
executed strategy.
PMID- 26565638
TI - Revising the Scope and Standards for Nurse Administrators.
AB - In the fall of 2013, the American Nurses Association began the process of
redesigning the scope and standards for the nurse administrator. This article
provides a synthesis of the top 10 changes in the new Scope and Standards for
Nurse Administrators that are being reviewed for approval. These changes reflect
the continuing evolution of practice for nurses serving as leaders across the
United States.
PMID- 26565639
TI - Advancing Nursing Research in Hospitals Through Collaboration, Empowerment, and
Mentoring.
AB - Meeting the Magnet Recognition Program(r) requirements for integrating research
into practice can be daunting, particularly for nonacademic hospitals. The
authors describe 1 healthcare system's approach to advancing nursing research in
5 hospitals through collaboration with a local university school of nursing and
development of an infrastructure to support, empower, and mentor clinical nurses
in the conduct of research. Outcomes include completed research, presentations,
publications, practice change, and professional development.
PMID- 26565640
TI - Leadership, Knowledge Sharing, and Creativity: The Key Factors in Nurses'
Innovative Behaviors.
AB - OBJECTIVE: This study identified the factors that affect the innovative behaviors
of nurses at general hospitals based on their individual and organizational
characteristics. BACKGROUND: The predictors of innovative nursing behaviors, such
as self-leadership, individual knowledge sharing, creative self-efficacy,
organizational knowledge sharing, and innovative organizational cultures, should
be explored at individual and organizational level. METHODS: This study
administered a cross-sectional survey to 347 registered nurses working at 6
general hospitals (with >300 beds) in central South Korea. Data were collected
using a self-report questionnaire and analyzed using structural equation
modeling. RESULTS: Self-leadership, creative self-efficacy, and individual
knowledge sharing directly affected individual innovative behaviors.
Organizational knowledge sharing indirectly affected individual innovative
behaviors, and this effect was mediated by an innovative organizational culture.
CONCLUSIONS: This study contributes to the knowledge base regarding the effective
management of individuals and organizations through innovative behavior;
furthermore, it provides future directions for nursing interventions.
PMID- 26565641
TI - The Nurse-Patient Assignment: Purposes and Decision Factors.
AB - OBJECTIVE: Identify purposes and decision factors of the nurse-patient assignment
process. BACKGROUND: Nurse-patient assignments can positively impact patient,
nurse, and environmental outcomes. METHODS: This was an exploratory study
involving interviews with 14 charge nurses from 11 different nursing units in 1
community hospital. RESULTS: Charge nurses identified 14 purposes and 17 decision
factors of the nurse-patient assignment process. CONCLUSIONS: The nurse-patient
assignment is a complex process driven by the patient, nurse, and environment.
Further study is needed to identify factors linked to patient safety, nurse, and
environmental outcomes.
PMID- 26565642
TI - Successfully Coaching Nursing Staff to Publish Outcomes.
AB - There is a need for bedside nurses to disseminate the results of evidence-based
practice quality initiatives to a wider audience through publications in peer
reviewed journals. Barriers to publication are common and include lack of time,
skills, experience, confidence, and tangible support. This article describes the
structured approach, timeline, writing activities, and coaching guidance used to
support the publication of 12 quality improvement articles in 1 nursing journal.
PMID- 26565643
TI - Preceptor Support in Hospital Transition to Practice Programs.
AB - OBJECTIVE: The aim of this study was to describe newly licensed RN (NLRN)
preceptorships and the effects on competency and retention. BACKGROUND:
Preceptors are widely used, but little is known about the benefit from the
perspective of the NLRN or about the models of the relationships. The National
Council of State Boards of Nursing added questions about the preceptor experience
in a study of transition-to-practice programs. METHODS: Hospitals were coded as
having high or low preceptor support in regard to scheduling NLRN on the same
shifts as their preceptors, assignment sharing, and preceptor release time and a
low number of preceptors per preceptee. RESULTS: Half of the 82 hospitals were
classified as high, and half as low preceptor support. NLRNs and their preceptors
in high-support hospitals evaluated the preceptor experience and NLRN competence
higher. In addition, NLRN retention was higher in the high-support hospitals.
CONCLUSIONS: To improve NLRN competence and retention, preceptors should have
adequate time with each NLRN, share shift and patient assignments, and have few
preceptees assigned to each preceptor concurrently.
PMID- 26565644
TI - Nurses Leading the Transformation of Patient Care Through Telehealth.
AB - The essential role of nurses in leading, developing, and improving Lehigh Valley
Health Network (LVHN) telehealth services and programs was a key reason the
organization was selected to receive the American Nurses Credentialing Center
2013 Magnet(r) Prize. This article describes the application of telehealth as a
nurse-led technology. The innovations within LVHN telehealth services are
presented as well as essential success factors of design, implementation, and
evaluation.
PMID- 26565645
TI - Editorial Thank You.
PMID- 26565646
TI - Letter to the Editor.
PMID- 26565647
TI - Author's Response Regarding "Sitter Reduction Through Mobile Video Monitoring"
July/Aug 2015; 45 (7/8): 363-367.
PMID- 26565648
TI - Self-organized arrays of dislocations in thin smectic liquid crystal films.
AB - Combining optical microscopy, synchrotron X-ray diffraction and ellipsometry, we
studied the internal structure of linear defect domains (oily streaks) in films
of a smectic liquid crystal 8CB with thicknesses in the range of 100-300 nm.
These films are confined between air and a rubbed PVA polymer substrate which
imposes hybrid anchoring conditions (normal and unidirectional planar,
respectively). We show how the presence or absence of dislocations controls the
structure of highly deformed thin smectic films. Each domain contains smectic
layers curved in the shape of flattened hemicylinders to satisfy both anchoring
conditions, together with grain boundaries whose size and shape are controlled by
the presence of dislocation lines. A flat grain boundary normal to the interface
connects neighboring hemicylinders, while a rotating grain boundary (RGB) is
located near the axis of curvature of the cylinders. The RGB shape appears such
that dislocation lines are concentrated at its summit close to the air interface.
The smectic layers reach the polymer substrate via a transition region where the
smectic layer orientation satisfies the planar anchoring conditions over the
entire polymer substrate and whose thickness does not depend on that of the film.
The strength of planar anchoring appears to be high, larger than 10(-2) mJ m(-2),
compensating for the high energy cost of creating an additional 2D defect between
a horizontal smectic layer and perpendicular ones of the transition region. This
2D defect may be melted, in order to avoid the creation of a transition region
structure composed of a large number of dislocations. As a result, linear defect
domains can be considered as arrays of oriented defects, straight dislocations of
various Burger vectors, whose location is now known, and 2D nematic defects. The
possibility of easy variation between the present structure with a moderate
amount of dislocations and a structure with a large number of dislocations is
also demonstrated.
PMID- 26565649
TI - Silver nanoparticle anchored carbon dots for improved sensing, catalytic and
intriguing antimicrobial activity.
AB - Fluorescent carbon dots (NSCDs) with a size of ~5 nm (lambdaex = 320 nm and
lambdaem = 386 nm) have been synthesized under reflux from an alkaline mixture of
dopamine and cysteine. The synthesized NSCDs are hybridized with in situ
generated silver nanoparticles (AgNPs) obtained by mixing AgNO3 at room
temperature. NSCDs enrich the plasmonic bands of AgNPs due to the localized
surface plasmon resonance (LSPR) effect. Further enrichment of plasmon band,
depending on the acetone concentration, enables acetone sensing down to 8 * 10(
5) M admixed in 1 M water. Thus, acetone induced hybrid particles with a sharp
plasmon band (lambdaex = 410 nm) become a sulfide sensing platform. Furthermore,
vacuum dried stable particles (with or without acetone) have been proven to be an
excellent catalyst for selective reduction of cationic dyes and they exhibit
intriguing antimicrobial activity. These two types of dry particle act
differently, which enables us to distinguish their altered surface
functionalization in terms of catalysis and bacterial growth.
PMID- 26565650
TI - Pharmaceutical cocrystals: along the path to improved medicines.
AB - Cocrystals, a long known but understudied class of crystalline solids, have
attracted interest from crystal engineers and pharmaceutical scientists in the
past decade and are now an integral part of the preformulation stage of drug
development. This is largely because cocrystals that contain a drug molecule,
pharmaceutical cocrystals, can modify physicochemical properties without the need
for covalent modification of the drug molecule. This review presents a brief
history of cocrystals before addressing recent advances in design, discovery and
development of pharmaceutical cocrystals that have occurred since an earlier
review published in 2004. We address four aspects of cocrystals: nomenclature;
design using hydrogen-bonded supramolecular synthons; methods of discovery and
synthesis; development of pharmaceutical cocrystals as drug products. Cocrystals
can be classified into molecular cocrystals (MCCs) that contain only neutral
components (coformers) and ionic cocrystals (ICCs), which are comprised of at
least one ionic coformer that is a salt. That cocrystals, especially ICCs, offer
much greater diversity in terms of composition and properties than single
component crystal forms and are amenable to design makes them of continuing
interest. Seven recent case studies that illustrate how pharmaceutical cocrystals
can improve physicochemical properties and clinical performance of drug
substances, including a recently approved drug product based upon an ICC, are
presented.
PMID- 26565651
TI - Misperception: No Evidence to Dismiss RPE as Regulator of Moderate-Intensity
Exercise.
PMID- 26565652
TI - Response.
PMID- 26565653
TI - Combined free nitrous acid and hydrogen peroxide pre-treatment of waste activated
sludge enhances methane production via organic molecule breakdown.
AB - This study presents a novel pre-treatment strategy using combined free nitrous
acid (FNA i.e. HNO2) and hydrogen peroxide (H2O2) to enhance methane production
from WAS, with the mechanisms investigated bio-molecularly. WAS from a full-scale
plant was treated with FNA alone (1.54 mg N/L), H2O2 alone (10-80 mg/g TS), and
their combinations followed by biochemical methane potential tests. Combined FNA
and H2O2 pre-treatment substantially enhanced methane potential of WAS by 59-83%,
compared to 13-23% and 56% with H2O2 pre-treatment alone and FNA pre-treatment
alone respectively. Model-based analysis indicated the increased methane
potential was mainly associated with up to 163% increase in rapidly biodegradable
fraction with combined pre-treatment. The molecular weight distribution and
chemical structure analyses revealed the breakdown of soluble macromolecules with
the combined pre-treatment caused by the deamination and oxidation of the typical
functional groups in proteins, polysaccharides and phosphodiesters. These changes
likely improved the biodegradability of WAS.
PMID- 26565654
TI - Real-world data in the molecular era-finding the reality in the real world.
AB - Real-world data (RWD) promises to provide a pivotal element to the understanding
of personalized medicine. However, without true representation (or the reality)
of the patient-disease biosystem and its molecular contributors, RWD may hamper
rather than help this advancement. In this review article, we discuss RWD vs.
clinical reality and the disconnects that exist currently (emphasizing molecular
medicine), and methods of closing the gaps between RWD and reality.
PMID- 26565655
TI - Inhibition of fucosylation of cell wall components by 2-fluoro 2-deoxy-L-fucose
induces defects in root cell elongation.
AB - Screening of commercially available fluoro monosaccharides as putative growth
inhibitors in Arabidopsis thaliana revealed that 2-fluoro 2-l-fucose (2F-Fuc)
reduces root growth at micromolar concentrations. The inability of 2F-Fuc to
affect an Atfkgp mutant that is defective in the fucose salvage pathway indicates
that 2F-Fuc must be converted to its cognate GDP nucleotide sugar in order to
inhibit root growth. Chemical analysis of cell wall polysaccharides and
glycoproteins demonstrated that fucosylation of xyloglucans and of N-linked
glycans is fully inhibited by 10 MUm 2F-Fuc in Arabidopsis seedling roots, but
genetic evidence indicates that these alterations are not responsible for the
inhibition of root development by 2F-Fuc. Inhibition of fucosylation of cell wall
polysaccharides also affected pectic rhamnogalacturonan-II (RG-II). At low
concentrations, 2F-Fuc induced a decrease in RG-II dimerization. Both RG-II
dimerization and root growth were partially restored in 2F-Fuc-treated seedlings
by addition of boric acid, suggesting that the growth phenotype caused by 2F-Fuc
was due to a deficiency of RG-II dimerization. Closer investigation of the 2F-Fuc
induced growth phenotype demonstrated that cell division is not affected by 2F
Fuc treatments. In contrast, the inhibitor suppressed elongation of root cells
and promoted the emergence of adventitious roots. This study further emphasizes
the importance of RG-II in cell elongation and the utility of glycosyltransferase
inhibitors as new tools for studying the functions of cell wall polysaccharides
in plant development. Moreover, supplementation experiments with borate suggest
that the function of boron in plants might not be restricted to RG-II cross
linking, but that it might also be a signal molecule in the cell wall integrity
sensing mechanism.
PMID- 26565656
TI - Median nerve penetration by a persistent median artery and vein mimicking carpal
tunnel syndrome.
AB - INTRODUCTION: Carpal tunnel syndrome (CTS) is a common clinical syndrome seen in
the outpatient setting that is easily confirmed by electrodiagnostic testing.
METHODS: We describe the case of a patient who presented with the classic
symptoms and neurological examination for CTS, but had a normal nerve conduction
study and electromyogram. RESULTS: Neuromuscular ultrasound of the median nerve
on the symptomatic side revealed penetration of the nerve by a persistent median
artery and vein in the mid-forearm, with a positive sonographic Tinel sign over
this spot. This finding is an anatomical variation that has been described
sparingly in the literature, mostly in cadavers. It has not been reported
previously to be a mimic of CTS. CONCLUSIONS: This case demonstrates the
diagnostic utility of neuromuscular ultrasound and the importance of considering
an anatomical variation involving the median nerve in the differential diagnosis
of CTS.
PMID- 26565657
TI - Phenotypic Characters and Molecular Epidemiology of Campylobacter Jejuni in East
China.
AB - In this study, we investigated the distribution, phenotypic and molecular typing
characters of Campylobacter jejuni in domestic fowl, and livestock populations in
East China, to provide some reference for researches on its molecular
epidemiology. A total of 1250 samples were collected from different animal
sources, and C. jejuni strains were then isolated and tested for antibiotic
sensitivity. Antibiotics-resistance gene and pathogenic genes were detected by
polymerase chain reaction. Phylogenic analysis on the C. jejuni strains was
performed by multilocus sequence typing (MLST) method. The results showed that
108 out of the 1250 samples (mean 8.64%) were C. jejuni positive. These 108 C.
jejuni strains were highly sensitive to antibiotics such as chloramphenicol,
amoxicillin, amikacin, cefotaxime, and azithromycin, whereas they were highly
resistant to antibiotics such as cefoperazone, cotrimoxazole, cefamandole,
sulfamethoxazole, and cefradine. Pathogenicity related gene identification
indicated that the mean carrying rate of adhesion related gene cadF and racR,
flagellin gene flaA, toxin regulating gene cdtA, cdtB, cdtC, wlaN and virB11,
heat shock proteins and transferring proteins related genes dnaJ and ceuE, CiaB
and pldA were 92.45%, 38.69%, 73.58%, 71.70%, 52.83%, 96.23%, 12.26%, 1.89%,
0.94%, 65.09%, 39.62% and 9.43%, respectively. A total of 58.82% of these strains
contained more than 6 pathogenicity-related genes. MLST typed 58 ST types from
the 108 isolated C. jejuni strains, including 24 new types, and ST-21 was the
major type, accounting for 39.3% of the total strains.
PMID- 26565659
TI - Gravitropic response and circumnutation in pea (Pisum sativum) seedling roots.
AB - Plant circumnutation is a helical movement of growing organs such as shoots and
roots. Gravitropic response is hypothesized to act as an external oscillator in
shoot circumnutation, although this is subject to debate. The relationship
between circumnutational movement and gravitropic response in roots remains
unknown. In this study, we analyzed circumnutation of agravitropic roots using
the ageotropum pea (Pisum sativum) mutant, and compared it with that of wild-type
(cv. Alaska) pea roots. We further examined the relationship of gravitropic
response to circumnutation of Alaska seedling roots by removing the gravisensing
tissue (the root cap) and by treating the roots with auxin transport inhibitors.
Alaska roots displayed circumnutational movements with a period of approximately
150 min, whereas ageotropum roots did not exhibit distinct circumnutational
movement. Removal of the root cap in Alaska roots reduced gravitropic response
and circumnutational movements. Treatment of Alaska roots with auxin transport
inhibitors, 2,3,5-triiodobenzoic acid (TIBA) and N-(1-naphthyl)phthalamic acid
(NPA), dramatically reduced gravitropic response and circumnutational movements.
These results suggest that a gravity-regulated auxin transport is involved in
circumnutation of pea seedling roots.
PMID- 26565658
TI - Replication of a genetic risk score for venous thromboembolism in whites but not
in African Americans.
AB - ESSENTIALS: There is little prospective information on genetic risk scores to
predict venous thromboembolism (VT). Community based cohort followed a median of
22.6 years for VT occurrence. A 5-SNP risk score identified whites at risk of VT,
but not African Americans. The utility of genetic risk scores for VT is yet to be
established. BACKGROUND: Case-control studies have created genetic risk scores of
single nucleotide polymorphisms (SNPs) associated with venous thromboembolism
(VTE) and documented their ability to predict VTE, but prospective data are
lacking. OBJECTIVE: To test the ability of a genetic risk score to predict VTE
incidence in a prospective study, particularly in African Americans. METHODS: We
computed a previously proposed genetic risk score, based on five established VTE
SNPs in the F5, F2, ABO, FGG, and F11 genes, in 9520 whites and 3049 African
Americans initially free of VTE. We followed them a median of 22.6 years for VTE
occurrence (n = 380 events in whites and n = 187 in African Americans). RESULTS:
In whites, the five-SNP weighted genetic risk score ranged from 0 to 5.8, and VTE
risk increased 1.41-fold (95% confidence interval [CI] 1.27-fold to 1.56-fold)
per allele increment. In African Americans, the weighted genetic risk score
ranged from 0 to 4.6 and the hazard ratio per risk allele was 1.14 (95% CI 0.94
1.38), with adjustment for 10 principal components of ancestry. The area under
the receiver operating characteristic curve for 20-year prediction of VTE from
the weighted genetic risk score was 0.59 (95% CI 0.56-0.63) in whites and 0.56
(95% CI 0.51-0.61) in African Americans. Adding non-genetic factors increased the
area under the curve to 0.67 in whites and to 0.66 in African Americans.
CONCLUSIONS: Higher values for a five-SNP genetic risk score helped identify
white adults at risk of VTE. The genetic risk score did not identify future VTE
occurrence in African Americans.
PMID- 26565660
TI - Impact of access to NCI- and NCCN-designated cancer centers on outcomes for
multiple myeloma patients: A SEER registry analysis.
AB - BACKGROUND: National Cancer Institute (NCI)/National Comprehensive Cancer Network
(NCCN)-designated cancer centers (CCs) offer patients state-of-the-art treatment,
but their impact on multiple myeloma (MM) patient outcomes has not been
evaluated. METHODS: Adult MM patients diagnosed between 1973 and 2011 were
identified from the Surveillance, Epidemiology, and End Results database and were
stratified by the county of residence at the time of diagnosis and the year of CC
designation. The influence of NCI/NCCN CC access, race, and the year of diagnosis
on overall survival (OS) was evaluated with a Cox regression model. RESULTS: A
statistically significant OS improvement was noted in patients diagnosed after
1995 with access to 2 or more NCI CCs overall (P = .002 for 1996-2002; P < .001
for 2003-2011) and by race for whites (hazard ratio [HR] for 1996-2002, 0.85; 95%
confidence interval [CI], 0.78-0.91; HR for 2003-2011, 0.85; 95% CI, 0.79-0.91)
but not for nonwhites. For NCCN access, improvement was seen in 1996-2002 (P =
.003), in 2003-2011 (P < .001), and by race for whites (HR, 0.917; 95% CI, 0.88
0.95) and nonwhites (0.94; 95% CI, 0.89-0.99), but within nonwhites, this was
true only for African Americans (AAs; HR, 0.88; 95% CI, 0.81-0.97) and not for
Asians, Hispanics, or Native Americans. CONCLUSIONS: Improvement in OS was seen
in MM patients diagnosed after 1995 with access to 1 NCCN CC or 2 or more NCI
CCs. NCI access benefited only whites, whereas NCCN access benefited only white
and AA patients. No OS benefit was seen for any subgroup with access to only 1
NCI center. Eliminating racial disparities in health care access and utilization
is needed to improve outcomes.
PMID- 26565661
TI - Noninvasive DNA methylation biomarkers in colorectal cancer: A systematic review.
AB - OBJECTIVE: To summarize the current evidence on the biomarkers associated with
DNA methylation in the screening and diagnosis of colorectal cancer (CRC).
METHODS: A literature search was conducted on the databases of PubMed and Web of
Science to identify articles published from 1 January 2000 to 6 June 2015 with
language striction. Stuides focusing on the association between noninvasive
biomarkers indicating DNA methylation and CRC were included. RESULTS: Altogether
74 studies were finally included in the study. Varied genetic markers in the
feces and blood samples were hypermethylated in patients with CRC than in the
healthy controls. Some of them could even be detected at the early stage of the
tumors. The sensitivity of the genetic markers was superior to that of fecal
occult blood test and carcinoembryonic antigen. Multitarget DNA assays using a
combination of different methylated genes could improve the diagnostic
sensitivity. CONCLUSIONS: Genetic markers might be minimally invasive, economical
and accurate for the screening and surveillance of CRC. Large multicenter studies
evaluating these biomarkers systematically and prospectively not only in CRC but
also in other types of cancers are needed in the future.
PMID- 26565662
TI - Multiple imputation and analysis for high-dimensional incomplete proteomics data.
AB - Multivariable analysis of proteomics data using standard statistical models is
hindered by the presence of incomplete data. We faced this issue in a nested case
control study of 135 incident cases of myocardial infarction and 135 pair-matched
controls from the Framingham Heart Study Offspring cohort. Plasma protein markers
(K = 861) were measured on the case-control pairs (N = 135), and the majority of
proteins had missing expression values for a subset of samples. In the setting of
many more variables than observations (K ? N), we explored and documented the
feasibility of multiple imputation approaches along with subsequent analysis of
the imputed data sets. Initially, we selected proteins with complete expression
data (K = 261) and randomly masked some values as the basis of simulation to tune
the imputation and analysis process. We randomly shuffled proteins into several
bins, performed multiple imputation within each bin, and followed up with
stepwise selection using conditional logistic regression within each bin. This
process was repeated hundreds of times. We determined the optimal method of
multiple imputation, number of proteins per bin, and number of random shuffles
using several performance statistics. We then applied this method to 544 proteins
with incomplete expression data (<= 40% missing values), from which we identified
a panel of seven proteins that were jointly associated with myocardial
infarction.
PMID- 26565664
TI - Inter-Excited-State Phosphorescence in the Four-Component Relativistic Kohn-Sham
Approximation: A Case Study on Lumiflavin.
AB - Electronic transitions from one excited state to another excited state of
different spin symmetry play important roles in many biochemical reactions.
Although recent years have seen much progress in the elucidation of nonradiative
(intersystem crossing) relaxation mechanisms for such transitions, there is
presently a scarcity of data available to assess whether also radiative
(phosphorescence) mechanisms are relevant for these processes. Here, we
demonstrate that the well-established ability of quantum chemical methods to
describe intersystem crossing events between excited states can be supplemented
by the ability to also describe inter-excited-state phosphorescence.
Specifically, by performing four-component relativistic time-dependent density
functional theory calculations, we obtain rate constants for the radiative
transitions from the absorbing (1)(piHpiL*) singlet state of lumiflavin to the
(3)(piHpiL*), (3)(nN2piL*), and (3)(piH-1piL*) triplet states, and subsequently,
we compare these results with rate constants calculated for the corresponding
nonradiative transitions. Thereby, it is found that the radiative rate constants
for these particular transitions are typically 2 to 5 orders of magnitude smaller
than the nonradiative ones.
PMID- 26565663
TI - Effects of hepatitis B surface antigen (HBsAg) positivity of donors in HBsAg(+)
renal transplant recipients: comparison of outcomes with HBsAg(+) and HBsAg(-)
donors.
AB - AIM: The aim of this study was to determine the effects of hepatitis B surface
antigen (HBsAg) positivity of the donors on graft survival and liver
complications in HBsAg(+) renal transplant recipients. PATIENTS AND METHOD: A
group of 55 patients who underwent renal transplantation (RTx) in our hospital
between 2001 and 2012 were included in the study. Patients were divided into 2
groups. Group 1 (n = 50) consisted of HBsAg(+) renal transplant recipients (RTR)
whose donors were HBsAg(-). In Group 2 (n = 5), RTR and donors were both
HBsAg(+). Lymphocyte cross matches, number of mismatches, donor types, renal
replacement treatment modalities, drugs of induction treatment, and preoperative
hepatitis B virus DNA titers of the groups were similar. In Group 1, 42 patients
were taking lamivudine, 3 patients were taking entecavir, and 5 patients were
taking tenofovir. All of the patients in Group 2 were taking lamivudine. Patient
and graft survival rates, graft functions, acute hepatitis rates, acute rejection
rates, and other clinical outcomes of the groups were compared. RESULTS:
Demographic data of the groups were similar. Acute rejection rates (P = 0.458),
graft survival rates (P = 0.515), and patient survival rates (P = 0.803) were
also similar. No significant difference was found between the groups in terms of
acute hepatitis rate (P = 0.511), glomerular filtration rate (calculated by
Modification of Diet in Renal Disease formula) in the last follow-up (P = 0.988),
alanine aminotransferase levels (P = 0.069), or delayed graft function rate (P =
0.973). Rates of chronic allograft dysfunction and new onset diabetes mellitus
after transplantation were similar. CONCLUSION: Our study revealed that, RTx from
HBsAg(+) donors to HBsAg(+) recipients is safe with antiviral treatment.
PMID- 26565665
TI - Pharmacodynamic and pharmacokinetic evaluation of house dust mite sublingually
administered immunotherapy tablet in the treatment of asthma.
AB - INTRODUCTION: Sublingual immunotherapy (SLIT) for house dust mite (HDM) allergy
has an evidence of efficacy demonstrated by meta-analyses, but marked differences
are reported between the various SLIT products. The standardized quality (SQ) HDM
sublingual tablet containing Dermatophagoides pteronyssinus and Dermatophagoides
farinae in a 1:1 ratio (MK-8237) is a qualitative advance for SLIT products.
AREAS COVERED: The rationale for developing the HDM tablets is discussed against
the other available SLIT products, analyzing the clinical data on efficacy and
safety obtained by controlled trials. EXPERT OPINION: Following preliminary Phase
I and II studies, a double-blind, placebo-controlled trial on 604 patients with
mite-induced asthma, aged more than 14 years and treated by inhaled budesonide,
was performed using one of three active doses (1, 3 or 6 SQ) or placebo. The
results showed a significant mean difference between 6 SQ-HDM and placebo in the
reduction in daily budesonide dose of 81 ug (p = 0.004), with relative mean and
median reductions of 42 and 50% for 6 SQ-HDM and 15 and 25% for placebo,
respectively. Safety was very good, with no report of anaphylactic reaction.
These findings suggest a role to the HDM SLIT tablets in the treatment of
patients with mite-induced asthma.
PMID- 26565666
TI - Discovery of a First-in-Class, Potent, Selective, and Orally Bioavailable
Inhibitor of the p97 AAA ATPase (CB-5083).
AB - The AAA-ATPase p97 plays vital roles in mechanisms of protein homeostasis,
including ubiquitin-proteasome system (UPS) mediated protein degradation,
endoplasmic reticulum-associated degradation (ERAD), and autophagy. Herein we
describe our lead optimization efforts focused on in vitro potency, ADME, and
pharmaceutical properties that led to the discovery of a potent, ATP-competitive,
D2-selective, and orally bioavailable p97 inhibitor 71, CB-5083. Treatment of
tumor cells with 71 leads to significant accumulation of markers associated with
inhibition of UPS and ERAD functions, which induces irresolvable proteotoxic
stress and cell death. In tumor bearing mice, oral administration of 71 causes
rapid accumulation of markers of the unfolded protein response (UPR) and
subsequently induces apoptosis leading to sustained antitumor activity in in vivo
xenograft models of both solid and hematological tumors. 71 has been taken into
phase 1 clinical trials in patients with multiple myeloma and solid tumors.
PMID- 26565667
TI - Photochromic Composite for Random Lasing Based on Porous Polypropylene
Infiltrated with Azobenzene-Containing Liquid Crystalline Mixture.
AB - We report on a new low-cost and easily fabricated type of liquid crystalline
polymer composites demonstrating low threshold random lasing, which can be used
as a cheap and simple mirror-less laser source. The composite is based on mass
producible commercially available porous polypropylene (Celgard 2500) infiltrated
with low-molar-mass liquid crystal material doped with Rhodamine 800 laser dye.
Excitation with red nanosecond laser (630 nm) induces random lasing with the
emission peak in NIR spectral range (804 nm) with noticeable degree of linear
polarization. The possibility to control the lasing threshold and polarization of
the output light with UV radiation through photoswitching of liquid crystal phase
from nematic to isotropic is demonstrated. The photocontrollable phase switching
is achieved by reversible E/Z isomerization of the azobenzene dopant introduced
to the nematic host matrix. It is revealed that the isotropic state of liquid
crystal provides more efficient random lasing with lower threshold due to
significant scattering of the ordinary wave.
PMID- 26565668
TI - The Difficulties in Antihypertensive Drug Prescription During Lactation: Is the
Information Consistent?
AB - INTRODUCTION: The lack of consistent official information on the use of
medications during lactation is probably one of the main reasons leading to an
excess of prudence, based on presumption of risk rather than on evidence. The
objective of this study was to compare the level of agreement between different
official sources available to doctors and women on the use of medications during
lactation. MATERIALS AND METHODS: Sources of information included governmental
regulatory agencies (RAs) and scientific sources (SS). The package leaflets (PLs)
and summaries of product characteristics (SPCs) were retrieved from online
databases of the European Union and U.S. RAs. Among the SS, the latest edition of
the book Medications and Mothers' Milk by Hale and Rowe and the LactMed database
were selected. Information about the use of 11 antihypertensive medications
during breastfeeding was analyzed. RESULTS: The PLs and SPCs report a higher risk
profile than the one expressed by SS, and they often suggest the interruption of
lactation even for compatible medications. CONCLUSIONS: Health professionals
should be supported by official, accurate, comprehensive, and consistent
information about maternally ingested medication and breastfeeding management to
facilitate proper decision-making.
PMID- 26565670
TI - Novel therapeutic strategies for Clostridium difficile infections.
AB - INTRODUCTION: In recent years, Clostridium difficile has become the primary cause
of antibiotic-associated diarrhea and pseudomembranous colitis, resulting in long
and complicated hospital stays that represent a serious burden for patients as
well as health care systems. Currently, conservative treatment of C. difficile
infection (CDI) relies on the antibiotics vancomycin, metronidazole or
fidaxomicin, or in case of multiple recurrences, fecal microbiota transplantation
(FMT). AREAS COVERED: The fast-spreading, epidemic nature of this pathogen
urgently necessitates the search for alternative treatment strategies as well as
antibiotic targets. Accordingly, in this review, we highlight the recent findings
regarding virulence associated traits of C. difficile, evaluate their potential
as alternative drug targets, and present current efforts in designing inhibitory
compounds, with the aim of pointing out possibilities for future treatment
strategies. EXPERT OPINION: Increased attention on systematic analysis of the
virulence mechanisms of C. difficile has already led to the identification of
several alternative drug targets. In the future, applying state of the art
'omics' and the development of novel infection models that mimic the human gut, a
highly complex ecological niche, will unveil the genomic and metabolic plasticity
of this pathogen and will certainly help dealing with future challenges.
PMID- 26565669
TI - Analysis of the native conformation of the LIR/AIM motif in the Atg8/LC3/GABARAP
binding proteins.
AB - The Atg8/LC3/GABARAP family of proteins, a group that has structural homology
with ubiquitin, connects with a large set of binding partners to function in
macroautophagy (hereafter autophagy). This interaction occurs primarily via a
conserved motif termed the LC3-interacting region (LIR), or the Atg8-interacting
motif (AIM). The consensus sequence for this motif, [W/F/Y]xx[L/I/V], can be
found in many proteins, but only some of them are physiological partners
containing a functional LIR/AIM. Because the structure of many full-length
partners has not been, or cannot be, solved, the structural context of the
LIR/AIM within the native protein conformation is not obvious. Here we suggest
that the functional LIR/AIM is a short linear motif (SLiM) protein-binding
module, arising from an intrinsically disordered region. This finding enables the
rapid elimination of some false Atg8/LC3/GABARAP-binding proteins, and connects
the exponentially growing knowledge on disordered SLiMs with autophagy.
PMID- 26565671
TI - HIV, HCV, HBV, HSV, and syphilis prevalence among female sex workers in Tehran,
Iran, by using respondent-driven sampling.
AB - To find out the prevalence of HIV, HCV, HBV, HSV, and syphilis infections among
female sex workers (FSWs) in Tehran, a cross-sectional study by using respondent
driven sampling (RDS) method was conducted. From December 2012 to April 2013 FSWs
in Tehran were recruited. Inclusion criteria consisted of trading sex during the
12 months prior to this study and selling sex for at least 6 months in
participants' lifetime. Among 161 consenting participants, 5% were infected with
HIV. Moreover, 8.1% of FSWs were HCV positive, 37.9% were of HSV type1/type2,
1.2% of participants were infected with HBV, and none of the participants were
infected with syphilis. HIV-positive participants were significantly more likely
to be co-infected with HSV type1/type2, be younger, have more sexual partners and
especially more clients during seven days prior to this study and report more
history of having at least one of sexually transmitted infections symptoms in 12
months prior the study. In the multiple logistic regression analysis, being
infected with HSV and also being under 25 years of age were found to be
independently associated with HIV infection. Compared with the prevalence of HIV
among general population of Tehran, relatively high prevalence of HIV and other
viral infections among FSWs should be considered. All in all, it is critical to
commence effective counter-measures for this high-risk group if the aim is to
prevent spreading of these viruses to general population.
PMID- 26565672
TI - Validation of a loop-mediated isothermal amplification assay for rapid diagnosis
of pertussis infection in nasopharyngeal samples.
AB - OBJECTIVE: To develop and validate a novel loop-mediated amplification (LAMP)
assay for rapid diagnosis (<1 hour) of whooping cough in nasopharyngeal samples
versus the gold standard: real-time PCR. METHODS: The study included all
nasopharyngeal samples (n = 213) collected from children with clinical suspicion
of pertussis admitted to Children's University Hospital Sant Joan de Deu
(Barcelona, Spain) during July-December 2014. Fresh samples were routinely
analyzed by real-time PCR and stored for retrospective LAMP analysis, following
an easy 30 minute DNA extraction step by Chelex-100. RESULTS: Performance results
of the LAMP assay were: linearity, 10(5)-10(1) CFU/ml; Limit of Detection, 2
CFU/ml; precision (mean CV), 7.38%; diagnostic sensitivity, 96.55%; diagnostic
specificity, 99.46%; time to detection, 12-30 minutes. CONCLUSION: The new test
was shown to be 2.5-fold faster than real-time PCR while maintaining similar
levels of analytical and clinical performance. Therefore it could become a useful
diagnostic tool for molecular point-of-care testing.
PMID- 26565673
TI - Chromosome 17q21.31 duplication syndrome: Description of a new familiar case and
further delineation of the clinical spectrum.
AB - INTRODUCTION: 17q21.31 microduplication syndrome is a recently described
condition associated with a broad clinical spectrum, of which psychomotor delay,
behavioral disorders and poor social interaction seem to be the most consistent
features. Only seven patients have been reported thus far. All have behavioral
disorders reminiscent of the autistic spectrum with intellectual skills ranging
from normal to mild intellectual deficiency. Other features are variable with no
striking common phenotypic features. CASE STUDY: Here we describe the segregation
of 17q21.31 duplication in an Italian family. DISCUSSION: Clinical features and
genetic data are reported, and compared with previously reported patients with
17q21.31 microduplication. A comparison of clinical manifestations between
deletion and duplication syndromes of the chromosome regione is provided.
PMID- 26565674
TI - Broadly Neutralizing Antibodies Against HIV: New Insights to Inform Vaccine
Design.
AB - HIV-1 poses immense immunological challenges to the humoral immune response
because of its ability to shield itself and replicate and evolve rapidly.
Although most currently licensed vaccines provide protection via the induction of
antibodies (Abs) that can directly block infection ( 1 ), 30 years of HIV-1
vaccine research has failed to successfully elicit such Abs against globally
relevant HIV strains. However, mounting evidence suggests that these broadly
neutralizing antibodies (bNAbs) do emerge naturally in a significant fraction of
infected subjects, albeit after years of infection, indicating that these
responses can be selected naturally by the immune response but take long periods
of time to evolve. We review the basic structural characteristics of broadly
neutralizing antibodies and how they recognize the virus, and we discuss new
vaccination strategies that aim to mimic natural evolution to guide B cells to
produce protective Abs against HIV-1.
PMID- 26565675
TI - Cystic Neoplasms of the Pancreas.
AB - Cystic neoplasms of the pancreas are being identified at an increasing frequency
largely due to the increased use of abdominal cross-sectional imaging. These
neoplasms represent a heterogeneous group of tumors with various genetic
alterations, molecular features, and risks of malignancy. Despite the use of high
resolution radiographic studies, endoscopic evaluation, cyst fluid analysis, and
novel molecular diagnostics, many of these lesions remain difficult to classify
without operative resection. These diagnostic challenges are coupled with an
improving but limited understanding of the natural history of these neoplasms.
Treatment of pancreatic cystic neoplasms therefore remains controversial but
consists largely of a selective tumor-specific approach to surgical resection.
Future research remains necessary to better discriminate the biological behavior
of these tumors in order to more appropriately select patients for operative
intervention.
PMID- 26565676
TI - Therapeutic Targeting of IL-17 and IL-23 Cytokines in Immune-Mediated Diseases.
AB - The discovery of the biological functions of the interleukin-23/-17 axis led to
the identification of IL-23 and IL-17 as important participants in the
pathogenesis of several immune-mediated diseases. Therapeutic agents targeting
these cytokines and/or their receptors have now been developed as potential
treatment strategies for common immune-mediated diseases. Anti-IL-17 and anti-IL
12/-23 regimens appear particularly effective in psoriasis, with promising
results in spondyloarthropathies also emerging. Overall, these agents appear well
tolerated, with adverse-event rates that are commensurate with those in other
biologic treatment programs. The strategic utility of these new agents, however,
remains uncertain, and further studies will be required to determine their place
in the context of existing conventional and biologic immune-modifying agents.
PMID- 26565677
TI - Pirfenidone Initiates a New Era in the Treatment of Idiopathic Pulmonary
Fibrosis.
AB - Idiopathic pulmonary fibrosis (IPF) is a chronic, progressive, and fatal disease
that has long eluded therapy. Prognosis remains very poor, and currently lung
transplantation offers the only hope of survival. Recently, great strides have
been made in the development of pharmaceutical therapy to treat IPF. Pirfenidone,
an oral antifibrotic agent, has been shown to slow progression of the disease and
improve progression-free survival, offering new hope for patients suffering from
IPF.
PMID- 26565678
TI - Transcription Activator-Like Nucleases Enable Allogeneic Chimeric Antigen
Receptor-T Cell Therapy in Humans.
PMID- 26565679
TI - Bifunctional Ligand-Assisted Catalytic Ketone alpha-Alkenylation with Internal
Alkynes: Controlled Synthesis of Enones and Mechanistic Studies.
AB - Here, we describe a detailed study of the rhodium(I)-catalyzed, bifunctional
ligand-assisted ketone alpha-C-H alkenylation using internal alkynes. Through
controlling the reaction conditions, conjugated enamines, alpha,beta- or
beta,gamma-unsaturated ketones, can be selectively accessed. Both aromatic and
aliphatic alkynes can be employed as coupling partners. The reaction conditions
also tolerate a broad range of functional groups, including carboxylic esters,
malonates, secondary amides, thioethers, and free alcohols. In addition,
excellent E-selectivity was observed for the tetra-substituted alkene when
forming the alpha,beta-unsaturated ketone products. The mechanism of this
transformation was explored through control experiments, kinetic monitoring,
synthesizing the rhodium-hydride intermediates and their reactions with alkynes,
deuterium-labeling experiments, and identification of the resting states of the
catalyst.
PMID- 26565680
TI - Identification of Glycopeptides with Multiple Hydroxylysine O-Glycosylation Sites
by Tandem Mass Spectrometry.
AB - Glycosylation is one of the most common post-translational modifications in
proteins, existing in ~50% of mammalian proteins. Several research groups have
demonstrated that mass spectrometry is an efficient technique for glycopeptide
identification; however, this problem is still challenging because of the
enormous diversity of glycan structures and the microheterogeneity of glycans. In
addition, a glycopeptide may contain multiple glycosylation sites, making the
problem complex. Current software tools often fail to identify glycopeptides with
multiple glycosylation sites, and hence we present GlycoMID, a graph-based
spectral alignment algorithm that can identify glycopeptides with multiple
hydroxylysine O-glycosylation sites by tandem mass spectra. GlycoMID was tested
on mass spectrometry data sets of the bovine collagen alpha-(II) chain protein,
and experimental results showed that it identified more glycopeptide-spectrum
matches than other existing tools, including many glycopeptides with two
glycosylation sites.
PMID- 26565681
TI - Prevalence of Baylisascaris Roundworm in Captive Kinkajous in Japan.
AB - Baylisascaris potosis causes larva migrans in animals. The present study
evaluated the prevalence of B. potosis in captive kinkajous ( Potos flavus ) and
the ability of milbemycin to treat natural infections of B. potosis in 2 female
wild-caught kinkajous. In 2012, fecal samples were collected from 16 kinkajous in
6 zoological gardens and 29 imported captive kinkajous from 4 pet traders in
Japan. Although all samples from zoological gardens were negative, 8 kinkajous
from traders were positive for Baylisascaris eggs, at least 4 of which were wild
caught in the Republic of Guyana. No associated human illness was reported from
any of the facilities. The 2 infected kinkajous received a single oral
administration of Milbemycin(r) A Tablets, which delivers 0.69-0.89 mg/kg
milbemycin oxime. Fecal examinations on days 14 and 30 were negative for
Baylisascaris eggs. These results demonstrated that milbemycin oxime has possible
anthelmintic efficacy against Baylisascaris roundworms in captive kinkajous. We
conclude that Baylisascaris infections are highly prevalent in wild-caught
kinkajous in Japan and that most of the infected kinkajous were imported from the
Republic of Guyana.
PMID- 26565682
TI - Reduction in pesticide residue levels in olives by ozonated and tap water
treatments and their transfer into olive oil.
AB - The effects of different wash times (2 and 5 min) with tap and ozonated water on
the removal of nine pesticides from olives and the transfer ratios of these
pesticides during olive oil production were determined. The reliability of the
analytical methods was also tested. The applied methods of analysis were found to
be suitable based on linearity, trueness, repeatability, selectivity and limit of
quantification all the pesticides tested. All tap and ozonated water wash cycles
removed a significant quantity of the pesticides from the olives, with a few
exceptions. Generally, extending the wash time increased the pesticide reduction
with ozonated water, but did not make significant differences with tap water.
During olive oil processing, depending on the processing technique and
physicochemical properties of the pesticides, eight of nine pesticides were
concentrated into olive oil (processing factor > 1) with almost no significant
difference between treatments. Imidacloprid did not pass into olive oil. Ozonated
water wash for 5 min reduced chlorpyrifos, beta-cyfluthrin, alpha-cypermethrin
and imidacloprid contents by 38%, 50%, 55% and 61% respectively in olives.
PMID- 26565683
TI - Marine carotenoids: Bioactivities and potential benefits to human health.
AB - Among natural pigments, carotenoids play important roles in physiological
functions. The characteristics of carotenoids and their effects on human health
have been reported for a long time, but most studies have focused on carotenoids
from vegetables, fruits, and other parts of higher plants. Few reports are
available on carotenoids from marine sources, such as seaweeds, microalgae, and
marine animals, which have attracted attention in recent decades. Hundreds of
carotenoids have been identified and isolated from marine organisms and their
beneficial physiological functions, such as anticancer, antiobesity,
antidiabetic, anti-inflammatory, and cardioprotective activities have been
reported. The purpose of this review is to discuss the literature on the
beneficial bioactivities of some of the most abundant marine carotenoids,
including fucoxanthin, astaxanthin, cantaxanthin, peridinin, fucoxanthinol, and
halocynthiaxanthin.
PMID- 26565684
TI - A 3D bioprinting exemplar of the consequences of the regulatory requirements on
customized processes.
AB - Computer-aided 3D printing approaches to the industrial production of customized
3D functional living constructs for restoration of tissue and organ function face
significant regulatory challenges. Using the manufacture of a customized, 3D
bioprinted nasal implant as a well-informed but hypothetical exemplar, we examine
how these products might be regulated. Existing EU and USA regulatory frameworks
do not account for the differences between 3D printing and conventional
manufacturing methods or the ability to create individual customized products
using mechanized rather than craft approaches. Already subject to extensive
regulatory control, issues related to control of the computer-aided design to
manufacture process and the associated software system chain present additional
scientific and regulatory challenges for manufacturers of these complex 3D
bioprinted advanced combination products.
PMID- 26565686
TI - A Game-Theoretic Model of Marketing Skin Whiteners.
AB - Empirical studies consistently find that people in less developed countries tend
to regard light or "white" skin, particularly among women, as more desirable or
superior. This is a study about the marketing of skin whiteners in these
countries, where over 80 percent of users are typically women. It proceeds from
the following premises: a) Purely market or policy-oriented approaches toward the
risks and harms of skin whitening are cost-inefficient; b) Psychosocial and
informational factors breed uninformed and risky consumer choices that favor
toxic skin whiteners; and c) Proliferation of toxic whiteners in a competitive
buyer's market raises critical supplier accountability issues. Is intentional
tort a rational outcome of uncooperative game equilibria? Can voluntary
cooperation nonetheless evolve between buyers and sellers of skin whiteners?
These twin questions are key to addressing the central paradox in this study: A
robust and expanding buyer's market, where cheap whitening products abound at a
high risk to personal and societal health and safety. Game-theoretic modeling of
two-player and n-player strategic interactions is proposed in this study for both
its explanatory and predictive value. Therein also lie its practical
contributions to the economic literature on skin whitening.
PMID- 26565685
TI - A synthesis of the effects of pesticides on microbial persistence in aquatic
ecosystems.
AB - Pesticides have a pervasive presence in aquatic ecosystems throughout the world.
While pesticides are intended to control fungi, insects, and other pests, their
mechanisms of action are often not specific enough to prevent unintended effects,
such as on non-target microbial populations. Microorganisms, including algae and
cyanobacteria, protozoa, aquatic fungi, and bacteria, form the basis of many food
webs and are responsible for crucial aspects of biogeochemical cycling;
therefore, the potential for pesticides to alter microbial community structures
must be understood to preserve ecosystem services. This review examines studies
that focused on direct population-level effects and indirect community-level
effects of pesticides on microorganisms. Generally, insecticides, herbicides, and
fungicides were found to have adverse direct effects on algal and fungal species.
Insecticides and fungicides also had deleterious direct effects in the majority
of studies examining protozoa species, although herbicides were found to have
inconsistent direct effects on protozoans. Our synthesis revealed mixed or no
direct effects on bacterial species among all pesticide categories, with results
highly dependent on the target species, chemical, and concentration used in the
study. Examination of community-level, indirect effects revealed that all
pesticide categories had a tendency to reduce higher trophic levels, thereby
diminishing top-down pressures and favoring lower trophic levels. Often, indirect
effects exerted greater influence than direct effects. However, few studies have
been conducted to specifically address community-level effects of pesticides on
microorganisms, and further research is necessary to better understand and
predict the net effects of pesticides on ecosystem health.
PMID- 26565687
TI - Human Papillomavirus Genotyping to Predict the Risk of Cervical Precancerous
Lesions or Cancer in Women with Minor Abnormal Cytology in China.
AB - OBJECTIVE: To evaluate the role of human papillomavirus (HPV) genotyping in
predicting the risk of cervical precancerous lesions or cancer in women with
minor abnormal cytology. METHODS AND MATERIALS: This study was conducted on 329
women with atypical squamous cells of undetermined significance (ASC-US) and 77
women with low-grade squamous intraepithelial lesions (LSIL) out of a total of
4,215 participants in a multicenter, cross-sectional study. Liquid-based cytology
and the Hybrid Capture 2 test (HC2) were used to screen eligible women, and a
Linear Array HPV genotyping test was performed on women with positive HC2
results. RESULTS: The sensitivity and specificity for detecting cervical
intraepithelial neoplasia grade 2 or worse (CIN2+) based on HPV 16/18 were 82%
[95% confidence interval (CI): 52-95%] and 91% (95% CI: 87-94%) in women with ASC
US and 67% (95% CI: 35-88%) and 84% (95% CI: 73-91%) in women with LSIL. The
women infected with HPV 16/18 had a significantly higher risk of developing CIN2+
than those infected with other high-risk HPV types in both the ASC-US (OR 9.93,
95% CI: 2.02-48.88) and LSIL (OR 7.45, 95% CI: 1.60-34.68) arms. CONCLUSIONS:
Genotyping for HPV 16/18 greatly improves specificity, but at the expense of
potential sensitivity in the triage of minor cytology abnormalities. The role of
genotyping for HPV 16/18 in order to triage women with minor abnormal cytology
should be further evaluated in future studies.
PMID- 26565688
TI - Wild Red Foxes (Vulpes vulpes) as Sentinels of Parasitic Diseases in the Province
of Soria, Northern Spain.
AB - Four hundred red foxes (Vulpes vulpes) were examined for ecto- (arthropods) and
endoparasites (Leishmania spp., Trichinella spp., and intestinal parasites).
Different species of flea (total prevalence, 40.50%), tick (16.25%), mite
(7.25%), and fly (1.50%) were identified. The most prevalent flea was Pulex
irritans (found on 29% of the foxes); the most prevalent tick, mite, and fly were
Ixodes canisuga (on 5%), Sarcoptes scabiei (on 5.25%), and Hippobosca equina (on
1%), respectively. The endoparasites identified included Leishmania spp. (found
in 12% of the foxes), Trichinella spp. (in 15.5%, with T. britovi the most
prevalent species in 15.25%), Cestoda (in 72.75%, with Mesocestoides spp. the
most prevalent in 69.50%), and intestinal ascarids (in 73.25%, with Ancylostoma
caninum the most prevalent in 12.50%). No animal was free of parasites. The
present results suggest that foxes can act as sentinels of diseases transmitted
by ecto- and endoparasites.
PMID- 26565689
TI - MTOR, PIK3C3, and autophagy: Signaling the beginning from the end.
AB - A key point in starvation-induced autophagy occurs at the end of the process,
where lysosomes are regenerated from autolysosomes through a pathway termed
autophagic lysosome reformation (ALR). ALR occurs when autolysosomal MTOR becomes
reactivated by amino acids derived from the autophagic delivery of protein cargo.
This activation not only turns off autophagosome formation but also leads to
reformation of lysosomes, ready for the next round of autophagy, through a series
of events involving autolysosomal tubulation. We have now found that MTOR
regulates multiple steps of ALR including direct activation of the PIK3C3-UVRAG
lipid kinase complex to enable autolysosomal tubules to break away and regenerate
lysosomes.
PMID- 26565690
TI - Effects of High-Intensity Hatha Yoga on Cardiovascular Fitness, Adipocytokines,
and Apolipoproteins in Healthy Students: A Randomized Controlled Study.
AB - BACKGROUND: Yoga exercises are often used as a form of body and mind exercise to
increase performance. However, knowledge about the physiologic effects of
performing high-intensity Hatha yoga exercises over a longer time period remains
limited. OBJECTIVE: To investigate the effects of high-intensity yoga (HIY) on
cardiovascular fitness (maximal oxygen consumption, estimated from the Cooper
running test), ratings of perceived exertion (RPE), heart rate (HR), heart rate
recovery (HRR), blood pressure (BP), adipocytokines, apolipoprotein A1 (ApoA1),
apolipoprotein B (ApoB), and glycosylated hemoglobin (HbA1c) in healthy students.
METHODS: The 44 participants (38 women and 6 men; median age, 25 years [range, 20
39 years]) were randomly assigned to an HIY or a control group. The HIY program
was held for 6 weeks (60 minutes once a week). Cardiovascular fitness, RPE, HR,
HRR, BP, adipocytokines, HbA1c, ApoA1, and ApoB were measured at baseline and
after 6 weeks in both groups. RESULTS: HIY had no significant effects on
cardiovascular fitness (mean dose: 390 minutes [range, 210-800 minutes]), HR,
HRR, BP, or any of the blood parameters. However, secondary findings showed that
[corrected] ApoA1 (1.47 +/- 0.17 to 1.55 +/- 0.16 g/L; p = 0.03) and adiponectin
(8.32 +/- 3.32 to 9.68 +/- 3.83 mg/L; p = 0.003) levels increased significantly
in the HIY group after 6 weeks. CONCLUSIONS: Six weeks of HIY did not
significantly improve cardiovascular fitness. However, secondary findings showed
that [corrected] ApoA1 and adiponectin levels increased significantly in the HIY
group. This finding suggests that HIY may have positive effects on blood lipids
and an anti-inflammatory effect.
PMID- 26565692
TI - Radiographic progression is associated with increased cardiovascular risk in
patients with axial spondyloarthritis.
AB - OBJECTIVES: To compare the cardiovascular disease (CVD) risk between axial
spondyloarthritis (axSpA) patients and matched controls, and to identify factors
associated with increased CVD risk in axSpA patients. METHODS: This cross
sectional study enrolled 185 axSpA patients who fulfilled the Assessment for
Spondyloarthritis (ASAS) criteria and 925 age- and sex-matched controls. None of
the subjects had a previous history of CVD or diabetes mellitus. Traditional CVD
risk factors were assessed and the 10-year CVD risk was calculated using the
Framingham risk score (FRS). Estimated 10-year CVD risk was compared between
axSpA patients and matched controls. Disease activity and radiographic
progression in the sacroiliac joint and spine of axSpA patients were evaluated at
the time of CVD risk assessment. RESULTS: High-density lipoprotein (HDL)
cholesterol levels were lower in axSpA patients than in the matched controls (p =
0.004); however, systolic blood pressure was higher (p < 0.001). The FRS was 5.0
+/- 6.6% for controls and 6.3 +/- 8.7% for axSpA patients (p = 0.046). Both the
grade of sacroiliitis on X-ray and the number of syndesmophytes correlated with
the FRS (p = 0.009 and p = 0.001, respectively), but disease activity variables
did not. The FRS was significantly higher in axSpA patients with a greater number
of syndesmophytes (p = 0.035). Multivariate analysis identified the number of
syndesmophytes as being independently associated with the FRS (p < 0.001).
CONCLUSIONS: The FRS was higher in axSpA patients than in a matched general
population. Radiographic progression in the spine was associated with a high
estimated 10-year CVD risk.
PMID- 26565691
TI - Reactivation of autophagy by spermidine ameliorates the myopathic defects of
collagen VI-null mice.
AB - Autophagy is a self-degradative process responsible for the clearance of damaged
or unnecessary cellular components. We have previously found that persistence of
dysfunctional organelles due to autophagy failure is a key event in the
pathogenesis of COL6/collagen VI-related myopathies, and have demonstrated that
reactivation of a proper autophagic flux rescues the muscle defects of Col6a1
null (col6a1(-/-)) mice. Here we show that treatment with spermidine, a naturally
occurring nontoxic autophagy inducer, is beneficial for col6a1(-/-) mice.
Systemic administration of spermidine in col6a1(-/-) mice reactivated autophagy
in a dose-dependent manner, leading to a concurrent amelioration of the
histological and ultrastructural muscle defects. The beneficial effects of
spermidine, together with its being easy to administer and the lack of overt side
effects, open the field for the design of novel nutraceutical strategies for the
treatment of muscle diseases characterized by autophagy impairment.
PMID- 26565693
TI - Medicaid Managed Care in an Integrated Health Care Delivery System: Lessons from
Geisinger's Early Experience.
AB - Many states in the United States, including Pennsylvania, have opted to rely on
private managed care organizations to provide health insurance coverage for their
Medicaid population in recent years. Geisinger Health System has been one such
organization since 2013. Based on its existing care management model involving
data-driven population management, advanced patient-centered medical homes, and
targeted case management, Geisinger's Medicaid management efforts have been
redesigned specifically to accommodate those with complex health care issues and
social service needs to facilitate early intervention, effective and efficient
care support, and ultimately, a positive impact on health care outcomes. An
analysis of Geisinger's claims data suggests that during the first 19 months
since beginning Medicaid member enrollment, Geisinger's Medicaid members,
particularly those eligible for the supplemental security income benefits, have
incurred lower inpatient, outpatient, and professional costs of care compared to
expected levels. However, the total cost savings were partially offset by the
higher prescription drug costs. These early data suggest that an integrated
Medicaid care management effort may achieve significant cost of care savings.
(Population Health Management 2016;19:257-263).
PMID- 26565694
TI - Synthesis of novel pyrophosphorothiolate-linked dinucleoside cap analogues in a
ball mill.
AB - Michaelis-Arbuzov reactions of S-aryl disulfide derivatives of 3'-thiothymidine
or 5'-thioadenosine with tris(trimethylsilyl) phosphite proceeded in high yields
to the corresponding phosphorothiolate monoesters. Subsequent hydrolytic
desilylation and phosphate coupling were effected in one-pot using liquid
assisted grinding in a vibration ball mill. Novel 3',5'- and 5',5'
pyrophosphorothiolate-linked dinucleoside cap analogues were thereby prepared.
PMID- 26565696
TI - Impact and Cost of the HIV/AIDS National Strategic Plan for Mozambique, 2015-2019
-Projections with the Spectrum/Goals Model.
AB - INTRODUCTION: Mozambique continues to face a severe HIV epidemic and high cost
for its control, largely born by international donors. We assessed feasible
targets, likely impact and costs for the 2015-2019 national strategic HIV/AIDS
plan (NSP). METHODS: The HIV epidemic and response was modelled in the
Spectrum/Goals/Resource Needs dynamical simulation model, separately for
North/Center/South regions, fitted to antenatal clinic surveillance data,
household and key risk group surveys, program statistics, and financial records.
Intervention targets were defined in collaboration with the National AIDS
Council, Ministry of Health, technical partners and implementing NGOs,
considering existing commitments. RESULTS: Implementing the NSP to meet existing
coverage targets would reduce annual new infections among all ages from 105,000
in 2014 to 78,000 in 2019, and reduce annual HIV/AIDS-related deaths from 80,000
to 56,000. Additional scale-up of prevention interventions targeting high-risk
groups, with improved patient retention on ART, could further reduce burden to
65,000 new infections and 51,000 HIV-related deaths in 2019. Program cost would
increase from US$ 273 million in 2014, to US$ 433 million in 2019 for 'Current
targets', or US$ 495 million in 2019 for 'Accelerated scale-up'. The 'Accelerated
scale-up' would lower cost per infection averted, due to an enhanced focus on
behavioural prevention for high-risk groups. Cost and mortality impact are driven
by ART, which accounts for 53% of resource needs in 2019. Infections averted are
driven by scale-up of interventions targeting sex work (North, rising epidemic)
and voluntary male circumcision (Center & South, generalized epidemics).
CONCLUSION: The NSP could aim to reduce annual new HIV infections and deaths by
2019 by 30% and 40%, respectively, from 2014 levels. Achieving incidence and
mortality reductions corresponding to UNAIDS' 'Fast track' targets will require
increased ART coverage and additional behavioural prevention targeting key risk
groups.
PMID- 26565695
TI - Dietary Total Antioxidant Capacity and Colorectal Cancer in the Italian EPIC
Cohort.
AB - BACKGROUND: Colorectal cancer is the third most common cancer worldwide. Diet has
been hypothesized as involved in colorectal cancer etiology, but few studies on
the influence of total dietary antioxidant intake on colorectal cancer risk have
been performed. METHODS: We investigated the association between colorectal
cancer risk and the total antioxidant capacity (TAC) of the diet, and also of
intake of selected antioxidants, in 45,194 persons enrolled in 5 centers
(Florence, Naples, Ragusa, Turin and Varese) of the European Prospective
Investigation into Cancer and Nutrition (EPIC) Italy study. TAC was estimated by
the Trolox equivalent antioxidant capacity (TEAC) assay. Hazard ratios (HRs) for
developing colorectal cancer, and colon and rectal cancers separately, adjusted
for confounders, were estimated for tertiles of TAC by Cox modeling, stratifying
by center. RESULTS: Four hundred thirty-six colorectal cancers were diagnosed
over a mean follow-up of 11.28 years. No significant association between dietary
TAC and colorectal cancer incidence was found. However for the highest category
of TAC compared to the lowest, risk of developing colon cancer was lower (HR:
0.63; 95% CI: 0.44-0.89, P trend: 0.008). By contrast, increasing TAC intake was
associated with significantly increasing risks of rectal cancer (2nd tertile HR:
2.09; 95%CI: 1.19-3.66; 3rd tertile 2.48 95%CI: 1.32-4.66; P trend 0.007).
Intakes of vitamin C, vitamin E, and beta-carotene were not significantly
associated with colorectal cancer risk. CONCLUSIONS: Further prospective studies
are needed to confirm the contrasting effects of high total antioxidant intake on
risk of colon and rectal cancers.
PMID- 26565697
TI - Proteasome Inhibition Suppresses Dengue Virus Egress in Antibody Dependent
Infection.
AB - The mosquito-borne dengue virus (DENV) is a cause of significant global health
burden, with an estimated 390 million infections occurring annually. However, no
licensed vaccine or specific antiviral treatment for dengue is available. DENV
interacts with host cell factors to complete its life cycle although this virus
host interplay remains to be fully elucidated. Many studies have identified the
ubiquitin proteasome pathway (UPP) to be important for successful DENV
production, but how the UPP contributes to DENV life cycle as host factors
remains ill defined. We show here that proteasome inhibition decouples infectious
virus production from viral RNA replication in antibody-dependent infection of
THP-1 cells. Molecular and imaging analyses in beta-lactone treated THP-1 cells
suggest that proteasome function does not prevent virus assembly but rather DENV
egress. Intriguingly, the licensed proteasome inhibitor, bortezomib, is able to
inhibit DENV titers at low nanomolar drug concentrations for different strains of
all four serotypes of DENV in primary monocytes. Furthermore, bortezomib
treatment of DENV-infected mice inhibited the spread of DENV in the spleen as
well as the overall pathological changes. Our findings suggest that preventing
DENV egress through proteasome inhibition could be a suitable therapeutic
strategy against dengue.
PMID- 26565699
TI - First case of neurofibromatosis type 1 associated with chorioretinal coloboma,
optic disc pseudodoubling, and vitiligo: linked pathogenesis?
PMID- 26565698
TI - Variation in Taxonomic Composition of the Fecal Microbiota in an Inbred Mouse
Strain across Individuals and Time.
AB - Genetics, diet, and other environmental exposures are thought to be major factors
in the development and composition of the intestinal microbiota of animals.
However, the relative contributions of these factors in adult animals, as well as
variation with time in a variety of important settings, are still not fully
understood. We studied a population of inbred, female mice fed the same diet and
housed under the same conditions. We collected fecal samples from 46 individual
mice over two weeks, sampling four of these mice for periods as long as 236 days
for a total of 190 samples, and determined the phylogenetic composition of their
microbial communities after analyzing 1,849,990 high-quality pyrosequencing reads
of the 16S rRNA gene V3 region. Even under these controlled conditions, we found
significant inter-individual variation in community composition, as well as
variation within an individual over time, including increases in alpha diversity
during the first 2 months of co-habitation. Some variation was explained by mouse
membership in different cage and vendor shipment groups. The differences among
individual mice from the same shipment group and cage were still significant.
Overall, we found that 23% of the variation in intestinal microbiota composition
was explained by changes within the fecal microbiota of a mouse over time, 12%
was explained by persistent differences among individual mice, 14% by cage, and
18% by shipment group. Our findings suggest that the microbiota of controlled
populations of inbred laboratory animals may not be as uniform as previously
thought, that animal rearing and handling may account for some variation, and
that as yet unidentified factors may explain additional components of variation
in the composition of the microbiota within populations and individuals over
time. These findings have implications for the design and interpretation of
experiments involving laboratory animals.
PMID- 26565700
TI - Urban Power Line Corridors as Novel Habitats for Grassland and Alien Plant
Species in South-Western Finland.
AB - Regularly managed electric power line corridors may provide habitats for both
early-successional grassland plant species and disturbance-dependent alien plant
species. These habitats are especially important in urban areas, where they can
help conserve native grassland species and communities in urban greenspace.
However, they can also provide further footholds for potentially invasive alien
species that already characterize urban areas. In order to implement power line
corridors into urban conservation, it is important to understand which
environmental conditions in the corridors favor grassland species and which alien
species. Likewise it is important to know whether similar environmental factors
in the corridors control the species composition of the two groups. We conducted
a vegetation study in a 43 kilometer long urban power line corridor network in
south-western Finland, and used generalized linear models and distance-based
redundancy analysis to determine which environmental factors best predict the
occurrence and composition of grassland and alien plant species in the corridors.
The results imply that old corridors on dry soils and steep slopes characterized
by a history as open areas and pastures are especially suitable for grassland
species. Corridors suitable for alien species, in turn, are characterized by
productive soils and abundant light and are surrounded by a dense urban fabric.
Factors controlling species composition in the two groups are somewhat
correlated, with the most important factors including light abundance, soil
moisture, soil calcium concentration and soil productivity. The results have
implications for grassland conservation and invasive alien species control in
urban areas.
PMID- 26565701
TI - Correction: Clinical Trial of Prophylactic Extended-Field Carbon-Ion Radiotherapy
for Locally Advanced Uterine Cervical Cancer (Protocol 0508).
PMID- 26565702
TI - Integrating Personalized Medicine in the Canadian Environment: Efforts
Facilitating Oncology Clinical Research.
AB - There is currently a rapid evolution of clinical practices based on the
introduction of patient stratification and molecular diagnosis that is likely to
improve health outcomes. Building on a strong research base, complemented by
strong support from clinicians and health authorities, the oncology field is at
the forefront of this evolution. Yet, clinical research is still facing many
challenges that need to be addressed in order to conduct necessary studies and
effectively translate medical breakthroughs based on personalized medicine into
standards of care. Leveraging its universal health care system and on resources
developed to support oncology clinical research, Canada is well positioned to
join the international efforts deployed to address these challenges. Available
resources include a broad range of structures and funding mechanisms, ranging
from direct clinical trial support to post-marketing surveillance. Here, we
propose a clinical model for the introduction of innovation for precision
medicine in oncology that starts with patients' and clinicians' unmet needs to
initiate a cycle of discovery, validation, translation and sustainability
development.
PMID- 26565703
TI - What's New in Shock, December 2015?
PMID- 26565705
TI - Refrigerated Platelets for the Treatment of Acute Bleeding: A Review of the
Literature and Reexamination of Current Standards: Reply.
PMID- 26565704
TI - Hydrogen-Rich Saline Attenuates Lipopolysaccharide-Induced Heart Dysfunction by
Restoring Fatty Acid Oxidation in Rats by Mitigating C-Jun N-Terminal Kinase
Activation.
AB - Sepsis is common in intensive care units (ICU) and is associated with high
mortality. Cardiac dysfunction complicating sepsis is one of the most important
causes of this mortality. This dysfunction is due to myocardial inflammation and
reduced production of energy by the heart. A number of studies have shown that
hydrogen-rich saline (HRS) has a beneficial effect on sepsis. Therefore, we
tested whether HRS prevents cardiac dysfunction by increasing cardiac energy.
Four groups of rats received intraperitoneal injections of one of the following
solutions: normal saline (NS), HRS, lipopolysaccharide (LPS), and LPS plus HRS.
Cardiac function was measured by echocardiography 8 h after the injections. Gene
and protein expression related to fatty acid oxidation (FAO) were measured by
quantitative polymerase chain reaction (PCR) and Western blot analysis. The
injection of LPS compromised heart function through decreased fractional
shortening (FS) and increased left ventricular diameter (LVD). The addition of
HRS increased FS, palmitate triphosphate, and the ratio of phosphocreatinine
(PCr) to adenosine triphosphate (ATP) as well as decreasing LVD. The LPS
challenge reduced the expression of genes related to FAO, including perioxisome
proliferator-activated receptor gamma coactivator 1-alpha (PGC-1alpha),
perioxisome proliferator-activated receptor alpha (PPARalpha), Estrogen-related
receptor alpha (ERRalpha), and their downstream targets, in mRNA and protein
level, which were attenuated by HRS. However, HRS had little effect on glucose
metabolism. Furthermore, HRS inhibited c-Jun N-terminal kinase (JNK) activation
in the rat heart. Inhibition of JNK by HRS showed beneficial effects on LPS
challenged rats, at least in part, by restoring cardiac FAO.
PMID- 26565706
TI - Mediating Water Temperature Increases Due to Livestock and Global Change in High
Elevation Meadow Streams of the Golden Trout Wilderness.
AB - Rising temperatures due to climate change are pushing the thermal limits of many
species, but how climate warming interacts with other anthropogenic disturbances
such as land use remains poorly understood. To understand the interactive effects
of climate warming and livestock grazing on water temperature in three high
elevation meadow streams in the Golden Trout Wilderness, California, we measured
riparian vegetation and monitored water temperature in three meadow streams
between 2008 and 2013, including two "resting" meadows and one meadow that is
partially grazed. All three meadows have been subject to grazing by cattle and
sheep since the 1800s and their streams are home to the imperiled California
golden trout (Oncorhynchus mykiss aguabonita). In 1991, a livestock exclosure was
constructed in one of the meadows (Mulkey), leaving a portion of stream ungrazed
to minimize the negative effects of cattle. In 2001, cattle were removed
completely from two other meadows (Big Whitney and Ramshaw), which have been in a
"resting" state since that time. Inside the livestock exclosure in Mulkey, we
found that riverbank vegetation was both larger and denser than outside the
exclosure where cattle were present, resulting in more shaded waters and cooler
maximal temperatures inside the exclosure. In addition, between meadows
comparisons showed that water temperatures were cooler in the ungrazed meadows
compared to the grazed area in the partially grazed meadow. Finally, we found
that predicted temperatures under different global warming scenarios were likely
to be higher in presence of livestock grazing. Our results highlight that land
use can interact with climate change to worsen the local thermal conditions for
taxa on the edge and that protecting riparian vegetation is likely to increase
the resiliency of these ecosystems to climate change.
PMID- 26565708
TI - Factors That Predict Satisfaction With Medical Care: Data From 27,212 Injured
Workers Surveyed for 14 Years.
AB - OBJECTIVE: the aim of this study is to report satisfaction rates and identify
factors predicting satisfaction in the Pennsylvania Workers' Compensation (WC)
system. METHODS: Cross-sectional survey data on satisfaction and care experience
of injured workers were collected annually for 14 years with 27,712 injured
workers responding. RESULTS: The overall response rate was 19.44%. The overall
proportion of satisfied workers was 83.97% with a range of 80.43% to 88.13%.
Obtaining a correct initial diagnosis [odds ratio (OR) = 4.26], explanation of WC
rights (OR = 2.58), and physician explanation of treatment options (OR = 1.83)
most strongly predicted satisfaction. CONCLUSIONS: Injured worker satisfaction
with their medical care exceeded 80% in all years surveyed. Patient perception of
a correct initial diagnosis, explanation of WC rights, and explanation of
treatment options were the strongest predictors of satisfaction during the 14
year study period.
PMID- 26565707
TI - Endocardial Endothelial Dysfunction Progressively Disrupts Initially Anti then
Pro-Thrombotic Pathways in Heart Failure Mice.
AB - OBJECTIVE: An experimental model of endocardial thrombosis has not been developed
and endocardial endothelial dysfunction in heart failure (HF) is understudied. We
sought to determine whether disruption of the endothelial anti-coagulant
activated protein C (APC) pathway in CREBA133 HF mice promotes endocardial
thrombosis in the acute decompensated phase of the disease, and whether
alterations in von Willebrand factor (vWF) secretion from HF endocardium reduces
thrombus formation as HF stabilizes. APPROACH AND RESULTS: Echocardiography was
used to follow HF development and to detect endocardial thrombi in CREBA133 mice.
Endocardial thrombi incidence was confirmed with immunohistochemistry and
histology. In early and acute decompensated phases of HF, CREBA133 mice had the
highest incidence of endocardial thrombi and these mice also had a shorter tail
bleeding index consistent with a pro-thrombotic milieu. Both APC generation, and
expression of receptors that promote APC function (thrombomodulin, endothelial
protein C receptor, protein S), were suppressed in the endocardium of acute
decompensated HF mice. However, in stable compensated HF mice, an attenuation
occurred for vWF protein content and secretion from endocardial endothelial
cells, vWF-dependent platelet agglutination (by ristocetin), and thrombin
generation on the endocardial surface. CONCLUSIONS: CREBA133 mice develop HF and
endocardial endothelial dysfunction. Attenuation of the anti-coagulant APC
pathway promotes endocardial thrombosis in early and acute decompensated phases
of HF. However, in stable compensated HF mice, disruptions in endothelial vWF
expression and extrusion may actually reduce the incidence of endocardial
thrombosis.
PMID- 26565709
TI - Is There an Economic Case for Training Intervention in the Manual Material
Handling Sector of Developing Countries?
AB - OBJECTIVE: To estimate cost-effectiveness ratios and net costs of a training
intervention to reduce morbidity among porters who carry loads without mechanical
assistance in a developing country informal sector setting. METHODS: Pre- and
post-intervention survey data (n = 100) were collected in a prospective study:
differences in physical/mental composite scores and pain scale scores were
computed. Costs and economic benefits of the intervention were monetized with a
net-cost model. RESULTS: Significant changes in physical composite scores (2.5),
mental composite scores (3.2), and pain scale scores (-1.0) led to cost
effectiveness ratios of $6.97, $5.41, and $17.91, respectively. Multivariate
analysis showed that program adherence enhanced effectiveness. The net cost of
the intervention was -$5979.00 due to a reduction in absenteeism. CONCLUSIONS:
Workplace ergonomic training is cost-effective and should be implemented wherein
other engineering-control interventions are precluded due to infrastructural
constraints.
PMID- 26565710
TI - Interaction of the N-(3-Methylpyridin-2-yl)amide Derivatives of Flurbiprofen and
Ibuprofen with FAAH: Enantiomeric Selectivity and Binding Mode.
AB - BACKGROUND: Combined fatty acid amide hydrolase (FAAH) and cyclooxygenase (COX)
inhibition is a promising approach for pain-relief. The Flu-AM1 and Ibu-AM5
derivatives of flurbiprofen and ibuprofen retain similar COX-inhibitory
properties and are more potent inhibitors of FAAH than the parent compounds.
However, little is known as to the nature of their interaction with FAAH, or to
the importance of their chirality. This has been explored here.
METHODOLOGY/PRINCIPAL FINDINGS: FAAH inhibitory activity was measured in rat
brain homogenates and in lysates expressing either wild-type or FAAH(T488A)
mutated enzyme. Molecular modelling was undertaken using both docking and
molecular dynamics. The (R)- and (S)-enantiomers of Flu-AM1 inhibited rat FAAH
with similar potencies (IC50 values of 0.74 and 0.99 MUM, respectively), whereas
the (S)-enantiomer of Ibu-AM5 (IC50 0.59 MUM) was more potent than the (R)
enantiomer (IC50 5.7 MUM). Multiple inhibition experiments indicated that both
(R)-Flu-AM1 and (S)-Ibu-AM5 inhibited FAAH in a manner mutually exclusive to
carprofen. Computational studies indicated that the binding site for the Flu-AM1
and Ibu-AM5 enantiomers was located between the acyl chain binding channel and
the membrane access channel, in a site overlapping the carprofen binding site,
and showed a binding mode in line with that proposed for carprofen and other non
covalent ligands. The potency of (R)-Flu-AM1 was lower towards lysates expressing
FAAH mutated at the proposed carprofen binding area than in lysates expressing
wild-type FAAH. CONCLUSIONS/SIGNIFICANCE: The study provides kinetic and
structural evidence that the enantiomers of Flu-AM1 and Ibu-AM5 bind in the
substrate channel of FAAH. This information will be useful in aiding the design
of novel dual-action FAAH: COX inhibitors.
PMID- 26565711
TI - Early-Onset Central Diabetes Insipidus due to Compound Heterozygosity for AVP
Mutations.
AB - BACKGROUND: Genetic cases of isolated central diabetes insipidus are rare, are
mostly due to dominant AVP mutations and have a delayed onset of symptoms. Only 3
consanguineous pedigrees with a recessive form have been published. CASE REPORT:
A boy with a negative family history presented polyuria and failure to thrive in
the first months of life and was diagnosed with central diabetes insipidus.
Magnetic resonance imaging showed a normal posterior pituitary signal. A
molecular genetic analysis of the AVP gene showed that he had inherited a
previously reported mutation from his Lebanese father and a novel A>G transition
in the splice acceptor site of intron 1 (IVS1-2A>G) from his French-Canadian
mother. Replacement therapy resulted in the immediate disappearance of symptoms
and in weight gain. CONCLUSIONS: The early polyuria in recessive central diabetes
insipidus contrasts with the delayed presentation in patients with monoallelic
AVP mutations. This diagnosis needs to be considered in infants with very early
onset of polyuria-polydipsia and no brain malformation, even if there is no
consanguinity and regardless of whether the posterior pituitary is visible or not
on imaging. In addition to informing family counseling, making a molecular
diagnosis eliminates the need for repeated imaging studies.
PMID- 26565712
TI - Synergic Effect between Adsorption and Photocatalysis of Metal-Free g-C3N4
Derived from Different Precursors.
AB - Graphitic carbon nitride (g-C3N4) used in this work was obtained by heating
dicyandiamide and melamine, respectively, at different temperatures. The
differences of g-C3N4 derived from different precursors in phase composition,
functional group, surface morphology, microstructure, surface property, band gap
and specific surface area were investigated by X-ray diffraction, Fourier
transform infrared spectroscopy, scanning electron microscopy, transmission
electron microscopy, X-ray photoelectron spectroscopy, UV-visible diffuse
reflection spectroscopy and BET surface area analyzer, respectively. The
photocatalytic discoloration of an active cationic dye, Methylene Blue (MB) under
visible-light irradiation indicated that g-C3N4 derived from melamine at 500
degrees C (CN-M500) had higher adsorption capacity and better photocatalytic
activity than that from dicyandiamide at 500 degrees C (CN-D500), which was
attributed to the larger surface area of CN-M500. MB discoloration ratio over CN
M500 was affected by initial MB concentration and photocatalyst dosage. After 120
min reaction time, the blue color of MB solution disappeared completely.
Subsequently, based on the measurement of the surface Zeta potentials of CN-M500
at different pHs, an active anionic dye, Methyl Orange (MO) was selected as the
contrastive target pollutant with MB to reveal the synergic effect between
adsorption and photocatalysis. Finally, the photocatalytic mechanism was
discussed.
PMID- 26565713
TI - Anti-VEGF Pharmacotherapy as an Alternative to Panretinal Laser Photocoagulation
for Proliferative Diabetic Retinopathy.
PMID- 26565716
TI - A Phase 1 Trial to Assess the Safety, Acceptability, Pharmacokinetics, and
Pharmacodynamics of a Novel Dapivirine Vaginal Film.
AB - BACKGROUND: Films may deliver antiretroviral drugs efficiently to mucosal
tissues. In this first in-human trial of a vaginal film for delivering the
nonnucleoside reverse transcriptase inhibitor dapivirine, safety,
pharmacokinetics, and pharmacodynamics of film and gel formulations were compared
with placebo. METHODS: Sixty-one healthy HIV-negative women were randomized to
daily dapivirine (0.05%) or placebo gel, or dapivirine (1.25 mg) or placebo film
for seven days. The proportion of participants experiencing grade 2 and higher
adverse events related to study product were compared. Plasma dapivirine
concentrations were quantified. Paired cervical and vaginal tissue biopsies
obtained ~2 hours after the last dose were measured for tissue drug concentration
and exposed to HIV in an ex vivo challenge assay. RESULTS: Two grade 2 related
adverse events occurred in the placebo film group. Women randomized to gel and
film products had 4 log10 higher of dapivirine in cervical and vaginal tissues
than plasma. Although gel and film users had comparable plasma dapivirine
concentrations, tissue concentrations of dapivirine were 3-5 times higher in the
gel users when compared with film users. HIV replication in the ex vivo challenge
assay was significantly reduced in vaginal tissues from women randomized to
dapivirine film or gel; furthermore, tissue drug concentrations were highly
correlated with HIV protection. Women rated the film more comfortable with less
leakage but found it more difficult to insert than gel. DISCUSSION: Both film and
gel delivered dapivirine at concentrations sufficient to block HIV ex vivo. This
proof-of-concept study suggests film formulations for microbicides merit further
investigation.
PMID- 26565717
TI - Ovulation Statuses of Surrogate Gilts Are Associated with the Efficiency of
Excellent Pig Cloning.
AB - Somatic cell nuclear transfer (SCNT) is an assisted reproductive technique that
can produce multiple copies of excellent livestock. However, low cloning
efficiency limits the application of SCNT. In this study, we systematically
investigated the major influencing factors related to the overall cloning
efficiency in pigs. Here, 13620 cloned embryos derived from excellent pigs were
transferred into 79 surrogate gilts, and 119 live cloned piglets were eventually
generated. During cloning, group of cloned embryos derived from excellent
Landrace or Large white pigs presented no significant differences of cleavage and
blastocyst rates, blastocyst cell numbers, surrogate pregnancy and delivery
rates, average numbers of piglets born and alive and cloning efficiencies, and
group of 101-150, 151-200 or 201-250 cloned embryos transferred per surrogate
also displayed a similar developmental efficiency. When estrus stage of surrogate
gilts was compared, group of embryo transfer on Day 2 of estrus showed
significantly higher pregnancy rate, delivery rate, average number of piglets
born, average alive piglet number or cloning efficiency than group on Day 1, Day
3, Day 4 or Day 5, respectively (P<0.05). And, in comparison with the
preovulation and postovulation groups, group of surrogate gilts during
periovulation displayed a significantly higher overall cloning efficiency
(P<0.05). Further investigation of surrogate estrus stage and ovulation status
displayed that ovulation status was the real factor underlying estrus stage to
determine the overall cloning efficiency. And more, follicle puncture for
preovulation, not transfer position shallowed for preovulation or deepened for
postovulation, significantly improved the average number of piglets alive and
cloning efficiency (P<0.05). In conclusion, our results demonstrated that
ovulation status of surrogate gilts was the fundamental factor determining the
overall cloning efficiency of excellent pigs, and follicle puncture, not transfer
position change, improved cloning efficiency. This work would have important
implications in preserving and breeding excellent livestock and improving the
overall cloning efficiency.
PMID- 26565718
TI - A trigonal prismatic Cu6-pyrazolato complex containing a MU6-F ligand.
AB - The encapsulation of a fluoride ion in a trigonal prismatic CuII6-pyrazolato cage
results in a small expansion of the Cu6-host. The structural, electronic and
magnetic features of the Cu6-complex, containing an endohedral fluoride in the
rare MU6-F coordination mode, are compared with those of the parent complex with
a vacant Cu6-cage.
PMID- 26565719
TI - Ancient Genetic Signatures of Orang Asli Revealed by Killer Immunoglobulin-Like
Receptor Gene Polymorphisms.
AB - The aboriginal populations of Peninsular Malaysia, also known as Orang Asli (OA),
comprise three major groups; Semang, Senoi and Proto-Malays. Here, we analyzed
for the first time KIR gene polymorphisms for 167 OA individuals, including those
from four smallest OA subgroups (Che Wong, Orang Kanaq, Lanoh and Kensiu) using
polymerase chain reaction-sequence specific primer (PCR-SSP) analyses. The
observed distribution of KIR profiles of OA is heterogenous; Haplotype B is the
most frequent in the Semang subgroups (especially Batek) while Haplotype A is the
most common type in the Senoi. The Semang subgroups were clustered together with
the Africans, Indians, Papuans and Australian Aborigines in a principal component
analysis (PCA) plot and shared many common genotypes (AB6, BB71, BB73 and BB159)
observed in these other populations. Given that these populations also display
high frequencies of Haplotype B, it is interesting to speculate that Haplotype B
may be generally more frequent in ancient populations. In contrast, the two Senoi
subgroups, Che Wong and Semai are displaced toward Southeast Asian and African
populations in the PCA scatter plot, respectively. Orang Kanaq, the smallest and
the most endangered of all OA subgroups, has lost some degree of genetic
variation, as shown by their relatively high frequency of the AB2 genotype (0.73)
and a total absence of KIR2DL2 and KIR2DS2 genes. Orang Kanaq tradition that
strictly prohibits intermarriage with outsiders seems to have posed a serious
threat to their survival. This present survey is a demonstration of the value of
KIR polymorphisms in elucidating genetic relationships among human populations.
PMID- 26565720
TI - The topochemical synthesis of triazole-linked homobasic DNA.
AB - Triazolyl-DNA ((TL)DNA), a DNA-analog wherein phosphodiester units are replaced
by triazole motifs, is of great interest. We have synthesized (TL)DNA oligomers
by adopting the Topochemical Azide-Alkyne Cycloaddition (TAAC) reaction. A
nucleoside decorated with the azide and alkyne units crystallized with the
proximal placement of the azide and alkyne units of the adjacent molecules and
underwent the TAAC reaction to form (TL)DNA oligomers.
PMID- 26565721
TI - Genomic responses to hepatitis B virus (HBV) infection in primary human
hepatocytes.
AB - Viral infections are able to modify the host's cellular programs, with DNA
methylation being a biological intermediate in this process. The extent to which
viral infections deregulate gene expression and DNA methylation is not fully
understood. In the case of Hepatitis B virus (HBV), there is evidence for an
interaction between viral proteins and the host DNA methylation machinery. We
studied the ability of HBV to modify the host transcriptome and methylome, using
naturally infected primary human hepatocytes to better mimic the clinical
setting.Gene expression was especially sensitive to culture conditions,
independently of HBV infection. However, we identified non-random changes in gene
expression and DNA methylation occurring specifically upon HBV infection. There
was little correlation between expression and methylation changes, with
transcriptome being a more sensitive marker of time-dependent changes induced by
HBV. In contrast, a set of differentially methylated sites appeared early and
were stable across the time course experiment. Finally, HBV-induced DNA
methylation changes were defined by a specific chromatin context characterized by
CpG-poor regions outside of gene promoters.These data support the ability of HBV
to modulate host cell expression and methylation programs. In addition, it may
serve as a reference for studies addressing the genome-wide consequences of HBV
infection in human hepatocytes.
PMID- 26565722
TI - Decreases in average bacterial community rRNA operon copy number during
succession.
AB - Trait-based studies can help clarify the mechanisms driving patterns of microbial
community assembly and coexistence. Here, we use a trait-based approach to
explore the importance of rRNA operon copy number in microbial succession,
building on prior evidence that organisms with higher copy numbers respond more
rapidly to nutrient inputs. We set flasks of heterotrophic media into the
environment and examined bacterial community assembly at seven time points.
Communities were arrayed along a geographic gradient to introduce stochasticity
via dispersal processes and were analyzed using 16 S rRNA gene pyrosequencing,
and rRNA operon copy number was modeled using ancestral trait reconstruction. We
found that taxonomic composition was similar between communities at the beginning
of the experiment and then diverged through time; as well, phylogenetic
clustering within communities decreased over time. The average rRNA operon copy
number decreased over the experiment, and variance in rRNA operon copy number was
lowest both early and late in succession. We then analyzed bacterial community
data from other soil and sediment primary and secondary successional sequences
from three markedly different ecosystem types. Our results demonstrate that
decreases in average copy number are a consistent feature of communities across
various drivers of ecological succession. Importantly, our work supports the
scaling of the copy number trait over multiple levels of biological organization,
ranging from cells to populations and communities, with implications for both
microbial ecology and evolution.
PMID- 26565723
TI - The dual oxidase gene BdDuox regulates the intestinal bacterial community
homeostasis of Bactrocera dorsalis.
AB - The guts of metazoans are in permanent contact with the microbial realm that
includes beneficial symbionts, nonsymbionts, food-borne microbes and life
threatening pathogens. However, little is known concerning how host immunity
affects gut bacterial community. Here, we analyze the role of a dual oxidase gene
(BdDuox) in regulating the intestinal bacterial community homeostasis of the
oriental fruit fly Bactrocera dorsalis. The results showed that knockdown of
BdDuox led to an increased bacterial load, and to a decrease in the relative
abundance of Enterobacteriaceae and Leuconostocaceae bacterial symbionts in the
gut. The resulting dysbiosis, in turn, stimulates an immune response by
activating BdDuox and promoting reactive oxygen species (ROS) production that
regulates the composition and structure of the gut bacterial community to normal
status by repressing the overgrowth of minor pathobionts. Our results suggest
that BdDuox plays a pivotal role in regulating the homeostasis of the gut
bacterial community in B. dorsalis.
PMID- 26565724
TI - Lipid remodelling is a widespread strategy in marine heterotrophic bacteria upon
phosphorus deficiency.
AB - Upon phosphorus (P) deficiency, marine phytoplankton reduce their requirements
for P by replacing membrane phospholipids with alternative non-phosphorus lipids.
It was very recently demonstrated that a SAR11 isolate also shares this
capability when phosphate starved in culture. Yet, the extent to which this
process occurs in other marine heterotrophic bacteria and in the natural
environment is unknown. Here, we demonstrate that the substitution of membrane
phospholipids for a variety of non-phosphorus lipids is a conserved response to P
deficiency among phylogenetically diverse marine heterotrophic bacteria,
including members of the Alphaproteobacteria and Flavobacteria. By deletion
mutagenesis and complementation in the model marine bacterium Phaeobacter sp.
MED193 and heterologous expression in recombinant Escherichia coli, we confirm
the roles of a phospholipase C (PlcP) and a glycosyltransferase in lipid
remodelling. Analyses of the Global Ocean Sampling and Tara Oceans metagenome
data sets demonstrate that PlcP is particularly abundant in areas characterized
by low phosphate concentrations. Furthermore, we show that lipid remodelling
occurs seasonally and responds to changing nutrient conditions in natural
microbial communities from the Mediterranean Sea. Together, our results point to
the key role of lipid substitution as an adaptive strategy enabling heterotrophic
bacteria to thrive in the vast P-depleted areas of the ocean.
PMID- 26565725
TI - Inhibitory bacteria reduce fungi on early life stages of endangered Colorado
boreal toads (Anaxyrus boreas).
AB - Increasingly, host-associated microbiota are recognized to mediate pathogen
establishment, providing new ecological perspectives on health and disease.
Amphibian skin-associated microbiota interact with the fungal pathogen,
Batrachochytrium dendrobatidis (Bd), but little is known about microbial turnover
during host development and associations with host immune function. We surveyed
skin microbiota of Colorado's endangered boreal toads (Anaxyrus boreas), sampling
181 toads across four life stages (tadpoles, metamorphs, subadults and adults).
Our goals were to (1) understand variation in microbial community structure among
individuals and sites, (2) characterize shifts in communities during development
and (3) examine the prevalence and abundance of known Bd-inhibitory bacteria. We
used high-throughput 16S and 18S rRNA gene sequencing (Illumina MiSeq) to
characterize bacteria and microeukaryotes, respectively. Life stage had the
largest effect on the toad skin microbial community, and site and Bd presence
also contributed. Proteobacteria dominated tadpole microbial communities, but
were later replaced by Actinobacteria. Microeukaryotes on tadpoles were dominated
by the classes Alveolata and Stramenopiles, while fungal groups replaced these
groups after metamorphosis. Using a novel database of Bd-inhibitory bacteria, we
found fewer Bd-inhibitory bacteria in post-metamorphic stages correlated with
increased skin fungi, suggesting that bacteria have a strong role in early
developmental stages and reduce skin-associated fungi.
PMID- 26565727
TI - Italian Registries on Bleeding Disorders.
PMID- 26565726
TI - Treatment of dextran sodium sulfate-induced experimental colitis by adoptive
transfer of peritoneal cells.
AB - The adoptive transfer of the natural regulatory B cells and macrophages should be
a useful treatment for inflammation and autoimmune disease. However, it is
usually difficult to isolate these cells from the tissues and expand them. Here,
we investigated the feasibility of adoptively transferring peritoneal cells (PCs)
as a treatment for DSS-induced colitis. We found that peritoneal cavity can
provide an easily accessible site for harvesting enough number of PCs, namely,
two-dose PCs for the treatment from a mouse in one operation. Adoptive therapy of
these cells from healthy mice or those with disease is effectively in reducing
the disease activity score. The natural B cells and macrophages of the infused
PCs can selectively migrate to lesion sites and regulate the expression of Stat3,
NF-kappaB, Smad3 and Smad7. Additionally, PCs exert dual activity of IL-10 and
TGF-beta secreted spontaneously by both peritoneal B cells and macrophages, which
in turn enhance the induction of regulatory B cells and Macrophages in
microenvironment of inflammation. Moreover, PCs can re-establish immunological
tolerance in the OVA-immunized mice. Thus, our findings provide a new strategy
for colitis therapy and could be of importance in additional exploration of other
inflammation and autoimmune diseases therapy.
PMID- 26565728
TI - Narrow subpubic arch angle is associated with higher risk of persistent occiput
posterior position at delivery.
AB - OBJECTIVE: To determine whether the subpubic arch angle (SPA) measured by three
dimensional ultrasound is associated with the fetal occiput position at delivery
and the mode of delivery. METHODS: Nulliparous women with an uncomplicated
singleton pregnancy at >= 37 weeks' gestation were recruited from two tertiary
centers between September 2013 and August 2015. All women underwent a three
dimensional transperineal ultrasound examination and the SPA was measured using
the previously validated Oblique View Extended Imaging software. Data on the
outcome of labor were obtained prospectively in all cases and the correlations
between SPA and the fetal occiput position at delivery and the incidence of
operative delivery were investigated. RESULTS: Overall, 368 women were included
in the study. Fetal position at delivery was occiput anterior in 339 (92.1%)
cases and occiput posterior (OP) in 29 (7.9%) cases. A significantly narrower SPA
was found in the OP group compared with the occiput anterior group (104.4 +/-
16.8 degrees vs 116.4 +/- 11.9 degrees ; P < 0.0001). The SPA was significantly
narrower in women requiring obstetric intervention compared with in women with a
spontaneous vaginal delivery. From multivariable logistic regression analysis,
SPA and maternal height appeared to be significant predictors of both the fetal
occiput position at delivery and the risk of operative delivery. The best cut-off
value of SPA for predicting an OP position at delivery was 90.5 degrees .
CONCLUSION: A narrow SPA is associated with a higher risk of persistent OP
position at delivery and of operative delivery. Copyright (c) 2015 ISUOG.
Published by John Wiley & Sons Ltd.
PMID- 26565729
TI - Assessment of obstetric brachial plexus injury with preoperative ultrasound.
AB - INTRODUCTION: Tools used in the assessment of obstetric brachial plexus injuries
(OBPIs) have traditionally included electrodiagnostic studies, computerized
tomography with myelography, and MRI. However, the utility of ultrasound (US) in
infants for such assessment has not been extensively examined. METHODS: This
retrospective case series reports the preoperative brachial plexus US findings in
8 patients with OBPI and compares US with intraoperative findings. When
available, the preoperative US was compared with the preoperative MRI. RESULTS:
US revealed abnormalities in all 8 patients. Although MRI detected abnormalities
in the majority of patients, US provided accurate information regarding severity
and anatomic location of injury in some patients. CONCLUSIONS: US is a relatively
inexpensive, noninvasive, painless diagnostic modality that can be used to assess
OBPI. This case series suggests that US is a valuable adjunct to current
diagnostic modalities. Muscle Nerve 53: 946-950, 2016.
PMID- 26565731
TI - Women's hairstyle and men's behavior: A field experiment.
AB - Little research has examined the effect of women's hairstyles on people's
behavior. In a field study, male and female passersby, walking alone in the
street, were observed while walking behind a female-confederate who dropped a
glove and apparently was unaware of her loss. The confederate had long dark hair
arranged in three different hairstyles: one with her hair falling naturally on
her shoulders and her back, one with her hair tied in a ponytail, and one with
her hair twisted in a bun. Results reported that the hairstyle had no effect on
female passersby's helping behavior. However, it was found that the hairstyle
influenced male passersby with men helping the confederate more readily when her
hair fell naturally on her neck, shoulders and upper back.
PMID- 26565730
TI - Advances in Mycotoxin Research: Public Health Perspectives.
AB - Aflatoxins, ochratoxins, fumonisins, deoxynivalenol, and zearalenone are of
significant public health concern as they can cause serious adverse effects in
different organs including the liver, kidney, and immune system in humans. These
toxic secondary metabolites are produced by filamentous fungi mainly in the genus
Aspergillus, Penicillium, and Fusarium. It is challenging to control the
formation of mycotoxins due to the worldwide occurrence of these fungi in food
and the environment. In addition to raw agricultural commodities, mycotoxins tend
to remain in finished food products as they may not be destroyed by conventional
processing techniques. Hence, much of our concern is directed to chronic health
effects through long-term exposure to one or multiple mycotoxins from
contaminated foods. Ideally risk assessment requires a comprehensive data,
including toxicological and epidemiological studies as well as surveillance and
exposure assessment. Setting of regulatory limits for mycotoxins is considered
necessary to protect human health from mycotoxin exposure. Although advances in
analytical techniques provide basic yet critical tool in regulation as well as
all aspects of scientific research, it has been acknowledged that different forms
of mycotoxins such as analogs and conjugated mycotoxins may constitute a
significant source of dietary exposure. Further studies should be warranted to
correlate mycotoxin exposure and human health possibly via identification and
validation of suitable biomarkers.
PMID- 26565732
TI - Modified CBT using visualization for Autism Spectrum Disorder (ASD), anxiety and
avoidance behavior--a quasi-experimental open pilot study.
AB - In recent studies it has been suggested that Cognitive Behavior Therapy (CBT) is
beneficial to people with Autism Spectrum Disorder (ASD) but that the method
needs to be modified in relation to their cognitive profile. The aim of this
study is to measure the effect of modified CBT, that is, using visualized
language throughout the entire session for clients with ASD and anxiety and
avoidance behavior. The modification of CBT in this study consists of focusing on
CBT protocols for anxiety disorders and depression, while visualizing and
systematizing "the invisible" in the conversation, in order for the clients to
understand the social, cognitive and emotional context of self and others and how
they should interact to avoid misunderstandings. ASD clients may need help to
detect the invisible code of social interaction and communication. The level of
anxiety and the frequency of target behavior were measured. Four assessments were
made, two at the pre-assessment, and one in mid-therapy and end of therapy
respectively. Generally, results suggest no improvement during pre-treatment
period but a significant improvement during treatment. The values of the clients'
psychological, social and occupational ability to function improved on the Global
Function Rating scale. The preliminary conclusion of this pilot study indicates
that the use of visualized language throughout the CBT therapy sessions is a
promising modification of current CBT protocols for individuals with ASD. After
manualization, larger studies with randomized controlled study designs can
replicate or challenge these results.
PMID- 26565733
TI - Stability and predictors of psychopathic traits from mid-adolescence through
early adulthood.
AB - High levels of psychopathic traits in youth are associated with multiple negative
outcomes including substance misuse, aggressive behavior, and criminality.
Evidence regarding stability of psychopathic traits is contradictory. No previous
study has examined long-term stability of psychopathic traits assessed with
validated clinical measures. The present study examined the stability of
psychopathic traits from mid-adolescence to early adulthood and explored
adolescent factors that predicted psychopathic traits five years later. The
sample included 99 women and 81 men who had consulted a clinic for substance
misuse in adolescence. At an average age of 16.8 years, the adolescents were
assessed using the Psychopathy Checklist: Youth Version (PCL: YV) and five years
later using the PCL-Revised (PCL-R). Additionally, extensive clinical assessments
of the adolescents and their parents were completed in mid-adolescence. Among
both females and males, moderate to high rank-order stability was observed for
total PCL and facet scores. Among both females and males, there was a decrease in
the mean total PCL score, interpersonal facet score, affective facet score, and
lifestyle facet score. However, the great majority of females and males showed no
change in psychopathy scores over the five-year period as indicated by the
Reliable Change Index. Despite the measures of multiple family and individual
factors in adolescence, only aggressive behavior and male sex predicted PCL-R
total scores in early adulthood after taking account of PCL:YV scores. Taken
together, these results from a sample who engaged in antisocial behavior in
adolescence suggest that factors promoting high psychopathy scores act early in
life.
PMID- 26565734
TI - The relationships among gratitude, self-esteem, depression, and suicidal ideation
among undergraduate students.
AB - The main purpose of this study was to examine the relationships among gratitude,
self-esteem, depression, and suicidal ideation. In total, 814 undergraduate
participants (259 males and 555 females with mean age of 20.13 years) completed
four inventories measuring the variables of interest. Analyses of structural
equation modeling found that gratitude had direct effects on individuals' self
esteem, depression, and suicidal ideation. In addition, gratitude had indirect
effects on individuals' suicidal ideation via self-esteem and depression, and
self-esteem had direct effects on individuals' depression. These results support
the proposed model of suicidal ideation and contribute to the understanding of
how gratitude influences individuals' suicidal ideation via psychological and
physical variables.
PMID- 26565735
TI - Gay-related name-calling among Norwegian adolescents--harmful and harmless.
AB - Being called names such as "gay," "faggot," "lezzie" may be experienced as both
harmful or harmless by adolescents, depending on the situation in which the name
calling occurs. The aim of this study was to explore how being called gay-related
names by agents with whom the relationship is differentiated by friendship,
acquaintance status and perceived likeability is associated with depressive
symptoms, and to explore associations between gay-related name-calling, bullying
and depressive symptoms. The participants were 921 ninth grade pupils (450 boys)
with an age range from 14 to 15 years from 15 schools. The study reveals that the
participants' depressive symptoms were more associated with being called gay
related names by someone who did not like them or someone they did not know, than
with being called gay-related names by a friend. Being called gay-related names
was associated with higher levels of depressive symptoms, even when controlling
for bullying. Boys who were bullied and called gay-related names had even higher
levels of depressive symptoms, as indicated by an interaction effect found
between being called gay-related names and bullying. Because of the potential
harmfulness of gay-related name-calling, anti-bullying programmes should address
this topic as a part of their regular anti-bullying strategy.
PMID- 26565736
TI - Predictors of international students' psychological and sociocultural adjustment
to the context of reception while studying at Aarhus University, Denmark.
AB - The number of international students engaging in intercultural education and
thereby adjusting to cross-cultural transition has risen conspicuously as a
consequence of globalization and increased mobility. This process of
acculturation has been associated with increased creativity as well as adaptation
challenges. This paper investigates international students' psychological and
sociocultural adjustment to studying at Aarhus University in Denmark. Both
international students (n = 129) and domestic students (n = 111) participated in
the study. The international students did not report impaired psychological
conditions as compared to the control group of domestic students. However, the
international students reported a significantly lower level of social support.
Social support and perceived discrimination were significant predictors of both
psychological and sociocultural adjustment. Additionally, the level of English
proficiency alone predicted sociocultural adjustment. Values of vertical
individualism and horizontal collectivism predicted psychological adjustment.
Finally, integration was found to be a significantly more adaptive acculturation
orientation than separation in regard to sociocultural adjustment. These findings
were discussed in relation to relevant international research and it was
concluded that international students comprise a resourceful student sample and
that the international academic environment at Aarhus University appears to be an
adequately cultural and value-oriented good fit as a context of reception for the
multicultural engagement of international students.
PMID- 26565738
TI - Breast cancer risk by extent and type of atypical hyperplasia: An update from the
Nurses' Health Studies.
AB - BACKGROUND: Women with atypical hyperplasia (AH) on a benign breast biopsy
specimen are at increased risk for the development of breast cancer. However, the
relation between the type and extent of AH (atypical ductal hyperplasia [ADH] vs
atypical lobular hyperplasia [ALH]) and the magnitude of the breast cancer risk
is not well defined. METHODS: A nested case-control study of benign breast
disease and breast cancer risk was conducted. Women with breast cancer and prior
benign breast biopsy findings (488 cases) were matched to women with prior benign
breast biopsy findings who were free from breast cancer (1907 controls). Benign
breast biopsy slides were reviewed and categorized as nonproliferative,
proliferative without atypia, or AH (ADH or ALH). The number of foci of AH was
also recorded. RESULTS: Among women with ADH, the interrelation between the
extent of atypia and breast cancer risk was not significant (odds ratio [OR] for
1 or 2 foci, 3.5; 95% confidence interval [CI], 2.2-5.6; OR for >=3 foci, 2.7;
95% CI, 1.4-5.1; P = .41). Similarly, although the risk with ALH was higher for
those with >=3 foci than for those with <3 foci, the difference was not
statistically significant (OR for 1 or 2 foci, 5.2; 95% CI, 2.7-10.0; OR for >=3
foci, 8.0; 95% CI, 4.5-14.2; P = .19). CONCLUSIONS: This analysis demonstrates
that the extent of ADH or ALH does not significantly contribute to breast cancer
risk. The lack of a significant dose-response relation between the extent and
type of atypia and breast cancer risk suggests that it would be premature to use
the extent of atypia to influence management decisions for women with ADH or ALH.
PMID- 26565739
TI - Comparison between the perioperative results of single-access and conventional
laparoscopic surgery in rectal cancer.
AB - INTRODUCTION: Laparoscopic surgery for rectal cancer has low rates of morbidity
and mortality and achieves comparable pathologic outcomes. With improved
instruments and surgical techniques, many surgeons have recently begun using
single-access laparoscopic surgery (SALS) to minimize scars and pain. Since 2011,
most reports of SALS for rectal cancer have shown comparable pathologic outcomes
to those of conventional laparoscopic surgery (CLS). However, SALS is said to be
superior to CLS in reducing complications, producing less discomfort, and faster
recovery rates. This study aimed to compare the technical feasibility and early
postoperative outcomes of these approaches. METHODS: From January 2011 to January
2014, 78 cases of adenocarcinoma of the rectum and anal canal were enrolled in
the study. Anterior, low anterior, intersphincteric, and abdominoperineal
resections were performed. Data collected included technical feasibility and
outcomes of operation, such as morbidity, mortality, severity of pain, analgesic
usage, and length of hospital stay. RESULTS: SALS was performed on 35 patients,
and CLS was performed in 36 cases. Demographic data, including age, sex, BMI, ASA
classification and clinical staging, were similar between the groups. Operative
time, blood loss, and conversion rate were similar (P > 0.05). Postoperatively,
the only significant difference between the groups was pain score, which was
significantly lower in the SALS group (P < 0.001). CONCLUSION: SALS and CLS for
rectal and anal cancer had the same intraoperative, pathologic, and early
postoperative results. However, SALS patients had slightly better pain scores in
the first 24 and 48 h postoperatively.
PMID- 26565740
TI - A survey of neonicotinoid use and potential exposure to northern bobwhite
(Colinus virginianus) and scaled quail (Callipepla squamata) in the Rolling
Plains of Texas and Oklahoma.
AB - Northern bobwhite (quail) (Colinus virginianus) and scaled quail (Callipepla
squamata) populations have declined dramatically in the Rolling Plains ecoregion
of Texas and Oklahoma (USA). There is rising concern about potential toxicity of
neonicotinoids to birds. To investigate this concern, the authors examined crops
of 81 northern bobwhite and 17 scaled quail to determine the presence or absence
of seeds treated with 3 neonicotinoids (clothianidin, imidacloprid, and
thiamethoxam). No treated seeds were found in the 98 crops examined. Liver
samples from all 98 quail were collected and analyzed for neonicotinoid residues.
Analysis revealed very low concentrations of neonicotinoids within the quail
liver samples. The results suggest there is little to no risk of direct toxicity
to quail from neonicotinoids. Environ Toxicol Chem 2016;35:1511-1515. (c) 2015
SETAC.
PMID- 26565741
TI - Repair of segmental mandibular bone defects in sheep using bone marrow stromal
cells and autologous serum scaffold: a pilot study.
AB - AIM: The aim of this study was to evaluate effective bone regeneration using an
autologous serum scaffold (alone or seeded with autologous bone marrow
mesenchymal stem cells, BM-MSCs), when implanted in a 30 mm length segmental
mandibular defect in sheep. MATERIALS AND METHODS: The bone defect was filled
either with serum scaffold alone (control group; n = 5) or combined with BM-MSCs
(experimental group; n = 10). Bone regeneration was determined at 12 (T12; 2
control sheep and 4 experimental sheep) and 32 weeks (T32; 3 control and 6
experimental sheep), as measured by computed and microcomputed tomography and
histological examination. RESULTS: Two sheep of the Experimental group died after
surgery. While complete bone union in the control group was only observed at T32,
it was observed both at T12 (1/4 sheep) and T32 (3/4 sheep) in the experimental
group. When properties/characteristics of new bone where compared, a better bone
quality, similar to native bone, was observed in the scaffold combined with BM
MSCs. CONCLUSIONS: Based on these results, we conclude that the serum scaffold
can promote efficient repair of large bone defects, but the combination with BM
MSCs accelerates this process, increasing significantly the amount and quality of
bone formed.
PMID- 26565742
TI - False-positive hepatitis C virus serology after placement of a ventricular
assistance device.
AB - BACKGROUND: Ventricular assist devices (VADs) have been associated with immune
activation and sensitization. We observed several cases of false-positive (FP)
hepatitis C virus (HCV) antibody (Ab) tests in patients being evaluated for
orthotopic heart transplant (OHT), prompting us to investigate this further.
METHODS: We reviewed all VAD and OHT cases at Johns Hopkins from 2005 to 2012. FP
HCV serology was defined as an equivocal or low-positive HCV Ab, plus either (i)
a negative recombinant immunoblot (RIBA) and/or HCV nucleic acid test (NAT), or
(ii) an indeterminate RIBA and negative NAT. RESULTS: In 53 patients with
available HCV testing, nearly 40% of patients (21/53: 39.6%) developed FP HCV Ab
tests after VAD placement: 4 patients had negative NAT, 12 had negative RIBA, and
5 had an indeterminate RIBA and negative NAT. All patients with indeterminate
RIBA tests had isolated reactivity to the same HCV protein, c100p/5-1-1p (NS4b
protein). In 3 of 4 VAD patients who had OHT and repeat HCV Ab testing after VAD
removal, repeat HCV Ab was negative (699-947 days after OHT); in 1 case, FP HCV
serology persisted (5 days after OHT). Thirteen patients had OHT alone and none
developed a FP HCV Ab. CONCLUSIONS: FP HCV Ab results following VAD placement are
very common. Reversal of FP serology in several patients after VAD removal is
suggestive of a possible association with the VAD hardware. Clinicians should be
aware of this phenomenon, as it could lead to delays in determining eligibility
for OHT and increased costs.
PMID- 26565743
TI - Structure, Spectroscopy, and Bonding within the Zn(q+)-Imidazole(n) (q = 0, 1, 2;
n = 1-4) Clusters and Implications for Zeolitic Imidazolate Frameworks and Zn
Enzymes.
AB - Using density functional theory (DFT) with dispersion correction and ab initio
post Hartree-Fock methods, we treat the bonding, the structure, the stability,
and the spectroscopy of the complexes between Zn(q+) and imidazole (Im),
Zn(q+)Imn (where q = 0, 1 and 2; n = 1-4). These entities are subunits of
zeolitic imidazolate frameworks (ZIFs) and Zn-enzymes, which possess relevant
roles in industrial and biological domains, respectively. We also investigate the
Imn (n = 2-4) clusters for comparison. For each species, we determine several new
structures that were not found previously. Our calculations show a competition
between atomic metal solvation, by either sigma-type interactions or pi-stacking
type interaction, and proton transfer through hydrogen bonding (H-bonding) in
charged species. This results in several geometrical environments around the
metal. These are connected with structural properties and the functional role of
Zn cation within ZIFs and Zn-enzymes. Moreover, we show that the Zn(2+)Imn
subunits do not absorb in the visible domain, which may be related to the
photostability of ZIFs. Our findings are important for the development of new
applications of ZIFs and metalloenzymes.
PMID- 26565744
TI - Pharmacodynamics and clinical efficacy of fentanyl iontophoretic transdermal
system for post-operative pain in hospitalized patients.
AB - INTRODUCTION: The fentanyl iontophoretic transdermal system (ITS) is a patient
controlled transdermal system allowing needle-free administration of on-demand
doses of Fentanyl of 40 ug over a 10-min period up to 80 doses or over a 24-h
period. It is indicated in opioid naive patients for the treatment of acute
postoperative pain in the hospitalized patients for up to 72 h. AREAS COVERED: It
has been demonstrated to be effective and safe in randomized trials and to
provide comparable analgesia versus morphine intravenous (i.v.) patient
controlled analgesia (PCA) with adverse events similar between groups. EXPERT
OPINION: Fentanyl ITS has shown high patient satisfaction rates, and was
described by patients and investigators as easy and convenient to use. These
properties make this technology interesting when considering perioperative pain
management. In the present health care environment additional data are required
to establish the cost-benefit ratio of this technology in optimizing patient's
recovery from surgery.
PMID- 26565745
TI - Benzazaborinines as Novel Bioisosteric Replacements of Naphthalene: Propranolol
as an Example.
AB - Two benzazaborinine analogues of propranolol were synthesized and extensively
profiled in vitro and in vivo. These analogues showed potency and physicochemical
and in vitro ADME-tox profiles comparable to propranolol. In addition, both
benzazaborinine analogues showed excellent bioavailability and brain penetration
following subcutaneous administration in a pharmacokinetic study in rats. These
studies unveil the potential of aromatic azaborinines as bioisosteric
replacements of naphthalene in drug discovery programs.
PMID- 26565747
TI - Ru Catalyst-Induced Perpendicular Magnetic Anisotropy in MgO/CoFeB/Ta/MgO
Multilayered Films.
AB - The high oxygen storage/release capability of the catalyst Ru is used to
manipulate the interfacial electronic structure in spintronic materials to obtain
perpendicular magnetic anisotropy (PMA). Insertion of an ultrathin Ru layer
between the CoFeB and Ta layers in MgO/CoFeB/Ta/MgO films effectively induces PMA
without annealing. Ru plays a catalytic role in Fe-O-Ta bonding and isolation at
the metal-oxide interface to achieve moderate interface oxidation. In contrast,
PMA cannot be obtained in the sample with a Mg insertion layer or without an
insertion layer because of the lack of a catalyst. Our work would provide a new
approach toward catalyst-induced PMA for future CoFeB-based spintronic device
applications.
PMID- 26565748
TI - Substrate Controlled Synthesis of Benzisoxazole and Benzisothiazole Derivatives
via PhI(OAc)2-Mediated Oxidation Followed by Intramolecular Oxidative O-N/S-N
Bond Formation.
AB - A phenyliodine(III) diacetate (PIDA)-mediated, highly efficient and tandem
approach for the synthesis of aryldiazenylisoxazolo(isothiazolo)arenes from
simple 2-amino-N'-arylbenzohydrazides has been developed. The reaction proceeds
via formation of (E)-(2-aminoaryl)(aryldiazenyl)methanone as the key
intermediate, followed by intramolecular oxidative O-N/S-N bond formation in one
pot at room temperature. The quiet different reactivity of the substrate is due
to the formation of a diazo intermediate which encounters a nucleophilic attack
by carbonyl oxygen on the electrophilic amine to produce isoxazole products, as
compared to the previous reportsa,b,4 in which an N-acylnitrenium ion
intermediate is intramolecularly trapped by an amine group.
PMID- 26565746
TI - Specific Binding of Tetratricopeptide Repeat Proteins to Heat Shock Protein 70
(Hsp70) and Heat Shock Protein 90 (Hsp90) Is Regulated by Affinity and
Phosphorylation.
AB - Heat shock protein 70 (Hsp70) and heat shock protein 90 (Hsp90) require the help
of tetratricopeptide repeat (TPR) domain-containing cochaperones for many of
their functions. Each monomer of Hsp70 or Hsp90 can interact with only a single
TPR cochaperone at a time, and each member of the TPR cochaperone family brings
distinct functions to the complex. Thus, competition for TPR binding sites on
Hsp70 and Hsp90 appears to shape chaperone activity. Recent structural and
biophysical efforts have improved our understanding of chaperone-TPR contacts,
focusing on the C-terminal EEVD motif that is present in both chaperones. To
better understand these important protein-protein interactions on a wider scale,
we measured the affinity of five TPR cochaperones, CHIP, Hop, DnaJC7, FKBP51, and
FKBP52, for the C-termini of four members of the chaperone family, Hsc70, Hsp72,
Hsp90alpha, and Hsp90beta, in vitro. These studies identified some surprising
selectivity among the chaperone-TPR pairs, including the selective binding of
FKBP51/52 to Hsp90alpha/beta. These results also revealed that other TPR
cochaperones are only able to weakly discriminate between the chaperones or
between their paralogs. We also explored whether mimicking phosphorylation of
serine and threonine residues near the EEVD motif might impact affinity and found
that pseudophosphorylation had selective effects on binding to CHIP but not other
cochaperones. Together, these findings suggest that both intrinsic affinity and
post-translational modifications tune the interactions between the Hsp70 and
Hsp90 proteins and the TPR cochaperones.
PMID- 26565749
TI - Barriers and Contributors to Breastfeeding in WIC Mothers: A Social Ecological
Perspective.
AB - BACKGROUND: In response to the Surgeon General's Call to Action to Support
Breastfeeding, the goal of this research was to assess the barriers and positive
contributors to breastfeeding initiation and duration in Special Supplemental
Nutrition Program for Women, Infants, and Children (WIC) participants using the
social ecological model (SEM). MATERIALS AND METHODS: A cross-sectional design
was used to survey WIC mothers (n = 283) in southern New Hampshire. Analysis of
breastfeeding initiation and duration revealed statistically significant results
primarily at the individual level of the SEM. Findings also showed influences at
the interpersonal, community, and organizational levels. There were significant
differences in beliefs toward breastfeeding between women who ever breastfed and
women who never breastfed. Women who ever breastfed were more likely to agree
that breastfeeding assists with losing baby weight (89% versus 77%; p = 0.03),
babies fed breastmilk are less likely to get sick (86% versus 74%; p = 0.04), and
breastfeeding helps mothers bond with their babies more quickly than formula
feeding (88% versus 72%; p < 0.01). Breastfeeding duration was significantly
related to employment status; among women who breastfed for 6 months or longer,
15% were employed full-time, 30% worked part-time, and 55% indicated "other" such
as unemployed or stay-at-home mother (p = 0.01). Logistic regression revealed
that maternal age was the most significant predictor of breastfeeding duration
(odds ratio = 1.11; 95% confidence interval, 1.03, 1.19; p < 0.004). CONCLUSIONS:
Results indicate opportunities to inform and support women in the prenatal and
postpartum period, improve the social and built environment, and develop and
advocate for policies in an effort to support breastfeeding.
PMID- 26565750
TI - EphA2 promotes cell adhesion and spreading of monocyte and monocyte/macrophage
cell lines on integrin ligand-coated surfaces.
AB - Eph signaling, which arises following stimulation by ephrins, is known to induce
opposite cell behaviors such as promoting and inhibiting cell adhesion as well as
promoting cell-cell adhesion and repulsion by altering the organization of the
actin cytoskeleton and influencing the adhesion activities of integrins. However,
crosstalk between Eph/ephrin with integrin signaling has not been fully
elucidated in leukocytes, including monocytes and their related cells. Using a
cell attachment stripe assay, we have shown that, following stimulation with
ephrin-A1, kinase-independent EphA2 promoted cell spreading/elongation as well as
adhesion to integrin ligand-coated surfaces in cultured U937 (monocyte) and
J774.1 (monocyte/macrophage) cells as well as sublines of these cells expressing
dominant negative EphA2 that lacks most of the intracellular region. Moreover, a
pull-down assay showed that dominant negative EphA2 is recruited to the beta2
integrin/ICAM1 and beta2 integrin/VCAM1 molecular complexes in the subline cells
following stimulation with ephrin-A1-Fc. Notably, this study is the first
comprehensive analysis of the effects of EphA2 receptors on integrin-mediated
cell adhesion in monocytic cells. Based on these findings we propose that EphA2
promotes cell adhesion by an unknown signaling pathway that largely depends on
the extracellular region of EphA2 and the activation of outside-in integrin
signaling.
PMID- 26565751
TI - Therapeutic implications of innate immune system in acute pancreatitis.
AB - INTRODUCTION: Acute pancreatitis (AP) is an inflammatory disorder of the pancreas
encompassing a cascade of cellular and molecular events. It starts from premature
activation of zymogens with the involvement of innate immune system to a
potential systemic inflammatory response and multiple organ failure. Leukocytes
are the major cell population that participate in the propagation of the disease.
Current understanding of the course of AP is still far from complete, limiting
treatment options mostly to conservative supportive care. Emerging evidence has
pointed to modulation of the immune system for strategic therapeutic development,
by mitigating the inflammatory response and severity of AP. In the current
review, we have focused on the role of innate immunity in the condition and
highlighted therapeutics targeting it for treatment of this challenging disease.
AREAS COVERED: The current review has aimed to elaborate in-depth understanding
of specific roles of innate immune cells, derived mediators and inflammatory
pathways that are involved in AP. Summarizing the recent therapeutics and
approaches applied experimentally that target immune responses to attenuate AP.
EXPERT OPINION: The current state of knowledge on AP, limitations of presently
available therapeutic approaches and the promise of therapeutic implications of
innate immune system in AP are discussed.
PMID- 26565753
TI - Hematological manifestations and complications of Gaucher disease.
AB - Gaucher disease is a multisystemic metabolic disorder due to a genetic deficiency
of the lysosomal enzyme glucocerebrosidase, which leads to the accumulation
within the lysosomes of macrophages of its natural substrate, glucosylceramide
and its deacylated product glucosylsphingosine. The most prevalent form of the
disease is the so-called non-neuronopathic form (type 1) characterized by anemia,
thrombocytopenia, enlargement of liver and/or spleen, skeletal abnormalities.
Etiology of anemia and thrombocytopenia may be multifactorial and not necessarily
predicted by the degree of splenomegaly. Bleeding diathesis may not always be
related to absolute platelet count but may be influenced by abnormal platelet
function or coagulation factor deficiencies. A significant increased risk of
severe hematological co-morbidities, including multiple myeloma and B-cell
lymphoma, has been reported. Accumulation of glucosylceramide and
glucosylsphingosyne in macrophages and the resulting chronic inflammation with
the secretion of cytokines leading to polyclonal and monoclonal B cell
proliferation up to multiple myeloma, as a continuum clonal expansion, is a key
pathophysiological mechanism. Enzyme replacement therapy has been shown to be
effective in reducing glucosylceramide storage burden and the deleterious effects
caused by its accumulation, including hematological manifestations.
PMID- 26565752
TI - Photoactivated Spatiotemporally-Responsive Nanosensors of in Vivo Protease
Activity.
AB - Proteases play diverse and important roles in physiology and disease, including
influencing critical processes in development, immune responses, and
malignancies. Both the abundance and activity of these enzymes are tightly
regulated and highly contextual; thus, in order to elucidate their specific
impact on disease progression, better tools are needed to precisely monitor in
situ protease activity. Current strategies for detecting protease activity are
focused on functionalizing synthetic peptide substrates with reporters that emit
detection signals following peptide cleavage. However, these activity-based
probes lack the capacity to be turned on at sites of interest and, therefore, are
subject to off-target activation. Here we report a strategy that uses light to
precisely control both the location and time of activity-based sensing. We
develop photocaged activity-based sensors by conjugating photolabile molecules
directly onto peptide substrates, thereby blocking protease cleavage by steric
hindrance. At sites of disease, exposure to ultraviolet light unveils the
nanosensors to allow proteases to cleave and release a reporter fragment that can
be detected remotely. We apply this spatiotemporally controlled system to probe
secreted protease activity in vitro and tumor protease activity in vivo. In
vitro, we demonstrate the ability to dynamically and spatially measure
metalloproteinase activity in a 3D model of colorectal cancer. In vivo, veiled
nanosensors are selectively activated at the primary tumor site in colorectal
cancer xenografts to capture the tumor microenvironment-enriched protease
activity. The ability to remotely control activity-based sensors may offer a
valuable complement to existing tools for measuring biological activity.
PMID- 26565754
TI - The relationship between stress and clinical outcomes for persons living with
HIV/AIDS: a systematic review of the global literature.
AB - For persons living with HIV/AIDS, the relationship between stress and clinical
outcomes has received little attention in current research, yet represents an
important area for future research and intervention. Chronic illness has been
theorized to place additional demands on a person that may exceed their ability
to cope with daily life, leading to long-term stress, which then increases the
risk for negative health outcomes in persons already at risk. This paper reviews
the existing global literature to answer two main questions: (1) how is stress
conceptualized in research with persons living with HIV/AIDS? and (2) what are
the current findings linking stress to clinical outcomes? Twenty-three articles
are included in the final review. Findings reveal that researchers conceptualize
stress in multiple ways for persons living with HIV/AIDS, including depressive
symptomology, post-traumatic stress, life events, emotions linked to stress, and
biological markers (such as cortisol levels and autonomic nervous system
activity). Further, findings related to the link between stress and clinical
outcomes are mixed; however, stress was shown to be related to lower CD4 cell
counts, higher viral load, and disease progression. Several studies also showed a
link between stress and poorer treatment adherence. Implications and directions
for future research are discussed, including further thought into how we
conceptualize stress for persons living with HIV, future research that is
necessary to elucidate current mixed findings on the link between stress and
clinical outcomes, and preliminary suggestions for intervention to prevent and
alleviate stress in this population.
PMID- 26565755
TI - Stromal vascular fraction: A regenerative reality? Part 1: Current concepts and
review of the literature.
AB - Stromal Vascular Fraction (SVF) is a heterogeneous collection of cells contained
within adipose tissue that is traditionally isolated using enzymes such as
collagenase. With the removal of adipose cells, connective tissue and blood from
lipoaspirate, comes the SVF, a mix including mesenchymal stem cells, endothelial
precursor cells, T regulatory cells, macrophages, smooth muscle cells, pericytes
and preadipocytes. In part 1 of our 2-part series, we review the literature with
regards to the intensifying interest that has shifted toward this mixture of
cells, particularly due to its component synergy and translational potential.
Trials assessing the regenerative potential of cultured Adipose Derived Stem
Cells (ADSCs) and SVF demonstrate that SVF is comparably effective in treating
conditions ranging from radiation injuries, burn wounds and diabetes, amongst
others. Aside from their use in chronic conditions, SVF enrichment of fat grafts
has proven a major advance in maintaining fat graft volume and viability. Many
SVF studies are currently in preclinical phases or are moving to human trials.
Overall, regenerative cell therapy based on SVF is at an early investigative
stage but its potential for clinical application is enormous.
PMID- 26565756
TI - Cardiorespiratory Fitness and Light-Intensity Physical Activity Are Independently
Associated with Reduced Cardiovascular Disease Risk in Urban Black South African
Women: A Cross-Sectional Study.
AB - BACKGROUND: Low levels of physical activity, poor cardiorespiratory fitness, and
a sedentary lifestyle have been associated with increased risk for cardiovascular
disease (CVD) and type 2 diabetes (T2D). Few studies have examined their
independent associations in an urban black sub-Saharan African population.
OBJECTIVES: To examine the independent associations of physical activity,
cardiorespiratory fitness, and sedentary time on body composition and
cardiometabolic risk factors for CVD and T2D in black South African women.
MATERIALS AND METHODS: A subsample (n = 76; 18-45 years) was recruited, as part
of a cross-sectional study. Accelerometry, cardiorespiratory fitness, body
composition, insulin sensitivity, serum lipids, and blood pressure were measured.
RESULTS: Light- but not moderate- to vigorous intensity physical activity was
inversely associated with trunk fat mass (r = -0.25, P = 0.03). Sedentary time
was associated with triglyceride (TG) (r = 0.36, P = 0.01) and TG/HDL-C (r =
0.34, P = 0.04), and these relationships were independent of body fat.
Cardiorespiratory fitness was inversely associated with body fat % (r = -0.34, P
= 0.02), central fat mass (r = -0.31, P = 0.03), visceral adipose tissue (VAT, r
= -0.47, P < 0.01), and insulin resistance (HOMA-IR; r = -0.41, P = 0.01). The
association between cardiorespiratory fitness and HOMA-IR was independent of body
fat and physical activity, but not VAT. Cardiorespiratory fitness was inversely
associated with sedentary time (r = -0.31, P = 0.03), but not with any of the
physical activity variables (P > 0.05). CONCLUSION: Both physical activity and
cardiorespiratory fitness were associated with reduced total and central fat
mass, VAT, and reduced cardiometabolic risk for CVD and T2D. Longitudinal studies
are required to confirm whether the promotion of increasing light physical
activity, while reducing sedentary time and increasing cardiorespiratory fitness,
reduces the risk for obesity, CVD and T2D.
PMID- 26565757
TI - Homoleptic Tris-Diphosphine Re(I) and Re(II) Complexes and Re(II) Photophysics
and Photochemistry.
AB - The ligand-to-metal charge transfer state (LMCT) of [(dmpe)3Re](2+) (dmpe = 1,2
bis(dimethylphosphino)ethane) has been demonstrated to be a potent oxidant
(E(0)(Re(2+*)/Re(+)) = 2.61 V vs standard calomel electrode). This complex has
been traditionally prepared by nontrivial routes in low yields, and very little
has been achieved in optimizing the ground state and emission energy properties
of the general class of complexes [(PP)3Re](2+) (PP = chelating diphosphine)
through phosphine modification. Improved syntheses for Re(I) tris-homoleptic
diphosphine complexes [(PP)3Re](+) (PP = 1,2-bis(dimethylphosphino)ethane (dmpe),
1,2-bis(diethylphosphino)ethane (depe), bis(dimethylphosphino)methane (dmpm),
bis(diphenylphosphino)methane (dppm), Me2PCH2PPh2, 1,3
bis(dimethylphosphino)propane (dmpp), or 1,2-bis(dimethyl-phosphino)benzene
(dmpb)) were achieved by single-pot reactions exploiting the reducing potential
of the phosphines when reacted with Re(V) oxo-complexes in 1,2-dichlorobenzene at
160-180 degrees C. Single-electron chemical oxidation of [(PP)3Re](+) yields
luminescent Re(II) analogues; appropriate use of Ph3C(+), Cp2Fe(+), or (4
BrC6H4)3N(+) B(C6F5)4(-) salts produced [(PP)3Re](2+) complexes in good yields.
Crystallographic trends for the Re(+)/Re(2+) pairs show significantly lengthened
Re(2+)-P bonds for [(PP)3Re](2+) relative to the corresponding [(PP)3Re](+)
system. The redox and luminescence behavior of the complexes indicates the
luminescence is from a ligand P(sigma)-to-metal (Re(dpi)) charge transfer
((2)LMCT) state for all the complexes. Structured luminescence at 77 K is
postulated to originate from relaxation of the (2)LMCT state into two spin-orbit
coupled states: the ground state and a state ~ 3000 cm(-1) above the ground
state. The excited-state reduction potential (Re(II*/I)) for [(depe)3Re](2+) was
determined from the free energy dependence of luminescence quenching rate
constants. Yields for formation of charge separated ions were determined for
three of the complexes with a variety of electron donors. Despite favorable
electrostatics, no charge separated ions were observed for radical ion pairs for
which the energy of back electron transfer exceeded 1.1 V.
PMID- 26565758
TI - Understanding adherence to medications in type 2 diabetes care and clinical
trials to overcome barriers: a narrative review.
AB - AIM: To identify factors affecting adherence to medications in type 2 diabetes
(T2D) care and clinical trials. BACKGROUND: Adherence to medication is associated
with better patient outcomes, lower healthcare costs, and improved quality and
robustness of trial data. In T2D, non-adherence to regimens may compromise
glycemic, blood pressure and lipid control, which can, in turn, increase
morbidity and mortality rates. DESIGN: A literature search was performed to
identify studies reporting adherence to medications and highlighting specific
adherence challenges/approaches in T2D. The search was limited to clinical
trials, comparative studies or meta-analyses, reported in English with a freely
available abstract. DATA SOURCE: MEDLINE (31 December 2008 to 31 December 2013).
REVIEW METHODS: Studies not reporting adherence to medications or highlighting
adherence challenges/approaches in T2D, presenting only self-reported adherence
or including fewer than 100 patients were excluded. Eligible reports are
discussed narratively. RESULTS: Factors identified as having a detrimental impact
on adherence were smoking, depression and polypharmacy. Conversely, increased
convenience (e.g. pen compared with vial and syringe; medication supplied by mail
order vs. retail pharmacy) was associated with better patient adherence, as were
interventions that increased patient motivation (e.g. individualized, nurse-led
consultation) and education. CONCLUSIONS: Medication adherence is influenced by
complex and multifactorial issues, which can include smoking, depression,
polypharmacy, convenience of obtaining and administering the medication, patient
motivation and education. We recommend simplifying treatment regimens, where
possible, improving provider-patient communication, and providing support and
education to increase medication adherence, with a view to improving patient
outcomes and clinical trial data quality.
PMID- 26565759
TI - MassyTools: A High-Throughput Targeted Data Processing Tool for Relative
Quantitation and Quality Control Developed for Glycomic and Glycoproteomic MALDI
MS.
AB - The study of N-linked glycosylation has long been complicated by a lack of
bioinformatics tools. In particular, there is still a lack of fast and robust
data processing tools for targeted (relative) quantitation. We have developed
modular, high-throughput data processing software, MassyTools, that is capable of
calibrating spectra, extracting data, and performing quality control calculations
based on a user-defined list of glycan or glycopeptide compositions. Typical
examples of output include relative areas after background subtraction, isotopic
pattern-based quality scores, spectral quality scores, and signal-to-noise
ratios. We demonstrated MassyTools' performance on MALDI-TOF-MS glycan and
glycopeptide data from different samples. MassyTools yielded better calibration
than the commercial software flexAnalysis, generally showing 2-fold better ppm
errors after internal calibration. Relative quantitation using MassyTools and
flexAnalysis gave similar results, yielding a relative standard deviation (RSD)
of the main glycan of ~6%. However, MassyTools yielded 2- to 5-fold lower RSD
values for low-abundant analytes than flexAnalysis. Additionally, feature
curation based on the computed quality criteria improved the data quality. In
conclusion, we show that MassyTools is a robust automated data processing tool
for high-throughput, high-performance glycosylation analysis. The package is
released under the Apache 2.0 license and is freely available on GitHub (
https://github.com/Tarskin/MassyTools ).
PMID- 26565760
TI - Blood, breast milk and urine: potential biomarkers of exposure and estimated
daily intake of ochratoxin A: a review.
AB - The purposes of this review are to study potential biomarkers of exposure for
ochratoxin A (OTA) in biological fluids (blood, urine and breast milk) for the
period 2005-14, calculate the estimated daily intake (EDI) of OTA by using
database consumption for the Spanish population, and, finally, to correlate OTA
levels detected in blood and EDI values calculated from food products. The values
of OTA detected in potential biomarkers of exposure for blood, breast milk and
urine ranged from 0.15 to 18.0, from 0.002 to 13.1, and from 0.013 to 0.2 ng ml(
1), respectively. The calculated EDI for OTA in plasma ranged from 0.15 to 26 ng
kg(-1) bw day(-1), higher than that obtained in urine (0.017-0.4 ng kg(-1) bw
day(-1)). All these values are correlated with the range of EDI for OTA
calculated from food products: 0.0001-25.2 ng kg(-1) bw day(-1).
PMID- 26565761
TI - Contemporary nucleic acid-based molecular techniques for detection,
identification, and characterization of Bifidobacterium.
AB - Bifidobacteria are one of the most important bacterial groups found in the
gastrointestinal tract of humans. Medical and food industry researchers have
focused on bifidobacteria because of their health-promoting properties.
Researchers have historically relied on classic phenotypic approaches (culture
and biochemical tests) for detection and identification of bifidobacteria. Those
approaches still have values for the identification and detection of some
bifidobacterial species, but they are often labor-intensive and time-consuming
and can be problematic in differentiating closely related species. Rapid,
accurate, and reliable methods for detection, identification, and
characterization of bifidobacteria in a mixed bacterial population have become a
major challenge. The advent of nucleic acid-based molecular techniques has
significantly advanced isolation and detection of bifidobacteria. Diverse nucleic
acid-based molecular techniques have been employed, including hybridization,
target amplification, and fingerprinting. Certain techniques enable the
detection, characterization, and identification at genus-, species-, and strains
levels, whereas others allow typing of species or strains of bifidobacteria. In
this review, an overview of methodological principle, technique complexity, and
application of various nucleic acid-based molecular techniques for detection,
identification, and characterization of bifidobacteria is presented. Advantages
and limitations of each technique are discussed, and significant findings based
on particular techniques are also highlighted.
PMID- 26565762
TI - A novel approach to navigated implantation of S-2 alar iliac screws using
inertial measurement units.
AB - OBJECT: The authors report on a novel method of intraoperative navigation with
inertial measurement units (IMUs) for implantation of S-2 alar iliac (S2AI)
screws in sacropelvic fixation of the human spine and its application in
cadaveric specimens. METHODS: Screw trajectories were planned on a multiplanar
reconstruction of the preoperative CT scan. The pedicle finder and screwdriver
were equipped with IMUs to guide the axial and sagittal tilt angles of the
planned trajectory, and navigation software was developed. The entry points were
chosen according to anatomical landmarks on the exposed spine. After referencing,
the sagittal and axial orientation of the pedicle finder and screwdriver were
wirelessly monitored on a computer screen and aligned with the preoperatively
planned tilt angles to implant the S2AI screws. The technique was performed
without any intraoperative imaging. Screw positions were analyzed on
postoperative CT scans. RESULTS: Seventeen of 18 screws showed a good S2AI screw
trajectory. Compared with the postoperatively measured tilt angles of the S2AI
screws, the IMU readings on the screwdriver were within an axial plane deviation
of 0 degrees to 5 degrees in 15 (83%) and 6 degrees to 10 degrees in 2 (11%)
of the screws and within a sagittal plane deviation of 0 degrees to 5 degrees
in 15 (83%) and 6 degrees to 10 degrees in 3 (17%) of the screws. CONCLUSIONS:
IMU-based intraoperative navigation may facilitate accurate placement of S2AI
screws.
PMID- 26565763
TI - Editorial: Perioperative outcomes and adverse events of minimally invasive
surgery during transforaminal lumbar interbody fusion/posterior lumbar interbody
fusion.
PMID- 26565764
TI - Role of pelvic translation and lower-extremity compensation to maintain gravity
line position in spinal deformity.
AB - OBJECT: Previous forceplate studies analyzing the impact of sagittal-plane spinal
deformity on pelvic parameters have demonstrated the compensatory mechanisms of
pelvis translation in addition to rotation. However, the mechanisms recruited for
this pelvic rotation were not assessed. This study aims to analyze the
relationship between spinopelvic and lower-extremity parameters and clarify the
role of pelvic translation. METHODS: This is a retrospective study of patients
with spinal deformity and full-body EOS images. Patients with only stenosis or
low-back pain were excluded. Patients were grouped according to T-1 spinopelvic
inclination (T1SPi): sagittal forward (forward, > 0.5 degrees ), neutral (-6.3
degrees to 0.5 degrees ), or backward (< -6.3 degrees ). Pelvic translation was
quantified by pelvic shift (sagittal offset between the posterosuperior corner of
the sacrum and anterior cortex of the distal tibia), hip extension was measured
using the sacrofemoral angle (SFA; the angle formed by the middle of the sacral
endplate and the bicoxofemoral axis and the line between the bicoxofemoral axis
and the femoral axis), and chin-brow vertical angle (CBVA). Univariate and
multivariate analyses were used to compare the parameters and correlation with
the Oswestry Disability Index (ODI). RESULTS: In total, 336 patients (71% female;
mean age 57 years; mean body mass index 27 kg/m(2)) had mean T1SPi values of -8.8
degrees , -3.5 degrees , and 5.9 degrees in the backward, neutral, and forward
groups, respectively. There were significant differences in the lower-extremity
and spinopelvic parameters between T1SPi groups. The backward group had a normal
lumbar lordosis (LL), negative SVA and pelvic shift, and the largest hip
extension. Forward patients had a small LL and an increased SVA, with a large
pelvic shift creating compensatory knee flexion. Significant correlations existed
between lower-limb parameter and pelvic shift, pelvic tilt, T-1 pelvic angle,
T1SPi, and sagittal vertical axis (0.3 < r < 0.8; p < 0.001). ODI was
significantly correlated with knee flexion and pelvic shift. CONCLUSIONS: This is
the first study to describe full-body alignment in a large population of patients
with spinal pathologies. Furthermore, patients categorized based on T1SPi were
found to have significant differences in the pelvic shift and lower-limb
compensatory mechanisms. Correlations between lower-limb angles, pelvic shift,
and ODI were identified. These differences in compensatory mechanisms should be
considered when evaluating and planning surgical intervention for adult patients
with spinal deformity.
PMID- 26565765
TI - Expression of matrix metalloproteinase-2 and -9 in human ligamentum flavum cells
treated with tumor necrosis factor-alpha and interleukin-1beta.
AB - OBJECT: An in vitro study was performed to understand the potential roles of
matrix metalloproteinase (MMP)-2 and MMP-9 in the elastin degradation of human
ligamentum flavum (LF) cells via treatment with tumor necrosis factor-alpha
(TNFalpha) and interleukin-1beta (IL-1beta). Previous studies have identified a
decreased elastin to collagen ratio in hypertrophic LF. Among the extracellular
matrix remodeling endopeptidases, MMP-2 and MMP-9 are known to have elastolytic
activity. The hypothesis that activated LF cells exposed to inflammation would
secrete MMP-2 and MMP-9, thereby resulting in elastin degradation, was examined.
METHODS: To examine MMP-2 and MMP-9 expression in human LF, cells were isolated
and cultured from LF tissues that were obtained during lumbar disc surgery.
Isolated LF cells were equally divided into 3 flasks and subcultured. Upon
cellular confluency, the LF cells were treated with TNFalpha, IL-1beta, or none
(as a control) and incubated for 48 hours. The conditioned media were collected
and assayed for MMP-2 and MMP-9 using gelatin zymography and Western blot
analysis. The electrophoresis bands were compared on densitometric scans using
ImageJ software. RESULTS: The conditioned media from the isolated human LF cells
naturally expressed 72-kD and 92-kD gelatinolytic activities on gelatin
zymography. The IL-1beta-treated LF cells presented sustained increases in the
proenzyme/zymogen forms of MMP-2 and -9 (proMMP-2 and proMMP-9), and activeMMP-9
expression (p = 0.001, 0.022, and 0.036, respectively); the TNFalpha-treated LF
cells showed the most elevated proMMP9 secretion (p = 0.006), as determined by
Western blot analyses. ActiveMMP-2 expression was not observed on zymography or
the Western blot analysis. CONCLUSIONS: TNFalpha and IL-1beta promote proMMP-2
and proMMP-9 secretion. IL-1beta appears to activate proMMP-9 in human LF cells.
Based on these findings, selective MMP-9 blockers or antiinflammatory drugs could
be potential treatment options for LF hypertrophy.
PMID- 26565766
TI - Letter to the Editor: Response to letters regarding Mobi-C cervical artificial
disc.
PMID- 26565767
TI - Perioperative outcomes and adverse events of minimally invasive versus open
posterior lumbar fusion: meta-analysis and systematic review.
AB - OBJECT: The objective of this study was to determine the clinical comparative
effectiveness and adverse event rates of posterior minimally invasive surgery
(MIS) compared with open transforaminal or posterior lumbar interbody fusion
(TLIF/PLIF). METHODS: A systematic review of the Medline, EMBASE, PubMed, Web of
Science, and Cochrane databases was performed. A hand search of reference lists
was conducted. Studies were reviewed by 2 independent assessors to identify
randomized controlled trials (RCTs) or comparative cohort studies including at
least 10 patients undergoing MIS or open TLIF/PLIF for degenerative lumbar spinal
disorders and reporting at least 1 of the following: clinical outcome measure,
perioperative clinical or process measure, radiographic outcome, or adverse
events. Study quality was assessed using the Grades of Recommendation,
Assessment, Development, and Evaluation (GRADE) protocol. When appropriate, a
meta-analysis of outcomes data was conducted. RESULTS: The systematic review and
reference list search identified 3301 articles, with 26 meeting study inclusion
criteria. All studies, including 1 RCT, were of low or very low quality. No
significant difference regarding age, sex, surgical levels, or diagnosis was
identified between the 2 cohorts (856 patients in the MIS cohort, 806 patients in
the open cohort). The meta-analysis revealed changes in the perioperative
outcomes of mean estimated blood loss, time to ambulation, and length of stay
favoring an MIS approach by 260 ml (p < 0.00001), 3.5 days (p = 0.0006), and 2.9
days (p < 0.00001), respectively. Operative time was not significantly different
between the surgical techniques (p = 0.78). There was no significant difference
in surgical adverse events (p = 0.97), but MIS cases were significantly less
likely to experience medical adverse events (risk ratio [MIS vs open] = 0.39, 95%
confidence interval 0.23-0.69, p = 0.001). No difference in nonunion (p = 0.97)
or reoperation rates (p = 0.97) was observed. Mean Oswestry Disability Index
scores were slightly better in the patients undergoing MIS (n = 346) versus open
TLIF/PLIF (n = 346) at a median follow-up time of 24 months (mean difference [MIS
- open] = 3.32, p = 0.001). CONCLUSIONS: The result of this quantitative
systematic review of clinical comparative effectiveness research examining MIS
versus open TLIF/PLIF for degenerative lumbar pathology suggests equipoise in
patient-reported clinical outcomes. Furthermore, a meta-analysis of adverse event
data suggests equivalent rates of surgical complications with lower rates of
medical complications in patients undergoing minimally invasive TLIF/PLIF
compared with open surgery. The quality of the current comparative evidence is
low to very low, with significant inherent bias.
PMID- 26565768
TI - Respiratory allergenic potential of plant-derived proteins: Understanding the
relationship between exposure and potency for risk assessments.
AB - Botanical ingredients (ingredients derived from plants) are finding increasing
application in personal care products and the public perceives these ingredients
to be safe. However, some proteins in botanicals have the potential to cause
immediate-type (IgE-mediated) respiratory allergic reactions. Although reports of
such reactions are uncommon, when they do occur, they can be severe. Experience
with soap containing wheat proteins illustrated that under certain specific
conditions, consumers may be affected. Establishing safe exposure levels for
botanical proteins has been challenging. Industrial enzymes provide a rich
reference dataset based on their historical association with allergic reactions
among workers, which includes robust dose-response information. In the absence of
similar data on the potency of plant proteins, a conservative default approach
has historically been applied based on information derived from allergenic
enzymes. In this article we review the historical default approach and dataset
for setting limits for plant proteins in botanical ingredients based on analogy
to industrial enzymes followed by a synthesis of literature data on allergic
reactions following inhalation exposure to plant-derived proteins. The aim is to
share relevant background information and display the relationship between
exposure and potency as a first step in the development of a strategy for the
development of an improved approach to support the risk assessment of plant
derived proteins.
PMID- 26565769
TI - Medico-Artistic Complicities on Swedish Stages: The Boys in the Band and the
Regulation of Gay Male Representation in the Welfare State.
AB - Seeking to understand the highly unfavorable conditions for the development of
gay male theater in Sweden, this essay engages in a historical study of the
national opening of Mart Crowley's The Boys in the Band at Malmo City Theatre in
1970. Propelled by a Foucauldian-inspired theoretical approach, it identifies the
subtle, yet highly effective, measures of control that the, at the time, social
democratic welfare state exercised over representations of homosexuality on
stage. State representatives, who complied with the official political and
medical doctrine that homosexuality was a mental illness and posed a potential
threat to social stability, interfered at various levels of the production,
including the rehearsal process and post-performance talks between cast members
and audiences. This alliance between Swedish theaters and members of the medical,
psychological, and sexological professions constituted a medico-artistic
complicity that supervised and regulated early attempts of gay representation on
stage.
PMID- 26565770
TI - Congenital Tick Borne Diseases: Is This An Alternative Route of Transmission of
Tick-Borne Pathogens In Mammals?
AB - Tick-borne diseases (TBDs) have become a popular topic in many medical journals.
Besides the obvious participation of ticks in the transmission of pathogens that
cause TBD, little is written about alternative methods of their spread. An
important role is played in this process by mammals, which serve as reservoirs.
Transplacental transfer also plays important role in the spread of some TBD
etiological agents. Reservoir species take part in the spread of pathogens, a
phenomenon that has extreme importance in synanthropic environments. Animals that
accompany humans and animals migrating from wild lands to urban areas increase
the probability of pathogen infections by ticks This article provides an overview
of TBDs, such as tick-borne encephalitis virus (TBEV), and TBDs caused by
spirochetes, alpha-proteobacteria, gamma-proteobacteria, and Apicomplexa, with
particular attention to reports about their potential to cross the maternal
placenta. For each disease, the method of propagation, symptoms of acute and
chronic phase, and complications of their course in adults, children, and animals
are described in detail. Additional information about transplacental transfer of
these pathogens, effects of congenital diseases caused by them, and the possible
effects of maternal infection to the fetus are also discussed. The problem of
vertical transmission of pathogens presents a new challenge for medicine.
Transfer of pathogens through the placenta may lead not only to propagation of
diseases in the population, but also constitute a direct threat to health and
fetal development. For this reason, the problem of vertical transmission requires
more attention and an estimation of the impact of placental transfer for each of
listed pathogens.
PMID- 26565771
TI - Ectoparasite Infestations and Canine Infection by Rickettsiae and Ehrlichiae in a
Semi-Arid Region of Northeastern Brazil.
AB - This study investigated the prevalence of Rickettsia spp. and Ehrlichia canis
infection in dogs and their ectoparasites from rural and urban areas of two
municipalities, Petrolina and Juazeiro, within a semiarid region (Caatinga biome)
of northeastern Brazil, by immunofluorescence assay (IFA) and polymerase chain
reaction (PCR). Overall, 12.1% (61/504) and 23.0% (116/504) of canine plasma
samples had antibodies reactive to Rickettsia spp. and E. canis. E. canis DNA was
detected by PCR in 8.3% (42/504) of canine blood samples, whereas no blood sample
was positive for Rickettsia spp. The infection by E. canis was determined by PCR
in 4.9% (14/285) Rhipicephalus sanguineus sensu lato (s.l.) ticks and by
Rickettsia felis in 1.1% (3/285) and 40.6% (74/182) ticks and fleas,
respectively. Multivariate regression analyses revealed that canine
seropositivity to Rickettsia spp. was associated statistically with the variables
"to reside in Petrolina" and "presence of ectoparasites." Our results indicate
that canine infection by E. canis might be endemic in the Caatinga biome as it is
in other Brazilian biomes. Although no previous serosurvey for Rickettsia spp.
has been conducted on dogs from the Caatinga biome, our values are much lower
than the ones reported for rural dogs from other Brazilian biomes. These
differences are likely related to the semiarid climate of the aatinga biome,
which minimizes the exposure of rural dogs to Amblyomma spp. ticks, the most
common vectors of Rickettsia spp. in Brazil. Considering that dogs are excellent
sentinels for human exposure to Rickettsia spp., we can infer that the risks of
human acquiring tick-borne rickettsiosis in the Caatinga region of the present
study are low. The rickettsial infection rates in fleas and ticks were not
related to canine seropositivity; i.e., areas with higher Rickettsia infection
rates in fleas had the lowest canine seroreactivity to Rickettsia spp.
PMID- 26565772
TI - Temperature Tolerance and Inactivation of Chikungunya Virus.
AB - In late 2013, chikungunya virus (CHIKV) was introduced to the New World and large
outbreaks occurred in the Caribbean islands causing over a million suspected and
over 20,000 laboratory-confirmed cases. Serological analysis is an essential
component for the diagnosis of CHIKV infection together with virus isolation and
detection of viral nucleic acid. Demonstrating virus neutralizing by serum
antibodies in a plaque reduction neutralization test (PRNT) is the gold standard
of all serological diagnostic assays. Prior to the testing, heat inactivation of
serum at 56 degrees C for 30 min is required for the inactivation of complement
activity and adventitious viruses. The presence of adventitious contaminating
viruses may interfere with the results by leading to a higher number of plaques
on the monolayers and subsequent false-negative results. This procedure is widely
accepted for the inactivation of flaviviruses and alphaviruses. In this study,
the thermostability of CHIKV was evaluated. Heat inactivation at 56 degrees C for
30 min was demonstrated to be insufficient for the complete removal of infectious
CHIKV virions present in the samples. This thermotolerance of CHIKV could
compromise the accuracy of serum tests, and therefore longer treatment for
greater than 120 min is recommended.
PMID- 26565773
TI - Rapidly Evolving Outbreak of a Febrile Illness in Rural Haiti: The Importance of
a Field Diagnosis of Chikungunya Virus in Remote Locations.
AB - Although rarely fatal, chikungunya virus (CHIKV) infection can lead to chronic
debilitating sequelae. We describe the outbreak of suspected CHIKV in 93 subjects
who presented voluntarily over 2 months to a remote rural Haitian general medical
clinic staffed by international health care providers. Diagnosis was made on
clinical signs and symptoms because no serum analysis was available in this
remote rural site. The subjects were 18.0 +/- 16.2 (median +/- standard
deviation) years of age and were of similar gender distribution. The presenting
vital signs included a temperature of 102.3 degrees F +/- 0.6 degrees F with
fever lasting for 3.0 +/- 0.7 days. Symptoms mainly consisted of symmetrical
polyarthralgias in 82.8%, headache in 28.0%, abdominal pain in 17.2%, cough in
8.6%, maculopapular rash in 30.0%, and extremity bullae in 12.9%. In 84.9% of
subjects, symptoms persisted for 7.1 +/- 8.3 days with 16.1% having ongoing
disability due to persistent pain (>= 14 days duration). There were no deaths. In
Haiti, especially in remote, rural regions, the risk for CHIKV spread is high
given the shortage of detection methods and treatment in this tropical climate
and the lack of preventative efforts underway. Implications for global public
health are likely, with outbreak expansion and spread to neighboring countries,
including the United States.
PMID- 26565774
TI - Management Factors Associated with Operation-Level Prevalence of Antibodies to
Cache Valley Virus and Other Bunyamwera Serogroup Viruses in Sheep in the United
States.
AB - A cross-sectional study was performed to identify operation-level risk factors
associated with prevalence of antibody to Bunyamwera (BUN) serogroup viruses in
sheep in the United States. Sera were obtained from 5150 sheep in 270 operations
located in 22 states (three in the west, nine central states, and 10 in the east)
and tested at a dilution of 1:20 by a plaque reduction neutralization test (PRNT)
using Cache Valley virus (CVV). Antibodies that neutralized CVV were identified
in 1455 (28%) sheep. Animal-level seroprevalence was higher in the east (49%)
than the central (17%) and western (10%) states. A convenient subset (n = 509) of
sera with antibodies that neutralized CVV was titrated and further analyzed by
PRNT using all six BUN serogroup viruses that occur in the United States: CVV,
Lokern virus (LOKV), Main Drain virus (MDV), Northway virus (NORV), Potosi virus
(POTV), and Tensaw virus (TENV). Antibodies to CVV and LOKV were identified in
sheep in all three geographic regions; MDV and POTV activity was detected in the
central and eastern states, NORV activity was restricted to the west, and
antibodies to TENV were not detected in any sheep. Several management factors
were significantly associated with the presence of antibodies to BUN serogroup
viruses. For instance, sheep housed during the lambing season inside structures
that contained four walls and a roof and a door closed most of the time were more
likely to be seropositive than other sheep. In contrast, herded/open-range sheep
were less likely to be seropositive than their counterparts. These data can be
used by producers to implement strategies to reduce the likelihood of BUN
serogroup virus infection and improve the health and management practices of
sheep.
PMID- 26565775
TI - Susceptibility of a North American Culex quinquefasciatus to Japanese
Encephalitis Virus.
AB - Japanese encephalitis virus (JEV) is a flavivirus that is transmitted by Culex
(Cx.) tritaeniorhynchus in tropical and subtropical regions of Asia. The endemic
transmission cycle involves domestic pigs and avian species that serve as
amplification hosts; humans are incidental hosts that cannot develop a high-titer
viremia sufficient for mosquito infection. Although vaccination can be an
effective strategy for disease prevention and is used extensively in multiple
Asian countries, unvaccinated immunologically naive human populations can suffer
from severe neurological sequelae. The potential introduction of JEV into North
America would be a major threat to human and animal health. In this study, field
collected Cx. quinquefasciatus from Valdosta, Georgia, were tested for their
susceptibility to JEV and their potential to develop a disseminated infection via
per os infection. These results demonstrate that North American Cx.
quinquefasciatus are susceptible to JEV infection and subsequent dissemination at
14 days post infection (d.p.i.). Detection of viral RNA in saliva from infected
mosquitoes also indicates competent vectors for JEV can be found in North
America.
PMID- 26565776
TI - Correction to: Vector Borne Zoonotic Dis 2015;15(4):250-257 DOI:
10.1089/vbz.2014.1681.
PMID- 26565777
TI - Adipose tissue autophagy status in obesity: Expression and flux--two faces of the
picture.
AB - In the context of elevated prevalence of obesity-associated metabolic diseases in
the human population worldwide, interest in the autophagy degradation pathway is
increasing, due to close links with energy metabolism, nutritional state, and
inflammation. Here we highlight recent data focusing on adipose tissue which
demonstrate alterations in fat cell autophagic flux in human obesity.
PMID- 26565778
TI - Atg41/Icy2 regulates autophagosome formation.
AB - Macroautophagy (hereafter autophagy) is one of the major degradation systems in
eukaryotic cells, and its dysfunction may result in diseases ranging from
neurodegeneration to cancer. Although most of the autophagy-related (Atg)
proteins that function in this pathway were first identified in yeast, many were
subsequently shown to have homologs in higher eukaryotes including humans, and
the overall mechanism of autophagy is highly conserved. The most prominent
feature of autophagy is the formation of a double-membrane sequestering
compartment, the phagophore; this transient organelle surrounds part of the
cytoplasm and matures into an autophagosome, which subsequently fuses with the
vacuole or lysosome to allow degradation of the cargo. Much attention has focused
on the process involved in phagophore nucleation and expansion, but many
questions remain. Here, we identified the yeast protein Icy2, which we now name
Atg41, as playing a role in autophagosome formation. Atg41 interacts with the
transmembrane protein Atg9, a key component involved in autophagosome biogenesis,
and both proteins display a similar localization profile. Under autophagy
inducing conditions the expression level of Atg41 increases dramatically and is
regulated by the transcription factor Gcn4. This work provides further insight
into the mechanism of Atg9 function and the dynamics of sequestering membrane
formation during autophagy.
PMID- 26565779
TI - Opportunities and Challenges for Natural Products as Novel Antituberculosis
Agents.
AB - Current tuberculosis (TB) treatment suffers from complexity of the dosage
regimens, length of treatment, and toxicity risks. Many natural products have
shown activity against drug-susceptible, drug-resistant, and latent/dormant
Mycobacterium tuberculosis, the pathogen responsible for TB infections. Natural
sources, including plants, fungi, and bacteria, provide a rich source of
chemically diverse compounds equipped with unique pharmacological,
pharmacokinetic, and pharmacodynamic properties. This review focuses on natural
products as starting points for the discovery and development of novel anti-TB
chemotherapy and classifies them based on their chemical nature. The classes
discussed are divided into alkaloids, chalcones, flavonoids, peptides,
polyketides, steroids, and terpenes. This review also highlights the importance
of collaboration between phytochemistry, medicinal chemistry, and physical
chemistry, which is very important for the development of these natural
compounds.
PMID- 26565780
TI - Establishment of a serum IgG4 cut-off value for the differential diagnosis of
IgG4-related disease in Chinese population.
AB - OBJECTIVE: This study was performed to better know diagnosis associated with
serum IgG4 concentration, and to explore the possibility for development of a
serum IgG4 for IgG4-related disease (IgG4-RD) in Chinese populations. METHODS: We
studied retrospectively 497 IgG4 serum subclass measurements from Peking Union
Medical College Hospital during the four-year period, including 242 IgG4-RD, 130
other diseases and 125 healthy individuals. RESULTS: Serum IgG4 concentrations
were significantly higher in IgG4-RD than in other pathologies (1662.9 +/- 3760.9
mg/L, p < 0.001) and healthy individuals (538.2 +/- 458.6 mg/L, p < 0.001). There
were no significant differences in serum IgG4 level between other pathologies
group and healthy individuals (p = 0.075). Among the 242 IgG4-RD patients
analyzed, serum IgG4 concentrations were normal in 46 patients (19.0%). We found
32 patients (24.6%) with elevated serum IgG4 levels among the 130 patients who
suffered from other pathologies. There were seven (5.6%) with serum IgG4 over
1350 mg/L in healthy individuals. The ROC curve analysis revealed that the
optimal sensitivity and specificity were 80.0% and 88.2%, respectively, at the
concentration of 1575 mg/L for Chinese patients. CONCLUSIONS: Our study
demonstrated that serum IgG4 elevation was not specific of IgG4-RD. Further
studies are needed to define the sensibility and specificity of IgG4 values for
the diagnosis of IgG4-RD.
PMID- 26565781
TI - Effects of Eating Fast and Eating Before Bedtime on the Development of
Nonalcoholic Fatty Liver Disease.
AB - Few studies have evaluated the effects of lifestyle habits, such as eating
behaviors, on the development of nonalcoholic fatty liver disease (NAFLD). It is
known that NAFLD increases the risk of type 2 diabetes, prediabetes,
cardiovascular disease, and chronic kidney disease. Therefore, a retrospective
cohort study was conducted to evaluate the effect of eating behaviors and
interactions between these behaviors on the development of NAFLD among health
insurance beneficiaries without NAFLD. Study subjects were 2254 male and female
insurance beneficiaries without NAFLD who had attended specific health checkups
during fiscal years 2009 and 2012 among health insurance societies located in
Fukuoka and Shizuoka Prefectures (Japan). The incidence of NAFLD was defined as
Fatty Liver Index scores >=60 or visiting medical organizations for fatty liver
disease treatment according to claims data. Eating behaviors, including eating
speed and eating before bedtime, were evaluated by a self-administered
questionnaire. During the study period, 52 (2.3%) subjects progressed to NAFLD.
Subjects who ate before bedtime but did not eat fast had a higher risk of NAFLD
(adjusted odds ratio [AOR] = 2.15; 95% confidence interval [CI]: 1.03-4.46).
Those with both negative eating habits had a significantly higher risk of NAFLD
(AOR = 2.48; 95% CI: 1.09-5.63). Subjects who habitually ate before bedtime, and
those who ate fast and before bedtime, tended to have an increased risk of NAFLD.
Earlier intervention to modify these poor eating behaviors could be useful to
prevent NAFLD. (Population Health Management 2016;19:279-283).
PMID- 26565783
TI - Total synthesis of a piperidine alkaloid, microcosamine A.
AB - The first asymmetric total synthesis of a new natural piperidine alkaloid,
microcosamine A, has been accomplished from d-serine and d-methyl lactate as
chiral pool starting materials. Key features of the strategy include the utility
of Horner-Wadsworth-Emmons reaction, Luche reduction, intramolecular carbamate N
alkylation to form the piperidine framework and Julia-Kocienski olefination to
install the triene side-chain.
PMID- 26565785
TI - A Randomized Controlled Trial to Evaluate the Benefits of a Multimedia
Educational Program for First-Time Hearing Aid Users.
AB - OBJECTIVES: The aims of this study were to (1) develop a series of short
interactive videos (or reusable learning objects [RLOs]) covering a broad range
of practical and psychosocial issues relevant to the auditory rehabilitation for
first-time hearing aid users; (2) establish the accessibility, take-up,
acceptability and adherence of the RLOs; and (3) assess the benefits and cost
effectiveness of the RLOs. DESIGN: The study was a single-center, prospective,
randomized controlled trial with two arms. The intervention group (RLO+, n = 103)
received the RLOs plus standard clinical service including hearing aid(s) and
counseling, and the waitlist control group (RLO-, n = 100) received standard
clinical service only. The effectiveness of the RLOs was assessed 6-weeks
posthearing aid fitting. Seven RLOs (total duration 1 hr) were developed using a
participatory, community of practice approach involving hearing aid users and
audiologists. RLOs included video clips, illustrations, animations, photos,
sounds and testimonials, and all were subtitled. RLOs were delivered through DVD
for TV (50.6%) and PC (15.2%), or via the internet (32.9%). RESULTS: RLO take-up
was 78%. Adherence overall was at least 67%, and 97% in those who attended the 6
week follow-up. Half the participants watched the RLOs two or more times,
suggesting self-management of their hearing loss, hearing aids, and
communication. The RLOs were rated as highly useful and the majority of
participants agreed the RLOs were enjoyable, improved their confidence and were
preferable to written information. Postfitting, there was no significant between
group difference in the primary outcome measure, overall hearing aid use.
However, there was significantly greater hearing aid use in the RLO+ group for
suboptimal users. Furthermore, the RLO+ group had significantly better knowledge
of practical and psychosocial issues, and significantly better practical hearing
aid skills than the RLO- group. CONCLUSIONS: The RLOs were shown to be beneficial
to first-time hearing aid users across a range of quantitative and qualitative
measures. This study provides evidence to suggest that the RLOs may provide
valuable learning and educational support for first-time hearing aid users and
could be used to supplement clinical rehabilitation practice.
PMID- 26565786
TI - Shifting Fundamental Frequency in Simulated Electric-Acoustic Listening: Effects
of F0 Variation.
AB - OBJECTIVE: Shifting the mean fundamental frequency (F0) of target speech down in
frequency may be a way to provide the benefits of electric-acoustic stimulation
(EAS) to cochlear implant (CI) users whose limited residual hearing precludes a
benefit typically, even with amplification. However, previous study showed a
decline in the amount of benefit at the greatest downward frequency shifts, and
the authors hypothesized that this might be related to F0 variation. Thus, in the
present study, the authors sought to determine the relationship between mean F0,
F0 variation, and the benefits of combining electric stimulation from a CI with
low-frequency residual acoustic hearing. DESIGN: The authors measured speech
intelligibility in normal-hearing listeners using an EAS simulation consisting of
a sine vocoder combined either with speech low-pass filtered at 500 Hz, or with a
pure tone representing target F0. The authors used extracted target voice pitch
information to modulate the tone, and manipulated both the frequency of the
carrier (mean F0), as well as the standard deviation of the voice pitch
information (F0 variation). RESULTS: A decline in EAS benefit was observed at the
lowest mean F0 tested, but this decline disappeared when F0 variation was reduced
to be proportional to the amount of the shift in frequency (i.e., when F0 was
shifted logarithmically instead of linearly). CONCLUSION: Lowering mean F0 by
shifting the frequency of a pure tone carrying target voice pitch information can
provide as much EAS benefit as an unshifted tone, at least in the current
simulation of EAS. These results may have implications for CI users with
extremely limited residual acoustic hearing.
PMID- 26565787
TI - Uridine from Pleurotus giganteus and Its Neurite Outgrowth Stimulatory Effects
with Underlying Mechanism.
AB - Neurodegenerative diseases are linked to neuronal cell death and impairment of
neurite outgrowth. An edible mushroom, Pleurotus giganteus was found to stimulate
neurite outgrowth in vitro but the chemical constituents and the underlying
mechanism is yet to be elucidated. The chemical constituents of P. giganteus
(linoleic acid, oleic acid, cinnamic acid, caffeic acid, p-coumaric acid,
succinic acid, benzoic acid, and uridine) were tested for neurite outgrowth
activity. Uridine (100 MUM) was found to increase the percentage of neurite
bearing cells of differentiating neuroblastoma (N2a) cells by 43.1 +/- 0.5%,
which was 1.8-fold higher than NGF (50 ng/mL)-treated cells. Uridine which was
present in P. giganteus (1.80 +/- 0.03 g/100g mushroom extract) increased the
phosphorylation of extracellular-signal regulated kinases (ERKs) and protein
kinase B (Akt). Further, phosphorylation of the mammalian target of rapamycin
(mTOR) was also increased. MEK/ERK and PI3K-Akt-mTOR further induced
phosphorylation of cAMP-response element binding protein (CREB) and expression of
growth associated protein 43 (GAP43); all of which promoted neurite outgrowth of
N2a cells. This study demonstrated that P. giganteus may enhance neurite
outgrowth and one of the key bioactive molecules responsible for neurite
outgrowth is uridine.
PMID- 26565789
TI - Subtherapeutic Posaconazole Exposure and Treatment Outcome in Patients With
Invasive Fungal Disease.
AB - BACKGROUND: Posaconazole exposure seems to be subtherapeutic in some patients
with invasive fungal disease. Due to the pharmacokinetic variability of
posaconazole, therapeutic drug monitoring may help to optimize the efficacy of
this antifungal drug. METHODS: A retrospective study of patients treated with
posaconazole from January 2008 to April 2014 and for whom posaconazole serum
concentrations were available was conducted. Risk factors for underexposure of
posaconazole were detected, and the relationship between posaconazole exposure
and treatment outcome according to the European Organization for Research and
Treatment of Cancer (EORTC) criteria was assessed. RESULTS: Seventy patients met
the inclusion criteria, 45 patients received posaconazole as treatment, and 25
patients received posaconazole as a prophylactic. Posaconazole serum trough
concentrations were <1.25 mg/L in 44.4% of patients receiving treatment and <0.7
mg/L in 40.0% of patients receiving prophylactic posaconazole. Multiple linear
regression analysis showed a significant, independent, and negative association
of the posaconazole serum trough concentration with a lack of enteral nutrition
(P < 0.001), vomiting (P = 0.035), the use of a proton pump inhibitor or H2
receptor antagonist (P < 0.001), a liquid diet (P = 0.002), concomitant
chemotherapy (P = 0.004), and a posaconazole dose frequency of 2 times daily (P =
0.015). A higher posaconazole concentration was associated with a better
treatment outcome [odds ratio = 22.22 (95% confidence interval, 3.40-145.33); P =
0.001]. CONCLUSIONS: Posaconazole exposure is insufficient in more than 40% of
patients at risk of or with invasive fungal disease, and posaconazole exposure is
positively correlated with a successful treatment outcome. Therapeutic drug
monitoring of posaconazole can detect underexposure and can be helpful in
treatment optimization.
PMID- 26565790
TI - Therapeutic Drug Monitoring of Pentobarbital: Experience at an Academic Medical
Center.
AB - BACKGROUND: Pentobarbital is used for management of intractable seizures and for
reducing elevated intracranial pressure. Dosing of pentobarbital can be aided by
therapeutic drug monitoring (TDM). There is no commercially available automated
assay for measurement of pentobarbital serum/plasma concentrations; consequently,
chromatography-based assays are often used. METHODS: Pentobarbital TDM was
studied over a 14-year period at an academic medical center. 154 patients (94
adult, 60 pediatric) were identified who had pentobarbital levels ordered at
least once during a hospital encounter. Chart review included patient diagnosis,
indication for pentobarbital therapy, recent or concomitant medication with other
barbiturates, patient disposition, organ donation, pentobarbital dosing changes,
and neurosurgical procedures. Pentobarbital serum/plasma concentrations were
determined on an automated clinical chemistry platform with a laboratory
developed test adapted from a urine barbiturates immunoassay. RESULTS: Chart
review showed therapeutic use of pentobarbital generally consistent with
previously published literature. The most common errors observed involved
confusion in barbiturate names (eg, mix-up of pentobarbital and phenobarbital in
test ordering or in provider notes) that seemed to have minimal impact on TDM
effectiveness, with pentobarbital serum/plasma concentrations generally within
target ranges. The laboratory-developed pentobarbital immunoassay showed cross
reactivity with phenobarbital and butalbital that was eliminated by alkaline and
heat pretreatment. The immunoassay was linear to 20 mcg/mL and correlated closely
with gas chromatography-mass spectrometry measurements at a reference laboratory.
CONCLUSIONS: Pentobarbital TDM can be performed by immunoassay on an automated
clinical chemistry platform, providing an alternative to chromatography-based
methods. Confusion in barbiturate names is common, especially pentobarbital and
phenobarbital.
PMID- 26565788
TI - Evaluating Serum Markers for Hormone Receptor-Negative Breast Cancer.
AB - INTRODUCTION: Breast cancer is the most frequently diagnosed cancer and the
leading cause of cancer death in females worldwide. Death rates have been
declining, largely as a result of early detection through mammography and
improved treatment, but mammographic screening is controversial because of over
diagnosis of breast disease that might not require treatment, and under-diagnosis
of cancer in women with dense breasts. Breast cancer screening could be improved
by pairing mammography with a tumor circulating marker, of which there are
currently none. Given genomic similarities between the basal breast cancer
subtype and serous ovarian cancer, and given our success in identifying
circulating markers for ovarian cancer, we investigated the performance in
hormone receptor-negative breast cancer detection of both previously identified
ovarian serum markers and circulating markers associated with transcripts that
were differentially expressed in breast cancer tissue compared to healthy breast
tissue from reduction mammaplasties. METHODS: We evaluated a total of 15 analytes
(13 proteins, 1 miRNA, 1 autoantibody) in sera drawn at or before breast cancer
surgery from 43 breast cancer cases (28 triple-negative-TN-and 15 hormone
receptor-negative-HRN-/ HER2-positive) and 87 matched controls. RESULTS: In the
analysis of our whole cohort of breast cancer cases, autoantibodies to TP53
performed significantly better than the other selected 14 analytes showing 25.6%
and 34.9% sensitivity at 95% and 90% specificity respectively with AUC: 0.7
(p<0.001). The subset of 28 TN cancers showed very similar results. We observed
no correlation between anti-TP53 and the 14 other markers; however, anti-TP53
expression correlated with Body-Mass-Index. It did not correlate with tumor size,
positive lymph nodes, tumor stage, the presence of metastases or recurrence.
CONCLUSION: None of the 13 serum proteins nor miRNA 135b identified women with
HRN or TN breast cancer. TP53 autoantibodies identified women with HRN breast
cancer and may have potential for early detection, confirming earlier reports.
TP53 autoantibodies are long lasting in serum but may be affected by storage
duration. Autoantibodies to TP53 might correlate with Body-Mass-Index.
PMID- 26565792
TI - The use of the core-shell structure of zero-valent iron nanoparticles (NZVI) for
long-term removal of sulphide in sludge during anaerobic digestion.
AB - A core-shell structure results in zero-valent iron nanoparticles (NZVI) with
manifold functional properties. In this study, the long-term effects of NZVI on
hydrogen sulphide removal in an anaerobic sludge digester were investigated.
Within 20 days, the average hydrogen sulphide content in the biogas was
successfully reduced from 300 (or 3620 of sulphate-rich sludge) mg Nm(-3) to 6.1
(121), 0.9 (3.3) and 0.5 (1.3) mg Nm(-3) in the presence of 0.05, 0.10 and 0.20%
(wt) NZVI, respectively. Methane yield was enhanced at the low NZVI dose (0.05
0.10%) but decreased at the elevated dose (0.20%). Methane production and
volatile solid degradation analyses implied that doses of 0.5-0.10% NZVI could
accelerate sludge stabilization during anaerobic digestion. The phosphorus
fractionation profile suggested that methane production could be inhibited at the
elevated NZVI dose, partly due to the limited availability of soluble phosphorus
due to the immobilization of bioavailable-P through the formation of vivianite.
An analysis of the reducible inorganic sulphur species revealed that the
elimination of hydrogen sulphide occurred via the reaction between hydrogen
sulphide and the oxide shell of NZVI, which mainly formed FeS and some FeS2 and
S(0).
PMID- 26565791
TI - Molecular Characterization of a Novel Family of Trypanosoma cruzi Surface
Membrane Proteins (TcSMP) Involved in Mammalian Host Cell Invasion.
AB - BACKGROUND: The surface coat of Trypanosoma cruzi is predominantly composed of
glycosylphosphatidylinositol-anchored proteins, which have been extensively
characterized. However, very little is known about less abundant surface proteins
and their role in host-parasite interactions. METHODOLOGY/ PRINCIPAL FINDINGS:
Here, we described a novel family of T. cruzi surface membrane proteins (TcSMP),
which are conserved among different T. cruzi lineages and have orthologs in other
Trypanosoma species. TcSMP genes are densely clustered within the genome,
suggesting that they could have originated by tandem gene duplication. Several
lines of evidence indicate that TcSMP is a membrane-spanning protein located at
the cellular surface and is released into the extracellular milieu. TcSMP
exhibited the key elements typical of surface proteins (N-terminal signal peptide
or signal anchor) and a C-terminal hydrophobic sequence predicted to be a trans
membrane domain. Immunofluorescence of live parasites showed that anti-TcSMP
antibodies clearly labeled the surface of all T. cruzi developmental forms. TcSMP
peptides previously found in a membrane-enriched fraction were identified by
proteomic analysis in membrane vesicles as well as in soluble forms in the T.
cruzi secretome. TcSMP proteins were also located intracellularly likely
associated with membrane-bound structures. We demonstrated that TcSMP proteins
were capable of inhibiting metacyclic trypomastigote entry into host cells. TcSMP
bound to mammalian cells and triggered Ca2+ signaling and lysosome exocytosis,
events that are required for parasitophorous vacuole biogenesis. The effects of
TcSMP were of lower magnitude compared to gp82, the major adhesion protein of
metacyclic trypomastigotes, suggesting that TcSMP may play an auxiliary role in
host cell invasion. CONCLUSION/SIGNIFICANCE: We hypothesized that the productive
interaction of T. cruzi with host cells that effectively results in
internalization may depend on diverse adhesion molecules. In the metacyclic
forms, the signaling induced by TcSMP may be additive to that triggered by the
major surface molecule gp82, further increasing the host cell responses required
for infection.
PMID- 26565793
TI - Traits of Heracleum sosnowskyi Plants in Monostand on Invaded Area.
AB - The ability of giant hogweeds to form monodominant communities and even pure
monostands in invaded areas has been well documented. Understanding of the
mechanisms leading to monostand formation can aid in determining the limitations
of existing community ecology models and establishing an effective management
plan for invasive species elimination. The aim of this observational study was to
investigate traits of Heracleum sosnowskyi plants (demography, canopy structure,
morphology and physiology) of the plants in a pure stand in an invaded area
useful for understanding potential monostand formation mechanisms. All
measurements were performed in one typical Heracleum sosnowskyi monostand located
in an abandoned agriculture field located in Syktyvkar city suburb (North-east
Russia). This monostand consisted of five main plant growth stages: seed,
seedling, juvenile, vegetative adult, and generative adult. Plants of all stages
began to grow simultaneously shortly after the snowmelt, at the same time as
spring ephemeral plant species grew. The density of generative plants did not
change during the vegetation period, but the density of the other plant stages
rapidly decreased after the formation of a tall (up to 2-2.5 m) and dense (Leaf
area index up to 6.5) canopy. The canopy captured approximately 97% of the light.
H. sosnowskyi showed high (several orders of magnitude higher than average taiga
zone grasses) photosynthetic water use efficiency (6-7 MUM CO2/MUM H2O).
Formation of H. sosnowskyi monostands occurs primarily in disturbed areas with
relatively rich and well-moistened soils. Early commencement of growth, rapid
formation of a dense canopy, high efficiency of light and water use during
photosynthesis, ability of young plants to survive in low light conditions, rapid
recovery of above-ground plant parts after damage, and the high density of the
soil seed bank are the most important traits of H. sosnowskyi plants for
monostand formation in invaded areas.
PMID- 26565795
TI - MESSAGE FROM THE EDITOR.
PMID- 26565796
TI - Characterization of Chlorinated Aliphatic Hydrocarbons and Environmental
Variables in a Shallow Groundwater in Shanghai Using Kriging Interpolation and
Multifactorial Analysis.
AB - CAHs, as a cleaning solvent, widely contaminated shallow groundwater with the
development of manufacturing in China's Yangtze River Delta. This study focused
on the distribution of CAHs, and correlations between CAHs and environmental
variables in a shallow groundwater in Shanghai, using kriging interpolation and
multifactorial analysis. The results showed that the overall CAHs plume area
(above DIV) was approximately 9,000 m(2) and located in the 2-4 m underground,
DNAPL was accumulated at an area of approximately 1,400 m(2) and located in the 6
8m sandy silt layer on the top of the muddy silty clay. Heatmap of PPC for CAHs
and environmental variables showed that the correlation between "Fe(2+)" and most
CAHs such as "1,1,1-TCA", "1,1-DCA", "1,1-DCE" and "%TCA" were significantly
positive (p<0.001), but "%CA" and/or "%VC" was not, and "Cl-" was significantly
positive correlated with "1,1-DCA" and "1,1-DCE" (p<0.001). The PCA demonstrated
that the relative proportions of CAHs in groundwater were mostly controlled by
the sources and the natural attenuation. In conclusion, the combination of
geographical and chemometrics was helpful to establishing an aerial perspective
of CAHs and identifying reasons for the accumulation of toxic dechlorination
intermediates, and could become a useful tool for characterizing contaminated
sites in general.
PMID- 26565798
TI - Clinical Trials, Data Protection and Patient Empowerment in the Era of the New EU
Regulations.
AB - Cancer clinical trials and, in general, cancer clinical research by definition
need a multi-modality approach. It is not enough to discover and register new
drugs. To get cancer under control requires us to perform complex clinical
studies that integrate drugs, companion diagnostics, new or improved surgical
procedures and new radiotherapy approaches as well as, most importantly, to
integrate all available information. This includes biological material and, of
increasing importance, large amounts of data using big data technologies. To
personalise treatment, genetic data are more and more frequently used. Therefore,
the general approach is holistic. Legislators, on the other hand, work in a silo
mentality; the needs of clinical research are poorly understood, and legislation
focuses on either health care or the commercialisation of a product, and not on
clinical research. In the last 2 years the EU has drafted several major
regulations touching on clinical trials, in vitro diagnostics, medical devices
and data protection, all of which will impact clinical research, although the
silo mentality makes the overall framework inconsistent and potentially highly
damaging to the EU's capacity to make rapid progress in the field of personalised
medicine.
PMID- 26565797
TI - Plasmodium P-Type Cyclin CYC3 Modulates Endomitotic Growth during Oocyst
Development in Mosquitoes.
AB - Cell-cycle progression and cell division in eukaryotes are governed in part by
the cyclin family and their regulation of cyclin-dependent kinases (CDKs).
Cyclins are very well characterised in model systems such as yeast and human
cells, but surprisingly little is known about their number and role in
Plasmodium, the unicellular protozoan parasite that causes malaria. Malaria
parasite cell division and proliferation differs from that of many eukaryotes.
During its life cycle it undergoes two types of mitosis: endomitosis in asexual
stages and an extremely rapid mitotic process during male gametogenesis. Both
schizogony (producing merozoites) in host liver and red blood cells, and
sporogony (producing sporozoites) in the mosquito vector, are endomitotic with
repeated nuclear replication, without chromosome condensation, before cell
division. The role of specific cyclins during Plasmodium cell proliferation was
unknown. We show here that the Plasmodium genome contains only three cyclin
genes, representing an unusual repertoire of cyclin classes. Expression and
reverse genetic analyses of the single Plant (P)-type cyclin, CYC3, in the rodent
malaria parasite, Plasmodium berghei, revealed a cytoplasmic and nuclear location
of the GFP-tagged protein throughout the lifecycle. Deletion of cyc3 resulted in
defects in size, number and growth of oocysts, with abnormalities in budding and
sporozoite formation. Furthermore, global transcript analysis of the cyc3-deleted
and wild type parasites at gametocyte and ookinete stages identified
differentially expressed genes required for signalling, invasion and oocyst
development. Collectively these data suggest that cyc3 modulates oocyst
endomitotic development in Plasmodium berghei.
PMID- 26565799
TI - Effects of Urban Landscape Pattern on PM2.5 Pollution--A Beijing Case Study.
AB - PM2.5 refers to particulate matter (PM) in air that is less than 2.5 MUm in
aerodynamic diameter, which has negative effects on air quality and human health.
PM2.5 is the main pollutant source in haze occurring in Beijing, and it also has
caused many problems in other cities. Previous studies have focused mostly on the
relationship between land use and air quality, but less research has specifically
explored the effects of urban landscape patterns on PM2.5. This study considered
the rapidly growing and heavily polluted Beijing, China. To better understand the
impact of urban landscape pattern on PM2.5 pollution, five landscape metrics
including PLAND, PD, ED, SHEI, and CONTAG were applied in the study. Further,
other data, such as street networks, population density, and elevation considered
as factors influencing PM2.5, were obtained through RS and GIS. By means of
correlation analysis and stepwise multiple regression, the effects of landscape
pattern on PM2.5 concentration was explored. The results showed that (1) at class
level, vegetation and water were significant landscape components in reducing
PM2.5 concentration, while cropland played a special role in PM2.5 concentration;
(2) landscape configuration (ED and PD) features at class-level had obvious
effects on particulate matter; and (3) at the landscape-level, the evenness
(SHEI) and fragmentation (CONTAG) of the whole landscape related closely with
PM2.5 concentration. Results of this study could expand our understanding of the
role of urban landscape pattern on PM2.5 and provide useful information for urban
planning.
PMID- 26565800
TI - The Divergent CD8+ T Cell Adjuvant Properties of LT-IIb and LT-IIc, Two Type II
Heat-Labile Enterotoxins, Are Conferred by Their Ganglioside-Binding B Subunits.
AB - Poor immune responses elicited by vaccine antigens can be enhanced by the use of
appropriate adjuvants. Type II heat-labile enterotoxins (HLT) produced by
Escherichia coli are extremely potent adjuvants that augment both humoral and
cellular immunity to co-administered antigens. Recent findings demonstrate that
LT-IIb and LT-IIc, two type II HLT adjuvants, exhibit potent, yet distinguishable
CD8(+) T cell adjuvant properties. While LT-IIc elicits a robust and rapid
response at one week after administration, LT-IIb engenders a more gradual and
slower expansion of antigen-specific CD8(+) T cells that correlates with improved
immunity. The variations in immune effects elicited by the HLT adjuvants have
been generally attributed to their highly divergent B subunits that mediate
binding to various gangliosides on cell surfaces. Yet, HLT adjuvants with point
mutations in the B subunit that significantly alter ganglioside binding retain
similar adjuvant functions. Therefore, the contribution of the B subunits to
adjuvanticity remains unclear. To investigate the influence of the B subunits on
the enhancement of immune responses by LT-IIb and LT-IIc, chimeric HLT were
engineered in which the B subunits of the two adjuvants were exchanged. Comparing
the immune potentiating characteristics of both native and chimeric HLT
adjuvants, it was found that not all the adjuvant characteristics of the HLT
adjuvants were modulated by the respective B subunits. Specifically, the
differences in the CD8(+) T cell kinetics and protective responses elicited by LT
IIb and LT-IIc did indeed followed their respective B subunits. However,
induction of IL-1 from macrophages and the capacity to intoxicate cells in a
mouse Y1 adrenal cell bioassay did not correlate with the B subunits. Therefore,
it is likely that additional factors other than the B subunits contribute to the
effects elicited by the HLT adjuvants.
PMID- 26565801
TI - Rangeland Condition Monitoring: A New Approach Using Cross-Fence Comparisons of
Remotely Sensed Vegetation.
AB - A need exists in arid rangelands for effective monitoring of the impacts of
grazing management on vegetation cover. Monitoring methods which utilize remotely
sensed imagery may have comprehensive spatial and temporal sampling, but do not
necessarily control for spatial variation of natural variables, such as
landsystem, vegetation type, soil type and rainfall. We use the inverse of the
red band from Landsat TM satellite imagery to determine levels of vegetation
cover in a 22,672 km(2) area of arid rangeland in central South Australia. We
interpret this wealth of data using a cross-fence comparison methodology,
allowing us to rank paddocks (fields) in the study region according to
effectiveness of grazing management. The cross-fence comparison methodology
generates and solves simultaneous equations of the relationship between each
paddock and all other paddocks, derived from pairs of cross-fence sample points.
We compare this ranking from two image dates separated by six years, during which
management changes are known to have taken place. Changes in paddock rank
resulting from the cross-fence comparison method show strong correspondence to
those predicted by grazing management in this region, with a significant
difference between the two common management types; a change from full stocking
rate to light 20% stocking regime (Major Stocking Reduction) and maintenance of
full 100% stocking regime (Full Stocking Maintained) (P = 0.00000132). While no
paddocks had a known increase in stocking rate during the study period, many had
a reduction or complete removal in stock numbers, and many also experienced
removals of pest species, such as rabbits, and other ecosystem restoration
activities. These paddocks generally showed an improvement in rank compared to
paddocks where the stocking regime remained relatively unchanged. For the first
time, this method allows us to rank non-adjacent paddocks in a rangeland region
relative to each other, while controlling for natural spatio-temporal variables
such as rainfall, soil type, and vegetation community distributions, due to the
nature of the cross-fence experimental design, and the spatially comprehensive
data available in satellite imagery. This method provides a potential tool to aid
land managers in decision making processes, particularly with regard to stocking
rates.
PMID- 26565802
TI - Structural refinement of the RT LaOF phases by coupling powder X-Ray diffraction,
(19)F and (139)La solid state NMR and DFT calculations of the NMR parameters.
AB - The structures of the beta- and t-LaOF phases have been refined from XRPD
patterns. For both phases, (19)F and (139)La solid-state NMR spectra recorded at
high magnetic fields show the presence of a single F and a single La local
environment, indicating a full anionic ordering in these oxyfluoride compounds.
DFT calculations of the (19)F and (139)La chemical shielding tensors and of the
(139)La EFG tensor have been performed for the proposed structural models. The
observed good agreement between experimental and calculated NMR parameters for
both phases highlights the accuracy of the structural data.
PMID- 26565803
TI - Correction: Africa's Oesophageal Cancer Corridor: Geographic Variations in
Incidence Correlate with Certain Micronutrient Deficiencies.
PMID- 26565804
TI - A dewetting route to grow heterostructured nanoparticles based on thin film
heterojunctions.
AB - Heterostructured nanoparticles have received considerable attention for their
various applications due to their unique and tunable functionalities with respect
to their individual bulk constituents. However, the current wet chemical
synthesis of multicomponent heterostructured nanoparticles is rather complicated.
Here, we report a simple and quick method to fabricate Co-Au dumbbell arrays by
dewetting Co/Au heterojunctions on a Si substrate and demonstrate that the Co-Au
dumbbells vary in size from 2 to 28 nm. We further show by chemical mapping that
Co bells are covered by a pseudomorphic Au wetting layer of ~4 A, preventing the
bells from oxidation. By controlling the thickness of metal heterojunctions and
the annealing time, the morphology of the Co-Au nanoparticle is found to be
transformed from the dumbbell to the core shell. This facile route is
demonstrated to be useful for fabricating other metal-metal and metal-oxide
heterostructures and hence holds technological promise for functional
applications.
PMID- 26565805
TI - A critical assessment of methods to recover information from averaged data.
AB - Conformational heterogeneity is key to the function of many biomacromolecules,
but only a few groups have tried to characterize it until recently. Now, thanks
to the increased throughput of experimental data and the increased computational
power, the problem of the characterization of protein structural variability has
become more and more popular. Several groups have devoted their efforts in trying
to create quantitative, reliable and accurate protocols for extracting such
information from averaged data. We analyze here different approaches, discussing
strengths and weaknesses of each. All approaches can roughly be clustered into
two groups: those satisfying the maximum entropy principle and those recovering
ensembles composed of a restricted number of molecular conformations. In the
first case, the solution focuses on the features that are common to all the
infinite solutions satisfying the experimental data; in the second case, the
reconstructed ensemble shows the conformational regions where a large probability
can be placed. The upper limits for conformational probabilities (MaxOcc) can
also be calculated. We also give an overview of the mainstream experimental
observables, with considerations on the assumptions underlying their usage.
PMID- 26565806
TI - Tailoring the transmission lineshape spectrum of zigzag graphene nanoribbon based
heterojunctions via controlling their width and edge protrusions.
AB - We report a first-principles analysis of electron transport through narrow zigzag
graphene nanoribbon (up to 2.2 nm) based wedge-shaped heterojunctions. We show
that the width difference between the electrode and the scattering region and the
edge protrusion of heterojunctions can be tuned to endow the system's
transmission spectrum with distinctive features. In particular, transport through
junctions with a one sided protrusion in the scattering region is always
dominated by a Breit-Wigner-type resonance right at the Fermi level, regardless
of the large or small width difference. On the other hand, a junction with
protrusions on both sides of the scattering region shows insulating behaviour
near the Fermi level for a large width difference but weak transmission channels
are formed at the core of the scattering region for a small width difference.
When the protrusion is absent in the junction, transmission functions display
rather complex structures: double peaks situating nearly symmetrically away from
the Fermi level and a strongly asymmetric profile in the vicinity of the Fermi
level are observed for large and small width differences, respectively. These
results may shed light on the design of real connecting components in
nanocircuits.
PMID- 26565807
TI - Elevated lipoprotein (a) levels predict deep vein thrombosis in acute ischemic
stroke patients.
AB - Lipoprotein (a) [Lp(a)] plays a crucial role in the pathogenesis of deep vein
thrombosis (DVT). The purpose of this study was to investigate whether Lp(a)
serum levels at admission could be a risk factor for DVT in Chinese patients with
acute ischemic stroke (AIS). A total of 232 patients with AIS were included in
the study. The patients were assessed for DVT using colour Doppler
ultrasonography. We performed colour Doppler ultrasonography 15 days after the
stroke and whenever clinically requested. The value of Lp(a) to predict the DVT
was analyzed using logistic regression analysis after adjusting for the possible
confounders. In our study, 44 out of the 232 patients (19.0%) were diagnosed with
DVT at 15-day follow-up. Serum Lp(a) levels were higher in AIS with DVT than in
those patients without DVT [656 (interquartile range, 521-898) mg/l vs. 253
(interquartile range, 143-440) mg/l; P<0.0001]. Increased risk of DVT associated
with Lp(a) levels greater than or equal to 300 mg/l was found in the multivariate
analysis [odds ratio 12.14, 95% confidence interval (CI): 3.08-42.09; P<0.0001].
Visible by the receiver operating characteristic, the optimal cutoff value of
serum Lp(a) levels for predicting DVT was projected to be 420 mg/l, yielding a
sensitivity of 88.5% and a specificity of 75.4%. With an area under the curve
(AUC) of 0.89 (95% CI, 0.84-0.94), Lp(a) exhibited greater discrimination in
predicting DVT compared with Hs-CRP (AUC, 0.77; 95% CI, 0.69-0.85; P<0.01), HCY
(AUC, 0.76; 95% CI, 0.68-0.84; P<0.01), and NIHSS score (AUC, 0.74; 95% CI, 0.66
0.82; P<0.001). Elevated serum Lp(a) levels were independent predictors of DVT in
AIS patients in China, revealing the critical role played by Lp(a) in the
pathogenesis of DVT.
PMID- 26565808
TI - Mirror neurons as a model for the science and treatment of stuttering.
AB - Persistent developmental stuttering is generally considered a speech disorder and
affects ~1% of the global population. While mainstream treatments continue to
rely on unreliable behavioral speech motor targets, an emerging research
perspective utilizes the mirror neuron system hypothesis as a neural substrate in
the science and treatment of stuttering. The purpose of this exploratory study is
to test the viability of the mirror neuron system hypothesis in the fluency
enhancement of those who stutter. Participants were asked to speak while they
were producing self-generated manual gestures, producing and visually perceiving
self-generated manual gestures, and visually perceiving manual gestures, relative
to a nonmanual gesture control speaking condition. Data reveal that all
experimental speaking conditions enhanced fluent speech in all research
participants, and the simultaneous perception and production of manual gesturing
trended toward greater efficacious fluency enhancement. Coupled with existing
research, we interpret these data as suggestive of fluency enhancement through
subcortical involvement within multiple levels of an action understanding mirror
neuron network. In addition, incidental findings report that stuttering moments
were observed to simultaneously occur both orally and manually. Consequently,
these data suggest that stuttering behaviors are compensatory, distal
manifestations over multiple expressive modalities to an underlying centralized
genetic neural substrate of the disorder.
PMID- 26565809
TI - Variations in Glycogen Synthesis in Human Pluripotent Stem Cells with Altered
Pluripotent States.
AB - Human pluripotent stem cells (hPSCs) represent very promising resources for cell
based regenerative medicine. It is essential to determine the biological
implications of some fundamental physiological processes (such as glycogen
metabolism) in these stem cells. In this report, we employ electron,
immunofluorescence microscopy, and biochemical methods to study glycogen
synthesis in hPSCs. Our results indicate that there is a high level of glycogen
synthesis (0.28 to 0.62 MUg/MUg proteins) in undifferentiated human embryonic
stem cells (hESCs) compared with the glycogen levels (0 to 0.25 MUg/MUg proteins)
reported in human cancer cell lines. Moreover, we found that glycogen synthesis
was regulated by bone morphogenetic protein 4 (BMP-4) and the glycogen synthase
kinase 3 (GSK-3) pathway. Our observation of glycogen bodies and sustained
expression of the pluripotent factor Oct-4 mediated by the potent GSK-3 inhibitor
CHIR-99021 reveals an altered pluripotent state in hPSC culture. We further
confirmed glycogen variations under different naive pluripotent cell growth
conditions based on the addition of the GSK-3 inhibitor BIO. Our data suggest
that primed hPSCs treated with naive growth conditions acquire altered
pluripotent states, similar to those naive-like hPSCs, with increased glycogen
synthesis. Furthermore, we found that suppression of phosphorylated glycogen
synthase was an underlying mechanism responsible for altered glycogen synthesis.
Thus, our novel findings regarding the dynamic changes in glycogen metabolism
provide new markers to assess the energetic and various pluripotent states in
hPSCs. The components of glycogen metabolic pathways offer new assays to
delineate previously unrecognized properties of hPSCs under different growth
conditions.
PMID- 26565810
TI - Early-Life Exposure to Clostridium leptum Causes Pulmonary Immunosuppression.
AB - INTRODUCTION: Low Clostridium leptum levels are a risk factor for the development
of asthma. C. leptum deficiency exacerbates asthma; however, the impact of early
life C. leptum exposure on cesarean-delivered mice remains unclear. This study is
to determine the effects of early-life C. leptum exposure on asthma development
in infant mice. METHODS: We exposed infant mice to C. leptum (fed-CL) and then
induced asthma using the allergen ovalbumin (OVA). RESULTS: Fed-CL increased
regulatory T (Treg) cells in cesarean-delivered mice compared with vaginally
delivered mice. Compared with OVA-exposed mice, mice exposed to C. leptum + OVA
did not develop the typical asthma phenotype, which includes airway hyper
responsiveness, cell infiltration, and T helper cell subset (Th1, Th2, Th9, Th17)
inflammation. Early-life C. leptum exposure induced an immunosuppressive
environment in the lung concurrent with increased Treg cells, resulting in the
inhibition of Th1, Th2, Th9, and Th17 cell responses. CONCLUSION: These findings
demonstrate a mechanism whereby C. leptum exposure modulates adaptive immunity
and leads to failure to develop asthma upon OVA sensitization later in life.
PMID- 26565811
TI - Loss of function mutations in PTPN6 promote STAT3 deregulation via JAK3 kinase in
diffuse large B-cell lymphoma.
AB - PTPN6 (SHP1) is a tyrosine phosphatase that negatively controls the activity of
multiple signaling pathways including STAT signaling, however role of mutated
PTPN6 is not much known. Here we investigated whether PTPN6 might also be a
potential target for diffuse large B cell lymphoma (DLBCL) and performed Sanger
sequencing of the PTPN6 gene. We have identified missense mutations within PTPN6
(N225K and A550V) in 5% (2/38) of DLBCL tumors. Site directed mutagenesis was
performed to mutate wild type (WT) PTPN6 and stable cell lines were generated by
lentiviral transduction of PTPN6(WT), PTPN6(N225K) and PTPN6(A550V) constructs,
and effects of WT or mutated PTPN6 on STAT3 signaling were analyzed. WT PTPN6
dephosphorylated STAT3, but had no effect on STAT1, STAT5 or STAT6
phosphorylation. Both PTPN6 mutants were unable to inhibit constitutive, as well
as cytokines induced STAT3 activation. Both PTPN6 mutants also demonstrated
reduced tyrosine phosphatase activity and exhibited enhanced STAT3
transactivation activity. Intriguingly, a lack of direct binding between STAT3
and WT or mutated PTPN6 was observed. However, compared to WT PTPN6, cells
expressing PTPN6 mutants exhibited increased binding between JAK3 and PTPN6
suggesting a more dynamic interaction of PTPN6 with upstream regulators of STAT3.
Consistent with this notion, both the mutants demonstrated increased resistance
to JAK3 inhibitor, WHIP-154 relative to WT PTPN6. Overall, this is the first
study, which demonstrates that N225K and A550V PTPN6 mutations cause loss-of
function leading to JAK3 mediated deregulation of STAT3 pathway and uncovers a
mechanism that tumor cells can use to control PTPN6 substrate specificity.
PMID- 26565812
TI - Overexpressed CISD2 has prognostic value in human gastric cancer and promotes
gastric cancer cell proliferation and tumorigenesis via AKT signaling pathway.
AB - CDGSH iron sulfur domain 2 (CISD2) is localized in the outer mitochondrial
membrane and mediates mitochondrial integrity and lifespan in mammals, but its
role in cancer is unknown. In the current study, we reported that CISD2 mRNA and
protein expression levels were significantly upregulated in gastric cancer cells
compared to normal gastric epithelial cells (P < 0.001). Immunohistochemical
analysis of 261 paraffin-embedded archived gastric cancer tissues showed that
high CISD2 expression was significantly associated with clinical stage, TNM
classifications, venous invasion and lymphatic invasion. Univariate and
multivariate analysis indicated that high CISD2 expression was an independent
prognostic factor for poorer overall survival in the entire cohort.
Overexpressing CISD2 promoted, while silencing CISD2 inhibited, the proliferation
of gastric cancer cells. Furthermore, we found that silencing endogenous CISD2
also significantly inhibited the proliferation and tumorigenicity of MGC-803 and
SGC-7901 cells not only in vitro but also in vivo in NOD/SCID mice (P < 0.05).
Furthermore, we found that CISD2 affected cell proliferation and tumorigenicity
of gastric cancer cells through mediating the G1-to-S phase transition. Moreover,
we demonstrated that the pro-proliferative effect of CISD2 on gastric cancer
cells was associated with downregulation of cyclin-dependent kinase inhibitor
p21Cip1 and p27Kip1, and activation of AKT signaling. The findings of this study
indicate that CISD2 may promote proliferation and tumorigenicity, potentially
representing a novel prognostic marker for overall survival in gastric cancer.
PMID- 26565814
TI - Anatomy from the outside in: a new on-line surface anatomy guide.
PMID- 26565813
TI - Simvastatin-induced breast cancer cell death and deactivation of PI3K/Akt and
MAPK/ERK signalling are reversed by metabolic products of the mevalonate pathway.
AB - Statins purportedly exert anti-tumoral effects on breast cancer. However, the
biologic mechanisms for these actions are not fully elucidated. The aims of this
study were 1) to explore the effects of simvastatin on apoptosis, proliferation
as well as PI3K/Akt/mTOR and MAPK/ERK pathway in a window-of-opportunity breast
cancer trial; 2) to further confirm findings from the clinical trial by
functional studies; 3) to explore the regulatory role of mevalonate pathway on
the anti-tumoral effects of simvastatin. In clinical samples, simvastatin led to
increase in cleaved caspase-3 (p = 0.002) and decreased trend for Ki67 (p =
0.245). Simvastatin markedly suppressed PI3K/Akt/mTOR signalling by activating
PTEN (p = 0.005) and by dephosphorylating Akt (p = 0.002) and S6RP (p = 0.033);
it also inhibited MAPK/ERK pathway by dephosphorylating c-Raf (p = 0.018) and
ERK1/2 (p = 0.002). In ER-positive (MCF-7, T47D) and ER-negative (MDA-MB-231, BT
549) breast cancer cells, simvastatin treatment consistently induced apoptosis
and inhibited proliferation by deregulating caspase cascades and cell cycle
proteins in a dose dependent manner. Concordantly, simvastatin strongly
suppressed PI3K/Akt/mTOR pathway by enhancing PTEN expression and by further
sequentially dephosphorylating downstream cascades including Akt, mTOR, p70S6K,
S6RP and 4E-BP1. Furthermore, simvastatin significantly inhibited MAPK/ERK
pathway by dephosphorylating sequential cascades such as c-Raf, MEK1/2 and
ERK1/2. These simvastatin anti-tumoral effects were reversed by metabolic
products of the mevalonate pathway, including mevalonate, farnesyl pyrophosphate
and geranylgeranyl pyrophosphate. These findings shed light on the biological and
potential anti-tumoral effects of simvastatin in breast cancer.
PMID- 26565816
TI - Singular optical lattice generation using light beams with orbital angular
momentum.
AB - In this Letter we numerically and experimentally demonstrated that a lattice with
an optical vortex distributed over the entire lattice can be generated in the
Fourier space using three higher-order Laguerre-Gauss beams placed at the
vertices of an equilateral triangle in real space. In this scheme the optical
vortice's lattice presents a topological defect in its central region. Probing
the net topological charge of the whole lattice, we found that it corresponds to
the topological charge associated with the orbital angular momentum of each beam
in real space.
PMID- 26565815
TI - Warmth and nociceptive evoked potentials in cold-induced sweating syndrome type
1.
AB - INTRODUCTION: Cold-induced sweating syndrome type 1 (CISS1), is a rare, severe,
autosomal recessive disease. It is characterized by morphological alterations and
profuse sweating when ambient temperature is <22 degrees C. Although some
individuals with CISS1 have decreased pain perception, no study has been
conducted to evaluate thermal and pain sensations in these patients. The aim of
this study was to assess the function of the nociceptive Adelta-fibers and warmth
C-fibers by using CO2 laser-evoked potentials (LEPs) in patients affected by
CISS1. METHODS: Four patients were studied. Laser pulses were applied to the skin
of the right hand and the perioral region at painful intensity to record Adelta
LEPs, and at non-painful intensity to obtain C-LEPs. Fifteen healthy subjects
were studied for control purposes. RESULTS: No significant difference in
latencies or amplitudes of either Adelta- or C-LEPs was found between the 2
groups. CONCLUSION: Cutaneous nociceptive and warmth pathway functions are normal
in CISS1. Muscle Nerve 54: 100-103, 2016.
PMID- 26565817
TI - Electro-optically spectrum tailorable intracavity optical parametric oscillator.
AB - We report a unique, pulsed intracavity optical parametric oscillator (IOPO) whose
output spectrum is electro-optically (EO) tailorable based on an aperiodically
poled lithium niobate (APPLN) working simultaneously as an optical parametric
gain medium and an active gain spectrum filter in the system. We have
successfully obtained from the IOPO the emission of single to multiple narrow
line signal spectral peaks in a near-infrared (1531 nm) band simply by electro
optic control. The power spectral density of the EO tailored signal can be
enhanced by up to 10 times over the original (nontailored) signal.
PMID- 26565818
TI - Multi-wavelength distributed feedback laser array with very high wavelength
spacing precision.
AB - Multi-wavelength laser arrays (MLAs) with a high wavelength-spacing precision are
designed and fabricated. The MLAs are realized by the reconstruction-equivalent
chirp (REC) technique, and anti-reflection structures are used to decrease the
wavelength deviation. Six multi-wavelength laser arrays are fabricated and
tested. Statistical results show that 81.1% of all 95 lasers have wavelength
deviations within +/-0.1 nm, and all of them are within +/-0.2 nm. The mean
wavelength spacing is 1.605 nm, and the standard deviation is 0.132 nm.
PMID- 26565819
TI - Achieving nonreciprocal unidirectional single-photon quantum transport using the
photonic Aharonov-Bohm effect.
AB - We show that nonreciprocal unidirectional single-photon quantum transport can be
achieved with the photonic Aharonov-Bohm effect. The system consists of a 1D
waveguide coupling to two three-level atoms of the V-type. The two atoms, in
addition, are each driven by an external coherent field. We show that the phase
of the external coherent field provides a gauge potential for the photon states.
With a proper choice of the phase difference between the two coherent fields, the
transport of a single photon can exhibit unity contrast in its transmissions for
the two propagation directions.
PMID- 26565820
TI - Ptychography in anisotropic media.
AB - This letter describes ptychography in the context of polarized light probing
anisotropic specimen (i.e., showing properties of birefringence and/or
diattenuation). We established an optimization strategy using a vectorial
formalism. We propose a measurement scheme using a set of linearly polarized
probes and linear polarization analyzers, which allows the retrieval of the full
anisotropy map of the specimen.
PMID- 26565821
TI - Biperiodic nanostructured waveguides for wavelength-selectivity of hybrid
photonic devices.
AB - A biperiodic nanostructuration consisting of a super-periodicity added to a
nanohole lattice of subwavelength pitch is demonstrated to provide both modal
confinement and wavelength selectivity within a hybrid III-V on a silicon
waveguide. The wavelength-selective behavior stems from finely tuned larger
holes. Such biperiodic hybrid waveguides have been fabricated by oxide-free
bonding III-V material on silicon and display well-defined stop bands. Such
nanostructured waveguides offer the versatility for designing advanced optical
functions within hybrid devices. Moreover, keeping the silicon waveguide surface
planar, such nanostructured waveguides are compatible with electrical operation
across the oxide-free hybrid interface.
PMID- 26565822
TI - Demonstration of an ultrasensitive refractive-index plasmonic sensor by enabling
its quadrupole resonance in phase interrogation.
AB - We present an ultrasensitive plasmonic sensing system by introducing a
nanostructured X-shaped plasmonic sensor (XPS) and measuring its localized
optical properties in phase interrogation. Our tailored XPS exhibits two major
resonant modes of a low-order dipole and a high-order quadrupole, between which
the quadrupole resonance allows an ultrahigh sensitivity, due to its higher
quality factor. Furthermore, we design an in-house common-path phase
interrogation system, in contrast to conventional wavelength-interrogation
methods, to achieve greater sensing capability. The experimental measurement
shows that the sensing resolution of the XPS reaches 1.15*10(-6) RIU, not only
two orders of magnitude greater than the result of the controlled extinction
measurement (i.e., 9.90*10(-5) RIU), but also superior than current reported
plasmonic sensors.
PMID- 26565823
TI - Smartphone laser beam spatial profiler.
AB - A simple, low-cost, portable, smartphone-based laser beam profiler for
characterizing laser beam profiles is reported. The beam profiler utilizes a
phosphor silica glass plate to convert UV light into visible (green) light that
can be directly imaged onto an existing smartphone CMOS chip and analyzed using a
customized app. 3D printing enables the ready fabrication of the instrument
package. The beam's diameter, shape, divergence, beam quality factor, and output
power are measured for two UV lasers: a CW 244 nm frequency-doubled Ar ion laser
and a pulsed 193 nm ArF exciplex laser. The availability of specialized phosphor
converters can extend the instrument from the UV to the near infrared and beyond,
and the smartphone platform extends the Internet of Things to map laser beam
profiles simultaneously in different locations.
PMID- 26565824
TI - Self-compression in a solid fiber to 24 MW peak power with few-cycle pulses at 2
MUm wavelength.
AB - We report on the experimental realization of a compact, fiber-based, ultrashort
pulse laser system in the 2 MUm wavelength region delivering 24 fs pulse duration
with 24 MW pulse peak power and 24.6 W average power. This performance level has
been enabled by the favorable quadratic wavelength-dependence of the self
focusing limit, which has been experimentally verified to be at approximately 24
MW for circular polarization in a solid-core fused-silica fiber operated at a
wavelength around 2 MUm. The anomalous dispersion in this wavelength region
allows for a simultaneous nonlinear spectral broadening and temporal pulse
compression. This makes an additional compression stage redundant and facilitates
a very simple and power-scalable approach. Simulations that include both the
nonlinear pulse evolution and the transverse optical Kerr effect support the
experimental results.
PMID- 26565825
TI - Terahertz radiation from an InAs surface due to lateral photocurrent transients.
AB - We report on terahertz (THz) emission from a (111)-cut InAs crystal in the
reflection and transmission directions, excited by femtosecond optical pulses in
the direction of its surface normal. THz pulse amplitudes emitted from the
crystal surface in this case were only ~20% smaller than for optimal
photoexcitation at a 45 degrees angle. This observation evidences that THz
emission from InAs is caused by lateral photocurrent transients appearing due to
a crystal anisotropy rather than directly by the photo-Dember effect, which
creates fast changing electric polarization perpendicular to the surface. Such a
simple geometry of the photoexcitation could greatly enhance the fields of
surface THz emitter applications.
PMID- 26565826
TI - Scattering analysis for random antireflective structures on fused silica in the
ultraviolet.
AB - Random antireflective structures are fabricated on fused silica by the thermal
dewetting process and reactive ion etching, which shows a broadband
antireflective effect over the whole visible wavelength. However, the
transmittance in the ultraviolet is limited by the scattering from the etched
structures. A graded refractive index model ignoring the scattering in the
visible range is applied to extract the etched profile. Then the Lubachevsky
Stillinger algorithm is used to reconstruct the random antireflective structures
with the extracted profile. Bidirectional scattering distribution for the
reconstructed structures is simulated with the finite-difference time-domain
method, which indicates the importance of transmissive scattering the scattering
directivity. The scattering directivity is explained well with an effective
grating model. The period of the effective grating can guide the prepared
technique in the ultraviolet.
PMID- 26565827
TI - Ripple formation on nickel irradiated with radially polarized femtosecond beams.
AB - We report on the morphological effects induced by the inhomogeneous absorption of
radially polarized femtosecond laser irradiation of nickel (Ni) in sub-ablation
conditions. A theoretical prediction of the morphology profile is performed, and
the role of surface plasmon excitation in the production of self-formed periodic
ripple structures is evaluated. Results indicate a smaller periodicity of the
ripples profile compared to that attained under linearly polarized irradiation
conditions. A combined hydrodynamical and thermoelastic model is presented in
laser beam conditions that lead to material melting. The simulation results are
presented to be in good agreement with the experimental findings. The ability to
control the size of the morphological changes via modulating the beam
polarization may provide an additional route for controlling and optimizing the
outcome of laser micro-processing.
PMID- 26565828
TI - Wide-bandwidth Pound-Drever-Hall locking through a single-sideband modulator.
AB - An integrated single-sideband modulator is used as the sole wide-bandwidth
frequency actuator in a Pound-Drever-Hall locking loop. Thanks to the large
modulation bandwidth, the device enables a locking range of +/-75 MHz and a
control bandwidth of 5 MHz without the need for a second feedback loop. As
applied to the coupling of an extended-cavity diode laser at 1.55 MUm to a high
finesse optical cavity, the in-loop frequency noise spectral density reaches a
minimum of 1 mHz/Hz(1/2) at 1 kHz.
PMID- 26565829
TI - Improvement in efficiency of micromorph tandem silicon solar cells by designing
proper interfaces.
AB - Efficient light management for micromorph tandem solar cells is achieved in this
Letter by the combined application of TiO(2) and SiO(x) interlayers. Here, TiO(2)
is incorporated into a ZnO/a-Si interface as an antireflection layer and SiO(x)
is incorporated into an a-Si/MUc-Si interface as an intermediate reflecting
layer. Solar cells with such architecture not only increase the light absorption
but also reduce the mismatch losses of current between the top and bottom cells.
The key results, as evidenced by the spectral response measurements, are that the
total photocurrent increases from 22.62 to 24.35 mA/cm(2), as well as the short
circuit current density of the two component cells is reached to a delicate
balance. The influences of the interlayer thickness and morphology on the
improvement have been investigated using an electromagnetic simulation in order
to take full advantage of this design.
PMID- 26565830
TI - Hybrid high-energy high-power pulsewidth-tunable picosecond source.
AB - A hybrid ytterbium-doped fiber-bulk laser source allowing the generation of 3 ps,
350 MUJ, 116 MW peak power Fourier transform-limited pulses at 50 kHz repetition
rate and 1030 nm wavelength is described. Pulse duration tunability is provided
by an adjustable spectral compression-based seeder system. Energy scaling
capabilities of the architecture by use of the divided-pulse amplification method
are investigated. This source provides a robust, compact, and versatile solution
for applications such as RF photocathode guns, x- and gamma-ray generation by
inverse Compton scattering, and optical parametric chirped-pulse amplification
pumping.
PMID- 26565831
TI - Bending sensor combining multicore fiber with a mode-selective photonic lantern.
AB - A bending sensor is demonstrated using the combination of a mode-selective
photonic lantern (PL) and a multicore fiber. A short section of three-core fiber
with strongly coupled cores is used as the bend sensitive element. The supermodes
of this fiber are highly sensitive to the refractive index profiles of the cores.
Small bend-induced changes result in drastic changes of the supermodes, their
excitation, and interference. The multicore fiber is spliced to a few-mode fiber
and excites bend dependent amounts of each of the six linearly polarized (LP)
modes guided in the few-mode fiber. A mode selective PL is then used to
demultiplex the modes of the few-mode fiber. Relative power measurements at the
single-mode PL output ports reveal a high sensitivity to bending curvature and
differential power distributions according to bending direction, without the need
for spectral measurements. High direction sensitivity is demonstrated
experimentally as well as in numerical simulations. Relative power shifts of up
to 80% have been measured at radii of approximately 20 cm, and good sensitivity
was observed with radii as large as 10 m, making this sensing system useful for
applications requiring both large and small curvature measurements.
PMID- 26565832
TI - Ultra-broadband phase-sensitive optical time-domain reflectometry with a
temporally sequenced multi-frequency source.
AB - A phase-sensitive optical time-domain reflectometry (Phi-OTDR) with a temporally
sequenced multi-frequency (TSMF) source is proposed. This technique can improve
the system detection bandwidth without the sensing range decreasing. Up to 0.5
MHz detection bandwidth over 9.6 km is experimentally demonstrated as an example.
To the best of our knowledge, this is the first time that such a high detection
bandwidth over such a long sensing range is reported in Phi-OTDR-based
distributed vibration sensing. The technical issues of TSMF Phi-OTDR are
discussed in this Letter. This technique will help Phi-OTDR find new important
foreground in long-haul distributed broadband-detection applications, such as
structural-health monitoring and partial-discharge online monitoring of high
voltage power cables.
PMID- 26565833
TI - Intensity noise manipulation of a single-frequency laser with high output power
by intracavity nonlinear loss.
AB - The influences of intracavity nonlinear losses on the intensity noise of output
lasers are theoretically and experimentally investigated with an all-solid-state
single-frequency laser with high gain. By means of tuning the temperature of a
nonlinear crystal deliberately placed inside the laser resonator, the intracavity
nonlinear loss of the laser is controlled. The dependence of the frequency and
amplitude of the resonant relaxation oscillation peak on the nonlinear loss is
studied in detail for both fundamental-wave (FW) and second-harmonic-wave (SHW).
We find that, by controlling the temperature of the nonlinear crystal, the
intensity noises of the laser can be transferred between FW and SHW. The
theoretical predictions are in good agreement with the experimental measurements.
The obtained results can be applied to manipulate and suppress the laser noises.
PMID- 26565834
TI - Nanostructured gradient index microaxicons made by a modified stack and draw
method.
AB - We report the design and fabrication of nanostructured gradient index
microaxicons suitable for integration with optical fibers. A structure with the
effective refractive index decreasing linearly from the center to the edges
(i.e., an axicon) was designed using a combination of a simulated annealing
method and the effective medium theory. The design was verified numerically with
beam propagation method simulations. The axicons were made by the modified stack
and draw method and integrated with optical fibers. The optical properties of the
fabricated elements were measured and showed good agreement with the numerical
simulations. The fabricated axicons produced an extended line focus at a distance
from about 70 to 160 MUm from the lens facet with a minimum FWHM diameter of 8
MUm at 90 MUm. At smaller distances, an interference pattern is observed both in
the experiment and in simulations, which is attributed to the uneven effective
refractive index profile at the structure.
PMID- 26565835
TI - Enhancement of coherent anti-Stokes Raman signal via tailored probing in spectral
focusing.
AB - A novel approach for spectral focusing using a single-beam coherent anti-Stokes
Raman scattering setup with a pulse shaper controlling the phase and amplitude is
presented. By identifying the frequencies acting as the pump, Stokes, and probe,
the high degree of control can be exploited in order to specifically and
independently tailor the spectral region to act only as probe to achieve the
highest signal intensity. While maintaining the optimal excitation of the
vibrational coherence, a signal increase by a factor of six in comparison with
usual spectral focusing schemes is readily obtained. The signal improvement and
contrast is demonstrated on human skin tissue.
PMID- 26565836
TI - Modifying the optical path in a nonlinear double-slit experiment.
AB - In this Letter, we study a nonlinear interferometric setup based on diffraction,
rather than beam combining. It consists of a nonlinear analog of Young's double
slit experiment where a nonlinear material is placed exactly after one of the
slits. The presence of nonlinearity breaks the transverse spatial symmetry of the
system and, thus, modifies the optical path. For moderate nonlinearities, this
leads to a self-induced shift of the intensity pattern in the transverse plane. A
simple theoretical model is developed which is surprisingly accurate in
predicting the intensity profile of the main lobes for a wide range of
parameters. We discuss possible applications of our model in nonlinear
interferometry, for example in measuring the nonlinearities of optical materials.
PMID- 26565837
TI - Characterization of laser-induced plasmas associated with energetic laser
cleaning of metal particles on fused silica surfaces.
AB - Time-resolved plasma emission spectroscopy was used to characterize the energy
coupling and temperature rise associated with single, 10-ns pulsed laser ablation
of metallic particles bound to transparent substrates. Plasma associated with
Fe(I) emission lines originating from steel microspheres was observed to cool
from >24,000 to ~15,000 K over ~220 ns as tau(-0.28), consistent with radiative
losses and adiabatic gas expansion of a relatively free plasma. Simultaneous
emission lines from Si(II) associated with the plasma etching of the SiO(2)
substrate were observed yielding higher plasma temperatures, ~35,000 K, relative
to the Fe(I) plasma. The difference in species temperatures is consistent with
plasma confinement at the microsphere-substrate interface as the particle is
ejected, and is directly visualized using pump-probe shadowgraphy as a function
of pulsed laser energy.
PMID- 26565838
TI - Generation and electromagnetic coherence of unpolarized three-component light
fields.
AB - Conditions for controlled generation of completely unpolarized, genuine three
component random light fields, both radiating and evanescent, in multi-beam
illumination at a planar dielectric interface are explored. The associated
electromagnetic degrees of coherence are also analyzed. Our results reveal the
possibility to tailor fields with polarization properties identical to those of
universal blackbody radiation, yet with tunable spatial coherence
characteristics. Such unconventional, fully unpolarized three-component
electromagnetic fields, not addressable by the traditional beam-field formalism,
could be exploited in surface-photonic light-matter interactions.
PMID- 26565840
TI - Self-absorption reduction in laser-induced breakdown spectroscopy using laser
stimulated absorption.
AB - The self-absorption effect is one of the main bottlenecks for the laser-induced
breakdown spectroscopy (LIBS) technique. In this Letter, LIBS assisted by laser
stimulated absorption (LSA-LIBS) is proposed to solve this problem. The process
of LSA in self-absorption reduction is discussed and confirmed. The serious self
absorption phenomena of spectral lines (K, Mn, and Al) were not observed in LSA
LIBS. The full width at half-maximum (FWHM) of K, Mn, and Al was reduced by about
58%, 25%, and 52%, respectively. The results demonstrate the capability of this
approach to self-absorption reduction in the LIBS technique.
PMID- 26565839
TI - Subnanosecond Tm:KLuW microchip laser Q-switched by a Cr:ZnS saturable absorber.
AB - Passive Q-switching of a compact Tm:KLu(WO(4))(2) microchip laser diode pumped at
805 nm is demonstrated with a polycrystalline Cr(2+):ZnS saturable absorber. This
laser generates subnanosecond (780 ps) pulses with a pulse repetition frequency
of 5.6 kHz at 1846.6 nm, the shortest pulse duration ever achieved by Q-switching
of ~2 MUm lasers. The maximum average output power is 146 mW with a slope
efficiency of 21% with respect to the absorbed power. This corresponds to a pulse
energy of 25.6 MUJ and a peak power of 32.8 kW.
PMID- 26565841
TI - Low-threshold lasing at 1975 nm in thulium-doped tellurite glass microspheres.
AB - Thulium-doped (Tm-doped) tellurite glass microspheres are used as laser media.
Emission lines at wavelengths near 1975 nm are observed. The onset of laser
emission is achieved with 8.6 and 30 MUW of coupled pump power and injected pump
power, respectively, at a wavelength of 1554 nm. To the authors' knowledge, these
are the lowest laser threshold values recorded for a Tm-doped tellurite glass
microcavity. Intrinsic Q-factors above 10(6) for the undoped tellurite glass
microspheres assert the quality of the fabrication processes. An optical
intrinsic Q-factor comparison between Tm-doped tellurite and undoped tellurite
microspheres shows that ion absorption is the dominant loss source at pump
wavelengths. Lower lasing threshold powers and higher power conversion are
observed at longer pump wavelengths in agreement with theoretical models.
PMID- 26565842
TI - Enhancement of near-infrared to near-infrared upconversion luminescence in sub-10
nm ultra-small LaF(3):Yb(3+)/Tm(3+) nanoparticles through lanthanide doping.
AB - In this Letter, I present a versatile strategy to enhance the near-infrared to
near-infrared (NIR-to-NIR) upconversion luminescence from sub-10-nm ultra-small
LaF(3):Yb(3+)/Tm(3+) colloidal nanoparticles through lanthanide doping under 980
nm laser excitation. It is interesting that the NIR-to-NIR upconversion emission
at 801 nm of LaF(3):Yb(3+)Tm(3+) nanoparticles can be improved by increasing the
Tm(3+) doping concentration or by introducing another lanthanide activator
(Er(3+) or Ho(3+)) as a sensitizer. The luminescence enhancement effect showed a
strong dependence on the doping concentrations of activator ions (Tm(3+), Er(3+),
or Ho(3+)). Particularly, adding 1 mol. % Ho(3+) ions into LaF(3):Yb(3+)Tm(3+)
nanoparticles induced a 2.85-fold enhancement in NIR 801 nm emission of Tm(3+)
ions. The related upconversion emission mechanisms were investigated and
discussed.
PMID- 26565843
TI - Nonlinear competition in nematicon propagation.
AB - We investigate the role of competing nonlinear responses in the formation and
propagation of bright spatial solitons. We use nematic liquid crystals (NLCs)
exhibiting both thermo-optic and reorientational nonlinearities with continuous
wave beams. In a suitably prepared dye-doped sample and dual beam collinear
geometry, thermal heating in the visible affects reorientational self-focusing in
the near infrared, altering light propagation and self-trapping.
PMID- 26565844
TI - Analog computing using graphene-based metalines.
AB - We introduce the new concept of "metalines" for manipulating the amplitude and
phase profile of an incident wave locally and independently. Thanks to the highly
confined graphene plasmons, a transmit-array of graphene-based metalines is used
to realize analog computing on an ultra-compact, integrable, and planar platform.
By employing the general concepts of spatial Fourier transformation, a well
designed structure of such meta-transmit-array, combined with graded index (GRIN)
lenses, can perform two mathematical operations, i.e., differentiation and
integration, with high efficiency. The presented configuration is about 60 times
shorter than the recent structure proposed by Silva et al. [Science343, 160
(2014)SCIEAS0036-807510.1126/science.1242818]; moreover, our simulated output
responses are in better agreement with the desired analytical results. These
findings may lead to remarkable achievements in light-based plasmonic signal
processors at nanoscale, instead of their bulky conventional dielectric lens
based counterparts.
PMID- 26565846
TI - In-amplifier mid-infrared supercontinuum generation.
AB - Mid-infrared supercontinuum (SC) generation from 2.6 to 4.1 MUm is demonstrated
in a single-mode erbium-doped fluoride glass fiber amplifier pumped at 976 nm and
seeded by an optical parametric generation (OPG) source emitting 400 ps pulses at
2.75 MUm. Up to 82% of the SC power is generated beyond 3 MUm. This novel and
simple in-amplifier SC generation approach is promising for the development of
compact and efficient sources operating in the mid-infrared, especially in the 3
5 MUm band.
PMID- 26565845
TI - Versatile, dynamically balanced low-noise optical-field manipulator using a
coherently prepared atomic medium.
AB - We propose a versatile dynamic optical-field manipulator using a coherently
prepared atomic medium. We show that by locking the pump power change with the
two-photon detuning, a pi-phase shifting can be realized with unit probe fidelity
in a broad two-photon detuning range. The two-photon-insensitive pi-phase-shift
mode with significantly reduced fluctuation makes this scheme an attractive
system for low-noise phase-gate operations.
PMID- 26565847
TI - Hybrid wide-field and scanning microscopy for high-speed 3D imaging.
AB - Wide-field optical microscopy is efficient and robust in biological imaging, but
it lacks depth sectioning. In contrast, scanning microscopic techniques, such as
confocal microscopy and multiphoton microscopy, have been successfully used for
three-dimensional (3D) imaging with optical sectioning capability. However, these
microscopic techniques are not very suitable for dynamic real-time imaging
because they usually take a long time for temporal and spatial scanning. Here, a
hybrid imaging technique combining wide-field microscopy and scanning microscopy
is proposed to accelerate the image acquisition process while maintaining the 3D
optical sectioning capability. The performance was demonstrated by proof-of
concept imaging experiments with fluorescent beads and zebrafish liver.
PMID- 26565848
TI - Ytterbium-doped fiber ultrashort pulse generator based on self-phase modulation
and alternating spectral filtering.
AB - We numerically and experimentally demonstrate a Yb-doped fiber ultrashort pulse
generator based on self-phase modulation and alternating spectral filtering,
operating at a wavelength of 1060 nm and providing a stable ultrashort pulse
train. Pulses with energy up to 2.8 nJ were generated experimentally and were
limited only by available pump power.
PMID- 26565849
TI - Determination of Zak phase by reflection phase in 1D photonic crystals.
AB - For a one-dimensional (1D) periodic system with inherent mirror symmetry, the
value of the geometric "Zak" phase in a bulk band is related to the sign of
reflection phase for wavelengths inside the bandgaps sandwiching the bulk band.
Here, we designed an interference setup which allows us to measure the reflection
phase of 1D phonic crystal fabricated for the optical range; this, in turn,
enabled us to determine the Zak phases of the bands. We then found interface
states whose existence can be traced to the topological properties of the
bandgaps and the geometric phases of the bulk bands.
PMID- 26565850
TI - Broadband near-IR emission from cubic perovskite KZnF(3):Ni(2+) nanocrystals
embedded glass-ceramics.
AB - Transparent KF-ZnF(2)-SiO(2) glass-ceramics were prepared with the precipitation
of KZnF(3)Ni(2+) nanocrystals. During excitation with a wavelength of 405 nm at
room temperature, a broadband near-IR emission centered at 1695 nm with the FWHM
of more than 350 nm was observed, which is originated from the T(2g)3(F3)
>A(2g)3(F3) transition of octahedral Ni(2+) incorporated in the KZnF(3)
crystalline phase. In comparison to oxide glass-ceramics, a redshift of the
luminescence is observed, which is due to the low crystal field of these
octahedral Ni(2+). The shift and extension of near-IR emission in the
KZnF(3):Ni(2+) nanocrystals embedded in a glassy matrix do not only complete the
broadband emission in the whole near-IR region for the Ni(2+) ions-based
photonics, but also open an easy way to approach the broadband optical amplifier
and tunable lasers operating in the wavelength region near 1800 nm, which was up
to now achieved by codoping of several types of active ions.
PMID- 26565851
TI - Dual-pumped degenerate Kerr oscillator in a silicon nitride microresonator.
AB - We demonstrate a degenerate parametric oscillator in a silicon nitride
microresonator. We use two frequency-detuned pump waves to perform parametric
four-wave mixing and operate in the normal group-velocity dispersion regime to
produce signal and idler fields that are frequency degenerate. Our theoretical
modeling shows that this regime enables generation of bimodal phase states,
analogous to the chi(2)-based degenerate OPO. Our system offers potential for
realization of CMOS-chip-based coherent optical computing and an all-optical
quantum random number generator.
PMID- 26565852
TI - Disparity pattern-based autostereoscopic 3D metrology system for in situ
measurement of microstructured surfaces.
AB - This paper presents a disparity pattern-based autostereoscopic (DPA) 3D metrology
system that makes use of a microlens array to capture raw 3D information of the
measured surface in a single snapshot through a CCD camera. Hence, a 3D digital
model of the target surface with the measuring data is generated through a system
associated direct extraction of disparity information (DEDI) method. The DEDI
method is highly efficient for performing the direct 3D mapping of the target
surface based on tomography-like operation upon every depth plane with the
defocused information excluded. Precise measurement results are provided through
an error-elimination process based on statistical analysis. Experimental results
show that the proposed DPA 3D metrology system is capable of measuring 3D
microstructured surfaces with submicrometer measuring repeatability for high
precision and in situ measurement of microstructured surfaces.
PMID- 26565853
TI - Optical isolation in topological-edge-state photonic arrays.
AB - We introduce a new type of optical isolator based on breaking time reversal
symmetry in dissipative finite Su-Schrieffer-Heeger (SSH) waveguide arrays that
support topological edge states at one end of the structure. In the forward
propagation direction, light is launched into the edge waveguide to excite the
localized topological midgap state. As a result, most of the input optical power
is transmitted to the output port. On the other hand, backward reflected light
encounters a propagation constant mismatch in that same channel which shifts the
otherwise midgap state into one of the bands and hence becomes delocalized over
the whole array. We show that under these conditions, a judicious spatial
distribution of the optical dissipation across the structure can produce an
isolation ratio of -50 dB. The required nonreciprocal phase shift is introduced
by depositing a magnetic garnet film only on the edge waveguide and, thus, the
required magnetic field can be generated by an integrated micromagnet. Similar
concepts can also be applied to SSH arrays made from optical resonators.
PMID- 26565854
TI - Motion of intensity maxima in averaged speckle patterns of transmitted radiation.
AB - We show intensity maxima in speckle patterns averaged over a frequency interval
diffuse as the frequency is scanned with a diffusion coefficient that decreases
linearly with the width of the frequency interval for moderate intervals. This
makes it possible to find the diffusion coefficient even with data averaged over
a frequency window. These results apply as well to speckle patterns averaged over
time in systems with internal motion and so provide a means for characterizing
dynamic systems.
PMID- 26565855
TI - Single-frequency fiber laser at 1950 nm based on thulium-doped silica fiber.
AB - A single-frequency fiber laser operating at 1950 nm has been demonstrated in an
all-fiber distributed Bragg reflection laser cavity by using a 1.9 cm
commercially available thulium-doped silica fiber, for the first time, to the
best of our knowledge. The laser was pumped by a 793 nm single-mode diode laser
and had a threshold pump power of 75 mW. The maximum output power of the single
longitudinal mode laser was 18 mW and the slope efficiency with respect to the
launched pump power was 11%. Moreover, the linewidth and relative intensity noise
at different pump power have been measured and analyzed.
PMID- 26565856
TI - High-frequency electro-optic measurement of strained silicon racetrack
resonators.
AB - The observation of the electro-optic effect in strained silicon waveguides has
been considered a direct manifestation of an induced chi(2) nonlinearity in the
material. In this work, we perform high-frequency measurements on strained
silicon racetrack resonators. Strain is controlled by a mechanical deformation of
the waveguide. It is shown that any optical modulation vanishes, independent of
the applied strain, when the applied voltage varies much faster than the carrier
effective lifetime and that the DC modulation is also largely independent of the
applied strain. This demonstrates that plasma carrier dispersion is responsible
for the observed electro-optic effect. After normalizing out free-carrier
effects, our results set an upper limit of (8+/-3) pm/V to the induced high-speed
effective chieff,zzz(2) tensor element at an applied stress of -0.5 GPa. This
upper limit is about 1 order of magnitude lower than previously reported values
for static electro-optic measurements.
PMID- 26565857
TI - Four-wave mixing in a parity-time (PT)-symmetric coupler.
AB - Parity-time (PT) symmetry allows for implementing controllable matching
conditions for the four-wave mixing in 1D coupled waveguides. Different types of
the process involving energy transition between slow and fast modes are
established. In the case of defocusing Kerr media, the degenerated four-wave
mixing is studied in detail. It is shown that unbroken PT symmetry supports the
process existing in the conservative limit and, at the same time, originates new
types of matching conditions, which cannot exist in the conservative system. In
the former case, a slow beam splits into two fast beams, with nearly conserved
total power, while in the latter case, one slow beam and one fast beam are
generated. In the last process, the energy of the input primary slow beam is not
changed and growth of the energy of the generated slow beam varies due to gain
and loss of the medium. The appreciable generation of the fifth mode, i.e., the
effect of the secondary resonant interactions, is observed.
PMID- 26565858
TI - Infrared photoreflectance investigation of resonant levels and band edge
structure in InSb.
AB - Temperature-dependent infrared photoreflectance (PR) is employed on InSb for
clarifying resonant levels (RLs) and band edge structure. Abundant PR features
are well resolved around the bandgap and are verified to be of electronic inter
level transitions rather than the Franz-Keldysh oscillations. The evolution of
the critical energies with temperature reveals the nature of the PR processes,
from which one acceptor RL, two donor RLs, and a shallow acceptor level are
quantitatively identified, and a detailed band edge structure is derived. The
results show that temperature-dependent infrared PR analysis can serve as an
efficient vehicle for clarifying both bound and resonant levels in
semiconductors.
PMID- 26565859
TI - Measurement of the squeezed vacuum state by a bichromatic local oscillator.
AB - We present the experimental measurement of a squeezed vacuum state by means of a
bichromatic local oscillator (BLO). A pair of local oscillators at +/-5 MHz
around the central frequency omega(0) of the fundamental field with equal power
are generated by three acousto-optic modulators and phase-locked technology and
used as a BLO. The squeezed vacuum light is detected by a phase-sensitive
balanced-homodyne detection with a BLO. The baseband signal around omega(0)
combined with a broad squeezed field can be detected with the sensitivity below
the shot-noise limit, in which the baseband signal is shifted to the vicinity of
5 MHz (the half of the BLO separation). This work has important applications in
quantum state measurement and quantum information.
PMID- 26565860
TI - Direct imaging of optimal photonic nanojets from core-shell microcylinders.
AB - We first experimentally evaluate the direct imaging of photonic nanojets from
core-shell microcylinders. The optimal photonic nanojet with long length, a high
intensity spot, and low divergence is observed at the designed gold-silver
coating microcylinder. A special microcylinder consists of multilayered metallic
shells (gold, silver, and copper) and a dielectric core (polydimethylsiloxane) at
a diameter of 5 MUm and a height of 6 MUm. The electromagnetic distributions
inside and outside the core-shell microcylinders are calculated by using the
finite-difference time-domain method. The direct-imaging measurements for
photonic nanojets are performed with a scanning-optical-microscope system. Such
core-shell microcylinders provide new pathways for high-resolution optical
imaging, which are useful for biophotonics, plasmonics, and optical data storage.
PMID- 26565861
TI - Near-stoichiometric Ti-diffused LiNbO(3) strip waveguide doped with Zr(4+).
AB - We report a near-stoichiometric Ti:Zr:LiNbO(3) strip waveguide fabricated from a
congruent substrate with a technological process in the following sequence:
Zr4+-diffusion-doping, diffusion of 8-MUm-wide, 100-nm-thick Ti
strips, and post-Li-rich vapor transport equilibration. We show that Zr(4+)
doping has little effect on the LiNbO(3) refractive index, and the waveguide is
in a near-stoichiometric environment. The waveguide well supports both the TE and
TM modes, shows weak polarization dependence, is in single mode at the 1.5 MUm
wavelength, and has a loss of <=0.6/0.8 dB/cm for the TE/TM modes. A secondary
ion mass spectrometry analysis shows that the Zr(4+)-profile part with a
concentration above the threshold of photorefractive damage entirely covers the
waveguide, implying that the waveguide would be optical-damage resistant.
PMID- 26565862
TI - Kilowatt-level fiber amplifier with spectral-broadening-free property, seeded by
a random fiber laser.
AB - In this Letter, we demonstrate a kilowatt (kW) level high-power fiber laser
amplifier with a clear sign of spectral-broadening-free property. The high-power
fiber lasing is realized by employing a master oscillator power-amplifier (MOPA)
configuration, seeded by a temporally stable random fiber laser (RFL) that
utilizes Raman amplification and random distributed feedback from a long passive
fiber. The output power reaches 1.03 kW with a 1070 nm wavelength and an optical
to-optical efficiency of 74.6%. Despite the typical nonlinear spectral broadening
in most traditional MOPA systems, the output spectral linewidth is well
maintained during the whole high-power amplification process. The suppressed
linewidth broadening in the spectral domain during high-power amplification is
significant for further power scaling, spectral beam combination, and other
applications that require narrow-linewidth high-power lasing.
PMID- 26565863
TI - Intra-cavity upconversion to 631 nm of images illuminated by an eye-safe ASE
source at 1550 nm.
AB - We report an image wavelength upconversion system. The system mixes an incoming
image at around 1550 nm (eye-safe region) illuminated by an amplified spontaneous
emission (ASE) fiber source with a Gaussian beam at 1064 nm generated in a
continuous-wave diode-pumped Nd(3+):GdVO(4) laser. Mixing takes place in a
periodically poled lithium niobate (PPLN) crystal placed intra-cavity. The
upconverted image obtained by sum-frequency mixing falls around the 631 nm red
spectral region, well within the spectral response of standard silicon focal
plane array bi-dimensional sensors, commonly used in charge-coupled device (CCD)
or complementary metal-oxide-semiconductor (CMOS) video cameras, and of most
image intensifiers. The use of ASE illumination benefits from a noticeable
increase in the field of view (FOV) that can be upconverted with regard to using
coherent laser illumination. The upconverted power allows us to capture real-time
video in a standard nonintensified CCD camera.
PMID- 26565864
TI - High-energy femtosecond amplifier-similariton Er-doped fiber oscillator.
AB - We demonstrated high-energy femtosecond amplifier-similariton oscillators with
predominant Er-doped fibers of normal dispersion. Stably mode-locked pulses of ~3
ps, 33 nJ were produced at 720 mW pump power, while a double-pass grating pair of
36% efficiency compressed the pulses to 156 fs and 47 kW peak power (a new
record). Broad mode-locked spectra supporting transform-limited pulsewidths down
to 60 fs were obtained by adjusting the intracavity waveplates and filter.
Continuous wave (CW) mode-locked pulses up to 53 nJ were generated by increasing
the pump power to 1.5 W and by introducing significant spectral phase modulation
via an intracavity pulse shaper. However, weak subpulses or pedestal could arise
along with increased shot-to-shot fluctuation under this extreme operation mode.
PMID- 26565865
TI - Spatial sub-Rayleigh imaging analysis via speckle laser illumination.
AB - It is commonly accepted that optical sub-Rayleigh imaging has potential
application in many fields. In this Letter, by confining the divergence of the
optical field, as well as the size of the illumination source, we show that the
first-order averaged intensity measurement via speckle laser illumination can
make an actual breakthrough on the Rayleigh limit. For a high-order algorithm, it
has been reported that the autocorrelation function can be utilized to achieve
the sub-Rayleigh feature. However, we find that this sub-Rayleigh feature for the
high-order algorithm is limited only to binary objects, and the image will be
distorted when a gray object is placed. This property encourages us to find the
physics behind the high-order correlation imaging algorithm. We address these
explanations in this Letter and find that for different types of high-order
algorithm, there is always a "seat" in the right place from the cross-correlation
function.
PMID- 26565866
TI - Nonlinear parity-time-symmetric transition in finite-size optical couplers.
AB - Parity-time-symmetric (PT-symmetric) optical waveguide couplers offer a great
potential for future applications in integrated optics, such as ultracompact
reconfigurable all-optical signal processing. Here, we predict a nonlinearly
triggered transition from a full to a broken PT-symmetric regime in finite-size
systems described by smooth permittivity profiles and, in particular, in a
conventional discrete waveguide directional coupler configuration with a
rectangular profile. For these systems, we show that this phase transition occurs
in PT-symmetric couplers, regardless of the details of their geometry, therefore
suggesting a practical route for experimental realization of such systems.
PMID- 26565867
TI - Electro-optic modulation for high-speed characterization of entangled photon
pairs.
AB - We demonstrate a new biphoton manipulation and characterization technique based
on electro-optic intensity modulation and time shifting. By applying fast
modulation signals with a sharply peaked cross-correlation to each photon from an
entangled pair, it is possible to measure temporal correlations with
significantly higher precision than that attainable using standard single-photon
detection. Low-duty-cycle pulses and maximal-length sequences are considered as
modulation functions, reducing the time spread in our correlation measurement by
a factor of five compared to our detector jitter. With state-of-the-art electro
optic components, we expect the potential to surpass the speed of any single
photon detectors currently available.
PMID- 26565868
TI - Parallel line scanning ophthalmoscope for retinal imaging.
AB - A parallel line scanning ophthalmoscope (PLSO) is presented using a digital
micromirror device (DMD) for parallel confocal line imaging of the retina. The
posterior part of the eye is illuminated using up to seven parallel lines, which
were projected at 100 Hz. The DMD offers a high degree of parallelism in
illuminating the retina compared to traditional scanning laser ophthalmoscope
systems utilizing scanning mirrors. The system operated at the shot-noise limit
with a signal-to-noise ratio of 28 for an optical power measured at the cornea of
100 MUW. To demonstrate the imaging capabilities of the system, the macula and
the optic nerve head of a healthy volunteer were imaged. Confocal images show
good contrast and lateral resolution with a 10 degrees *10 degrees field of
view.
PMID- 26565869
TI - Slow-light effect via Rayleigh anomaly and the effect of finite gratings.
AB - In this Letter, we investigate the slow-light effect of subwavelength diffraction
gratings via the Rayleigh anomaly using a fully analytical approach without
needing to consider specific grating structures. Our results show that the local
group velocity of the transmitted light can be significantly reduced due to the
optical vortex, which can inspire a new mechanism to enhance light-matter
interactions for optical sensing and photodetection. However, the slow-light
effect will diminish as the transmitted light propagates farther from the grating
surface, and the slowdown factor decreases as the grating size shrinks.
PMID- 26565870
TI - Transfer function analysis in epi-illumination Fourier ptychography.
AB - This Letter explores Fourier ptychography (FP) using epi-illumination. The
approach effectively modifies the FP transfer function to be coherent-like out to
the incoherent limit of twice the numerical aperture over the wavelength
2NA/lambda. Images reconstructed using this approach are shown to have higher
contrast at finer details compared with images using incoherent illumination,
indicating that the FP transfer function is superior in high spatial frequency
regions.
PMID- 26565871
TI - Full-field optical coherence microscopy with optimized ultrahigh spatial
resolution.
AB - Full-field optical coherence microscopy (FF-OCM) with isotropic spatial
resolution of 0.5 MUm (in water), at 700 nm center wavelength, is reported. A
theoretical study of the FF-OCM axial response is carried out for maximizing the
axial resolution of the system, considering the effect of optical dispersion. The
lateral resolution is optimized by using water-immersion microscope objectives
with a numerical aperture of 1.2. This ultrahigh-resolution FF-OCM system is
applied to animal and human skin tissue imaging, revealing ultra-fine in-depth
structures at the sub-cellular level.
PMID- 26565872
TI - Single nanobeam optical sensor with a high Q-factor and high sensitivity.
AB - The miniaturization of optical sensors is essential for the realization of
compact, portable, and cost-effective devices. Photonic crystal-based optical
sensors, which have an ultra-small mode volume and footprint, have demonstrated
remarkable recent progress in achieving a high figure-of-merit (FOM) in a sensor.
Here, we report an optical sensor with a high Q-factor and high sensitivity based
on a photonic crystal nanobeam using the second lowest air band-edge mode. We
calculated that a nanobeam (n=3.4) in a water environment (n=1.33) has refractive
index sensitivity of ~631 nm/RIU, while the quality factor is greater than
23,300. Accordingly, a theoretical FOM of the sensor corresponds to >9500. To the
best of our knowledge, experimental refractive-index sensitivity of 461 nm/RIU is
the highest value among photonic crystal single nanobeam geometry. The simple
geometry of uniform air hole sizes and lattice constants in the proposed nanobeam
sensor allows easy fabrication and mechanical stability.
PMID- 26565873
TI - Image scanning microscopy with a quadrant detector.
AB - Confocal scanning microscopy (CSM) is the most widely used modern optical
microscopy technique. Theoretically, it allows the diffraction barrier to be
surpassed by a factor of 2, but practically this improvement is sacrificed to
obtain a good signal-to-noise ratio (SNR). Image scanning microscopy (ISM) solves
this limitation but, in the current implementations, the system complexity is
increased and the versatility of CSM is reduced. Here we show that ISM can be
straightforwardly implemented by substituting the single point detector of a
confocal microscope with a quadrant detector of the same size, thus using a small
number of detector elements. This implementation offers resolution close to the
CSM theoretical value and improves the SNR by a factor of 1.5 with respect to the
CSM counterpart without losing the optical sectioning capability and the system
versatility.
PMID- 26565874
TI - Lens-on-lens microstructures.
AB - Microlenses with multiple focal lengths play an important role in three
dimensional imaging and the real-time detection of unconfined or fluctuating
targets. In this Letter, we present a novel method of fabricating lens-on-lens
microstructures (LLMs) using a two-step femtosecond laser wet etching process. A
3*3 LLM array was made with a diameter of 129.0 MUm. The fabricated LLM has two
focal lengths, 80.4 and 188.7 MUm, showing excellent two-level focusing and
imaging abilities. Its size and focal length can be controlled by adjusting laser
power and etching time. Its surface roughness remains about 61 nm. This simple
and efficient method for large-scale production of LLMs has potential
applications in diverse optical systems.
PMID- 26565875
TI - Polarization-independent submillisecond phase modulation utilizing polymer/short
pitch cholesteric liquid crystal composite.
AB - A broadband, polarization-independent phase modulation spanning the visible range
is demonstrated using a polymer/cholesteric liquid crystal composite with optical
pitch in the ultraviolet. Polarization insensitivity is achieved as a result of
two effects: (1) optical anisotropy of the rod-like molecules is canceled out by
the short helical pitch, and (2) stabilization of the Grandjean texture by the
polymer network suppresses depolarization. Polarization-independent modulation of
the refractive index by approximately 0.045, corresponding to a phase modulation
of pi at 500 nm, is achieved with submillisecond response times. Our material
system opens new avenues for polarization-independent, tunable optical devices,
such as narrow bandpass filters, gratings, and adaptive lenses.
PMID- 26565876
TI - Extracting nanosecond pulse signals via stochastic resonance generated by surface
plasmon bistability.
AB - A technology is investigated to extract nanosecond pulse noise hidden signals via
stochastic resonance, which is based on surface plasmon bistability. A
theoretical model for recovering nanosecond pulse signals is derived to describe
the nonlinear process. It is found that the incident angle, polarization state,
medium properties, and input noise intensity all determine the efficiency and
fidelity of the output signal. The bistable behavior of the output intensity can
be accurately controlled to obtain a cross-correlation gain larger than 6 in a
wide range of input signal-to-noise ratio from 1?5 to 1?30. Meanwhile, the
distortion in the time domain induced by phase shift can be reduced to a
negligible level. This work provides a potential method for detecting low-level
or hidden pulse signals in various communication fields.
PMID- 26565877
TI - Atomic layer deposited second-order nonlinear optical metamaterial for back-end
integration with CMOS-compatible nanophotonic circuitry.
AB - We report the fabrication of artificial unidimensional crystals exhibiting an
effective bulk second-order nonlinearity. The crystals are created by cycling
atomic layer deposition of three dielectric materials such that the resulting
metamaterial is noncentrosymmetric in the direction of the deposition.
Characterization of the structures by second-harmonic generation Maker-fringe
measurements shows that the main component of their nonlinear susceptibility
tensor is about 5 pm/V, which is comparable to well-established materials and
more than an order of magnitude greater than reported for a similar crystal
[Appl. Phys. Lett.107, 121903 (2015)APPLAB0003-695110.1063/1.4931492]. Our
demonstration opens new possibilities for second-order nonlinear effects on CMOS
compatible nanophotonic platforms.
PMID- 26565878
TI - Bistability in buckled dome microcavities.
AB - We describe optical bistability in monolithically integrated, curved-mirror Fabry
Perot microcavities. The cavities were fabricated by controlled formation of
circular delamination buckles within sputtered Si/SiO(2) multilayers. The
dominant source of the bistability is heating due to residual absorption in the
mirror layers, which leads to out-of-plane deflection of the buckled mirror.
Hysteresis occurs for submilliwatt input powers.
PMID- 26565879
TI - Continuous generation of rubidium vapor in hollow-core photonic bandgap fibers.
AB - We demonstrate high optical depths (50+/-5) that last for hours in rubidium
filled hollow-core photonic bandgap fibers, which represent a 1000* improvement
over the operation times previously reported. We investigate the vapor generation
mechanism using both a continuous wave and a pulsed light source, and find that
the mechanism for generating the rubidium atoms is primarily due to thermal
vaporization. The continuous generation of large vapor densities should enable
measurements at the single-photon level by averaging over longer time scales.
PMID- 26565880
TI - Beam manipulating by gate-tunable graphene-based metasurfaces.
AB - We propose an unprecedented transmit-array configuration which can mold the
incident beam by modulating phase and amplitude wavefronts. The transmit-array is
composed of patterned graphene metasurfaces as shunt admittance sheets. Thanks to
the exceptional features of graphene such as tunability, thinness, low loss, and
high confinement of graphene plasmons, the proposed subwavelength structure
passes strict touchstones for nano-photonic and opto-electronic applications. Two
flat-optics functionalities, i.e., focusing and splitting, are realized by means
of the proposed configuration.
PMID- 26565881
TI - Combined high-harmonic interferometries for vectorial spectroscopy.
AB - We present a new method to characterize transverse vectorial light produced by
high-harmonic generation (HHG). The incoherent sum of the two components of the
electric field is measured using a bi-dimensional transient grating while one of
the components is simultaneously characterized using two-source interferometry.
The combination of these two interferometric setups enables the amplitude and
phase measurement of the two vectorial components of the extreme ultraviolet
radiation. We demonstrate the potential of this technique in the case of HHG in
aligned nitrogen, revealing the vectorial properties of harmonics 9-17 of a
Ti:sapphire laser.
PMID- 26565882
TI - Simple harmonic error cancellation in time of flight range imaging.
AB - Amplitude modulated continuous wave (AMCW) time of flight (ToF) range imaging
provides a full field of distance measurement, but common hardware is implemented
with digital technology which leads to unwanted harmonic content, a principle
source of error in the distance measurements. Existing strategies for correction
of harmonics require auxiliary measurements and amplify noise. A small
modification of the data acquisition procedure is described which, intrinsically,
is invariant to at least one harmonic. The third harmonic, the main cause of
harmonic error, is targeted. Compared to traditional measurements the third
harmonic is eliminated with no significant increase in noise variance observed.
PMID- 26565883
TI - Influence of the absorber dimensions on wavefront shaping based on volumetric
optoacoustic feedback.
AB - The recently demonstrated control over light distribution through turbid media
based on real-time three-dimensional optoacoustic feedback has offered promising
prospects to interferometrically focus light within scattering objects.
Nevertheless, the focusing capacity of the feedback-based approach is strongly
conditioned by the number of optical modes (speckle grains) enclosed in the
volume that can be resolved with the optoacoustic imaging system. In this Letter,
we experimentally tested the light intensity enhancement achieved with
optoacoustic feedback measurements from different sizes of absorbing
microparticles. The importance of the obtained results is discussed in the
context of potential signal enhancement at deep locations within a scattering
medium where the effective speckle grain sizes approach the minimum values
dictated by optical diffraction.
PMID- 26565884
TI - Optical security verification by synthesizing thin films with unique polarimetric
signatures.
AB - This Letter reports the production and optical polarimetric verification of codes
based on thin-film technology for security applications. Because thin-film
structures display distinctive polarization signatures, this data is used to
authenticate the message encoded. Samples are analyzed using an imaging
ellipsometer able to measure the 16 components of the Mueller matrix. As a
result, the behavior of the thin film under polarized light becomes completely
characterized. This information is utilized to distinguish among true and false
codes by means of correlation. Without the imaging optics the components of the
Mueller matrix become noise-like distributions and, consequently, the message
encoded is no longer available. Then, a set of Stokes vectors are generated
numerically for any polarization state of the illuminating beam and thus, machine
learning techniques can be used to perform classification. We show that
successful authentication is possible using the k-nearest neighbors algorithm in
thin-films codes that have been anisotropically phase-encoded with pseudorandom
phase code.
PMID- 26565885
TI - Observation of spectral self-imaging by nonlinear parabolic cross-phase
modulation.
AB - We report an experimental demonstration of spectral self-imaging on a periodic
frequency comb induced by a nonlinear all-optical process, i.e., parabolic cross
phase modulation in a highly nonlinear fiber. The comb free spectral range is
reconfigured by simply tuning the temporal period of the pump parabolic pulse
train. In particular, undistorted FSR divisions by factors of 2 and 3 are
successfully performed on a 10 GHz frequency comb, realizing new frequency combs
with an FSR of 5 and 3.3 GHz, respectively. The pump power requirement associated
to the SSI phenomena is also shown to be significantly relaxed by the use of dark
parabolic pulses.
PMID- 26565886
TI - Active illumination using a digital micromirror device for quantitative phase
imaging.
AB - We present a powerful and cost-effective method for active illumination using a
digital micromirror device (DMD) for quantitative phase-imaging techniques.
Displaying binary illumination patterns on a DMD with appropriate spatial
filtering, plane waves with various illumination angles are generated and
impinged onto a sample. Complex optical fields of the sample obtained with
various incident angles are then measured via Mach-Zehnder interferometry, from
which a high-resolution 2D synthetic aperture phase image and a 3D refractive
index tomogram of the sample are reconstructed. We demonstrate the fast and
stable illumination-control capability of the proposed method by imaging
colloidal spheres and biological cells. The capability of high-speed optical
diffraction tomography is also demonstrated by measuring 3D Brownian motion of
colloidal particles with the tomogram acquisition rate of 100 Hz.
PMID- 26565887
TI - Measurement of acceleration and orbital angular momentum of Airy beam and Airy
vortex beam by astigmatic transformation.
AB - Special beams, including the Airy beam and the vortex-embedded Airy beam, draw
much attention due to their unique features and promising applications.
Therefore, it is necessary to devise a straightforward method for measuring these
peculiar features of the beams with ease. Hence we present the astigmatic
transformation of Airy and Airy-vortex beam. The "acceleration" coefficient of
the Airy beam is directly determined from a single image by fitting the
astigmatically transformed beam to an analytic expression. In addition, the
orbital angular momentum of optical vortex in Airy-vortex beam is measured
directly using a single image.
PMID- 26565888
TI - Truly random bit generation based on a novel random Brillouin fiber laser.
AB - We propose a novel dual-emission random Brillouin fiber laser (RBFL) with
bidirectional pumping operation. Numerical simulations and experimental
verification of the chaotic temporal and statistical properties of the RBFL are
conducted, revealing intrinsic unpredictable intensity fluctuations and two
completely uncorrelated laser outputs. A random bit generator based on quantum
noise sources in the random Fabry-Perot resonator of the RBFL is realized at a
bit rate of 5 Mbps with verified randomness.
PMID- 26565890
TI - Super-aperture metrology: overcoming a fundamental limit in imaging smooth highly
curved surfaces.
AB - The imaging of smooth, highly curved or tilted surfaces is widely recognized as
one of the most challenging and unsolved problems in optical imaging and
metrology today. The reason is that even when such surfaces are imaged using high
aperture microscope objectives the steepness of the features causes the light to
be reflected in such a way that it is not captured by the lens. This is true even
in the limiting case of unity numerical aperture since the illuminating light may
also be reflected in the forward direction. In order to overcome this fundamental
problem we have developed a method whereby such specimens are covered with a
readily removable organic fluorescent film thereby creating an isotropic
scattering surface. We show that we are readily able to detect slopes with angles
close 90 degrees using a 0.75 NA objective--an 82% improvement over the
theoretical aperture limit. Issues of variation in film thickness deposition are
shown to be readily accommodated. This approach may be used with other
fluorophore materials, organic or inorganic, since there is no need for
biocompatibility in this application.
PMID- 26565891
TI - Has photosynthetic capacity increased with 80 years of soybean breeding? An
examination of historical soybean cultivars.
AB - Crop biomass production is a function of the efficiencies with which sunlight can
be intercepted by the canopy and then converted into biomass. Conversion
efficiency has been identified as a target for improvement to enhance crop
biomass and yield. Greater conversion efficiency in modern soybean [Glycine max
(L.) Merr.] cultivars was documented in recent field trials, and this study
explored the physiological basis for this observation. In replicated field trials
conducted over three successive years, diurnal leaf gas exchange and
photosynthetic CO2 response curves were measured in 24 soybean cultivars with
year of release dates (YOR) from 1923 to 2007. Maximum photosynthetic capacity,
mesophyll conductance and nighttime respiration have not changed consistently
with cultivar release date. However, daily carbon gain was periodically greater
in more recently released cultivars compared with older cultivars. Our analysis
suggests that this difference in daily carbon gain primarily occurred when
stomatal conductance and soil water content were high. There was also evidence
for greater chlorophyll content and greater sink capacity late in the growing
season in more recently released soybean varieties. Better understanding of the
mechanisms that have improved conversion efficiency in the past may help identify
new, promising targets for the future.
PMID- 26565889
TI - Winter is coming: hibernation reverses the outcome of sperm competition in a fly.
AB - Sperm commonly compete within females to fertilize ova, but research has focused
on short-term sperm storage: sperm that are maintained in a female for only a few
days or weeks before use. In nature, females of many species store sperm for
months or years, often during periods of environmental stress, such as cold
winters. Here we examine the outcome of sperm competition in the fruit fly
Drosophila pseudoobscura, simulating the conditions in which females survive
winter. We mated females to two males and then stored the female for up to 120
days at 4 degrees C. We found that the outcome of sperm competition was
consistent when sperm from two males was stored for 0, 1 or 30 days, with the
last male to mate fathering most of the offspring. However, when females were
stored in the cold for 120 days, the last male to mate fathered less than 5% of
the offspring. Moreover, when sperm were stored long term the first male fathered
almost all offspring even when he carried a meiotic driving sex chromosome that
drastically reduces sperm competitive success under short-term storage
conditions. This suggests that long-term sperm storage can radically alter the
outcome of sperm competition.
PMID- 26565892
TI - High-throughput linear optical stretcher for mechanical characterization of blood
cells.
AB - This study describes a linear optical stretcher as a high-throughput mechanical
property cytometer. Custom, inexpensive, and scalable optics image a linear diode
bar source into a microfluidic channel, where cells are hydrodynamically focused
into the optical stretcher. Upon entering the stretching region, antipodal
optical forces generated by the refraction of tightly focused laser light at the
cell membrane deform each cell in flow. Each cell relaxes as it flows out of the
trap and is compared to the stretched state to determine deformation. The
deformation response of untreated red blood cells and neutrophils were compared
to chemically treated cells. Statistically significant differences were observed
between normal, diamide-treated, and glutaraldehyde-treated red blood cells, as
well as between normal and cytochalasin D-treated neutrophils. Based on the
behavior of the pure, untreated populations of red cells and neutrophils, a mixed
population of these cells was tested and the discrete populations were identified
by deformability. (c) 2015 International Society for Advancement of Cytometry.
PMID- 26565893
TI - Morphological Analysis for Neuron-Like Cells in the Vomeronasal Organ of Human
Fetuses at the Middle of Gestation.
AB - The vomeronasal organ (VNO) of 5-month-old fetuses was examined
immunohistochemically by the use of an antiserum to protein gene product 9.5
(PGP). The purpose was to identify if the human fetal VNO is lined by
neuroepithelium. The PGP antiserum labeled abundant cells within the vomeronasal
epithelium (VE), nerve fiber bundles in its lamina propria, and cells associated
with these bundles. PGP-immunoreactive (ir) vomeronasal epithelial cells were
classified into three subtypes. Type I cells, about 44% of the total cells
observed, did not have any processes and tended to be located in the basal layer
of the VE. Type II cells, about 37% had a single apical process that projected
toward the lumen, ending at the epithelial surface. Type III cells sent a
prominent process mainly toward the basement membrane, and occupied about 19% of
the total cells observed. In the lamina propria, a considerable number of PGP-ir
cells was observed. Some of them were present in nerve fiber bundles and
contained processes parallel to the bundles. In addition, PGP-ir nerve fiber
bundles and cells associated with them were even present in the portion of the
nasal septal mucosa that was very close to the brain. The present results
strongly suggested that the VE in human fetuses at mid-gestation is a
neuroepithelium and that the VE may produce migrating cells toward the brain.
PMID- 26565894
TI - Nontarget effects of ivermectin residues on earthworms and springtails dwelling
beneath dung of treated cattle in four countries.
AB - The authorization of veterinary medicinal products requires that they be assessed
for nontarget effects in the environment. Numerous field studies have assessed
these effects on dung organisms. However, few studies have examined effects on
soil-dwelling organisms, which might be exposed to veterinary medicinal product
residues released during dung degradation. The authors compared the abundance of
earthworms and springtails in soil beneath dung from untreated cattle and from
cattle treated 0 d, 3 d, 7 d, 14 d, and 28 d previously with ivermectin. Study
sites were located in different ecoregions in Switzerland (Continental), The
Netherlands (Atlantic), France (Mediterranean), and Canada (Northern Mixed
Grassland). Samples were collected using standard methods from 1 mo to 12 mo
after pat deposition. Ivermectin concentrations in soil beneath dung pats ranged
from 0.02 mg/kg dry weight (3 mo) to typically <0.006 mg/kg dry weight (5-7 mo).
Earthworms were abundant and species-rich at the Swiss and Dutch sites, less
common with fewer species at the French site, and essentially absent at the
Canadian site. Diverse but highly variable communities of springtails were
present at all sites. Overall, results showed little effect of residues on either
earthworms or springtails. The authors recommend that inclusion of soil organisms
in field studies to assess the nontarget effects of veterinary medicinal products
be required only if earthworms or springtails exhibit sensitivity to the product
in laboratory tests. Environ Toxicol Chem 2016;35:1959-1969. (c) 2015 SETAC.
PMID- 26565897
TI - High rate of vaccine failure after administration of acellular pertussis vaccine
pre- and post-liver transplantation in children at a children's hospital in
Japan.
AB - We assessed the serological response to pertussis vaccines administered pre- and
post-liver transplantation in 58 pediatric patients at a children's hospital in
Japan. A high rate of pertussis vaccine failure was observed, 44.8% against the
pertussis toxin and 69.0% against filamentous hemagglutinin, with no difference
in the seropositivity rate with respect to the timing of the vaccination during
the peritransplant period.
PMID- 26565895
TI - Impact of oncogene rearrangement patterns on outcomes in patients with double-hit
non-Hodgkin lymphoma.
AB - BACKGROUND: Double-hit lymphomas (DHLs) are collectively defined as B-cell non
Hodgkin lymphomas harboring rearrangements of MYC as well as B-cell lymphoma 2
(BCL2) and/or B-cell lymphoma 6 (BCL6). To the authors' knowledge, the impact of
specific oncogene rearrangements on outcomes of patients with DHL who are treated
with immunochemotherapy has not been previously described. METHODS: The authors
identified patients whose diagnostic tissue specimens underwent metaphase
karyotyping or fluorescence in situ hybridization for MYC as well as both BCL2
and BCL6 rearrangements. Cohorts were defined by the presence (+) or absence (-)
of rearrangements: MYC+/BCL2+/BCL6- (BCL2-DHL), MYC+/BCL2-/BCL6+ (BCL6-DHL), and
MYC+/BCL2+/BCL6+ (triple-hit lymphoma; THL). RESULTS: A total of 117 patients
were included in the current analysis (76 BCL2-DHL patients, 16 BCL6-DHL
patients, and 25 THL patients). Compared with patients with BCL2-DHL, those with
BCL6-DHL were more likely to be classified as having a non-germinal center cell
of origin, presented with extranodal disease, and appeared to achieve higher
rates of complete response despite receiving intensive induction therapy less
frequently. However, patients with BCL6-DHL experienced a shorter median overall
survival if achieving an initial complete response compared with patients with
BCL2-DHL. Patients with THL experienced survival outcomes similar to those of
patients with BCL2-DHL. CONCLUSIONS: Recognition of the specific oncogene
rearrangements may be of prognostic value and potentially guide future
therapeutic strategies for patients with DHL.
PMID- 26565898
TI - The Genetic Basis of Pheromone Evolution in Moths.
AB - Moth sexual pheromones are widely studied as a fine-tuned system of intraspecific
sexual communication that reinforces interspecific reproductive isolation.
However, their evolution poses a dilemma: How can the female pheromone and male
preference simultaneously change to create a new pattern of species-specific
attraction? Solving this puzzle requires us to identify the genes underlying
intraspecific variation in signals and responses and to understand the
evolutionary mechanisms responsible for their interspecific divergence. Candidate
gene approaches and functional analyses have yielded insights into large families
of biosynthetic enzymes and pheromone receptors, although the factors controlling
their expression remain largely unexplored. Intra- and interspecific crosses have
provided tantalizing evidence of regulatory genes, although, to date, mapping
resolution has been insufficient to identify them. Recent advances in high
throughput genome and transcriptome sequencing, together with established
techniques, have great potential to help scientists identify the specific genetic
changes underlying divergence and resolve the mystery of how moth sexual
communication systems evolve.
PMID- 26565896
TI - Myeloma today: Disease definitions and treatment advances.
AB - There have been major advances in the diagnosis, staging, risk-stratification,
and management of multiple myeloma (MM). In addition to established CRAB
(hypercalcemia, renal failure, anemia, and lytic bone lesions) features, new
diagnostic criteria include three new biomarkers to diagnose the disease: bone
marrow clonal plasmacytosis >=60%, serum involved/uninvolved free light chain
ratio >=100, and >1 focal lesion on magnetic resonance imaging. MM can be
classified into several subtypes based on baseline cytogenetics, and prognosis
varies according to underlying cytogenetic abnormalities. A Revised International
Staging System has been developed which combines markers of tumor burden
(albumin, beta-2 microglobulin) with markers of aggressive disease biology (high
risk cytogenetics and elevated serum lactate dehydrogenase). Although the
approach to therapy remains largely the same, the treatment options at every
stage of the disease have changed. Carfilzomib, pomalidomide, panobinostat,
daratumumab, elotuzumab, and ixazomib have been approved for the treatment of the
disease. These drugs combined with older agents such as cyclophosphamide,
dexamethasone, thalidomide, bortezomib, and lenalidomide dramatically increase
the repertoire of regimens available for the treatment of MM. This review
provides a concise overview of recent advances in MM, including updates to
diagnostic criteria, staging, risk-stratification, and management.
PMID- 26565899
TI - Differential Stoichiometry among Core Ribosomal Proteins.
AB - Understanding the regulation and structure of ribosomes is essential to
understanding protein synthesis and its dysregulation in disease. While ribosomes
are believed to have a fixed stoichiometry among their core ribosomal proteins
(RPs), some experiments suggest a more variable composition. Testing such
variability requires direct and precise quantification of RPs. We used mass
spectrometry to directly quantify RPs across monosomes and polysomes of mouse
embryonic stem cells (ESC) and budding yeast. Our data show that the
stoichiometry among core RPs in wild-type yeast cells and ESC depends both on the
growth conditions and on the number of ribosomes bound per mRNA. Furthermore, we
find that the fitness of cells with a deleted RP-gene is inversely proportional
to the enrichment of the corresponding RP in polysomes. Together, our findings
support the existence of ribosomes with distinct protein composition and
physiological function.
PMID- 26565901
TI - Defining Resistance and Tolerance to Cancer.
AB - There are two ways to maintain fitness in the face of infection: resistance is a
host's ability to reduce microbe load and disease tolerance is the ability of the
host to endure the negative health effects of infection. Resistance and disease
tolerance should be applicable to any insult to the host and have been explored
in depth with regards to infection but have not been examined in the context of
cancer. Here, we establish a framework for measuring and separating resistance
and disease tolerance to cancer in Drosophila melanogaster. We plot a disease
tolerance curve to cancer in wild-type flies and then compare this to natural
variants, identifying a line with reduced cancer resistance. Quantitation of
these two traits opens an additional dimension for analysis of cancer biology.
PMID- 26565900
TI - A Role for IFITM Proteins in Restriction of Mycobacterium tuberculosis Infection.
AB - The interferon (IFN)-induced transmembrane (IFITM) proteins are critical
mediators of the host antiviral response. Here, we expand the role of IFITM
proteins to host defense against intracellular bacterial infection by
demonstrating that they restrict Mycobacterium tuberculosis (MTb) intracellular
growth. Simultaneous knockdown of IFITM1, IFITM2, and IFITM3 by RNAi
significantly enhances MTb growth in human monocytic and alveolar/epithelial
cells, whereas individual overexpression of each IFITM impairs MTb growth in
these cell types. Furthermore, MTb infection, Toll-like receptor 2 and 4 ligands,
and several proinflammatory cytokines induce IFITM1-3 gene expression in human
myeloid cells. We find that IFITM3 co-localizes with early and, in particular,
late MTb phagosomes, and overexpression of IFITM3 enhances endosomal
acidification in MTb-infected monocytic cells. These findings provide evidence
that the antiviral IFITMs participate in the restriction of mycobacterial growth,
and they implicate IFITM-mediated endosomal maturation in its antimycobacterial
activity.
PMID- 26565902
TI - p53 in the Myeloid Lineage Modulates an Inflammatory Microenvironment Limiting
Initiation and Invasion of Intestinal Tumors.
AB - Chronic inflammation promotes the development and progression of various
epithelial tumors. Wild-type p53 suppresses inflammation, but it is unclear
whether the role of p53 in suppression of inflammation is linked to its tumor
suppression function. Here, we established mouse models of myeloid lineage
specific p53 deletion or activation to examine its role in inflammation-related
intestinal tumorigenesis. Impaired p53 in the myeloid linage resulted in elevated
levels of inflammatory mediators and stimulated adenoma initiation in Apc(Min/+)
mice. In contrast, mice with mild p53 activation in the myeloid lineage
attenuated the inflammatory response and were more resistant to intestinal tumor
development and invasion, which were initiated through Apc(Min/+) mutation or
carcinogen and promoted by colitis. Furthermore, p53 activation also suppressed
alternative (M2) macrophage polarization together with c-MYC downregulation.
Therefore, as a regulator of macrophage function, p53 is critical to protection
against tumorigenesis in a non-cell-autonomous manner.
PMID- 26565904
TI - A Sox Transcription Factor Is a Critical Regulator of Adult Stem Cell
Proliferation in the Drosophila Intestine.
AB - Adult organs and their resident stem cells are constantly facing the challenge of
adapting cell proliferation to tissue demand, particularly in response to
environmental stresses. Whereas most stress-signaling pathways are conserved
between progenitors and differentiated cells, stem cells have the specific
ability to respond by increasing their proliferative rate, using largely unknown
mechanisms. Here, we show that a member of the Sox family of transcription
factors in Drosophila, Sox21a, is expressed in intestinal stem cells (ISCs) in
the adult gut. Sox21a is essential for the proliferation of these cells during
both normal epithelium turnover and repair. Its expression is induced in response
to tissue damage, downstream of the Jun N-terminal kinase (JNK) and extracellular
signal-regulated kinase (ERK) pathways, to promote ISC proliferation. Although
short-lived, Sox21a mutant flies show no developmental defects, supporting the
notion that this factor is a specific regulator of adult stem cell proliferation.
PMID- 26565903
TI - AKT1 Activation Promotes Development of Melanoma Metastases.
AB - Metastases are the major cause of melanoma-related mortality. Previous studies
implicating aberrant AKT signaling in human melanoma metastases led us to
evaluate the effect of activated AKT1 expression in non-metastatic
BRAF(V600E)/Cdkn2a(Null) mouse melanomas in vivo. Expression of activated AKT1
resulted in highly metastatic melanomas with lung and brain metastases in 67% and
17% of our mice, respectively. Silencing of PTEN in BRAF(V600E)/Cdkn2a(Null)
melanomas cooperated with activated AKT1, resulting in decreased tumor latency
and the development of lung and brain metastases in nearly 80% of tumor-bearing
mice. These data demonstrate that AKT1 activation is sufficient to elicit lung
and brain metastases in this context and reveal that activation of AKT1 is
distinct from PTEN silencing in metastatic melanoma progression. These findings
advance our knowledge of the mechanisms driving melanoma metastasis and may
provide valuable insights for clinical management of this disease.
PMID- 26565905
TI - The Etv2-miR-130a Network Regulates Mesodermal Specification.
AB - MicroRNAs (miRNAs) are known to regulate critical developmental stages during
embryogenesis. Here, we defined an Etv2-miR-130a cascade that regulates
mesodermal specification and determination. Ablation of Dicer in the Etv2
expressing precursors resulted in altered mesodermal lineages and embryonic
lethality. We identified miR-130a as a direct target of Etv2 and demonstrated its
role in the segregation of bipotent hemato-endothelial progenitors toward the
endothelial lineage. Gain-of-function experiments demonstrated that miR-130a
promoted the endothelial program at the expense of the cardiac program without
impacting the hematopoietic lineages. In contrast, CRISPR/Cas9-mediated knockout
of miR-130a demonstrated a reduction of the endothelial program without affecting
hematopoiesis. Mechanistically, miR-130a directly suppressed Pdgfra expression
and promoted the endothelial program by blocking Pdgfra signaling. Inhibition or
activation of Pdgfra signaling phenocopied the miR-130a overexpression and
knockout phenotypes, respectively. In summary, we report the function of a miRNA
that specifically promotes the divergence of the hemato-endothelial progenitor to
the endothelial lineage.
PMID- 26565907
TI - Positioning of AMPA Receptor-Containing Endosomes Regulates Synapse Architecture.
AB - Lateral diffusion in the membrane and endosomal trafficking both contribute to
the addition and removal of AMPA receptors (AMPARs) at postsynaptic sites.
However, the spatial coordination between these mechanisms has remained unclear,
because little is known about the dynamics of AMPAR-containing endosomes. In
addition, how the positioning of AMPAR-containing endosomes affects synapse
organization and functioning has never been directly explored. Here, we used live
cell imaging in hippocampal neuron cultures to show that intracellular AMPARs are
transported in Rab11-positive recycling endosomes, which frequently enter
dendritic spines and depend on the microtubule and actin cytoskeleton. By using
chemically induced dimerization systems to recruit kinesin (KIF1C) or myosin
(MyosinV/VI) motors to Rab11-positive recycling endosomes, we controlled their
trafficking and found that induced removal of recycling endosomes from spines
decreases surface AMPAR expression and PSD-95 clusters at synapses. Our data
suggest a mechanistic link between endosome positioning and postsynaptic
structure and composition.
PMID- 26565906
TI - Serotonin Promotes Development and Regeneration of Spinal Motor Neurons in
Zebrafish.
AB - In contrast to mammals, zebrafish regenerate spinal motor neurons. During
regeneration, developmental signals are re-deployed. Here, we show that, during
development, diffuse serotonin promotes spinal motor neuron generation from pMN
progenitor cells, leaving interneuron numbers unchanged. Pharmacological
manipulations and receptor knockdown indicate that serotonin acts at least in
part via 5-HT1A receptors. In adults, serotonin is supplied to the spinal cord
mainly (90%) by descending axons from the brain. After a spinal lesion,
serotonergic axons degenerate caudal to the lesion but sprout rostral to it.
Toxin-mediated ablation of serotonergic axons also rostral to the lesion impaired
regeneration of motor neurons only there. Conversely, intraperitoneal serotonin
injections doubled numbers of new motor neurons and proliferating pMN-like
progenitors caudal to the lesion. Regeneration of spinal-intrinsic serotonergic
interneurons was unaltered by these manipulations. Hence, serotonin selectively
promotes the development and adult regeneration of motor neurons in zebrafish.
PMID- 26565908
TI - Pre-emptive Quality Control Protects the ER from Protein Overload via the
Proximity of ERAD Components and SRP.
AB - Cells possess ER quality control systems to adapt to ER stress and maintain their
function. ER-stress-induced pre-emptive quality control (ER pQC) selectively
degrades ER proteins via translocational attenuation during ER stress. However,
the molecular mechanism underlying this process remains unclear. Here, we find
that most newly synthesized endogenous transthyretin proteins are rerouted to the
cytosol without cleavage of the signal peptide, resulting in proteasomal
degradation in hepatocytes during ER stress. Derlin family proteins (Derlins),
which are ER-associated degradation components, reroute specific ER proteins, but
not ER chaperones, from the translocon to the proteasome through interactions
with the signal recognition particle (SRP). Moreover, the cytosolic chaperone
Bag6 and the AAA-ATPase p97 contribute to the degradation of ER pQC substrates.
These findings demonstrate that Derlins-mediated substrate-specific rerouting and
Bag6- and p97-mediated effective degradation contribute to the maintenance of ER
homeostasis without the need for translocation.
PMID- 26565909
TI - Exosome Secretion by the Parasitic Protozoan Leishmania within the Sand Fly
Midgut.
AB - Despite several studies describing the secretion of exosomes by Leishmania in
vitro, observation of their formation and release in vivo has remained a major
challenge. Herein, we show that Leishmania constitutively secretes exosomes
within the lumen of the sand fly midgut through a mechanism homologous to the
mammalian pathway. Through egestion experiments, we demonstrate that Leishmania
exosomes are part of the sand fly inoculum and are co-egested with the parasite
during the insect's bite, possibly influencing the host infectious process.
Indeed, co-inoculation of mice footpads with L. major plus midgut-isolated or in
vitro-isolated L. major exosomes resulted in a significant increase in footpad
swelling. Notably, co-injections produced exacerbated lesions through
overinduction of inflammatory cytokines, in particular IL-17a. Our data indicate
that Leishmania exosomes are an integral part of the parasite's infectious life
cycle, and we propose to add these vesicles to the repertoire of virulence
factors associated with vector-transmitted infections.
PMID- 26565910
TI - Bactericidal Antibiotics Induce Toxic Metabolic Perturbations that Lead to
Cellular Damage.
AB - Understanding how antibiotics impact bacterial metabolism may provide insight
into their mechanisms of action and could lead to enhanced therapeutic
methodologies. Here, we profiled the metabolome of Escherichia coli after
treatment with three different classes of bactericidal antibiotics (?-lactams,
aminoglycosides, quinolones). These treatments induced a similar set of metabolic
changes after 30 min that then diverged into more distinct profiles at later time
points. The most striking changes corresponded to elevated concentrations of
central carbon metabolites, active breakdown of the nucleotide pool, reduced
lipid levels, and evidence of an elevated redox state. We examined potential end
target consequences of these metabolic perturbations and found that antibiotic
treated cells exhibited cytotoxic changes indicative of oxidative stress,
including higher levels of protein carbonylation, malondialdehyde adducts,
nucleotide oxidation, and double-strand DNA breaks. This work shows that
bactericidal antibiotics induce a complex set of metabolic changes that are
correlated with the buildup of toxic metabolic by-products.
PMID- 26565912
TI - Mitochondrial Protection by Exogenous Otx2 in Mouse Retinal Neurons.
AB - OTX2 (orthodenticle homeobox 2) haplodeficiency causes diverse defects in
mammalian visual systems ranging from retinal dysfunction to anophthalmia. We
find that the retinal dystrophy of Otx2(+/GFP) heterozygous knockin mice is
mainly due to the loss of bipolar cells and consequent deficits in retinal
activity. Among bipolar cell types, OFF-cone bipolar subsets, which lack
autonomous Otx2 gene expression but receive Otx2 proteins from photoreceptors,
degenerate most rapidly in Otx2(+/GFP) mouse retinas, suggesting a
neuroprotective effect of the imported Otx2 protein. In support of this
hypothesis, retinal dystrophy in Otx2(+/GFP) mice is prevented by intraocular
injection of Otx2 protein, which localizes to the mitochondria of bipolar cells
and facilitates ATP synthesis as a part of mitochondrial ATP synthase complex.
Taken together, our findings demonstrate a mitochondrial function for Otx2 and
suggest a potential therapeutic application of OTX2 protein delivery in human
retinal dystrophy.
PMID- 26565911
TI - A Metabolic Signature of Mitochondrial Dysfunction Revealed through a Monogenic
Form of Leigh Syndrome
AB - A decline in mitochondrial respiration represents the root cause of a large
number of inborn errors of metabolism. It is also associated with common age
associated diseases and the aging process. To gain insight into the systemic,
biochemical consequences of respiratory chain dysfunction, we performed a case
control, prospective metabolic profiling study in a genetically homogenous cohort
of patients with Leigh syndrome French Canadian variant, a mitochondrial
respiratory chain disease due to loss-of-function mutations in LRPPRC. We
discovered 45 plasma and urinary analytes discriminating patients from controls,
including classic markers of mitochondrial metabolic dysfunction (lactate and
acylcarnitines), as well as unexpected markers of cardiometabolic risk (insulin
and adiponectin), amino acid catabolism linked to NADH status (alpha
hydroxybutyrate), and NAD(+) biosynthesis (kynurenine and 3-hydroxyanthranilic
acid). Our study identifies systemic, metabolic pathway derangements that can lie
downstream of primary mitochondrial lesions, with implications for understanding
how the organelle contributes to rare and common diseases.
PMID- 26565913
TI - A Diencephalic Dopamine Source Provides Input to the Superior Colliculus, where
D1 and D2 Receptors Segregate to Distinct Functional Zones.
AB - Modulation of neural responses is frequently observed in the superior colliculus
(SC), a retinorecipient midbrain structure that controls orienting and the
localization of attention. Although behavioral contingencies that influence SC
responses are well documented, the neural pathways and molecular mechanisms
responsible for this modulation are not completely understood. Here, we
illustrate a dopaminergic system that strongly impacts neural responses in the
SC. After using RNA sequencing (RNA-seq) to detail the transcriptome of dopamine
related genes in the SC, we show that D1 receptors are enriched in the
superficial visual SC, while D2 receptors segregate to the intermediate
multimodal/motor SC. Retrograde injections into the SC consistently label A13, a
small dopamine cell group located in the zona incerta. We surmise that A13 mimics
dopaminergic effects that we observed in SC slices, which suggests that dopamine
in the SC may reduce the tendency of an animal to orient or attend to salient
stimuli.
PMID- 26565914
TI - Matrix Remodeling Promotes Pulmonary Hypertension through Feedback
Mechanoactivation of the YAP/TAZ-miR-130/301 Circuit.
AB - Pulmonary hypertension (PH) is a deadly vascular disease with enigmatic molecular
origins. We found that vascular extracellular matrix (ECM) remodeling and
stiffening are early and pervasive processes that promote PH. In multiple
pulmonary vascular cell types, such ECM stiffening induced the microRNA-130/301
family via activation of the co-transcription factors YAP and TAZ. MicroRNA
130/301 controlled a PPAR?-APOE-LRP8 axis, promoting collagen deposition and LOX
dependent remodeling and further upregulating YAP/TAZ via a mechanoactive
feedback loop. In turn, ECM remodeling controlled pulmonary vascular cell
crosstalk via such mechanotransduction, modulation of secreted vasoactive
effectors, and regulation of associated microRNA pathways. In vivo, pharmacologic
inhibition of microRNA-130/301, APOE, or LOX activity ameliorated ECM remodeling
and PH. Thus, ECM remodeling, as controlled by the YAP/TAZ-miR-130/301 feedback
circuit, is an early PH trigger and offers combinatorial therapeutic targets for
this devastating disease.
PMID- 26565915
TI - Cancer-Associated SF3B1 Hotspot Mutations Induce Cryptic 3' Splice Site Selection
through Use of a Different Branch Point.
AB - Recurrent mutations in the spliceosome are observed in several human cancers, but
their functional and therapeutic significance remains elusive. SF3B1, the most
frequently mutated component of the spliceosome in cancer, is involved in the
recognition of the branch point sequence (BPS) during selection of the 3' splice
site (ss) in RNA splicing. Here, we report that common and tumor-specific
splicing aberrations are induced by SF3B1 mutations and establish aberrant 3' ss
selection as the most frequent splicing defect. Strikingly, mutant SF3B1 utilizes
a BPS that differs from that used by wild-type SF3B1 and requires the canonical
3' ss to enable aberrant splicing during the second step. Approximately 50% of
the aberrantly spliced mRNAs are subjected to nonsense-mediated decay resulting
in downregulation of gene and protein expression. These findings ascribe
functional significance to the consequences of SF3B1 mutations in cancer.
PMID- 26565918
TI - Solid-State 87Sr NMR Spectroscopy at Natural Abundance and High Magnetic Field
Strength.
AB - Twenty-five strontium-containing solids were characterized via (87)Sr NMR
spectroscopy at natural abundance and high magnetic field strength (B0 = 21.14
T). Strontium nuclear quadrupole coupling constants in these compounds are
sensitive to the strontium site symmetry and range from 0 to 50.5 MHz. An
experimental (87)Sr chemical shift scale is proposed, and available data indicate
a chemical shift range of approximately 550 ppm, from -200 to +350 ppm relative
to Sr(2+)(aq). In general, magnetic shielding increased with strontium
coordination number. Experimentally measured chemical shift anisotropy is
reported for stationary samples of solid powdered SrCl2.6H2O, SrBr2.6H2O, and
SrCO3, with deltaaniso((87)Sr) values of +28, +26, and -65 ppm, respectively. NMR
parameters were calculated using CASTEP, a gauge including projector augmented
wave (GIPAW) DFT-based program, which addresses the periodic nature of solids
using plane-wave basis sets. Calculated NMR parameters are in good agreement with
those measured.
PMID- 26565916
TI - FOXC1 Activates Smoothened-Independent Hedgehog Signaling in Basal-like Breast
Cancer.
AB - The mesoderm- and epithelial-mesenchymal transition-associated transcription
factor FOXC1 is specifically overexpressed in basal-like breast cancer (BLBC),
but its biochemical function is not understood. Here, we demonstrate that FOXC1
controls cancer stem cell (CSC) properties enriched in BLBC cells via activation
of Smoothened (SMO)-independent Hedgehog (Hh) signaling. This non-canonical
activation of Hh is specifically mediated by Gli2. Furthermore, we show that the
N-terminal domain of FOXC1 (aa 1-68) binds directly to an internal region (aa 898
1168) of Gli2, enhancing the DNA-binding and transcription-activating capacity of
Gli2. FOXC1 expression correlates with that of Gli2 and its targets in human
breast cancers. Moreover, FOXC1 overexpression reduces sensitivity to anti
Hedgehog (Hh) inhibitors in BLBC cells and xenograft tumors. Together, these
findings reveal FOXC1-mediated non-canonical Hh signaling that determines the
BLBC stem-like phenotype and anti-Hh sensitivity, supporting inhibition of FOXC1
pathways as potential approaches for improving BLBC treatment.
PMID- 26565917
TI - Cell-Cycle-Dependent Reconfiguration of the DNA Methylome during Terminal
Differentiation of Human B Cells into Plasma Cells.
AB - Molecular mechanisms underlying terminal differentiation of B cells into plasma
cells are major determinants of adaptive immunity but remain only partially
understood. Here we present the transcriptional and epigenomic landscapes of cell
subsets arising from activation of human naive B cells and differentiation into
plasmablasts. Cell proliferation of activated B cells was linked to a slight
decrease in DNA methylation levels, but followed by a committal step in which an
S phase-synchronized differentiation switch was associated with an extensive DNA
demethylation and local acquisition of 5-hydroxymethylcytosine at enhancers and
genes related to plasma cell identity. Downregulation of both TGF-?1/SMAD3
signaling and p53 pathway supported this final step, allowing the emergence of a
CD23-negative subpopulation in transition from B cells to plasma cells.
Remarkably, hydroxymethylation of PRDM1, a gene essential for plasma cell fate,
was coupled to progression in S phase, revealing an intricate connection among
cell cycle, DNA (hydroxy)methylation, and cell fate determination.
PMID- 26565919
TI - New toxicity profile for novel immunotherapy agents: focus on immune-checkpoint
inhibitors.
AB - INTRODUCTION: Tumor development results from a cancer-induced immunosuppression
(immune-editing). Immunotherapy has revolutionized the treatment paradigm for
many malignancies, putting clinicians before novel toxicities, of immune-mediated
etiology (immune-related adverse events). AREAS COVERED: Immune-mediated toxicity
depends on both innate and adaptive immunity mechanisms. Healthy tissue damage
depends on an aspecific T-cell hyperactivation response causing cross-reaction
with normal tissues, which leads to an overproduction of CD4 T-helper cell
cytokines and an abnormal migration of cytolytic CD8 T-cells. By stimulating a
diffuse T-cell repertoire expansion, immune-checkpoint inhibitors counteract
tumor growth but reduce the self-tolerance, damaging healthy organs. In this
review, we summarize the toxicity profile of the novel immune-checkpoint
inhibitors and their clinical implications, we are convinced that a deep
understanding and a prompt resolution of the paradigmatic toxicities of these
drugs will result in clinical benefits to patients and an enhanced antitumor
effect. EXPERT OPINION: A focus on immunotoxicity is important in the education
of clinicians and will improve patient safety. There is a willingness to tailor
specific immune-therapies to each cancer patient, and to stimulate researchers
through understanding of the physiopathogenesis, using the hypothesis that immune
mediated toxicities can be used as predictors of response or a prognostic sign of
survival, thereby guiding therapeutic decisions.
PMID- 26565920
TI - Absolute Configuration of (-)-Centratherin, a Sesquiterpenoid Lactone, Defined by
Means of Chiroptical Spectroscopy.
AB - (-)-Centratherin is a bioactive sesquiterpenoid lactone, whose absolute
configuration (AC) was not established, but has been proposed based on those of
germacrane precursors. To verify this proposal, the experimental electronic
circular dichroism (ECD), electronic dissymmetry factor (EDF), optical rotatory
dispersion (ORD), vibrational circular dichroism (VCD), and vibrational
dissymmetry factor (VDF) spectra of (-)-centratherin have been analyzed with the
corresponding density functional theoretical predictions. These analyses suggest
the AC of naturally occurring (-)-centratherin to be (6R,7R,8S,10R,2'Z).
PMID- 26565921
TI - Interactions between Cellulolytic Enzymes with Native, Autohydrolysis, and
Technical Lignins and the Effect of a Polysorbate Amphiphile in Reducing
Nonproductive Binding.
AB - Understanding enzyme-substrate interactions is critical in designing strategies
for bioconversion of lignocellulosic biomass. In this study we monitored
molecular events, in situ and in real time, including the adsorption and
desorption of cellulolytic enzymes on lignins and cellulose, by using quartz
crystal microgravimetry and surface plasmon resonance. The effect of a nonionic
surface active molecule was also elucidated. Three lignin substrates relevant to
the sugar platform in biorefinery efforts were considered, namely, hardwood
autohydrolysis cellulolytic (HWAH), hardwood native cellulolytic (MPCEL), and
nonwood native cellulolytic (WSCEL) lignin. In addition, Kraft lignins derived
from softwoods (SWK) and hardwoods (HWK) were used as references. The results
indicated a high affinity between the lignins with both, monocomponent and
multicomponent enzymes. More importantly, the addition of nonionic surfactants at
concentrations above their critical micelle concentration reduced remarkably (by
over 90%) the nonproductive interactions between the cellulolytic enzymes and the
lignins. This effect was hypothesized to be a consequence of the balance of
hydrophobic and hydrogen bonding interactions. Moreover, the reduction of surface
roughness and increased wettability of lignin surfaces upon surfactant treatment
contributed to a lower affinity with the enzymes. Conformational changes of
cellulases were observed upon their adsorption on lignin carrying preadsorbed
surfactant. Weak electrostatic interactions were determined in aqueous media at
pH between 4.8 and 5.5 for the native cellulolytic lignins (MPCEL and WSCEL),
whereby a ~20% reduction in the enzyme affinity was observed. This was mainly
explained by electrostatic interactions (osmotic pressure effects) between
charged lignins and cellulases. Noteworthy, adsorption of nonionic surfactants
onto cellulose, in the form cellulose nanofibrils, did not affect its hydrolytic
conversion. Overall, our results highlight the benefit of nonionic surfactant
pretreatment to reduce nonproductive enzyme binding while maintaining the
reactivity of the cellulosic substrate.
PMID- 26565922
TI - Enhanced Performance of Photoelectrochemical Water Splitting with ITO@alpha-Fe2O3
Core-Shell Nanowire Array as Photoanode.
AB - Hematite (alpha-Fe2O3) is one of the most promising candidates for
photoelectrodes in photoelectrochemical water splitting system. However, the low
visible light absorption coefficient and short hole diffusion length of pure
alpha-Fe2O3 limits the performance of alpha-Fe2O3 photoelectrodes in water
splitting. Herein, to overcome these drawbacks, single-crystalline tin-doped
indium oxide (ITO) nanowire core and alpha-Fe2O3 nanocrystal shell (ITO@alpha
Fe2O3) electrodes were fabricated by covering the chemical vapor deposited ITO
nanowire array with compact thin alpha-Fe2O3 nanocrystal film using chemical bath
deposition (CBD) method. The J-V curves and IPCE of ITO@alpha-Fe2O3 core-shell
nanowire array electrode showed nearly twice as high performance as those of the
alpha-Fe2O3 on planar Pt-coated silicon wafers (Pt/Si) and on planar ITO
substrates, which was considered to be attributed to more efficient hole
collection and more loading of alpha-Fe2O3 nanocrystals in the core-shell
structure than planar structure. Electrochemical impedance spectra (EIS)
characterization demonstrated a low interface resistance between alpha-Fe2O3 and
ITO nanowire arrays, which benefits from the well contact between the core and
shell. The stability test indicated that the prepared ITO@alpha-Fe2O3 core-shell
nanowire array electrode was stable under AM1.5 illumination during the test
period of 40,000 s.
PMID- 26565924
TI - Engaging Inner-City Fathers in Breastfeeding Support.
AB - PURPOSE: Our objective was to pilot a method of engaging fathers/partners of high
risk inner-city mothers in breastfeeding support. MATERIALS AND METHODS: Breast
for Success was a breastfeeding promotion initiative with a father engagement
component. In collaboration with Community Endeavors, Inc., we organized father
friendly evening programs (one night per week for 3 weeks, repeating quarterly)
led by a male facilitator to provide breastfeeding education, with ongoing
availability of a resource specialist to link men to community resources relevant
to their legal, financial, and health needs. Fathers/partners were recruited from
community programs and via our community partner, The City of Cleveland
Department of Public Health MomsFirstTM Project, a federally funded Healthy Start
program. University Hospitals Case Medical Center Institutional Review Board
approved the study. RESULTS: Sixty-six fathers/partners attended eight evening
programs, and 30 (45%) attended all three nights. Their median age was 27.5 years
(range, 17-64 years), and 49 (74%) self-described themselves as African American.
At the start of the groups, 39% (21/54 responding) had a breastfed child, and 64%
(39/61 responding) said they were comfortable with breastfeeding for their own
child. After Sessions 1, 2, and 3, respectively, 40 (85%), 42 (89%), and 33 (80%)
were "more likely" to want their next baby to breastfeed. On average, in 62% of
all responses (278/450 possible), men endorsed learning "a lot more" about the 10
breastfeeding curriculum topics presented. CONCLUSIONS: Recruitment of inner-city
fathers/partners for a breastfeeding education program was feasible, and among
men who attended, fathers' perceptions about their breastfeeding knowledge were
positively impacted.
PMID- 26565923
TI - Absence of Stereodirecting Participation by 2-O-Alkoxycarbonylmethyl Ethers in
4,6-O-Benzylidene-Directed Mannosylation.
AB - The preparation of a series of mannopyranosyl donors carrying 2-O-(2-oxoalkyl)
ethers and their use in glycosylation reactions are described. The formation of
cyclic products with the simple 2-O-phenacyl ether and with the 2-O-(t
butoxycarbonylmethyl) ether establishes the stereoelectronic feasibility of
participation in such systems. The high beta-selectivities observed with the bis
trifluoromethyl phenacyl ether indicate that participation can be suppressed
through the introduction of electron-withdrawing substituents. The high beta
selectivities and absence of cyclic products observed with the 2-O
(methoxycarbonylmethyl) ether exclude the effective participation of esters
through six-membered cyclic intermediates in this series. The results are
discussed in terms of the conformation of cyclic dioxenium ions (E,E-, E,Z-, or
Z,Z-) and in the context of "neighboring group" participation by nonvicinal
esters in glycosylation. Methods for the deprotection of the 2-O-phenacyl and 2-O
(methoxycarbonylmethyl) ethers are described.
PMID- 26565925
TI - Minimally Invasive Ileal Pouch-Anal Anastomosis with Rectal Eversion Allows for
Equivalent Outcomes in Continence in Pediatric Patients.
AB - BACKGROUND: Different techniques for ileal pouch-anal anastomosis (IPAA)
following total proctocolectomy (TPC) have been described in patients with
ulcerative colitis (UC), including rectal eversion (RE). RE allows for precise
identification of the dentate line, but concerns have been raised regarding
continence rates. No studies have specifically evaluated RE in the pediatric
population. The purpose of this study was to evaluate the outcomes and continence
rates for pediatric patients undergoing minimally invasive surgery (MIS) TPC and
IPAA with RE for UC. MATERIALS AND METHODS: All patients who underwent TPC and
IPAA were reviewed at our institution. Data collected included demographics,
proctocolectomy technique (open without RE versus MIS with RE), operative time,
postoperative data, and continence outcomes following ileostomy closure. RESULTS:
Thirty-three patients were identified who underwent TPC and IPAA between July
2006 and October 2014. Thirty of these patients underwent ileostomy takedown and
were evaluated for continence. Of these, 17 (56.7%) patients had a laparoscopic
procedure, 5 (16.7%) had a robotic-assisted procedure, and 8 (26.7%) had an open
procedure. There were no statistically significant differences in regard to
demographics, operative time, or length of stay when comparing the two groups.
There were no differences in the two groups as measured at 1, 6, and 12 months in
terms of number of daily stools (P = .93, .09, and .87, respectively), nighttime
stooling (P = .29, .10, and .25, respectively), soiling (P = .43, .36, and .52,
respectively), or stool-altering medication usage (P = .26, 1.00, and .37,
respectively). CONCLUSIONS: The RE technique can be used safely and effectively
during MIS TPC and IPAA in children without altering continence rates.
PMID- 26565926
TI - Catalytic Enantioselective Diarylation of Alkenes.
AB - A method for the catalytic enantioselective diarylation of alkenes is presented.
The method allowed for the synthesis of highly enantioenriched 2,3
dihydrobenzofurans and indolines containing molecules from readily available
substrates. Furthermore, this method allowed for the enantioselective synthesis
of quaternary carbons. Based on mechanism studies, the process likely functions
by enantioselective insertion of an alkene into an Ar-CuBenzP* complex to
generate a Csp(3)-Cu complex. Capture of this intermediate with an ArX led to
formation of the desired product.
PMID- 26565928
TI - Mindfulness-based cognitive therapy for anxiety symptoms in older adults in
residential care.
AB - OBJECTIVES: Anxiety in older people is under-diagnosed and poorly treated despite
significant impairments that arise from anxiety. Mindfulness-based cognitive
therapy (MBCT) has been shown to be a promising treatment for anxiety. The aim of
this study was to determine the effect of an MBCT program on anxiety symptoms in
older people living in residential care. METHOD: Fifty-two participants (34
females) were randomly allocated into therapy and control groups using a 2 * 3
mixed design. The average age of participants was 83 years. RESULTS: The group
effect showed significant improvements on all measures at the end of the seven
week program in the therapy group, while the control group did not show
significant changes. CONCLUSION: This study represents one of the first studies
of the effectiveness of an MBCT program on anxiety symptoms for older people
using a randomized controlled trial. The study has implications for future
research that include the effectiveness of MBCT for the treatment of anxiety
symptoms in older people, the utility of group therapy programs in residential
care and the benefits of using specialized instruments for older populations.
PMID- 26565927
TI - Panretinal Photocoagulation vs Intravitreous Ranibizumab for Proliferative
Diabetic Retinopathy: A Randomized Clinical Trial.
AB - IMPORTANCE: Panretinal photocoagulation (PRP) is the standard treatment for
reducing severe visual loss from proliferative diabetic retinopathy. However, PRP
can damage the retina, resulting in peripheral vision loss or worsening diabetic
macular edema (DME). OBJECTIVE: To evaluate the noninferiority of intravitreous
ranibizumab compared with PRP for visual acuity outcomes in patients with
proliferative diabetic retinopathy. DESIGN, SETTING, AND PARTICIPANTS: Randomized
clinical trial conducted at 55 US sites among 305 adults with proliferative
diabetic retinopathy enrolled between February and December 2012 (mean age, 52
years; 44% female; 52% white). Both eyes were enrolled for 89 participants (1 eye
to each study group), with a total of 394 study eyes. The final 2-year visit was
completed in January 2015. INTERVENTIONS: Individual eyes were randomly assigned
to receive PRP treatment, completed in 1 to 3 visits (n = 203 eyes), or
ranibizumab, 0.5 mg, by intravitreous injection at baseline and as frequently as
every 4 weeks based on a structured re-treatment protocol (n = 191 eyes). Eyes in
both treatment groups could receive ranibizumab for DME. MAIN OUTCOMES AND
MEASURES: The primary outcome was mean visual acuity change at 2 years (5-letter
noninferiority margin; intention-to-treat analysis). Secondary outcomes included
visual acuity area under the curve, peripheral visual field loss, vitrectomy, DME
development, and retinal neovascularization. RESULTS: Mean visual acuity letter
improvement at 2 years was +2.8 in the ranibizumab group vs +0.2 in the PRP group
(difference, +2.2; 95% CI, -0.5 to +5.0; P < .001 for noninferiority). The mean
treatment group difference in visual acuity area under the curve over 2 years was
+4.2 (95% CI, +3.0 to +5.4; P < .001). Mean peripheral visual field sensitivity
loss was worse (-23 dB vs -422 dB; difference, 372 dB; 95% CI, 213-531 dB; P <
.001), vitrectomy was more frequent (15% vs 4%; difference, 9%; 95% CI, 4%-15%; P
< .001), and DME development was more frequent (28% vs 9%; difference, 19%; 95%
CI, 10%-28%; P < .001) in the PRP group vs the ranibizumab group, respectively.
Eyes without active or regressed neovascularization at 2 years were not
significantly different (35% in the ranibizumab group vs 30% in the PRP group;
difference, 3%; 95% CI, -7% to 12%; P = .58). One eye in the ranibizumab group
developed endophthalmitis. No significant differences between groups in rates of
major cardiovascular events were identified. CONCLUSIONS AND RELEVANCE: Among
eyes with proliferative diabetic retinopathy, treatment with ranibizumab resulted
in visual acuity that was noninferior to (not worse than) PRP treatment at 2
years. Although longer-term follow-up is needed, ranibizumab may be a reasonable
treatment alternative, at least through 2 years, for patients with proliferative
diabetic retinopathy. TRIAL REGISTRATION: clinicaltrials.gov Identifier:
NCT01489189.
PMID- 26565929
TI - Molecular detection of emerging tick-borne pathogens in Vojvodina, Serbia.
AB - Ticks play an important role in disease transmission globally due to their
capability to serve as vectors for human and animal pathogens. The Republic of
Serbia is an endemic area for a large number of tick-borne diseases. However,
current knowledge on these diseases in Serbia is limited. The aim of this study
was to investigate the presence of new emerging tick-borne pathogens in ticks
collected from dogs and the vegetation from different parts of Vojvodina, Serbia.
A total of 187 ticks, including 124 Rhipicephalus sanguineus, 45 Ixodes ricinus
and 18 Dermacentor reticulatus were collected from dogs. In addition, 26 questing
I. ricinus ticks were collected from the vegetation, using the flagging method,
from 4 different localities in Vojvodina, Serbia. DNA was extracted from each
tick individually and samples were tested by either conventional or real-time PCR
assays for the presence of Rickettsia spp.-DNA (gltA and ompA gene fragments),
Ehrlichia/Anaplasma spp.-DNA (16S rRNA gene fragment) and Hepatozoon spp./Babesia
spp.-DNA (18S rRNA gene fragment). In addition, all I. ricinus DNA samples were
tested for Bartonella spp.-DNA (ITS locus) by real-time PCR. In this study, the
presence of novel emerging tick-borne pathogens including Rickettsia raoultii,
Rickettsia massiliae, Babesia venatorum, Babesia microti, Hepatozoon canis and
Candidatus Neoehrlichia mikurensis was identified for the first time in Serbia.
Our findings also confirmed the presence of Rickettsia monacensis, Babesia canis
and Anaplasma phagocytophilum in ticks from Serbia. The findings of the current
study highlight the great diversity of tick-borne pathogens of human and animal
importance in Serbia. Physicians, public health workers and veterinarians should
increase alertness to the presence of these tick-borne pathogens in this country.
PMID- 26565930
TI - Population dynamics of multiple symbionts in the hard tick, Dermacentor silvarum
Olenev (Acari: Ixodidae).
AB - Previously, we reported that Coxiella-like, Rickettsia-like and Arsenophonus-like
symbionts could simultaneously coexist in Dermacentor silvarum. In this study, we
examined their burdens and population dynamics in a single host during the host
life cycle using quantitative PCR. Our results showed that multiple symbionts
exhibited different abundances and varying trends in the tick host. Coxiella-like
and Rickettsia-like symbionts were found at high densities in large quantities
that fluctuated with time. This may coincide with oogenesis and mating of the
host. Our findings provide insight into symbiont-tick interactions that lay the
foundation for future studies.
PMID- 26565931
TI - Testing practices and volume of non-Lyme tickborne diseases in the United States.
AB - Large commercial laboratories in the United States were surveyed regarding the
number of specimens tested for eight tickborne diseases in 2008. Seven large
commercial laboratories reported testing a total of 2,927,881 specimens
nationally (including Lyme disease). Of these, 495,585 specimens (17%) were
tested for tickborne diseases other than Lyme disease. In addition to large
commercial laboratories, another 1051 smaller commercial, hospital, and
government laboratories in four states (CT, MD, MN, and NY) were surveyed
regarding tickborne disease testing frequency, practices, and results. Ninety-two
of these reported testing a total of 10,091 specimens for four tickborne diseases
other than Lyme disease. We estimate the cost of laboratory diagnostic testing
for non-Lyme disease tickborne diseases in 2008 to be $9.6 million. These data
provide a baseline to evaluate trends in tickborne disease test utilization and
insight into the burden of these diseases.
PMID- 26565932
TI - Stacking-Dependent Interlayer Coupling in Trilayer MoS2 with Broken Inversion
Symmetry.
AB - The stacking configuration in few-layer two-dimensional (2D) materials results in
different structural symmetries and layer-to-layer interactions, and hence it
provides a very useful parameter for tuning their electronic properties. For
example, ABA-stacking trilayer graphene remains semimetallic similar to that of
monolayer, while ABC-stacking is predicted to be a tunable band gap semiconductor
under an external electric field. Such stacking dependence resulting from many
body interactions has recently been the focus of intense research activities.
Here we demonstrate that few-layer MoS2 samples grown by chemical vapor
deposition with different stacking configurations (AA, AB for bilayer; AAB, ABB,
ABA, AAA for trilayer) exhibit distinct coupling phenomena in both
photoluminescence and Raman spectra. By means of ultralow-frequency (ULF) Raman
spectroscopy, we demonstrate that the evolution of interlayer interaction with
various stacking configurations correlates strongly with layer-breathing mode
(LBM) vibrations. Our ab initio calculations reveal that the layer-dependent
properties arise from both the spin-orbit coupling (SOC) and interlayer coupling
in different structural symmetries. Such detailed understanding provides useful
guidance for future spintronics fabrication using various stacked few-layer MoS2
blocks.
PMID- 26565933
TI - Synthesis and Molecular Structure of a Water-Soluble, Dimeric Tri-Titanium(IV)
Substituted Wells-Dawson Polyoxometalate Containing Two Bridging (C5Me5)Rh2+
Groups.
AB - A novel trititanium(IV)-substituted Wells-Dawson polyoxometalate (POM)-based
organometallic complex, i.e., a dimeric POM containing two bridging Cp*Rh(2+)
groups (Cp* = C5Me5) or [{alpha-P2W15Ti3O60(OH)2}2(Cp*Rh)2](16-) (D-1) with Ci
symmetry, was synthesized in an analytically pure form by a 1:2 -molar ratio
reaction of the organometallic precursor [Cp*RhCl2]2 with the separately
prepared, monomeric trititanium(IV)-substituted Wells-Dawson POM,
"[P2W15Ti3O59(OH)3](9-)" (M-1). The crystalline sample (NaK-D-1) of the water
soluble, mixed sodium/potassium salt of D-1 was obtained in the 14.7% yield,
which has been characterized by complete elemental analysis, TG/DTA, FTIR, single
crystal X-ray structure analysis, and solution ((183)W, (31)P, (1)H and
(13)C{(1)H}) NMR spectroscopy. Single-crystal X-ray structure analysis revealed
that the two species of the protonated Wells-Dawson subunits,
"[P2W15Ti3O60(OH)2](10-)" were bridged by the two Cp*Rh(2+) groups, resulting in
the an overall Ci symmetry. The Cp*Rh(2+) groups were linked to the two terminal
oxygen atoms of the titanium(IV) sites and one edge-sharing oxygen atom of the
surface Ti-O-Ti bond. The (183)W NMR of D-1 dissolved in D2O showed that its
solution structure was represented as a dimeric POM with a formula of [{alpha
P2W15Ti3O60(OH)3}2{Cp*Rh(OH)}2](16-) (D-2) with Ci (or S2) symmetry. A
trititanium(IV)-substituted Wells-Dawson POM-supported organometallic complex has
never been reported so far, and thus D-1 in the solid state and D-2 in solution
are the first example of this type of complex.
PMID- 26565934
TI - Burden of illness among patients with fragile X syndrome (FXS): a Medicaid
perspective.
AB - BACKGROUND: Fragile X syndrome (FXS) is an inherited intellectual disability that
imposes a substantial clinical and humanistic burden on patients and caregivers.
This study aimed to quantify the incremental burden of illness following FXS
diagnosis in Medicaid populations. METHODS: A retrospective matched-cohort study
was conducted using FL, NJ, MO, IA, and KS Medicaid claims (1997-2012). Patients
with FXS were matched 1:5 to a comparison group without FXS, based on age,
gender, state, and continuous Medicaid coverage. Healthcare resource utilization
and costs were compared among cohorts over 1 year following first diagnosis.
RESULTS: Overall, 697 patients with FXS were matched to 3485 non-FXS patients.
Median age was 12.0 years; 82% were male. Newly diagnosed FXS patients were
younger (median age: 7.0 years). During the follow-up, patients with FXS had
significantly higher medication use, medical procedure use, medical specialist
visits, and associated costs than the non-FXS comparison group. One-fourth of FXS
patients filled prescriptions for stimulants, antipsychotics, or anticonvulsants;
25% of patients with FXS had speech and language therapy and 39% had physical
therapy (versus 9%, 4% and 8%, respectively, for the comparison group). At least
44% of FXS patients visited a neurologist, cardiologist, otolaryngologist, or
gastroenterologist; 92% of patients with FXS had an outpatient visit, 35% had an
emergency room visit, and 34% used home services (compared to 31%-32%, 64%, 27%,
and 10%, respectively, for the comparison group) (all p < 0.05). Patients with
FXS had an incremental annual total healthcare cost of $33,409 (2012$) per person
relative to the comparison group, while newly diagnosed FXS patients had
incremental total annual healthcare costs of $17,617 (2012$) per person.
CONCLUSIONS: Both established and newly diagnosed FXS were associated with
significantly increased use of multiple medications and medical services, and
increased healthcare costs. Treatments that could help reduce this disease burden
are urgently needed.
PMID- 26565935
TI - Mycobacterium fortuitum as a cause of peritoneal dialysis catheter port
infection. A clinical case and a review of the literature.
PMID- 26565936
TI - Spinal cord compression due to a brown bone tumour in a patient on haemodialysis.
PMID- 26565937
TI - Resistant anaemia and mixed cryoglobulinaemia in a patient on haemodialysis in
the context of Q fever.
PMID- 26565938
TI - What is the optimum dialysate flow in post-dilution online haemodiafiltration?
AB - INTRODUCTION: In post-dilution online hemodiafiltration (OL-HDF), the only
recommendation concerning the dialysate, or dialysis fluid, refers to its purity.
No study has yet determined whether using a high dialysate flow (Qd) is useful
for increasing Kt or ultrafiltration-infusion volume. OBJECTIVE: Study the
influence of Qd on Kt and on infusion volume in OL-HDF. MATERIAL AND METHODS:
This was a prospective crossover study. There were 37 patients to whom 6 sessions
of OL-HDF were administered at 3 different Qds: 500, 600 and 700ml/min. A
5008((r)) monitor was used for the dialysis in 21 patients, while an AK-200((r))
was used in 17. The dialysers used were: 20 with FX 800((r)) and 17 with Polyflux
210((r)). The rest of the parameters were kept constant. Monitor data collected
were effective blood flow, effective dialysis time, final Kt and infused volume.
RESULTS: We found that using a Qd of 600 or 700ml/min increased Kt by 1.7%
compared to using a Qd of 500ml/min. Differences in infusion volume were not
significant. Increasing Qd from 500ml/min to 600 and 700ml/min increased
dialysate consumption by 20% and 40%, respectively. CONCLUSIONS: With the
monitors and dialysers currently used in OL-HDF, a Qd higher than 500ml/min is
unhelpful for increasing the efficacy of Kt or infusion volume. Consequently,
using a high Qd wastes water, a truly important resource both from the ecological
and economic points of view.
PMID- 26565939
TI - Bisphenol A: An environmental factor implicated in renal vascular damage.
PMID- 26565940
TI - Molecular analysis of the CTNS gene in Jordanian families with nephropathic
cystinosis.
AB - OBJECTIVE: Nephropathic cystinosis is an autosomal recessive lysosomal storage
disorder that is characterised by the accumulation of the amino acid cystine in
several body tissues due to a mutation in the CTNS gene, which encodes the
cystinosin protein. The aim of this study was to sequence the coding exons of the
CTNS gene in five different Jordanian families and one family from Sudan with
nephropathic cystinosis. METHODS: Probands initially presented with Fanconi
syndrome symptoms. An eye examination showed the accumulation of cystine crystals
in the cornea by the age of 2 years, suggesting cystinosis. All of the coding
exons and flanking intronic sequences and the promoter region of the CTNS gene
were amplified using polymerase chain reaction and subjected to sequencing.
RESULTS: None of the probands in this study carried the European 57-kb deletion
in the CTNS gene. Seven variants in the coding and promoter sequence of the CTNS
gene were identified in the probands of this study. Two of these variants were a
CTNS mutation that was previously identified in a heterozygous genotype in two
different patients of European descendant. The two mutations were c.829dupA in
exon 10 and c.890G>A in exon 11. The proband of family 2 was compound
heterozygous for the two mutations. CONCLUSION: This study is the first molecular
study of infantile nephropathic cystinosis in Jordan. We successfully identified
the causative CTNS mutations in Jordanian families. The results provide a basis
for the early detection of the disease using molecular tools in a highly
consanguineous Jordanian population.
PMID- 26565941
TI - Europium Nanospheres-Based Time-Resolved Fluorescence for Rapid and
Ultrasensitive Determination of Total Aflatoxin in Feed.
AB - Immunochromatographic (IC) assays are considered suitable diagnostic tools for
the determination of mycotoxins. A europium nanospheres-based time-resolved
fluorescence immunoassay (Eu-Nano-TRFIA), based on a monoclonal antibody and a
portable TRFIA reader, was developed to determine total aflatoxin (including
aflatoxins B1, B2, G1, and G2) levels in feed samples. Under optimized
conditions, the Eu-Nano-TRFIA method detected total aflatoxin within 12 min. It
showed good linearity (R(2) > 0.985), LOD of 0.16 MUg/kg, a wide dynamic range of
0.48-30.0 MUg/kg, recovery rates of 83.9-113.9%, and coefficients of variation
(CVs) of 3.5-8.8%. In the 397 samples from company and livestock farms throughout
China, the detection rate was 78.3%, concentrations were 0.50-145.30 MUg/kg, the
highest total aflatoxin content was found in cottonseed meal, and corn was found
to be the most commonly contaminated feed. This method could be a powerful
alternative for the rapid and ultrasensitive determination of total aflatoxin in
quality control and meet the required Chinese maximum residue limits.
PMID- 26565942
TI - Surgical management of hydrocephalus secondary to intraventricular hemorrhage in
the preterm infant.
AB - OBJECT Posthemorrhagic hydrocephalus (PHH) in the preterm infant remains a major
neurological complication of prematurity. The authors first described insertion
of a specially designed low-profile subcutaneous ventricular catheter reservoir
for temporary management of hydrocephalus in 1983. This report presents the
follow-up experience with the surgical management of PHH in this population and
describes outcomes both in infants who were stable for permanent shunt insertion
and those initially temporized with a ventricular reservoir (VR) prior to
permanent ventriculoperitoneal (VP)/ventriculoatrial (VA) shunt placement.
METHODS A retrospective review was undertaken of the medical records of all
premature infants surgically treated for posthemorrhagic hydrocephalus (PHH)
between 1997 and 2012 at Children's Hospital Los Angeles. RESULTS Over 14 years,
91 preterm infants with PHH were identified. Fifty neonates received temporizing
measures via a VR that was serially tapped for varying time periods. For the
remaining 41 premature infants, VP/VA shunt placement was the first procedure.
Patients with a temporizing measure as their initial procedure had undergone CSF
diversion significantly earlier in life than those who had permanent shunting as
the initial procedure (29 vs 56 days after birth, p < 0.01). Of the infants with
a VR as their initial procedure, 5/50 (10%) did not undergo subsequent VP/VA
shunt placement. The number of shunt revisions and the rates of loculated
hydrocephalus and shunt infection did not statistically differ between the 2
groups. CONCLUSIONS Patients with initial VR insertion as a temporizing measure
received a CSF diversion procedure significantly earlier than those who received
a permanent shunt as their initial procedure. Otherwise, the outcomes with regard
to shunt revisions, loculated hydrocephalus, and shunt infection were not
different for the 2 groups.
PMID- 26565943
TI - Noninvasive measurement of cerebrospinal fluid flow using an ultrasonic transit
time flow sensor: a preliminary study.
AB - OBJECT Mechanical failure-which is the primary cause of CSF shunt malfunction-is
not readily diagnosed, and the specific reasons for mechanical failure are not
easily discerned. Prior attempts to measure CSF flow noninvasively have lacked
the ability to either quantitatively or qualitatively obtain data. To address
these needs, this preliminary study evaluates an ultrasonic transit time flow
sensor in pediatric and adult patients with external ventricular drains (EVDs).
One goal was to confirm the stated accuracy of the sensor in a clinical setting.
A second goal was to observe the sensor's capability to record real-time
continuous CSF flow. The final goal was to observe recordings during instances of
flow blockage or lack of flow in order to determine the sensor's ability to
identify these changes. METHODS A total of 5 pediatric and 11 adult patients who
had received EVDs for the treatment of hydrocephalus were studied in a hospital
setting. The primary EVD was connected to a secondary study EVD that contained a
fluid-filled pressure transducer and an in-line transit time flow sensor.
Comparisons were made between the weight of the drainage bag and the flow
measured via the sensor in order to confirm its accuracy. Data from the pressure
transducer and the flow sensor were recorded continuously at 100 Hz for a period
of 24 hours by a data acquisition system, while the hourly CSF flow into the drip
chamber was recorded manually. Changes in the patient's neurological status and
their time points were noted. RESULTS The flow sensor demonstrated a proven
accuracy of +/- 15% or +/- 2 ml/hr. The flow sensor allowed real-time continuous
flow waveform data recordings. Dynamic analysis of CSF flow waveforms allowed the
calculation of the pressure-volume index. Lastly, the sensor was able to diagnose
a blocked catheter and distinguish between the blockage and lack of flow.
CONCLUSIONS The Transonic flow sensor accurately measures CSF output within +/-
15% or +/- 2 ml/hr, diagnoses the blockage or lack of flow, and records real-time
continuous flow data in patients with EVDs. Calculations of a wide variety of
diagnostic parameters can be made from the waveform recordings, including
resistance and compliance of the ventricular catheters and the compliance of the
brain. The sensor's clinical applications may be of particular importance to the
noninvasive diagnosis of shunt malfunctions with the development of an
implantable device.
PMID- 26565944
TI - Surgical management of intracranial capillary hemangiomas in children: report of
2 cases.
AB - Two cases of intracranial capillary hemangiomas (ICHs) occurring in children are
presented to highlight the surgical challenges encountered with these extremely
rare lesions. The author describes their clinical presentation, preoperative
imaging features, intraoperative findings, and operative management. The
pertinent literature is reviewed. Recommendations for preoperative planning and
intraoperative management are made based on the author's experience and the
literature for when ICH is considered in the differential diagnosis and
encountered intraoperatively.
PMID- 26565945
TI - Electrochemical genosensors in food safety assessment.
AB - The main goal of food safety assessment is to provide reliable information on the
identity and composition of food and reduce the presence of harmful components.
Nowadays, there are many countries where rather than the presence of pathogens,
common public concerns are focused on the presence of hidden allergens,
fraudulent practices, and genetic modifications in food. Accordingly, food
regulations attempt to offer a high level of protection and to guarantee
transparent information to the consumers. The availability of analytical methods
is essential to comply these requirements. Protein-based strategies are usually
employed for this purpose, but present some limitations. Because DNA is a more
stable molecule, present in most tissues, and can be amplified, there has been an
increasing interest in developing DNA-based approaches (polymerase chain
reaction, microarrays, and genosensors). In this regard, electrochemical
genosensors may play a major role in fulfilling the needs of food industry, such
as reliable, portable, and affordable devices. This work reviews the achievements
of this technology applied to allergen detection, species identification, and
genetically modified organisms testing. We summarized the legislative framework,
current design strategies in sensor development, their analytical
characteristics, and future prospects.
PMID- 26565946
TI - Hydration and Ion Binding of the Osmolyte Ectoine.
AB - Ectoine is a widespread osmolyte enabling halophilic bacteria to withstand high
osmotic stress that has many potential applications ranging from cosmetics to its
use as a therapeutic agent. In this contribution, combining experiment and
theory, the hydration and ion-binding of this zwitterionic compound was studied
to gain information on the functioning of ectoine in particular and of osmolytes
in general. Dielectric relaxation spectroscopy was used to determine the
effective hydration number of ectoine and its effective dipole moment in aqueous
solutions with and without added NaCl. The obtained experimental data were
compared with structural results from 1D-RISM and 3D-RISM calculations. It was
found that ectoine is strongly hydrated, even in the presence of high salt
concentrations. Upon addition of NaCl, ions are bound to ectoine but the formed
complexes are not very stable. Interestingly, this osmolyte strongly rises the
static relative permittivity of its solutions, shielding thus effectively long
range Coulomb interactions among ions in ectoine-containing solutions. We believe
that via this effect, which should be common to all zwitterionic osmolytes,
ectoine protects against excessive ions within the cell in addition to its strong
osmotic activity protecting against ions outside.
PMID- 26565947
TI - New application of Bacillus strains for optically pure L-lactic acid production:
general overview and future prospects.
AB - Members of the genus Bacillus are considered to be both, among the best studied
and most commonly used bacteria as well as the most still unexplored and the most
wide-applicable potent bacteria because novel Bacillus strains are continuously
being isolated and used in various areas. Production of optically pure l-lactic
acid (l-LA), a feedstock for bioplastic synthesis, from renewable resources has
recently attracted attention as a valuable application of Bacillus strains. l-LA
fermentation by other producers, including lactic acid bacteria and Rhizopus
strains (fungi) has already been addressed in several reviews. However, despite
the advantages of l-LA fermentation by Bacillus strains, including its high
growth rate, utilization of various carbon sources, tolerance to high
temperature, and growth in simple nutritional conditions, it has not been
reviewed. This review article discusses new findings on LA-producing Bacillus
strains and compares them to other producers. The future prospects for LA
producing Bacillus strains are also discussed.
PMID- 26565948
TI - Sodium Infusion Test for Diagnosis of Primary Aldosteronism in Chinese
Population.
AB - CONTEXT: The plasma aldosterone concentration (PAC) is less than 5 ng/dL after
saline infusion test (SIT) in essential hypertension (EH) and healthy people. It
is unclear if the same standard is applicable for Chinese subjects. OBJECTIVE AND
DESIGN: We assessed the serum aldosterone response after SIT in subjects with
primary aldosteronism (PA), EH, and healthy volunteers (normal control, NC), and
to investigate the optimal aldosterone level of post-SIT for the diagnosis of PA.
SUBJECTS AND SETTING: The study included 120 hypertensive patients who conform to
the PA screening criteria and 21 healthy volunteers. INTERVENTION: All subjects
underwent SIT. MAIN OUTCOME MEASURES: PAC was measured in all subjects before and
after SIT. The total sodium intake was estimated from 2 timed 24-hour urinary
excretion. RESULTS: The area under the receiver-operator characteristic curves
was higher than under the diagonal (0.967, 95% confidence interval [CI]: 0.94
0.993). The best cutoff value for PAC after SIT for diagnosis of PA was 11.45
ng/dL, with sensitivity and specificity of 88.2% and 95.4%, respectively. The PAC
of post-SIT was more than 10 ng/dL in most PA patients, whereas it was greater
than 5 ng/dL in both EH and NC except for 1 at 4.93 ng/dL. Serum aldosterone
level was unrelated to dietary salt intake. CONCLUSIONS: The optimal post-SIT
aldosterone cutoff value for identifying PA was 11.45 ng/dL in Chinese patients.
However, the PAC higher than 5 ng/dL after SIT was found in a majority of EH and
healthy subjects. The cutoff value of aldosterone post-SIT is higher than the
current clinical recommendations and practice guidelines of The Endocrine
Society. We found no relationship between high salt intake and lower responses of
renin aldosterone system to high loading with saline infusion.
PMID- 26565949
TI - Endocrine Manifestations in a Monocentric Cohort of 64 Patients With Erdheim
Chester Disease.
AB - CONTEXT: Erdheim-Chester disease (ECD) is a rare non-Langerhans cell
histiocytosis, characterized by infiltration of foamy histiocytes in multiple
organs. Endocrine involvement has mostly been described in case reports.
OBJECTIVE: We performed systematic endocrine evaluation in a large cohort of
patients with ECD. DESIGN: This was a single-center observational study conducted
between October 2007 and May 2013. SETTING: The evaluation was conducted in Pitie
Salpetriere Hospital (Paris, France), a tertiary care hospital. PATIENTS: Sixty
four consecutive patients with ECD (sex ratio, 3.6; mean age, 57.6 years [range,
20-80 years]). Thirty-six patients had follow-up assessments. INTERVENTIONS:
There were no interventions. MAIN OUTCOME MEASURES: Clinical, biological, and
morphological evaluations of pituitary, gonadal, adrenal, and thyroid functions,
as well as metabolic evaluation, were performed. RESULTS: Diabetes insipidus was
found in 33.3% of patients, frequently as the first manifestation of ECD.
Anterior pituitary dysfunction was found in 91.3% of patients with full anterior
pituitary evaluation, including somatotropic deficiency (78.6%),
hyperprolactinemia (44.1%), gonadotropic deficiency (22.2%), thyrotropic
deficiency (9.5%), and corticotropic deficiency (3.1%). Thirty-five patients
(54.7%) had >=2 anterior pituitary dysfunctional axes, rising to 69.6% (16 of 23)
when only patients with complete evaluations were considered. Two patients had
panhypopituitarism. Infiltration of the pituitary and stalk was found with
magnetic resonance imaging in 24.4% of patients. Testicular insufficiency was
found in 53.1% of patients, with sonographic testicular infiltration in 29% of
men, mostly bilateral. Computed tomography adrenal infiltration was found in
39.1% of patients, and 1 case of adrenal insufficiency was observed. No patient
was free of endocrine hormonal or morphological involvement. Endocrine
dysfunctions were most often permanent, and new deficits appeared during follow
up. CONCLUSION: Endocrine involvement is very frequent in ECD and should be
evaluated carefully at diagnosis and during follow-up.
PMID- 26565950
TI - The Evolving Role of Geriatric Emergency Department Social Work in the Era of
Health Care Reform.
AB - In the era of Medicaid Redesign and the Affordable Care Act, the emergency
department (ED) presents major opportunities for social workers to assume a
leading role in the delivery of care. Through GEDI WISE-Geriatric Emergency
Department Innovations in care through Workforce, Informatics and Structural
Enhancements,-a unique multidisciplinary partnership made possible by an award
from the Center for Medicare and Medicaid Innovation, social workers in The Mount
Sinai ED have successfully contributed to improvements in health outcomes and
transitions for older adults receiving emergency care. This article will describe
the pivotal and highly valued role of the ED social worker in contributing to the
multidisciplinary accomplishments of GEDI WISE objectives in this new model of
care.
PMID- 26565951
TI - The Serum Anti-HBs Level Among Children Who Received Routine Hepatitis B
Vaccination During Infancy in Mianyang City, China: A Cross-Sectional Study.
AB - Hepatitis B virus (HBV) prevalence has declined remarkably in children due to
nationwide universal vaccination program for HBV in China. However, the
persistence of immune response against HBV infection and the optimal time point
when a booster vaccination should be performed remain to be elucidated. To assess
the persistence and level of antibody against hepatitis B surface antigen (anti
HBs) in a representative population of age 15 and younger who received routine
hepatitis B vaccination in Mianyang City, China. A cross-sectional study was
conducted in 2011. One thousand five hundred twenty-six children of age 15 and
younger who received three doses of 5 MUg hepatitis B vaccine series during
infancy but did not receive a booster vaccination later were enrolled. Of the
1,526 children, the mean age was 8.2 +/- 4.1 and 739 children were male. The
median anti-HBs level was 23.0 mIU/mL, and the total percentage of anti-HBs
levels >=10 mIU/mL was 60.9%. With an increase of age, median anti-HBs level,
percentage of anti-HBs levels >=10 mIU/mL, and percentage of anti-HBs levels
>=100 mIU/mL declined remarkably in the early period and reached the lowest level
at the age of 3 and then remained relatively stable. The median anti-HBs level,
the percentage of anti-HBs levels >=10 mIU/mL, and the percentage of anti-HBs
levels >=100 mIU/mL in 1- and 2-year-old children were much higher than that in
children aged 3-15 (p < 0.05, respectively). Immunity against HBV infection
gradually decreased in early ages of children of 15 and younger who received
three doses of 5 MUg hepatitis B vaccine series during infancy in China. Three
dosages of 10 MUg hepatitis B vaccine for infants and repeated vaccination or
additional booster vaccination for some children at or before age 3 should be
provided to get much more powerful immunity to HBV.
PMID- 26565953
TI - Emerging role of podocyte autophagy in the progression of diabetic nephropathy.
AB - Glomerular podocytes are pivotal in maintaining glomerular filtration barrier
function. As severe podocyte injury results in proteinuria in patients with
diabetic nephropathy, determining the pathogenesis of podocyte injury may
contribute to the development of new treatments. We recently showed that
autophagy is involved in the pathogenesis of diabetes-related podocyte injury.
Insufficient podocyte autophagy and podocyte loss are observed in diabetic
patients with massive proteinuria. Podocyte loss and massive proteinuria occur in
high-fat diet-induced diabetic mice with podocyte-specific autophagy deficiency,
with podocytes of these mice and of diabetic rats having huge damaged lysosomes.
Sera from diabetic patients and from rodents with massive proteinuria cause
autophagy insufficiency, resulting in lysosome dysfunction and apoptosis of
cultured podocytes. These findings suggest the importance of autophagy in
maintaining lysosome homeostasis in podocytes under diabetic conditions. Impaired
autophagy may be involved in the pathogenesis of podocyte loss, leading to
massive proteinuria in diabetic nephropathy.
PMID- 26565952
TI - A new microRNA signal pathway regulated by long noncoding RNA TGFB2-OT1 in
autophagy and inflammation of vascular endothelial cells.
AB - TGFB2-OT1 (TGFB2 overlapping transcript 1) is a newly discovered long noncoding
RNA (lncRNA) derived from the 3'UTR of TGFB2. It can regulate autophagy in
vascular endothelial cells (VECs). However, the mechanisms of TGFB2-OT1 action
are unclear, and whether it is involved in VECs dysfunction needs investigation.
Here, the level of TGFB2-OT1 was markedly increased by lipopolysaccharide and
oxidized low-density lipoprotein, 2 VECs inflammation triggers. A chemical small
molecule, 3-benzyl-5-((2-nitrophenoxy) methyl)-dihydrofuran-2(3H)-one (3BDO)
significantly decreased TGFB2-OT1 levels and inhibited the effect of LPS and
oxLDL. The NUPR1 level was upregulated by the 2 inflammation inducers and
modulated the TGFB2-OT1 level by promoting the expression of TIA1, responsible
for TGFB2-OT1 processing. We focused on how TGFB2-OT1 regulated autophagy and
inflammation. Use of miRNA chip assay, TGFB2-OT1 overexpression technology and
3BDO revealed that TGFB2-OT1 regulated the levels of 3 microRNAs, MIR3960,
MIR4488 and MIR4459. Further studies confirmed that TGFB2-OT1 acted as a
competing endogenous RNA, bound to MIR3960, MIR4488 and MIR4459, then regulated
the expression of the miRNA targets CERS1 (ceramide synthase 1), NAT8L (N
acetyltransferase 8-like [GCN5-related, putative]), and LARP1 (La
ribonucleoprotein domain family, member 1). CERS1 and NAT8L participate in
autophagy by affecting mitochondrial function. TGFB2-OT1 increased the LARP1
level, which promoted SQSTM1 (sequestosome 1) expression, NFKB RELA and CASP1
activation, and then production of IL6, IL8 and IL1B in VECs. Thus, NUPR1 and
TIA1 may control the level of TGFB2-OT1, and TGFB2-OT1 bound to MIR3960, MIR4488
and MIR4459, which targeted CERS1, NAT8L, and LARP1, respectively, the key
proteins involved in autophagy and inflammation.
PMID- 26565954
TI - Primary analysis for clinical efficacy of immunotherapy in patients with
pancreatic cancer.
AB - AIMS: Immunotherapy is an important treatment for pancreatic cancer (PC)
patients. To evaluate the therapeutic efficacy of immunotherapy in the treatment
of PC, we performed a systemic review and meta-analysis of the relevant published
clinical trials, collectively referred to as DC, DC-CIK, LAK, NK and GM-CSF
secreting PC cell lines. MATERIALS & METHODS: A total of 413 patients in 11
eligible trials with PC were selected for the present meta-analysis. RESULTS: The
estimated pooled overall survival showed a significant improvement for PC
patients who received immunotherapy compared with nonimmunotherapy. The
lymphocyte subsets, immune cytokine levels and serum cancer markers in the
peripheral blood of PC patients were significantly improved after immunotherapy.
CONCLUSION: The results showed that immunotherapy can improve the efficacy of the
treatment of PC patients.
PMID- 26565955
TI - Direct synthesis of C-glycosides from unprotected 2-N-acyl-aldohexoses via aldol
condensation-oxa-Michael reactions with unactivated ketones.
AB - C-glycosides are important compounds as they are used as bioactive molecules and
building blocks. We have developed methods to concisely synthesize C-glycosides
from unprotected 2-N-acyl-aldohexoses and unactivated ketones; we designed aldol
condensation-oxa-Michael addition reactions catalyzed by amine-based catalysts
using additives. Depending on the conditions used, C-glycosides were
stereoselectively obtained. Our methods allowed the C-C bond formations at the
anomeric centers of unprotected carbohydrates under mild conditions to lead the C
glycosides in atom- and step-economical ways.
PMID- 26565957
TI - Effects of five preoperative cardiovascular drugs on mortality after coronary
artery bypass surgery: A retrospective analysis of an observational study of 16,
192 patients.
AB - BACKGROUND: Statins reduce risk from coronary artery bypass graft (CABG) surgery,
but the influence of angiotensin-converting enzyme inhibitors, alpha-2 adrenergic
agonists, calcium channel blockers and beta-blockers is less clear. OBJECTIVES:
We investigated the association of each of these drugs with perioperative risk,
accounting for different confounders, and evaluated the class, dose-response and
long-term protective effect of statins. DESIGN: A retrospective analysis of
observational data. SETTING: United Kingdom. PATIENTS: Sixteen thousand one
hundred and ninety-two patients who underwent CABG surgery during the period 01
January 2004 to 31 December 2013 and contributed data to Primary Care Clinical
Practice Research Datalink. EXPOSURE VARIABLES: Cardiovascular drugs. OUTCOME
MEASURE: Perioperative mortality within 30 days of surgery. STATISTICAL ANALYSIS:
Five multivariable logistic regression models and a further Cox regression model
were used to account for preexisting cardiovascular and other comorbidities along
with lifestyle factors such as BMI, smoking and alcohol use. RESULTS: Exposure to
statins was most prevalent (85.1% of patients), followed by beta-blockers
(72.8%), angiotensin-converting enzyme inhibitors (60.5%), calcium channel
blockers (42.8%) and alpha-2 adrenergic agonists (1.2%). The mortality rate was
0.8% in patients not prescribed statins and 0.4% in those on statins. Statins
were associated with a statistically significant reduced perioperative mortality
in all five logistic regression models with adjusted odds ratios (OR) (95%
confidence interval, 95% CI) ranging from 0.26 (0.13 to 0.54) to 0.35 (0.18 to
0.67). Cox regression for perioperative mortality [adjusted hazard ratio (95% CI)
0.40 (0.20 to 0.80)] and 6-month mortality [adjusted hazard ratio (95% CI) 0.63
(0.42 to 0.92)] produced similar results. Of the statin doses tested, only
simvastatin 40 mg exerted protective effects. The other cardiovascular drugs
lacked consistent effects across models. CONCLUSION: Statins appear consistently
protective against perioperative mortality from CABG surgery in multiple models,
an effect not shared by the other cardiovascular drugs. Further data are needed
on whether statins exert class and dose-response effects.
PMID- 26565958
TI - Bovine (Bos taurus) Bone Marrow Mesenchymal Cell Differentiation to Adipogenic
and Myogenic Lineages.
AB - PURPOSE: We evaluated the effect of peroxisome proliferator-activated receptor
(PPAR) agonists on the differentiation and metabolic features of bovine bone
marrow-derived mesenchymal cells induced to adipogenic or myogenic lineages.
METHODS: Cells isolated from 7-day-old calves were cultured in basal medium (BM).
For adipogenic differentiation, cells were cultured for one passage in BM and
then transferred to a medium supplemented with either rosiglitazone, telmisartan,
sirtinol or conjugated c-9, t-11 linoleic acid; for myogenic differentiation,
third-passage cells were added with either bezafibrate, telmisartan or sirtinol.
The expression of PPARx03B3; (an adipogenic differentiation marker), myosin heavy
chain (MyHC; a myogenic differentiation marker) and genes related to energy
metabolism were measured by quantitative real-time PCR in a completely randomized
design. RESULTS: For adipogenic differentiation, 20 uM telmisartan showed the
highest PPARx03B3; expression (15.58 +/- 0.62-fold, p < 0.0001), and differences
in the expression of energy metabolism-related genes were found for hexokinase
II, phosphofructokinase, adipose triglyceride lipase, acetyl-CoA carboxylase
alpha(ACACalpha) and fatty acid synthase (p < 0.001), but not for ACACbeta (p =
0.4275). For myogenic differentiation, 200 uM bezafibrate showed the highest MyHC
expression (73.98 +/- 11.79-fold), and differences in the expression of all
energy metabolism-related genes were found (p < 0.05). CONCLUSIONS: Adipocyte and
myocyte differentiation are enhanced with telmisartan and bezafibrate,
respectively, and energy uptake, storage and mobilization are improved with both.
PMID- 26565959
TI - Physiologically based modeling of lead kinetics: a pilot study using data from a
Canadian population.
AB - The Canadian population is currently subject to low, chronic lead exposure and an
understanding of its effects is of great significance to the population's health.
Such low exposure is difficult to measure directly; approximation by
physiologically based modeling may provide a preferable approach to population
analysis. The O'Flaherty model of lead kinetics is based on an age-dependent
approach to human growth and development and devotes special attention to bone
turnover rates. Because lead is a bone-seeking element, the model was deemed
ideal for such an analysis. Sample from 263 individuals of various ages from the
Greater Toronto Area were selected to evaluate the applicability of the current
version of the O'Flaherty model to populations with low lead exposure. For each
individual, the input value of lead exposure was calibrated to match the output
value of cortical bone lead to the individual's measured tibia lead
concentration; the outputs for trabecular bone, blood, and plasma lead
concentrations obtained from these calibrations were then compared with the
subjects' measured calcaneus, blood, and serum lead concentrations, respectively.
This indicated a need for revision of the model parameters; those for lead
binding in blood and lead clearance from blood to bone were adjusted and new
outputs were obtained in the same fashion as before. Model predictions of
trabecular lead concentration did not agree with measurements in the calcaneus.
The outputs for blood and plasma lead concentrations were highly scattered and,
on an individual level, inconsistent with corresponding measurements; however,
the general trends of the outputs matched those of the measurements reasonably
well, which indicates that the revised blood lead binding and lead clearance
parameters may be useful in future studies. Overall, the analysis showed that
with the revisions to the model discussed here, the model should be a useful tool
in the analysis of human lead kinetics and body burden in populations
characterized by low, chronic exposure to lead from the general environment.
PMID- 26565962
TI - Reproductive Health and Women With Congenital Heart Disease: A Practice Update.
AB - The purpose of this article was to examine reproductive health issues for women
with congenital structural abnormalities of the heart. Because of surgical
advances and innovations in healthcare, infants with congenital heart disease
often live now into adulthood. Women with congenital heart disease have reported
the desire to have children but expressed concern about fertility and the health
consequences of pregnancy. Although many women with congenital heart disease are
able to give birth without adverse outcomes, life-threatening complications can
occur. Best practices for the care of women with congenital heart disease are
grounded in an understanding of how cardiac defects may affect pregnancy and in
communicating the implications of cardiac defects for reproductive health to
support informed decision making.
PMID- 26565960
TI - Identification of Atg2 and ArfGAP1 as Candidate Genetic Modifiers of the Eye
Pigmentation Phenotype of Adaptor Protein-3 (AP-3) Mutants in Drosophila
melanogaster.
AB - The Adaptor Protein (AP)-3 complex is an evolutionary conserved, molecular
sorting device that mediates the intracellular trafficking of proteins to
lysosomes and related organelles. Genetic defects in AP-3 subunits lead to
impaired biogenesis of lysosome-related organelles (LROs) such as mammalian
melanosomes and insect eye pigment granules. In this work, we have performed a
forward screening for genetic modifiers of AP-3 function in the fruit fly,
Drosophila melanogaster. Specifically, we have tested collections of large multi
gene deletions--which together covered most of the autosomal chromosomes-to
identify chromosomal regions that, when deleted in single copy, enhanced or
ameliorated the eye pigmentation phenotype of two independent AP-3 subunit
mutants. Fine-mapping led us to define two non-overlapping, relatively small
critical regions within fly chromosome 3. The first critical region included the
Atg2 gene, which encodes a conserved protein involved in autophagy. Loss of one
functional copy of Atg2 ameliorated the pigmentation defects of mutants in AP-3
subunits as well as in two other genes previously implicated in LRO biogenesis,
namely Blos1 and lightoid, and even increased the eye pigment content of wild
type flies. The second critical region included the ArfGAP1 gene, which encodes a
conserved GTPase-activating protein with specificity towards GTPases of the Arf
family. Loss of a single functional copy of the ArfGAP1 gene ameliorated the
pigmentation phenotype of AP-3 mutants but did not to modify the eye pigmentation
of wild-type flies or mutants in Blos1 or lightoid. Strikingly, loss of the
second functional copy of the gene did not modify the phenotype of AP-3 mutants
any further but elicited early lethality in males and abnormal eye morphology
when combined with mutations in Blos1 and lightoid, respectively. These results
provide genetic evidence for new functional links connecting the machinery for
biogenesis of LROs with molecules implicated in autophagy and small GTPase
regulation.
PMID- 26565961
TI - Galanin-Mediated Behavioural Hyperalgesia from the Dorsomedial Nucleus of the
Hypothalamus Involves Two Independent Descending Pronociceptive Pathways.
AB - Activation of the dorsomedial nucleus of the hypothalamus (DMH) by galanin (GAL)
induces behavioural hyperalgesia. Since DMH neurones do not project directly to
the spinal cord, we hypothesized that the medullary dorsal reticular nucleus
(DRt), a pronociceptive region projecting to the spinal dorsal horn (SDH) and/or
the serotoninergic raphe-spinal pathway acting on the spinal 5-HT3 receptor
(5HT3R) could relay descending nociceptive facilitation induced by GAL in the
DMH. Heat-evoked paw-withdrawal latency (PWL) and activity of SDH neurones were
assessed in monoarthritic (ARTH) and control (SHAM) animals after pharmacological
manipulations of the DMH, DRt and spinal cord. The results showed that GAL in the
DMH and glutamate in the DRt lead to behavioural hyperalgesia in both SHAM and
ARTH animals, which is accompanied particularly by an increase in heat-evoked
responses of wide-dynamic range neurons, a group of nociceptive SDH neurones.
Facilitation of pain behaviour induced by GAL in the DMH was reversed by
lidocaine in the DRt and by ondansetron, a 5HT3R antagonist, in the spinal cord.
However, the hyperalgesia induced by glutamate in the DRt was not blocked by
spinal ondansetron. In addition, in ARTH but not SHAM animals PWL was increased
after lidocaine in the DRt and ondansetron in the spinal cord. Our data
demonstrate that GAL in the DMH activates two independent descending facilitatory
pathways: (i) one relays in the DRt and (ii) the other one involves 5-HT neurones
acting on spinal 5HT3Rs. In experimental ARTH, the tonic pain-facilitatory action
is increased in both of these descending pathways.
PMID- 26565963
TI - Acute Myocardial Infarction in Pregnancy: An Update.
AB - Despite current trends that indicate increasing incidence, acute myocardial
infarction remains an uncommon event in pregnant women, yet an important
contributor to perinatal morbidity and mortality. Diagnosis and treatments
represent a complex challenge during pregnancy, and timeliness and coordination
of both are critical. This article reviews the comprehensive, collaborative
approach necessary for management of acute myocardial infarction during pregnancy
to optimize outcomes for the woman, neonate, and family.
PMID- 26565964
TI - Recombinant Envelope-Proteins with Mutations in the Conserved Fusion Loop Allow
Specific Serological Diagnosis of Dengue-Infections.
AB - Dengue virus (DENV) is a mosquito-borne flavivirus and a major international
public health concern in many tropical and sub-tropical areas worldwide. DENV is
divided into four major serotypes, and infection with one serotype leads to
immunity against the same, but not the other serotypes. The specific diagnosis of
DENV-infections via antibody-detection is problematic due to the high degree of
cross-reactivity displayed by antibodies against related flaviviruses, such as
West Nile virus (WNV), Yellow Fever virus (YFV) or Tick-borne encephalitis virus
(TBEV). Especially in areas where several flaviviruses co-circulate or in the
context of vaccination e.g. against YFV or TBEV, this severely complicates
diagnosis and surveillance. Most flavivirus cross-reactive antibodies are
produced against the highly conserved fusion loop (FL) domain in the viral
envelope (E) protein. We generated insect-cell derived recombinant E-proteins of
the four DENV-serotypes which contain point mutations in the FL domain. By using
specific mixtures of these mutant antigens, cross-reactivity against heterologous
flaviviruses was strongly reduced, enabling sensitive and specific diagnosis of
the DENV-infected serum samples in IgG and IgM-measurements. These results have
indications for the development of serological DENV-tests with improved
specificity.
PMID- 26565965
TI - Heterosexual behaviours among men who sell sex to men in coastal Kenya.
AB - OBJECTIVE: African men who have sex with men often sell sex to men, and MSM who
sell sex (MSM-SW) often also have female partners. We compared sexual risk
behaviour of MSM-SW who were sexually active with female partners (bisexual MSW)
to MSM-SW with only male partners (exclusive MSW). DESIGN: Descriptive
behavioural study METHODS: : A novel, validated daily event and partner diary
self-completed by 82 MSM who sold sex over a follow-up period of 42 days with
weekly review. Cumulative individual counts of sex and condomless sex were
compiled by partner characteristics. The incidence of specific partnerships and
sex acts were compared within and between bisexual and exclusive MSW. RESULTS:
Most (59%) MSM-SW reported female partners during follow-up. The majority of both
male and female partners were cash-paying clients originating locally. Bisexual
MSW reported a similar rate of condomless sex with male and female partners, but
significantly fewer male partners than exclusive MSW. Bisexual MSW had lower HIV
prevalence, were more likely to only report insertive anal sex roles, and
reported lower frequencies of condomless receptive anal sex than exclusive MSW.
CONCLUSION: Bisexually active male sex workers in coastal Kenya create HIV and
other sexually transmitted infection transmission pathways to partners and
clients in both MSM and heterosexual networks, but differed from exclusive MSW in
having lower HIV acquisition and transmission risks. Epidemiological projection
methods are liable to overestimate bridging potential of MSM-SW and MSM
populations without account for systematic differences in risk within these
populations.
PMID- 26565966
TI - Inverse Relationship between Serum Lipoxin A4 Level and the Risk of Metabolic
Syndrome in a Middle-Aged Chinese Population.
AB - Metabolic syndrome (MetS) has been identified to be associated with a state of
chronic, low-grade inflammation in adipose tissue. Lipoxins are endogenously
generated from arachidonic acid, and exhibit anti-inflammatory actions.
Currently, there is no available cohort study identifying the association between
serum lipoxins level and MetS. Here we investigate the relationship between serum
lipoxin A4 (LXA4) level and the risk of incident MetS in a middle-aged Chinese
population. A total 624 participants aged 40-65 years were enrolled at baseline,
with 417 (including 333 MetS absence) of them were followed up at 2.5 years.
Abdominal visceral fat area (VFA) and abdominal subcutaneous fat area (SFA) were
determined using MRI. Serum lipoxin A4 levels were measured by ELISA. At
baseline, serum LXA4 levels were significantly correlated with a cluster of
traditional MetS risk factors related to obesity (P <= 0.05). A higher incidence
of new Mets was found in the participants of the lowest tertile of LXA4 levels as
compared with that in participants of the highest tertile (P = 0.025). Low serum
LXA4 levels [OR 2.607(1.151-5.909), P = 0.022] and high VFA [OR 2.571(1.176
5.620), P = 0.018] were associated with an increased incident Mets, respectively,
which remained statistically significant after adjustment for age, gender,
current smoking, and alcohol drinking status. Logistic regression analysis
suggested a combination of low serum LXA4 levels and high WC/VFA might optimize
the prediction of incident Mets in middle-aged Chinese population [OR
4.897/4.967, P = 0.009/0.003]. Decrease in serum LXA4 level and increase in VFA
are independent predictors of incident Mets in a population-based cohort, and a
combination of them enhances the prognostic value of incident Mets. Taken
together, our data suggest that serum LXA4 levels might be useful for early
detection and prevention of Mets.
PMID- 26565968
TI - Serum Ammonia in Associated With Transplant-free Survival in Hospitalized
Patients With Acutely Decompensated Cirrhosis.
AB - BACKGROUND: As ammonia metabolism is a complex multiorgan process, we sought to
determine whether serum ammonia concentrations were associated with transplant
free survival in patients with acutely decompensated cirrhosis and acute-on
chronic liver failure (ACLF). METHODS: We studied 494 consecutive patients
hospitalized with cirrhosis between April 2007 and September 2012 with venous
ammonia measured on hospital admission. The primary outcome was transplant-free
survival. RESULTS: Overall, rates of death or transplant within 30 and 90 days
were 23.1% (n=114) and 37.7% (n=186), respectively. Forty-six patients (9.2%)
underwent liver transplantation within 90 days. In a multivariate Cox
proportional hazards model, ammonia concentration was independently associated
with death or transplantation within 30 and 90 days after adjusting for model for
end-stage liver disease, sodium, white blood cells, and number of ACLF organ
failures; every doubling of ammonia was associated with respective hazard ratios
of 1.22 (95% confidence interval, 1.03-1.38) and 1.21 (95% confidence interval,
1.04-1.44) for 90- and 30-day transplant or mortality. Notably, after adjusting
for ammonia, organ failures were not predictive of outcomes. In a Kaplan-Meier
analysis, patients with admission ammonia concentrations >60 MUmol/L had
significantly lower 90-day transplant-free survival (P=0.0004). Patients with
admission ammonia concentrations >60 MUmol/L had higher 90- and 30-day risk of
death or transplantation (45.2% vs. 31.2%, P=0.001; and 31.6% vs. 15.7%,
P<0.0001, respectively). CONCLUSION: For patients with acutely decompensated
cirrhosis, an elevated serum ammonia concentration on admission is associated
with reduced 90-day transplant-free survival after adjusting for established
predictors.
PMID- 26565969
TI - Outcome of Long-term Maintenance Steroid Therapy Cessation in Patients With
Autoimmune Pancreatitis: A Prospective Study.
AB - OBJECTIVE: To predict the duration of steroid maintenance therapy required to
achieve good prognosis in patients with autoimmune pancreatitis. PATIENTS AND
METHODS: The study sample comprised 21 patients with autoimmune pancreatitis who
met the following criteria: (1) they received steroid therapy (ST) for at least 3
years without clinical relapse; and (2) immunoglobulin (Ig) G<1600 mg/dL was
observed in the past year with a prednisolone maintenance dose <=5 mg. All
patients could be diagnosed with international consensus diagnostic criteria.
Patients were prospectively followed up after tapering and cessation of steroids.
Clinical relapse was defined as the need to resume ST. Serological relapse was
defined as having an IgG level of >1600 mg/dL. RESULTS: During the 43-month
(range, 19 to 48 mo) follow-up period, clinical relapse occurred in 10 patients:
pancreatic lesion in 4; coronary lesion in 2; submandibular lesion in 1; both
pulmonary and renal lesions in 1; pulmonary, retroperitoneal, and submandibular
lesions in 1; and bronchial asthma in 1. Serological relapse was observed in 12
patients. Although clinical and serological relapse occurred concomitantly in 3
patients, serological relapse preceded clinical relapse in 4 patients. Five
patients experienced serological relapse alone, and no clinical or serological
relapse occurred in 6 patients. According to Cox proportional hazard analysis,
the duration of ST before tapering was a significant predictive parameter (hazard
ratio, 0.969/month; 95% confidence interval, 0.940-0.998; P=0.038). CONCLUSIONS:
ST cessation resulted in a high rate of clinical relapses, even in patients with
long-term maintenance therapy. Therefore, it appears desirable to continue
steroid maintenance therapy for a period >3 years to prevent relapse.
PMID- 26565967
TI - CROP - The Clinico-Radiologico-Ophthalmological Paradox in Multiple Sclerosis:
Are Patterns of Retinal and MRI Changes Heterogeneous and Thus Not Predictable?
AB - BACKGROUND: To date, no direct scientific evidence has been found linking tissue
changes in multiple sclerosis (MS) patients, such as demyelination, axonal
destruction or gliosis, with either steady progression and/or stepwise
accumulation of focal CNS lesions. Tissue changes such as reduction of the
retinal nerve fiber layer (RNFL) and the total macular volume (TMV), or brain-
and spinal cord atrophy indicates an irreversible stage of tissue destruction.
Whether these changes are found in all MS patients, and if there is a correlation
with clinical disease state, remains controversial. The objective of our study
was to determine, whether there was any correlation between the RNFL or TMV of
patients with MS, and: (1) the lesion load along the visual pathways, (2) the
ratios and absolute concentrations of metabolites in the normal-appearing white
matter (NAWM), (3) standard brain atrophy indices, (4) disease activity or (5)
disease duration. METHODS: 28 MS patients (RRMS, n = 23; secondary progressive MS
(SPMS), n = 5) with moderately-high disease activity or long disease course were
included in the study. We utilised: (1) magnetic resonance imaging (MRI) and (2)
spectroscopy (MRS), both operating at 3 Tesla, and (3) high-resolution spectral
domain-OCT with locked reference images and eye tracking mode) to undertake the
study. RESULTS: There was no consistency in the pattern of CNS metabolites, brain
atrophy indices and the RNFL/TMV between individuals, which ranged from normal to
markedly-reduced levels. Furthermore, there was no strict correlation between CNS
metabolites, lesions along the visual pathways, atrophy indices, RNFL, TMV,
disease duration or disability. CONCLUSIONS: Based on the findings of this study,
we recommend that the concept of 'clinico-radiologico paradox' in multiple
sclerosis be extended to CROP-'clinico-radiologico-ophthalmological paradox'.
Furthermore, OCT data of MS patients should be interpreted with caution.
PMID- 26565970
TI - Safety of Propofol Used as a Rescue Agent During Colonoscopy.
AB - GOAL: The goal of this study was to evaluate the safety of propofol when used by
gastroenterologists in patients who have an inadequate response to standard
sedation (narcotics and benzodiazepines). BACKGROUND: Many patients fail to
achieve adequate sedation from narcotics and benzodiazepines during colonoscopy.
The administration of propofol for colonoscopy is increasing, although its use by
gastroenterologists is controversial. STUDY: We performed a retrospective review
of our hospital's colonoscopy records from January 2006 to December 2009 to
identify 403 subjects undergoing screening colonoscopies who required propofol
(20 to 30 mg every 3 min as needed) because of inadequate response to standard
sedation. We also randomly selected 403 controls undergoing screening
colonoscopies from the same time period that only required standard sedation. The
incidence of adverse effects was then compared. RESULTS: There were no major
adverse events in either group. The rates of minor adverse events in the propofol
and control group were 0.02 and 0.01, respectively (P=0.56). Adverse effects in
the propofol group included: transient hypotension (n=1), nausea/vomiting (n=3),
agitation (n=2), and rash (n=1). Adverse effects seen with standard sedation
included: transient hypotension (n=2), nausea/vomiting (n=1), and oversedation
(n=2). Patients who received propofol were more likely to be younger, had a
history of illicit drug use, and a longer procedure time (P<0.05). CONCLUSIONS:
Adjunctive propofol administered by gastroenterologist for conscious sedation was
not associated with increased incidence of adverse events. It may be of value in
patients who do not respond to conventional sedation.
PMID- 26565971
TI - A High Rate of Alternative Diagnoses in Patients Referred for Presumed
Clostridium difficile Infection.
AB - GOALS: We evaluated a cohort of patients referred to our center for presumed
recurrent Clostridium difficile infection (CDI) to determine final diagnoses and
outcomes. BACKGROUND: As rates of CDI have increased, more patients are diagnosed
with recurrent CDI and other sequelae of the infection. Distinguishing
symptomatic patients with CDI from those who are colonized with an alternative
etiology of diarrheal symptoms may be challenging. MATERIALS AND METHODS: We
performed a retrospective review of 117 patients referred to our center for
recurrent CDI between January 2013 and June 2014. Data collected included
demographics, the referring provider, previous anti-CDI treatment, and
significant medical conditions. In addition, we gathered data on atypical
features of CDI and investigations obtained to investigate the etiology of
symptoms. Outcomes included rates of alternative diagnoses and the accuracy of
CDI diagnosis by the referral source. RESULTS: The mean age was 61 years, and 70%
were female. About 29 patients (25%) were determined to have a non-CDI diagnosis.
Most common alternative diagnoses included irritable bowel syndrome (18 patients:
62%) and inflammatory bowel disease (3 patients:10%). The age was inversely
correlated with the rate of non-CDI diagnosis (P=0.016). Of the remaining 88
(75%) patients with a confirmed diagnosis of CDI, 25 (28%) received medical
therapy alone and 63 (72%) underwent fecal microbiota transplantation.
CONCLUSIONS: Among patients referred to our center for recurrent CDI, a
considerable percentage did not have CDI, but rather an alternative diagnosis,
most commonly irritable bowel syndrome. The rate of alternative diagnosis
correlated inversely with age. Providers should consider other etiologies of
diarrhea in patients presenting with features atypical of recurrent CDI.
PMID- 26565972
TI - Role of Nonsteroidal Anti-Inflammatory Drugs in Exacerbation of Inflammatory
Bowel Disease.
PMID- 26565973
TI - Ethanolamine Signaling Promotes Salmonella Niche Recognition and Adaptation
during Infection.
AB - Chemical and nutrient signaling are fundamental for all cellular processes,
including interactions between the mammalian host and the microbiota, which have
a significant impact on health and disease. Ethanolamine is an essential
component of cell membranes and has profound signaling activity within mammalian
cells by modulating inflammatory responses and intestinal physiology. Here, we
describe a virulence-regulating pathway in which the foodborne pathogen
Salmonella enterica serovar Typhimurium (S. Typhimurium) exploits ethanolamine
signaling to recognize and adapt to distinct niches within the host. The
bacterial transcription factor EutR promotes ethanolamine metabolism in the
intestine, which enables S. Typhimurium to establish infection. Subsequently,
EutR directly activates expression of the Salmonella pathogenicity island 2 in
the intramacrophage environment, and thus augments intramacrophage survival.
Moreover, EutR is critical for robust dissemination during mammalian infection.
Our findings reveal that S. Typhimurium co-opts ethanolamine as a signal to
coordinate metabolism and then virulence. Because the ability to sense
ethanolamine is a conserved trait among pathogenic and commensal bacteria, our
work indicates that ethanolamine signaling may be a key step in the localized
adaptation of bacteria within their mammalian hosts.
PMID- 26565975
TI - TGS-TB: Total Genotyping Solution for Mycobacterium tuberculosis Using Short-Read
Whole-Genome Sequencing.
AB - Whole-genome sequencing (WGS) with next-generation DNA sequencing (NGS) is an
increasingly accessible and affordable method for genotyping hundreds of
Mycobacterium tuberculosis (Mtb) isolates, leading to more effective
epidemiological studies involving single nucleotide variations (SNVs) in core
genomic sequences based on molecular evolution. We developed an all-in-one web
based tool for genotyping Mtb, referred to as the Total Genotyping Solution for
TB (TGS-TB), to facilitate multiple genotyping platforms using NGS for
spoligotyping and the detection of phylogenies with core genomic SNVs, IS6110
insertion sites, and 43 customized loci for variable number tandem repeat (VNTR)
through a user-friendly, simple click interface. This methodology is implemented
with a KvarQ script to predict MTBC lineages/sublineages and potential
antimicrobial resistance. Seven Mtb isolates (JP01 to JP07) in this study showing
the same VNTR profile were accurately discriminated through median-joining
network analysis using SNVs unique to those isolates. An additional IS6110
insertion was detected in one of those isolates as supportive genetic information
in addition to core genomic SNVs. The results of in silico analyses using TGS-TB
are consistent with those obtained using conventional molecular genotyping
methods, suggesting that NGS short reads could provide multiple genotypes to
discriminate multiple strains of Mtb, although longer NGS reads (>= 300-mer) will
be required for full genotyping on the TGS-TB web site. Most available short
reads (~100-mer) can be utilized to discriminate the isolates based on the core
genome phylogeny. TGS-TB provides a more accurate and discriminative strain
typing for clinical and epidemiological investigations; NGS strain typing offers
a total genotyping solution for Mtb outbreak and surveillance. TGS-TB web site:
https://gph.niid.go.jp/tgs-tb/.
PMID- 26565974
TI - Association of Big Endothelin-1 with Coronary Artery Calcification.
AB - BACKGROUND: The coronary artery calcification (CAC) is clinically considered as
one of the important predictors of atherosclerosis. Several studies have
confirmed that endothelin-1(ET-1) plays an important role in the process of
atherosclerosis formation. The aim of this study was to investigate whether big
ET-1 is associated with CAC. METHODS AND RESULTS: A total of 510 consecutively
admitted patients from February 2011 to May 2012 in Fu Wai Hospital were
analyzed. All patients had received coronary computed tomography angiography and
then divided into two groups based on the results of coronary artery calcium
score (CACS). The clinical characteristics including traditional and
calcification-related risk factors were collected and plasma big ET-1 level was
measured by ELISA. Patients with CAC had significantly elevated big ET-1 level
compared with those without CAC (0.5 +/- 0.4 vs. 0.2 +/- 0.2, P<0.001). In the
multivariate analysis, big ET-1 (Tertile 2, HR = 3.09, 95% CI 1.66-5.74, P
<0.001, Tertile3 HR = 10.42, 95% CI 3.62-29.99, P<0.001) appeared as an
independent predictive factor of the presence of CAC. There was a positive
correlation of the big ET-1 level with CACS (r = 0.567, p<0.001). The 10-year
Framingham risk (%) was higher in the group with CACS>0 and the highest tertile
of big ET-1 (P<0.01). The area under the receiver operating characteristic curve
for the big ET-1 level in predicting CAC was 0.83 (95% CI 0.79-0.87, p<0.001),
with a sensitivity of 70.6% and specificity of 87.7%. CONCLUSIONS: The data
firstly demonstrated that the plasma big ET-1 level was a valuable independent
predictor for CAC in our study.
PMID- 26565977
TI - Enhanced field-emission of silver nanoparticle-graphene oxide decorated ZnO
nanowire arrays.
AB - This work presents a new method to improve the field emission (FE) properties of
semiconductors decorated with low-cost graphene oxide (GO) nanosheets and trace
amounts of noble metal. The Ag/GO/ZnO composite emitter exhibited efficient FE
properties with a low turn-on field of 1.4 V MUm(-1) and a high field enhancement
factor of 7018. The excellent FE properties of the Ag/GO/ZnO composite can be
attributed to the tunneling effect of electrons through the heterojunction. The
FE properties of the Ag/GO/ZnO composite are slightly better than those of the
Ag/ZnO composite which forms an energy well that collects electrons on interfaces
when an electric field is applied. This behavior is associated with
heterostructures that offer more contact points and protrusions between ZnO
nanowire arrays (NWAs) and Ag/GO, which leads to easier electron transfer. High
resolution transmission electron microscopy (HRTEM) and X-ray photoelectron
spectroscopy (XPS) were employed to characterise the connection and evolution of
the ZnO NWAs and Ag/GO composites.
PMID- 26565978
TI - East Meets West - But Bridging Concepts Are Still Lacking! Time for New Steps in
Medical Anthropology.
PMID- 26565976
TI - An Efficient Approach for the Development of Locus Specific Primers in Bread
Wheat (Triticum aestivum L.) and Its Application to Re-Sequencing of Genes
Involved in Frost Tolerance.
AB - Recent declines in costs accelerated sequencing of many species with large
genomes, including hexaploid wheat (Triticum aestivum L.). Although the draft
sequence of bread wheat is known, it is still one of the major challenges to
developlocus specific primers suitable to be used in marker assisted selection
procedures, due to the high homology of the three genomes. In this study we
describe an efficient approach for the development of locus specific primers
comprising four steps, i.e. (i) identification of genomic and coding sequences
(CDS) of candidate genes, (ii) intron- and exon-structure reconstruction, (iii)
identification of wheat A, B and D sub-genome sequences and primer development
based on sequence differences between the three sub-genomes, and (iv); testing of
primers for functionality, correct size and localisation. This approach was
applied to single, low and high copy genes involved in frost tolerance in wheat.
In summary for 27 of these genes for which sequences were derived from Triticum
aestivum, Triticum monococcum and Hordeum vulgare, a set of 119 primer pairs was
developed and after testing on Nulli-tetrasomic (NT) lines, a set of 65 primer
pairs (54.6%), corresponding to 19 candidate genes, turned out to be specific.
Out of these a set of 35 fragments was selected for validation via Sanger's
amplicon re-sequencing. All fragments, with the exception of one, could be
assigned to the original reference sequence. The approach presented here showed a
much higher specificity in primer development in comparison to techniques used so
far in bread wheat and can be applied to other polyploid species with a known
draft sequence.
PMID- 26565979
TI - [Heinz Schilcher - ? 17.06.2015].
PMID- 26565980
TI - A Quantitative Investigation of Pulse and Tongue Features in Post-Stroke
Depressive Patients and Healthy Volunteers: An Observational Pilot Study.
AB - BACKGROUND: Depression is among the most common neuropsychiatric complications
after stroke, having a negative impact on one's quality of life. A number of
therapeutic modalities have been used for post-stroke depression (PSD) including
traditional Chinese medicine (TCM). However, a lack of objectivity in TCM hampers
further improvement in diagnosis and research, since TCM diagnosis is mainly
based on subjective judgment of clinicians. In this study, a modern TCM
diagnostic device was used to conduct an objective study of pulse and tongue
features in PSD patients and healthy individuals. METHODS: A total of 67
volunteers participated. Pulse and tongue information of each participant was
acquired and analyzed by the TCM Four Diagnosis Auxiliary Apparatus. Quantitative
data were gathered and compared between the PSD group and the healthy group.
RESULTS: Higher rates of weak, slow, slippery, and string pulse were observed in
the PSD group (p < 0.01), whereas normal pulse (p < 0.01) was detected in the
healthy group. In the tongue analysis, higher rates of purple tongue (p < 0.01)
with yellow fur (p < 0.01) and pale-pink tongue (p = 0.023) with white fur (p <
0.01) were observed in the PSD and the healthy groups, respectively. Abnormal
tongue features (old, soft, thin, enlarged, thorny, and cracked) were detected (p
< 0.05) in the PSD group. CONCLUSIONS: Objective and quantitative data of PSD
patients and healthy individuals may help providing valuable clinical information
for PSD research and establish quantitative TCM diagnostic standards for pulse
and tongue diagnosis in clinical practice and research.
PMID- 26565981
TI - Mindfulness-based Stress Reduction (MBSR) as Treatment for Chronic Back Pain - an
Observational Study with Assessment of Thalamocortical Dysrhythmia.
AB - BACKGROUND: A pilot study of an 8-week mindfulness-based stress reduction (MBSR)
program on a sample of low back pain patients was conducted in order to assess
the feasibility and effectiveness of the intervention as well as changes in an
EEG pattern called thalamocortical dysrhythmia which is associated with chronic
pain. PATIENTS AND METHODS: 22 patients with chronic low back pain participated
in an MBSR program. Effect sizes were measured for psychological functioning,
pain severity, and quality of life. Furthermore, 4 parameters of the EEG power
spectral density were assessed. RESULTS: Medium size effect sizes were found for
health-related quality of life (EQ-5D, VAS, d = 0.43, p = 0.02; SF-12,
psychological functioning, d = 0.50, p = 0.05), health-related life satisfaction
(questions on life satisfaction d = 0.69, p = 0.01), depression (HADS, d = 0.48,
p = 0.04, Brief Symptom Inventory d = 0.41, p = 0.04), and affective pain
perception (pain perception scale d = 0.50, p = 0.04). The most relevant pain
severity measurements improved in the range of d = 0.45-0.75 (p = 0.01-0.24). EEG
analyses revealed no differences between the pre- and post-intervention.
CONCLUSION: MBSR is a feasible intervention for patients with low back pain. They
benefit from medium size effects which are comparable to similar behavioral
interventions. Randomized controlled trials are needed in order to determine the
specificity of these benefits.
PMID- 26565982
TI - [Yoga in Germany - Results of a Nationally Representative Survey].
AB - BACKGROUND: Yoga is increasingly used as a therapeutic and preventive method
worldwide. The aim of this nationally representative survey was to assess
prevalence and patterns of yoga practice in Germany. METHODS: Between August and
September 2014, a nationally representative sample of 2,041 individuals of at
least 14 years of age was interviewed regarding actual and prior yoga practice.
Differences between socioeconomic subgroups were analyzed using chi-squared
tests. RESULTS: Lifetime prevalence of yoga practice was 15.1%, point prevalence
3.3%. Higher prevalence was associated with female gender (p < 0.001), higher
education (p < 0.001), employment (p = 0.047), and living in a major city (p <
0.001). Mean duration of yoga practice was 48.2 months; 61.7% practiced at least
once weekly. The main reasons for yoga practice were improved physical (62.8%)
and mental well-being (56.9%) as well as physical (54.4%) and mental capacity
(50.0%). Positive changes due to yoga were reported by 89.7% of practitioners,
mainly increased inner balance (58.8%). Another 16.1% of those who were not
currently practicing could imagine practicing yoga in the next 12 months.
CONCLUSION: An estimated 15.7 million Germans are currently practicing yoga or
are at least interested in starting to practice, most commonly women,
metropolitans, and those with a higher education as well as employed persons.
Almost 90% practitioners report positive changes due to their yoga practice.
PMID- 26565983
TI - [Traditional Chinese Pharmacotherapy in Patients with Chronic Rhinosinusitis - an
Observational Trial Considering the Origin of Medicinal Drugs].
AB - BACKGROUND: The use of Chinese medicinal drugs is becoming more common in
Germany. However, the import from China results in aggravated quality controls
and potentially jeopardized therapeutic safety. Therefore, in 1999 the Bavarian
Department for Agriculture has initiated an interdisciplinary research project to
cultivate and analyze important Chinese herbal plants. Currently 16 Bavarian
produced Chinese drugs are in use and distributed to patients by pharmacies.
Despite a comparable quality of Bavarian pharmaceutical products, there are
concerns remaining as the Bavarian medical drugs have been used for treatment
purposes on patients since 2006, without their effect having been compared to the
Chinese products. Therefore we performed an observational trial using a parallel
group design on patients with chronic rhinosinusitis. METHODS: The duration of
the trial was 4 weeks. After a 4-week follow-up, the patients were interviewed
via telephone. During the trial the patients were given 2 * 50 ml of a decoction
of Chinese medicinal herbs, either (a) from Bavarian controlled cultivation
(Bavaria group) or (b) from Chinese production (China group). The therapeutic
success was evaluated using numerical rating scales. RESULTS: In total, 64
patients completed the observational trial (31 Bavaria group, 33 China group).
Both groups showed significant improvements in the main symptom scores of chronic
rhinosinusitis as well as in secondary symptoms, such as the overall state of
health or the tendency to catch a cold. There were no significant differences
between the groups concerning the main symptoms scores. Overall the herbal
decoctions had no severe side effects. CONCLUSION: This observational trial shows
that Chinese herbal drugs from Bavarian cultivation are as effective as medicinal
herbs imported from China, but the effects of concomitant therapies must be
considered as well. The symptom score improvements during the treatment period
were obvious and should stimulate further investigation on the efficacy of this
herbal formula in the treatment of chronic rhinosinusitis.
PMID- 26565984
TI - [Not Available].
AB - The benefits of using sauna in prevention and therapy could be enhanced. In this
paper, new findings are reported underpinning the preventive and therapeutic
potential of this intervention. Sauna can be helpful in toughening up and in
supplementing pain management of e.g. fibromyalgia as well as in preventing and
treating common lifestyle diseases, such as stress, metabolic diseases, or type 2
diabetes.
PMID- 26565985
TI - Chirality-dependent densities of carbon nanotubes by in situ 2D fluorescence
excitation and Raman characterisation in a density gradient after
ultracentrifugation.
AB - Density gradient ultracentrifugation (DGU) becomes increasingly important for the
sorting of nanomaterials according to the particles' density, hence structure and
dimensions, which determine their unique properties, but the further development
of this separation technique is hindered by the limited precision with which the
densities could be characterized. In this work, we determine these densities by
position-dependent 2D wavelength-dependent IR fluorescence-excitation and
resonant Raman spectroscopy measured directly in the density gradient after
ultracentrifugation. We apply this method to study the diameter and chirality
dependent sorting of empty and water-filled single-walled carbon nanotubes coated
with two different surfactants, sodium cholate (SC) and sodium deoxycholate
(DOC). The results elucidate the long standing contradiction that SC would
provide better diameter sorting, while DOC is the most efficient surfactant to
solubilise the nanotubes. A more predictable separation is obtained for empty DOC
coated nanotubes since their density is found to vary very smoothly with
diameter. The accurate and chirality-dependent densities furthermore provide
information on the surfactant coating, which is also important for other
separation techniques, and allow to determine the mass percentage of water
encapsulated inside the nanotubes.
PMID- 26565987
TI - Factors Associated With Proximal Intracranial Aneurysms to Brain Arteriovenous
Malformations: A Prospective Cohort Study.
AB - BACKGROUND: The risk of hemorrhage from a brain arteriovenous malformation (bAVM)
is increased when an associated proximal intracranial aneurysm (APIA) is present.
Identifying factors that are associated with APIA may influence the prediction of
hemorrhage in patients with bAVM. OBJECTIVE: To identify patient- and bAVM
specific factors associated with APIA. METHODS: We analyzed a prospective
database of bAVMs for factors associated with the presence of APIA. Factors
analyzed included age, sex, bAVM size, aneurysm size, circulation contributing to
the bAVM, location of the aneurysm, deep venous drainage, and Spetzler-Ponce
categories. Multiple logistic regression was performed to identify an association
with APIA. RESULTS: Of 753 cases of bAVM with complete angiographic surveillance,
67 (9%) were found to have APIA. Older age (continuous variable; odds ratio,
1.04; 95% confidence interval, 1.02-1.05) and posterior circulation supply to the
bAVM (odds ratio, 2.29; 95% confidence interval, 1.32-3.99) were factors
associated with increased detection of APIA. The association of posterior
circulation-supplied bAVM was not due to infratentorial bAVM location because 72%
of posterior circulation APIAs were supplying supratentorial bAVM. CONCLUSION:
APIAs appear to develop with time, as evident from the increased age for those
with APIAs. Furthermore, they were more likely present in bAVMs supplied by the
posterior circulation. This may be due to a difference in hemodynamic stress.
ABBREVIATIONS: APIA, associated proximal intracranial aneurysmbAVM, brain
arteriovenous malformationDSA, digital subtraction angiographySMG, Spetzler
Martin gradeSPC, Spetzler-Ponce category.
PMID- 26565986
TI - Performance of Serum microRNAs -122, -192 and -21 as Biomarkers in Patients with
Non-Alcoholic Steatohepatitis.
AB - OBJECTIVES: Liver biopsies are the current gold standard in non-alcoholic
steatohepatitis (NASH) diagnosis. Their invasive nature, however, still carries
an increased risk for patients' health. The development of non-invasive
diagnostic tools to differentiate between bland steatosis (NAFL) and NASH remains
crucial. The aim of this study is the evaluation of investigated circulating
microRNAs in combination with new targets in order to optimize the discrimination
of NASH patients by non-invasive serum biomarkers. METHODS: Serum profiles of
four microRNAs were evaluated in two cohorts consisting of 137 NAFLD patients and
61 healthy controls. In a binary logistic regression model microRNAs of relevance
were detected. Correlation of microRNA appearance with known biomarkers like ALT
and CK18-Asp396 was evaluated. A simplified scoring model was developed,
combining the levels of microRNA in circulation and CK18-Asp396 fragments.
Receiver operating characteristics were used to evaluate the potential of
discriminating NASH. RESULTS: The new finding of our study is the different
profile of circulating miR-21 in NASH patients (p<0.0001). Also, it validates
recently published results of miR-122 and miR-192 to be differentially regulated
in NAFL and NASH. Combined microRNA expression profiles with CK18-Asp396 fragment
level scoring model had a higher potential of NASH prediction compared to other
risk biomarkers (AUROC = 0.83, 95% CI = 0.754-0.908; p<0.001). Evaluation of
score model for NAFL (Score = 0) and NASH (Score = 4) had shown high rates of
sensitivity (91%) and specificity (83%). CONCLUSIONS: Our study defines
candidates for a combined model of miRNAs and CK18-Asp396 levels relevant as a
promising expansion for diagnosis and in turn treatment of NASH.
PMID- 26565988
TI - Electrical release of dopamine and levodopa mediated by amphiphilic beta
cyclodextrins immobilized on polycrystalline gold.
AB - Vesicles of cationic amphiphilic beta-cyclodextrins have been immobilized on
polycrystalline gold by exploiting the chemical affinity between their amino
groups and Au atoms. The presence of cyclodextrins has been widely investigated
by means of AFM, XPS, kelvin probe and electrochemical measurements. This multi
functional coating confers distinct electrochemical features such as pH-dependent
behavior and partial/total blocking properties towards electro-active species.
The host-guest properties of beta-cyclodextrins have been successfully exploited
in order to trap drugs, like dopamine and levodopa. The further release of these
drugs was successfully achieved by providing specific electrical stimuli. This
proof-of-concept led us to fabricate an electronic device (i.e. an organic
transistor) capable of dispensing both dopamine and levodopa in aqueous solution.
PMID- 26565989
TI - Functional and structural characterization of a heparanase.
AB - We report the structural and functional characterization of a novel heparanase
(BpHep) from the invasive pathogenic bacterium Burkholderia pseudomallei (Bp),
showing ~24% sequence identity with human heparanase (hHep). Site-directed
mutagenesis studies confirmed the active site resi-dues essential for activity,
and we found that BpHep has specificity for heparan sulfate. Finally, we describe
the first heparanase X-ray crystal structure, which provides new insight into
both substrate recognition and inhibitor design.
PMID- 26565990
TI - Risk Factors for Dehiscence of Stapled Functional End-to-End Intestinal
Anastomoses in Dogs: 53 Cases (2001-2012).
AB - OBJECTIVE: To identify risk factors for dehiscence in stapled functional end-to
end anastomoses (SFEEA) in dogs. STUDY DESIGN: Retrospective case series.
ANIMALS: Dogs (n = 53) requiring an enterectomy. METHODS: Medical records from a
single institution for all dogs undergoing an enterectomy (2001-2012) were
reviewed. Surgeries were included when gastrointestinal (GIA) and
thoracoabdominal (TA) stapling equipment was used to create a functional end-to
end anastomosis between segments of small intestine or small and large intestine
in dogs. Information regarding preoperative, surgical, and postoperative factors
was recorded. RESULTS: Anastomotic dehiscence was noted in 6 of 53 cases (11%),
with a mortality rate of 83%. The only preoperative factor significantly
associated with dehiscence was the presence of inflammatory bowel disease (IBD).
Surgical factors significantly associated with dehiscence included the presence,
duration, and number of intraoperative hypotensive periods, and location of
anastomosis, with greater odds of dehiscence in anastomoses involving the large
intestine. CONCLUSION: IBD, location of anastomosis, and intraoperative
hypotension are risk factors for intestinal anastomotic dehiscence after SFEEA in
dogs. Previously suggested risk factors (low serum albumin concentration,
preoperative septic peritonitis, and intestinal foreign body) were not confirmed
in this study.
PMID- 26565991
TI - High Methionine Diet Poses Cardiac Threat: A Molecular Insight.
AB - High methionine diet (HMD) for example red meat which includes lamb, beef, pork
can pose cardiac threat and vascular dysfunction but the mechanisms are unclear.
We hypothesize that a diet rich in methionine can malfunction the cardiovascular
system in three ways: (1) by augmenting oxidative stress; (2) by inflammatory
manifestations; and (3) by matrix/vascular remodeling. To test this hypothesis we
used four groups of mice: (1) WT; (2) WT + methionine; (3) CBS(+/-) ; (4) CBS(+/
) +methionine. We observed high oxidative stress in mice fed with methionine
which was even higher in CBS(+/-) and CBS(+/-) +methionine. Higher oxidative
stress was indicated by high levels of SOD-1 in methionine fed mouse hearts
whereas IL-1beta, IL-6, TNFalpha, and TLR4 showed high inflammatory
manifestations. The upregulated levels of eNOS/iNOS and upregulated levels of
MMP2/MMP9 along with high collagen deposition indicated vascular and matrix
remodeling in methionine fed mouse. We evaluated the cardiac function which was
dysregulated in the mice fed with HMD. These mice had decreased ejection fraction
and left ventricular dysfunction which subsequently leads to adverse cardiac
remodeling. In conclusion, our study clearly shows that HMD poses a cardiac
threat by increasing oxidative stress, inflammatory manifestations,
matrix/vascular remodeling, and decreased cardiac function.
PMID- 26565992
TI - Grain Yield and Quality of Foxtail Millet (Setaria italica L.) in Response to
Tribenuron-Methyl.
AB - Foxtail millet (Setaria italica L.) is cultivated around the world for human and
animal consumption. There is no suitable herbicide available for weed control in
foxtail millet fields during the post-emergence stage. In this study, we
investigated the effect and safety of the post-emergence herbicide tribenuron
methyl (TBM) on foxtail millet in terms of grain yield and quality using a split
plot field design. Field experiments were conducted using two varieties in 2013
and 2014, i.e., high-yielding hybrid Zhangzagu 10 and high-quality conventional
Jingu 21. TBM treatments at 11.25 to 90 g ai ha(-1) reduced root and shoot
biomass and grain yield to varying degrees. In each of the two years, grain yield
declined by 50.2% in Zhangzagu 10 with a herbicide dosage of 45 g ai ha(-1) and
by 45.2% in Jingu 21 with a herbicide dosage of 22.5 g ai ha(-1) (recommended
dosage). Yield reduction was due to lower grains per panicle, 1000-grain weight,
panicle length, and panicle diameter. Grain yield was positively correlated with
grains per panicle and 1000-grain weight, but not with panicles ha(-1). With
respect to grain protein content at 22.5 g ai ha(-1,) Zhangzagu 10 was similar to
the control, whereas Jingu 21 was markedly lower. An increase in TBM dosage led
to a decrease in grain Mn, Cu, Fe, and Zn concentrations. In conclusion, the
recommended dosage of TBM was relatively safe for Zhangzagu 10, but not for Jingu
21. Additionally, the hybrid variety Zhangzagu 10 had a greater tolerance to TBM
than the conventional variety Jingu 21.
PMID- 26565993
TI - Suppression of fructose-bisphosphate aldolase C expression as a predictor of
advanced oral squamous cell carcinoma.
AB - BACKGROUND: Glycolysis machinery regulates cancer cell behavior. However, the
roles of these glycolysis enzymes in oral squamous cell carcinoma (OSCC)
progression remain unknown. METHODS: Fructose-bisphosphate aldolase C (ALDOC)
expression in OSCC patients and cell lines was detected using quantitative real
time polymerase chain reaction (PCR). The functions of ALDOC in migration and
invasion were determined using gain and loss of function approaches. An
orthotopic OSCC animal model was performed to investigate the effects of ALDOC on
metastasis and tumorigenesis in vivo. RESULTS: ALDOC expression is negatively
significantly correlated with clinical outcome and cell migration in vitro and in
vivo. ALDOC blocks adenosine triphosphate generation and lactate production, and
mutation constructs of Arg42 and Lys146 functionally restore ALDOC-inhibited cell
migration and invasion. CONCLUSION: ALDOC functions as an OSCC prognosis marker
clinically, and suppresses migration and invasion by its catalytic domain of
Arg42 and Lys146. (c) 2015 Wiley Periodicals, Inc. Head Neck 38: E1075-E1085,
2016.
PMID- 26565994
TI - Phylogenetic Exploration of Nosocomial Transmission Chains of 2009 Influenza
A/H1N1 among Children Admitted at Red Cross War Memorial Children's Hospital,
Cape Town, South Africa in 2011.
AB - Traditional modes of investigating influenza nosocomial transmission have
entailed a combination of confirmatory molecular diagnostic testing and
epidemiological investigation. Common hospital-acquired infections like influenza
require a discerning ability to distinguish between viral isolates to accurately
identify patient transmission chains. We assessed whether influenza hemagglutinin
sequence phylogenies can be used to enrich epidemiological data when
investigating the extent of nosocomial transmission over a four-month period
within a paediatric Hospital in Cape Town South Africa. Possible transmission
chains/channels were initially determined through basic patient admission data
combined with Maximum likelihood and time-scaled Bayesian phylogenetic analyses.
These analyses suggested that most instances of potential hospital-acquired
infections resulted from multiple introductions of Influenza A into the hospital,
which included instances where virus hemagglutinin sequences were identical
between different patients. Furthermore, a general inability to establish
epidemiological transmission linkage of patients/viral isolates implied that
identified isolates could have originated from asymptomatic hospital patients,
visitors or hospital staff. In contrast, a traditional epidemiological
investigation that used no viral phylogenetic analyses, based on patient co
admission into specific wards during a particular time-frame, suggested that
multiple hospital acquired infection instances may have stemmed from a limited
number of identifiable index viral isolates/patients. This traditional
epidemiological analysis by itself could incorrectly suggest linkage between
unrelated cases, underestimate the number of unique infections and may overlook
the possible diffuse nature of hospital transmission, which was suggested by
sequencing data to be caused by multiple unique introductions of influenza A
isolates into individual hospital wards. We have demonstrated a functional role
for viral sequence data in nosocomial transmission investigation through its
ability to enrich traditional, non-molecular observational epidemiological
investigation by teasing out possible transmission pathways and working toward
more accurately enumerating the number of possible transmission events.
PMID- 26565996
TI - Artifacts Generated During Azoalkane Peroxy Radical Oxidative Stress Testing of
Pharmaceuticals Containing Primary and Secondary Amines.
AB - We report artifactual degradation of pharmaceutical compounds containing primary
and secondary amines during peroxy radical-mediated oxidative stress carried out
using azoalkane initiators. Two degradation products were detected when model
drug compounds dissolved in methanol/water were heated to 40 degrees C with
radical initiators such as 2,2'-azobis(2-methylpropionitrile) (AIBN). The primary
artifact was identified as an alpha-aminonitrile generated from the reaction of
the amine group of the model drug with formaldehyde and hydrogen cyanide,
generated as byproducts of the stress reaction. A minor artifact was generated
from the reaction between the amine group and isocyanic acid, also a byproduct of
the stress reaction. We report the effects of pH, initiator/drug molar ratio, and
type of azoalkane initiator on the formation of these artifacts. Mass
spectrometry and nuclear magnetic resonance were used for structure elucidation,
whereas mechanistic studies, including stable isotope labeling experiments,
cyanide analysis, and experiments exploring the effects of butylated
hydroxyanisole addition, were employed to support the degradation pathways.
PMID- 26565995
TI - Phosphatidylthreonine and Lipid-Mediated Control of Parasite Virulence.
AB - The major membrane phospholipid classes, described thus far, include
phosphatidylcholine (PtdCho), phosphatidylethanolamine (PtdEtn),
phosphatidylserine (PtdSer), and phosphatidylinositol (PtdIns). Here, we
demonstrate the natural occurrence and genetic origin of an exclusive and rather
abundant lipid, phosphatidylthreonine (PtdThr), in a common eukaryotic model
parasite, Toxoplasma gondii. The parasite expresses a novel enzyme PtdThr
synthase (TgPTS) to produce this lipid in its endoplasmic reticulum. Genetic
disruption of TgPTS abrogates de novo synthesis of PtdThr and impairs the lytic
cycle and virulence of T. gondii. The observed phenotype is caused by a reduced
gliding motility, which blights the parasite egress and ensuing host cell
invasion. Notably, the PTS mutant can prevent acute as well as yet-incurable
chronic toxoplasmosis in a mouse model, which endorses its potential clinical
utility as a metabolically attenuated vaccine. Together, the work also
illustrates the functional speciation of two evolutionarily related membrane
phospholipids, i.e., PtdThr and PtdSer.
PMID- 26565997
TI - Determining optimal follow-up in the management of human papillomavirus-positive
oropharyngeal cancer.
AB - BACKGROUND: Determining the optimal follow-up for patients can help maximize the
use of health care resources. This is particularly true in a growing epidemic
such as human papillomavirus-positive oropharyngeal squamous cell carcinoma
(HPV+OPSCC). The objective of the current study was to evaluate time to disease
recurrence or late toxicity in this cohort of patients to optimize patient
management. METHODS: An institutional database identified 232 patients with
biopsy-proven, nonmetastatic HPV+OPSCC who were treated with radiotherapy. A
retrospective review was conducted in patients who were followed every 3 months
for the first year, every 4 months in year 2, and every 6 months in years 3 to 5.
Late toxicity (grade >= 3; toxicity was scored based on National Cancer Institute
Common Terminology Criteria for Adverse Events [version 4]), locoregional
control, distant control, and overall survival were assessed. RESULTS: The median
follow-up was 33 months. Based on Radiation Therapy Oncology Group (RTOG) 0129
study risk groupings, patients were either considered to be at low (162 patients;
70%) or intermediate (70 patients; 30%) risk. Concurrent systemic therapy was
used in 85% of patients (196 patients). The 3-year locoregional control, distant
control, and overall survival rates were 94%, 91%, and 91%, respectively. Late
toxicity occurred in 9% of patients (21 patients). Overall, 64% of toxicity and
failure events occurred within the first 6 months of follow-up, with a < 2% event
incidence noted at each subsequent follow-up. Only 4 patients experienced their
first event after 2 years. CONCLUSIONS: HPV+OPSCC has a low risk of disease
recurrence and late toxicity after treatment; approximately two-thirds of events
occur within the first 6 months of follow-up. These data suggest that it may be
reasonable to reduce follow-up in patients with HPV+OPSCC to every 3 months for
the first 6 months, every 6 months for the first 2 years, and annually
thereafter.
PMID- 26565998
TI - Mechanisms of waterlogging tolerance in wheat--a review of root and shoot
physiology.
AB - We review the detrimental effects of waterlogging on physiology, growth and yield
of wheat. We highlight traits contributing to waterlogging tolerance and genetic
diversity in wheat. Death of seminal roots and restriction of adventitious root
length due to O2 deficiency result in low root:shoot ratio. Genotypes differ in
seminal root anoxia tolerance, but mechanisms remain to be established; ethanol
production rates do not explain anoxia tolerance. Root tip survival is short
term, and thereafter, seminal root re-growth upon re-aeration is limited.
Genotypes differ in adventitious root numbers and in aerenchyma formation within
these roots, resulting in varying waterlogging tolerances. Root extension is
restricted by capacity for internal O2 movement to the apex. Sub-optimal O2
restricts root N uptake and translocation to the shoots, with N deficiency
causing reduced shoot growth and grain yield. Although photosynthesis declines,
sugars typically accumulate in shoots of waterlogged plants. Mn or Fe toxicity
might occur in shoots of wheat on strongly acidic soils, but probably not more
widely. Future breeding for waterlogging tolerance should focus on root internal
aeration and better N-use efficiency; exploiting the genetic diversity in wheat
for these and other traits should enable improvement of waterlogging tolerance.
PMID- 26566000
TI - Gastric wall abscess formation after endoscopic ultrasound-guided fine-needle
aspiration of pancreatic cancer.
PMID- 26565999
TI - BET Protein BRDT Complexes With HDAC1, PRMT5, and TRIM28 and Functions in
Transcriptional Repression During Spermatogenesis.
AB - The expression of BRDT, a member of the BET sub-family of double bromodomain
containing proteins, is restricted to the male germ line, specifically to
pachytene-diplotene spermatocytes and early spermatids. We previously showed that
loss of the first bromodomain of BRDT by targeted mutagenesis (Brdt(DeltaBD1) )
resulted in sterility and abnormalities in spermiogenesis, but little is known
about BRDT's function at the molecular level. As part of studies designed to
identify BRDT-interacting proteins we stably introduced a FLAG-tagged BRDT cDNA
into 293T cells, which do not normally express BRDT. Affinity-purification of
FLAG-tagged BRDT complexes indicated that BRDT has novel interactions with the
histone deacetylase HDAC1, the arginine-specific histone methyltransferase 5
PRMT5, and the Tripartite motif-containing 28 protein TRIM28. Immunofluorescent
microscopy revealed that BRDT co-localized with each of these proteins in round
spermatids and co-immunoprecipitation of testicular extracts showed that these
proteins interact with BRDT. Furthermore, they bind the promoter of H1t, a
putative target of BRDT-containing complexes. This binding of H1t was lost in
mice expressing the Brdt(DeltaBD1) mutant protein and concomitantly, H1t
expression was elevated in round spermatids. Our study reveals a role for BRDT
containing complexes in the repression of gene expression in vivo that correlates
with dramatic effects on chromatin remodeling and the progression of
spermiogenesis.
PMID- 26566001
TI - Urine cytology in monitoring recurrence in urothelial carcinoma after radical
cystectomy and urinary diversion.
AB - BACKGROUND: After radical cystectomy and urinary diversion (RC-UD), upper urinary
tract and urethral recurrences of urothelial carcinoma (UCa) are reported to
occur in 2% to 17% of patients. The objective of the current study was to
determine the performance of urinary cytology (UCy) in the diagnosis of
recurrences in the remnant urothelium (RRU) after RC-UD. METHODS: The authors
retrospectively identified all patients who underwent RC-UD for UCa at the study
institution from January 2002 to April 2014, and collected data from all
available follow-up UCy and biopsies. Cytologies were classified as
unsatisfactory, negative, suspicious, positive for malignancy, or atypical
urothelial cells (AUC). The authors calculated the sensitivity, specificity,
positive predictive value, and negative predictive value of suspicious or
positive UCy for the diagnosis of histologically confirmed RRU. RESULTS: Of the
222 patients who underwent RC-UD for UCa of the urinary bladder during the study
period, 111 had at least 1 follow-up UCy performed at the study institution, for
a total of 477 UCy samples. During a mean follow-up interval of 40.8 months
(range, 3-155 months), the RRU rate was 9.9% (11 of 111 patients).
Positive/suspicious UCy results were noted in 12 of 111 patients, 9 of whom had
RRU. A diagnosis of AUC was made in 8.6% of samples from 29 patients (41 of 477
samples). The sensitivity, specificity, positive predictive value, and negative
predictive value of UCy for RRU were 82%, 97%, 75%, and 98%, respectively. Six of
the 28 patients with diagnoses of AUC (21.4%) were eventually diagnosed with RRU.
CONCLUSIONS: In the current series, UCy demonstrated good sensitivity and high
specificity for the detection of disease recurrence of UCa after RC-UD.
PMID- 26566002
TI - Reversible Inhibitors Arrest ClpP in a Defined Conformational State that Can Be
Revoked by ClpX Association.
AB - Caseinolytic protease P (ClpP) is an important regulator of Staphylococcus aureus
pathogenesis. A high-throughput screening for inhibitors of ClpP peptidase
activity led to the identification of the first non-covalent binder for this
enzyme class. Co-crystallization of the small molecule with S. aureus ClpP
revealed a novel binding mode: Because of the rotation of the conserved residue
proline 125, ClpP is locked in a defined conformational state, which results in
distortion of the catalytic triad and inhibition of the peptidase activity. Based
on these structural insights, the molecule was optimized by rational design and
virtual screening, resulting in derivatives exceeding the potency of previous
ClpP inhibitors. Strikingly, the conformational lock is overturned by binding of
ClpX, an associated chaperone that enables proteolysis by substrate unfolding in
the ClpXP complex. Thus, regulation of inhibitor binding by associated chaperones
is an unexpected mechanism important for ClpP drug development.
PMID- 26566003
TI - Vascular Health Profile predicts cardiovascular outcomes in patients with
diabetes.
AB - BACKGROUND: We previously reported the development of a novel high dimensional
cytomic assay, the Vascular Health Profile (VHP) based on measurements of
angiogenic circulating hematopoietic stem and progenitor cells (CHSPCAng ) and
extracellular vesicles (EVs), that discovered a unique signature, differentiating
the vascular status of diabetics and normal healthy controls. Here, we present
data from a 3-year follow-up to evaluate the power of the VHP to identify
individuals at risk for cardiovascular (CV) events. METHODS: The original data
were generated as previously described by measuring a broad panel of progenitor
cells and EVs and profiled using cytometric fingerprinting. Subjects were
classified into groups according to the occurrence of adjudicated CV events
including myocardial infarction, stroke, major adverse cardiovascular events,
revascularization, and irregular rhythm. Cross-validated Linear Discriminate
Analysis (LDA) models were constructed and used to predict the occurrence of
events, and were evaluated for predictive accuracy (AUC, area under the curve)
using receiver operating characteristic (ROC) analysis. RESULTS: Over the period
of this analysis, follow-up data was obtained on 87 subjects, with 32 events
occurring overall, and only in the diabetic group. In all cases, the VHP added
significant predictive power, in the form of ROC analysis, for all evaluated
outcomes with the exception of irregular rhythm. CONCLUSIONS: The VHP, a
relatively simple blood test, can provide sensitive and clinically relevant
information on the vascular status of a patient that may be useful for a variety
of applications including drug development, clinical risk assessment, and
companion diagnostics. (c) 2015 International Clinical Cytometry Society.
PMID- 26566005
TI - Vibrational Fingerprints of Low-Lying Pt(n)P(2n) (n = 1-5) Cluster Structures
from Global Optimization Based on Density Functional Theory Potential Energy
Surfaces.
AB - Vibrational fingerprints of small Pt(n)P(2n) (n = 1-5) clusters were computed
from their low-lying structures located from a global exploration of their DFT
potential energy surfaces with the GSAM code. Five DFT methods were assessed from
the CCSD(T) wavenumbers of PtP2 species and CCSD relative energies of Pt2P4
structures. The eight first Pt(n)P(2n) isomers found are reported. The
vibrational computations reveal (i) the absence of clear signatures made by
overtone or combination bands due to very weak mechanical and electrical
anharmonicities and (ii) some significant and recurrent vibrational fingerprints
in correlation with the different PP bonding situations in the Pt(n)P(2n)
structures.
PMID- 26566004
TI - Analytical Strategies for Doping Control Purposes: Needs, Challenges, and
Perspectives.
PMID- 26566006
TI - Drosophila models of neurologic disease.
PMID- 26566007
TI - Cadinane-Type Sesquiterpenoids from Heterotheca inuloides: Absolute Configuration
and Anti-inflammatory Activity.
AB - Eight cadinane-type sesquiterpenoids (1-8) together with some triterpenoids,
flavonoids, and sterols were isolated from the aerial parts of Heterotheca
inuloides. The structures of the new compounds (1-4) were elucidated on the basis
of extensive 1D and 2D NMR spectroscopic data analysis. The structures of the new
(1-3) and the known (5-7) sesquiterpenoids were confirmed by X-ray
crystallography. The absolute configurations of metabolites 2-5 were determined
by comparing their experimental and calculated electronic circular dichroism
spectra and confirmed via refinement of the Flack parameter using anomalous X-ray
scattering from the oxygen atoms and chemical correlation methods. The
sesquiterpenoids were evaluated for their anti-inflammatory potential by applying
the TPA-induced mouse ear edema model. The results revealed that some of these
metabolites exhibit moderate anti-inflammatory activity. At a dose of 228 MUg/ear
compound 1 showed 43.14 +/- 8.09% inhibition on ear edema, indicating an IC50 >
228 MUg/ear.
PMID- 26566008
TI - Cucurbituril-Based Molecular Recognition.
PMID- 26566009
TI - Atomic Mechanism of Electrocatalytically Active Co-N Complexes in Graphene Basal
Plane for Oxygen Reduction Reaction.
AB - Superior catalytic activity and high chemical stability of inexpensive
electrocatalysts for the oxygen reduction reaction (ORR) are crucial to the large
scale practical application of fuel cells. The nonprecious metal/N modified
graphene electrocatalysts are regarded as one of potential candidates, and the
further enhancement of their catalytic activity depends on improving active
reaction sites at not only graphene edges but also its basal plane. Herein, the
ORR mechanism and reaction pathways of Co-N co-doping onto the graphene basal
plane have been studied by using first-principles calculations and ab initio
molecular dynamics simulations. Compared to singly N-doped and Co-doped
graphenes, the Co-N co-doped graphene surface exhibits superior ORR activity and
the selectivity toward a four-electron reduction pathway. The result originates
from catalytic sites of the graphene surface being modified by the hybridization
between Co 3d states and N 2p states, resulting in the catalyst with a moderate
binding ability to oxygenated intermediates. Hence, introducing the Co-N4 complex
onto the graphene basal plane facilitates the activation of O2 dissociation and
the desorption of H2O during the ORR, which is responsible for the
electrocatalyst with a smaller ORR overpotential (~1.0 eV) that is lower than
that of Co-doped graphene by 0.93 eV. Our results suggest that the Co-N co-doped
graphene is able to compete against platinum-based electrocatalysts, and the
greater efficient electrocatalysts can be realized by carefully optimizing the
coupling between transition metal and nonmetallic dopants in the graphene basal
plane.
PMID- 26566010
TI - Discontinuity of Breastfeeding Care: "There's No Captain of the Ship".
AB - BACKGROUND: Breastfeeding rates in the United States are suboptimal. Health
professionals (HPs) have a unique opportunity to support breastfeeding because of
the frequency and timing of their visits with mothers and infants as well as
their call by professional organizations to do so. The objective of this study
was to understand HPs' perceived roles and experiences with providing
breastfeeding-related care. MATERIALS AND METHODS: In-depth qualitative
interviews were conducted with 34 HPs (obstetricians, midwives, pediatricians,
nurses, and lactation consultants) who care for pregnant or lactating women.
Interviews were audio-recorded, transcribed, and verified for accuracy; content
analysis was used to identify themes using a grounded theory approach. RESULTS:
The overarching theme was discontinuity in breastfeeding care across the
continuum. Most HPs relied on other HPs to provide breastfeeding care, which
resulted from and contributed to problematic gaps in care that were reported. A
minority of HPs attempted to bridge gaps in breastfeeding care or improve
continuity. Contributing to the discontinuity were a lack of time, lack of
skills, inconsistent messages, and low communication across stages of care. HPs
were unsure whether their help was effective and whether required follow-up was
completed. CONCLUSIONS: Despite HPs' recognition of breastfeeding as the best
choice for infant feeding, breastfeeding care may be disjointed and a barrier to
achieving breastfeeding recommendations. These problems should be investigated
and systemically addressed in future research so that maternal-infant dyad
breastfeeding care can be improved.
PMID- 26566011
TI - Regio- and Stereoselective Synthesis of Sulfur-Bearing Four-Membered
Heterocycles: Direct Access to 2,4-Disubstituted Thietane 1-Oxides.
AB - Starting from readily available C2-substituted thietane 1-oxides, a
straightforward synthesis of new C2,C4-disubstituted thietane 1-oxides has been
developed by using a lithiation/electrophilic trapping sequence. The chemical and
configurational stability of lithiated C2-substituted thietane 1-oxides has been
investigated as well as the stereochemical implications for this process. The
results demonstrate that a stereoselective functionalization at the C2, C4
positions of a thietane is feasible, leaving intact the four-membered ring.
PMID- 26566012
TI - The Extent and Severity of Adhesions Are Reduced After Major Laparoscopic
Colorectal Surgery: A Pilot Study.
AB - BACKGROUND: Adhesion formation after colorectal surgery is a well-recognized
problem, and the ability of the laparoscopic technique to reduce adhesion
formation is questionable. The present study compares adhesion formation after
laparoscopic and open colorectal surgery. PATIENTS AND METHODS: A diagnostic
laparoscopy was performed through the stoma site at the ileostomy closure
operation in patients who had undergone low anterior resection or ileal pouch
anal-anastomosis. The laparoscopy was videorecorded, and the extent and severity
of adhesions involving incisions, omentum, small bowel, and female adnexa were
graded. RESULTS: Twenty-three patients were enrolled into the study, and after
exclusions 19 patients remained for the analyses. There was no difference in
baseline characteristics of patients except in the mean (range) total incision
length, which was 22 (21-23) cm in the open group and 10.9 (9-14) cm in the
laparoscopic group (P < .001). The median (range) overall adhesion severity score
was 7 (3-9) in the open group and 0 (0-4) in the laparoscopic group (P = .001).
Similar differences were seen in overall extent and total score (P = .001 and P =
.001, respectively). In detailed analysis, incision and small bowel adhesions
scores were also statistically significantly different, favoring laparoscopic
surgery. CONCLUSIONS: According to the present study, although low in number of
patients, laparoscopic colorectal surgery may result in fewer adhesions compared
with open surgery.
PMID- 26566013
TI - Excited-State Dynamics Achieved Ultimate Stereocontrol of Photocyclodimerization
of Anthracenecarboxylates on a Glucose Scaffold.
AB - Near-perfect stereoselectivity was attained in the diastereodifferentiating [4 +
4] photocyclodimerization of 2-anthracenecarboxylates tethered to a glucose
scaffold not by thermodynamically tuning the conformer equilibrium in the ground
state but by kinetically controlling the conformer dynamics and reactivity in the
excited state, which enabled us, after removal of the scaffold, to obtain a
single enantiomer of chiral anti-head-to-head-cyclodimer in >99% optical and 96%
chemical yield from an ensemble of four precursor conformers.
PMID- 26566014
TI - Planned cesarean delivery compared to induction of labor in women with class III
obesity: a cost-minimization analysis (.).
AB - OBJECTIVE: To compare the costs between planned cesarean delivery (CD) and
induction of labor (IOL) in women with class III obesity. METHODS: We conducted a
cost-minimization analysis using data from a previous study of women with a BMI
>=40 kg/m(2) delivering term singletons via planned CD or after IOL. Decision
trees were built with branches for two "treatments": planned CD and IOL
(probabilities derived from parent study). Direct and total costs were obtained
for each mother-infant pair. Sensitivity analyses for probability of successful
IOL were performed. RESULTS: A total of 661 mother-infant pairs were included -
399 IOLs and 262 planned CDs. Of 399 IOLs, 236 (59%) delivered vaginally and 163
(41%) had a CD. IOL was slightly less costly. For IOL and planned CD,
respectively, direct costs were $7416 versus $7474, and total costs were $11 545
versus $11 665. Sensitivity analyses indicated that IOL was the least costly
strategy if the probability of vaginal delivery after IOL was >57% (0.575 for
direct costs; 0.570 for total costs). There was, however, a slight cost advantage
to planned CD in women with a prior cesarean. CONCLUSIONS: In women with class
III obesity, IOL is less costly than planned CD if the probability of vaginal
delivery after IOL in an individual patient is greater than 57%.
PMID- 26566015
TI - Impact of tiotropium on exacerbations versus glycopyrronium and QVA149.
PMID- 26566016
TI - Time-Resolved Emission Reveals Ensemble of Emissive States as the Origin of
Multicolor Fluorescence in Carbon Dots.
AB - The origin of photoluminescence in carbon dots has baffled scientists since its
discovery. We show that the photoluminescence spectra of carbon dots are
inhomogeneously broadened due to the slower relaxation of the solvent molecules
around it. This gives rise to excitation-dependent fluorescence that violates the
Kasha-Vavilov rule. The time-resolved experiment shows significant energy
redistribution, relaxation among the emitting states, and spectral migration of
fluorescence spectra in the nanosecond time scale. The excitation-dependent
multicolor emission in time-integrated spectra is typically governed by the
relative population of these emitting states.
PMID- 26566017
TI - Pentachlorophenol from an old henhouse as a dioxin source in eggs and related
human exposure.
AB - High levels of polychlorinated dibenzo-p-dioxins (PCDDs) and polychlorinated
dibenzofurans (PCDFs) were detected in free-range eggs, and these levels reached
a concentration of 29.84 +/- 7.45 pg of WHO-TEQ/g of fat. This value exceeded the
EU maximum permitted level of 2.5 pg of WHO-TEQ/g of fat for PCDD/F congeners by
twelve-fold. A chemical analysis (HRGC-HRMS) revealed elevated amounts of OCDD,
OCDF, HxCDD, HpCDD and HpCDF. During the investigation, samples of feed, soil,
wall scrapings, wooden ceiling of the henhouse and tissues from laying hens were
examined for dioxin contents (30 samples altogether). The long and complicated
investigation found that the source of dioxins in the poultry farm was
pentachlorophenol-treated wood, which was used as structural components in the 40
year-old farm building adapted to a henhouse. The wooden building material
contained PCDD/Fs at a concentration of 3922.60 +/- 560.93 pg of WHO-TEQ/g and
11.0 +/- 2.8 MUg/kg of PCP. The potential risk associated with dioxin intake was
characterized by comparing the theoretically calculated weekly and monthly
intakes with the toxicological reference values (TRVs), namely the Tolerable
Weekly Intake (TWI) and Provisional Tolerable Monthly Intake (PTMI) values of 14
pg of WHO-TEQ/kg of bw and 70 pg of WHO-TEQ/kg of bw, respectively. The intake of
dioxins estimated for high egg consumers (approximately 5-6 eggs/week) exceeded
the TWI and PTMI values, which may pose a risk of delayed adverse health effects.
The estimated dose of PCDD/Fs and DL-PCBs for children consuming 5 eggs per week
exceeded the TWI by as much as 450% because of their nearly 5-fold-lower body
weight. Although the dioxin intake estimated for the average consumption of eggs
in the general population did not exceed any of the TRVs applied (58.7% TWI and
51.1% PTMI), such a situation should be considered unacceptable from a public
health perspective because eggs are not the only source of these contaminants.
PMID- 26566018
TI - Degradation of cyclophosphamide and 5-fluorouracil by UV and simulated sunlight
treatments: Assessment of the enhancement of the biodegradability and toxicity.
AB - The presence of pharmaceuticals in the environment has triggered concern among
the general population and received considerable attention from the scientific
community in recent years. However, only a few publications have focused on
anticancer drugs, a class of pharmaceuticals that can exhibit cytotoxic,
genotoxic, mutagenic, carcinogenic and teratogenic effects. The present study
investigated the photodegradation, biodegradation, bacterial toxicity,
mutagenicity and genotoxicity of cyclophosphamide (CP) and 5-fluorouracil (5-FU).
The photodegradation experiments were performed at a neutral to slight pH range
(7-7.8) using two different lamps (medium-pressure mercury lamp and a xenon
lamp). The primary elimination of the parent compounds was monitored by means of
liquid chromatography tandem mass spectrometry (LC-IT-MS/MS). NPOC (non-purgeable
organic carbon) analyses were carried out in order to assess mineralization
rates. The Closed Bottle Test (CBT) was used to assess ready biodegradability. A
new method using Vibrio fischeri was adopted to evaluate toxicity. CP was not
degraded by any lamp, whereas 5-FU was completely eliminated by irradiation with
the mercury lamp but only partially by the Xe lamp. No mineralization was
observed for the experiments performed with the Xe lamp, and a NPOC removal of
only 18% was registered for 5-FU after 256 min using the UV lamp. Not one of the
parent compounds was readily biodegradable in the CBT. Photo transformation
products (PTPs) resulting from photolysis were neither better biodegradable nor
less toxic than the parent compound 5-FU. In contrast, the results of the tests
carried out with the UV lamp indicated that more biodegradable and non-toxic PTPs
of 5-FU were generated. Three PTPs were formed during the photodegradation
experiments and were identified. The results of the in silico QSAR predictions
showed positive mutagenic and genotoxic alerts for 5-FU, whereas only one of the
formed PTPs presented positive alerts for the genotoxicity endpoint.
PMID- 26566019
TI - Role of Regulatory T Cells and Inhibitory Molecules in the Development of Immune
Exhaustion During Human Immunodeficiency Virus Type 1 Infection.
AB - One of the key hallmarks of chronic human immunodeficiency virus type 1 (HIV-1)
infection is the persistent immune activation triggered since early stages of the
infection, followed by the development of an exhaustion phenomena, which leads to
the inability of immune cells to respond appropriately to the virus and other
pathogens, constituting the acquired immunodeficiency syndrome (AIDS); this
exhausting state is characterized by a loss of effector functions of immune cells
such as proliferation, production of cytokine, as well as cytotoxic potential and
it has been attributable to an increased response of regulatory T cells and
recently also to the expression in different cell populations of inhibitory
molecules, such as programmed death receptor-1 (PD-1), cytotoxic T lymphocyte
antigen-4 (CTLA-4), T cell immunoglobulin-3 (Tim-3), and lymphocyte activation
gene-3 (LAG-3). The importance of these molecules relies on the possibility to
restore the immune response once these molecules are blocked, constituting a
potential therapeutic target for treatment during HIV infection. In this regard,
we explored the available data evaluating the functional role of Treg cells and
inhibitory molecules during the infection in both blood and gut-associated
lymphoid tissue (GALT) and their contribution to the development of immune
exhaustion and progression to AIDS, as well as their therapeutic potential.
PMID- 26566020
TI - Prefrontal-limbic connectivity during worry in older adults with generalized
anxiety disorder.
AB - OBJECTIVES: Although generalized anxiety disorder (GAD) is one of the most
prevalent anxiety disorders in older adults, very little is known about the
neurobiology of worry, the hallmark symptom of GAD in adults over the age of 60.
This study investigated the neurobiology and neural circuitry of worry in older
GAD patients and controls. METHOD: Twenty older GAD patients and 16 age-matched
controls (mean age = 67.88) were compared on clinical measures and neural
activity during worry using functional magnetic resonance imaging. RESULTS: As
expected, worry elicited activation in frontal regions, amygdala, and insula
within the GAD group, with a similar but less prominent frontal pattern was
observed in controls. Effective connectivity analyses revealed a positive
directional circuit in the GAD group extending from ventromedial through
dorsolateral prefrontal cortices, converging on the amygdala. A less complex
circuit was observed in controls with only dorsolateral prefrontal regions
converging on the amygdala; however, a separate circuit passing through the
orbitofrontal cortex converged on the insula. CONCLUSION: Results elucidate a
different neurobiology of pathological versus normal worry in later life. A
limited resource model is implicated wherein worry in GAD competes for the same
neural resources (e.g. prefrontal cortical areas) that are involved in the
adaptive regulation of emotion through cognitive and behavioral strategies.
PMID- 26566021
TI - Turning big data into personalised diabetes care.
PMID- 26566023
TI - Effect of Antecolic versus Retrocolic Gastroenteric Reconstruction after
Pancreaticoduodenectomy on Delayed Gastric Emptying: A Meta-Analysis of Six
Randomized Controlled Trials.
AB - BACKGROUND: One of the most frequent complications of pancreaticoduodenectomy
(PD) is delayed gastric emptying (DGE). The study aim was to evaluate the impact
of the type of gastro/duodenojejunal reconstruction (antecolic vs. retrocolic)
after PD on DGE incidence. METHODS: A systematic review was made according to the
PRISMA guidelines. Randomized controlled trials (RCTs) comparing antecolic vs.
retrocolic reconstruction were included irrespective of the PD techniques. A meta
analysis was then performed. RESULTS: Six RCTs were included for a total of 588
patients. The overall quality was good. General risk of bias was low. DGE was not
statistically significantly different between the antecolic and retrocolic group
(OR 0.6, 95% CI 0.31-1.16, p = 0.13). The other main surgery-related
complications (pancreatic fistula, hemorrhage, intra-abdominal abscess, bile leak
and wound infection) were not dependent on the reconstruction route (OR 0.84, 95%
CI 0.41-1.70, p = 0.63). No statistically significant difference in terms of
length of hospital stay was found between the 2 groups. There was also no
difference of DGE incidence if only pylorus-preserving PD was considered and
between the DGE grades A, B or C. CONCLUSION: This meta-analysis shows that
antecolic reconstruction after PD is not superior to retrocolic reconstruction in
terms of DGE.
PMID- 26566024
TI - Clinical Features of Reported Ethylene Glycol Exposures in the United States.
AB - BACKGROUND: Ethylene glycol is highly toxic and represents an important cause of
poisonings worldwide. Toxicity can result in central nervous system dysfunction,
cardiovascular compromise, elevated anion gap metabolic acidosis and acute kidney
injury. Many states have passed laws requiring addition of the bittering agent,
denatonium benzoate, to ethylene glycol solutions to reduce severity of
exposures. The objectives of this study were to identify differences between
unintentional and intentional exposures and to evaluate the utility of denatonium
benzoate as a deterrent. METHODS AND FINDINGS: Using the National Poison Data
System, we performed a retrospective analysis of reported cases of ethylene
glycol exposures from January 2006 to December 2013. Outcome classification was
summed for intentionality and used as a basis for comparison of effect groups.
There were 45,097 cases of ethylene glycol exposures resulting in 154 deaths.
Individuals more likely to experience major effects or death were older, male,
and presented with more severe symptoms requiring higher levels of care. Latitude
and season did not correlate with increased exposures; however, there were more
exposures in rural areas. Denatonium benzoate use appeared to have no effect on
exposure severity or number. CONCLUSION: Deaths due to ethylene glycol exposure
were uncommon; however, there were major clinical effects and more exposures in
rural areas. Addition of denatonium benzoate was not associated with a reduction
in exposures. Alternative means to deter ingestion are needed. These findings
suggest the need to consider replacing ethylene glycol with alternative and less
toxic agents.
PMID- 26566025
TI - Factors Associated with Low-Level Viraemia and Virological Failure: Results from
the Austrian HIV Cohort Study.
AB - BACKGROUND: In human immunodeficiency virus treatment adequate virological
suppression is warranted, nevertheless for some patients it remains a challenge.
We investigated factors associated with low-level viraemia (LLV) and virological
failure (VF) under combined antiretroviral therapy (cART). MATERIALS AND METHODS:
We analysed patients receiving standard regimens between 1st July 2012 and 1st
July 2013 with at least one viral load (VL) measurement below the quantification
limit (BLQ) in their treatment history. After a minimum of 6 months of unmodified
cART, the next single VL measurement within 6 months was analysed. VF was defined
as HIV RNA levels >= 200 copies/mL and all other quantifiable measurements were
classified as LLV. Factors associated with LLV and VF compared to BLQ were
identified by logistic regression models. RESULTS: Of 2276 participants, 1972
(86.6%) were BLQ, 222 (9.8%) showed LLV and 82 (3.6%) had VF. A higher risk for
LLV and VF was shown in patients with cART interruptions and in patients with
boosted PI therapy. The risk for LLV and VF was lower in patients from centres
using the Abbott compared to the Roche assay to measure VL. A higher risk for LLV
but not for VF was found in patients with a higher VL before cART [for >99.999
copies/mL: aOR (95% CI): 4.19 (2.07-8.49); for 10.000-99.999 copies/mL: aOR (95%
CI): 2.52 (1.23-5.19)] and shorter cART duration [for <9 months: aOR (95% CI):
2.59 (1.38-4.86)]. A higher risk for VF but not for LLV was found in younger
patients [for <30 years: aOR (95% CI): 2.76 (1.03-7.35); for 30-50 years: aOR
(95% CI): 2.70 (1.26-5.79)], people originating from high prevalence countries
[aOR (95% CI): 2.20 (1.09-4.42)] and in male injecting drug users [aOR (95% CI):
2.72 (1.38-5.34)]. CONCLUSIONS: For both VF and LLV, factors associated with
adherence play a prominent role. Furthermore, performance characteristics of the
diagnostic assay used for VL quantification should also be taken into
consideration.
PMID- 26566026
TI - Loop-Mediated Isothermal Amplification for Laboratory Confirmation of Buruli
Ulcer Disease-Towards a Point-of-Care Test.
AB - BACKGROUND: As the major burden of Buruli ulcer disease (BUD) occurs in remote
rural areas, development of point-of-care (POC) tests is considered a research
priority to bring diagnostic services closer to the patients. Loop-mediated
isothermal amplification (LAMP), a simple, robust and cost-effective technology,
has been selected as a promising POC test candidate. Three BUD-specific LAMP
assays are available to date, but various technical challenges still hamper
decentralized application. To overcome the requirement of cold-chains for
transport and storage of reagents, the aim of this study was to establish a dry
reagent-based LAMP assay (DRB-LAMP) employing lyophilized reagents.
METHODOLOGY/PRINCIPAL FINDINGS: Following the design of an IS2404 based
conventional LAMP (cLAMP) assay suitable to apply lyophilized reagents, a
lyophylization protocol for the DRB-LAMP format was developed. Clinical
performance of cLAMP was validated through testing of 140 clinical samples from
91 suspected BUD cases by routine assays, i.e. IS2404 dry-reagent-based (DRB)
PCR, conventional IS2404 PCR (cPCR), IS2404 qPCR, compared to cLAMP. Whereas qPCR
rendered an additional 10% of confirmed cases and samples respectively, case
confirmation and positivity rates of DRB-PCR or cPCR (64.84% and 56.43%; 100%
concordant results in both assays) and cLAMP (62.64% and 52.86%) were comparable
and there was no significant difference between the sensitivity of the assays
(DRB PCR and cPCR, 86.76%; cLAMP, 83.82%). Likewise, sensitivity of cLAMP
(95.83%) and DRB-LAMP (91.67%) were comparable as determined on a set of 24
samples tested positive in all routine assays. CONCLUSIONS/SIGNIFICANCE: Both
LAMP formats constitute equivalent alternatives to conventional PCR techniques.
Provided the envisaged availability of field friendly DNA extraction formats,
both assays are suitable for decentralized laboratory confirmation of BUD,
whereby DRB-LAMP scores with the additional advantage of not requiring cold
chains. As validation of the assays was conducted in a third-level laboratory
environment, field based evaluation trials are necessary to determine the
clinical performance at peripheral health care level.
PMID- 26566027
TI - The Interferon-Induced Mx2 Inhibits Porcine Reproductive and Respiratory Syndrome
Virus Replication.
AB - Porcine reproductive and respiratory syndrome virus (PRRSV) causes one of the
most economically important diseases of swine in the world. Current vaccination
strategies provide only limited protection against PRRSV infection. Recently,
myxovirus resistance 2 (Mx2) has been identified as a novel interferon (IFN)
induced, innate immunity restriction factor that inhibits some viral infections.
However, the role of Mx2 in PRRSV infection is not well understood. In this
study, we cloned the full-length monkey Mx2 (mMx2) complementary DNA (cDNA) from
IFN-beta-treated African green monkey Marc-145 cells, and found that
overexpression of mMx2 inhibited PRRSV replication in Marc-145 cells. IFN-beta
induced expression of mMx2 in Marc-145 cells and suppressed PRRSV replication in
a dose-dependent manner. Knockdown of mMx2 impaired the antiviral activity
mediated by IFN-beta. Confocal imaging and immunoprecipitation assays indicated
that mMx2 interacted with PRRSV N protein in virus-infected cells. Furthermore,
we showed that GTPase activity of mMx2 is necessary, but that the first N
terminal 51 amino acids are dispensable for antiviral activity. Finally, porcine
Mx2 was also found to have the antiviral activity against PRRSV in Marc-145
cells. We conclude that mMx2 protein inhibits PRRSV replication by interaction
with the viral N protein.
PMID- 26566028
TI - Maternal, Infant Characteristics, Breastfeeding Techniques, and Initiation:
Structural Equation Modeling Approaches.
AB - OBJECTIVES: The aim of this study was to examine the relationships among maternal
and infant characteristics, breastfeeding techniques, and exclusive breastfeeding
initiation in different modes of birth using structural equation modeling
approaches. METHODS: We examined a hypothetical model based on integrating
concepts of a breastfeeding decision-making model, a breastfeeding initiation
model, and a social cognitive theory among 952 mother-infant dyads. The LATCH
breastfeeding assessment tool was used to evaluate breastfeeding techniques and
two infant feeding categories were used (exclusive and non-exclusive
breastfeeding). RESULTS: Structural equation models (SEM) showed that multiparity
was significantly positively associated with breastfeeding techniques and the
jaundice of an infant was significantly negatively related to exclusive
breastfeeding initiation. A multigroup analysis in the SEM showed no difference
between the caesarean section and vaginal delivery groups estimates of
breastfeeding techniques on exclusive breastfeeding initiation. Breastfeeding
techniques were significantly positively associated with exclusive breastfeeding
initiation in the entire sample and in the vaginal deliveries group. However,
breastfeeding techniques were not significantly associated with exclusive
breastfeeding initiation in the cesarean section group. Maternal age, maternal
race, gestations, birth weight of infant, and postnatal complications had no
significant impacts on breastfeeding techniques or exclusive breastfeeding
initiation in our study. Overall, the models fitted the data satisfactorily (GFI
= 0.979-0.987; AGFI = 0.951-0.962; IFI = 0.958-0.962; CFI = 0.955-0.960, and
RMSEA = 0.029-0.034). CONCLUSIONS: Multiparity and jaundice of an infant were
found to affect breastfeeding technique and exclusive breastfeeding initiation
respectively. Breastfeeding technique was related to exclusive breastfeeding
initiation according to the mode of birth. This relationship implies the
importance of early effective interventions among first-time mothers with
jaundice infants in improving breastfeeding techniques and promoting exclusive
breastfeeding initiation.
PMID- 26566029
TI - Three in One--Multiple Faunal Elements within an Endangered European Butterfly
Species.
AB - Ice ages within Europe forced many species to retreat to refugia, of which three
major biogeographic basic types can be distinguished: "Mediterranean",
"Continental" and "Alpine / Arctic" species. However, this classification often
fails to explain the complex phylogeography of European species with a wide range
of latitudinal and altitudinal distribution. Hence, we tested for the possibility
that all three mentioned faunal elements are represented within one species. Our
data was obtained by scoring 1,307 Euphydryas aurinia individuals (46 European
locations) for 17 allozyme loci, and sequencing a subset of 492 individuals (21
sites) for a 626 base pairs COI fragment. Genetic diversity indices, F
statistics, hierarchical analyses of molecular variance, individual-based
clustering, and networks were used to explore the phylogeographic patterns. The
COI fragment represented 18 haplotypes showing a strong geographic structure. All
but one allozyme loci analysed were polymorphic with a mean FST of 0.20,
supporting a pronounced among population structure. Interpretation of both
genetic marker systems, using several analytical tools, calls for the recognition
of twelve genetic groups. These analyses consistently distinguished different
groups in Iberia (2), Italy, Provence, Alps (3), Slovenia, Carpathian Basin, the
lowlands of West and Central Europe as well as Estonia, often with considerable
additional substructures. The genetic data strongly support the hypothesis that
E. aurinia survived the last glaciation in Mediterranean, extra-Mediterranean and
perialpine refugia. It is thus a rare example of a model organism that combines
attributes of faunal elements from all three of these sources. The observed
differences between allozymes and mtDNA most likely result from recent
introgression of mtDNA into nuclear allozyme groups. Our results indicate
discrepancies with the morphologically-based subspecies models, underlining the
need to revise the current taxonomy.
PMID- 26566031
TI - A Localization Method for Multistatic SAR Based on Convex Optimization.
AB - In traditional localization methods for Synthetic Aperture Radar (SAR), the
bistatic range sum (BRS) estimation and Doppler centroid estimation (DCE) are
needed for the calculation of target localization. However, the DCE error greatly
influences the localization accuracy. In this paper, a localization method for
multistatic SAR based on convex optimization without DCE is investigated and the
influence of BRS estimation error on localization accuracy is analysed. Firstly,
by using the information of each transmitter and receiver (T/R) pair and the
target in SAR image, the model functions of T/R pairs are constructed. Each model
function's maximum is on the circumference of the ellipse which is the iso-range
for its model function's T/R pair. Secondly, the target function whose maximum is
located at the position of the target is obtained by adding all model functions.
Thirdly, the target function is optimized based on gradient descent method to
obtain the position of the target. During the iteration process, principal
component analysis is implemented to guarantee the accuracy of the method and
improve the computational efficiency. The proposed method only utilizes BRSs of a
target in several focused images from multistatic SAR. Therefore, compared with
traditional localization methods for SAR, the proposed method greatly improves
the localization accuracy. The effectivity of the localization approach is
validated by simulation experiment.
PMID- 26566030
TI - PML/TRIM19-Dependent Inhibition of Retroviral Reverse-Transcription by Daxx.
AB - PML (Promyelocytic Leukemia protein), also known as TRIM19, belongs to the family
of tripartite motif (TRIM) proteins. PML is mainly expressed in the nucleus,
where it forms dynamic structures known as PML nuclear bodies that recruit many
other proteins, such as Sp100 and Daxx. While the role of PML/TRIM19 in antiviral
defense is well documented, its effect on HIV-1 infection remains unclear. Here
we show that infection by HIV-1 and other retroviruses triggers the formation of
PML cytoplasmic bodies, as early as 30 minutes post-infection. Quantification of
the number and size of PML cytoplasmic bodies revealed that they last
approximately 8 h, with a peak at 2 h post-infection. PML re-localization is
blocked by reverse-transcription inhibitors and is not observed following
infection with unrelated viruses, suggesting it is specifically triggered by
retroviral reverse-transcription. Furthermore, we show that PML interferes with
an early step of retroviral infection since PML knockdown dramatically increases
reverse-transcription efficiency. We demonstrate that PML does not inhibit
directly retroviral infection but acts through the stabilization of one of its
well-characterized partners, Daxx. In the presence of PML, cytoplasmic Daxx is
found in the vicinity of incoming HIV-1 capsids and inhibits reverse
transcription. Interestingly, Daxx not only interferes with exogenous retroviral
infections but can also inhibit retrotransposition of endogenous retroviruses,
thus identifying Daxx as a broad cellular inhibitor of reverse-transcription.
Altogether, these findings unravel a novel antiviral function for PML and PML
nuclear body-associated protein Daxx.
PMID- 26566032
TI - Anatomical Organization of Multiple Modulatory Inputs in a Rhythmic Motor System.
AB - In rhythmic motor systems, descending projection neuron inputs elicit distinct
outputs from their target central pattern generator (CPG) circuits. Projection
neuron activity is regulated by sensory inputs and inputs from other regions of
the nervous system, relaying information about the current status of an organism.
To gain insight into the organization of multiple inputs targeting a projection
neuron, we used the identified neuron MCN1 in the stomatogastric nervous system
of the crab, Cancer borealis. MCN1 originates in the commissural ganglion and
projects to the stomatogastric ganglion (STG). MCN1 activity is differentially
regulated by multiple inputs including neuroendocrine (POC) and proprioceptive
(GPR) neurons, to elicit distinct outputs from CPG circuits in the STG. We asked
whether these defined inputs are compact and spatially segregated or dispersed
and overlapping relative to their target projection neuron. Immunocytochemical
labeling, intracellular dye injection and three-dimensional (3D) confocal
microscopy revealed overlap of MCN1 neurites and POC and GPR terminals. The POC
neuron terminals form a defined neuroendocrine organ (anterior commissural organ:
ACO) that utilizes peptidergic paracrine signaling to act on MCN1. The MCN1
arborization consistently coincided with the ACO structure, despite morphological
variation between preparations. Contrary to a previous 2D study, our 3D analysis
revealed that GPR axons did not terminate in a compact bundle, but arborized more
extensively near MCN1, arguing against sparse connectivity of GPR onto MCN1.
Consistent innervation patterns suggest that integration of the sensory GPR and
peptidergic POC inputs occur through more distributed and more tightly
constrained anatomical interactions with their common modulatory projection
neuron target than anticipated.
PMID- 26566033
TI - Recombinant Hepatitis B Vaccine Adjuvanted With AS04 in Dialysis Patients: A
Prospective Cohort Study.
AB - BACKGROUND/AIMS: Patients undergoing maintenance dialysis have an unsatisfactory
response to vaccination, including to hepatitis B vaccine. A recombinant HB
vaccine containing a new adjuvant system AS04 (HBV-AS04) has been recently
developed; a few data exist on the immunogenicity and safety of HBV-AS04 among
patients undergoing regular dialysis. All hepatitis B virus-seronegative patients
with undetectable antibody against HBsAg undergoing maintenance dialysis at two
units were prospectively included. METHODS: Patients received four 20-mcg doses
of HBV-AS04 by intramuscular route (deltoid muscle) at months 0,1,2, and 3. Anti
HB surface antibody concentrations were measured at intervals of 1, 2, 3, 4, and
12 months. Univariate and multivariate analyses determined which parameters
predicted immunologic response to HBV-AS04 vaccine. RESULTS: 102 patients were
enrolled and 91 completed the study. At completion of the vaccination schedule,
using per-protocol analysis, 76 of 91 (84%) had antibody titers >=10 mIU/mL with
anti-HBs geometric antibody concentrations (GMCs) of 385.25 mIU/mL. The sero
protection rate at month 12 was 84% (48/57) with lower GMCs (62.74 mIU/mL,
P<0.0001). Multivariate analysis revealed a detrimental role of age on the immune
response to HB-AS04 vaccine (F Ratio, 4.04; P<0.04). Tolerance to HBV-AS04 was
good and only minor side-effects were observed. CONCLUSIONS: HBV-AS04 vaccine was
highly immunogenic in our cohort of patients on maintenance dialysis even if a
significant number of non-responders is still present. Prospective studies with
HBV-AS04 on larger study groups and with longer follow-ups are under way.
PMID- 26566035
TI - Food emulsions as delivery systems for flavor compounds: A review.
AB - Food flavor is an important attribute of quality food, and it largely determines
consumer food preference. Many food products exist as emulsions or experience
emulsification during processing, and therefore, a good understanding of flavor
release from emulsions is essential to design food with desirable flavor
characteristics. Emulsions are biphasic systems, where flavor compounds are
partitioning into different phases, and the releases can be modulated through
different ways. Emulsion ingredients, such as oils, emulsifiers, thickening
agents, can interact with flavor compounds, thus modifying the thermodynamic
behavior of flavor compounds. Emulsion structures, including droplet size and
size distribution, viscosity, interface thickness, etc., can influence flavor
component partition and their diffusion in the emulsions, resulting in different
release kinetics. When emulsions are consumed in the mouth, both emulsion
ingredients and structures undergo significant changes, resulting in different
flavor perception. Special design of emulsion structures in the water phase, oil
phase, and interface provides emulsions with great potential as delivery systems
to control flavor release in wider applications. This review provides an overview
of the current understanding of flavor release from emulsions, and how emulsions
can behave as delivery systems for flavor compounds to better design novel food
products with enhanced sensorial and nutritional attributes.
PMID- 26566034
TI - Conserved Molecular Underpinnings and Characterization of a Role for Caveolin-1
in the Tumor Microenvironment of Mature T-Cell Lymphomas.
AB - Neoplasms of extra-thymic T-cell origin represent a rare and difficult population
characterized by poor clinical outcome, aggressive presentation, and poorly
defined molecular characteristics. Much work has been done to gain greater
insights into distinguishing features among malignant subtypes, but there also
exists a need to identify unifying characteristics to assist in rapid diagnosis
and subsequent potential treatment. Herein, we investigated gene expression data
of five different mature T-cell lymphoma subtypes (n = 187) and found 21 genes to
be up- and down-regulated across all malignancies in comparison to healthy CD4(+)
and CD8(+) T-cell controls (n = 52). From these results, we sought to
characterize a role for caveolin-1 (CAV1), a gene with previous description in
the progression of both solid and hematological tumors. Caveolin-1 was
upregulated, albeit with a heterogeneous nature, across all mature T-cell
lymphoma subtypes, a finding confirmed using immunohistochemical staining on an
independent sampling of mature T-cell lymphoma biopsies (n = 65 cases). Further,
stratifying malignant samples in accordance with high and low CAV1 expression
revealed that higher expression of CAV1 in mature T-cell lymphomas is analogous
with an enhanced inflammatory and invasive gene expression profile. Taken
together, these results demonstrate a role for CAV1 in the tumor microenvironment
of mature T-cell malignancies and point toward potential prognostic implications.
PMID- 26566036
TI - Are Nutrient Stresses Associated with Enantioselectivity of the Chiral Herbicide
Imazethapyr in Arabidopsis thaliana?
AB - Plant growth can be inhibited by herbicides and is strongly limited by the
availability of nutrients, which can influence human health through the food
chain. Until now, however, cross talk between the enantioselectivity of
herbicides and nutrient stresses has been poorly understood. We analyzed trace
element and macroelement contents in shoots of Arabidopsis thaliana treated by
the chiral herbicide imazethapyr (IM) and observed that multiple-nutrient stress
(trace elements Mn, Cu, and Fe and macroelements P, K, Ca, and Mg) was
enantioselective. The (R)-IM treatments resulted in Mn 23.37%, Cu 63.53%, P
30.61%, K 63.70%, Ca 34.32%, and Mg 36.14% decreases compared with the control.
Interestingly, it was also found that herbicidally active (R)-IM induced notable
aggregation of nutrient elements in leaves and roots compared with the control
and (S)-IM. Through gene expression analyses, it was found that herbicidally
active (R)-IM induced the up- or down-regulation of genes involved in the
transport of nutrient elements. We propose that (R)-IM affected the uptake and
translocation of nutrient elements in A. thaliana, which destroyed the balance of
nutrient elements in the plant. This finding reminds us to reconsider the effect
of nutrient stresses in risk assessment of herbicides.
PMID- 26566037
TI - Women's experience of HIV as a chronic illness in South Africa: hard-earned
lives, biographical disruption and moral career.
AB - This article presents findings from a longitudinal qualitative study (48 in-depth
interviews with 12 women on antiretroviral treatment (ART)) exploring the
experience of living with HIV as a chronic illness in South Africa by applying
the structural and interactionist perspectives on chronic illness. The structural
perspective indicates that the illness experience needs to be contextualised
within the wider framework of the women's hard-earned lives: throughout the
interviews, the women tended to refuse singularising HIV/AIDS and continuously
framed the illness within the context of general hardship and adversity.
Employing an interactionist perspective, the repeated interviews demonstrated the
partial applicability of the concept of biographical disruption to the illness
experience: most women experienced feelings of denial and disbelief upon
diagnosis, but the availability of ART clearly mitigated the impact of HIV on
their biographies. In addition, our findings demonstrate that the interaction
between structural aspects, (stigmatising) social relations, and the illness (and
its treatment) determines the never-ending cycle of identity appraisals,
revisions and improvements, rendering the moral career of the HIV-positive women
on ART a continuous work in progress.
PMID- 26566038
TI - Differential Lesion Patterns on T2WI and FLAIR Sequences in Cryptogenic Stroke
Patients With Patent Foramen Ovale.
AB - OBJECTIVES: The purpose of this study was to determine lesion patterns and stroke
mechanisms in cryptogenic ischemic stroke patients with patent foramen ovale
(PFO) on T2-weighted magnetic resonance imaging and fluid-attenuated inversion
recovery sequences combined. PARTICIPANTS AND METHODS: Twenty-nine patients with
cryptogenic ischemic stroke and an isolated PFO (CS-PFO+ group) compared with 51
cryptogenic stroke patients without PFO (CS-PFO- group) were evaluated and the
characteristics of their lesion patterns on T2-weighted and fluid-attenuated
inversion recovery sequences combined were investigated. We compared the number,
the size, and the distribution of ischemic lesions on magnetic resonance imaging
between the 2 groups. RESULTS: Twenty-four of 29 patients had a total of 271
small ischemic lesions (diameter<1 cm) in the CS-PFO+ group against 24 of 51
patients with 156 small ischemic lesions in the CS-PFO- group, respectively;
11.29+/-8.14 and 6.36+/-4.33 ischemic lesions per person (P=0.015). Multiple
small ischemic lesions occurred more frequently in the CS-PFO+ group (20/29, 69%)
than in the CS-PFO- group (16/51, 31%, P=0.001). Subcortical frontal and parietal
infarct lesions were more frequent in the CS-PFO+ group (19/29, 66%) than in the
CS-PFO- group (18/51, 35%, P=0.009). CONCLUSIONS: Multiple small ischemic lesions
and subcortical frontal and parietal infarct lesions were significantly
associated with cryptogenic stroke patients with PFO, which suggested that
paradoxical embolism is the pathogenic mechanism in cryptogenic stroke patients
with PFO.
PMID- 26566039
TI - Cerebral Venous Thrombosis: Current and Newer Anticoagulant Treatment Options.
AB - BACKGROUND: Cerebral venous thrombosis (CVT) is rare and involves thrombosis of
the veins and sinuses of the brain, most commonly the superior sagittal sinus.
Approximately 5 CVT cases occur per 1 million persons in western countries. CVT
causes 0.5% of strokes. Early diagnosis is crucial to prevent such outcomes as
hydrocephalus, intracranial hypertension, and further seizures. Standard medical
treatment of CVT consists of low-molecular-weight heparin and endovascular
thrombolysis. Small case reports have found that the newer oral anticoagulants
can be used for CVT treatment; however, they are associated with increased risk
of bleeding and other adverse effects. REVIEW SUMMARY: CVT can be triggered by an
imbalance of the body's homeostasis or reduced action of the intrinsic
antithrombotic mechanism. Factors influencing this change include infection,
brain tumor, inflammatory conditions, genetic thrombophilias, head trauma that
causes intracranial bleeding, and certain medications. CVT may cause brain
infarction and increased intracranial pressure. Sometimes, idiopathic
intracranial hypertension presents as the only clinical manifestation.
Confirmation of the diagnosis typically is through neuroimaging. Current CVT
treatment depends on disease extent and severity. CONCLUSIONS: CVT is a rare
neurological disease with potentially serious implications and high neurological
morbidity and mortality rates. Understanding the role of risk factors-such as
genetic or acquired thrombophilia, pregnancy, use of oral contraceptives, and
hyperhomocysteinemia-in CVT development is important. Although heparin and
warfarin have been used for more than 50 years, newer oral anticoagulants (eg,
dabigatran, rivaroxaban, apixaban) might offer an alternative to traditional
therapy.
PMID- 26566040
TI - Bilateral Paramedian Thalamic Infarction.
AB - INTRODUCTION: Rarely, both paramedian thalami receive arterial blood flow from a
single unilateral vessel arising from the first segment of 1 posterior cerebral
artery. This artery has received the name of artery of Percheron (AP). There is
no consensus regarding the true prevalence of this anatomical variant. Bilateral
paramedian thalamic infarcts are uncommon (0.1% to 2% of ischemic strokes). The
main cause is the occlusion of the AP due to cardioembolism. Diffusion-weighted
magnetic resonance imaging demonstrates the lesion in the acute setting.
MATERIALS AND METHODS: From September 2004 to October 2011, we identified 5
patients who had bilateral paramedian thalamic infarcts. We describe clinical
findings and diagnostic imaging patterns observed in these cases and review the
literature. RESULTS: Three men and 2 women with bilateral paramedian thalamic
infarction probably due to occlusion of AP are described. Mean age at
presentation was 58+/-24 years. Magnetic resonance imaging showed the lesion in
all patients. Four patients presented loss of consciousness as initial symptom.
Only 1 patient evidenced mesencephalic extension of the infarct on magnetic
resonance imaging, although 4 presented abnormal ocular signs. No patients
received intravenous thrombolisis because of delayed diagnosis. All patients were
discharged home. A 90-year-old woman recovered completely and the other 4
subjects persisted with cognitive symptoms and gaze abnormalities. CONCLUSIONS:
Clinical presentation and imaging patterns described in this group of patients
were similar to published data. High level of suspicion based on clinical and
imaging findings is essential for early diagnosis of this rare condition. None of
our patients had an early diagnosis of acute ischemic stroke and received proper
thrombolytic treatment.
PMID- 26566041
TI - A Clinical Pitfall: Optimal Management of Single Dural-based Metastatic Carcinoma
of the Breast Mimicking Meningioma.
AB - Meningioma is the most common benign brain lesion in adults. Conservative
treatment is suggested if there is no obvious neurological symptom or mass
effect, but cerebral metastases require aggressive therapy. Single dural-based
metastatic carcinoma mimicking meningioma is uncommon. Here is a case of clinical
dilemma between meningioma and metastatic carcinoma mimicking meningioma. A woman
with a history of invasive ductal carcinoma of the breast presented with headache
and blurred vision. Brain computed tomography and magnetic resonance imaging
(MRI) both gave the impression of meningioma. After surgical resection of the
brain lesion, histopathology revealed that it was a metastatic lesion from the
breast. This report discussed the optimal management of single dural-based
metastatic carcinoma mimicking meningioma.
PMID- 26566044
TI - Toxoplasma's Taste for Exotic Fat.
AB - A new study reveals that an exotic lipid, phosphatidylthreonine, makes up a
substantial proportion of the membrane of a widespread human parasite and is
essential for its virulence. Read the Research Article.
PMID- 26566042
TI - Cross-Species Interaction between Rapidly Evolving Telomere-Specific Drosophila
Proteins.
AB - Telomere integrity in Drosophila melanogaster is maintained by a putative
multisubunit complex called terminin that is believed to act in analogy to the
mammalian shelterin complex in protecting chromosome ends from being recognized
as sites of DNA damage. The five proteins supposed to form the terminin complex
are HP1-ORC associated protein, HP1-HOAP interacting protein, Verrocchio,
Drosophila Telomere Loss/Modigliani and Heterochromatic Protein 1. Four of these
proteins evolve rapidly within the Drosophila genus. The accelerated evolution of
terminin components may indicate the involvement of these proteins in the process
by which new species arise, as the resulting divergence of terminin proteins
might prevent hybrid formation, thus driving speciation. However, terminin is not
an experimentally proven entity, and no biochemical studies have been performed
to investigate its assembly and action in detail. Motivated by these facts in
order to initiate biochemical studies on terminin function, we attempted to
reconstitute terminin by co-expressing its subunits in bacteria and investigated
the possible role of the fast-evolving parts of terminin components in complex
assembly. Our results suggest formation of stable subcomplexes of terminin, but
not of the whole complex in vitro. We found that the accelerated evolution is
restricted to definable regions of terminin components, and that the divergence
of D. melanogaster Drosophila Telomere Loss and D. yakuba Verrocchio proteins
does not preclude their stable interaction.
PMID- 26566043
TI - Blood Biomarkers Associated with Cognitive Decline in Early Stage and Drug-Naive
Parkinson's Disease Patients.
AB - Early diagnosis of Parkinson's disease (PD) continues to be a major challenge in
the field. The lack of a robust biomarker to detect early stage PD patients has
considerably slowed the progress toward the development of potential therapeutic
agents. We have previously evaluated several RNA biomarkers in whole blood from
participants enrolled in two independent clinical studies. In these studies, PD
patients were medicated, thus, expression of these biomarkers in de novo patients
remains unknown. To this end, we tested ten RNA biomarkers in blood samples from
99 untreated PD patients and 101 HC nested in the cross-sectional Parkinson's
Progression Markers Initiative by quantitative real-time PCR. One biomarker out
of ten, COPZ1 trended toward significance (nominal p = 0.009) when adjusting for
age, sex, and educational level. Further, COPZ1, EFTUD2 and PTBP1 mRNAs
correlated with clinical features in PD patients including the Hoehn and Yahr
scale, Movement Disorder Society revision of Unified Parkinson's Disease Rating
Scale (MDS-UPDRS) and Montreal Cognitive Assessment (MoCA) score. Levels of
EFTUD2 and PTBP1 were significantly higher in cognitively normal PD patients (PD
CN) compared to cognitively impaired PD patients (PD-MCI). Interestingly, blood
glucose levels were significantly higher in PD and PD-MCI patients (>= 100 mg/dL,
pre-diabetes) compared to HC. Collectively, we report the association of three
RNA biomarkers, COPZ1, EFTUD2 and PTBP1 with clinical features including
cognitive decline in early drug-naive PD patients. Further, our results show that
drug-naive PD and PD-MCI patients have glucose levels characteristic of pre
diabetes patients, suggesting that impaired glucose metabolism is an early event
in PD. Evaluation of these potential biomarkers in a larger longitudinal study is
warranted.
PMID- 26566045
TI - Genomic analyses of thermotolerant microorganisms used for high-temperature
fermentations.
AB - Environmental adaptation is considered as one of the most challenging subjects in
biology to understand evolutionary or ecological diversification processes and in
biotechnology to obtain useful microbial strains. Temperature is one of the
important environmental stresses; however, microbial adaptation to higher
temperatures has not been studied extensively. For industrial purposes, the use
of thermally adapted strains is important, not only to reduce the cooling
expenses of the fermentation system, but also to protect fermentation production
from accidental failure of thermal management. Recent progress in next-generation
sequencing provides a powerful tool to track the genomic changes of the adapted
strains and allows us to compare genomic DNA sequences of conventional strains
with those of their closely related thermotolerant strains. In this article, we
have attempted to summarize our recent approaches to produce thermotolerant
strains by thermal adaptation and comparative genomic analyses of Acetobacter
pasteurianus for high-temperature acetic acid fermentations, and Zymomonas
mobilis and Kluyveromyces marxianus for high-temperature ethanol fermentations.
Genomic analysis of the adapted strains has found a large number of mutations
and/or disruptions in highly diversified genes, which could be categorized into
groups related to cell surface functions, ion or amino acid transporters, and
some transcriptional factors. Furthermore, several phenotypic and genetic
analyses revealed that the thermal adaptation could lead to decreased ROS
generation in cells that produce higher ROS levels at higher temperatures. Thus,
it is suggested that the thermally adapted cells could become robust and
resistant to many stressors, and thus could be useful for high-temperature
fermentations.
PMID- 26566046
TI - Loss of mobility and the patient burden of multiple sclerosis: expert opinion on
relevance to daily clinical practice.
AB - BACKGROUND: Walking impairment is one of the most visible and important
manifestations of multiple sclerosis. MS Studies have consistently found that
walking impairment occurs early during MS, and recent studies suggest that the
greatest impact on socioeconomic outcomes occurs during the early stages of
disability. Walking impairment is often perceived by the affected individual
prior to its clinical manifestation, supporting the need for patient education
and walking assessments that may be self-administered. Patient perceptions should
lead to pre-emptive management strategies to maintain independence and delay the
need for walking assistive devices or caregiving. Patient management should also
include regular quantitative and qualitative assessments of walking by physicians
or other clinicians, such as nurse practitioners, physician assistants, or
physical therapists. METHODS: PubMed searches retrieved recent studies (November
2008 to August 2013) evaluating the contribution of walking impairment to the
burden of MS. RESULTS: Several objective measures of walking are available, such
as measures of walking speed (Timed 25-Foot Walk Test) and distance (2- or 6
Minute Walk); however, these measures may have practical considerations such as
time and space. Patient-reported measures, which should have a low administration
burden, include walking-specific (Multiple Sclerosis Walking Scale) and health
related quality-of-life outcome assessments. CONCLUSIONS: When used in tandem,
patient-reported and objective measures can help monitor changes and facilitate
patient-clinician discussions of problems, management strategies, and long-term
goals related to walking impairment.
PMID- 26566047
TI - A microwave molecular solution based approach towards high-kappa-tantalum(V)oxide
nanoparticles: synthesis, dielectric properties and electron paramagnetic
resonance spectroscopic studies of their defect chemistry.
AB - Stable dispersions of tantalum oxide nanoparticles are accessible from solutions
of tantalum(V) complexes with a mixed malonato and alkanolato ligand sphere in
ethoxyethanol by microwave processing. The malonato ligand is cleaved during
decomposition and acetic acid or acetic acid esters are formed as derived from in
situ spectroscopic studies. The solubility of the tantalum precursor and the
obtained particle size therefrom depend strongly on the type of alkanolato ligand
moiety. Dispersions of the molecular complexes possess good film forming
properties. Films with low surface roughness can be obtained by spincoating.
These exhibited a dielectric constant of about 15 and disruptive strengths above
1.5 MV cm(-1). The electrical measurements indicate that the presence of moisture
is detrimental with respect to the dielectric performance of the films. After
removal of the solvent from the suspensions of the nanoparticles, the residue can
be redispersed in aprotic solvents. The particles can be isolated therefrom by
precipitation with pentane. XRD and HRTEM indicate that the material remains
amorphous up to temperatures of 750 degrees C. XPS proved that only Ta2O5 is
formed as lower oxidation states of Ta cannot be detected. A detailed EPR study
allows us to gain insight into the surface defect chemistry. Multiple types of
oxygen vacancies exist at the surface of the Ta2O5 particles which are influenced
by additional calcination and annealing in a vacuum.
PMID- 26566048
TI - Salivary testosterone and cortisol are jointly related to pro-environmental
behavior in men.
AB - Recently, cortisol has been suggested to moderate the positive relationship
between testosterone and antisocial behavior. More precisely, high testosterone
levels have been found to be related to aggressive or dominant behavior
especially when cortisol levels were low. In the present study, we aimed to
extend these findings to pro-environmental behavior as an indicator of prosocial
behavior. In a first step, 147 male participants provided information on their
everyday pro-environmental behavior by completing an online questionnaire on
various energy-saving behaviors. In a second step, subjects provided two saliva
samples for the assessment of testosterone and cortisol on two subsequent
mornings after awakening. We found that testosterone was negatively related to
pro-environmental behavior, but only in men with low cortisol. In conclusion, our
findings provide first evidence for the joint association of testosterone and
cortisol with everyday pro-environmental behavior. These results further
reinforce the importance of considering interdependent hormone systems
simultaneously rather than focusing on a single hormone.
PMID- 26566049
TI - An unprecedented Pd-catalyzed decarboxylative coupling reaction of aromatic
carboxylic acids in aqueous medium under air: synthesis of 3-aryl-imidazo[1,2
a]pyridines from aryl chlorides.
AB - An efficient and practical protocol for palladium-catalyzed decarboxylative
arylation of imidazo[1,2-a]pyridine-3-carboxylic acids with aryl chlorides has
been developed. Note that the reaction could proceed smoothly without an additive
in aqueous medium under an ambient atmosphere, and the addition of H2O could
effectively promote the decarboxylative arylation. Particularly noteworthy is
that these results represent the first examples of Pd-catalyzed decarboxylative
coupling reactions of (hetero) aromatic carboxylic acids in aqueous medium under
air, and the first successful examples of the synthesis of 3-aryl-imidazo[1,2
a]pyridines using cheap, diverse aryl chlorides and heteroaryl chlorides as the
starting materials.
PMID- 26566050
TI - Photographic-Based Optical Evaluation of Tissues and Biomaterials Used for
Corneal Surface Repair: A New Easy-Applied Method.
AB - PURPOSE: Tissues and biomaterials used for corneal surface repair require
fulfilling specific optical standards prior to implantation in the patient.
However, there is not a feasible evaluation method to be applied in clinical or
Good Manufacturing Practice settings. In this study, we describe and assess an
innovative easy-applied photographic-based method (PBM) for measuring functional
optical blurring and transparency in corneal surface grafts. METHODS: Plastic
compressed collagen scaffolds (PCCS) and multilayered amniotic membranes (AM)
samples were optically and histologically evaluated. Transparency and image
blurring measures were obtained by PBM, analyzing photographic images of a
standardized band pattern taken through the samples. These measures were compared
and correlated to those obtained applying the Inverse Adding-Doubling (IAD)
technique, which is the gold standard method. RESULTS: All the samples used for
optical evaluation by PBM or IAD were histological suitable. PCCS samples
presented transmittance values higher than 60%, values that increased with
increasing wavelength as determined by IAD. The PBM indicated that PCCS had a
transparency ratio (TR) value of 80.3 +/- 2.8%, with a blurring index (BI) of
50.6 +/- 4.2%. TR and BI obtained from the PBM showed a high correlation
(rho>|0.6|) with the diffuse transmittance and the diffuse reflectance, both
determined using the IAD (p<0.005). The AM optical properties showed that there
was a largely linear relationship between the blurring and the number of amnion
layers, with more layers producing greater blurring. CONCLUSIONS: This innovative
proposed method represents an easy-applied technique for evaluating transparency
and blurriness of tissues and biomaterials used for corneal surface repair.
PMID- 26566053
TI - Diagnosis of Asbestos-Related Diseases: The Mineralogist and Pathologist's Role
in Medicolegal Field.
AB - Because asbestos diseases represent a complex pattern of legal, social, and
political issue, the involvement of the mineralogist and pathologist for a
multidisciplinary assessment of its diagnosis helps investigate the relationship
between mesothelioma or lung cancer and occupational or environmental asbestos
exposure.In the present study, we consider the concentrations of asbestos bodies
(ABs) detected by optical microscopy (OM) and scanning electron microscopy (SEM)
and the burden of different kinds of mineral fibers (among which is asbestos)
identified by SEM combined with an energy dispersive spectrometer (EDS), in 10
lung tissue samples of subjects with occupational and nonoccupational exposure to
asbestos.In all subjects with occupational exposure to asbestos, more than 1000
ABs per gram of dry weight were detected both with OM and SEM; this concentration
is internationally accepted as suggesting high probability of past occupational
exposure to asbestos.In 9 lung samples of the 10 investigated by SEM-EDS
different inorganic fibers were found. Asbestos fibers have been identified too,
and more than 100,000 fibers per gram of dry weight were detected in subjects
with occupational exposure; this concentration is internationally accepted as
suggesting high probability of past occupational exposure to asbestos.Instead,
when the ABs burden is low or moderate (such as in subjects with absent or
probable asbestos exposure), the correlation between ABs concentration determined
by OM and those determined by SEM is lost. Therefore, when the ABs value in OM is
borderline, the SEM investigation became essential. Furthermore, the
mineralogical analysis by SEM-EDS (identification and quantification of inorganic
fibers in general and asbestos in particular) of the fibers detected in the lung
tissues is very useful, if not necessary, to complete the pathological diagnosis
of asbestos-related malignancies in medicolegal field.
PMID- 26566051
TI - Autophagy of metallothioneins prevents TNF-induced oxidative stress and toxicity
in hepatoma cells.
AB - Lysosomal membrane permeabilization (LMP) induced by oxidative stress has
recently emerged as a prominent mechanism behind TNF cytotoxicity. This pathway
relies on diffusion of hydrogen peroxide into lysosomes containing redox-active
iron, accumulated by breakdown of iron-containing proteins and subcellular
organelles. Upon oxidative lysosomal damage, LMP allows relocation to the
cytoplasm of low mass iron and acidic hydrolases that contribute to DNA and
mitochondrial damage, resulting in death by apoptosis or necrosis. Here we
investigate the role of lysosomes and free iron in death of HTC cells, a rat
hepatoma line, exposed to TNF following metallothionein (MT) upregulation. Iron
binding MT does not normally occur in HTC cells in significant amounts.
Intracellular iron chelation attenuates TNF and cycloheximide (CHX)-induced LMP
and cell death, demonstrating the critical role of this transition metal in
mediating cytokine lethality. MT upregulation, combined with starvation-activated
MT autophagy almost completely suppresses TNF and CHX toxicity, while impairment
of both autophagy and MT upregulation by silencing of Atg7, and Mt1a and/or Mt2a,
respectively, abrogates protection. Interestingly, MT upregulation by itself has
little effect, while stimulated autophagy alone depresses cytokine toxicity to
some degree. These results provide evidence that intralysosomal iron-catalyzed
redox reactions play a key role in TNF and CHX-induced LMP and toxicity. The
finding that chelation of intralysosomal iron achieved by autophagic delivery of
MT, and to some degree probably of other iron-binding proteins as well, into the
lysosomal compartment is highly protective provides a putative mechanism to
explain autophagy-related suppression of death by TNF and CHX.
PMID- 26566052
TI - Saturation monitoring of VX15/2503, a novel semaphorin 4D-specific antibody, in
clinical trials.
AB - BACKGROUND: Receptor occupancy, or saturation, assays are often utilized in
preclinical and clinical development programs to evaluate the binding of a
biologic to a cellular target. These assays provide critical information
regarding the dose of drug required to "saturate" the target as well as important
pharmacodymamic (PD) data. A flow cytometric method was developed to measure the
degree of Semaphorin 4D (SEMA4D; CD100) saturation by VX15/2303, an
investigational monoclonal antibody specific for SEMA4D. METHODS: The assay
detects VX15/2503, a human IgG4 specific for SEMA4D, with an IgG4 -specific
monoclonal antibody. RESULTS: Data generated allowed assessment of two related
SEMA4D-specific pharmacodynamic (PD) markers: (1) The measurement of cellular
SEMA4D (cSEMA4D) saturation by VX15/2503, and (2) the cell membrane expression
levels of cSEMA4D. CONCLUSIONS: This assay specifically and reproducibly measured
cSEMA4D saturation and expression levels. Evaluation of the SEMA4D-specific PD
markers were critical in determining the clinical saturation threshold of cSEMA4D
by VX15/2503.
PMID- 26566054
TI - Sudden Death in Sauna Due to Fatal Burns: A Case Report.
AB - Sudden death during or after sauna bathing is a rare event. When occurring
accidentally, it is often caused or contributed by consumption of ethanol. To
accidentally burn to death because of hot air is highly uncommon without some
contributing factor that lowers the person's consciousness. Hot air burns have
been reported to develop in 20 to 60 minutes. We present a case of sudden death
of a healthy man with rare and extensive hot air burns that developed in less
than 10 minutes in the sauna. Ethanol was not a contributing factor. Substantial
injuries were found at the autopsy, both external and internal, for instance,
small hemorrhages in the stomach mucosa, indicating a heavy antemortem stress
reaction. The most probable reason for the extensive scalds was concluded to be,
apart from the high temperature, the high degree of relative humidity in the
sauna.
PMID- 26566055
TI - Genome-wide association study identifies candidate loci associated with
postoperative fentanyl requirements after laparoscopic-assisted colectomy.
AB - AIMS: Opioids are widely used as effective analgesics, but opioid sensitivity is
well known to vary widely among individuals and the underlying genetic factors
are not fully understood, thus hampering efficient pain treatment. We explored
the genetic factors that contribute to individual differences in opioid
sensitivity by performing a genome-wide association study. METHODS: We conducted
a multistage genome-wide association study in subjects who underwent laparoscopic
assisted colectomy (LAC). RESULTS: A nonsynonymous SNP in the LAMB3 gene region,
rs2076222, was strongly associated with postoperative opioid requirements. The C
allele of this best-candidate SNP was associated with lower opioid sensitivity
and/or higher pain sensitivity in the patient subjects. CONCLUSION: Our findings
provide valuable information for personalized pain treatment after LAC, in which
the C allele of the rs2076222 SNP is associated with lower opioid sensitivity and
requires more opioid analgesic after LAC.
PMID- 26566056
TI - Membrane and Capillary Components of Lung Diffusion in Infants with
Bronchopulmonary Dysplasia.
AB - RATIONALE: Autopsied lungs of infants with bronchopulmonary dysplasia (BPD)
demonstrate impaired alveolar development with larger and fewer alveoli, which is
consistent with our previous physiologic findings of lower pulmonary diffusing
capacity of the lung for carbon monoxide (DL(CO)) in infants and toddlers with
BPD compared with healthy controls born at full term (FT). However, it is not
known whether the decreased DL(CO) in infants with BPD results from a reduction
in both components of DL(CO): pulmonary membrane diffusing capacity (D(M)) and
Vc. OBJECTIVES: We hypothesized that impairment of alveolar development in BPD
results in a decrease in both D(M) and Vc components of DlCO but that the D(M)/Vc
ratio would not differ between the BPD and FT groups. METHODS: DL(CO) was
measured under conditions of room air and high inspired oxygen (90%), which
enabled D(M) and Vc to be calculated. MEASUREMENTS AND MAIN RESULTS: D(M) and Vc
increased with increasing body length; however, infants with BPD had
significantly lower D(M) and Vc than FT subjects after adjustment for race, sex,
body length, and corrected age. In contrast to D(M) and Vc, the D(M)/Vc ratio
remained constant with increasing body length and did not differ for infants with
BPD and FT subjects. CONCLUSIONS: Our findings are consistent with infants with
BPD having impaired alveolar development with fewer but larger alveoli, as well
as a reduced Vc.
PMID- 26566057
TI - Efficacy and safety of a switch to rilpivirine-based regimens in treatment
experienced HIV-1-infected patients: a cohort study.
AB - BACKGROUND: Rilpivirine (RPV) is a second-generation once-daily non-nucleoside
reverse transcriptase inhibitor (NNRTI) which has shown non-inferior antiviral
activity to efavirenz in treatment-naive patients. Data in treatment-experienced
patients are more limited. We wished to assess the efficacy and safety of a
switch to RPV-based regimens in well-suppressed treatment-experienced patients.
METHODS: Between September 2012 and June 2013, all antiretroviral therapy (ART)
experienced HIV-1-infected patients with a plasma HIV RNA level <50 copies/ml,
and switching to an RPV-based regimen, were analysed in this retrospective
observational monocentric cohort study. The primary end point was the proportion
of patients with virological success defined as a plasma HIV RNA level <50
copies/ml at 12 months using the FDA snapshot algorithm. RESULTS: A total of 281
participants were studied and 97% received a combination of RPV/tenofovir
disoproxil fumarate/emtricitabine. At month 12, the rate of virological success
was 59% and increased to 72% using available data beyond month 12. Sixteen (6%)
patients experienced virological failure, which was associated with the presence
of the M184V/I resistance mutation in prior genotypes (P=0.02) and the use of a
non-NNRTI as third agent before the switch (P=0.03). RPV-based regimens were
overall well tolerated and only 23 (8%) patients discontinued ART because of
adverse events, mostly neuropsychiatric adverse events. Switching to RPV was
associated with significant but modest improvement of the lipid profile.
CONCLUSIONS: In patients fully suppressed on ART, a switch to an RPV-based
regimen should only be considered in the absence of prior virological failure or
resistance mutations to nucleoside reverse transcriptase inhibitors and NNRTIs to
avoid virological failures.
PMID- 26566058
TI - The Association Between Renin-Angiotensin System Blockade and Long-term Outcomes
in Renal Transplant Recipients: The Wisconsin Allograft Recipient Database
(WisARD).
AB - BACKGROUND: Renin-angiotensin system (RAS) blockade reduces mortality in the
general population and among non-dialysis-dependent patients with chronic kidney
disease. The RAS blockade also decreases proteinuria and protects renal function
in non-transplant patients with chronic kidney disease. It remains controversial,
however, whether this translates to improved patient or graft survival among
transplant recipients. METHODS: We analyzed 2684 primary kidney transplant
recipients at the University of Wisconsin in 1994 to 2010 who had a functioning
graft at 6 months after transplantation. We assessed the association of RAS
blockade with patient and graft survival using time-dependent Cox and marginal
structural models. RESULTS: Three hundred seventy-seven deaths and 329 graft
failures before death (638 total graft losses) occurred during a median of 5.4
years of follow-up. The RAS blockade was associated with an adjusted-hazard ratio
of 0.63 (95% confidence interval, 0.53-0.75) for total graft loss, 0.69 (0.55
0.86) for death, and 0.62 (0.49-0.78) for death-censored graft failure. The
associations of RAS blockade with a lower risk of total graft loss and mortality
were stronger with more severe proteinuria. The RAS blockade was associated with
a 2-fold higher risk of hyperkalemia. CONCLUSIONS: Our findings suggest RAS
blockade is associated with better patient and graft survival in renal transplant
recipients.
PMID- 26566059
TI - The Real World Impact of APOL1 Variants on Kidney Transplantation.
PMID- 26566060
TI - APOL1 Genotype and Kidney Transplantation Outcomes From Deceased African American
Donors.
AB - BACKGROUND: Two apolipoprotein L1 gene (APOL1) renal-risk variants in donors and
African American (AA) recipient race are associated with worse allograft survival
in deceased-donor kidney transplantation (DDKT) from AA donors. To detect other
factors impacting allograft survival from deceased AA kidney donors, APOL1 renal
risk variants were genotyped in additional AA kidney donors. METHODS: The APOL1
genotypes were linked to outcomes in 478 newly analyzed DDKTs in the Scientific
Registry of Transplant Recipients. Multivariate analyses accounting for recipient
age, sex, race, panel-reactive antibody level, HLA match, cold ischemia time,
donor age, and expanded criteria donation were performed. These 478
transplantations and 675 DDKTs from a prior report were jointly analyzed.
RESULTS: Fully adjusted analyses limited to the new 478 DDKTs replicated shorter
renal allograft survival in recipients of APOL1 2-renal-risk-variant kidneys
(hazard ratio [HR], 2.00; P = 0.03). Combined analysis of 1153 DDKTs from AA
donors revealed donor APOL1 high-risk genotype (HR, 2.05; P = 3 * 10), older
donor age (HR, 1.18; P = 0.05), and younger recipient age (HR, 0.70; P = 0.001)
adversely impacted allograft survival. Although prolonged allograft survival was
seen in many recipients of APOL1 2-renal-risk-variant kidneys, follow-up serum
creatinine concentrations were higher than that in recipients of 0/1 APOL1 renal
risk-variant kidneys. A competing risk analysis revealed that APOL1 impacted
renal allograft survival, but not recipient survival. Interactions between donor
age and APOL1 genotype on renal allograft survival were nonsignificant.
CONCLUSIONS: Shorter renal allograft survival is reproducibly observed after DDKT
from APOL1 2-renal-risk-variant donors. Younger recipient age and older donor age
have independent adverse effects on renal allograft survival.
PMID- 26566061
TI - Relationship of hepatic fibrosis, cirrhosis, and mortality with cholecystectomy
in patients with hepatitis C virus infection.
AB - OBJECTIVES: Studies have suggested that cholecystectomy is a risk factor for
nonalcoholic fatty liver disease, but it is not known whether cholecystectomy is
a risk factor for the progression of other chronic liver diseases such as
hepatitis C virus (HCV) infection. The aim of this study was to assess whether
cholecystectomy is associated with an increase in fibrosis, cirrhosis, and
cirrhosis-related complications in patients with chronic HCV infection. METHODS:
Among a total of 3989 HCV-positive patients at the VA North Texas Health Care
System, we retrospectively reviewed the records of 88 patients who had undergone
cholecystectomy between 1998 and 2013, followed up for a median of 4.9 years. We
compared the outcomes of these patients with those of two age-matched, race
matched, and sex-matched cohorts: a cohort consisting of 129 HCV-positive
patients without gallbladder disease (GBD) and a second cohort consisting of 178
HCV-positive patients with GBD who had not undergone cholecystectomy.
Demographics, presence of metabolic syndrome, alcohol use, laboratory data, and
clinical progression of liver disease were compared at study entry and 5 years
later. RESULTS: Controlling for multiple factors associated with increase in
liver fibrosis, analyses confirmed that a there was an increase in the proportion
of patients who developed cirrhosis [odds ratio (OR)=3.24, 95% confidence
interval (CI) 1.57-6.68, P=0.001] and ascites (OR=3.01, 95% CI 1.14-7.97,
P=0.026) as well as in the incidence of death (OR=6.29, 95% CI 2.13-18.59,
P=0.001) 5 years after cohort entry among HCV-positive patients with
cholecystectomy compared with HCV-positive controls. The HCV-positive patient
group with previous cholecystectomy showed an increased incidence of cirrhosis
(OR=2.43, 95% CI 1.34-4.41, P=0.004), hepatocellular carcinoma (OR=2.85, 95% CI
1.11-7.36, P=0.030), and death (OR=3.31, 95% CI 1.50-7.28, P=0.003) 5 years after
cohort entry compared with HCV-positive controls with GBD who had not undergone
cholecystectomy. CONCLUSION: Cholecystectomy among HCV-positive patients is
associated an increased incidence of fibrosis, cirrhosis, and its complications
(ascites, hepatocellular carcinoma, and death) compared with HCV-positive
controls and HCV-positive patients with GBD who have not undergone
cholecystectomy.
PMID- 26566062
TI - Short article: Remicade infusions at home: an alternative setting of infliximab
therapy for patients with Crohn's disease.
AB - OBJECTIVE: Infliximab maintenance treatment for Crohn's disease (CD) consists of
intravenous infusions that are usually given at 6-8-week intervals. We aimed to
evaluate whether home-based infliximab infusions could offer a useful and safe
alternative for the management of CD patients. METHODS: Adult CD patients
receiving infliximab maintenance treatment at the Academic Medical Center in
Amsterdam were invited to receive their infusions at home for the duration of 1
year. Patients had to be in clinical remission and should have had no adverse
events during previous infusions. Patient satisfaction and experience were
studied. Costs were analyzed and compared with hospital-based infliximab
infusions. RESULTS: Twenty-nine patients were invited, of whom 13 (45%) wanted to
participate. Of the participants, 54% were female, and the median age was 33
years. In total, 59 infliximab infusions were administered at home at a median
dose of 360 mg. The median rating of patient satisfaction was 8 on a scale from 1
to 10 for both home and hospital treatment settings. An important observation was
that patients' willingness to participate would have been 70% if the possibility
of receiving infusions at home outside office hours had been offered. Costs of
infliximab infusions at home were ?229 per infusion compared with ?284 at the
infusion clinic (excluding drug costs). CONCLUSION: Home-based infliximab
infusions were associated with a cost saving of ?55 per infusion. Most
participants were satisfied and would recommend home-based infusions to others.
Infliximab treatment at home might be recommended as routine care for CD
patients.
PMID- 26566063
TI - Extranodal extension in N1-adenocarcinoma of the pancreas and papilla of Vater: a
systematic review and meta-analysis of its prognostic significance.
AB - The aim of the study was to investigate the prognostic role of extranodal
extension (ENE) of lymph node metastasis in adenocarcinoma of the pancreas (PDAC)
and papilla [cancer of the papilla of Vater (CPV)]. A PubMed and SCOPUS search
from database inception until 5 January 2015 without language restrictions was
conducted. Eligible were prospective studies reporting data on prognostic
parameters in individuals with PDAC and/or CPV, comparing participants with the
presence of ENE (ENE+) with those with intranodal extension (ENE-). Data were
summarized using risk ratios for number of deaths/recurrences and hazard ratios
for time-dependent risk related to ENE+, adjusted for potential confounders. ENE
was found to be very common in these tumors (up to about 60% in both N1-PDAC and
CPV), leading to a significant increased risk for all-cause mortality [risk
ratio=1.20; 95% confidence interval (CI): 1.06-1.35, P=0.003, I(2)=44%; hazard
ratio=1.415, 95% CI: 1.215-1.650, P<0.0001, I(2)=0%] and recurrence of disease
(risk ratio=1.20, 95% CI: 1.03-1.40, P=0.02, I(2)=0%). On the basis of our
results, in PDAC and CPV, ENE should be considered mandatorily from the gross
sampling and pathology report to the oncologic staging and therapeutic approach.
PMID- 26566065
TI - Rod Migration Into the Spinal Canal After Posterior Instrumented Fusion Causing
Late-Onset Neurological Symptoms.
AB - BACKGROUND: Rod migration into the spinal canal after posterior instrumented
fusion is a rare complication causing late-onset neurological symptoms. The
purpose of the present study is to report a case of a 13-year-old boy with
spastic cerebral palsy and related neuromuscular kyphoscoliosis who developed
late-onset neurological deterioration secondary to progressive implant migration
into the spinal canal over a 5-year period. METHODS: A decision was made to
remove both rods to achieve decompression. Intraoperative findings were
consistent with information gained from preoperative imaging. The rods were found
to have an intracanal trajectory at T9-T10 for the right rod and T12-L2 for the
left rod. RESULTS: The cause of implant migration, with progressive laminar
erosion slow enough to generate a solid mass behind, was progressive kyphosis in
a skeletally immature patient with neuromuscular compromise. CONCLUSIONS:
Fixation type, early surgery, and spasticity management contributed significantly
to the presenting condition. Mechanical factors and timing of surgery played a
decisive role in this particular presentation. LEVEL OF EVIDENCE: Level IV--Case
report and review of the literature.
PMID- 26566064
TI - AASLD guidelines for treatment of chronic hepatitis B.
PMID- 26566066
TI - The Classification for Early-onset Scoliosis (C-EOS) Correlates With the Speed of
Vertical Expandable Prosthetic Titanium Rib (VEPTR) Proximal Anchor Failure.
AB - BACKGROUND: The Classification for Early-onset Scoliosis (C-EOS) was developed by
a consortium of early-onset scoliosis (EOS) surgeons. This study aims to examine
if the C-EOS classification correlates with the speed (failure/unit time) of
proximal anchor failure in EOS surgery patients. METHODS: A total of 106 EOS
patients were retrospectively queried from an EOS database. All patients were
treated with vertical expandable prosthetic titanium rib and experienced proximal
anchor failure. Patients were classified by the C-EOS, which includes a term for
etiology [C: Congenital (54.2%), M: Neuromuscular (32.3%), S: Syndromic (8.3%),
I: Idiopathic (5.2%)], major curve angle [1: <=20 degrees (0%), 2: 21 to 50
degrees (15.6%), 3: 51 to 90 degrees (66.7%), 4: >90 degrees (17.7%)], and
kyphosis ["-": <=20 (13.5%), "N": 21 to 50 (42.7%), "+": >50 (43.8%)]. Outcome
was measured by time and number of lengthenings to failure. RESULTS: Analyzing C
EOS classes with >3 subjects, survival analysis demonstrates that the C-EOS
discriminates low, medium, and high speed of failure. The low speed of failure
group consisted of congenital/51-90/hypokyphosis (C3-) class. The medium-speed
group consisted of congenital/51-90/normal and hyperkyphosis (C3N, C3+), and
neuromuscular/51-90/hyperkyphosis (M3+) classes. The high-speed group consisted
of neuromuscular/51-90/normal kyphosis (M3N), and neuromuscular/>90/normal and
hyperkyphosis (M4N, M4+) classes. Significant differences were found in time
(P<0.05) and number of expansions (P<0.05) before failure between congenital and
neuromuscular classes.As isolated variables, neuromuscular etiology experienced a
significantly faster time to failure compared with patients with idiopathic
(P<0.001) and congenital (P=0.026) etiology. Patients with a major curve angle
>90 degrees demonstrated significantly faster speed of failure compared with
patients with major curve angle 21 to 50 degrees (P=0.011). CONCLUSIONS: The
ability of the C-EOS to discriminate the speeds of failure of the various
classification subgroups supports its validity and demonstrates its potential use
in guiding decision making. Further experience with the C-EOS may allow more
tailored treatment, and perhaps better outcomes of patients with EOS. LEVEL OF
EVIDENCE: Level III.
PMID- 26566068
TI - Assembly of Lock-and-Key Colloids Mediated by Polymeric Depletant.
AB - Polymer-mediated lock-and-key assembly via depletion attraction is purely a shape
recognition process without any molecular bonding. Since the depletion attraction
relates to osmotic pressure and excluded volume, the binding tendency in a
dispersion of lock-and-key colloids can be controlled by adjusting the
characteristics of polymeric depletants. In this work, dissipative particle
dynamics accounting for explicit solvents, polymers, and multiple lock-key pairs
are performed to investigate the influences of the polymer concentration, chain
length, solvent quality, and chain stiffness. As the polymer concentration
associated with osmotic pressure is increased, the binding free energy (E(b))
between a lock-key pair rises linearly and the binding fraction (theta(LK)) in
the dispersion grows sigmoidally. Moreover, the increases in the chain length,
solvent quality, and chain stiffness lead to the expansion of the polymer size
associated with excluded volume and thus both E(b) and theta(LK) rise
accordingly. However, E(b) and theta(LK) grow to be insensitive to the chain
length for long enough polymer coils but still can be enhanced if the polymer
becomes rod-like. As the solvent quality is varied, theta(LK) can be dramatically
altered, although the radius of gyration of polymers is slightly changed.
PMID- 26566067
TI - Redox Reactivity of Cerium Oxide Nanoparticles Induces the Formation of Disulfide
Bridges in Thiol-Containing Biomolecules.
AB - The redox state of disulfide bonds is implicated in many redox control systems,
such as the cysteine-cystine couple. Among proteins, ubiquitous cysteine-rich
metallothioneins possess thiolate metal binding groups susceptible to metal
exchange in detoxification processes. CeO2 NPs are commonly used in various
industrial applications due to their redox properties. These redox properties
that enable dual oxidation states (Ce(IV)/Ce(III)) to exist at their surface may
act as oxidants for biomolecules. The interaction among metallothioneins,
cysteine, and CeO2 NPs was investigated through various biophysical approaches to
shed light on the potential effects of the Ce(4+)/Ce(3+) redox system on the
thiol groups of these biomolecules. The possible reaction mechanisms include the
formation of a disulfide bridge/Ce(III) complex resulting from the interaction
between Ce(IV) and the thiol groups, leading to metal unloading from the MTs,
depending on their metal content and cluster type. The formation of stable Ce(3+)
disulfide complexes has been demonstrated via their fluorescence properties. This
work provides the first evidence of thiol concentration-dependent catalytic
oxidation mechanisms between pristine CeO2 NPs and thiol-containing biomolecules.
PMID- 26566069
TI - Beyond initiation-limited translational bursting: the effects of burst size
distributions on the stability of gene expression.
AB - A main source of gene expression noise in prokaryotes is translational bursting.
It arises from efficient translation of mRNAs with low copy numbers, which makes
the production of protein copies highly variable and pulsatile. To obtain
analytical solutions, previous models to capture this noise source had to assume
translation to be initiation-limited, representing the burst size by a specific
type of a long-tail distribution. However, there is increasing evidence
suggesting that the initiation is not the rate-limiting step in certain settings,
for example, under stress conditions. Here, to overcome the limitations imposed
by the initiation-limited assumption, we present a new analytical approach that
can evaluate biological consequences of the protein burst size with a general
distribution. Since our new model can capture the contribution of other factors
to the translational noise, it can be used to analyze the effects of gene
expression noise in more general settings. We used this new model to analytically
analyze the connection between the burst size and the stability of gene
expression processes in various settings. We found that the burst size with
different distributions can lead to quantitatively and qualitatively different
stability characteristics of protein abundance and can have non-intuitive
effects. By allowing analysis of how the stability of gene expression processes
changes based on various distributions of translational noise, our analytical
approach is expected to enable deeper insights into the control of cell fate
decision-making, the evolution of cryptic genetic variations, and fine-tuning of
gene circuits.
PMID- 26566070
TI - Structural Chemistry of Human RNA Methyltransferases.
AB - RNA methyltransferases (RNMTs) play important roles in RNA stability, splicing,
and epigenetic mechanisms. They constitute a promising target class that is
underexplored by the medicinal chemistry community. Information of relevance to
drug design can be extracted from the rich structural coverage of human RNMTs. In
this work, the structural chemistry of this protein family is analyzed in depth.
Unlike most methyltransferases, RNMTs generally feature a substrate-binding site
that is largely open on the cofactor-binding pocket, favoring the design of
bisubstrate inhibitors. Substrate purine or pyrimidines are often sandwiched
between hydrophobic walls that can accommodate planar ring systems. When the
substrate base is laying on a shallow surface, a 5' flanking base is sometimes
anchored in a druggable cavity. The cofactor-binding site is structurally more
diverse than in protein methyltransferases and more druggable in SPOUT than in
Rossman-fold enzymes. Finally, conformational plasticity observed both at the
substrate and cofactor binding sites may be a challenge for structure-based drug
design. The landscape drawn here may inform ongoing efforts toward the discovery
of the first human RNMT inhibitors.
PMID- 26566071
TI - History and future of omega-3 fatty acids in cardiovascular disease.
AB - BACKGROUND/OBJECTIVES: Epidemiological, diet-based, and some interventional
outcomes studies suggest that polyunsaturated omega-3 fatty acids (OM3FAs),
specifically eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA), confer
cardiovascular protection in some patient populations. This review examines the
historical context of OM3FAs in cardiovascular disease and future perspectives on
the place of OM3FA products in reducing cardiovascular risk. METHODS: Relevant
articles were identified via PubMed/Medline and Google Scholar searches through
2015 and through reference lists of selected publications. Articles determined by
the authors to be relevant to the topic of this review were included. RESULTS:
Review of the identified articles indicated that inconsistent results among
interventional outcomes studies have been attributed to use of low doses of
OM3FAs, patient cohorts with non-elevated triglyceride (TG) levels, differential
use of concomitant statin therapy, and study designs with insufficient
statistical power. Several prescription OM3FA products are now approved as an
adjunct to diet to reduce TG levels in adults with severe (>=500 mg/dL)
hypertriglyceridemia. Most formulations contain both EPA and DHA; one formulation
contains purified EPA. In randomized controlled trials, these products
significantly reduced TG levels in patients with very high TG levels (>=500 mg/dL
[>=13.0 mmol/L]) and in statin-treated patients with high TG levels (200-499
mg/dL [5.2-12.9 mmol/L]). The DHA-containing products raised LDL-C levels in
these studies, whereas the EPA-only product had no effect on LDL-C, suggesting
that all OM3FA prescription products are not therapeutically equivalent. Besides
lowering TG levels, OM3FAs (particularly EPA) exert anti-inflammatory effects and
may slow multiple atherogenic processes. Two well designed interventional
outcomes studies (REDUCE-IT and STRENGTH) are evaluating prescription-strength,
high-dose OM3FAs (4 g/day) in statin-treated patients with persistently high TG
levels. CONCLUSIONS: The results of the ongoing prescription-strength, high-dose
OM3FA interventional trials will help define the potential role of OM3FAs in
addressing residual cardiovascular risk despite statin therapy.
PMID- 26566072
TI - ZrO2 -Based Alternatives to Conventional Propane Dehydrogenation Catalysts:
Active Sites, Design, and Performance.
AB - Non-oxidative dehydrogenation of propane to propene is an established large-scale
process that, however, faces challenges, particularly in catalyst development;
these are the toxicity of chromium compounds, high cost of platinum, and catalyst
durability. Herein, we describe the design of unconventional catalysts based on
bulk materials with a certain defect structure, for example, ZrO2 promoted with
other metal oxides. Comprehensive characterization supports the hypothesis that
coordinatively unsaturated Zr cations are the active sites for propane
dehydrogenation. Their concentration can be adjusted by varying the kind of ZrO2
promoter and/or supporting tiny amounts of hydrogenation-active metal.
Accordingly designed Cu(0.05 wt %)/ZrO2 -La2 O3 showed industrially relevant
activity and durability over ca. 240 h on stream in a series of 60
dehydrogenation and oxidative regeneration cycles between 550 and 625 degrees C.
PMID- 26566073
TI - An Adequate Account of Excluded Volume Is Necessary To Infer Compactness and
Asphericity of Disordered Proteins by Forster Resonance Energy Transfer.
AB - Single-molecule Forster resonance energy transfer (smFRET) is an important tool
for studying disordered proteins. It is commonly utilized to infer structural
properties of conformational ensembles by matching experimental average energy
transfer ?E?exp with simulated ?E?sim computed from the distribution of end-to
end distances in polymer models. Toward delineating the physical basis of such
interpretative approaches, we conduct extensive sampling of coarse-grained
protein chains with excluded volume to determine the distribution of end-to-end
distances conditioned upon given values of radius of gyration Rg and asphericity
A. Accordingly, we infer the most probable Rg and A of a protein disordered state
by seeking the best fit between ?E?exp and ?E?sim among various (Rg,A)
subensembles. Application of our method to residues 1-90 of the intrinsically
disordered cyclin-dependent kinase (Cdk) inhibitor Sic1 results in inferred
ensembles with more compact conformations than those inferred by conventional
procedures that presume either a Gaussian chain model or the mean-field Sanchez
polymer theory. The Sic1 compactness we infer is in good agreement with small
angle X-ray scattering data for Rg and NMR measurement of hydrodynamic radius Rh.
In contrast, owing to neglect or underappreciation of excluded volume,
conventional procedures can significantly overestimate the probabilities of short
end-to-end distances, leading to unphysically large smFRET-inferred Rg at high
[GdmCl]. It follows that smFRET Sic1 data are incompatible with the presumed
homogeneously expanded or contracted conformational ensembles in conventional
procedures but are consistent with heterogeneous ensembles allowed by our
subensemble method of inference. General ramifications of these findings for
smFRET data interpretation are discussed.
PMID- 26566074
TI - Origin of Dissimilar Single-Molecule Magnet Behavior of Three MnII(2)MoIII
Complexes Based on [MoIII(CN)7]4- Heptacyanomolybdate: Interplay of MoIII-CN-MnII
Anisotropic Exchange Interactions.
AB - The origin of contrasting single-molecule magnet (SMM) behavior of three
MnII2MoIII complexes based on [MoIII(CN)7]4- heptacyanomolybdate is analyzed;
only the apical Mn2Mo isomer exhibits SMM properties with Ueff = 40.5 cm(-1) and
TB = 3.2 K, while the two equatorial isomers are simple paramagnets [Qian, K.; J.
Am. Chem. Soc. 2013, 135, 13302]. A microscopic theory of anisotropic spin
coupling between orbitally degenerate [MoIII(CN)7](4-) complexes (pentagonal
bipyramid) and bound MnII ions is developed. It is shown that the [MoIII(CN)7](4
) complex has a unique property of uniaxial anisotropic spin coupling in the
apical and equatorial MoIII-CN-MnII pairs, Heff = -Jxy(SMoxSMnx + SMoySMny) -
JzSMozSMnz, regardless of their actual low symmetry. The difference in the SMM
behavior originates from a different ratio between the anisotropic exchange
parameters Jz and Jxy for the apical and equatorial Mo-CN-Mn groups. In the
apical Mn2Mo isomer, an Ising-type anisotropic spin coupling (Jz = -34, Jxy = -11
cm(-1)) produces a double-well potential of spin states resulting in SMM
behavior. Exchange anisotropy of an xy-type (|Jz| < |Jxy|) in the equatorial
Mn2Mo isomers results in a single-well potential with no SMM properties. The
prospects of anisotropic uniaxial spin coupling in engineering of high Ueff and
TB values are discussed.
PMID- 26566075
TI - Improvement of the Antitumor Efficacy of Intratumoral Administration of
Cucurbitacin Poly(Lactic-co-Glycolic Acid) Microspheres Incorporated in In Situ
Forming Sucrose Acetate Isobutyrate Depots.
AB - Localized drug delivery strategies for cancer therapy have been introduced for
decades as a means of increasing drug concentration at tumor target site and
minimizing systemic toxicities. In this paper, a combination of microspheres
(MSs) and sucrose acetate isobutyrate (SAIB) in situ-forming implants (ISFIs) was
evaluated for improving antitumor efficacy via intratumoral injection.
Monodispersed cucurbitacin (Cuc)-loaded Poly (lactic-co-glycolic acid) (PLGA) MSs
with mean diameter of about 5 MUm were fabricated by Shirasu porous Glass (SPG)
membrane emulsification technique, and their properties were investigated. The in
vitro drug release pattern, antimelanoma efficiency, and drug distribution in
tumor of three different intratumoral injection systems, that is, MSs, SAIB
ISFIs, and combination of MSs and SAIB ISFIs (SAIB-MSs), was investigated. The
Cuc-loaded MSs prepared by PLGA (LA/GA = 50:50, inherent viscosity = 0.87 dL/g),
has an appropriate release pattern with lower initial burst and delayed drug
release. SAIB-MSs have a much slower drug release rate than that of MSs or SAIB
ISFIs. SAIB-MSs showed the best antitumor efficacy in melanoma-bearing mice
model, and the results of drug distribution in tumor revealed that the
incorporation MSs in SAIB solution obviously extended the residence of drug in
tumor. The low Cuc concentration in tumor periphery region after intratumoral
administration of SAIB-MSs demonstrated poor drug penetration of this system. For
further improving the antitumor efficacy of intratumoral chemotherapy, elegant
designing to carriers with both extended residency and wide drug distribution in
tumor is needed.
PMID- 26566077
TI - A missing piece of the puzzle: Atg11 functions as a scaffold to activate Atg1 for
selective autophagy.
AB - The mechanism regulating Atg1 kinase activity for the initiation of selective
macroautophagy (hereafter autophagy) under nutrient-rich conditions has been a
long-standing question. Canonically in yeast, nutrient starvation or rapamycin
treatment repress TOR complex 1 and stimulate the Atg1 complex (including at
least Atg1, Atg13, Atg17, Atg29 and Atg31), which allows the recruitment of
downstream autophagy-related (Atg) components to the phagophore assembly site
(PAS), culminating in phagophore formation, and, subsequently, autophagosome
biogenesis. Atg1 also functions under conditions promoting selective autophagy
that do not necessarily require nutrient deprivation for induction. However,
there has been some debate as to whether Atg1 catalytic activity plays a more
important role under conditions of nutrient starvation-induced autophagy (i.e.,
bulk autophagy) vs. selective autophagy (e.g., the cytoplasm-to-vacuole targeting
[Cvt] pathway). A recent paper by Kamber and colleagues investigates the
mechanism regulating Atg1 activity during selective autophagy.
PMID- 26566076
TI - Is pregnancy planning associated with background characteristics and pregnancy
planning behavior?
AB - INTRODUCTION: Prevalence of planned pregnancies varies between countries but is
often measured in a dichotomous manner. The aim of this study was to investigate
to what level pregnant women had planned their pregnancies and whether pregnancy
planning was associated with background characteristics and pregnancy-planning
behavior. MATERIAL AND METHODS: A cross-sectional study that utilized the
baseline measurements from the Swedish Pregnancy Planning study. Pregnant women
(n = 3390) recruited at antenatal clinics answered a questionnaire. Data were
analyzed with multinomial logistic regression, Kruskal-Wallis H and chi-squared
tests. RESULTS: Three of four pregnancies were very or fairly planned and 12%
fairly or very unplanned. Of women with very unplanned pregnancies, 32% had
considered an induced abortion. Women with planned pregnancies were more likely
to have a higher level of education, higher household income, to be currently
working (>=50%) and to have longer relationships than women with unplanned
pregnancies. The level of pregnancy planning was associated with planning
behavior, such as information-seeking and intake of folic acid, but without a
reduction in alcohol consumption. One-third of all women took folic acid 1 month
prior to conception, 17% used tobacco daily and 11% used alcohol weekly 3 months
before conception. CONCLUSIONS: A majority rated their pregnancy as very or
fairly planned, with socio-economic factors as explanatory variables. The level
of pregnancy planning should be queried routinely to enable individualized
counseling, especially for women with unplanned pregnancies. Preconception
recommendations need to be established and communicated to the public to increase
health promoting planning behavior.
PMID- 26566078
TI - Single-molecule visualization of a formin-capping protein 'decision complex' at
the actin filament barbed end.
AB - Precise control of actin filament length is essential to many cellular processes.
Formins processively elongate filaments, whereas capping protein (CP) binds to
barbed ends and arrests polymerization. While genetic and biochemical evidence
has indicated that these two proteins function antagonistically, the mechanism
underlying the antagonism has remained unresolved. Here we use multi-wavelength
single-molecule fluorescence microscopy to observe the fully reversible formation
of a long-lived 'decision complex' in which a CP dimer and a dimer of the formin
mDia1 simultaneously bind the barbed end. Further, mDia1 displaced from the
barbed end by CP can randomly slide along the filament and later return to the
barbed end to re-form the complex. Quantitative kinetic analysis reveals that the
CP-mDia1 antagonism that we observe in vitro occurs through the decision complex.
Our observations suggest new molecular mechanisms for the control of actin
filament length and for the capture of filament barbed ends in cells.
PMID- 26566082
TI - Three Types of Intravesical Hem-o-Lok Clip Migration After Laparoscopic Radical
Prostatectomy.
AB - BACKGROUND: Hem-o-Lok(r) clips (HOLCs) (Weck(r) Surgical Instruments, Teleflex
Medical, Durham, NC) are widely used for controlling the lateral pedicles in
laparoscopic radical prostatectomy, but intravesical HOLC migrations have been
reported in more and more studies. This study aimed to summarize clinical
presentations, management, and outcomes of these patients. MATERIALS AND METHODS:
Six patients with intravesical HOLC migration were retrospectively identified
from 750 consecutive patients who underwent robot-assisted laparoscopic
prostatectomy between 2005 and 2014 performed by a single surgeon. The PubMed
database was also searched for Hem-o-Lok clip migration after laparoscopic
prostatectomy. RESULTS: The incidence of intravesical HOLC migration in this
study was 0.8% (6/750). In total, 22 patients were reported, including six from
the current series. Three types of migrations were classified: Type I migration
resulted in obstructive lower urinary tract symptoms 2-8 months after
prostatectomy, whereas Type II migration led to stone formation, gross hematuria,
or bladder spasm; in Type III migration, patients had spontaneous expulsion of
the HOLC weeks after surgery. CONCLUSIONS: Although the incidence of intravesical
HOLC migration is relatively low, Type I migration with long-term sequelae
remains a concern. The use of HOLCs adjacent to anastomosis should be minimized,
and any loose clip must be retrieved to reduce complications.
PMID- 26566079
TI - Pharmacodynamic markers and clinical results from the phase 2 study of the SMAC
mimetic birinapant in women with relapsed platinum-resistant or -refractory
epithelial ovarian cancer.
AB - BACKGROUND: Inhibitors of apoptosis proteins (IAPs) are key regulators of
apoptosis and are frequently dysregulated in ovarian cancer. It was hypothesized
that blocking IAPs with birinapant would increase tumor cell death and result in
objective responses for women with platinum-refractory and -resistant ovarian
cancer. METHODS: In this phase 2, Cancer Therapy Evaluation Program-sponsored
study, patients received birinapant at 47 mg/m(2) on days 1, 8, and 15 of 28-day
cycles. Pharmacokinetics were obtained during cycle 1. Plasma, peripheral blood
mononuclear cells (PBMCs), and percutaneous tumor biopsy samples were collected
before cycle 1 and after 6 weeks. The primary endpoint was an objective response
or progression-free survival lasting greater than 6 months in a mini-max design.
RESULTS: Eleven patients received birinapant; after this, accrual was terminated
for lack of a clinical benefit. Birinapant was well tolerated, with predominantly
grade 2 adverse events and 1 case of grade 3 lymphopenia. Pretreatment biopsy
samples and PBMCs were collected; paired posttreatment biopsy samples and PBMCs
were collected from 7 and 10 patients, respectively. There was consistent
downregulation of cellular inhibitor of apoptosis protein 1 in tumors (P = .016)
and PBMCs (P < .01). Procaspase 3 also decreased in tumors (P = .031) and PBMCs
(P < .01); cleaved caspase 3 colocalized with H2A histone family member X (gamma
H2AX) in tumors after birinapant exposure. Peripheral T and B cells decreased
significantly after treatment, but natural killer cells did not (P = .04, P =
.05, and P = .43, respectively). CONCLUSIONS: Birinapant shows consistent target
suppression in vivo without single-agent antitumor activity in this small
population. Single-agent pharmacodynamics are necessary to understand the drug's
mechanism of action and set the stage for rational combination therapy.
Preclinical studies are ongoing to identify optimal synergistic combinations for
future clinical trials.
PMID- 26566081
TI - Stability of methylation markers in head and neck squamous cell carcinoma.
AB - BACKGROUND: As cancer progresses, methylation patterns change to promote the
tumorigenic phenotype. However, stability of methylation markers over time and
the extent that biopsy samples are representative of larger tumor specimens are
unknown. This information is critical for clinical use of such biomarkers.
METHODS: Ninety-eight patients with tumor specimens from 2 timepoints were
measured for DNA methylation in the promoter regions across 4 genes. RESULTS:
There were no significant differences in overall methylation of CCNA1 (cyclin
A1), NDN (necdin), deleted in colorectal carcinoma (DCC), and cluster of
differentiation 1a (CD1A) within paired specimens (p values = .56, .17, .66, and
.58, respectively). All genes showed strong correlations between paired specimens
across time. Methylation was most consistent for CCNA1 and NDN over time.
CONCLUSION: This report provides the first evidence that methylation markers
measured in biopsy samples are representative of gene methylation in later
specimens and suggests that biopsy markers could be representative biomarkers for
use in defining personalized treatment utilizing epigenetic changes. (c) 2015
Wiley Periodicals, Head Neck 38: E1325-E1331, 2016.
PMID- 26566084
TI - Molecular Dynamics Studies on D835N Mutation in FLT3-Its Impact on FLT3 Protein
Structure.
AB - Mutations in Fetal Liver Tyrosine Kinase 3 (FLT3) genes are implicated in the
constitutive activation and development of Acute Myeloid Leukaemia (AML). They
are involved in signalling pathway of autonomous proliferation and block
differentiation in leukaemia cells. FLT3 is considered as a promising target for
the therapeutic intervention of AML. There are a few missense mutations
associated with FLT3 that are found in AML patients. The D835N mutation is the
most frequently observed and the aspartic acid in this position acts as a key
residue for the receptor activation. The present study aims to understand the
structural effect of D835N mutation in FLT3. We carried out the molecular
dynamics (MD) simulation for a period of 120 ns at 300 K. Root-mean square
deviation, root-mean square fluctuations, surface accessibility, radius of
gyration, hydrogen bond, eigenvector projection analysis, trace of covariance
matrix, and density analysis revealed the instability of mutant (D835N) protein.
Our study provides new insights on the conformational changes in the mutant
(D835N) structure of FLT3 protein. Our observations will be useful for
researchers exploring AML and for the development of FLT3 inhibitors.
PMID- 26566083
TI - Mitogen-Dependent Regulation of DUSP1 Governs ERK and p38 Signaling During Early
3T3-L1 Adipocyte Differentiation.
AB - Knowledge concerning mechanisms that control proliferation and differentiation of
preadipocytes is essential to our understanding of adipocyte hyperplasia and the
development of obesity. Evidence has shown that temporal regulation of mitogen
activated protein kinase (MAPK) phosphorylation and dephosphorylation is critical
for coupling extracellular stimuli to cellular growth and differentiation. Using
differentiating 3T3-L1 preadipocytes as a model of adipocyte hyperplasia, we
examined a role for dual-specificity phosphatase 1 (DUSP1) on the timely
modulation of MAPK signaling during states of growth arrest, proliferation, and
differentiation. Using real-time reverse transcription PCR (qRT-PCR), we report
that DUSP1 is induced during early preadipocyte proliferation concomitant with
ERK and p38 dephosphorylation. As deactivation of ERK and p38 is essential for
the progression of adipocyte differentiation, we further showed that de novo mRNA
synthesis was required for ERK and p38 dephosphorylation, suggesting a role for
"inducible" phosphatases in regulating MAPK signaling. Pharmacological and
genetic inhibition of DUSP1 markedly increased ERK and p38 phosphorylation during
early adipocyte differentiation. Based on these findings, we postulated that loss
of DUSP1 would block adipocyte hyperplasia. However, genetic loss of DUSP1 was
not sufficient to prevent preadipocyte proliferation or differentiation,
suggesting a role for other phosphatases in the regulation of adipogenesis. In
support of this, qRT-PCR identified several MAPK-specific DUSPs induced during
early (DUSP2, -4, -5, & -6), mid (DUSP4 & -16) and late (DUSP9) stages of
adipocyte differentiation. Collectively, these data suggest an important role for
DUSPs in regulating MAPK dephosphorylation, with an emphasis on DUSP1, during
early adipogenesis.
PMID- 26566085
TI - Rotational Spectrum of Dichloromethane-Ne: Internal Dynamics and Cl Quadrupolar
Hyperfine Effects.
AB - The rotational spectra of three isotopologues, CH2(35)Cl2...(20)Ne,
CH2(35)Cl(37)Cl...(20)Ne, and CH2(35)Cl2...(22)Ne, of the complex dichloromethane
neon have been assigned and measured by molecular beam Fourier transform
microwave spectroscopy. The corresponding tunnelling splittings-due to the motion
of Ne from above to below the ClCCl plane-have been determined as DeltaE0+0- =
6.8900(5), 6.6630(4), and 6.3724(7) MHz, respectively. From these data the
barrier to planarity has been obtained, B2 = 68.7 cm(-1). In addition, the
structure and the (35)Cl (or (37)Cl) quadrupole coupling constants have been
determined.
PMID- 26566086
TI - Prevalence of overweight and obesity among indigenous populations in Canada: A
systematic review and meta-analysis.
AB - Previous studies on overweight and obesity among indigenous peoples in Canada
have been inconclusive. A systematic review was conducted on the prevalence of
overweight and obesity among Canadian indigenous populations. Major bibliographic
databases were searched for relevant studies published between January 1990 and
June 2013. We reviewed 594 abstracts and included 41 studies in the meta
analyses. Using the heterogeneity test (Cochrane Q) results, the overall
prevalence was estimated using fixed- or random-effects model. Nonadults (<18
years) had a pooled prevalence of overweight and obesity at 29.8% (95% CI: 25.2
34.4) and 26.5% (95% CI: 21.8-31.3), respectively. The pooled prevalence of
overweight and obesity among adults were 29.7% (95% CI: 28.2-31.2) and 36.6% (95%
CI: 32.9-40.2), respectively. Adult males had higher overweight prevalence than
females (34.6% vs. 26.6%), but lower obesity prevalence (31.6% vs. 40.6%).
Nonadult girls had higher prevalence than boys [overweight: 27.6%; 95% CI: 22.6
32.7 vs. 24.7%; 95% CI: 19.0-30.5; obesity: 28.6%; 95% CI: 20.3-36.9 vs. 25.1%;
95% CI: 13.8-36.4]. Nonadult Inuit had the highest overweight and lowest obesity
prevalence. Although Inuit adult had the lowest prevalence of overweight (28.7%;
95% CI: 27.3-30.2) and obesity (32.3%; 95% CI: 25.5-39.1), it was relatively
high. This study highlights the need for nutritional intervention programs for
obesity prevention among indigenous populations in Canada.
PMID- 26566088
TI - Predictive models, a new tool for managing organ procurement process.
PMID- 26566089
TI - Molecular phenotyping and biomarker development: are we on our way towards
targeted therapy for severe asthma?
AB - Although different phenotypes of severe asthma can be identified, all are
characterized by common symptoms. Due to their heterogeneity, they exhibit
differences in pathogenesis, etiology and clinical responses to therapeutic
approaches. The identification of distinct molecular phenotypes to define severe
asthmatic patients will allow us to better understand the pathophysiology of the
disease and thus to more precisely target the treatment for each patient. To
achieve this goal, a systematic search for new, reliable and stable biomarkers
specific for each phenotype is essential. This review focuses on the current
known molecular phenotypes of severe asthma and highlights the need for
biomarkers that could (either alone or in combination) be predictive of the
treatment outcome.
PMID- 26566090
TI - Synthesis and Biological Evaluation of a Valinomycin Analog Bearing a
Pentafluorophenyl Active Ester Moiety.
AB - A valuable analog of the K(+)-ionophore valinomycin (1), bearing a
pentafluorophenyl ester moiety, has been obtained by selective reaction between
the tertiary hydroxyl moiety of analog 2 (available from valinomycin
hydroxylation) and the isocyanate group of pentafluorophenyl N-carbonyl glycinate
(3) catalyzed by bis(N,N-dimethylformamide)dichlorodioxomolybdenum(VI). LC-HRMS
studies show that analog 4 undergoes easy derivatization under mild conditions by
reaction with OH- and NH2-containing compounds. Mitochondrial depolarization
assays suggest that 4 acts as a K(+)-ionophore, provided that the glycine
carboxyl group is appropriately masked.
PMID- 26566087
TI - Ambient Mass Spectrometry Imaging Using Direct Liquid Extraction Techniques.
PMID- 26566091
TI - Rescuers' physical fatigue with different chest compression to ventilation
methods during simulated infant cardiopulmonary resuscitation.
AB - OBJECTIVE: To assess development of objective, subjective and indirect measures
of fatigue during simulated infant cardiopulmonary resuscitation (CPR) with two
different methods. METHODS: Using a neonatal manikin, 17 subject-pairs were
randomized in a crossover design to provide 5-min CPR with a 3:1 chest
compression (CC) to ventilation (C:V) ratio and continuous CCs at a rate of 120
min(-1) with asynchronous ventilations (CCaV-120). We measured participants'
changes in heart rate (HR) and mean arterial pressure (MAP); perceived level of
fatigue on a validated Likert scale; and manikin CC measures. RESULTS: CCaV-120
compared with a 3:1 C:V ratio resulted in a change during 5-min of CPR in HR 49
versus 40 bpm (p = 0.01), and MAP 1.7 versus -2.8 mmHg (p = 0.03); fatigue rated
on a Likert scale 12.9 versus 11.4 (p = 0.2); and a significant decay in CC depth
after 90 s (p = 0.03). CONCLUSIONS: The results indicate a trend toward more
fatigue during simulated CPR in CCaV-120 compared to the recommended 3:1 C:V CPR.
These results support current guidelines.
PMID- 26566093
TI - Promoting Sustainable Development Through Nutrition Education.
PMID- 26566094
TI - 2015 in Focus.
PMID- 26566095
TI - Self-Weighing Throughout Adolescence and Young Adulthood: Implications for Well
Being.
AB - OBJECTIVE: To describe the prevalence of self-weighing in the transition period
from adolescence to young adulthood and examine cross-sectional and longitudinal
associations between self-weighing and weight status, psychological, and
behavioral outcomes. DESIGN: Project Eating and Activity in Teens and Young
Adults, a longitudinal cohort study that assessed variables 3 times over 10
years. PARTICIPANTS: A total of 1,868 adolescents and young adults. MAIN OUTCOME
MEASURES: Weight, body mass index, weight disparity, body satisfaction, weight
concern, self-esteem, depression, and unhealthy weight control behaviors.
ANALYSIS: Cross-sectional and longitudinal. RESULTS: Significant positive
correlations were found at each time point between self-weighing and weight
concern for both genders. Self-weighing was significantly inversely related to
self-esteem at each time point in female participants. Increases in endorsement
of self-weighing were significantly related to decreases in body satisfaction and
self-esteem and increases in weight concern and depression in female participants
and to increases in weight concern in male participants. CONCLUSIONS AND
IMPLICATIONS: Findings suggest that self-weighing may not be an innocuous
behavior for young people, particularly women. Interventions should assess
potential harmful consequences of self-weighing in addition to any potential
benefits. It may be appropriate for clinicians to ask about self-weighing, and if
it is frequent, to explore motivations, perceived benefits, and potential adverse
correlates or consequences.
PMID- 26566092
TI - Evolution of C-H Bond Functionalization from Methane to Methodology.
AB - This Perspective presents the fundamental principles, the elementary reactions,
the initial catalytic systems, and the contemporary catalysts that have converted
C-H bond functionalization from a curiosity to a reality for synthetic chemists.
Many classes of elementary reactions involving transition-metal complexes cleave
C-H bonds at typically unreactive positions. These reactions, coupled with a
separate or simultaneous functionalization process lead to products containing
new C-C, C-N, and C-O bonds. Such reactions were initially studied for the
conversion of light alkanes to liquid products, but they have been used (and
commercialized in some cases) most often for the synthesis of the more complex
structures of natural products, medicinally active compounds, and aromatic
materials. Such a change in direction of research in C-H bond functionalization
is remarkable because the reactions must occur at an unactivated C-H bond over
functional groups that are more reactive than the C-H bond toward classical
reagents. The scope of reactions that form C-C bonds or install functionality at
an unactivated C-H bond will be presented, and the potential future utility of
these reactions will be discussed.
PMID- 26566096
TI - A Mixed-Methods Evaluation of a SNAP-Ed Farmers' Market-Based Nutrition Education
Program.
AB - OBJECTIVE: Evaluate the effectiveness of the Stellar Farmers' Market program.
DESIGN: Mixed methods including focus groups and a quasi-experiment comparing a
control group of market shoppers who had never attended a class, participants
attending 1 class, and participants attending >= 2 classes. SETTING: Eighteen
farmers' markets in New York City. PARTICIPANTS: A total of 2,063 survey
respondents; 47 focus group participants. INTERVENTION: Farmers' market-based
nutrition education and cooking classes paired with vouchers for fresh produce.
MAIN OUTCOME MEASURES: Attitudes, self-efficacy, and behaviors regarding fruit
and vegetable (FV) preparation and consumption. ANALYSIS: Bivariate and
regression analysis examined differences in outcomes as a function of number of
classes attended. Qualitative analysis based on a grounded theory approach.
RESULTS: Attending >= 1 classes was associated with more positive attitudes
toward consuming FV; attending >= 2 classes was associated with greater FV
consumption and higher self-efficacy to prepare and consume produce. Respondents
attending >= 2 classes consumed almost one-half cup more FV daily than others.
These associations remained after controlling for age, race/ethnicity, education,
and gender. CONCLUSIONS AND IMPLICATIONS: Offering nutrition education and
cooking classes at farmers' markets may contribute to improving attitudes, self
efficacy, and behaviors regarding produce preparation and consumption in low
income populations.
PMID- 26566097
TI - An Ecological Approach to Exploring Rural Food Access and Active Living for
Families With Preschoolers.
AB - OBJECTIVE: To identify factors using the Ecological Model of Childhood Overweight
related to accessing nutritious foods and physical activity opportunities from
the perspectives of rural parents of preschoolers. METHODS: A mixed-methods study
using a quantitative survey (Active Where?) and qualitative interviews. Analyzed
interview themes provided context to the survey results. The setting was Head
Start centers, county human service offices, and Women, Infants, and Children
Program sites in rural counties in the Midwest. Rural parents (n = 377) of
preschoolers took part in the survey in 7 Midwestern states; 15 similar
participants were interviewed from 1 of the states. Transcribed interviews were
coded. Frequencies and chi-square tests were computed; significance was set at P
< .05. RESULTS: The Active Where? survey and interviews revealed that close
proximity to recreation spaces and traffic safety issues influenced physical
activity. For food access, close proximity to full service grocery stores did not
influence access to healthy foods because respondents traveled to urban
communities to purchase healthy foods. CONCLUSIONS AND IMPLICATIONS: Public
transportation solutions and enhanced neighborhood safety are potential community
wide obesity prevention strategies in rural communities. However, interventions
should be tailored to the community's stage of readiness. Strong social networks
should be considered an asset for community change in these regions.
PMID- 26566098
TI - Nutrition Content in a National Nutrition Education Program for Low-Income
Adults: Content Analysis and Comparison With the 2010 Dietary Guidelines for
Americans.
AB - The purpose of this study was to identify nutrition-related content employed
nationally by the Expanded Food and Nutrition Education Program (EFNEP) with
adult participants. A content analysis was used to assess the type, frequency,
and depth of nutrition content in adult curricula most used by EFNEP nationally
compared with the 2010 Dietary Guidelines for Americans (2010 DGA). All EFNEP
curricula reviewed employed the vast majority of the 2010 DGA nutrition
recommendations, with differences in the frequency and depth of nutrition
content. Further research is needed to determine which 2010 DGA recommendations
are most important to teach and evaluate within a low-income population.
PMID- 26566100
TI - Risk Stratification in Women with Gestational Diabetes According to and Beyond
Current WHO Criteria.
AB - The pregnancy outcomes in women with gestational diabetes mellitus (GDM) and
'overt diabetes in pregnancy' were compared and the need for further
subclassification was investigated with respect to postpartum outcome risk. Data
from 944 women who had been uniformly diagnosed as having GDM in Munich, Germany,
between 1998 and 2010, were re-classified into GDM and 'overt diabetes in
pregnancy'. Pregnancy related outcomes in the offspring were derived from
Bavarian birth registry data. Classification and regression trees were used to
identify further GDM sub-phenotypes. In total, 88 women (9.3%) were re-classified
as having 'overt diabetes in pregnancy'. Compared to women with GDM, women with
'overt diabetes in pregnancy' used insulin more frequently, and were at increased
risk for large for gestational age infants [odds ratio 2.50 (95% confidence
interval 1.02, 6.13)], preterm delivery [odds ratio 3.28 (1.02, 10.50)], and low
APGAR-score at 5 min [odds ratio 12.70 (1.58, 102.2)]. In the 856 women with GDM,
classification and regression tree analyses provided further risk stratification
in that a combination of fasting glucose>5.3 mmol/l and 1-h glucose>11.1 mmol/l
at GDM diagnosis predicted insulin requirement [OR 5.57 (3.75, 8.27) compared to
the rest], and maternal body mass index (BMI)>=35 kg/m(2) predicted large for
gestational age status. The new differentiation between GDM and 'overt diabetes
in pregnancy' is a first step towards refining classification relevant to fetal
and maternal postpartum risk. A combination of glucose levels and maternal BMI at
diagnosis of GDM may provide further improvement.
PMID- 26566099
TI - Reinvestigation of a Catalytic, Enantioselective Alkene Dibromination and
Chlorohydroxylation.
AB - Attempts to reproduce eight, putative, enantioselective dibromination and
chlorohydroxylation reactions from oft-cited literature studies are described.
The reactions were performed with full fidelity to the original report wherever
possible. Analysis of the enantiomeric composition was performed by chiral
stationary phase HPLC or SFC (CSP-HPLC or CSP-SFC), as opposed to the original
report, which used chiral shift reagent NMR spectroscopy. After careful study,
the reported levels of enantioselectivity were found to be incorrect. Possible
explanations for the false positive results are discussed.
PMID- 26566101
TI - Maternal Thyroid Function and Autoimmunity in 3 Trimesters of Pregnancy and their
Offspring's Thyroid Function.
AB - This study was performed to evaluate maternal thyroid dysfunction and
autoimmunity during pregnancy and its correlation with thyroid function of
offspring. In this cohort study, Serum TT4, TT3, T3U, TSH, TPOAb, and TgAb were
measured. Serum samples of 120 pregnant women were collected during 3 trimesters
as well as in 57 cord bloods, 69 neonates, 34, 37, and 36 infants aged 2, 4, and
6 months. Repeated measure and Pearson correlation test were used to compare
thyroid hormone values and to assess the correlations, respectively. Main
outcomes were correlations between thyroid hormones and antibodies in mothers and
offspring. An increasing trend for TT3 (p for trend < 000.1) and TSH (p for trend
0.01) was found over the course of gestation. Among 120 mothers, 10 (8%) had
subclinical hyperthyroidism and 18 mothers (15%) showed subclinical
hypothyroidism. We found one hypothyroid (0.8%) and 3 hyperthyroid (2.5%) mothers
during pregnancy. Correlations among maternal thyroid hormones were found but not
with auto-antibodies. A positive correlation between maternal thyroid auto
antibodies in all trimesters with cord blood and neonates was found. Cord blood
TSH had a good correlation with maternal TSH, but only in the first trimester
(r=0.29, p<0.05). A positive correlation between neonatal TSH and maternal TT4
was found only in the third trimester (r=0.25, p<0.05). Subclinical
hypothyroidism was the most common thyroid dysfunction in the pregnant women
studied. The association between maternal auto-antibodies and thyroid hormones of
offspring was observed mostly in the neonatal period and became weaker after one
month of age.
PMID- 26566102
TI - Estradiol Upregulates c-FLIPlong Expression in Anterior Pituitary Cells.
AB - Anterior pituitary cell turnover depends on a tight balance between proliferation
and apoptosis. We have previously shown that estrogens sensitize anterior
pituitary cells to pro-apoptotic stimuli. c-FLIP (cellular-FLICE-inhibitory
protein) isoforms are regulatory proteins of apoptosis triggered by death
receptors. c-FLIPshort isoform competes with procaspase-8 inhibiting its
activation. However, c-FLIPlong isoform may have a pro- or anti-apoptotic
function depending on its expression level. In the present study, we explored
whether estrogens modulate c-FLIP expression in anterior pituitary cells from
ovariectomized (OVX) rats and in GH3 cells, a somatolactotrope cell line. Acute
administration of 17beta-estradiol to OVX rats increased c-FLIPlong expression in
the anterior pituitary gland without changing c-FLIPshort expression as assessed
by Western blot. Estradiol in vitro also increased c-FLIPlong expression in
anterior pituitary cells but not in GH3 cells. As determined by flow cytometry,
the percentage of anterior pituitary cells expressing c-FLIP was higher than in
GH3 cells. However, c-FLIP fluorescence intensity in GH3 cells was higher than in
anterior pituitary cells. FasL increased the percentage of TUNEL-positive GH3
cells incubated either with or without estradiol suggesting that the pro
apoptotic action of Fas activation is estrogen-independent. Our results show that
unlike what happens in nontumoral pituitary cells, estrogens do not modulate
either c-FLIPlong expression or FasL-induced apoptosis in GH3 cells. The
stimulatory effect of estradiol on c-FLIPlong expression could be involved in the
sensitizing effect of this steroid to apoptosis in anterior pituitary cells. The
absence of this estrogenic action in tumor pituitary cells could be involved in
their tumor-like behavior.
PMID- 26566103
TI - Aldosterone and Left Ventricular Remodeling.
AB - Experimental and clinical evidence obtained in the last 2 decades clearly
indicates that protracted exposure to inappropriately elevated aldosterone levels
causes significant changes in left ventricular structure and function. Animal
studies have demonstrated that aldosterone induces myocardial inflammatory
changes and fibrosis in the presence of a high salt diet. Moreover, the effects
of aldosterone on the heart have been investigated in different clinical
conditions. These conditions include systolic and diastolic heart failure,
essential hypertension, and primary aldosteronism that offers a unique clinical
model to study the cardiac effects of excess aldosterone because these effects
are isolated from those of the renin-angiotensin axis. A relatively clear picture
is emerging from these studies with regard to aldosterone-related changes in left
ventricular mass and geometry. Conversely, no direct effect of aldosterone on
left ventricular diastolic function can be demonstrated and improvement of
diastolic function obtained in some studies that have employed mineralocorticoid
receptor blockers could result from left ventricular mass reduction. Animal
experiments demonstrate that effects of aldosterone on the left ventricle require
high salt intake to occur, but the evidence of this contribution of salt to
aldosterone-induced cardiac changes in humans remains weaker and needs further
research. The article reviews the results of clinical studies addressing the role
of aldosterone in regulation of LV remodeling and diastolic function, and focuses
on the possible relevance of salt intake.
PMID- 26566104
TI - KCNJ5 Mutations: Sex, Salt and Selection.
AB - Somatic mutations have been identified in the KCNJ5 gene (encoding the potassium
channel GIRK4) in aldosterone-producing adenomas (APA). Most of these mutations
are located in or near the selectivity filter of the GIRK4 channel pore and
several have been shown to lead to the constitutive overproduction of
aldosterone. KCNJ5 mutations in APA are more frequent in women; however, this
gender dimorphism is a reported phenomenon of Western but not East Asian
populations. In this review we discuss some of the issues that could potentially
underlie this observation.
PMID- 26566105
TI - Vorapaxar for secondary stroke prevention: perspectives and obstacles.
AB - Vorapaxar, a novel antiplatelet thrombin protease-activated receptor 1 (PAR-1)
inhibitor, has been evaluated in the successful TRA2P trial and failed TRACER
trial. The drug is currently approved for post myocardial infarction and
peripheral artery disease indications on top of clopidogrel and/or aspirin. The
stroke data after vorapaxar are mixed, dominated with heavy excess of
intracranial bleeding risks and slightly worsened second stroke rates, but show
less primary ischemic strokes. Fortunately, these conflicting data do not belong
purely to vorapaxar per se but rather, reflect unreasonably aggressive
strategies, including predominantly triple antiplatelet therapy, utilized in both
Trial to Assess the Effects of SCH 530348 in Preventing Heart Attack and Stroke
in Patients with Arteriosclerosis (TRA2P) and especially in Thrombin-Receptor
Antagonist Vorapaxar in Acute Coronary Syndromes (TRACER). The FDA-confirmed
evidence strongly suggests that unique pharmacokinetics and a very mild "comfort
zone" antiplatelet profile makes vorapaxar a good candidate for improved
secondary stroke prevention. The outcome-driven, randomized trial should test
head-to-head monotherapy with vorapaxar (Zontivity(r)) versus clopidogrel
(Plavix(r)) and versus dipyridamole with very low dose aspirin (Aggrenox(r)). The
advantages and potential pitfalls of such a trial are discussed in this article.
PMID- 26566106
TI - MRSA colonisation (eradicating colonisation in people without active invasive
infection).
AB - INTRODUCTION: Methicillin-resistant Staphylococcus aureus (MRSA) contains a gene
that makes it resistant to methicillin as well as to other beta-lactam
antibiotics, including flucloxacillin, cephalosporins, and carbapenems. MRSA can
be part of the normal body flora (colonisation), especially in the nose, but it
can cause infection. Until recently, MRSA has primarily been a problem associated
with exposure to the healthcare system, especially in people with prolonged
hospital admissions or underlying disease, or after antibiotic use. In many
countries worldwide, a preponderance of S aureus bloodstream isolates are
resistant to methicillin. METHODS AND OUTCOMES: We conducted a systematic
overview, aiming to answer the following clinical question: What are the effects
of treatment for MRSA nasal or extra-nasal colonisation in adults? We searched:
Medline, Embase, The Cochrane Library, and other important databases up to June
2014 (Clinical Evidence overviews are updated periodically; please check our
website for the most up-to-date version of this overview). RESULTS: At this
update, searching of electronic databases retrieved 850 studies. After
deduplication and removal of conference abstracts, 356 records were screened for
inclusion in the overview. Appraisal of titles and abstracts led to the exclusion
of 273 studies, and the further review of 83 full publications. Of the 83 full
articles evaluated, no studies were added at this update. We performed a GRADE
evaluation for three PICO combinations. CONCLUSIONS: In this systematic overview,
we categorised the efficacy for five interventions based on information about the
effectiveness and safety of antiseptic body washes, chlorhexidine-neomycin nasal
cream, mupirocin nasal ointment, systemic antimicrobials, and other topical
antimicrobials.
PMID- 26566107
TI - High-Tc Layered Ferrielectric Crystals by Coherent Spinodal Decomposition.
AB - Research in the rapidly developing field of 2D electronic materials has thus far
been focused on metallic and semiconducting materials. However, complementary
dielectric materials such as nonlinear dielectrics are needed to enable realistic
device architectures. Candidate materials require tunable dielectric properties
and pathways for heterostructure assembly. Here we report on a family of cation
deficient transition metal thiophosphates whose unique chemistry makes them a
viable prospect for these applications. In these materials, naturally occurring
ferrielectric heterostructures composed of centrosymmetric In4/3P2S6 and
ferrielectrically active CuInP2S6 are realized by controllable chemical phase
separation in van der Waals bonded single crystals. CuInP2S6 by itself is a
layered ferrielectric with a ferrielectric transition temperature (Tc) just over
room temperature, which rapidly decreases with homogeneous doping. Surprisingly,
in our composite materials, the ferrielectric Tc of the polar CuInP2S6 phase
increases. This effect is enabled by unique spinodal decomposition that retains
the overall van der Waals layered morphology of the crystal, but chemically
separates CuInP2S6 and In4/3P2S6 within each layer. The average spatial
periodicity of the distinct chemical phases can be finely controlled by altering
the composition and/or synthesis conditions. One intriguing prospect for such
layered spinodal alloys is large volume synthesis of 2D in-plane heterostructures
with periodically alternating polar and nonpolar phases.
PMID- 26566109
TI - [Tissue effect of Greenlight((r)) laser on uterine fibroids].
AB - OBJECTIVE: We evaluated the efficacy and the safety parameters for
Greenlight((r)) laser to vaporise myoma. MATERIALS AND METHODS: We studied 6
utero after hysterectomies for myoma and used the Greenlight((r)) laser with
different level of power and duration on myoma and normal myometer. We compared
the tissue effect with the monopolar and bipolar resection. We studied the tissue
effect by histological exam. RESULTS: The Greenlight((r)) laser is able to
vaporize myoma with a low side effect on normal myometer of 85MUm (199MUm with
bipolar and 254MUm with monopolar). CONCLUSION: The laser Greenlight((r)) is
efficient to vaporize myoma in vitro and presents some safety parameter. This
study could lead to a clinical prospective study to demonstrate its ability to
treat symptomatic myoma.
PMID- 26566108
TI - [Preliminary results from the French study on prenatal repair for fetal
myelomeningoceles (the PRIUM study)].
AB - OBJECTIVES: To present the preliminary results of the PRIUM study, a French pilot
study of prenatal repair for myelomeningoceles (MMC). MATERIAL AND METHODS: The
fetal surgery for MMC is offered in cases of patients that did not opt for
termination of pregnancy. RESULTS: Thirty-six patients were referred in an 18
month period. Eight patients were not eligible for prenatal repair. Another type
of dysraphism was made in 6 cases (one spina-lipoma, 5 cases of limited dorsal
myeloschisis). Twenty-two patients were eligible to fetal surgery. A prenatal
repair was performed in three cases (14%). Four patients opted for a conventional
postnatal treatment. Fifteen patients opted for termination of the pregnancy.
CONCLUSION: The establishment of a prenatal repair of MMC protocol in France was
justified. The experience of the first 18months of this study however suggests
that only a limited number of couples will choose this procedure after
specialized counseling in a reference center.
PMID- 26566110
TI - Sizing up to divide: mitotic cell-size control in fission yeast.
AB - Schizosaccharomyces pombe is a good model to study cell-size control. These cells
integrate size information into cell cycle controls at both the G1/S and G2/M
transitions, although the primary control operates at the entry into mitosis. At
G2/M there is both a size threshold, demonstrated by the fact that cells divide
when they reach 14 MUm in length, and also correction around this threshold,
evident from the narrow distribution of sizes within a population. This latter
property is referred to as size homeostasis. It has been argued that a population
of cells accumulating mass in a linear fashion will have size homeostasis in the
absence of size control, if cycle time is controlled by a fixed timer. Because
fission yeast cells do not grow in a simple linear fashion, they require a size
sensing mechanism. However, current models do not fully describe all aspects of
this control, especially the coordination of cell size with ploidy.
PMID- 26566112
TI - Ion channels in development and cancer.
AB - Ion channels have emerged as regulators of developmental processes. In model
organisms and in people with mutations in ion channels, disruption of ion channel
function can affect cell proliferation, cell migration, and craniofacial and limb
patterning. Alterations of ion channel function affect morphogenesis in fish,
frogs, mammals, and flies, demonstrating that ion channels have conserved roles
in developmental processes. One model suggests that ion channels affect
proliferation and migration through changes in cell volume. However, ion channels
have not explicitly been placed in canonical developmental signaling cascades
until recently. This review gives examples of ion channels that influence
developmental processes, offers a potential underlying molecular mechanism
involving bone morphogenetic protein (BMP) signaling, and finally explores
exciting possibilities for manipulating ion channels to influence cell fate for
regenerative medicine and to impact disease.
PMID- 26566113
TI - Musashi signaling in stem cells and cancer.
AB - How a single cell gives rise to an entire organism is one of biology's greatest
mysteries. Within this process, stem cells play a key role by serving as seed
cells capable of both self-renewal to sustain themselves as well as
differentiation to generate the full diversity of mature cells and functional
tissues. Understanding how this balance between self-renewal and differentiation
is achieved is crucial to defining not only the underpinnings of normal
development but also how its subversion can lead to cancer. Musashi, a family of
RNA binding proteins discovered originally in Drosophila and named after the
iconic samurai, Miyamoto Musashi, has emerged as a key signal that confers and
protects the stem cell state across organisms. Here we explore the role of this
signal in stem cells and how its reactivation can be a critical element in
oncogenesis. Relative to long-established developmental signals such as Wnt,
Hedgehog, and Notch, our understanding of Musashi remains in its infancy; yet all
evidence suggests that Musashi will emerge as an equally powerful paradigm for
regulating development and cancer and may be destined to have a great impact on
biology and medicine.
PMID- 26566114
TI - Studying lineage decision-making in vitro: emerging concepts and novel tools.
AB - Correct and timely lineage decisions are critical for normal embryonic
development and homeostasis of adult tissues. Therefore, the search for
fundamental principles that underlie lineage decision-making lies at the heart of
developmental biology. Here, we review attempts to understand lineage decision
making as the interplay of single-cell heterogeneity and gene regulation.
Fluctuations at the single-cell level are an important driving force behind cell
state transitions and the creation of cell-type diversity. Gene regulatory
networks amplify such fluctuations and define stable cell types. They also
mediate the influence of signaling inputs on the lineage decision. In this
review, we focus on insights gleaned from in vitro differentiation of embryonic
stem cells. We discuss emerging concepts, with an emphasis on transcriptional
regulation, dynamical aspects of differentiation, and functional single-cell
heterogeneity. We also highlight some novel tools to study lineage decision
making in vitro.
PMID- 26566115
TI - Feeling force: physical and physiological principles enabling sensory
mechanotransduction.
AB - Organisms as diverse as microbes, roundworms, insects, and mammals detect and
respond to applied force. In animals, this ability depends on ionotropic force
receptors, known as mechanoelectrical transduction (MeT) channels, that are
expressed by specialized mechanoreceptor cells embedded in diverse tissues and
distributed throughout the body. These cells mediate hearing, touch, and
proprioception and play a crucial role in regulating organ function. Here, we
attempt to integrate knowledge about the architecture of mechanoreceptor cells
and their sensory organs with principles of cell mechanics, and we consider how
engulfing tissues contribute to mechanical filtering. We address progress in the
quest to identify the proteins that form MeT channels and to understand how these
channels are gated. For clarity and convenience, we focus on sensory
mechanobiology in nematodes, fruit flies, and mice. These themes are emphasized:
asymmetric responses to applied forces, which may reflect anisotropy of the
structure and mechanics of sensory mechanoreceptor cells, and proteins that
function as MeT channels, which appear to have emerged many times through
evolution.
PMID- 26566116
TI - Toward a synthesis of developmental biology with evolutionary theory and ecology.
AB - The evolutionary conservation of developmental mechanisms is a truism in biology,
but few attempts have been made to integrate development with evolutionary theory
and ecology. To work toward such a synthesis, we summarize studies in the
nematode model Pristionchus pacificus, focusing on the development of the dauer,
a stress-resistant, alternative larval stage. Integrative approaches combining
molecular and genetic principles of development with natural variation and
ecological studies in wild populations have identified a key role for a
developmental switch mechanism in dauer development and evolution, one that
involves the nuclear hormone receptor DAF-12. DAF-12 is a crucial regulator and
convergence point for different signaling inputs, and its function is conserved
among free-living and parasitic nematodes. Furthermore, DAF-12 is the target of
regulatory loops that rely on novel or fast-evolving components to control the
intraspecific competition of dauer larvae. We propose developmental switches as
paradigms for understanding the integration of development, evolution, and
ecology at the molecular level.
PMID- 26566111
TI - Bacterial chromosome organization and segregation.
AB - If fully stretched out, a typical bacterial chromosome would be nearly 1 mm long,
approximately 1,000 times the length of a cell. Not only must cells massively
compact their genetic material, but they must also organize their DNA in a manner
that is compatible with a range of cellular processes, including DNA replication,
DNA repair, homologous recombination, and horizontal gene transfer. Recent work,
driven in part by technological advances, has begun to reveal the general
principles of chromosome organization in bacteria. Here, drawing on studies of
many different organisms, we review the emerging picture of how bacterial
chromosomes are structured at multiple length scales, highlighting the functions
of various DNA-binding proteins and the impact of physical forces. Additionally,
we discuss the spatial dynamics of chromosomes, particularly during their
segregation to daughter cells. Although there has been tremendous progress, we
also highlight gaps that remain in understanding chromosome organization and
segregation.
PMID- 26566117
TI - Structure, regulation, and functional diversity of microvilli on the apical
domain of epithelial cells.
AB - Microvilli are actin-based structures found on the apical aspect of many
epithelial cells. In this review, we discuss different types of microvilli, as
well as comparisons with actin-based sensory stereocilia and filopodia. Much is
known about the actin-bundling proteins of these structures; we summarize recent
studies that focus on the components of the microvillar membrane. We pay special
attention to mechanisms of membrane microfilament attachment by the
ezrin/radixin/moesin family and regulation of this protein family. We also
discuss the NHERF family of scaffolding proteins that are found in microvilli and
their role in microvilli regulation. Microvilli on cultured cells are not static
structures, and their dynamics and those of their components are discussed.
Finally, we mention diseases related to microvilli and outline questions that our
current knowledge will allow the field to address in the near future.
PMID- 26566119
TI - The ins and outs of polarized axonal domains.
AB - Myelinated axons are divided into polarized subdomains including axon initial
segments and nodes of Ranvier. These domains initiate and propagate action
potentials and regulate the trafficking and localization of somatodendritic and
axonal proteins. Formation of axon initial segments and nodes of Ranvier depends
on intrinsic (neuronal) and extrinsic (glial) interactions. Several levels of
redundancy in both mechanisms and molecules also exist to ensure efficient node
formation. Furthermore, the establishment of polarized domains at and near nodes
of Ranvier reflects the intrinsic polarity of the myelinating glia responsible
for node assembly. Here, we discuss the various polarized domains of myelinated
axons, how they are established by both intrinsic and extrinsic interactions, and
the polarity of myelinating glia.
PMID- 26566118
TI - Wnt-Frizzled/planar cell polarity signaling: cellular orientation by facing the
wind (Wnt).
AB - The establishment of planar cell polarity (PCP) in epithelial and mesenchymal
cells is a critical, evolutionarily conserved process during development and
organogenesis. Analyses in Drosophila and several vertebrate model organisms have
contributed a wealth of information on the regulation of PCP. A key conserved
pathway regulating PCP, the so-called core Wnt-Frizzled PCP (Fz/PCP) signaling
pathway, was initially identified through genetic studies of Drosophila. PCP
studies in vertebrates, most notably mouse and zebrafish, have identified novel
factors in PCP signaling and have also defined cellular features requiring PCP
signaling input. These studies have shifted focus to the role of Van Gogh
(Vang)/Vangl genes in this molecular system. This review focuses on new insights
into the core Fz/Vangl/PCP pathway and recent advances in Drosophila and
vertebrate PCP studies. We attempt to integrate these within the existing core
Fz/Vangl/PCP signaling framework.
PMID- 26566121
TI - Blue-Green Algae Inhibit the Development of Atherosclerotic Lesions in
Apolipoprotein E Knockout Mice.
AB - Hyperlipidemia and inflammation contribute to the development of atherosclerotic
lesions. Our objective was to determine antiatherogenic effect of edible blue
green algae (BGA) species, that is, Nostoc commune var. sphaeroides Kutzing (NO)
and Spirulina platensis (SP), in apolipoprotein E knockout (ApoE(-/-)) mice, a
well-established mouse model of atherosclerosis. Male ApoE(-/-) mice were fed a
high-fat/high-cholesterol (HF/HC, 15% fat and 0.2% cholesterol by wt) control
diet or a HF/HC diet supplemented with 5% (w/w) of NO or SP powder for 12 weeks.
Plasma total cholesterol (TC) and triglycerides (TG) were measured, and livers
were analyzed for histology and gene expression. Morphometric analysis for
lesions and immunohistochemical analysis for CD68 were conducted in the aorta and
the aortic root. NO supplementation significantly decreased plasma TC and TG, and
liver TC, compared to control and SP groups. In the livers of NO-fed mice, less
lipid droplets were present with a concomitant decrease in fatty acid synthase
protein levels than the other groups. There was a significant increase in hepatic
low-density lipoprotein receptor protein levels in SP-supplemented mice than in
control and NO groups. Quantification of aortic lesions by en face analysis
demonstrated that both NO and SP decreased aortic lesion development to a similar
degree compared with control. While lesions in the aortic root were not
significantly different between groups, the CD68-stained area in the aortic root
was significantly lowered in BGA-fed mice than controls. In conclusion, both NO
and SP supplementation decreased the development of atherosclerotic lesions,
suggesting that they may be used as a natural product for atheroprotection.
PMID- 26566120
TI - Regulation of connexin signaling by the epigenetic machinery.
AB - Connexins and their channels are involved in the control of all aspects of the
cellular life cycle, ranging from cell growth to cell death, by mediating
extracellular, intercellular and intracellular communication. These multifaceted
aspects of connexin-related cellular signaling obviously require strict
regulation. While connexin channel activity is mainly directed by
posttranslational modifications, connexin expression as such is managed by
classical cis/trans mechanisms. Over the past few years, it has become clear that
connexin production is equally dictated by epigenetic actions. This paper
provides an overview of the role of major determinants of the epigenome,
including DNA methylation, histone acetylation and microRNA species, in connexin
expression.
PMID- 26566122
TI - Anti-dandruff Hair Tonic Containing Lemongrass (Cymbopogon flexuosus) Oil.
AB - BACKGROUND: Natural remedies for treating dandruff are becoming popular.
MATERIALS AND METHODS: A randomized, double-blind, placebo-controlled, split-head
efficacy evaluation was conducted 30 Thai volunteers aged 20-60 years
experiencing dandruff measured at level 3 on D-Squame(r) scale. An easy to use
hair tonic containing essential oil of lemongrass (Cymbopogon flexuosus) active
against lipophilic yeasts was developed and then evaluated for efficacy and
preference. The base formulation with the significantly highest preference (p <
0.05) was stowed with the oil at 5, 10 or 15%. Subjects applied the formulation
twice a day, and an efficacy assessment with D-Squame(r) scale was conducted on
days 7 and 14 of application. RESULTS: The application of lemongrass oil hair
tonics with 5, 10, or 15% reduced dandruff significant (p < 0.005) at day 7 (33,
75, and 51%) and increased the effect even more (p < 0.005) at day 14 (52, 81,
and 74%). CONCLUSION: The hair tonic formulation with 10% of lemongrass oil seems
to be the most effective preparation.
PMID- 26566123
TI - Production of Infectious Dengue Virus in Aedes aegypti Is Dependent on the
Ubiquitin Proteasome Pathway.
AB - Dengue virus (DENV) relies on host factors to complete its life cycle in its
mosquito host for subsequent transmission to humans. DENV first establishes
infection in the midgut of Aedes aegypti and spreads to various mosquito organs
for lifelong infection. Curiously, studies have shown that infectious DENV titers
peak and decrease thereafter in the midgut despite relatively stable viral genome
levels. However, the mechanisms that regulate this decoupling of infectious
virion production from viral RNA replication have never been determined. We show
here that the ubiquitin proteasome pathway (UPP) plays an important role in
regulating infectious DENV production. Using RNA interference studies, we show in
vivo that knockdown of selected UPP components reduced infectious virus
production without altering viral RNA replication in the midgut. Furthermore,
this decoupling effect could also be observed after RNAi knockdown in the
head/thorax of the mosquito, which otherwise showed direct correlation between
infectious DENV titer and viral RNA levels. The dependence on the UPP for
successful DENV production is further reinforced by the observed up-regulation of
key UPP molecules upon DENV infection that overcome the relatively low expression
of these genes after a blood meal. Collectively, our findings indicate an
important role for the UPP in regulating DENV production in the mosquito vector.
PMID- 26566125
TI - Situations in 140 Characters: Assessing Real-World Situations on Twitter.
AB - Over 20 million Tweets were used to study the psychological characteristics of
real-world situations over the course of two weeks. Models for automatically and
accurately scoring individual Tweets on the DIAMONDS dimensions of situations
were developed. Stable daily and weekly fluctuations in the situations that
people experience were identified. Predicted temporal trends were found,
providing validation for this new method of situation assessment. On weekdays,
Duty peaks in the midmorning and declines steadily thereafter while Sociality
peeks in the evening. Negativity is highest during the workweek and lowest on the
weekends. pOsitivity shows the opposite pattern. Additionally, gender and
locational differences in the situations shared on Twitter are explored. Females
share both more emotionally charged (pOsitive and Negative) situations, while no
differences were found in the amount of Duty experienced by males and females.
Differences in the situations shared from Rural and Urban areas were not found.
Future applications of assessing situations using social media are discussed.
PMID- 26566124
TI - Endogenous Murine BST-2/Tetherin Is Not a Major Restriction Factor of Influenza A
Virus Infection.
AB - BST-2 (tetherin, CD317, HM1.24) restricts virus growth by tethering enveloped
viruses to the cell surface. The role of BST-2 during influenza A virus infection
(IAV) is controversial. Here, we assessed the capacity of endogenous BST-2 to
restrict IAV in primary murine cells. IAV infection increased BST-2 surface
expression by primary macrophages, but not alveolar epithelial cells (AEC). BST-2
deficient AEC and macrophages displayed no difference in susceptibility to IAV
infection relative to wild type cells. Furthermore, BST-2 played little role in
infectious IAV release from either AEC or macrophages. To examine BST-2 during
IAV infection in vivo, we infected BST-2-deficient mice. No difference in weight
loss or in viral loads in the lungs and/or nasal tissues were detected between
BST-2-deficient and wild type animals. This study rules out a major role for
endogenous BST-2 in modulating IAV in the mouse model of infection.
PMID- 26566127
TI - Evidence of Field-Evolved Resistance to Bifenthrin in Western Corn Rootworm
(Diabrotica virgifera virgifera LeConte) Populations in Western Nebraska and
Kansas.
AB - Pyrethroid insecticides have been used to control larvae or adults of the western
corn rootworm (WCR), Diabrotica virgifera virgifera LeConte, a key pest of field
corn in the United States. In response to reports of reduced efficacy of
pyrethroids in WCR management programs in southwestern areas of Nebraska and
Kansas the present research was designed to establish a baseline of
susceptibility to the pyrethroid insecticide, bifenthrin, using susceptible
laboratory populations and to compare this baseline with susceptibility of field
populations. Concentration-response bioassays were performed to estimate the
baseline susceptibility. From the baseline data, a diagnostic concentration
(LC99) was determined and used to test adults of both laboratory and field
populations. Larval susceptibility was also tested using both laboratory and
field populations. Significant differences were recorded in adult and larval
susceptibility among WCR field and laboratory populations. The highest LC50 for
WCR adults was observed in populations from Keith 2 and Chase Counties, NE, with
LC50s of 2.2 and 1.38 MUg/vial, respectively, and Finney County 1, KS, with 1.43
MUg/vial, as compared to a laboratory non-diapause population (0.24 MUg/vial).
For larvae, significant differences between WCR field and laboratory populations
were also recorded. Significant differences in mortalities at the diagnostic
bifenthrin concentration (LC99) were observed among WCR adult populations with
western Corn Belt populations exhibiting lower susceptibility to bifenthrin,
especially in southwestern Nebraska and southwestern Kansas. This study provides
evidence that resistance to bifenthrin is evolving in field populations that have
been exposed for multiple years to pyrethroid insecticides. Implications to
sustainable rootworm management are discussed.
PMID- 26566126
TI - Genetic Variation in the Catechol-O-Methyl Transferase Val108/158Met Is Linked to
the Caudate and Posterior Cingulate Cortex Volume in Healthy Subjects: Voxel
Based Morphometry Analysis of Brain Magnetic Resonance Imaging.
AB - The effect of the catechol-O-methyltransferase (COMT) Val158Met polymorphism on
brain morphology has been investigated but remains controversial. We hypothesized
that a comparison between Val/Val and Val/Met individuals, which may represent
the most different combinations concerning the effects of the COMT genotype, may
reveal new findings. We investigated the brain morphology using 3-Tesla magnetic
resonance imaging in 27 Val/Val and 22 Val/Met individuals. Voxel-based
morphometry revealed that the volumes of the bilateral caudate and posterior
cingulate cortex were significantly smaller in Val/Val individuals than in
Val/Met individuals [right caudate: false discovery rate (FDR)-corrected p =
0.048; left caudate: FDR-corrected p = 0.048; and bilateral posterior cingulate
cortex: FDR-corrected p = 0.048]. This study demonstrates that interacting
functional variants of COMT affect gray matter regional volumes in healthy
subjects.
PMID- 26566128
TI - Nature and Extent of Genetic Diversity of Dengue Viruses Determined by 454
Pyrosequencing.
AB - Dengue virus (DENV) populations are characteristically highly diverse. Regular
lineage extinction and replacement is an important dynamic DENV feature, and most
DENV lineage turnover events are associated with increased incidence of disease.
The role of genetic diversity in DENV lineage extinctions is not understood. We
investigated the nature and extent of genetic diversity in the envelope (E) gene
of DENV serotype 1 representing different lineages histories. A region of the
DENV genome spanning the E gene was amplified and sequenced by Roche/454
pyrosequencing. The pyrosequencing results identified distinct sub-populations
(haplotypes) for each DENV-1 E gene. A phylogenetic tree was constructed with the
consensus DENV-1 E gene nucleotide sequences, and the sequences of each
constructed haplotype showed that the haplotypes segregated with the Sanger
consensus sequence of the population from which they were drawn. Haplotypes
determined through pyrosequencing identified a recombinant DENV genome that could
not be identified through Sanger sequencing. Nucleotide level sequence
diversities of DENV-1 populations determined from SNP analysis were very low,
estimated from 0.009-0.01. There were also no stop codon, frameshift or non
frameshift mutations observed in the E genes of any lineage. No significant
correlations between the accumulation of deleterious mutations or increasing
genetic diversity and lineage extinction were observed (p>0.5). Although our
hypothesis that accumulation of deleterious mutations over time led to the
extinction and replacement of DENV lineages was ultimately not supported by the
data, our data does highlight the significant technical issues that must be
resolved in the way in which population diversity is measured for DENV and other
viruses. The results provide an insight into the within-population genetic
structure and diversity of DENV-1 populations.
PMID- 26566129
TI - Assessment of Third Molar Impaction Pattern and Associated Clinical Symptoms in a
Central Anatolian Turkish Population.
AB - OBJECTIVES: The purpose of this study was to assess the pattern of third molar
impaction and associated symptoms in a Central Anatolian Turkish population.
MATERIAL AND METHODS: A total of 2,133 impacted third molar teeth of 705
panoramic radiographs were reviewed. The positions of impacted third molar teeth
on the panoramic radiographs were documented according to the classifications of
Pell and Gregory and of Winter. The presence of related symptoms including pain,
pericoronitis, lymphadenopathy and trismus was noted for every patient.
Distributions of obtained values were compared using the Pearson chi2 test.
Nonparametric values were analyzed using the Mann-Whitney U test and Kruskal
Wallis test. RESULTS: The mean age of the subjects was 30.58 +/- 11.98 years
(range: 19-73); in a review of the 2,133 impacted third molar teeth, the most
common angulation of impaction in both maxillaries was vertical (1,177; 55%).
Level B impaction was the most common in the maxilla (425/1,037; 39%), while
level C impaction was the most common in the mandible (635/1,096; 61%). Pain
(272/705; 39%) and pericoronitis (188/705; 27%) were found to be the most common
complications of impaction. Among 705 patients (335 males, 370 females),
pericoronitis was more prevalent in males (101; 30%) and usually related to lower
third molars (236; 22%). The retromolar space was significantly smaller in
females (p < 0.05). Moreover, there was a significant difference in retromolar
space for the area of jaw (maxillary: 11.3 mm; mandibular: 14.2 mm) and impaction
level (A: 14.7 mm; B: 11.1 mm; C: 10.3 mm; p < 0.05). CONCLUSION: The pattern of
third molar impaction in a Central Anatolian Turkish population was characterized
by a high prevalence rate of level C impaction with vertical position. Pain and
pericoronitis were the most common symptoms usually associated with level A
impaction and vertical position.
PMID- 26566130
TI - Stopping the tsunami of stress in primary care.
AB - This article provides an overview of the psychoneuroimmunologic impact of stress
on illness along with a review of mind/body therapies to counteract the effects
of stress. Primary care providers are encouraged to promote stress reduction and
translate evidence into practice by recommending mind/body therapies.
PMID- 26566131
TI - Contraceptive management: Remembering the birth of the pill.
PMID- 26566136
TI - Detection and Characterization of Metastatic Cancer Cells in the Mesogastrium of
Gastric Cancer Patients.
AB - Gastric cancer is the second leading cause of cancer death worldwide. Here, we
propose a novel type of tumor metastasis designated as Metastasis V in gastric
cancer. Metastasis V is defined as the appearance of cancer cells in the
mesogastrium with perigastric adipose tissue. To detect its incidence and
characterize its clinic pathological features, large cross sectional tissue
analysis of mesogastrium from 74 patients were used. Metastasis V was detected in
1 of 40 (2.5%) patients with early gastric cancer, 8 of 34 (24%) patients with
advanced gastric cancer. The mean distance of Metastasis V from gastric wall was
approximately 2.6 cm. Metastasis V was closely associated with tumor invasion
depth, along with a number of positive lymph node metastasis. The prognosis of
patients with Metastasis V was significantly (P<0.05) worse than those with tumor
cell-free mesogastrium. These findings indicate that by using whole-sectional
analysis, Metastasis V can be detected in the mesogastrium of gastric cancer
patients, and also suggests that it may be a risk factor for patient survival
after radical surgery.
PMID- 26566137
TI - The Effects of Feature-Based Priming and Visual Working Memory on Oculomotor
Capture.
AB - Recently, it has been demonstrated that objects held in working memory can
influence rapid oculomotor selection. This has been taken as evidence that
perceptual salience can be modified by active working memory representations. The
goal of the present study was to examine whether these results could also be
caused by feature-based priming. In two experiments, participants were asked to
saccade to a target line segment of a certain orientation that was presented
together with a to-be-ignored distractor. Both objects were given a task
irrelevant color that varied per trial. In a secondary task, a color had to be
memorized, and that color could either match the color of the target, match the
color of the distractor, or it did not match the color of any of the objects in
the search task. The memory task was completed either after the search task
(Experiment 1), or before it (Experiment 2). The results showed that in both
experiments the memorized color biased oculomotor selection. Eye movements were
more frequently drawn towards objects that matched the memorized color,
irrespective of whether the memory task was completed after (Experiment 1) or
before (Experiment 2) the search task. This bias was particularly prevalent in
short-latency saccades. The results show that early oculomotor selection
performance is not only affected by properties that are actively maintained in
working memory but also by those previously memorized. Both working memory and
feature priming can cause early biases in oculomotor selection.
PMID- 26566138
TI - Identification and characterization of the zosA gene involved in copper uptake in
Bacillus subtilis 168.
AB - DL-Penicillamine, a copper-specific metal chelator, remarkably suppressed the
growth of Bacillus subtilis 168 when added to a synthetic medium under Cu(2+)
limitation. DNA microarray and screening of 2,602 knockout mutants showed that
the zosA gene was de-repressed in the presence of 0.1% dl-penicillamine, and that
the zosA mutant was sensitive to dl-penicillamine medium. The zosA mutant delayed
the growth under Cu-limitation even without the chelator, and the sensitivity to
dl-penicillamine was reversed by induction using 0.3 mM IPTG and the Pspac
promoter inserted directly upstream of the zosA gene. Furthermore, the zosA
mutant showed elevated tolerance of excessive Cu(2+) but not of excessive Zn(2+)
added to LB and synthetic media. Homology modeling of the ZosA protein suggested
that the protein can fold itself into essential domains for constituting a metal
transporting ATPase. Our study suggests that zosA is a candidate gene involved in
copper uptake.
PMID- 26566139
TI - A Comparison of the Quality of Life of Arab Mothers of Children with and without
Chronic Disabilities.
AB - AIM: To compare the quality of life (QoL) of Arab mothers in Kuwait who had
children with chronic disabilities and those whose children had no disabilities
and provide recommendations for how occupational therapists can assist in
enhancing the mothers' QOL. METHODS: The case group included 71 mothers of
children with chronic disabilities and a control group of 86 mothers of children
without disabilities. Mothers' QoL was assessed using the Arabic WHOQOL-BREF
questionnaire and the support systems of mothers of children with disabilities
using a support questionnaire. RESULTS: The QoL of mothers of children with
disabilities was significantly lower than that of mothers of healthy children (p
< .001) in all four domains of WHOQOL-BREF (physical health, psychological
wellbeing, social relationships, and environment). Mothers of children with
disabilities reported that they received most support from their nuclear family.
There was a lack of formal support systems in place in Kuwait. CONCLUSION:
Mothers of children with disabilities perceive their QoL as poor and they have
limited support systems. Occupational therapists are encouraged to serve as a
resource for families, sharing information including available services and
community resources, and supporting mothers as competent caregivers.
PMID- 26566140
TI - Self-assembly of block copolymers on lithographically patterned template with
ordered posts.
AB - Dissipative particle dynamics simulations are employed to study the self-assembly
of block copolymers on a template modified with ordered posts. Templates with
hexagonally arranged and rectangularly arranged posts are both studied. For the
systems with hexagonally arranged posts, morphologies with bending alignments are
seen most often. We find that the different kinds of patterns, which can be
directly observed in experiments, are substantially induced by the pattern of the
bottom layer. In the simulations with a template modified with rectangularly
arranged posts, by finely adjusting the distances between neighboring posts in
both x and y directions, mesh-shaped structures with different angles between the
bottom and the sub-bottom layers can be obtained. These results shed light on the
better design of lithographically patterned materials on the scale of 10 nm via
the directed self-assembly of BCPs by templating.
PMID- 26566141
TI - Detection of Urothelial Carcinoma: Comparison of Reduced-Dose Iterative
Reconstruction with Standard-Dose Filtered Back Projection.
AB - PURPOSE: To prospectively assess radiation dose, image quality, and diagnostic
performance of computed tomography (CT) urography for detection of urothelial
carcinomas by performing reduced-dose scanning with iterative reconstruction (IR)
compared with standard-dose scanning with filtered back projection (FBP).
MATERIALS AND METHODS: The institutional review board approved the study with
written informed patient consent. In total, 2163 patients at high risk for
urothelial carcinomas randomly underwent standard-dose scanning with FBP
(protocol A, 120 kVp for >80 kg body weight; protocol B, 100 kVp for 50-80 kg
body weight) or reduced-dose scanning with IR (protocol C, 100 kVp for >80 kg
body weight; protocol D, 80 kVp for 50-80 kg body weight). Objective image
quality (signal-to-noise ratio and contrast-to-noise ratio) between the two
groups with same weight range was measured for various regions of interest.
Subjective image quality (visual image noise, artifact, ureter depiction, and
overall image quality) and diagnostic accuracy (per lesion and per patient) were
assessed with three- and five-point scores, respectively. RESULTS: Size-specific
dose estimate (protocol A vs protocol C, 24.2 mGy vs 19.2 mGy, respectively;
protocol B vs protocol D,13.9 mGy vs 8.8 mGy, respectively) was significantly
lower in reduced-dose scanning (P < .001 for both). There were significantly
higher signal-to-noise and contrast-to-noise ratios in reduced-dose scanning,
except for the abdominal aorta (P < .05 for all). There was no significant
difference in subjective image quality, except for artifacts in protocols B and D
(range, 4-5 vs 3-4; P < .05). Per-lesion diagnostic accuracy was 90.8% (89 of 98,
protocol A), 91.3% (105 of 115, protocol B), 92.9% (79 of 85, protocol C), and
88.8% (111 of 125, protocol D). CONCLUSION: Reduced-dose scanning with IR showed
dose reduction and no significant difference of image quality in detection of
urothelial carcinomas, except for some artifacts in 80-kVp scanning.
PMID- 26566143
TI - Synthesis and reactivities of monofluoro acylboronates in chemoselective amide
bond forming ligation with hydroxylamines.
AB - The development of chemoselective reactions is a cornerstone of bioorganic
chemistry and chemical ligation. Our contributions in this area include the
development of two mechanistically distinct amide bond forming reactions, the
alpha-ketoacid-hydroxylamine (KAHA) ligation for the chemical synthesis of
proteins and the potassium acyltrifluoroborate (KAT) ligation for bioconjugation.
The remarkable stability and unique reactivity of KATs with hydroxylamines
prompted us to explore other acylborons as a ligation partner, which have been
unappreciated in synthetic organic chemistry. A new convenient synthetic route
was devised from KATs and suitable bidentate ligands, allowing for the
preparation of a wide range of new acylboronates that retain one fluoride ligand
on the boron. Our results established that a ligand on the boron is responsible
for their reactivity and stability and provide a firm basis for further
development of the acylboron-hydroxylamine amide bond forming ligation.
PMID- 26566142
TI - Co-Transcriptomes of Initial Interactions In Vitro between Streptococcus
Pneumoniae and Human Pleural Mesothelial Cells.
AB - Streptococcus pneumoniae (Spn) is a major causative organism of empyema, an
inflammatory condition occurring in the pleural sac. In this study, we used human
and Spn cDNA microarrays to characterize the transcriptional responses occurring
during initial contact between Spn and a human pleural mesothelial cell line
(PMC) in vitro. Using stringent filtering criteria, 42 and 23 Spn genes were up
and down-regulated respectively. In particular, genes encoding factors
potentially involved in metabolic processes and Spn adherence to eukaryotic cells
were up-regulated e.g. glnQ, glnA, aliA, psaB, lytB and nox. After Spn initial
contact, 870 human genes were differentially regulated and the largest numbers of
significant gene expression changes were found in canonical pathways for
eukaryotic initiation factor 2 signaling (60 genes out of 171), oxidative
phosphorylation (32/103), mitochondrial dysfunction (37/164), eIF4 and p70S6K
signaling (28/142), mTOR signaling (27/182), NRF2-mediated oxidative stress
response (20/177), epithelial adherens junction remodeling (11/66) and
ubiquitination (22/254). The cellular response appeared to be directed towards
host cell survival and defense. Spn did not activate NF-kB or phosphorylate p38
MAPK or induce cytokine production from PMC. Moreover, Spn infection of TNF-alpha
pre-stimulated PMC inhibited production of IL-6 and IL-8 secretion by >50%
(p<0.01). In summary, this descriptive study provides datasets and a platform for
examining further the molecular mechanisms underlying the pathogenesis of
empyema.
PMID- 26566144
TI - Maternal Diabetes and Cognitive Performance in the Offspring: A Systematic Review
and Meta-Analysis.
AB - OBJECTIVE: Diabetes during gestation is one of the most common pregnancy
complications associated with adverse health effects for the mother and the
child. Maternal diabetes has been proposed to negatively affect the cognitive
abilities of the child, but experimental research assessing its impact is
conflicting. The main aim of our study was to compare the cognitive function in
children of diabetic and healthy pregnant women. METHODS: A systematic review and
meta-analysis was conducted through a literature search using different
electronic databases from the index date to January 31, 2015. We included studies
that assessed the cognitive abilities in children (up to 14 years) of diabetic
and non-diabetic mothers using standardized and validated neuropsychological
tests. RESULTS: Of 7,698 references reviewed, 12 studies involving 6,140 infants
met our inclusion criteria and contributed to meta-analysis. A random effect
model was used to compute the standardized mean differences and 95% confidence
interval (CI) were calculated. Infants (1-2 years) of diabetic mothers had
significantly lower scores of mental and psychomotor development compared to
control infants. The effect size for mental development was -0.41 (95% CI -0.59,
0.24; p<0.0001) and for psychomotor development was -0.31 (95% CI -0.55, -0.07; p
= 0.0125) with non-significant heterogeneity. Diabetes during pregnancy could be
associated with decreased intelligence quotient scores in school-age children,
although studies showed significant heterogeneity. CONCLUSION: The association
between maternal diabetes and deleterious effects on mental/psychomotor
development and overall intellectual function in the offspring must be taken with
caution. Results are based on observational cohorts and a direct causal influence
of intrauterine hyperglycemia remains uncertain. Therefore, more trials that
include larger populations are warranted to elucidate whether gestational
diabetes mellitus (GDM) has a negative impact on offspring central nervous system
(CNS).
PMID- 26566146
TI - Reticulophagy and nucleophagy: New findings and unsolved issues.
AB - Autophagy targets various intracellular components ranging from proteins and
nucleic acids to organelles for their degradation in lysosomes or vacuoles. In
selective types of autophagy, receptor proteins play central roles in target
selection. These proteins bind or localize to specific targets, and also interact
with Atg8 family proteins on forming autophagosomal membranes, leading to the
efficient sequestration of the targets by the membranes. Our recent study
revealed that yeast cells actively degrade the endoplasmic reticulum (ER) and
even part of the nucleus via selective autophagy under nitrogen-deprived
conditions. We identified novel receptors, Atg39 and Atg40, specific to these
pathways. Here, we summarize our findings on 'reticulophagy' (or 'ER-phagy') and
'nucleophagy', and discuss key issues that remain to be solved in future studies.
PMID- 26566145
TI - Rich RNA Structure Landscapes Revealed by Mutate-and-Map Analysis.
AB - Landscapes exhibiting multiple secondary structures arise in natural RNA
molecules that modulate gene expression, protein synthesis, and viral infection
[corrected]. We report herein that high-throughput chemical experiments can
isolate an RNA's multiple alternative secondary structures as they are stabilized
by systematic mutagenesis (mutate-and-map, M2) and that a computational
algorithm, REEFFIT, enables unbiased reconstruction of these states' structures
and populations. In an in silico benchmark on non-coding RNAs with complex
landscapes, M2-REEFFIT recovers 95% of RNA helices present with at least 25%
population while maintaining a low false discovery rate (10%) and conservative
error estimates. In experimental benchmarks, M2-REEFFIT recovers the structure
landscapes of a 35-nt MedLoop hairpin, a 110-nt 16S rRNA four-way junction with
an excited state, a 25-nt bistable hairpin, and a 112-nt three-state adenine
riboswitch with its expression platform, molecules whose characterization
previously required expert mutational analysis and specialized NMR or chemical
mapping experiments. With this validation, M2-REEFFIT enabled tests of whether
artificial RNA sequences might exhibit complex landscapes in the absence of
explicit design. An artificial flavin mononucleotide riboswitch and a randomly
generated RNA sequence are found to interconvert between three or more states,
including structures for which there was no design, but that could be stabilized
through mutations. These results highlight the likely pervasiveness of rich
landscapes with multiple secondary structures in both natural and artificial RNAs
and demonstrate an automated chemical/computational route for their empirical
characterization.
PMID- 26566147
TI - Recommendations for the development and validation of flow cytometry-based
receptor occupancy assays.
AB - Receptor occupancy measurements demonstrate the binding of a biotherapeutic agent
to its extra-cellular target and represent an integral component of the
pharmacodynamic (PD) portfolio utilized to advance the development and
commercialization of a therapeutic agent. Coupled with traditional
pharmacokinetic (PK) assessments derived from serum drug concentration, receptor
occupancy data can be used to model PK/PD relationships and validate dose
selection decisions throughout the drug development lifecycle. Receptor occupancy
assays can be even more challenging to develop than other flow cytometric methods
(e.g. surface immunophenotyping). In addition to typical considerations regarding
stability of the cell type of interest, stability of the target-bound therapeutic
agent and stability of the target receptor must be taken into account. Reagent
selection is also challenging as reagents need to be evaluated for the potential
to compete with the therapeutic agent and bind with comparable affinity. This
article provides technical guidance for the development and validation of
cytometry-based receptor occupancy assays.
PMID- 26566148
TI - Effects of Atorvastatin on Serum High-Sensitive C-Reactive Protein and Total
Cholesterol Levels in Asian Patients With Atrial Fibrillation.
AB - Elevated serum levels of high-sensitive C-reactive protein (hs-CRP) and total
cholesterol (TC) correlate with atherosclerotic vascular disease and increased
frequency of vascular events. In this study, we investigated the effect of
atorvastatin treatment on serum hs-CRP and TC levels, and the recurrence rate of
atrial fibrillation (AF) in patients. Furthermore, a meta-analysis was performed
to confirm the findings in this study. A total of 105 patients with AF were
recruited to this study, including 55 patients with AF who were treated with
amiodarone and atorvastatin (the treatment group) and 50 patients with AF who
were treated with only amiodarone (the control group). Patients were treated for
12 months and followed up regularly for 1 year. Serum hs-CRP and TC levels in
patients before and after treatment were recorded, and AF recurrence rate at 3,
6, and 12 months of treatment was obtained. Statistical analyses were performed
with R 3.1.0 software and STATA 12.0 software. For patients in both treatment and
control groups, serum hs-CRP and TC levels were high before the treatments began
(both P < 0.05). However, after 12 months of treatment, serum hs-CRP and TC
levels in the treatment group was dramatically reduced compared with the control
group (hs-CRP: 3.63 +/- 2.14 mg/L vs. 2.75 +/- 1.89 mg/L, t = 2.24, P = 0.027;
TC: 4.66 +/- 1.13 mmol/L vs. 4.20 +/- 1.06 mmol/L, t = 2.15, P = 0.034). After 12
months of treatment, the AF recurrence rate in the treatment group was
significantly lower than the control group (16.4% vs. 34.0%; chi = 4.37; P =
0.037). In addition, 13 studies were selected for meta-analysis. Pooled results
of the meta-analysis showed that serum hs-CRP and TC levels decreased
significantly in the treatment group compared with the case group [hs-CRP: SMD =
0.95, 95% confidence interval (CI) = 0.62-1.29, and P < 0.001; TC: SMD = 1.39,
95% CI = 0.65-2.13, and P < 0.001]. Our study presents compelling evidence that
atorvastatin is highly effective in reducing serum hs-CRP and TC levels and
lowering the recurrence rate of AF.
PMID- 26566149
TI - The Effect of Trimethoprim on Serum Folate Levels in Humans: A Randomized, Double
Blind, Placebo-Controlled Trial.
AB - Trimethoprim antagonize the actions of folate by inhibition of dihydrofolate
reductase. This could diminish serum folate levels in humans and causes folate
deficiency in some patients. We conducted a randomized, double-blind, placebo
controlled trial, to investigate the effect of trimethoprim on serum folate
levels in healthy participants after a 7-day trial period. Thirty young, healthy
males were randomly allocated to receive trimethoprim, 200 mg twice daily, and 30
were randomly allocated to placebo. Before trial initiation, participant numbers
were given randomly generated treatment allocations within sealed opaque
envelopes. Participants and all staff were kept blinded to treatment allocations
during the trial. Serum folate was measured at baseline and at end of trial. In
the 58 participants analyzed (30 in the trimethoprim group and 28 in the placebo
group), 8 had folate deficiency at baseline. Within the trimethoprim group, serum
folate was significantly decreased (P = 0.018) after the trial. We found a mean
decrease in serum folate among trimethoprim exposed of 1.95 nmol/L, compared with
a 0.21 nmol/L mean increase in the placebo group (P = 0.040). The proportion of
folate-deficient participants increased significantly within the trimethoprim
group (P = 0.034). No serious adverse events were observed. In conclusion, we
found that a daily dose of 400 mg trimethoprim for 7 days significantly lowered
serum folate levels in healthy study participants.
PMID- 26566151
TI - Valsartan Attenuates KIR2.1 by Downregulating the Th1 Immune Response in Rats
Following Myocardial Infarction.
AB - BACKGROUND: Myocardial infarction (MI) results in decreased inward-rectifier K+
current (IK1), which is mediated primarily by the Kir2.1 protein and is
accompanied by upregulated T cells. Interferon gamma (IFN-gamma), secreted
predominantly by Th1 cells, causes a decrease in IK1 in microglia. Whether Th1
cells can induce IK1/Kir2.1 remodeling following MI and whether valsartan can
ameliorate this phenomenon remain unclear. METHODS: Rats experiencing MI received
either valsartan or saline for 7 days. Th1-enriched lymphocytes and myocytes were
cocultured with or without valsartan treatment. Th1 cells were monitored by flow
cytometry. The protein levels of Kir2.1 were detected by Western blot analyses.
IK1 was recorded through whole-cell patch clamping. The plasma levels of IFN
gamma, interleukin 2, and tumor necrosis factor alpha were detected by enzyme
linked immunosorbent assay. RESULTS: Th1 cell number and cytokine expression
levels were higher following MI, and the Kir2.1 protein level was decreased. In
MI rats, valsartan reduced Th1 cell number and cytokine expression levels and
increased the Kir2.1 expression and the IK1 current compared with the rats that
received saline treatment; these results are consistent with the effect of
valsartan in cocultured lymphocytes and myocytes. In vitro, IFN-gamma
overexpression suppressed the IK1 current, whereas interleukin 2 and tumor
necrosis factor alpha had no significant effect on the current, establishing that
Th1 cell regulation of IK1/Kir2.1 expression is mainly dependent on IFN-gamma.
CONCLUSIONS: Valsartan ameliorates IK1/Kir2.1 remodeling by downregulating the
Th1 immune response following MI.
PMID- 26566152
TI - Antihypertensive Properties of a Novel Morphologic Derivative (4-tert-buthyl-2,6
bis(thiomorpholine-4-ilmethyl)phenol).
AB - We evaluated the antihypertensive properties of 4-tert-buthyl-2,6
bis(thiomorpholine-4-ilmethyl)phenol (TBTIF). Spontaneously hypertensive rats
were treated with TBTIF or captopril (both at 1 mg.kg-1.d-1 intramuscularly for 4
days), and their blood pressure (BP) was assessed. In some experiments,
concentration response curves to angiotensin I or angiotensin II were generated
in rat aortic rings and in the absence or presence of Ang-(1-7), N(G)-monomethyl
L-arginine, or both; additionally, the angiotensin-converting enzyme (ACE) and
ACE2 mRNA levels were quantified in the aortic rings using reverse transcription
polymerase chain reaction. TBTIF diminished BP and reduced angiotensin I- or
angiotensin II-induced vasoconstriction. The presence of Ang-(1-7) induced a
greater reduction in vasoconstriction, and this effect was reversed by L-N(G)
monomethyl arginine. Moreover, TBTIF decreased the mRNA of ACE and increased the
mRNA of ACE2. In conclusion, TBTIF diminished rat BP through nitric oxide
dependent and nitric oxide-independent mechanisms. In contrast to captopril,
TBTIF exhibits better antihypertensive properties through mechanisms that involve
ACE2.
PMID- 26566154
TI - Genome Editing: A New Approach to Human Therapeutics.
AB - The ability to manipulate the genome with precise spatial and nucleotide
resolution (genome editing) has been a powerful research tool. In the past
decade, the tools and expertise for using genome editing in human somatic cells
and pluripotent cells have increased to such an extent that the approach is now
being developed widely as a strategy to treat human disease. The fundamental
process depends on creating a site-specific DNA double-strand break (DSB) in the
genome and then allowing the cell's endogenous DSB repair machinery to fix the
break such that precise nucleotide changes are made to the DNA sequence. With the
development and discovery of several different nuclease platforms and increasing
knowledge of the parameters affecting different genome editing outcomes, genome
editing frequencies now reach therapeutic relevance for a wide variety of
diseases. Moreover, there is a series of complementary approaches to assessing
the safety and toxicity of any genome editing process, irrespective of the
underlying nuclease used. Finally, the development of genome editing has raised
the issue of whether it should be used to engineer the human germline. Although
such an approach could clearly prevent the birth of people with devastating and
destructive genetic diseases, questions remain about whether human society is
morally responsible enough to use this tool.
PMID- 26566155
TI - The Cellular Thermal Shift Assay: A Novel Biophysical Assay for In Situ Drug
Target Engagement and Mechanistic Biomarker Studies.
AB - A drug must engage its intended target to achieve its therapeutic effect.
However, conclusively measuring target engagement (TE) in situ is challenging.
This complicates preclinical development and is considered a key factor in the
high rate of attrition in clinical trials. Here, we discuss a recently developed,
label-free, biophysical assay, the cellular thermal shift assay (CETSA), which
facilitates the direct assessment of TE in cells and tissues at various stages of
drug development. CETSA also reveals biochemical events downstream of drug
binding and therefore provides a promising means of establishing mechanistic
biomarkers. The implementation of proteome-wide CETSA using quantitative mass
spectrometry represents a novel strategy for defining off-target toxicity and
polypharmacology and for identifying downstream mechanistic biomarkers. The first
year of CETSA applications in the literature has focused on TE studies in cell
culture systems and has confirmed the broad applicability of CETSA to many
different target families. The next phase of CETSA applications will likely
encompass comprehensive animal and patient studies, and CETSA will likely serve
as a very valuable tool in many stages of preclinical and clinical drug
development.
PMID- 26566153
TI - Epidermal Growth Factor Receptor Transactivation: Mechanisms, Pathophysiology,
and Potential Therapies in the Cardiovascular System.
AB - Epidermal growth factor receptor (EGFR) activation impacts the physiology and
pathophysiology of the cardiovascular system, and inhibition of EGFR activity is
emerging as a potential therapeutic strategy to treat diseases including
hypertension, cardiac hypertrophy, renal fibrosis, and abdominal aortic aneurysm.
The capacity of G protein-coupled receptor (GPCR) agonists, such as angiotensin
II (AngII), to promote EGFR signaling is called transactivation and is well
described, yet delineating the molecular processes and functional relevance of
this crosstalk has been challenging. Moreover, these critical findings are
dispersed among many different fields. The aim of our review is to highlight
recent advancements in defining the signaling cascades and downstream
consequences of EGFR transactivation in the cardiovascular renal system. We also
focus on studies that link EGFR transactivation to animal models of the disease,
and we discuss potential therapeutic applications.
PMID- 26566156
TI - Mitochondrial Biogenesis as a Pharmacological Target: A New Approach to Acute and
Chronic Diseases.
AB - Mitochondrial dysfunction is a key pathophysiological component of many acute and
chronic diseases. Maintenance of mitochondrial homeostasis through the balance of
mitochondrial turnover, fission and fusion, and generation of new mitochondria
via mitochondrial biogenesis is critical for tissue health. Pharmacological
activation of mitochondrial biogenesis can enhance oxidative metabolism and
tissue bioenergetics, and improve organ function in conditions characterized by
mitochondrial dysfunction. However, owing to the complexity of mitochondrial
assembly and maintenance, identification of specific activators of mitochondrial
biogenesis has been difficult. This review provides an overview of the role of
mitochondrial dysfunction in acute and chronic diseases, details the current
state of therapeutics for the stimulation of mitochondrial biogenesis and their
effects on disease outcomes, describes new screening methodologies to identify
novel stimulators and noncanonical pathways of mitochondrial biogenesis, and
discusses potential hurdles of mitochondrial biogenesis as a therapeutic
strategy.
PMID- 26566157
TI - Codon Bias Patterns of E. coli's Interacting Proteins.
AB - Synonymous codons, i.e., DNA nucleotide triplets coding for the same amino acid,
are used differently across the variety of living organisms. The biological
meaning of this phenomenon, known as codon usage bias, is still controversial. In
order to shed light on this point, we propose a new codon bias index, CompAI,
that is based on the competition between cognate and near-cognate tRNAs during
translation, without being tuned to the usage bias of highly expressed genes. We
perform a genome-wide evaluation of codon bias for E.coli, comparing CompAI with
other widely used indices: tAI, CAI, and Nc. We show that CompAI and tAI capture
similar information by being positively correlated with gene conservation,
measured by the Evolutionary Retention Index (ERI), and essentiality, whereas,
CAI and Nc appear to be less sensitive to evolutionary-functional parameters.
Notably, the rate of variation of tAI and CompAI with ERI allows to obtain sets
of genes that consistently belong to specific clusters of orthologous genes
(COGs). We also investigate the correlation of codon bias at the genomic level
with the network features of protein-protein interactions in E.coli. We find that
the most densely connected communities of the network share a similar level of
codon bias (as measured by CompAI and tAI). Conversely, a small difference in
codon bias between two genes is, statistically, a prerequisite for the
corresponding proteins to interact. Importantly, among all codon bias indices,
CompAI turns out to have the most coherent distribution over the communities of
the interactome, pointing to the significance of competition among cognate and
near-cognate tRNAs for explaining codon usage adaptation. Notably, CompAI may
potentially correlate with translation speed measurements, by accounting for the
specific delay induced by wobble-pairing between codons and anticodons.
PMID- 26566159
TI - Maturation of Oocytes in Vitro.
AB - Only a fraction of oocytes present in the ovaries at birth are ever ovulated
during the lifetime of a female mammal. In vitro maturation (IVM) offers the
possibility to exploit what is a largely untapped biological resource. Although
IVM is used routinely for the in vitro production of embryos in domestic species,
especially cattle, its clinical use in human-assisted reproduction is still
evolving. The successful recapitulation in vitro of the events associated with
successful oocyte maturation is not always achieved, with the majority of
immature oocytes typically failing to develop to the blastocyst stage. Evidence
suggests that although culture conditions throughout in vitro embryo production
may have a modest influence on the developmental potential of the early embryo,
the quality of the oocyte at the start of the process is the key factor
determining the proportion of oocytes developing to the blastocyst stage.
PMID- 26566158
TI - Pluripotent Stem Cells from Domesticated Mammals.
AB - This review deals with the latest advances in the study of embryonic stem cells
(ESC) and induced pluripotent stem cells (iPSC) from domesticated species, with a
focus on pigs, cattle, sheep, goats, horses, cats, and dogs. Whereas the
derivation of fully pluripotent ESC from these species has proved slow,
reprogramming of somatic cells to iPSC has been more straightforward. However,
most of these iPSC depend on the continued expression of the introduced
transgenes, a major drawback to their utility. The persistent failure in
generating ESC and the dependency of iPSC on ectopic genes probably stem from an
inability to maintain the stability of the endogenous gene networks necessary to
maintain pluripotency. Based on work in humans and rodents, achievement of full
pluripotency will likely require fine adjustments in the growth factors and
signaling inhibitors provided to the cells. Finally, we discuss the future
utility of these cells for biomedical and agricultural purposes.
PMID- 26566160
TI - Dogs as a Model for Cancer.
AB - Spontaneous cancers in client-owned dogs closely recapitulate their human
counterparts with respect to clinical presentation, histological features,
molecular profiles, and response and resistance to therapy, as well as the
evolution of drug-resistant metastases. In several instances the incorporation of
dogs with cancer into the preclinical development path of cancer therapeutics has
influenced outcome by helping to establish pharmacokinetic/pharmacodynamics
relationships, dose/regimen, expected clinical toxicities, and ultimately the
potential for biologic activity. As our understanding regarding the molecular
drivers of canine cancers has improved, unique opportunities have emerged to
leverage this spontaneous model to better guide cancer drug development so that
therapies likely to fail are eliminated earlier and therapies with true potential
are optimized prior to human studies. Both pets and people benefit from this
approach, as it provides dogs with access to cutting-edge cancer treatments and
helps to insure that people are given treatments more likely to succeed.
PMID- 26566161
TI - Assessment of etravirine resistance in HIV-1-infected paediatric patients using
population and deep sequencing: final results of the PIANO study.
AB - BACKGROUND: We assessed etravirine resistance in treatment-experienced, HIV-1
infected children (n=41)/adolescents (n=60) who received twice-daily etravirine
5.2 mg/kg and a background regimen (boosted protease inhibitor plus
nucleoside/nucleotide reverse transcriptase inhibitors, optional
enfuvirtide/raltegravir) in a Phase II, open-label, multicentre trial (PIANO).
METHODS: In addition to phenotypes, viral genotypes were assessed by population
and deep sequencing (PS and DS) in virological failures (VFs; baseline and end
point) and responders (baseline). Minority resistance-associated mutations (RAMs)
were defined as those with frequencies above 1% and not detected with PS.
RESULTS: By week 48, 41/101 (40.6%) patients experienced VF; 17/41 (41.5%) VFs
and 22/54 (40.8%) responders had >=1 baseline etravirine RAM by PS, mainly A98G,
K101E, V106I and G190A. Baseline minority etravirine RAMs (n) were detected in
8/40 VFs (V90I [2], A98G [1], L100I [1], V106I [1], E138G [1] and Y181C [2]) and
5/38 responders (V90I [3], A98G [1], V106I [1] and E138G [1]). The most frequent
emerging non-nucleoside reverse transcriptase inhibitor RAMs detected by PS (>=3
VFs; n) were the etravirine RAMs Y181C (8), V90I (3), L100I (3) and E138A (3). In
15 of 29 (51.7%) VFs with baseline DS/PS and end point PS data, >=1 emerging
etravirine RAM was detected by PS, which was not detected at baseline by DS in
most cases (12/15 [80.0%]). In 10/26 (38.5%) VFs with baseline/end point DS data,
>=1 additional emerging minority etravirine RAM was detected. CONCLUSIONS:
Patterns of etravirine resistance in adults, adolescents and children
experiencing VF are similar. The presence of minority etravirine RAMs at baseline
was not consistently associated with treatment failure. ClinicalTrials.gov:
NCT00665847.
PMID- 26566162
TI - MicroRNA-137 Negatively Regulates H2O2-Induced Cardiomyocyte Apoptosis Through
CDC42.
AB - BACKGROUND: Oxidative stress, inducing cardiomyocyte apoptosis or myocardial
ischemia, is the major denominator of many cardiac diseases. In this study, we
intended to explore the regulatory function of microRNA-137 (miR-137) in
oxidative stress-induced cardiomyocyte apoptosis. MATERIAL AND METHODS:
Cardiomyocytes were extracted from newborn C57BL/6 mice and cultured in vitro.
Apoptosis was induced by H2O2, and evaluated by TUNEL assay. The effect of
cardiomyocyte apoptosis on gene expression of miR-137 was evaluated by qRT-PCR.
Lentivirus was used to stably down-regulate miR-137, and the subsequent effects
of miR-137 down-regulation on cardiomyocyte apoptosis, its targeted gene CDC42,
and caspase pathway were evaluated by TUNEL assay, dual-luciferase reporter
assay, and Western blot assay, respectively. Finally, CDC42 was down-regulated by
siRNA and its effect on miR-137-mediated cardiomyocyte apoptosis protection was
examined. RESULTS: H2O2 induced significant apoptosis and up-regulated miR-137 in
cardiomyocytes, whereas lentivirus-mediated miR-137 down-regulation protected
against apoptosis. CDC42 was the direct target gene of miR-137 and proteins of
CDC42, caspase-3, and caspase-9 were all regulated by miR-137 down-regulation in
cardiomyocyte apoptosis. SiRNA-mediated CDC42 down-regulation reversed the
protection of miR-137 down-regulation against cardiomyocyte apoptosis.
CONCLUSIONS: Our work demonstrated miR-137 and CDC42 are critical regulators in
cardiomyocyte apoptosis. It may help to identify the molecular targets to prevent
myocardial injury in human patients.
PMID- 26566163
TI - Antiviral therapy in management of chronic hepatitis B viral infection in
children: A systematic review and meta-analysis.
AB - Most individuals with chronic hepatitis B viral (HBV) infection acquired the
infection around the time of birth or during early childhood. We aimed to
synthesize evidence regarding the effectiveness of antiviral therapy in the
management of chronic HBV infection in children. We conducted a comprehensive
search of multiple databases from 1988 to December 2, 2014, for studies that
enrolled children (<18 years) with chronic HBV infection treated with antiviral
therapy. We included observational studies and randomized controlled trials
(RCTs). Two independent reviewers selected studies and extracted data. In the 14
included studies, two cohort studies showed no significant reduction in the
already low risk of hepatocellular carcinoma or cirrhosis and 12 RCTs reported
intermediate outcomes. In RCTs with posttreatment follow-up <12 months, antiviral
therapy compared to placebo improved alanine aminotransferase normalization (risk
ratio [RR] = 2.3, 95% confidence interval [CI] 1.7-3.2), hepatitis B e antigen
(HBeAg) clearance/loss (RR = 2.1, 95% CI 1.5-3.1), HBV DNA suppression (RR = 2.9,
95% CI 1.8-4.6), HBeAg seroconversion (RR = 2.1, 95% CI 1.4-3.3), and hepatitis B
surface antigen clearance (RR = 5.8, 95% CI 1.1-31.5). In RCTs with posttreatment
follow-up >=12 months, antiviral therapy improved cumulative HBeAg clearance/loss
(RR = 1.9, 95% CI 1.7-3.1), HBeAg seroconversion (RR = 2.1, 95% CI 1.3-3.5),
alanine aminotransferase normalization (RR = 1.4, 95% CI 1.1-1.7), and HBV DNA
suppression (RR = 1.4, 95% CI 1.1-1.8) but not hepatitis B surface antigen
clearance or seroconversion. CONCLUSION: In children with chronic HBV infection,
antivirals compared to no antiviral therapy improve HBV DNA suppression and
frequency of alanine aminotransferase normalization and HBeAg seroconversion.
PMID- 26566164
TI - Effects of Low-Intensity Exercise in the Morning on Physiological Responses
During Unsteady Workload Exercise in the Evening.
AB - This study examined the effects of low-intensity morning exercise (ME) on
physiological response during unsteady workload evening exercise. Nine healthy
men participated in the following 2 experimental conditions: 15 minutes of
bicycle exercise at 40% maximum oxygen consumption (V[Combining Dot Above]O2max)
in the morning (the ME condition) and rest (control [C] condition). Heart rate
(HR), blood pressure (BP), temperature, oxygen uptake, and natural logarithm of
high frequency, an index of cardiac parasympathetic modulation, were measured
before evening exercises, which were performed for 32 minutes in 2 parts: The
steady-state exercise test included three 4-min bouts of exercise at 20, 60, and
40% V[Combining Dot Above]O2max. The unsteady exercise test consisted of 4-min
bouts of exercise with gradual increases and decreases in workload at 20 and 60%
V[Combining Dot Above]O2max. Heart rate, BP, and oxygen uptake were measured in
both experiments. Maximal and minimal values, amplitude, and phase lags were
measured with each cycle of unsteady workload exercise. With steady-state
exercise, HR and systolic BP at 60 and 40% V[Combining Dot Above]O2max were
significantly lower in the ME condition than in the C condition. However, oxygen
uptake was not significantly different between the 2 conditions. With unsteady
exercise, the HR and oxygen uptake phase lags were significantly shorter and the
amplitude of oxygen intake was significantly larger in the ME condition than in
the C condition. There were no significant differences in physiological
parameters between the conditions at rest or during recovery. The physiological
response during evening exercise is enhanced by low-intensity ME, which might be
an effective conditioning method on a sporting event day.
PMID- 26566165
TI - Effects of Velocity on Electromyographic, Mechanomyographic, and Torque Responses
to Repeated Eccentric Muscle Actions.
AB - The purposes of this study were to examine the effects of the velocity of
repeated eccentric muscle actions on the torque and neuromuscular responses
during maximal isometric and eccentric muscle actions. Twelve resistance-trained
men performed 30 repeated, maximal, eccentric, isokinetic muscle actions at
randomly ordered velocities of 60, 120, or 180 degrees .s on separate days.
Maximal voluntary isometric contractions (MVICs) were performed before (pretest)
and after (posttest) the repeated eccentric muscle actions on each day. Eccentric
isokinetic peak torque (EIPT) values were the averages of the first 3 and last 3
repetitions of the 30 repeated eccentric muscle actions. During the EIPT and MVIC
muscle actions, electromyographic (EMG) and mechanomyographic (MMG) amplitude
(EMG AMP and MMG AMP) and mean power frequency (EMG MPF and MMG MPF) values were
assessed. These results indicated that the repeated eccentric muscle actions had
no effects on EIPT, or the EMG AMP, EMG MPF, or MMG MPF values assessed during
the EIPT muscle actions, but decreased MMG AMP. The repeated eccentric muscle
actions, however, decreased MVIC torque, and also the EMG AMP and MMG MPF values
assessed during the MVIC muscle actions, but increased MMG AMP. The results
indicated that the velocity of the repeated eccentric muscle actions affected the
MVIC torque responses, but not EIPT or any of the neuromuscular parameters.
Furthermore, there are differences in the torque and neuromuscular responses for
isometric vs. eccentric muscle actions after repeated eccentric muscle actions.
PMID- 26566166
TI - Relationship Between Force Production During Isometric Squats and Knee Flexion
Angles During Landing.
AB - Decreased knee flexion angles during landing are associated with increased
anterior cruciate ligament loading. The underlying mechanisms associated with
decreased self-selected knee flexion angles during landing are still unclear. The
purpose of this study was to establish the relationship between the peak force
production at various knee flexion angles (35, 55, 70, and 90 degrees ) during
isometric squats and the actual knee flexion angles that occur during landing in
both men and women. A total of 18 men and 18 women recreational/collegiate
athletes performed 4 isometric squats at various knee flexion angles while
vertical ground reaction forces were recorded. Participants also performed a jump
landing-jump task while lower extremity kinematics were collected. For women,
significant correlations were found between the peak force production at 55 and
70 degrees of knee flexion during isometric squats and the knee flexion angle at
initial contact of landing. There were also significant correlations between the
peak force production at 55, 70, and 90 degrees of knee flexion during isometric
squats and the peak knee flexion angle during landing. These correlations tended
to be stronger during isometric squats at greater knee flexion compared with
smaller knee flexion. No significant correlations were found for men. Posture
specific strength may play an important role in determining self-selected knee
flexion angles during landing for women.
PMID- 26566167
TI - Newborn Urinary Metabolic Signatures of Prematurity and Other Disorders: A Case
Control Study.
AB - This work assesses the urinary metabolite signature of prematurity in newborns by
nuclear magnetic resonance (NMR) spectroscopy, while establishing the role of
possible confounders and signature specificity, through comparison to other
disorders. Gender and delivery mode are shown to impact importantly on newborn
urine composition, their analysis pointing out at specific metabolite variations
requiring consideration in unmatched subject groups. Premature newborns are,
however, characterized by a stronger signature of varying metabolites, suggestive
of disturbances in nucleotide metabolism, lung surfactants biosynthesis and renal
function, along with enhancement of tricarboxylic acid (TCA) cycle activity,
fatty acids oxidation, and oxidative stress. Comparison with other abnormal
conditions (respiratory depression episode, large for gestational age,
malformations, jaundice and premature rupture of membranes) reveals that such
signature seems to be largely specific of preterm newborns, showing that NMR
metabolomics can retrieve particular disorder effects, as well as general stress
effects. These results provide valuable novel information on the metabolic impact
of prematurity, contributing to the better understanding of its effects on the
newborn's state of health.
PMID- 26566168
TI - Atmospheric Ice Adhesion on Water-Repellent Coatings: Wetting and Surface
Topology Effects.
AB - Recent studies have shown the potential of water-repellent surfaces such as
superhydrophobic surfaces in delaying ice accretion and reducing ice adhesion.
However, conflicting trends in superhydrophobic ice adhesion strength were
reported by previous studies. Hence, this investigation was performed to study
the ice adhesion strength of hydrophobic and superhydrophobic coatings under
realistic atmospheric icing conditions, i.e., supercooled spray of 20 MUm mean
volume diameter (MVD) droplets in a freezing (-20 degrees C), thermally
homogeneous environment. The ice was released in a tensile direction by underside
air pressure in a Mode-1 ice fracture condition. Results showed a strong effect
of water repellency (increased contact and receding angles) on ice adhesion
strength for hydrophobic surfaces. However, the extreme water repellency of
nanocomposite superhydrophobic surfaces did not provide further adhesion strength
reductions. Rather, ice adhesion strength for superhydrophobic surfaces depended
primarily on the surface topology spatial parameter of autocorrelation length
(Sal), whereby surface features in close proximities associated with a higher
capillary pressure were better able to resist droplet penetration. Effects from
other surface height parameters (e.g., arithmetic mean roughness, kurtosis, and
skewness) were secondary.
PMID- 26566169
TI - Differentiation capacity and maintenance of differentiated phenotypes of human
mesenchymal stromal cells cultured on two distinct types of 3D polymeric
scaffolds.
AB - Many studies have shown the influence of soluble factors and material properties
on the differentiation capacity of mesenchymal stromal cells (MSCs) cultured as
monolayers. These types of two-dimensional (2D) studies can be used as simplified
models to understand cell processes related to stem cell sensing and mechano
transduction in a three-dimensional (3D) context. For several other mechanisms
such as cell-cell signaling, cell proliferation and cell morphology, it is well
known that cells behave differently on a planar surface compared to cells in 3D
environments. In classical tissue engineering approaches, a combination of cells,
3D scaffolds and soluble factors are considered as the key ingredients for the
generation of mechanically stable 3D tissue constructs. However, when MSCs are
used for tissue engineering strategies, little is known about the maintenance of
their differentiation potential in 3D scaffolds after the removal of
differentiation soluble factors. In this study, the differentiation potential of
human MSCs (hMSCs) into the chondrogenic and osteogenic lineages on two distinct
3D scaffolds, additive manufactured electrospun scaffolds, was assessed and
compared to conventional 2D culture. Human MSCs cultured in the presence of
soluble factors in 3D showed to differentiate to the same extent as hMSCs
cultured as 2D monolayers or as scaffold-free pellets, indicating that the two
scaffolds do not play a consistent role in the differentiation process. In the
case of phenotypic changes, the achieved differentiated phenotype was not
maintained after the removal of soluble factors, suggesting that the plasticity
of hMSCs is retained in 3D cell culture systems. This finding can have
implications for future tissue engineering approaches in which the validation of
hMSC differentiation on 3D scaffolds will not be sufficient to ensure the
maintenance of the functionality of the cells in the absence of appropriate
differentiation signals.
PMID- 26566170
TI - New Simocyclinones: Surprising Evolutionary and Biosynthetic Insights.
AB - Simocyclinone D8 (1, SD8) has attracted attention due to its highly complex
hybrid structure and the unusual way it inhibits bacterial DNA gyrase by
preventing DNA binding to the enzyme. Although a hypothesis explaining
simocyclinone biosynthesis has been previously proposed, little was proven in
vivo due to the genetic inaccessibility of the producer strain. Herein, we report
discovery of three new D-type simocyclinones (D9, D10, and D11) produced by
Kitasatospora sp. and Streptomyces sp. NRRL B-24484, as well as the
identification and annotation of their biosynthetic gene clusters. Unexpectedly,
the arrangement of the newly discovered biosynthetic gene clusters is starkly
different from the previously published one, despite the nearly identical
structures of D8 and D9 simocyclinones. The gene inactivation and expression
studies have disproven the role of a modular polyketide synthase (PKS) system in
the assembly of the linear dicarboxylic acid. Instead, the new stand-alone
ketosynthase genes were shown to be involved in the biosynthesis of the tetraene
chain. Additionally, we identified the gene responsible for the conversion of
simocyclinone D9 (2, SD9) into D8.
PMID- 26566172
TI - All-solution processed transparent organic light emitting diodes.
AB - In this work, we report on indium tin oxide-free, all-solution processed
transparent organic light emitting diodes (OLEDs) with inverted device
architecture. Conductive polymer layers are employed as both transparent cathodes
and transparent anodes, with the top anodes having enhanced conductivities from a
supporting stochastic silver nanowire mesh. Both electrodes exhibit
transmittances of 80-90% in the visible spectral regime. Upon the incorporation
of either yellow- or blue-light emitting fluorescent polymers, the OLEDs show low
onset voltages, demonstrating excellent charge carrier injection from the polymer
electrodes into the emission layers. Overall luminances and current efficiencies
equal the performance of opaque reference OLEDs with indium tin oxide and
aluminium electrodes, proving excellent charge carrier-to-light conversion within
the device.
PMID- 26566171
TI - Increasing economic burden of tyrosine kinase inhibitor treatment failure by line
of therapy in chronic myeloid leukemia.
AB - OBJECTIVE: To assess the economic burden of tyrosine kinase inhibitor (TKI)
treatment failure in chronic myeloid leukemia (CML), by assessing all-cause
health care resource use (HCRU) and costs in the year after treatment failure by
line of therapy (LOT; 1L/2L/3L) using real-world data. METHODS: Treatment
episodes initiating a TKI of interest (index TKI) during June 2008-December 2011
were identified from the IMS PharMetrics Plus Health Plan Claims Database for
adult patients with CML diagnosis (ICD-9-CM 205.1x), 120 days pre-index
continuous enrollment (CE) and no clinical trial participation. Episodes
experiencing treatment failure, defined as switch to a non-index TKI or
discontinuation of index TKI (gap of >= 60 days), and with 1 year CE post
failure, were analyzed. LOT was determined by number of unique TKIs used in the
pre-index. All-cause HCRU and costs (2012 USD) in the 1 year post-failure were
assessed by LOT, and the comparisons between 1L and 2L failures were also
adjusted using multivariate generalized linear models (GLMs) to control for
underlying differences. RESULTS: A total of 706 episodes were identified (518 1L;
180 2L; 8 3L). Unadjusted HCRU over 1 year post-failure increased significantly.
This was accompanied by a significant increase in unadjusted mean costs for 2L
failures vs. 1L failures ($99,624 vs. $78,667, p = 0.021, Delta$20,957).
Following the adjustment using GLMs, adjusted mean costs were 38% higher (95% CI
1.14-1.68), driven primarily by use of medical services. In adjusted analyses,
compared to 1L, 2L failures had: 45% more ambulatory visits (mean 31 vs. 21, 95%
CI 1.26-1.66), 75% higher risk of hospitalization (33% vs. 23% hospitalized, 95%
CI 1.16-2.64), and 73% higher medical costs (95% CI 1.31-2.29). Medical costs
comprised a greater proportion of total costs in 2L vs. 1L (55% vs. 44%);
pharmacy costs did not increase significantly. CONCLUSIONS: The economic burden
over 1 year post TKI failure increased with each sequential line of TKI treatment
failure.
PMID- 26566173
TI - Efficient Layers of Emitting Ternary Lanthanide Complexes for Fabricating Red,
Green, and Yellow OLEDs.
AB - A series of novel nona- and octacoordinate highly volatile and luminescent
complexes, [Eu(hfaa)3(indazole)3] and [Ln(hfaa)3(indazole)2] (Ln = Tb, Dy, and
Lu), were synthesized using a monoanionic bidentate hexafluoroacetylacetone
(hfaa(-)) and a neutral monodentate indazole ligand. The X-ray diffraction
analyses of their single-crystals indicate that the complexes are mononuclear.
The Eu complex is nonacoordinate and has a distorted monocapped square
antiprismatic structure whereas the terbium and dysprosium complexes are
octacoordinate and possess a trigonal bicapped prism geometry. The indazole units
are involved in pi-pi stacking interaction and N-H...F hydrogen bonding with the
fluorine atoms of hfaa(-). The photophysical studies of indazole and the
complexes show that the triplet states are at the appropriate positions and make
ligand-to-metal energy transfer process efficient. A strong protective shield is
provided by the coordination of three hfaa(-) moieties (which have low frequency
C-F vibrational oscillators), and two/three ancillary indazole ligands around
these metal ions ascribe higher quantum yields and longer radiative life times
(PhiEu = 69% +/- 10, 989 +/- 1 MUs, PhiTb = 33% +/- 10, 546 +/- 1 MUs, and PhiDy
= 2.5% +/- 10, 13.6 +/- 1 MUs) to these novel compounds. The emission from
europium, terbium, and dysprosium are, respectively, red, green, and yellow.
Finally, these compounds were used, as emitting layers, to fabricate
electroluminescent devices of their respective colors. The best devices are found
with the following structure: ITO/CuPc (15 nm)/[Eu complex]:CBP or [Tb
complex]:CBP or [Dy complex]:CBP (80 nm)/BCP (25 nm)/AlQ (30 nm)/LiF (1 nm)/Al
(100 nm), which indicates an improved EL performance for the Eu device over the
Eu devices reported in the literature. The ligand, indazole, is a good sensitizer
for trivalent europium, terbium, and dysprosium ions. It together with hfaa(-)
plays an important role in fabricating OLEDs, especially, processed at low
temperature.
PMID- 26566174
TI - Hybrid chitosan-beta-glycerol phosphate-gelatin nano-/micro fibrous scaffolds
with suitable mechanical and biological properties for tissue engineering.
AB - Scaffold-based tissue engineering is considered as a promising approach in the
regenerative medicine. Graft instability of collagen, by causing poor mechanical
properties and rapid degradation, and their hard handling remains major
challenges to be addressed. In this research, a composite structured nano
/microfibrous scaffold, made from a mixture of chitosan-beta-glycerol phosphate
gelatin (chitosan-GP-gelatin) using a standard electrospinning set-up was
developed. Gelatin-acid acetic and chitosan beta-glycerol phosphate-HCL solutions
were prepared at ratios of 30/70, 50/50, 70/30 (w/w) and their mechanical and
biological properties were engineered. Furthermore, the pore structure of the
fabricated nanofibrous scaffolds was investigated and predicted using a
theoretical model. Higher gelatin concentrations in the polymer blend resulted in
significant increase in mean pore size and its distribution. Interaction between
the scaffold and the contained cells was also monitored and compared in the test
and control groups. Scaffolds with higher chitosan concentrations showed higher
rate of cell attachment with better proliferation property, compared with gelatin
only scaffolds. The fabricated scaffolds, unlike many other natural polymers,
also exhibit non-toxic and biodegradable properties in the grafted tissues. In
conclusion, the data clearly showed that the fabricated biomaterial is a
biologically compatible scaffold with potential to serve as a proper platform for
retaining the cultured cells for further application in cell-based tissue
engineering, especially in wound healing practices. These results suggested the
potential of using mesoporous composite chitosan-GP-gelatin fibrous scaffolds for
engineering three-dimensional tissues with different inherent cell
characteristics.
PMID- 26566175
TI - A selective autophagy pathway takes an unconventional route.
AB - Selective autophagy transports specific cytoplasmic materials into
lysosomes/vacuoles. In the case of macroautophagy the selectivity is mediated by
receptors, which usually link the cargos to the machinery that sequesters them
into the forming autophagosome. In our recent work, we found that fission yeast
Nbr1, a homolog of the mammalian macroautophagy receptor NBR1, acts together with
an unconventional autophagy-associated cargo sequestration apparatus, the
endosomal sorting complexes required for transport (ESCRTs), to deliver 2
hydrolytic enzymes from the cytosol to the vacuole lumen. In this pathway, which
we term the Nbr1-mediated vacuolar targeting (NVT) pathway, soluble cargos
transit through the multi-vesicular body (MVB), rather than the autophagosome, on
their way to the vacuole. Our findings reveal a novel mode of action of
macroautophagy receptors and broaden our understanding of ESCRT-mediated
autophagy.
PMID- 26566176
TI - Perovskite/c-Si tandem solar cell with inverted nanopyramids: realizing high
efficiency by controllable light trapping.
AB - Perovskite/c-Si tandem solar cells (TSCs) have become a promising candidate in
recent years for achieving efficiency over 30%. Although general analysis has
shown very high upper limits for such TSCs, it remains largely unclear what
specific optical structures could best approach these limits. Here we propose the
combination of perovskite/c-Si tandem structure with inverted nanopyramid
morphology as a practical way of achieving efficiency above 31% based on
realistic solar cell parameters. By full-field simulation, we have shown that an
ultra-low surface reflectance can be achieved by tuning the pyramid geometry
within the range of experimental feasibility. More importantly, we have
demonstrated that the index-guided modes can be excited within the top cell layer
by introducing a TCO interlayer that prevents coupling of guided light energy
into the bottom cell. This light trapping scheme has shown superior performance
over the Bragg stack intermediate reflector utilized in previous micropyramid
based TSCs. Finally, by controlling the coupling between the top and bottom cell
through the thickness of the interlayer, current generation within the tandem can
be optimized for both two- and four-terminal configurations, yielding
efficiencies of 31.9% and 32.0%, respectively. These results have provided useful
guidelines for the fabrication of perovskite/c-Si TSCs.
PMID- 26566177
TI - Physical activity communication between oncology providers and patients with
early-stage breast, colon, or prostate cancer.
AB - BACKGROUND: National guidelines recommend that patients with a cancer diagnosis
engage in regular physical activity to reduce cancer-related fatigue, maintain
quality of life and physical function, and improve overall prognosis and
survival. This study investigates oncology provider communications about physical
activity during routine clinic visits with patients with early-stage breast,
colon, or prostate cancer. METHODS: This study used a retrospective chart review
for documentation of inquiries or recommendations pertaining to physical activity
in clinician notes and after-visit patient summaries. RESULTS: In a 1-month
period, 55 oncology providers had 361 encounters (clinic visits) with early-stage
cancer patients. Thirty-five percent of these encounters included a provider
communication about "physical activity," "exercise," or "activity." Encounters
with a medical oncologist resulted in a physical activity communication 55% of
the time, whereas encounters with other clinician specialties did so 20% of the
time (P < .0001). The likelihood of a physical activity communication increased
with patient age (P < .001). When the encounter was with a patient who was being
seen for surveillance, chemotherapy, or endocrine treatment, the rate of physical
activity communications was significantly higher (46%, 37%, and 58%,
respectively) than the rate when the visit was during radiation treatment or
surgery (6% and 19%, respectively; P < .0001). CONCLUSIONS: This study shows that
it is feasible for oncology providers to have physical activity communications
during routine clinic visits; however, the frequency of physical activity
communications varies among providers. Interventions are needed to remind and
encourage all oncology providers to encourage their patients with early-stage
cancer to be physically active. .
PMID- 26566178
TI - Illness perceptions or recurrence risk perceptions: What comes first? A
longitudinal cross-lagged examination among cardiac patients.
AB - OBJECTIVES: Previous research suggested that illness perceptions provide the
basis for illness risk perceptions through an inductive reasoning process. This
study aimed to assess the direction of relationships between illness and
recurrence risk perceptions over time, among cardiac patients. DESIGN: A
longitudinal study was conducted among 138 patients undergoing coronary
angioplasty. Self-report questionnaires measured perceived recurrence risk and
illness perceptions one day and one month after catheterisation. RESULTS: Cross
lagged Panel Model Analyses revealed that higher perceptions of timeline,
consequences and emotional representations of illness at hospitalisation were
associated with higher recurrence risk perceptions one month later. Perceived
personal control was the only illness perception with bi-directional
associations: higher perceived personal control at hospitalisation was associated
with higher recurrence risk perceptions one month later; and higher recurrence
risk perceptions at hospitalisation was associated with lower personal control
one month later. CONCLUSIONS: The findings suggest that the associations between
recurrence risk and illness perceptions can only partly be explained by inductive
reasoning. Halo effects and defensive processes are suggested as complementary
explanations for the observed associations between risk and illness perceptions.
PMID- 26566179
TI - Extracranial/intracranial vascular bypass and craniofacial resection: New hope
for patients with locally advanced recurrent nasopharyngeal carcinoma.
AB - BACKGROUND: The purpose of this study was to investigate the oncologic outcome
and quality of life after surgical treatment of locally advanced (rT3-rT4)
recurrent nasopharyngeal carcinoma (NPC) using the staged
extracranial/intracranial vascular bypass and combined craniofacial approach.
METHODS: We conducted a prospective study. RESULTS: Between 1998 and 2013, 28
patients with rT3 to rT4 tumors were treated with the proposed surgical protocol.
Clear resection margin was achieved in 46.4%. The median follow-up was 42.6
months. The rate of local recurrence was 17.8%. The 5-year overall survival was
52%. There was no change in the mean global health system score after the
extensive surgery, although the physical functioning scores deteriorated
significantly. The most common symptoms experienced by patients were speech and
swallowing problems. CONCLUSION: The proposed surgical treatment for locally
advanced recurrent NPC was associated with satisfactory local tumor control and
survival. Quality of life after surgery was reasonable, although
multidisciplinary training was required to maximize the postoperative speech and
swallowing function. (c) 2015 Wiley Periodicals, Inc. Head Neck 38: E1404-E1412,
2016.
PMID- 26566180
TI - Inflammation Controls Sensitivity of Human and Mouse Intestinal Epithelial Cells
to Galectin-1.
AB - Galectins play key roles in the inflammatory cascade. In this study, we aimed to
analyze the effect of galectin-1 (Gal-1) in the function of intestinal epithelial
cells (IECs) isolated from healthy and inflamed mucosa. IECs isolated from mice
or patients with inflammatory bowel diseases (IBD) were incubated with different
pro-inflammatory cytokines, and Gal-1 binding, secretion of homeostatic factors
and viability were assessed. Experimental models of food allergy and colitis were
used to evaluate the in vivo influence of inflammation on Gal-1 binding and
modulation of IECs. We found an enhanced binding of Gal-1 to: (a) murine IECs
exposed to IL-1beta, TNF, and IL-13; (b) IECs from inflamed areas in intestinal
tissue from IBD patients; (c) small bowel of allergic mice; and (d) colon from
mice with experimental colitis. Our results showed that low concentrations of Gal
1 favored a tolerogenic micro-environment, whereas high concentrations of this
lectin modulated viability of IECs through mechanisms involving activation of
caspase-9 and modulation of Bcl-2 protein family members. Our results showed
that, when added in the presence of diverse pro-inflammatory cytokines such as
tumor necrosis factor (TNF), IL-13 and IL-5, Gal-1 differentially promoted the
secretion of growth factors including thymic stromal lymphopoietin (TSLP),
epidermal growth factor (EGF), IL-10, IL-25, and transforming growth factor (TGF
beta1 ). In conclusion, we found an augmented binding of Gal-1 to IECs when
exposed in vitro or in vivo to inflammatory stimuli, showing different effects
depending on Gal-1 concentration. These findings highlight the importance of the
inflammatory micro-environment of mucosal tissues in modulating IECs
susceptibility to the immunoregulatory lectin Gal-1 and its role in epithelial
cell homeostasis.
PMID- 26566181
TI - AKT as Locus of Cancer Unknown Primary Site.
AB - Cancer of unknown primary site is a metastasis developed by the positive feedback
loops of primary cancer forming extreme cancer robustness. Such robustness occurs
only in metastatic cancer or in relapsed lymphoma, myeloma, plasmocytoma, or
leukemia. However, when it develops in primary cancer, hypoxic microenvironment
generates positive feedback loops which hyperactivate AKT locus, forming extreme
robustness that forcing cancer cells to migrate to the distant site, but primary
cancer loosing that property or remains silent. Positive loops are the force and
principal mechanism of metastasis development. A cancer cell is converted normal
cell. Conversion occurs at the AKT genomic locus. Thus, cancer is genomic disease
rather than disease of the specific organs. Targeting such locus by the locus
chemotherapy (redox balance change) rather than by organ specific therapy results
in conversion of positive loops into negative and disappearance of extreme
robustness and malignant phenotype of the cancer unknown primary origin.
PMID- 26566182
TI - Ethnic patterns of hypospadias in New Zealand do not resemble those observed for
cryptorchidism and testicular cancer: evidence of differential aetiology?
AB - It has been proposed that hypospadias, cryptorchidism, poor semen quality and
testicular cancer might share common prenatal causes. We have previously
demonstrated similar ethnic patterns for the incidence of testicular cancer and
cryptorchidism - a known risk factor for testicular cancer. If the underlying
exposure(s) that cause hypospadias, cryptorchidism and testicular cancer are
shared, then we would expect the incidence relationship between ethnic groups to
follow the same pattern across all three conditions. We followed a birth cohort
of 318 345 eligible male neonates born in New Zealand between 2000-2010, and
linked routinely collected maternity records with inpatient hospitalization and
mortality records through to 2011. We searched hospitalization records for
diagnoses of hypospadias, and used mortality records for censoring. We used
Poisson regression methods to compare the relative risk of hypospadias between
ethnic groups, adjusting for perinatal risk factors and total person time. We
observed that European/Other children had the highest risk of hypospadias, with
Maori, Pacific and Asian boys having around 40% lower risk of disease compared
with this group (adjusted relative risk [RR]: Maori 0.62, 95% CI 0.55-0.70;
Pacific 0.62, 95% CI 0.53-0.72; Asian 0.57, 95% CI 0.47-0.69). This contrasts
substantially with our previous observations for cryptorchidism and testicular
cancer, where Maori males have the greatest risk. Our observations suggest that -
at least in New Zealand - the exposures that drive the development of hypospadias
may differ to those that that drive the development of cryptorchidism and/or
testicular cancer.
PMID- 26566183
TI - Structures, Bonding, and Energetics of Potential Triatomic Circumstellar
Molecules Containing Group 15 and 16 Elements.
AB - The recent discovery of PN in the oxygen-rich shell of the supergiant star VY
Canis Majoris points to the formation of several triatomic molecules involving
oxygen, nitrogen, and phosphorus; these are also intriguing targets for main
group synthetic inorganic chemistry. In this research, high-level ab initio
electronic structure computations were conducted on the potential circumstellar
molecule OPN and several of its heavier group 15 and 16 congeners (SPN, SePN,
TePN, OPP, OPAs, and OPSb). For each congener, four isomers were examined.
Optimized geometries were obtained with coupled cluster theory [CCSD(T)] using
large Dunning basis sets [aug-cc-pVQZ, aug-cc-pV(Q+d)Z, and aug-cc-pVQZ-PP], and
relative energies were determined at the complete basis set limit of CCSDT(Q)
from focal point analyses. The linear phosphorus-centered molecules were
consistently the lowest in energy of the group 15 congeners by at least 6 kcal
mol(-1), resulting from double-triple and single-double bond resonances within
the molecule. The linear nitrogen-centered molecules were consistently the lowest
in energy of the group 16 congeners by at least 5 kcal mol(-1), due to the
electronegative central nitrogen atom encouraging electron delocalization
throughout the molecule. For OPN, OPP, and SPN, anharmonic vibrational
frequencies and vibrationally corrected rotational constants are predicted; good
agreement with available experimental data is observed.
PMID- 26566184
TI - Analytical techniques for the study of polyphenol-protein interactions.
AB - This mini review focuses on advances in biophysical techniques to study
polyphenol interactions with proteins. Polyphenols have many beneficial
pharmacological properties, as a result of which they have been the subject of
intensive studies. The most conventional techniques described here can be divided
into three groups: (i) methods used for screening (in-situ methods); (ii) methods
used to gain insight into the mechanisms of polyphenol-protein interactions; and
(iii) methods used to study protein aggregation and precipitation. All of these
methods used to study polyphenol-protein interactions are based on modifications
to the physicochemical properties of the polyphenols or proteins after
binding/complex formation in solution. To date, numerous review articles have
been published in the field of polyphenols. This review will give a brief insight
in computational methods and biosensors and cell-based methods, spectroscopic
methods including fluorescence emission, UV-vis adsorption, circular dichroism,
Fourier transform infrared and mass spectrometry, nuclear magnetic resonance, X
ray diffraction, and light scattering techniques including small-angle X-ray
scattering and small-angle neutron scattering, and calorimetric techniques
(isothermal titration calorimetry and differential scanning calorimetry),
microscopy, the techniques which have been successfully used for polyphenol
protein interactions. At the end the new methods based on single molecule
detection with high potential to study polyphenol-protein interactions will be
presented. The advantages and disadvantages of each technique will be discussed
as well as the thermodynamic, kinetic or structural parameters, which can be
obtained. The other relevant biophysical experimental techniques that have proven
to be valuable, such electrochemical methods, hydrodynamic techniques and
chromatographic techniques will not be described here.
PMID- 26566185
TI - A functional magnetic resonance imaging investigation of motor control in Gilles
de la Tourette syndrome during imagined and executed movements.
AB - The current study investigated the neural correlates of voluntary motor control
in 24 adult Gilles de la Tourette (GTS) patients. We examined whether imagination
and the execution of the same voluntary movement - finger oppositions with either
hand - were associated with specific patterns of activation. We also explored
whether these patterns correlated with the severity of the syndrome, as measured
by the Yale Global Tic Severity Scale (YGTSS) for motor tics. The presence of
brain morphometric abnormalities was also assessed using voxel-based morphometry.
Crucial to our experiment was the manipulation of the presence of an explicit
motor outflow in the tasks. We anticipated a reduction in the ticking
manifestation during the explicit motor task and brain activation differences
between GTS patients and 24 age/gender-matched normal controls. The anticipated
differences were all evident in the form of hyperactivations in the GTS patients
in the premotor and prefrontal areas for both motor tasks for both hands;
however, the motor imagery hyperactivations also involved rostral pre-frontal and
temporo-parietal regions of the right hemisphere. The blood oxygen level
dependent responses of the premotor cortices during the motor imagery task were
significantly correlated with the YGTSS scores. In contrast, no significant brain
morphometric differences were found. This study provides evidence of a different
neurofunctional organisation of motor control between adult patients with GTS and
healthy controls that is independent from the actual execution of motor acts. The
presence of an explicit motor outflow in GTS mitigates the manifestation of tics
and the need for compensatory brain activity in the brain regions showing task
dependent hyperactivations.
PMID- 26566186
TI - COX inhibitors: a patent review (2011 - 2014).
AB - INTRODUCTION: The COX enzymes play a central role in the biosynthetic pathway of
important biological mediators called prostanoids. Differences in regulation of
gene expression, stability of transcripts and proteins determine the different
biological functions of COX-1 and COX-2. While the COX-1 gene has been considered
to be a 'housekeeping' gene expressed in many tissues and cells, COX-2 gene is
upregulated during inflammation, hypoxia and in many cancers. AREAS COVERED: The
first part of this review provides a survey of the development of both modified
traditional NSAIDs (tNSAIDs) and COX inhibitors (coxibs) with reduced side
effects for the treatment of inflammation and cancer. The second part deals with
patents reporting several dual inhibitors characterized by the conjugation of a
COX-inhibitor scaffold to a molecule able to modulate a different target.
Finally, two patents on novel COX inhibitor scaffolds are reported. EXPERT
OPINION: The most interesting branch of research concerns the conjugation of a
COX-inhibitor scaffold to a molecule able to modulate a different target, in
order to either enhance anti-inflammatory activity or to act as a dual inhibitor.
Among the described compounds, selenium-containing coxibs inhibiting COX-2 and
Akt, in addition to the multi-target biphenyl derivatives as dual inhibitors of
COX and fatty acid amide hydrolase, are the most promising ones.
PMID- 26566187
TI - Pregnancy outcome and placental pathology in emergent cesarean deliveries for non
reassuring fetal heart rate in laboring versus non-laboring women.
AB - OBJECTIVE: The objective of this study is to correlate between pregnancy outcome
and placental pathology in emergent cesarean deliveries (ECD) for non-reassuring
fetal-heart-rate (NRFHR) performed in women in their active phase of labor versus
those performed in non-laboring women. METHODS: A retrospective cohort study.
Data were reviewed for all pregnancies necessitating ECD for NRFHR between
January 2009 and December 2013. Maternal outcome, neonatal outcome, and placental
pathology parameters were compared between ECDs performed during active phase of
labor and those performed before the active phase of labor (non-labor group).
RESULTS: During the study period, a total of 661 ECDs were performed due to
NRFHR. Compared with the active labor group (n = 335), the non-labor group (n =
326) had more pre-eclampsia (p = 0.033), small for gestational age (SGA) (p =
0.016), and preterm labor (p < 0.001). Worse composite neonatal outcome was
observed in the non-labor group compared with the active labor group, p < 0.001.
By a stepwise logistic regression model, non-labor was independently associated
with adverse neonatal outcome (1.88 OR CI; 1.19-2.96, p = 0.007). Placental
inflammatory lesions were more common in the active labor group (p= 0.043), and
abnormal cord insertions were more common in the non-labor group (p = 0.002) as
well as placental weight <10th% (p = 0.019). CONCLUSION: Higher rate of pregnancy
complications, abnormal cord insertion, smaller placentas, and worse neonatal
outcome are associated with ECD for NRFHR when performed before the phase of
active labor.
PMID- 26566188
TI - Pd@Pt Core-Shell Concave Decahedra: A Class of Catalysts for the Oxygen Reduction
Reaction with Enhanced Activity and Durability.
AB - We report a facile synthesis of multiply twinned Pd@Pt core-shell concave
decahedra by controlling the deposition of Pt on preformed Pd decahedral seeds.
The Pt atoms are initially deposited on the vertices of a decahedral seed,
followed by surface diffusion to other regions along the edges/ridges and then
across the faces. Different from the coating of a Pd icosahedral seed, the Pt
atoms prefer to stay at the vertices and edges/ridges of a decahedral seed even
when the deposition is conducted at 200 degrees C, naturally generating a core
shell structure covered by concave facets. The nonuniformity in the Pt coating
can be attributed to the presence of twin boundaries at the vertices, as well as
the {100} facets and twin defects along the edges/ridges of a decahedron,
effectively trapping the Pt adatoms at these high-energy sites. As compared to a
commercial Pt/C catalyst, the Pd@Pt concave decahedra show substantial
enhancement in both catalytic activity and durability toward the oxygen reduction
reaction (ORR). For the concave decahedra with 29.6% Pt by weight, their specific
(1.66 mA/cm(2)Pt) and mass (1.60 A/mgPt) ORR activities are enhanced by 4.4 and
6.6 times relative to those of the Pt/C catalyst (0.36 mA/cm(2)Pt and 0.32
A/mgPt, respectively). After 10,000 cycles of accelerated durability test, the
concave decahedra still exhibit a mass activity of 0.69 A/mgPt, more than twice
that of the pristine Pt/C catalyst.
PMID- 26566189
TI - Lewis Base Activation of Silyl Acetals: Iridium-Catalyzed Reductive Horner
Wadsworth-Emmons Olefination.
AB - A Lewis base promoted deprotonative pronucleophile addition to silyl acetals has
been developed and applied to the iridium-catalyzed reductive Horner-Wadsworth
Emmons (HWE) olefination of esters and the chemoselective reduction of the
resulting enoates. Lewis base activation of silyl acetals generates putative
pentacoordinate silicate acetals, which fragment into aldehydes, silanes, and
alkoxides in situ. Subsequent deprotonative metalation of phosphonate esters
followed by HWE with aldehydes furnishes enoates. This operationally convenient,
mechanistically unique protocol converts the traditionally challenging aryl,
alkenyl, and alkynyl esters to homologated enoates at room temperature within a
single vessel.
PMID- 26566190
TI - Theodore Flournoy on synesthetic personification.
AB - In 1893, Theodore Flournoy published a landmark book on synesthesia - Des
phenomenes de synopsie [Of Synoptic Phenomena]. The book presented a pioneering
chapter on synesthetic personification, including numerous striking case
examples, and it is frequently cited by twenty-first-century researchers as
providing some of the earliest examples of the phenomenon. Flournoy employed a
broad definition of personification - the representation of stimuli as concrete
and specific individuals or inanimate objects. This definition encompassed a more
extensive set of phenomena than the definition used by researchers today and was
illustrated by cases that would fall outside of contemporary subtypes of
synesthetic personification. Yet, Flournoy's seminal work remains unavailable in
English, and the extent of the phenomenon that he described has not been
discussed in the contemporary literature. We provide an unabridged translation of
Flournoy's chapter "Des personnifications" ["Of Personifications"].
PMID- 26566191
TI - The rise and fall of felbamate as a treatment for partial epilepsy--aplastic
anemia and hepatic failure to blame?
AB - Felbamate has been approved for refractory partial seizures since the early
nineties. Due to safety concerns regarding its use, namely, in aplastic anemia
and hepatic failure, felbamate's use has been restricted and a 'Black Box'
warning has been inserted. Nonetheless, it is a useful drug in refractory cases
of partial epilepsy. There are certain precautions which can prevent and minimize
the serious idiosyncratic reactions associated with felbamate, thereby providing
an option in refractory cases where no other drug works.
PMID- 26566192
TI - Experimental Observation of Redox-Induced Fe-N Switching Behavior as a
Determinant Role for Oxygen Reduction Activity.
AB - The commercialization of electrochemical energy conversion and storage devices
relies largely upon the development of highly active catalysts based on abundant
and inexpensive materials. Despite recent achievements in this respect, further
progress is hindered by the poor understanding of the nature of active sites and
reaction mechanisms. Herein, by characterizing representative iron-based
catalysts under reactive conditions, we identify three Fe-N4-like catalytic
centers with distinctly different Fe-N switching behaviors (Fe moving toward or
away from the N4-plane) during the oxygen reduction reaction (ORR), and show that
their ORR activities are essentially governed by the dynamic structure associated
with the Fe(2+/3+) redox transition, rather than the static structure of the bare
sites. Our findings reveal the structural origin of the enhanced catalytic
activity of pyrolyzed Fe-based catalysts compared to nonpyrolyzed Fe-macrocycle
compounds. More generally, the fundamental insights into the dynamic nature of
transition-metal compounds during electron-transfer reactions will potentially
guide rational design of these materials for broad applications.
PMID- 26566193
TI - Efficacy of pharmacokinetic interactions between piperonyl butoxide and
albendazole against gastrointestinal nematodiasis in goats.
AB - To test the hypothesis that modulation of hepatic microsomal sulphoxidation and
sulphonation by the cytochrome P450 inhibitor piperonyl butoxide could increase
bioavailability of albendazole, the present study was undertaken to understand
the pharmacokinetics of albendazole in goats at a dose of 7.5 mg kg- 1 body
weight with and without co-administration with piperonyl butoxide at 63.0 mg kg-
1 body weight. Plasma albendazole sulphoxide metabolite, the anthelmintically
active moiety, reached its maximum concentration of 0.322 +/- 0.045 MUg ml- 1 and
0.384 +/- 0.013 MUg ml- 1 at 18 h and 24 h after administration of albendazole
alone and co-administration of albendazole with piperonyl butoxide, respectively.
Analysis of the data revealed statistically increased albendazole sulphoxide
levels at 24 (P 0.05) in values of maximum concentration (normal and calculated)
could be observed between groups of goats. However, values of time to reach the
concentration maximum (normal and calculated), area under the concentration-time
curve (0-infinity and calculated), minimum residence time, distribution half
life, elimination half-life and total area under the first movement of plasma
drug concentration-time curve were significantly higher (P < 0.05) in plasma
levels of albendazole sulphoxide in goats following single oral co-administration
of albendazole with piperonyl butoxide. The faecal egg count reduction and lower
95% confidence limit for the group treated with albendazole alone were 97 and
68%, while for co-administration of albendazole and piperonyl butoxide the values
were 99 and 97%, respectively. The ED50 for egg hatch was 0.196, indicating
suspected resistance to benzimidazole anthelmintics. The drug combination proved
efficacious against an albendazole-resistant nematode parasite population in
goats.
PMID- 26566194
TI - Supporting shared decision making using an Option Grid for osteoarthritis of the
knee in an interface musculoskeletal clinic: A stepped wedge trial.
AB - OBJECTIVE: To evaluate whether introducing tools, specifically designed for use
in clinical encounters, namely Option Grids, into a clinical practice setting
leads to higher levels of shared decision making. METHODS: A stepped wedge trial
design where 6 physiotherapists at an interface clinic in Oldham, UK, were
sequentially instructed in how to use an Option Grid for osteoarthritis of the
knee. Patients with suspected or confirmed osteoarthritis of the knee were
recruited, six per clinician prior to instruction, and six per clinician
afterwards. We measured shared decision making, patient knowledge, and readiness
to decide. RESULTS: A total of 72 patients were recruited; 36 were allocated to
the intervention group. There was an 8.4 point (95% CI 4.4 to 12.2) increase in
the Observer OPTION score (range 0-100) in the intervention group. The mean gain
in knowledge was 0.9 points (score range 0-5, 95% CI, 0.3 to 1.5). There was no
increase in encounter duration. CONCLUSION: Shared decision making increased when
clinicians used the knee osteoarthritis Option Grid. PRACTICE IMPLICATIONS: Tools
designed to support collaboration and deliberation about treatment options lead
to increased levels of shared decision making.
PMID- 26566195
TI - An integrative review of patient safety in studies on the care and safety of
patients with communication disabilities in hospital.
AB - OBJECTIVE: To review the research literature on the experiences of patients with
communication disabilities in hospital according to the Generic Model of patient
safety. METHODS: In 2014 and 2015, we searched four scientific databases for
studies with an aim or result relevant to safety of hospital patients with
communication disabilities. The review included 27 studies. RESULTS: A range of
adverse event types were outlined in qualitative research. Little detail was
provided about contributing or protective factors for safety incidents in
hospital for these patients or the impact of the incidents on the patient or
organisations involved. CONCLUSION: Further research addressing the safety of
patients with communication disabilities is needed. Sufficient detail is required
to identify the nature, timing, and detection of incidents; factors that
contribute to or prevent adverse events; and detail the impact of the adverse
events. PRACTICE IMPLICATIONS: In order to provide safe and effective care to
people with communication disabilities in hospital, a priority for health and
disability services must be the design and evaluation of ecologically appropriate
and evidence-based interventions to improve patient care, communication, and
reduce the risk of costly and harmful patient safety incidents.
PMID- 26566196
TI - Demand behavior and empathic accuracy in observed conflict interactions in
couples.
AB - The study reported in this research note sought to extend the research on
motivated empathic accuracy by exploring whether intimate partners who are highly
motivated to induce change in their partner during conflicts will be more
empathically accurate than partners who are less motivated. In a laboratory
experiment, the partners within 26 cohabiting couples were randomly assigned the
role of conflict initiator. The partners provided questionnaire data,
participated in a videotaped conflict interaction, and completed a video-review
task. More blaming behavior was associated with higher levels of empathic
accuracy, irrespective of whether one was the conflict initiator or not. The
results also showed a two-way interaction indicating that initiators who applied
more pressure on their partners to change were less empathically accurate than
initiators who applied less pressure, whereas their partners could counter this
pressure when they could accurately "read" the initiator's thoughts and feelings.
PMID- 26566197
TI - Polybrominated diphenyl ethers (PBDEs) concentration in soil from San Luis
Potosi, Mexico: levels and ecological and human health risk characterization.
AB - The aim of this study was to assess the levels of polybrominated diphenyl ethers
(PBDEs) in soils from the city of San Luis Potosi in Mexico and perform an
ecological and human health risk characterization. In order to confirm the
presence of PBDEs, outdoor surface soil samples were collected and the
concentrations of PBDEs in urban, industrial, agricultural, and brick kiln
industry areas were determined. The mean total PBDEs levels obtained in the study
sites were 25.0 +/- 39.5 MUg/kg (geometric mean +/- standard deviation) in the
brick kiln industry zone; 34.5 +/- 36.0 MUg/kg in the urban zone; 8.00 +/- 7.10
MUg/kg in the industrial zone and 16.6 +/- 15.3 MUg/kg in the agricultural zone.
The ecological and human health risk characterization showed relatively low
hazard quotient values. However, the moderately high PBDEs levels found in soils
highlight the necessity to establish a systematic monitoring process for PBDEs in
environmental and biological samples.
PMID- 26566198
TI - Modification of Heat-Related Mortality in an Elderly Urban Population by
Vegetation (Urban Green) and Proximity to Water (Urban Blue): Evidence from
Lisbon, Portugal.
AB - BACKGROUND: Urban populations are highly vulnerable to the adverse effects of
heat, with heat-related mortality showing intra-urban variations that are likely
due to differences in urban characteristics and socioeconomic status. OBJECTIVES:
We investigated the influence of urban green and urban blue, that is, urban
vegetation and water bodies, on heat-related excess mortality in the elderly > 65
years old in Lisbon, Portugal, between 1998 and 2008. METHODS: We used remotely
sensed data and geographic information to determine the amount of urban
vegetation and the distance to bodies of water (the Atlantic Ocean and the Tagus
Estuary). Poisson generalized additive models were fitted, allowing for the
interaction between equivalent temperature [universal thermal climate index
(UTCI)] and quartiles of urban greenness [classified using the Normalized
Difference Vegetation Index (NDVI)] and proximity to water (<= 4 km vs. > 4 km),
while adjusting for potential confounders. RESULTS: The association between
mortality and a 1 degrees C increase in UTCI above the 99th percentile (24.8
degrees C) was stronger for areas in the lowest NDVI quartile (14.7% higher; 95%
CI: 1.9, 17.5%) than for areas in the highest quartile (3.0%; 95% CI: 2.0, 4.0%).
In areas > 4 km from water, a 1 degrees C increase in UTCI above the 99th
percentile was associated with a 7.1% increase in mortality (95% CI: 6.2, 8.1%),
whereas in areas <= 4 km from water, the estimated increase in mortality was only
2.1% (95% CI: 1.2, 3.0%). CONCLUSIONS: Urban green and blue appeared to have a
mitigating effect on heat-related mortality in the elderly population in Lisbon.
Increasing the amount of vegetation may be a good strategy to counteract the
adverse effects of heat in urban areas. Our findings also suggest potential
benefits of urban blue that may be present several kilometers from a body of
water. CITATION: Burkart K, Meier F, Schneider A, Breitner S, Canario P,
Alcoforado MJ, Scherer D, Endlicher W. 2016. Modification of heat-related
mortality in an elderly urban population by vegetation (urban green) and
proximity to water (urban blue): evidence from Lisbon, Portugal. Environ Health
Perspect 124:927-934; http://dx.doi.org/10.1289/ehp.1409529.
PMID- 26566199
TI - Intracranial-to-intracranial bypass for posterior inferior cerebellar artery
aneurysms: options, technical challenges, and results in 35 patients.
AB - OBJECT Intracranial-to-intracranial (IC-IC) bypasses are alternatives to
traditional extracranial-to-intracranial (EC-IC) bypasses to reanastomose parent
arteries, reimplant efferent branches, revascularize branches with in situ donor
arteries, and reconstruct bifurcations with interposition grafts that are
entirely intracranial. These bypasses represent an evolution in bypass surgery
from using scalp arteries and remote donor sites toward a more local and
reconstructive approach. IC-IC bypass can be utilized preferentially when
revascularization is needed in the management of complex aneurysms. Experiences
using IC-IC bypass, as applied to posterior inferior cerebellar artery (PICA)
aneurysms in 35 patients, were reviewed. METHODS Patients with PICA aneurysms and
vertebral artery (VA) aneurysms involving the PICA's origin were identified from
a prospectively maintained database of the Vascular Neurosurgery Service, and
patients who underwent bypass procedures for PICA revascularization were
included. RESULTS During a 17-year period in which 129 PICA aneurysms in 125
patients were treated microsurgically, 35 IC-IC bypasses were performed as part
of PICA aneurysm management, including in situ p3-p3 PICA-PICA bypass in 11
patients (31%), PICA reimplantation in 9 patients (26%), reanastomosis in 14
patients (40%), and 1 V3 VA-to-PICA bypass with an interposition graft (3%). All
aneurysms were completely or nearly completely obliterated, 94% of bypasses were
patent, 77% of patients were improved or unchanged after treatment, and good
outcomes (modified Rankin Scale <= 2) were observed in 76% of patients. Two
patients died expectantly. Ischemic complications were limited to 2 patients in
whom the bypasses occluded, and permanent lower cranial nerve morbidity was
limited to 3 patients and did not compromise independent function in any of the
patients. CONCLUSIONS PICA aneurysms receive the application of IC-IC bypass
better than any other aneurysm, with nearly one-quarter of all PICA aneurysms
treated microsurgically at our center requiring bypass without a single EC-IC
bypass. The selection of PICA bypass is almost algorithmic: trapped aneurysms at
the PICA origin or p1 segment are revascularized with a PICA-PICA bypass, with
PICA reimplantation as an alternative; trapped p2 segment aneurysms are
reanastomosed, bypassed in situ, or reimplanted; distal p3 segment aneurysms are
reanastomosed or revascularized with a PICA-PICA bypass; and aneurysms of the p4
segment that are too distal for PICA-PICA bypass are reanastomosed. Interposition
grafts are reserved for when these 3 primary options are unsuitable. A
constructive approach that preserves the PICA with direct clipping or replaces
flow with a bypass when sacrificed should remain an alternative to deconstructive
PICA occlusion and endovascular coiling when complete aneurysm occlusion is
unlikely.
PMID- 26566200
TI - Drowning hazard with deep brain stimulation: case report.
AB - The caudal zona incerta target within the posterior subthalamic area is an
investigational site for deep brain stimulation (DBS) in Parkinson disease (PD)
and tremor. The authors report on a patient with tremor-predominant PD who,
despite excellent tremor control and an otherwise normal neurological
examination, exhibited profound difficulty swimming during stimulation. Over the
last 20 years, anecdotal reports have been received of 3 other patients with PD
who underwent thalamic or pallidal lesioning or DBS surgery performed at the
authors' center and subsequently drowned. It may be that DBS puts patients at
risk for drowning by specifically impairing their ability to swim. Until this
finding can be further examined in larger cohorts, patients should be warned to
swim under close supervision soon after DBS surgery.
PMID- 26566201
TI - Pituitary macroadenomas with oculomotor cistern extension and tracking:
implications for surgical management.
AB - OBJECT Oculomotor cistern extension of pituitary adenomas is an overlooked
feature within the literature. In this study, 7 cases of pituitary macroadenoma
with oculomotor cistern extension and tracking are highlighted, and the
implications of surgical and medical management are discussed. METHODS The
records of patients diagnosed with pituitary macroadenomas who underwent
resection and in whom preoperative pituitary protocol MRI scans were available
for review were retrospectively reviewed. The patient and tumor characteristics
were reviewed along with the operative outcomes and complications. RESULTS Seven
patients (4.1%) with oculomotor cistern extension and tracking were identified in
a cohort of 170 patients with pituitary macroadenoma. The most common presenting
symptoms were visual deficit (6 patients; 86%), apoplexy (3 patients; 43%), and
oculomotor nerve palsy (3 patients; 43%). Lone oculomotor nerve palsy was seen in
2 patients without apoplexy and 1 patient with an apoplectic event. Gross-total
resection was achieved via a microscopic endonasal transsphenoidal approach with
or without endoscopic aid to the sella in 14%, near-total resection in 29%, and
subtotal resection in 57% of patients in the data set. CONCLUSIONS Pituitary
adenoma extension along the oculomotor cistern is uncommon; however,
preoperatively recognizing such extension should play an important role in the
surgeon's operative considerations and postoperative clinical management because
this extension can limit gross-total resection using the transsphenoidal approach
alone.
PMID- 26566202
TI - Risk factors for neurological worsening and symptomatic watershed infarction in
internal carotid artery aneurysm treated by extracranial-intracranial bypass
using radial artery graft.
AB - OBJECT The revascularization technique, including bypass created using the
external carotid artery (ECA), radial artery (RA), and M2 portion of middle
cerebral artery (MCA), has remained indispensable for treatment of complex
aneurysms. To date, it remains unknown whether diameters of the RA, superficial
temporal artery (STA), and C2 portion of the internal carotid artery (ICA) and
intraoperative MCA blood pressure have influences on the outcome and the
symptomatic watershed infarction (WI). The aim of the present study was to
evaluate the factors for the symptomatic WI and neurological worsening in
patients treated by ECA-RA-M2 bypass for complex ICA aneurysm with therapeutic
ICA occlusion. METHODS The authors measured the sizes of vessels (RA, C2, M2, and
STA) and intraoperative MCA blood pressure (initial, after ICA occlusion, and
after releasing the RA graft bypass) in 37 patients. Symptomatic WI was defined
as presence of the following: postoperative new neurological deficits, WI on
postoperative diffusion-weighted imaging, and ipsilateral cerebral blood flow
reduction on SPECT. Neurological worsening was defined as the increase in 1 or
more modified Rankin Scale scores. First, the authors performed receiver
operating characteristic curve analysis for continuous variables and the binary
end point of the symptomatic WI. The clinical, radiological, and physiological
characteristics of patients with and without the symptomatic WI were compared
using the log-rank test. Then, the authors compared the variables between
patients with and without neurological worsening at discharge and at the 12-month
follow-up examination or last hospital visit. RESULTS Symptomatic WI was observed
in 2 (5.4%) patients. The mean MCA pressure after releasing the RA graft (< 55 mm
Hg; p = 0.017), mean (MCA pressure after releasing the RA graft)/(initial MCA
pressure) (< 0.70 mm Hg; p = 0.032), and mean cross-sectional area ratio ([RA/C2
diameter](2) < 0.40 mm [p < 0.0001] and [STA/C2 diameter](2) < 0.044 mm [p <
0.0001]) were related to the symptomatic WI. All preoperatively independent
patients remained independent (modified Rankin Scale score < 3). After adjusting
for age and sex, left operative side (p = 0.0090 and 0.038) and perforating
artery ischemia (p = 0.0050 and 0.022) were related to neurological worsening at
discharge (11 [29%] patients) and at the 12-month follow-up or last hospital
visit (8 [22%] patients). CONCLUSIONS Results of the present study showed that
the vessel diameter and intraoperative MCA pressure had impacts on the
symptomatic WI and that operative side and perforating artery ischemia were
related to neurological worsening in patients with complex ICA aneurysms treated
by ECA-RA-M2 bypass.
PMID- 26566203
TI - The feasibility of producing patient-specific acrylic cranioplasty implants with
a low-cost 3D printer.
AB - OBJECT Commercially available, preformed patient-specific cranioplasty implants
are anatomically accurate but costly. Acrylic bone cement is a commonly used
alternative. However, the manual shaping of the bone cement is difficult and may
not lead to a satisfactory implant in some cases. The object of this study was to
determine the feasibility of fabricating molds using a commercial low-cost 3D
printer for the purpose of producing patient-specific acrylic cranioplasty
implants. METHODS Using data from a high-resolution brain CT scan of a patient
with a calvarial defect posthemicraniectomy, a skull phantom and a mold were
generated with computer software and fabricated with the 3D printer using the
fused deposition modeling method. The mold was used as a template to shape the
acrylic implant, which was formed via a polymerization reaction. The resulting
implant was fitted to the skull phantom and the cranial index of symmetry was
determined. RESULTS The skull phantom and mold were successfully fabricated with
the 3D printer. The application of acrylic bone cement to the mold was simple and
straightforward. The resulting implant did not require further adjustment or
drilling prior to being fitted to the skull phantom. The cranial index of
symmetry was 96.2% (the cranial index of symmetry is 100% for a perfectly
symmetrical skull). CONCLUSIONS This study showed that it is feasible to produce
patient-specific acrylic cranioplasty implants with a low-cost 3D printer.
Further studies are required to determine applicability in the clinical setting.
This promising technique has the potential to bring personalized medicine to more
patients around the world.
PMID- 26566204
TI - Angiographically occult vascular malformation of the intracranial accessory
nerve: case report.
AB - Angiographically occult cerebral vascular malformations (AOVMs) are usually found
in the supratentorial brain parenchyma. Uncommonly, AOVMs can be found within the
cavernous sinus or basal cisterns and can be associated with cranial nerves.
AOVMs involving the intracranial segment of the spinal accessory nerve have not
been described. A 46-year-old female patient presented with a history of episodic
frontal headaches and episodes of nausea and dizziness, as well as gait
instability progressing over 6 months prior to evaluation. Imaging revealed a
well-circumscribed 3-cm extraaxial T1-weighted isointense and T2-weighted
hyperintense contrast-enhancing mass centered in the region of the right lateral
cerebellomedullary cistern. The patient underwent resection of the lesion.
Although the intraoperative appearance was suggestive of a cavernous
malformation, some histological findings were atypical, leading to the final
diagnosis of vascular malformation, not otherwise specified. The patient's
postoperative course was uneventful with complete resolution of symptoms. To the
authors' knowledge, this is the first report of an AOVM involving the
intracranial portion of the accessory nerve. For any AOVM located within the
cerebellomedullary cistern or one suspected of involving a cranial nerve, the
authors recommend including immunohistochemistry with primary antibody to
neurofilament in the histopathology workup.
PMID- 26566205
TI - Endoscopic graduated multiangle, multicorridor resection of juvenile
nasopharyngeal angiofibroma: an individualized, tailored, multicorridor skull
base approach.
AB - OBJECT Juvenile nasopharyngeal angiofibromas (JNAs) are formidable tumors because
of their hypervascularity and difficult location in the skull base. Traditional
transfacial procedures do not always afford optimal visualization and
illumination, resulting in significant morbidity and poor cosmesis. The advent of
endoscopic procedures has allowed for resection of JNAs with greater surgical
freedom and decreased incidence of facial deformity and scarring. METHODS This
report describes a graduated multiangle, multicorridor, endoscopic approach to
JNAs that is illustrated in 4 patients, each with a different tumor location and
extent. Four different surgical corridors in varying combinations were used to
resect JNAs, based on tumor size and location, including an ipsilateral endonasal
approach (uninostril); a contralateral, transseptal approach (binostril); a
sublabial, transmaxillary Caldwell-Luc approach; and an orbitozygomatic,
extradural, transcavernous, infratemporal fossa approach (transcranial). One
patient underwent resection via an ipsilateral endonasal uninostril approach
(Corridor 1) only. One patient underwent a binostril approach that included an
additional contralateral transseptal approach (Corridors 1 and 2). One patient
underwent a binostril approach with an additional sublabial Caldwell-Luc approach
for lateral extension in the infratemporal fossa (Corridors 1-3). One patient
underwent a combined transcranial and endoscopic endonasal/sublabial Caldwell-Luc
approach (Corridors 1-4) for an extensive JNA involving both the lateral
infratemporal fossa and cavernous sinus. RESULTS A graduated multiangle,
multicorridor approach was used in a stepwise fashion to allow for maximal
surgical exposure and maneuverability for resection of JNAs. Gross-total
resection was achieved in all 4 patients. One patient had a postoperative CSF
leak that was successfully repaired endoscopically. One patient had a delayed
local recurrence that was successfully resected endoscopically. There were no
vascular complications. CONCLUSIONS An individualized, multiangle, multicorridor
approach allows for safe and effective surgical customization of access for
resection of JNAs depending on the size and exact location of the tumor.
Combining the endoscopic endonasal approach with a transcranial approach via an
orbitozygomatic, extradural, transcavernous approach may be considered in giant
extensive JNAs that have intracranial extension and intimate involvement of the
cavernous sinus.
PMID- 26566206
TI - Orbit-associated tumors: navigation and control of resection using intraoperative
computed tomography.
AB - OBJECT Treatment of skull base lesions is complex and usually requires a
multidisciplinary approach. In meningioma, which is the most common tumor entity
in this region, resection is considered to be the most important therapeutic step
to avoid tumor recurrence. However, resection of skull base lesions with orbital
or optic nerve involvement poses a challenge due to their anatomical structure
and their proximity to eloquent areas. Therefore the main goal of surgery should
be to achieve the maximum extent of resection while preserving neurological
function. In the postoperative course, medical and radiotherapeutic strategies
may then be successfully used to treat possible tumor residues. Methods to safely
improve the extent of resection in skull base lesions therefore are desirable.
The current study reports the authors' experience with the use of intraoperative
CT (iCT) combined with neuronavigation with regard to feasibility and possible
benefits of the method. METHODS Those patients with tumorous lesions in
relationship to the orbit, sphenoid wing, or cavernous sinus who were surgically
treated between October 2008 and December 2013 using iCT-based neuronavigation
and in whom an intraoperative scan was obtained for control of resection were
included. In all cases a second iCT scan was performed under sterile conditions
after completion of navigation-guided microsurgical tumor resection. The surgical
strategy was adapted accordingly; if necessary, resection was continued. RESULTS
Twenty-three patients (19 with WHO Grade I meningioma and 4 with other lesions)
were included. The most common clinical symptoms were loss of visual acuity and
exophthalmus. Intraoperative control of resection by iCT was successfully
obtained in all cases. Intraoperative imaging changed the surgical approach in
more than half (52.2%) of these patients, either because iCT demonstrated
unexpected residual tumor masses or because the second scan revealed additional
tumor tissue that was not detected in the first scan due to overlay by osseous
tumor parts; in these cases resection was continued. In the remaining cases
resection was concluded as planned because iCT verified the surgeon's microscopic
estimation of tumor resection status. Postoperative visual outcome was favorable
in more than 80% of patients. CONCLUSIONS Intraoperative CT allows control of
resection in case of uncertainty and can help to improve the extent of maximal
safe resection, especially in case of osseous tumor parts and masses within the
orbit.
PMID- 26566207
TI - Ultrasound-induced opening of the blood-brain barrier to enhance temozolomide and
irinotecan delivery: an experimental study in rabbits.
AB - OBJECT The blood-brain barrier (BBB) limits the intracerebral penetration of
drugs and brain tumor treatment efficacy. The effect of ultrasound-induced BBB
opening on the intracerebral concentration of temozolomide (TMZ) and irinotecan
(CPT-11) was assessed. METHODS This study was performed using 34 healthy New
Zealand rabbits. Half had unilateral BBB opening, and half served as controls.
Sonications were performed by pulsing a 1.05-MHz planar ultrasound transducer
with a duty cycle of 2.5% and an in situ acoustic pressure level of 0.6 MPa after
injection of a microbubble ultrasound contrast agent. Drugs were injected either
5 minutes before (ChemoPreUS) or 15 minutes after (ChemoPostUS) the ultrasound
sonication. The plasma and intracerebral concentrations of both drugs were
quantified using ultra-performance liquid chromatography. RESULTS The mean
intracerebral tissue-to-plasma drug concentration ratio in the control
hemispheres was 34% for TMZ and 2% for CPT-11. After BBB opening, these values
increased by up to 21% for TMZ and up to 178% for CPT-11. Intracerebral
concentrations of drugs were enhanced in regions where the BBB was opened
compared with the contralateral hemisphere (p < 0.01 and p < 0.0001 for CPT-11, p
= 0.02 and p = 0.03 for TMZ, in ChemoPreUS and ChemoPostUS, respectively) and
compared with the control group (p < 0.001 and p < 0.0001 for CPT-11, p < 0.01
and p = 0.02 for TMZ, in ChemoPreUS and ChemoPostUS, respectively). The
intracerebral distribution of drugs was heterogeneous, depending on the distance
from the ultrasound source. CONCLUSIONS Ultrasound-induced opening of the BBB
significantly enhances the intracerebral concentration of both TMZ and CPT-11 in
rabbits.
PMID- 26566209
TI - Erratum: Endoscopic fenestration of intraventricular cerebrospinal fluid cysts:
the contralateral approach.
PMID- 26566208
TI - Defensive medicine in neurosurgery: the Canadian experience.
AB - OBJECT Recent studies have examined the impact of perceived medicolegal risk and
compared how this perception impacts defensive practices within the US. To date,
there have been no published data on the practice of defensive medicine among
neurosurgeons in Canada. METHODS An online survey containing 44 questions was
sent to 170 Canadian neurosurgeons and used to measure Canadian neurosurgeons'
perception of liability risk and their practice of defensive medicine. The survey
included questions on the following domains: surgeon demographics, patient
characteristics, type of physician practice, surgeon liability profile, policy
coverage, defensive behaviors, and perception of the liability environment.
Survey responses were analyzed and summarized using counts and percentages.
RESULTS A total of 75 neurosurgeons completed the survey, achieving an overall
response rate of 44.1%. Over one-third (36.5%) of Canadian neurosurgeons paid
less than $5000 for insurance annually. The majority (87%) of Canadian
neurosurgeons felt confident with their insurance coverage, and 60% reported that
they rarely felt the need to practice defensive medicine. The majority of the
respondents reported that the perceived medicolegal risk environment has no
bearing on their preferred practice location. Only 1 in 5 respondent Canadian
neurosurgeons (21.8%) reported viewing patients as a potential lawsuit. Only 4.9%
of respondents would have selected a different career based on current
medicolegal risk factors, and only 4.1% view the cost of annual malpractice
insurance as a major burden. CONCLUSIONS Canadian neurosurgeons perceive their
medicolegal risk environment as more favorable and their patients as less likely
to sue than their counterparts in the US do. Overall, Canadian neurosurgeons
engage in fewer defensive medical behaviors than previously reported in the US.
PMID- 26566210
TI - Association of apolipoprotein E gene polymorphism with small-vessel lesions and
stroke type in moyamoya disease: a preliminary study.
AB - OBJECT The present study was conducted to investigate whether microbleeds or
microinfarcts are associated with apolipoprotein E (APOE) gene polymorphisms in
patients with moyamoya disease (MMD), and if so, whetherAPOE gene polymorphisms
are also associated with stroke type in patients with MMD. METHODS This cross
sectional, multicenter study included 86 consecutive patients with MMD who
underwent T2*-weighted gradient echo or susceptibility-weighted MR imaging and 83
healthy control volunteers. Baseline clinical and radiological characteristics
were recorded at diagnosis, and inter- and intragroup differences in the APOE
genotypes were assessed. Multivariate binary logistic regression models were used
to determine the association factors for small-vessel lesions (SVLs) and
hemorrhagic presentation in patients with MMD. RESULTS There was no difference in
APOE gene polymorphism and the incidence of SVLs between patients with MMD and
healthy controls (p > 0.05). In the MMD group, 7 (8.1%) patients had microbleeds
and 32 (37.2%) patients had microinfarcts. Microbleeds were more frequently
identified in patients with hemorrhagic-type than in nonhemorrhagictype MMD (p =
0.003). APOE genotypes differed according to the presence of microbleeds (p =
0.024). APOE epsilon2 or epsilon4 carriers also experienced microbleeds more
frequently than APOE epsilon3/epsilon3 carriers (p = 0.013). In the multivariate
regression analysis in patients with MMD, microbleeds were significantly related
to APOE epsilon2 or epsilon4 carrier status (OR 7.86; 95% CI1.20-51.62; p =
0.032) and cerebral aneurysm (OR 17.31; 95% CI 2.09-143.57; p = 0.008).
Microinfarcts were independently associated with hypertension (OR 3.01; 95% CI
1.05-7.86; p = 0.007). Hemorrhagic presentation was markedly associated with
microbleeds (OR 10.63; 95% CI 1.11-102.0; p = 0.041). CONCLUSIONS These
preliminary results did not show a difference in APOE gene polymorphisms between
patients with MMD and healthy persons. However, they imply that APOE gene
polymorphisms may play certain roles in the presence of microbleeds but not
microinfarcts in patients with MMD. A further confirmatory study is necessary to
elucidate the effect of APOE gene polymorphisms and SVLs on the future incidence
of stroke in patients with MMD.
PMID- 26566211
TI - Symptomatic large or giant capillary telangiectasias: management and outcome in 5
cases.
AB - Brain capillary telangiectasias (BCTs) are usually small and benign with a
predilection in the pons and basal ganglion. Reports of large and symptomatic
BCTs are rare. Large BCTs have a much higher risk of causing uncontrolled
bleeding and severe neurological defects, and they can be fatal if left
untreated. Therefore, large BCTs should be managed with special caution. Because
of the lack of reports, diagnosis of large BCTs has been difficult. Strategies of
management are undefined for large or giant BCTs. The current study presents 5
cases of giant and large BCTs. To the authors' knowledge, this is the largest
series of this disease ever reported. Radiological findings, histopathological
characteristics, clinical presentations, and surgical management were analyzed in
5 symptomatic, unusually large BCTs (mean diameter 5.06 cm, range 1.8-8 cm). Four
patients presented with focal or generalized seizures, and 1 patient presented
with transient vision loss attributed to the lesions. Gross-total resection of
the lesion was achieved in all patients. After surgery, the 4 patients with
seizures were symptom free for follow-up periods varying from more than 1 to 5
years with no additional neurological deficits. The unique location, radiological
characteristics, and clinical course suggest that giant BCTs could be a different
entity from small BCTs. Surgery might be a good option for treatment of patients
with intractable neurological symptoms, especially in those with surgically
accessible locations. Complete removal would be anticipated to provide relief of
the symptoms without causing new neurological deficits.
PMID- 26566213
TI - Quantification of co-trimoxazole in serum and plasma using MS/MS.
AB - BACKGROUND: Co-trimoxazole is frequently used in the prophylaxis and treatment of
Pneumocystis carinii pneumonia. High plasma concentrations of sulfamethoxazole or
trimethoprim are correlated with toxicity. There is, however, a large variation
in PK observed which can lead to underexposure or toxicity. RESULTS: We developed
a novel LC-MS/MS method to analyze the components of co-trimoxazole, trimethoprim
and sulfamethoxazole and its metabolite sulfamethoxazole-N-acetyl. This new
method is expeditious due to its limited sample preprocessing and a relatively
short run-time of only 3 min. CONCLUSION: This new method met the US FDA
requirements on linearity, selectivity, precision, accuracy, matrix effects,
recovery and stability and is suitable for routine analysis and future
prospective studies.
PMID- 26566212
TI - Increasing numbers of nonaneurysmal subarachnoid hemorrhage in the last 15 years:
antithrombotic medication as reason and prognostic factor?
AB - OBJECT Subarachnoid hemorrhage (SAH) is usually caused by a ruptured intracranial
aneurysm, but in some patients no source of hemorrhage can be detected. More
recent data showed increasing numbers of cases of spontaneous nonaneurysmal SAH
(NASAH). The aim of this study was to analyze factors, especially the use of
antithrombotic medications such as systemic anticoagulation or antiplatelet
agents (aCPs), influencing the increasing numbers of cases of NASAH and the
clinical outcome. METHODS Between 1999 and 2013, 214 patients who were admitted
to the authors' institution suffered from NASAH, 14% of all patients with SAH.
Outcome was assessed according to the modified Rankin Scale (mRS) at 6 months.
Risk factors were identified based on the outcome. RESULTS The number of patients
with NASAH increased significantly in the last 15 years of the study period.
There was a statistically significant increase in the rate of
nonperimesencephalic (NPM)-SAH occurrence and aCP use, while the proportion of
elderly patients remained stable. Favorable outcome (mRS 0-2) was achieved in 85%
of cases, but patients treated with aCPs had a significantly higher risk for an
unfavorable outcome. Further analysis showed that elderly patients, and
especially the subgroup with a Fisher Grade 3 bleeding pattern, had a high risk
for an unfavorable outcome, whereas the subgroup of NPM-SAH without a Fisher
Grade 3 bleeding pattern had a favorable outcome, similar to perimesencephalic
(PM)-SAH. CONCLUSIONS Over the years, a significant increase in the number of
patients with NASAH has been observed. Also, the rate of aCP use has increased
significantly. Risk factors for an unfavorable outcome were age > 65 years,
Fisher Grade 3 bleeding pattern, and aCP use. Both "PM-SAH" and "NPM-SAH without
a Fisher Grade 3 bleeding pattern" had excellent outcomes. Patients with NASAH
and a Fisher Grade 3 bleeding pattern had a significantly higher risk for an
unfavorable outcome and death. Therefore, for further investigations, NPM-SAH
should be stratified into patients with or without a Fisher Grade 3 bleeding
pattern. Also, cases of spontaneous SAH should be stratified into NASAH and
aneurysmal SAH.
PMID- 26566214
TI - Herbalists of Today's Switzerland and Their Plant Knowledge. A Preliminary
Analysis from an Ethnobotanical Perspective.
AB - BACKGROUND: Herbal medicine is a popular part of primary health care in
Switzerland. Following an ethnobotanical approach, in this study we seek to
identify Swiss herbalists with broad, empirical medicinal plant knowledge and
use. We aim to consider different areas of the medicinal landscape including
biomedicine, complementary and alternative medicine, and self-medication.
MATERIAL AND METHODS: A total of 61 expert interviews were conducted from
February 2010 to November 2011. The transfer of knowledge was analyzed according
to a Switzerland-related selection of historical and recent popular as well as
scientific herbal books. RESULTS: A total of 254 medicinal plant species,
belonging to 218 genera and 87 families, were recorded in 934 use reports.
Predominantly leaves and flowers are used for the treatment of dermatological,
respiratory, nervous, and gastrointestinal problems. Recent to historical herbal
books are an important source of plant knowledge. CONCLUSIONS: Medicinal plants
are used for self-medication and professional health care and despite different
underlying medicinal concepts and philosophies, herbalists largely agree on the
most important medicinal plant species.
PMID- 26566215
TI - Thomsen myotonia--A 4-generation family with a new mutation and a mild phenotype.
PMID- 26566216
TI - C-Reactive Protein Level Is an Indicator of the Aggressiveness of Advanced
Pancreatic Cancer.
AB - OBJECTIVES: This study investigated the ability of serum levels of C-reactive
protein (CRP) to stratify the aggressiveness of advanced pancreatic cancer (PCa),
including poor outcomes, systemic weakness, and extent of the disease in patients
receiving first-line chemotherapy. METHODS: The prognostic CRP classification was
constructed in the retrospective cohort, consisting of advanced PCa patients with
first-line gemcitabine monotherapy (GEM). Stratification using the prognostic CRP
classification was validated for relationships with the aggressiveness of
advanced PCa in the prospective cohort, consisting of treatment-naive patients
without obvious infections who received first-line GEM or GEM-based regimens.
RESULTS: C-reactive protein low (<0.5 mg/dL), intermediate (>= 0.5 and <2.0
mg/dL), and high (>= 2.0 mg/dL) related good, moderate, and poor survival,
respectively, and were independent predictors of survival in multivariate
analyses among the 280 patients in the retrospective cohort and the 141 patients
in the prospective cohort. Low Karnofsky Performance Status, hypoalbuminemia,
anemia, and large tumor burden were more common in the high CRP group than in the
low CRP group. The intermediate CRP group showed a larger burden of tumor than
the low CRP group. CONCLUSIONS: C-reactive protein stratified the outcomes,
systemic weakness, and tumor burden. C-reactive protein is an indicator of the
aggressiveness of advanced PCa.
PMID- 26566218
TI - Knowledge, Attitudes and Practices (KAP) on Rift Valley Fever among Pastoralist
Communities of Ijara District, North Eastern Kenya.
AB - Outbreaks of Rift Valley fever (RVF), a mosquito-borne viral zoonosis, have
previously been associated with unusually heavy rainfall and extensive flooding.
The disease is a serious public health problem in Africa and the Middle East, and
is a potential global health threat. In Kenya, outbreaks of the disease have
disproportionately affected impoverished pastoralist communities. This study
sought to assess the knowledge, attitudes and practices (KAP) regarding RVF among
the pastoralists of North Eastern Kenya, and to establish the determinants of KAP
on RVF. A cross-sectional study involving 392 pastoralists living in Ijara
district (Masalani and Ijara wards) was carried out using an interview
questionnaire. All respondents interviewed (100%) had heard about RVF disease.
They recognized that the disease is dangerous (99%), and had a positive attitude
towards vaccination of animals (77%). However, few respondents knew that abortion
(11%) and high mortality of young animals (10%) were key signs of RVF in animals.
Very few (4%) use any form of protection when handling sick animals to avoid
infection. Significant factors associated with knowledge were being in a
household with a history of RVF infection (OR = 1.262, 95% CI = 1.099-1.447),
having more livestock (OR = 1.285, 95% CI = 1.175-1.404) and the place of
residence, Masalani (OR = 0.526, 95% CI = 0.480-0.576). Overall knowledge score
on RVF was found to be a significant predictor of good preventive practice of the
disease (OR = 1.073, 95% CI = 1.047-1.101). Despite the positive attitude that
pastoralist communities have towards the prevention of RVF, there exist gaps in
knowledge and good practices on the disease. Therefore there is need for public
health education to address these gaps, and to identify and facilitate the
removal of barriers to behavioural change related to the prevention of RVF.
PMID- 26566219
TI - Effect of Spatial Dispersion on Evolutionary Stability: A Two-Phenotype and Two
Patch Model.
AB - In this paper, we investigate a simple two-phenotype and two-patch model that
incorporates both spatial dispersion and density effects in the evolutionary game
dynamics. The migration rates from one patch to another are considered to be
patch-dependent but independent of individual's phenotype. Our main goal is to
reveal the dynamical properties of the evolutionary game in a heterogeneous
patchy environment. By analyzing the equilibria and their stabilities, we find
that the dynamical behavior of the evolutionary game dynamics could be very
complicated. Numerical analysis shows that the simple model can have twelve
equilibria where four of them are stable. This implies that spatial dispersion
can significantly complicate the evolutionary game, and the evolutionary outcome
in a patchy environment should depend sensitively on the initial state of the
patches.
PMID- 26566217
TI - Aldehyde Dehydrogenase 1B1 as a Modulator of Pancreatic Adenocarcinoma.
AB - OBJECTIVES: The aim of the current study was to examine expression and the role,
if any, of aldehyde dehydrogenase (ALDH)1B1 in pancreatic adenocarcinoma.
METHODS: A tissue microarray of 61 pancreatic cancer patients were evaluated for
protein expression of ALDH1B1 by immunohistochemistry. The ALDH1B1 small
interfering (RNA) was used to assess the contribution of ALDH1B1 on proliferation
of pancreatic cancer cells. RESULTS: In normal human pancreas, ALDH1B1 is
abundantly expressed in glandular cells, but sparsely in the ducts (ALDH1B1
immunopositivity = 16.7 +/- 1.7). In pancreatic ductal carcinoma, we found high
ALDH1B1 expression in ductal cancerous tissues (ALDH1B1 immunopositivity = 197.2
+/- 29.4). Analysis of ALDH1B1 expression in a human pancreatic adenocarcinoma
tissue microarray showed the greatest expression in tumors that were more
invasive. A variation in ALDH1B1 expression was also observed in 16 human
pancreatic cancer cell lines. Knockdown of ALDH1B1 caused a 35% reduction in cell
growth in the high ALDH1B1-expressing cell lines. CONCLUSIONS: Our data show for
the first time that ALDH1B1 is expressed at very high levels in human pancreatic
cancer, and it contributes to proliferation in these tumor cells. These data
suggest a potential modulatory role for ALDH1B1 in pancreatic cancer.
PMID- 26566220
TI - Effects of High Intensity Interval Training on Pregnant Rats, and the Placenta,
Heart and Liver of Their Fetuses.
AB - OBJECTIVE: To investigate the effects of high intensity interval training (HIIT)
on the maternal heart, fetuses and placentas of pregnant rats. METHODS: Female
Sprague-Dawley rats were randomly assigned to HIIT or sedentary control groups.
The HIIT group was trained for 6 weeks with 10 bouts of high intensity uphill
running on a treadmill for four minutes (at 85-90% of maximal oxygen consumption)
for five days/week. After three weeks of HIIT, rats were mated. After six weeks
(gestational day 20 in pregnant rats), echocardiography was performed to evaluate
maternal cardiac function. Real-time PCR was performed for the quantification of
gene expression, and oxidative stress and total antioxidant capacity was assessed
in the tissue samples. RESULTS: Maternal heart weight and systolic function were
not affected by HIIT or pregnancy. In the maternal heart, expression of 11 of 22
genes related to cardiac remodeling was influenced by pregnancy but none by HIIT.
Litter size, fetal weight and placental weight were not affected by HIIT. Total
antioxidant capacity, malondialdehyde content, peroxidase and superoxide
dismutase activity measured in the placenta, fetal heart and liver were not
influenced by HIIT. HIIT reduced the expression of eNOS (p = 0.03), hypoxia
inducible factor 1alpha (p = 0.04) and glutathione peroxidase 4.2 (p = 0.02) in
the fetal liver and increased the expression of vascular endothelial growth
factor-beta (p = 0.014), superoxide dismutase 1 (p = 0.001) and tissue inhibitor
of metallopeptidase 3 (p = 0.049) in the fetal heart. CONCLUSIONS: Maternal
cardiac function and gene expression was not affected by HIIT. Although HIIT did
not affect fetal growth, level of oxidative stress and total antioxidant capacity
in the fetal tissues, some genes related to oxidative stress were altered in the
fetal heart and liver indicating that protective mechanisms may be activated.
PMID- 26566221
TI - Early Assessment of Colorectal Cancer Patients with Liver Metastases Treated with
Antiangiogenic Drugs: The Role of Intravoxel Incoherent Motion in Diffusion
Weighted Imaging.
AB - PURPOSE: To assess the feasibility and effectiveness of quantitative intravoxel
incoherent motion (IVIM) of Diffusion-weighted imaging (DWI) in the assessment of
liver metastases treated with targeted chemotherapy agents. METHODS: 12 patients
with unresectable liver metastases from colorectal cancer were enrolled and
received neoadjuvant FOLFIRI (5-fluorouracil, leucovorin, irinotecan) plus
bevacizumab therapy. DWI was performed for 36 metastases at baseline and after 14
days from starting the treatment. In addition to the basic IVIM metrics, the
product between pseudo-diffusivity and perfusion fraction was considered as a
descriptor roughly analogous to the flow. Median diffusion parameters of Region
of Interest (ROI) were used as representative values for each lesion. Normalized
parameters in comparison with the median value of spleen were also collected. The
percentual change of the diffusion parameters was calculated. The response to
chemotherapy was evaluated according the Response Evaluation Criteria in Solid
Tumors (RECIST) as calculated on whole-body CT scan obtained three months after
treatment. Mann Whitney test and Receiver operating characteristic (ROC) analysis
were performed. RESULTS: 24 lesions were categorized as responding and 12 as not
responding. There was no statistically significant difference among absolute and
normalized diffusion parameters between the pretreatment and the post-treatment
findings. Instead, the perfusion fraction (fp) values showed a statistical
difference between responder and non-responder lesions: sensitivity and
specificity of fp variation was 62% and 93%, respectively. CONCLUSIONS: IVIM
parameters represent a valuable tool in the evaluation of the anti-angiogenic
therapy in patients with liver metastases from colorectal cancer. A percentage
change of fp represents the most effective DWI marker in the assessment of tumor
response.
PMID- 26566222
TI - Investigating the Roles of the C-Terminal Domain of Plasmodium falciparum GyrA.
AB - Malaria remains as one of the most deadly diseases in developing countries. The
Plasmodium causative agents of human malaria such as Plasmodium falciparum
possess an organelle, the apicoplast, which is the result of secondary
endosymbiosis and retains its own circular DNA. A type II topoisomerase, DNA
gyrase, is present in the apicoplast. In prokaryotes this enzyme is a proven,
effective target for antibacterial agents, and its discovery in P. falciparum
opens up the prospect of exploiting it as a drug target. Basic characterisation
of P. falciparum gyrase is important because there are significant sequence
differences between it and the prokaryotic enzyme. However, it has proved
difficult to obtain soluble protein. Here we have predicted a new domain boundary
in P. falciparum GyrA that corresponds to the C-terminal domain of prokaryotic
GyrA and successfully purified it in a soluble form. Biochemical analyses
revealed many similarities between the C-terminal domains of GyrA from E. coli
and P. falciparum, suggesting that despite its considerably larger size, the
malarial protein carries out a similar DNA wrapping function. Removal of a unique
Asn-rich region in the P. falciparum protein did not result in a significant
change, suggesting it is dispensable for DNA wrapping.
PMID- 26566223
TI - Editorial.
PMID- 26566225
TI - Is Smoking Shisha Safer than Cigarettes: Comparison of Health Effects of Shisha
and Cigarette Smoking among Young Adults in Kuwait.
AB - OBJECTIVE: The aim of this study was to compare the health effects of shisha
smoking with cigarette smoking among male college students in Kuwait. SUBJECTS
AND METHODS: This cross-sectional study was conducted on 525 male students in
Kuwait from September to October 2013. A pretested questionnaire was used for
information on demographics and health complaints. Peak expiratory flow rate
(PEFR) was measured using a portable peak flow meter. The outcome variables of
health status were compared between smoking shisha, cigarettes, or both, and
nonsmoking. RESULTS: The prevalence of current smoking was 243 of the 525
students (46%); of them, 52 (10%) were shisha smokers, 69 were (13%) cigarette
smokers and 122 (23%) were both shisha and cigarette smokers. There were
significantly fewer shisha smokers than cigarette smokers with symptoms of
persistent cough (4 vs. 13% or 2/52 vs. 15/69; p = 0.007), chest pain (4 vs. 23%
or 2/52 vs. 16/69; p = 0.004) and rapid heart rate (12 vs. 28% or 6/52 vs. 19/69;
p = 0.04). Other complaints, including asthma, respiratory infections, shortness
of breath, high blood pressure, increased blood sugar levels and sleep
disturbances were similar in the 2 groups. Values of PEFR for shisha smokers and
cigarette smokers were not significantly different. CONCLUSION: This study
produced evidence suggesting that shisha smoking is not safer than cigarette
smoking except with regard to complaints such as cough, chest pain and rapid
heart rate, and that people who smoke both experience worse health effects in
terms of frequent symptoms of respiratory infections, persistent cough, rapid
heartbeat and sleep disturbances.
PMID- 26566226
TI - Playfulness in Children with Limited Motor Abilities When Using a Robot.
AB - AIMS: Children with limited gross motor and manual abilities have fewer
opportunities to engage in free play. We investigated the effect of a robotic
intervention on the playfulness of children with cerebral palsy (CP). METHODS: We
used a partially nonconcurrent multiple baseline design with four children and
their mothers. Children were classified in level IV or V on the Gross Motor
Function and Manual Ability Classification Systems. The intervention was the
availability of an adapted Lego robot during a 15-min free play session between
the child and mother. There were two sessions per week for about 14 weeks.
Playfulness was measured using the Test of Playfulness. RESULTS: Statistical
comparisons using the 2 SD band and X-moving range chart methods revealed that
all the children's levels of playfulness increased significantly while they
played with the robot. Comparison of baseline and follow-up phase indicated that
three children had retention of improved level of playfulness. CONCLUSION: Play
with adapted Lego robots increased the level of playfulness in all four children
during free play with their mothers. The findings have implications for providing
children with limitations in motor abilities opportunities for free play with
family and friends.
PMID- 26566224
TI - Picomolar Inhibition of Plasmepsin V, an Essential Malaria Protease, Achieved
Exploiting the Prime Region.
AB - Malaria is an infectious disease caused by Plasmodium parasites. It results in an
annual death-toll of ~ 600,000. Resistance to all medications currently in use
exists, and novel antimalarial drugs are urgently needed. Plasmepsin V (PmV) is
an essential Plasmodium protease and a highly promising antimalarial target,
which still lacks molecular characterization and drug-like inhibitors. PmV,
cleaving the PExEl motif, is the key enzyme for PExEl-secretion, an indispensable
parasitic process for virulence and infection. Here, we describe the
accessibility of PmV catalytic pockets to inhibitors and propose a novel strategy
for PmV inhibition. We also provide molecular and structural data suitable for
future drug development. Using high-throughput platforms, we identified a novel
scaffold that interferes with PmV in-vitro at picomolar ranges (~ 1,000-fold more
active than available compounds). Via systematic replacement of P and P' regions,
we assayed the physico-chemical requirements for PmV inhibition, achieving an
unprecedented IC50 of ~20 pM. The hydroxyethylamine moiety, the hydrogen acceptor
group in P2', the lipophilic groups upstream to P3, the arginine and other
possible substitutions in position P3 proved to be critically important elements
in achieving potent inhibition. In-silico analyses provided essential QSAR
information and model validation. Our inhibitors act 'on-target', confirmed by
cellular interference of PmV function and biochemical interaction with
inhibitors. Our inhibitors are poorly performing against parasite growth,
possibly due to poor stability of their peptidic component and trans-membrane
permeability. The lowest IC50 for parasite growth inhibition was ~ 15 MUM.
Analysis of inhibitor internalization revealed important pharmacokinetic features
for PExEl-based molecules. Our work disclosed novel pursuable drug design
strategies for highly efficient PmV inhibition highlighting novel molecular
elements necessary for picomolar activity against PmV. All the presented data are
discussed in respect to human aspartic proteases and previously reported
inhibitors, highlighting differences and proposing new strategies for drug
development.
PMID- 26566227
TI - The tricyanomethanide anion favors low viscosity of the pure ionic liquid and its
aqueous mixtures.
AB - Ionic liquids (ILs) constitute a broad research interest. One of the serious
drawbacks of ILs is their high shear viscosity, which originates from bulky
particles and strong cation-anion coordination. A continuous molecular design is
being done to develop low-viscosity ILs. Low-viscosity ILs are also expected to
exhibit an increased ionic conductivity. We investigate such an IL composed using
a new anion (to the IL field) tricyanomethanide [C(CN)3](-) and the cation 1
ethyl-3-methylimidazolium [EMIM](+). Having developed the force field for
[EMIM][C(CN)3], we simulate molecular dynamics (MD) of the pure IL and its
mixtures with water over an entire range of compositions. According to MD
simulations, [EMIM][C(CN)3] exhibits unusually low shear viscosity (for the
imidazolium family) and high ionic conductivity. This is achieved thanks to weak
cation-anion coordination and no hydrogen bonding between them. Furthermore,
cation-water and anion-water hydrogen bonds were detected in the
[EMIM][C(CN)3]/water mixtures. We correlate the structure and dynamics of
[EMIM][C(CN)3] in aqueous mixtures to provide guidelines for future development
of low-viscosity and highly conductive ILs.
PMID- 26566228
TI - Pancreatic Steatosis and Fibrosis: Quantitative Assessment with Preoperative
Multiparametric MR Imaging.
AB - PURPOSE: To evaluate the diagnostic performance of multiparametric pancreatic
magnetic resonance (MR) imaging, including the T2*-corrected Dixon technique and
intravoxel incoherent motion (IVIM) diffusion-weighted (DW) imaging, in the
quantification of pancreatic steatosis and fibrosis, with histologic analysis as
the reference standard, and to determine the relationship between MR parameters
and postoperative pancreatic fistula. MATERIALS AND METHODS: This retrospective
study was approved by the institutional review board, and the informed consent
requirement was waived. A total of 165 patients (93 men, 72 women; mean age, 62
years) underwent preoperative 3-T MR imaging and subsequent pancreatectomy
(interval, 0-77 days). Fat fractions, IVIM DW imaging parameters (true diffusion
coefficient [D], pseudodiffusion coefficient [D*], and perfusion fraction [f]),
pancreas-to-muscle signal intensity ratios on unenhanced T1-weighted images, and
pancreatic duct sizes were compared with the fat fractions and fibrosis degrees
(F0-F3) of specimens. In 95 patients who underwent pancreatoenteric anastomosis,
MR parameters were compared between groups with clinically relevant postoperative
pancreatic fistula and those without. The relationship between postoperative
pancreatic fistula and MR parameters was evaluated by using logistic regression
analysis. RESULTS: Fat fractions at MR imaging showed a moderate relationship
with histologic findings (r = 0.71; 95% confidence interval: 0.63, 0.78).
Patients with advanced fibrosis (F2-F3) had lower D*([39.72 +/- 13.64] *10(
3)mm(2)/sec vs [32.50 +/- 13.09] *10(-3)mm(2)/sec [mean +/- standard deviation],
P = .004), f (29.77% +/- 8.51 vs 20.82% +/- 8.66, P < .001), and unenhanced T1
weighted signal intensity ratio (1.43 +/- 0.26 vs 1.21 +/- 0.30, P < .001) than
did patients with F0-F1 disease. Clinically relevant fistula developed in 14
(15%) of 95 patients, and f was significantly associated with postoperative
pancreatic fistula (odds ratio, 1.17; 95% confidence interval: 1.05, 1.30).
CONCLUSION: Multiparametric MR imaging of the pancreas, including imaging with
the T2*-corrected Dixon technique and IVIM DW imaging, may yield quantitative
information regarding pancreatic steatosis and fibrosis, and f was shown to be
significantly associated with postoperative pancreatic fistulas.
PMID- 26566229
TI - Root Morphology Was Improved in a Late-Stage Vigor Super Rice Cultivar.
AB - This study aimed to test the hypothesis that root morphology might be improved
and consequently contributing to superior post-heading shoot growth and grain
yield in late-stage vigor super rice. A pot experiment was carried out to compare
yield attributes, shoot growth and physiological properties and root
morphological traits between a late-stage vigor super rice cultivar (Y-liangyou
087) and an elite rice cultivar (Teyou 838). Grain yield and total shoot biomass
were 7-9% higher in Y-liangyou 087 than in Teyou 838. Y-liangyou 087 had 60-64%
higher post-heading shoot growth rate and biomass production than Teyou 838.
Average relative chlorophyll concentration and net photosynthetic rate in flag
leaves were 7-11% higher in Y-liangyou 087 than in Teyou 838 during heading to 25
days after heading. Y-liangyou 087 had 41% higher post-heading shoot N uptake but
17-25% lower root biomass and root-shoot ratio at heading and maturity than Teyou
838. Specific root length and length and surface area of fine roots were higher
in Y-liangyou 087 than in Teyou 838 at heading and maturity by more than 15%.
These results indicated that root-shoot relationships were well balanced during
post-heading phase in the late-stage vigor super rice cultivar Y-liangyou 087 by
improving root morphology including avoiding a too great root biomass and
developing a large fine root system.
PMID- 26566230
TI - Complete Plastid Genome Sequencing of Four Tilia Species (Malvaceae): A
Comparative Analysis and Phylogenetic Implications.
AB - Tilia is an ecologically and economically important genus in the family
Malvaceae. However, there is no complete plastid genome of Tilia sequenced to
date, and the taxonomy of Tilia is difficult owing to frequent hybridization and
polyploidization. A well-supported interspecific relationships of this genus is
not available due to limited informative sites from the commonly used molecular
markers. We report here the complete plastid genome sequences of four Tilia
species determined by the Illumina technology. The Tilia plastid genome is
162,653 bp to 162,796 bp in length, encoding 113 unique genes and a total number
of 130 genes. The gene order and organization of the Tilia plastid genome
exhibits the general structure of angiosperms and is very similar to other
published plastid genomes of Malvaceae. As other long-lived tree genera, the
sequence divergence among the four Tilia plastid genomes is very low. And we
analyzed the nucleotide substitution patterns and the evolution of insertions and
deletions in the Tilia plastid genomes. Finally, we build a phylogeny of the four
sampled Tilia species with high supports using plastid phylogenomics, suggesting
that it is an efficient way to resolve the phylogenetic relationships of this
genus.
PMID- 26566232
TI - Thymic output: Assessment of CD4+ recent thymic emigrants and T-Cell receptor
excision circles in infants.
AB - BACKGROUND: CD4+ recent thymic emigrants (CD4+ RTEs) constitute a subset of T
cells recently generated in the thymus and exported into peripheral blood. CD4+
RTEs have increased copy numbers of T-cell receptor excision circles (TREC). They
are characterized by the expression of CD31 on naive CD4 T-cells. We aimed to
validate a flow-cytometry assay to enumerate CD4+ RTEs and assess its performance
in relation to TREC measurement. METHODS: CD4+ RTEs cell count in peripheral
blood was measured to determine sample stability, precision, linearity, and to
establish reference ranges. TRECs were measured using qPCR assay performed with
DNA isolated from peripheral blood. CD4+ RTEs, TRECs, and flow cytometry results
for major T-cell markers were assessed in 50 infants less than 2 years of age.
RESULTS: Inter-and intra-assay precisions (% CV) were 1.5-12.2 and 1.5-7.0,
respectively. Linearity studies showed that the results are linear over a range
of 0.7 to 403.0 CD4+ RTEs/MUL of blood. There was 84% agreement (42 of 50)
between CD4+ RTEs and TRECs qualitative results for the infant samples. CD4+ RTEs
reference ranges in 17 healthy children was in agreement with published data,
while that of the healthy adults were 51-609 cells/MUL of blood. CONCLUSION: The
validation results provide acceptable measures of the CD4+ RTEs test performance
within CAP/CLIA frameworks. CD4+ RTEs and TRECs assays show high agreement in the
infant population. The CD4+ RTEs test can be used as a confirmation for the TREC
results along with or as an alternative to T-cell phenotyping in infants with
repeatedly low TRECs concentrations. (c) 2015 International Clinical Cytometry
Society.
PMID- 26566231
TI - Autophagy mediated CoCrMo particle-induced peri-implant osteolysis by promoting
osteoblast apoptosis.
AB - Wear particle-induced osteolysis is the leading cause of aseptic loosening, which
is the most common reason for THA (total hip arthroplasty) failure and revision
surgery. Although existing studies suggest that osteoblast apoptosis induced by
wear debris is involved in aseptic loosening, the underlying mechanism linking
wear particles to osteoblast apoptosis remains almost totally unknown. In the
present study, we investigated the effect of autophagy on osteoblast apoptosis
induced by CoCrMo metal particles (CoPs) in vitro and in a calvarial resorption
animal model. Our study demonstrated that CoPs stimulated autophagy in
osteoblasts and PIO (particle-induced osteolysis) animal models. Both autophagy
inhibitor 3-MA (3-methyladenine) and siRNA of Atg5 could dramatically reduce CoPs
induced apoptosis in osteoblasts. Further, inhibition of autophagy with 3-MA
ameliorated the severity of osteolysis in PIO animal models. Moreover, 3-MA also
prevented osteoblast apoptosis in an antiautophagic way when tested in PIO model.
Collectively, these results suggest that autophagy plays a key role in CoPs
induced osteolysis and that targeting autophagy-related pathways may represent a
potential therapeutic approach for treating particle-induced peri-implant
osteolysis.
PMID- 26566233
TI - Target hepatic artery regional chemotherapy and bevacizumab perfusion in liver
metastatic colorectal cancer after failure of first-line or second-line systemic
chemotherapy.
AB - Colorectal cancer liver metastasis (CRLM) is a refractory disease after failure
of first-line or second-line chemotherapy. Bevacizumab is recommended as first
line therapy for advanced colorectal cancer, but is unproven in CRLM through the
hepatic artery. We report favorable outcomes with targeted vessel regional
chemotherapy (TVRC) for liver metastatic gastric cancer. TVRC with FOLFOX and
bevacizumab perfusion through the hepatic artery was attempted for CRLM for
efficacy and safety evaluation. In a single-institution retrospective
observational study, 246 patients with CRLM after at least first-line or second
line failure of systemic chemotherapy received TVRC with FOLFOX (i.e.
oxaliplatin, leucovorin, and 5-fluorouracil). Of 246 patients, 63 were enrolled
into two groups: group 1 (n=30) received bevacizumab and TVRC following tumor
progression during previous TVRC treatments; group 2 (n=33) received TVRC plus
bevacizumab for CRLM on initiating TVRC. There were no significant differences in
the median survival time (14.7 vs. 13.2 months, P=0.367), although the median
time to progression was significant (3.3 vs. 5.5 months, P=0.026) between groups.
No severe adverse events related to TVRC plus bevacizumab perfusion occurred.
Target vessel regional chemotherapy with FOLFOX plus bevacizumab perfusion
through the hepatic artery was effective and safe in CRLM. The optimal
combination of TVRC and bevacizumab needs further confirmation in future phase II
III clinical trials.
PMID- 26566235
TI - Non-Canonical Wnt Predominates in Activated Rat Hepatic Stellate Cells,
Influencing HSC Survival and Paracrine Stimulation of Kupffer Cells.
AB - The Wnt system is highly complex and is comprised of canonical and non-canonical
pathways leading to the activation of gene expression. Our aim was to examine
changes in the expression of Wnt ligands and regulators during hepatic stellate
cell (HSC) transdifferentiation and assess the relative contributions of the
canonical and non-canonical Wnt pathways in fibrogenic activated HSC. The
expression profile of Wnt ligands and regulators in HSC was not supportive for a
major role for beta-catenin-dependent canonical Wnt signalling, this verified by
inability to induce Topflash reporter activity in HSC even when expressing a
constitutive active beta-catenin. We detected expression of Wnt5a in activated
HSC which can signal via non-canonical mechanisms and showed evidence for non
canonical signalling in these cells involving phosphorylation of Dvl2 and pJNK.
Stimulation of HSC or Kupffer cells with Wnt5a regulated HSC apoptosis and
expression of TGF-beta1 and MCP1 respectively. We were unable to confirm a role
for beta-catenin-dependent canonical Wnt in HSC and instead propose autocrine and
paracrine functions for Wnts expressed by activated HSC via non-canonical
pathways. The data warrant detailed investigation of Wnt5a in liver fibrosis.
PMID- 26566237
TI - Attitudes and Beliefs Regarding the Utility of Robotically Assisted Gynecologic
Surgery Among Practicing Gynecologists.
AB - While use of robotic-assisted surgery has increased rapidly, little is known
about the attitudes and beliefs of practicing gynecologists regarding the utility
of the technology. We surveyed a large sample of gynecologists to examine their
attitudes and beliefs about the benefits, utility, and factors driving use of
robotic-assisted gynecologic surgery. A 51-item survey was mailed to 600 fellows
or junior fellows of the American College of Obstetricians and Gynecologists. The
survey included questions on use of robotic surgery, decision-making, and beliefs
regarding the technology. Responses were stratified based on whether the
respondent used robotic surgery or not. A total of 310 responses were received
including 27.8% who used robotic surgery in their practices. Hysterectomy was the
most commonly performed procedure. Opinions about the use and effectiveness of
robotic procedures varied based on whether an individual was a robot user. Eighty
two percentage of robot users and 21% of nonrobot users believed robotic surgery
provided benefits over laparoscopic (p < .0001). Among both groups, the ability
to increase access to minimally invasive surgery and marketing were believed to
be the greatest drivers of use of robotic surgery. Attitudes and beliefs about
the effectiveness of robotic gynecologic surgery are highly variable among
clinicians.
PMID- 26566236
TI - Predicting remembering and forgetting of autobiographical memories in children
and adults: a 4-year prospective study.
AB - Preservation and loss to forgetting of autobiographical memories is a focus in
both the adult and developmental literatures. In both, there are comparative
arguments regarding rates of forgetting. Children are assumed to forget
autobiographical memories more rapidly than adults, and younger children are
assumed to forget more rapidly than older children. Yet few studies can directly
inform these comparisons: few feature children and adults, and few prospectively
track the survival of specific autobiographical memories over time. In a 4-year
prospective study, we obtained autobiographical memories from children 4, 6, and
8 years, and adults. We tested recall of different subsets of the events after 1,
2, and 3 years. Accelerated rates of forgetting were apparent among all child
groups relative to adults; within the child groups, 4- and 6-year-olds had
accelerated forgetting relative to 8-year-olds. The differences were especially
pronounced in open-ended recall. The thematic coherence of initial memory reports
also was a significant predictor of the survival of specific memories. The
pattern of findings is consistent with suggestions that the adult distribution of
autobiographical memories is achieved as the quality of memory traces increases
(here measured by thematic coherence) and the rate of forgetting decreases.
PMID- 26566238
TI - Chaos in the Clinic: Characteristics and Consequences of Practices Perceived as
Chaotic.
AB - The Minimizing Error, Maximizing Outcome (MEMO) study of clinics in New York
City, Chicago, and Wisconsin linked primary care work experiences to physician
stress. We analyzed MEMO data to determine how chaos in the clinic was associated
with work conditions and quality of care measures. Surveys and medical record
audits determined practice characteristics and medical errors, respectively.
Physicians rated clinic atmosphere on a scale of 1 (calm) to 5 (chaotic). Chaotic
clinics were defined as practices rated either 4 or 5 by greater than 50% of
clinic physicians. Forty of 112 MEMO clinics (36%) were chaotic. Compared with
nonchaotic practices, these clinics served more minority and Medicaid patients
and had a greater likelihood of clinic bottlenecks such as phone access (both p <
.01). Physicians in chaotic clinics reported lower work control and job
satisfaction, less emphasis on teamwork and professionalism, more stress and
burnout, and a higher likelihood of leaving the practice within 2 years (all p <
.05). Chaotic clinics had higher rates of medical errors and more missed
opportunities to provide preventative services (both p < .05). More research
should examine the effectiveness of organizational interventions to decrease
chaos in the clinic and to mitigate its effects on patient safety.
PMID- 26566239
TI - Comparative Analysis of Yeast Metabolic Network Models Highlights Progress,
Opportunities for Metabolic Reconstruction.
AB - We have compared 12 genome-scale models of the Saccharomyces cerevisiae metabolic
network published since 2003 to evaluate progress in reconstruction of the yeast
metabolic network. We compared the genomic coverage, overlap of annotated
metabolites, predictive ability for single gene essentiality with a selection of
model parameters, and biomass production predictions in simulated nutrient
limited conditions. We have also compared pairwise gene knockout essentiality
predictions for 10 of these models. We found that varying approaches to model
scope and annotation reflected the involvement of multiple research groups in
model development; that single-gene essentiality predictions were affected by
simulated medium, objective function, and the reference list of essential genes;
and that predictive ability for single-gene essentiality did not correlate well
with predictive ability for our reference list of synthetic lethal gene
interactions (R = 0.159). We conclude that the reconstruction of the yeast
metabolic network is indeed gradually improving through the iterative process of
model development, and there remains great opportunity for advancing our
understanding of biology through continued efforts to reconstruct the full
biochemical reaction network that constitutes yeast metabolism. Additionally, we
suggest that there is opportunity for refining the process of deriving a
metabolic model from a metabolic network reconstruction to facilitate mechanistic
investigation and discovery. This comparative study lays the groundwork for
developing improved tools and formalized methods to quantitatively assess
metabolic network reconstructions independently of any particular model
application, which will facilitate ongoing efforts to advance our understanding
of the relationship between genotype and cellular phenotype.
PMID- 26566240
TI - Identification of Genetic Differentiation between Waxy and Common Maize by SNP
Genotyping.
AB - Waxy maize (Zea mays L. var. ceratina) is an important vegetable and economic
crop that is thought to have originated from cultivated flint maize and most
recently underwent divergence from common maize. In this study, a total of 110
waxy and 110 common maize inbred lines were genotyped with 3072 SNPs to evaluate
the genetic diversity, population structure, and linkage disequilibrium decay as
well as identify putative loci that are under positive selection. The results
revealed abundant genetic diversity in the studied panel and that genetic
diversity was much higher in common than in waxy maize germplasms. Principal
coordinate analysis and neighbor-joining cluster analysis consistently classified
the 220 accessions into two major groups and a mixed group with mixed ancestry.
Subpopulation structure in both waxy and common maize sets were associated with
the germplasm origin and corresponding heterotic groups. The LD decay distance
(1500-2000 kb) in waxy maize was lower than that in common maize. Fourteen
candidate loci were identified as under positive selection between waxy and
common maize at the 99% confidence level. The information from this study can
assist waxy maize breeders by enhancing parental line selection and breeding
program design.
PMID- 26566241
TI - Elite male Flat jockeys display lower bone density and lower resting metabolic
rate than their female counterparts: implications for athlete welfare.
AB - To test the hypothesis that daily weight-making is more problematic to health in
male compared with female jockeys, we compared the bone density and resting
metabolic rate (RMR) in weight-matched male and female Flat jockeys. RMR
(kcal.kg(-1) lean mass) was lower in males compared with females as well as lower
bone-density Z scores at the hip and lumbar spine. Data suggest the lifestyle of
male jockeys compromise health more severely than females, possibly because of
making weight more frequently.
PMID- 26566242
TI - The mechanistic and ergogenic effects of phosphatidic acid in skeletal muscle.
AB - Skeletal muscle mass plays a vital role in locomotion, whole-body metabolic
health, and is a positive predictor of longevity. It is well established the
mammalian target of rapamycin (mTOR) is a central regulator of skeletal muscle
protein turnover. The pursuit to find novel nutrient compounds or functional food
sources that possess the ability to activate mTOR and promote skeletal muscle
protein accretion has been on going. Over the last decade, a key role has been
proposed for the phospholipid phosphatidic acid (PA) in mTOR activation.
Mechanical load-induced (i.e., resistance exercise) intramuscular PA can directly
bind to and activate mTOR. In addition, PA provided exogenously in cell culture
heightens mTOR activity, albeit indirectly. Thus, endogenously generated PA and
exogenous provision of PA appear to act through distinct mechanisms that converge
on mTOR and, potentially, may amplify muscle protein synthesis. In support of
this notion, limited evidence from humans suggests that resistance exercise
training combined with oral supplemental PA enhances strength gains and muscle
hypertrophy. However, the precise mechanisms underpinning the augmented muscle
remodelling response with supplemental PA remain elusive. In this review, we will
critically examine available evidence from cell cultures and animal and human
experimental models to provide an overview of the mechanisms through which
endogenous and exogenous PA may act to promote muscle anabolism, and discuss the
potential for PA as a therapeutic tool to maintain or restore skeletal muscle
mass in the context of ageing and disease.
PMID- 26566244
TI - Near-field correction in the first-principles calculations by the exact two
center expansion for the inverse of the distance.
AB - We propose a method to deal with the so-called near-field corrections to the
solution of the Poisson equation for full-potential first-principles calculations
using the exact two-center expansion for the inverse of the distance between two
points. It is demonstrated that the method gives a very satisfying solution to
the Poisson equation for plane-wave charge densities which can be solved
analytically. The present method gives reasonable total energy for lattice
distortions where the conventional multipole expansion gives large errors.
PMID- 26566243
TI - Supplementation with vitamin A enhances oxidative stress in the lungs of rats
submitted to aerobic exercise.
AB - Exercise training induces reactive oxygen species production and low levels of
oxidative damage, which are required for induction of antioxidant defenses and
tissue adaptation. This process is physiological and essential to improve
physical conditioning and performance. During exercise, endogenous antioxidants
are recruited to prevent excessive oxidative stress, demanding appropriate intake
of antioxidants from diet or supplements; in this context, the search for vitamin
supplements that enhance the antioxidant defenses and improve exercise
performance has been continuously increasing. On the other hand, excess of
antioxidants may hinder the pro-oxidant signals necessary for this process of
adaptation. The aim of this study was to investigate the effects of vitamin A
supplementation (2000 IU/kg, oral) upon oxidative stress and parameters of pro
inflammatory signaling in lungs of rats submitted to aerobic exercise (swimming
protocol). When combined with exercise, vitamin A inhibited biochemical
parameters of adaptation/conditioning by attenuating exercise-induced antioxidant
enzymes (superoxide dismutase and glutathione peroxidase) and decreasing the
content of the receptor for advanced glycation end-products. Increased oxidative
damage to proteins (carbonylation) and lipids (lipoperoxidation) was also
observed in these animals. In sedentary animals, vitamin A decreased superoxide
dismutase and increased lipoperoxidation. Vitamin A also enhanced the levels of
tumor necrosis factor alpha and decreased interleukin-10, effects partially
reversed by aerobic training. Taken together, the results presented herein point
to negative effects associated with vitamin A supplementation at the specific
dose here used upon oxidative stress and pro-inflammatory cytokines in lung
tissues of rats submitted to aerobic exercise.
PMID- 26566245
TI - Pancreatic small cell cancer.
AB - Small cell carcinoma (SCC) is most commonly associated with lung cancer. Extra
pulmonary SCC can originate in virtually any organ system, with the
gastrointestinal tract being the most common site of involvement. We review the
clinical presentation, pathogenesis, histology, imaging modalities and optimal
therapeutic management of PSCC in light of available evidence.
PMID- 26566247
TI - Food Additives Should Not Be Ruled Out as the Possible Causative Factors of
Inflammatory Bowel Disease in Korea.
PMID- 26566246
TI - Antiviral therapy for chronic hepatitis B viral infection in adults: A systematic
review and meta-analysis.
AB - Chronic hepatitis B viral (HBV) infection remains a significant global health
problem. Evidence-based guidelines are needed to help providers determine when
treatment should be initiated, which medication is most appropriate, and when
treatment can safely be stopped. The American Association for the Study of Liver
Diseases HBV guideline methodology and writing committees developed a protocol a
priori for this systematic review. We searched multiple databases for randomized
controlled trials and controlled observational studies that enrolled adults >=18
years old diagnosed with chronic HBV infection who received antiviral therapy.
Data extraction was done by pairs of independent reviewers. We included 73
studies, of which 59 (15 randomized controlled trials and 44 observational
studies) reported clinical outcomes. Moderate-quality evidence supported the
effectiveness of antiviral therapy in patients with immune active chronic HBV
infection in reducing the risk of cirrhosis, decompensated liver disease, and
hepatocellular carcinoma. In immune tolerant patients, moderate-quality evidence
supports improved intermediate outcomes with antiviral therapy. Only very low
quality evidence informed the questions about discontinuing versus continuing
antiviral therapy in hepatitis B e antigen-positive patients who seroconverted
from hepatitis B e antigen to hepatitis B e antibody and about the safety of
entecavir versus tenofovir. Noncomparative and indirect evidence was available
for questions about stopping versus continuing antiviral therapy in hepatitis B e
antigen-negative patients, monotherapy versus adding a second agent in patients
with persistent viremia during treatment, and the effectiveness of antivirals in
compensated cirrhosis with low-level viremia. CONCLUSION: Most of the current
literature focuses on the immune active phases of chronic HBV infection; decision
making in other commonly encountered and challenging clinical settings depends on
indirect evidence.
PMID- 26566255
TI - Surgical Site Infections in Spinal Surgery.
AB - Surgical site infections (SSIs) are a potentially devastating complication of
spine surgery. SSIs are defined by the Centers for Disease Control and Prevention
as occurring within 30 days of surgery or within 12 months of placement of
foreign bodies, such as spinal instrumentation. SSIs are commonly categorized by
the depth of surgical tissue involvement (ie, superficial, deep incisional, or
organ and surrounding space). Postoperative infections result in increased costs
and postoperative morbidity. Because continued research has improved the
evaluation and management of spinal infections, spine surgeons must be aware of
these modalities. The controversies in evaluation and management of SSIs in spine
surgery will be reviewed.
PMID- 26566248
TI - First External Quality Assessment of Molecular and Serological Detection of Rift
Valley Fever in the Western Mediterranean Region.
AB - Rift Valley fever (RVF) is a mosquito-borne viral zoonosis which affects humans
and a wide range of domestic and wild ruminants. The large spread of RVF in
Africa and its potential to emerge beyond its geographic range requires the
development of surveillance strategies to promptly detect the disease outbreaks
in order to implement efficient control measures, which could prevent the
widespread of the virus to humans. The Animal Health Mediterranean Network
(REMESA) linking some Northern African countries as Algeria, Egypt, Libya,
Mauritania, Morocco, Tunisia with Southern European ones as France, Italy,
Portugal and Spain aims at improving the animal health in the Western
Mediterranean Region since 2009. In this context, a first assessment of the
diagnostic capacities of the laboratories involved in the RVF surveillance was
performed. The first proficiency testing (external quality assessment--EQA) for
the detection of the viral genome and antibodies of RVF virus (RVFV) was carried
out from October 2013 to February 2014. Ten laboratories participated from 6
different countries (4 from North Africa and 2 from Europe). Six laboratories
participated in the ring trial for both viral RNA and antibodies detection
methods, while four laboratories participated exclusively in the antibodies
detection ring trial. For the EQA targeting the viral RNA detection methods 5 out
of 6 laboratories reported 100% of correct results. One laboratory misidentified
2 positive samples as negative and 3 positive samples as doubtful indicating a
need for corrective actions. For the EQA targeting IgG and IgM antibodies methods
9 out of the 10 laboratories reported 100% of correct results, whilst one
laboratory reported all correct results except one false-positive. These two ring
trials provide evidence that most of the participating laboratories are capable
to detect RVF antibodies and viral RNA thus recognizing RVF infection in affected
ruminants with the diagnostic methods currently available.
PMID- 26566256
TI - Drug-Polymer Electrostatic Complexes as New Structuring Agents for the Formation
of Drug-Loaded Ordered Mesoporous Silica.
AB - Using aminoglycoside antibiotics as drug models, it was shown that electrostatic
complexes between hydrophilic drugs and oppositely charged double-hydrophilic
block copolymers can form ordered mesophases. This phase behavior was evidenced
by using poly(acrylic acid)-block-poly(ethylene oxide) block copolymers in the
presence of silica precursors, and this allowed preparing drug-loaded mesoporous
silica directly from the drug-polymer complexes. The novel synthetic strategy of
the hybrid materials is highly efficient, avoiding waste and multistep processes;
it also ensures optimal drug loading and provides pH-dependence of the drug
release from the materials.
PMID- 26566257
TI - Discovery of urinary metabolomic biomarkers for early detection of acute kidney
injury.
AB - The discovery of new biomarkers for early detection of drug-induced acute kidney
injury (AKI) is clinically important. In this study, sensitive metabolomic
biomarkers identified in the urine of rats were used to detect cisplatin-induced
AKI. Cisplatin (10 mg kg(-1), i.p.) was administered to Sprague-Dawley rats,
which were subsequently euthanized after 1, 3 or 5 days. In cisplatin-treated
rats, mild histopathological alterations were noted at day 1, and these changes
were severe at days 3 and 5. Blood urea nitrogen (BUN) and serum creatinine (SCr)
levels were significantly increased at days 3 and 5. The levels of new urinary
protein-based biomarkers, including kidney injury molecule-1 (KIM-1), glutathione
S-transferase-alpha (GST-alpha), tissue inhibitor of metalloproteinase-1 (TIMP
1), vascular endothelial growth factor (VEGF), calbindin, clusterin, neutrophil,
neutrophil gelatinase-associated lipocalin (NGAL), and osteopontin, were
significantly elevated at days 3 and 5. Among urinary metabolites, trigonelline
and 3-indoxylsulfate (3-IS) levels were significantly decreased in urine
collected from cisplatin-treated rats prior to histological kidney damage.
However, carbon tetrachloride (CCl4), a hepatotoxicant, did not affect these
urinary biomarkers. Trigonelline is closely associated with GSH depletion and
results in insufficient antioxidant capacity against cisplatin-induced AKI. The
predominant cisplatin-induced AKI marker appeared to be reduced in urinary 3-IS
levels. Because 3-IS is predominantly excreted via active secretion in proximal
tubules, a decrease is indicative of tubular damage. Further, urinary excretion
of 3-IS levels was markedly reduced in patients with AKI compared to normal
subjects. The area under the curve receiver operating characteristics (AUC-ROC)
for 3-IS was higher than for SCr, BUN, lactate dehydrogenase (LDH), total
protein, and glucose. Therefore, low urinary or high serum 3-IS levels may be
more useful for early detection of AKI than conventional biomarkers.
PMID- 26566258
TI - Indirect effects of a 7 year PCV7/PCV13 mass vaccination program in children on
the incidence of pneumonia among adults: a comparative study based on two Polish
cities.
AB - BACKGROUND AND OBJECTIVES: In 2006 the city of Kielce, Poland, introduced a
mandatory PCV7 (replaced by PCV13 in 2011) vaccination program against S.
pneumoanie for all children under 2 years old. At that time, the neighboring city
of Ostrowiec Swietokrzyski had no such large-scale vaccination program in place.
This created an opportunity to observe the results of the vaccination by
comparing the incidence of pneumonia in these two cities. The aim of this study
was to analyze how the incidence of pneumonia among adults was indirectly
affected by the PCV7/PCV13 vaccination program in children during the 7 year
follow-up period. METHODS: We performed a retrospective study. PCV7/PCV13
vaccinations were delivered according to a 2 + 1 schedule. The vaccination rate
in the analyzed period amounted to almost 99%. The following age groups were
analyzed: 30-49, 50-64 and 65+. The Cochran-Armitage test was used to investigate
the significance of the observed trend in pneumonia morbidity. The significance
of deviations from a linear trend was also tested. In addition, the importance of
the trend (in the case of deviations from linearity) was confirmed with the use
of the Mantel test. RESULTS: In the 65+ age group there was a decrease of 66.5%
in the incidence of diagnosed pneumonia (p < 0.0001). This was followed by
smaller, but statistically significant, declines in the other age groups: 30.75%
in the 30-49 age group (p = 0.001) and 56.8% in the 50-64 age group (p < 0.0001).
This decreasing trend continued for seven consecutive years of observation. In
addition, we demonstrated a statistically significant higher rate of pneumonia in
all age groups in the City of Ostrowiec Swietokrzyski. CONCLUSIONS: The results
clearly indicate that the indirect effectiveness of the PCV7/PCV13 vaccine
program, performed according to the 2 + 1 schedule and applied in Kielce, Poland,
is statistically significant.
PMID- 26566259
TI - Development of an in vitro colonization model to investigate Staphylococcus
aureus interactions with airway epithelia.
AB - Staphylococcus aureus is a bacterial pathogen responsible for a wide range of
diseases and is also a human commensal colonizing the upper respiratory tract.
Strains belonging to the clonal complex group CC30 are associated with
colonization, although the colonization state itself is not clearly defined. In
this work, we developed a co-culture model with S. aureus colonizing the apical
surface of polarized human airway epithelial cells. The S. aureus are grown at
the air-liquid interface to allow an in-depth evaluation of a simulated
colonization state. Exposure to wild-type, S. aureus bacteria or conditioned
media killed airway epithelial cells within 1 day, while mutant S. aureus strains
lacking alpha-toxin (hla) persisted on viable cells for at least 2 days. Recent
S. aureus CC30 isolates are natural hla mutants, and we observed that these
strains displayed reduced toxicity toward airway epithelial cells. Quantitative
real-time polymerase chain reaction of known virulence factors showed the
expression profile of S. aureus grown in co-culture correlates with results from
previous human colonization studies. Microarray analysis indicated significant
shifts in S. aureus physiology in the co-culture model toward lipid and amino
acid metabolism. The development of the in vitro colonization model will enable
further study of specific S. aureus interactions with the host epithelia.
PMID- 26566260
TI - Trimetazidine prevents macrophage-mediated septic myocardial dysfunction via
activation of the histone deacetylase sirtuin 1.
AB - BACKGROUND AND PURPOSE: Sepsis is a systemic inflammatory response accompanied by
excessive production of inflammatory cytokines and cardiovascular dysfunction.
Importantly, macrophage-derived pro-inflammatory agents play a key role in
cardiovascular impairment in sepsis. Here we have investigated the effects of
trimetazidine (TMZ) on pro-inflammatory responses of macrophages in endotoxin
induced myocardial dysfunction. EXPERIMENTAL APPROACH: Mice pretreated with TMZ
were injected i.p. with LPS and cardiac function evaluated. Levels of macrophage
infiltration, macrophage inflammatory response and cardiomyocyte apoptosis were
measured using immunohistochemical staining, elisa, real-time RT-PCR, Western
blot, TUNEL and flow cytometry assays. KEY RESULTS: Pretreatment with TMZ
prevented LPS-induced myocardial dysfunction and apoptosis. TMZ also lowered
levels of pro-inflammatory cytokines in serum and cardiac tissue and myocardial
macrophage infiltration. Bone marrow transplantation indicated that TMZ
alleviated LPS-induced myocardial dysfunction via decreasing macrophage
infiltration. TMZ reduced expression of pro-inflammatory cytokines in LPS
stimulated cardiac and peritoneal macrophages. Co-culture of TMZ-pretreated
macrophages with cardiomyocytes and conditioned media from TMZ-pretreated
macrophages both decreased LPS-induced cardiomyocyte apoptosis. The anti
apoptosis effects of TMZ resulted from decrease of pro-inflammatory cytokines,
partly due to normalizing the sirtuin 1 (Sirt1)/AMP-activated protein kinase
(AMPK)/Nrf2/haem oxygenase-1 and Sirt1/PPARalpha pathways in macrophages.
Cytokine secretion was also regulated by ROS, which were attenuated by TMZ via
activation of Sirt1, AMPK and PPARalpha. CONCLUSIONS AND IMPLICATIONS: TMZ
protected against LPS-induced myocardial dysfunction and apoptosis, accompanied
by inhibition of macrophage pro-inflammatory responses. Our studies suggest that
TMZ might represent a novel therapeutic agent to prevent and treat sepsis-induced
myocardial dysfunction.
PMID- 26566261
TI - Autophagy contributes to regulation of the hypoxia response during submergence in
Arabidopsis thaliana.
AB - Autophagy involves massive degradation of intracellular components and functions
as a conserved system that helps cells to adapt to adverse conditions. In
mammals, hypoxia rapidly stimulates autophagy as a cell survival response. Here,
we examine the function of autophagy in the regulation of the plant response to
submergence, an abiotic stress that leads to hypoxia and anaerobic respiration in
plant cells. In Arabidopsis thaliana, submergence induces the transcription of
autophagy-related (ATG) genes and the formation of autophagosomes. Consistent
with this, the autophagy-defective (atg) mutants are hypersensitive to
submergence stress and treatment with ethanol, the end product of anaerobic
respiration. Upon submergence, the atg mutants have increased levels of
transcripts of anaerobic respiration genes (alcohol dehydrogenase 1, ADH1 and
pyruvate decarboxylase 1, PDC1), but reduced levels of transcripts of other
hypoxia- and ethylene-responsive genes. Both submergence and ethanol treatments
induce the accumulation of reactive oxygen species (ROS) in the rosettes of atg
mutants more than in the wild type. Moreover, the production of ROS by the
nicotinamide adenine dinucleotide phosphate (NADPH) oxidases is necessary for
plant tolerance to submergence and ethanol, submergence-induced expression of
ADH1 and PDC1, and activation of autophagy. The submergence- and ethanol
sensitive phenotypes in the atg mutants depend on a complete salicylic acid (SA)
signaling pathway. Together, our findings demonstrate that submergence-induced
autophagy functions in the hypoxia response in Arabidopsis by modulating SA
mediated cellular homeostasis.
PMID- 26566263
TI - Radiologic extranodal spread and matted nodes: Important predictive factors for
development of distant metastases in patients with high-risk head and neck
cancer.
AB - BACKGROUND: Different clinical high-risk factors for the development of distant
metastases have been identified but not tested in the same cohort of patients
with head and neck squamous cell carcinoma (HNSCC). METHODS: In 145 patients with
previously identified clinical high risk factors, the presence of extranodal
spread (ENS) and matted node on pretreatment CT (n = 96) and/or MRI (n = 111)
were determined. RESULTS: Of 145 patients, ENS was detected in 87 patients
(60.0%) and matted nodes in 53 patients (36.6%). Kaplan-Meier curves for presence
or absence of ENS (on CT and/or MRI) and matted nodes (on CT) differ
significantly. In a Cox regression analysis, only ENS was a significant risk
factor (hazard ratio [HR] = 3.3; 95% confidence interval [CI] = 2.0-5.5; p <
.001). CONCLUSION: In patients with high-risk HNSCC with clinically (palpably or
radiologically) ENS and matted nodes, both determined radiologically, are high
risk factors for development of distant metastases. (c) 2015 Wiley Periodicals,
Inc. Head Neck 38: E1452-E1458, 2016.
PMID- 26566262
TI - Severe hypoglycemia in users of sulfonylurea antidiabetic agents and
antihyperlipidemics.
AB - Drug-drug interactions causing severe hypoglycemia due to antidiabetic drugs is a
major clinical and public health problem. We assessed whether sulfonylurea use
with a statin or fibrate was associated with severe hypoglycemia. We conducted
cohort studies of users of glyburide, glipizide, and glimepiride plus a statin or
fibrate within a Medicaid population. The outcome was a validated, diagnosis
based algorithm for severe hypoglycemia. Among 592,872 persons newly exposed to a
sulfonylurea+antihyperlipidemic, the incidence of severe hypoglycemia was 5.8/100
person-years. Adjusted hazard ratios (HRs) for sulfonylurea+statins were
consistent with no association. Most overall HRs for sulfonylurea+fibrate were
elevated, with sulfonylurea-specific adjusted HRs as large as 1.50 (95%
confidence interval (CI): 1.24-1.81) for glyburide+gemfibrozil, 1.37 (95% CI:
1.11-1.69) for glipizide+gemfibrozil, and 1.63 (95% CI: 1.29-2.06) for
glimepiride+fenofibrate. Concomitant therapy with a sulfonylurea and fibrate is
associated with an often delayed increased rate of severe hypoglycemia.
PMID- 26566265
TI - Kallikrein Promotes Inflammation in Human Dental Pulp Cells Via Protease
Activated Receptor-1.
AB - Plasma kallikrein (KLKB1), a serine protease, cleaves high-molecular weight
kininogen to produce bradykinin, a potent vasodilator and pro-inflammatory
peptide. In addition, KLKB1 activates plasminogen and other leukocyte and blood
coagulation factors and processes pro-enkephalin, prorenin, and C3. KLKB1 has
also been shown to cleave protease-activated receptors in vascular smooth muscle
cells to regulate the expression of epidermal growth factor receptor. In this
study, we investigated KLKB1-dependent inflammation and activation of protease
activated receptor-1 in human dental pulp cells. These cells responded to KLKB1
stimulation by increasing intracellular Ca(2+) , upregulating cyclooxygenase-2,
and secreting prostaglandin E2 . Remarkably, SCH79797, an antagonist of protease
activated receptor-1, blocked these effects. Thus, these data indicate that KLKB1
induces inflammatory reactions in human dental tissues via protease-activated
receptor 1. J. Cell. Biochem. 117: 1522-1528, 2016. (c) 2015 Wiley Periodicals,
Inc.
PMID- 26566264
TI - Sex Steroids Influence Brain-Derived Neurotropic Factor Secretion From Human
Airway Smooth Muscle Cells.
AB - Brain derived neurotropic factor (BDNF) is emerging as an important player in
airway inflammation, remodeling, and hyperreactivity. Separately, there is
increasing evidence that sex hormones contribute to pathophysiology in the lung.
BDNF and sex steroid signaling are thought to be intricately linked in the brain.
There is currently little information on BDNF and sex steroid interactions in the
airway but is relevant to understanding growth factor signaling in the context of
asthma in men versus women. In this study, we assessed the effect of sex steroids
on BDNF expression and secretion in human airway smooth muscle (ASM). Human ASM
was treated with estrogen (E2 ) or testosterone (T, 10 nM each) and intracellular
BDNF and secreted BDNF measured. E2 and T significantly reduced secretion of
BDNF; effects prevented by estrogen and androgen receptor inhibitor, ICI 182,780
(1 MUM), and flutamide (10 MUM), respectively. Interestingly, no significant
changes were observed in intracellular BDNF mRNA or protein expression. High
affinity BDNF receptor, TrkB, was not altered by E2 or T. E2 (but not T)
significantly increased intracellular cyclic AMP levels. Notably, Epac1 and Epac2
expression were significantly reduced by E2 and T. Furthermore, SNARE complex
protein SNAP25 was decreased. Overall, these novel data suggest that
physiologically relevant concentrations of E2 or T inhibit BDNF secretion in
human ASM, suggesting a potential interaction of sex steroids with BDNF in the
airway that is different from brain. The relevance of sex steroid-BDNF
interactions may lie in their overall contribution to airway diseases such as
asthma.
PMID- 26566266
TI - Phenotype-dependent Ca(2+) dynamics in single boutons of various anatomically
identified GABAergic interneurons in the rat hippocampus.
AB - Interneurons (INs) of the hippocampus exert versatile inhibition on pyramidal
cells by silencing the network at different oscillation frequencies. Although IN
discharge can phase-lock to various rhythms in the hippocampus, under high
frequency axon firing, the boutons may not be able to follow the fast activity.
Here, we studied Ca(2+) responses to action potentials (APs) in single boutons
using combined two-photon microscopy and patch clamp electrophysiology in three
types of INs: non-fast-spiking (NFS) neurons showing cannabinoid 1 receptor
labelling and dendrite targeting, fast-spiking partially parvalbumin-positive
cells synapsing with dendrites (DFS), and parvalbumin-positive cells with
perisomatic innervation (PFS). The increase in [Ca(2+) ]i from AP trains was
substantially higher in NFS boutons than in DFS or PFS boutons. The decay of
bouton Ca(2+) responses was markedly faster in DFS and PFS cells compared with
NFS neurons. The bouton-to-bouton variability of AP-evoked Ca(2+) transients in
the same axon was surprisingly low in each cell type. Importantly, local
responses were saturated after shorter trains of APs in NFS cells than in PFS
cells. This feature of fast-spiking neurons might allow them to follow higher
frequency gamma oscillations for a longer time than NFS cells. The function of
NFS boutons may better support asynchronous GABA release. In conclusion, we
demonstrate several neuron-specific Ca(2+) transients in boutons of NFS, PFS and
DFS neurons, which may serve differential functions in hippocampal networks.
PMID- 26566267
TI - Measuring Ventricular Width on Cranial Computed Tomography: Feasibility of Dose
Reduction in a Custom-Made Adult Phantom.
AB - PURPOSE: To estimate feasible dose reduction to reliably measure ventricular
width in adults with hydrocephalus in follow-up cranial computed tomography (CCT)
using a custom-made phantom. MATERIALS AND METHODS: A gelatine-filled adult
calvarium with embedded central fibers of two carrots representing the lateral
ventricles was used as a phantom. The phantom was scanned 11 times with two CT
scanners (LightSpeed Ultra, GE and Somatom Sensation, Siemens), using tube
currents of 380/400, 350, 300, 250, 200, 150 and 100 mA, and tube voltages of
140, 120, 100 and 80 kV. The width of the carrots was measured at four sites in
consensus decision of two principle investigators blinded to the scan parameters.
Values measured at 380/400 mA and 140 kV served as a reference for the width of
the ventricles. Measurements received 1 point if they did not differ more than
0.5 mm from the reference values. A maximum score of 4 could be achieved.
RESULTS: The relationship between the correct width measurement of the carrots
(lateral ventricles) and the radiation dose can be described by a quadratic
regression function. Pixel noise increases and accuracy of measurements decreases
with a lower radiation dose. Starting from a tube current of 380/400 mA and a
tube voltage of 140 kV, the dose can be reduced by 76 % for LightSpeed Ultra and
by 80 % for Somatom Sensation provided that a margin of error of 37.5 % (score =
2.5) for correct width measurement of the carrots is accepted. CONCLUSION:
Lowering the radiation dose by up to 48 % for LightSpeed Ultra and by 52 % for
Somatom Sensation, compared to the standard protocol (120 kV and 400 mA) still
allowed reliable measurements of ventricular widths in this model. KEY POINTS: *
There is a quadratic relationship between correct width measurements of lateral
ventricles and radiation dose in CT. * Reduction of radiation dose results in
increased pixel noise and increased error for correct ventricle width
measurement. * Due to a considerable attenuation difference between cerebrospinal
fluid and brain parenchyma, a dose reduction for the determination of ventricular
size in CT seems feasible and should be performed.
PMID- 26566268
TI - Combination of Ultrasound-Guided Percutaneous Microwave Ablation and Radioiodine
Therapy in Benign Thyroid Disease: A 3-Month Follow-Up Study.
AB - PURPOSE: Pilot studies of combined therapies treating benign nodular goiters
reported promising results. The aim of this study was to investigate the
effectiveness of combined microwave ablation (MWA) and radioiodine therapy (RIT)
with a special focus on thyroid function at the 3-month follow-up. MATERIALS AND
METHODS: 15 patients (median age: 55 years) with a large goiter and benign
thyroid nodules or Graves' disease were treated with the combined therapy. Serum
levels of triiodothyronine (T3), thyroxine (T4), thyrotropin (TSH),
thyroglobuline (Tg) and, additionally, antibody levels against thyroglobulin
(TgAb), thyrotropin receptors (TRAb) and thyroid peroxidase (TPOAb) were measured
at enrollment, post MWA and at the 3-month follow-up (3MFU). Furthermore, the
goiter volume, I-131 dose and hospitalization time were analyzed to evaluate
effectiveness. MWA was operated under local anesthesia with a system working in a
wavelength field of 902 to 928 MHz. RESULTS: TSH, T4, T3 and Tg did not change at
3MFU, except for in two patients in whom the initial TSH levels improved to
normal thyroid functioning levels at follow-up. One of the patients developed a
high TRAb-level that receded back into the normal range. At 3MFU, the combined
therapy showed a mean thyroid volume reduction of 26.4 ml +/- 7.9 ml (30.5 % +/-
4.6 % (p < 0.05)). By utilizing the combined therapy, administered activity could
be reduced by 26.6 % +/- 4.8 % (p < 0.05) and hospitalization time by 30.9 % +/-
19.9 % (p < 0.05). CONCLUSION: The data confirmed the effectiveness of the
combination of MWA with RIT. The combined therapy is an innovative and
conservative approach and could become a safe alternative to surgery for the
treatment of very large benign nodular goiters. Due to the short follow-up and
the limited number of patients, further studies will be necessary. KEY POINTS: *
The combined therapy shows a significant volume reduction in benign nodular
goiters. * Initial MWA improves the RIT results by reducing the required therapy
activity. * Thyroid function is preserved after combined therapy.
PMID- 26566269
TI - Striated Nephrogram as an Incidental Finding in MRI Examination of Children.
AB - PURPOSE: A highly striated contrast pattern of the kidneys occasionally appears
in abdominal MRI examinations of children following the administration of
gadolinium. As this phenomenon is well known but has not yet been explicitly
described in literature, we investigated how frequently and in which clinical
context this occurred. MATERIAL AND METHODS: 855 abdominal MRI examinations with
contrast media of 362 children between 2006 and 2014 were analysed
retrospectively. RESULTS: A striated renal parenchyma was found in a total of
nine children and eleven examinations (1.3 % of examinations) and did only occur
at a field strength of 3 Tesla. Of these children, seven had previously had
tumors and chemotherapy. In two children there was no evidence of a previously
serious condition with medications or a kidney disease. All of them had a normal
renal function. CONCLUSION: A noticeably striated nephrogram in the later phase
of an MRI examination following administration of gadolinium may appear as an
incidental finding in examinations at 3 Tesla without pathological relevance. KEY
POINTS: * striated nephrograms may appear at a field strength of 3 Tesla. *
incidental finding without pathological relevance.
PMID- 26566270
TI - Evolution of Minimum Mortality Temperature in Stockholm, Sweden, 1901-2009.
AB - BACKGROUND: The mortality impacts of hot and cold temperatures have been
thoroughly documented, with most locations reporting a U-shaped relationship with
a minimum mortality temperature (MMT) at which mortality is lowest. How MMT may
have evolved over previous decades as the global mean surface temperature has
increased has not been thoroughly explored. OBJECTIVE: We used observations of
daily mean temperatures to investigate whether MMT changed in Stockholm, Sweden,
from the beginning of the 20th century until 2009. METHODS: Daily mortality and
temperature data for the period 1901-2009 in Stockholm, Sweden, were used to
model the temperature-mortality relationship. We estimated MMT using distributed
lag nonlinear Poisson regression models considering lags up to 21 days of daily
mean temperature as the exposure variable. To avoid large influences on the MMT
from intra- and interannual climatic variability, we estimated MMT based on 30
year periods. Furthermore, we investigated whether there were trends in the
absolute value of the MMT and in the relative value of the MMT (the corresponding
percentile of the same-day temperature distribution) over the study period.
RESULTS: Our findings suggest that both the absolute MMT and the relative MMT
increased in Stockholm, Sweden, over the course of the 20th century. CONCLUSIONS:
The increase in the MMT over the course of the 20th century suggests autonomous
adaptation within the context of the large epidemiological, demographical, and
societal changes that occurred. Whether the rate of increase will be sustained
with climate change is an open question. CITATION: Oudin Astrom D, Tornevi A, Ebi
KL, Rocklov J, Forsberg B. 2016. Evolution of minimum mortality temperature in
Stockholm, Sweden, 1901-2009. Environ Health Perspect 124:740-744;
http://dx.doi.org/10.1289/ehp.1509692.
PMID- 26566271
TI - Genotype Reconstruction of Paternity in European Lobsters (Homarus gammarus).
AB - Decapod crustaceans exhibit considerable variation in fertilisation strategies,
ranging from pervasive single paternity to the near-ubiquitous presence of
multiple paternity, and such knowledge of mating systems and behaviour are
required for the informed management of commercially-exploited marine fisheries.
We used genetic markers to assess the paternity of individual broods in the
European lobster, Homarus gammarus, a species for which paternity structure is
unknown. Using 13 multiplexed microsatellite loci, three of which are newly
described in this study, we genotyped 10 eggs from each of 34 females collected
from an Atlantic peninsula in the south-western United Kingdom. Single
reconstructed paternal genotypes explained all observed progeny genotypes in each
of the 34 egg clutches, and each clutch was fertilised by a different male.
Simulations indicated that the probability of detecting multiple paternity was in
excess of 95% if secondary sires account for at least a quarter of the brood, and
in excess of 99% where additional sire success was approximately equal. Our
results show that multiple paternal fertilisations are either absent, unusual, or
highly skewed in favour of a single male among H. gammarus in this area.
Potential mechanisms upholding single paternal fertilisation are discussed, along
with the prospective utility of parentage assignments in evaluations of hatchery
stocking and other fishery conservation approaches in light of this finding.
PMID- 26566272
TI - The Association between Clinical Response to Ustekinumab and Immunogenicity to
Ustekinumab and Prior Adalimumab.
AB - BACKGROUND: Immunogenicity due to antidrug antibodies (ADA) to tumor necrosis
factor (TNF)-alpha antagonists is known to decrease treatment response. However,
few studies have investigated ADA in ustekinumab, an interleukin-12 and -23
antagonist, in a clinical setting. This study aimed to investigate the
immunogenicity of ustekinumab and its clinical consequences in psoriasis.
METHODS: This prospective observational study enrolled 76 patients with plaque
psoriasis who were treated with ustekinumab for a minimum of 7 months. Blood
samples were drawn just prior to scheduled ustekinumab injection during clinic
visits. Levels of anti-ustekinumab antibody (AUA) and serum ustekinumab
concentration were measured respectively by radioimmunoassays and enzyme-linked
immunoassays respectively, and correlated to clinical data and Psoriasis Area and
Severity Index (PASI). RESULTS: AUA was detected in 6.5% of patients after a mean
of 13 months of treatment. Patients with positive AUA had significantly lower
serum ustekinumab concentrations (0.01 vs. 0.2 mg/L, p<0.001) and lower PASI 50
response than patients without AUA (0% vs. 69%, p = 0.004).The percentage of AUA
formation was comparable between patients who had failed previous adalimumab with
or without anti-adalimumab antibodies (AAA) (14.3% vs. 12.5%, p = 1.00). However,
a higher proportion of switchers without AAA obtaining PASI50 (71.4% vs. 37.5%)
and PASI75 response (42.9% vs.12.5%) within 7 months of ustekinumab treatment
than with AAA though this difference did not reach statistical significance.
CONCLUSIONS: Our results suggest that presence of AUA was significantly
associated with treatment failure for ustekinumab, though limited by a small
sample size. Also, determining the presence of ADA to antecedent TNF-alpha
antagonists may assist in choosing an optimized subsequent treatment modality
achieving treatment success.
PMID- 26566273
TI - Estimating the critical immunity threshold for preventing hepatitis A outbreaks
in men who have sex with men.
AB - Several outbreaks of hepatitis A in men who have sex with men (MSM) were reported
in the 1980s and 1990s in Australia and other countries. An effective hepatitis A
virus (HAV) vaccine has been available in Australia since 1994 and is recommended
for high-risk groups including MSM. No outbreaks of hepatitis A in Australian MSM
have been reported since 1996. In this study, we aimed to estimate HAV
transmissibility in MSM populations in order to inform targets for vaccine
coverage in such populations. We used mathematical models of HAV transmission in
a MSM population to estimate the basic reproduction number (R 0) and the
probability of an HAV epidemic occurring as a function of the immune proportion.
We estimated a plausible range for R 0 of 1.71-3.67 for HAV in MSM and that
sustained epidemics cannot occur once the proportion immune to HAV is greater
than ~70%. To our knowledge this is the first estimate of R 0 and the critical
population immunity threshold for HAV transmission in MSM. As HAV is no longer
endemic in Australia or in most other developed countries, vaccination is the
only means of maintaining population immunity >70%. Our findings provide impetus
to promote HAV vaccination in high-risk groups such as MSM.
PMID- 26566274
TI - Negative Feedbacks by Isoprenoids on a Mevalonate Kinase Expressed in the Corpora
Allata of Mosquitoes.
AB - BACKGROUND: Juvenile hormones (JH) regulate development and reproductive
maturation in insects. JHs are synthesized through the mevalonate pathway (MVAP),
an ancient metabolic pathway present in the three domains of life. Mevalonate
kinase (MVK) is a key enzyme in the MVAP. MVK catalyzes the synthesis of
phosphomevalonate (PM) by transferring the gamma-phosphoryl group from ATP to the
C5 hydroxyl oxygen of mevalonic acid (MA). Despite the importance of MVKs, these
enzymes have been poorly characterized in insects. RESULTS: We functionally
characterized an Aedes aegypti MVK (AaMVK) expressed in the corpora allata (CA)
of the mosquito. AaMVK displayed its activity in the presence of metal cofactors.
Different nucleotides were used by AaMVK as phosphoryl donors. In the presence of
Mg(2+), the enzyme has higher affinity for MA than ATP. The activity of AaMVK was
regulated by feedback inhibition from long-chain isoprenoids, such as geranyl
diphosphate (GPP) and farnesyl diphosphate (FPP). CONCLUSIONS: AaMVK exhibited
efficient inhibition by GPP and FPP (Ki less than 1 MUM), and none by isopentenyl
pyrophosphate (IPP) and dimethyl allyl pyrophosphate (DPPM). These results
suggest that GPP and FPP might act as physiological inhibitors in the synthesis
of isoprenoids in the CA of mosquitoes. Changing MVK activity can alter the flux
of precursors and therefore regulate juvenile hormone biosynthesis.
PMID- 26566276
TI - Genetic Evidence for Possible Involvement of the Calcium Channel Gene CACNA1A in
Autism Pathogenesis in Chinese Han Population.
AB - Autism spectrum disorders (ASD) are a group of neurodevelopmental disorders.
Recent studies suggested that calcium channel genes might be involved in the
genetic etiology of ASD. CACNA1A, encoding an alpha-1 subunit of voltage-gated
calcium channel, has been reported to play an important role in neural
development. Previous study detected that a single nucleotide polymorphism (SNP)
in CACNA1A confers risk to ASD in Central European population. However, the
genetic relationship between autism and CACNA1A in Chinese Han population remains
unclear. To explore the association of CACNA1A with autism, we performed a family
based association study. First, we carried out a family-based association test
between twelve tagged SNPs and autism in 239 trios. To further confirm the
association, the sample size was expanded to 553 trios by recruiting 314
additional trios. In a total of 553 trios, we identified association of rs7249246
and rs12609735 with autism though this would not survive after Bonferroni
correction. Our findings suggest that CACNA1A might play a role in the etiology
of autism.
PMID- 26566275
TI - A Meta-Analysis of Typhoid Diagnostic Accuracy Studies: A Recommendation to Adopt
a Standardized Composite Reference.
AB - Novel typhoid diagnostics currently under development have the potential to
improve clinical care, surveillance, and the disease burden estimates that
support vaccine introduction. Blood culture is most often used as the reference
method to evaluate the accuracy of new typhoid tests; however, it is recognized
to be an imperfect gold standard. If no single gold standard test exists, use of
a composite reference standard (CRS) can improve estimation of diagnostic
accuracy. Numerous studies have used a CRS to evaluate new typhoid diagnostics;
however, there is no consensus on an appropriate CRS. In order to evaluate
existing tests for use as a reference test or inclusion in a CRS, we performed a
systematic review of the typhoid literature to include all index/reference test
combinations observed. We described the landscape of comparisons performed,
showed results of a meta-analysis on the accuracy of the more common
combinations, and evaluated sources of variability based on study quality. This
wide-ranging meta-analysis suggests that no single test has sufficiently good
performance but some existing diagnostics may be useful as part of a CRS.
Additionally, based on findings from the meta-analysis and a constructed
numerical example demonstrating the use of CRS, we proposed necessary criteria
and potential components of a typhoid CRS to guide future recommendations.
Agreement and adoption by all investigators of a standardized CRS is requisite,
and would improve comparison of new diagnostics across independent studies,
leading to the identification of a better reference test and improved confidence
in prevalence estimates.
PMID- 26566278
TI - BRCA Mutation-Related and Claudin-Low Breast Cancer: Blood Relatives or
Stepsisters.
AB - BACKGROUND: BRCA mutation-associated (BRCAmut) breast cancer represents a
heterogeneous group displaying certain molecular features. Claudin-low breast
cancers (CLBC) overlap with characteristics of BRCAmut tumors; therefore, we have
investigated whether these are identical subtypes. METHODS: Using public gene
expression data, CLDN, CDH1, 9-cell line claudin-low predictor (9CLCLP) and PAM50
expression was evaluated in BRCAmut and BRCA wild-type (BRCAwt) breast cancer
cases focusing on their possible overlap with the CLBC subtype. A separate
formalin-fixed, paraffin-embedded (FFPE) cohort of 22 BRCAmut and 19 BRCAwt tumor
tissues was used for immunohistochemical examination of AR, CD24, CD44, CK5/6,
claudin-1, -3, -4 and -7, E-cadherin, EGFR, estrogen receptor (ER), EZH2, HER2,
Ki67, p53, progesterone receptor (PgR) and vimentin expression. RESULTS: In the
data sets, CLDN1 (ROC = 0.785, p < 0.001), CDH1 (ROC = 0.785, p < 0.001), CLDN7
(ROC = 0.723, p < 0.001), CLDN3 (ROC = 0.696, p = 0.020) and CLDN4 (ROC = 0.685,
p = 0.027) were expressed at higher level in BRCAmut than BRCAwt tumor tissue.
The PAM50 subtype differed from the assigned immunohistochemistry (IHC)-based
subtype in 30%. Based on accessible 9CLCLP predictor genes, BRCAmut breast cancer
does not display the claudin-low phenotype. Utilizing FFPE samples, claudins were
evidently expressed in both BRCAmut and BRCAwt cases. However, at the protein
level, only claudin-3 expression was higher in BRCAmut tumors, while claudin-1,
4 and -7 and E-cadherin expression was lower compared to BRCAwt cases. A
CD24low/CD44high phenotype was found in BRCAmut tumors upon comparison with
BRCAwt cases (p < 0.001 and p = 0.001, respectively). CONCLUSIONS: There is a
prominent correlation between the genes under focus herein and BRCA mutation
status. BRCAmut tumors bear stem cell characteristics displaying a distinct cell
adhesion molecule profile characterized by high expression of CDH1 and CLDN4
according to public gene expression data set analysis, and higher claudin-3
expression as detected by IHC; thus, BRCAmut breast carcinomas are not identical
with the previously identified claudin-low subtype of breast cancer.
PMID- 26566277
TI - Deregulated Renal Calcium and Phosphate Transport during Experimental Kidney
Failure.
AB - Impaired mineral homeostasis and inflammation are hallmarks of chronic kidney
disease (CKD), yet the underlying mechanisms of electrolyte regulation during CKD
are still unclear. Here, we applied two different murine models, partial
nephrectomy and adenine-enriched dietary intervention, to induce kidney failure
and to investigate the subsequent impact on systemic and local renal factors
involved in Ca(2+) and Pi regulation. Our results demonstrated that both
experimental models induce features of CKD, as reflected by uremia, and elevated
renal neutrophil gelatinase-associated lipocalin (NGAL) expression. In our model
kidney failure was associated with polyuria, hypercalcemia and elevated urinary
Ca(2+) excretion. In accordance, CKD augmented systemic PTH and affected the
FGF23-alphaklotho-vitamin-D axis by elevating circulatory FGF23 levels and
reducing renal alphaklotho expression. Interestingly, renal FGF23 expression was
also induced by inflammatory stimuli directly. Renal expression of Cyp27b1, but
not Cyp24a1, and blood levels of 1,25-dihydroxy vitamin D3 were significantly
elevated in both models. Furthermore, kidney failure was characterized by
enhanced renal expression of the transient receptor potential cation channel
subfamily V member 5 (TRPV5), calbindin-D28k, and sodium-dependent Pi transporter
type 2b (NaPi2b), whereas the renal expression of sodium-dependent Pi transporter
type 2a (NaPi2a) and type 3 (PIT2) were reduced. Together, our data indicates two
different models of experimental kidney failure comparably associate with
disturbed FGF23-alphaklotho-vitamin-D signalling and a deregulated electrolyte
homeostasis. Moreover, this study identifies local tubular, possibly inflammation
or PTH- and/or FGF23-associated, adaptive mechanisms, impacting on Ca(2+)/Pi
homeostasis, hence enabling new opportunities to target electrolyte disturbances
that emerge as a consequence of CKD development.
PMID- 26566279
TI - Post Something-or-other Syndrome.
PMID- 26566280
TI - "Gentlemen! This Is No Humbug": Did John Collins Warren, M.D., Proclaim These
Words on October 16, 1846, at Massachusetts General Hospital, Boston?
AB - The proclamation, "Gentlemen! this is no humbug," attributed to John Collins
Warren, M.D., was not identified in any contemporaneous eyewitness report of
William T. G. Morton's October 16, 1846, demonstration of ether at Massachusetts
General Hospital. The earliest known documentation of the proclamation is in
Nathan P. Rice's biography of Morton, first published in 1859. Only three
eyewitnesses, Washington Ayer, M.D., Robert Thompson Davis, M.D., and Isaac
Francis Galloupe, M.D., reported Warren's alleged proclamation. However, their
accounts first appeared in 1896, 50 yr after Morton's demonstration of
etherization. Although Warren's alleged proclamation appears plausible, the
overall impression from eyewitness statements and publications relating to the
October 16, 1846, demonstration of etherization is that it may not have been
made.
PMID- 26566281
TI - Electrical Synapses: High-speed Communication in the Maintenance of Neuropathic
Pain.
PMID- 26566282
TI - Attenuation of Neuropathic Pain by Inhibiting Electrical Synapses in the Anterior
Cingulate Cortex.
AB - BACKGROUND: Synaptic mechanisms and neuronal oscillations have been proposed to
be responsible for neuropathic pain formation. Many studies have also highlighted
the important role of electrical synapses in synaptic plasticity and in neuronal
oscillations. Thus, electrical synapses may contribute to neuropathic pain
generation. However, previous studies have primarily focused on the role of
chemical synapses, while ignoring the role of electrical synapses, in neuropathic
pain generation. METHODS: The authors adopted microinjection, RNA interference
techniques, and behavioral tests to verify the link between connexin 36 (Cx36)
and neuropathic pain. They also studied the selective Cx36 blocker mefloquine in
rat chronic constriction injury and spared nerve injury model of neuropathic
pain. Electrophysiologic recordings were used to further confirm the behavioral
data. RESULTS: The authors found that Cx36, which constitutes the neuron-neuron
electrical synapses, was up-regulated in the anterior cingulate cortex after
nerve injury (n = 5). Meanwhile, Cx36-mediated neuronal oscillations in the gamma
frequency range (30 to 80 Hz) (n = 7 to 8) and the neuronal synaptic transmission
(n = 13 to 19) were also enhanced. Neuropathic pain was relieved by disrupting
Cx36 function or expression in the anterior cingulate cortex. They also found
that mefloquine, which are clinically used for treating malaria, affected gamma
oscillations and synaptic plasticity, leading to a sustained pain relief in
chronic constriction injury and spared nerve injury models (n = 7 to 12).
CONCLUSION: The electrical synapses blocker mefloquine could affect gamma
oscillations and synaptic plasticity in the anterior cingulate cortex and relieve
neuropathic pain. Cx36 may be a new therapeutic target for treating chronic pain.
PMID- 26566283
TI - Inflammation Increases Neuronal Sensitivity to General Anesthetics.
AB - BACKGROUND: Critically ill patients with severe inflammation often exhibit
heightened sensitivity to general anesthetics; however, the underlying mechanisms
remain poorly understood. Inflammation increases the number of gamma-aminobutyric
acid type A (GABAA) receptors expressed on the surface of neurons, which supports
the hypothesis that inflammation increases up-regulation of GABAA receptor
activity by anesthetics, thereby enhancing the behavioral sensitivity to these
drugs. METHODS: To mimic inflammation in vitro, cultured hippocampal and cortical
neurons were pretreated with interleukin (IL)-1beta. Whole cell patch clamp
methods were used to record currents evoked by gamma-aminobutyric acid (GABA)
(0.5 MUM) in the absence and presence of etomidate or isoflurane. To mimic
inflammation in vivo, mice were treated with lipopolysaccharide, and several
anesthetic-related behavioral endpoints were examined. RESULTS: IL-1beta
increased the amplitude of current evoked by GABA in combination with clinically
relevant concentrations of either etomidate (3 MUM) or isoflurane (250 MUM) (n =
5 to 17, P < 0.05). Concentration-response plots for etomidate and isoflurane
showed that IL-1beta increased the maximal current 3.3-fold (n = 5 to 9) and 1.5
fold (n = 8 to 11), respectively (P < 0.05 for both), whereas the half-maximal
effective concentrations were unchanged. Lipopolysaccharide enhanced the hypnotic
properties of both etomidate and isoflurane. The immobilizing properties of
etomidate, but not isoflurane, were also increased by lipopolysaccharide. Both
lipopolysaccharide and etomidate impaired contextual fear memory. CONCLUSIONS:
These results provide proof-of-concept evidence that inflammation increases the
sensitivity of neurons to general anesthetics. This increase in anesthetic up
regulation of GABAA receptor activity in vitro correlates with enhanced
sensitivity for GABAA receptor-dependent behavioral endpoints in vivo.
PMID- 26566284
TI - Reduced Contextual Discrimination following Alcohol Consumption or MDMA
Administration in Mice.
AB - The recreational drugs, alcohol and 3,4-Methylenedioxymethamphetamine (MDMA,
"Ecstasy") have both been shown to cause immune activation in vivo, and they are
linked to cognitive impairment and anxiety-like behaviors in rodents. The
neuronal effects of these drugs in the hippocampal area, an area that has been a
focus of studies aiming to explain the mechanisms underlying anxiety related
disorders, remains poorly understood. Therefore we investigated the specific
inflammatory impact of alcohol and MDMA on this area of the brain and on a
hippocampal-related behavioral task. We centered our study on two inflammatory
factors linked to anxiety-related disorders, namely Interleukin-1beta (IL-1beta)
and brain-derived neurotrophic factor (BDNF). We subjected drug-consuming mice to
a battery of behavioral tests to evaluate general activity, anxiety-like and
depressive-live behaviors. We then introduced them to a contextual fear
discrimination task and immune-related effects were examined by
immunohistochemical and biochemical studies. Our results suggest that there is a
relationship between the induction of immune activated pathways by voluntary
alcohol consumption and a high-dose MDMA. Furthermore, the ability of mice to
perform a contextual fear discrimination task was impaired by drug consumption
and we report long term inflammatory alterations in the hippocampus even several
weeks after drug intake. This information will be helpful for discovering new
selective drug targets, and to develop treatments and preventive approaches for
patients with anxiety-related disorders.
PMID- 26566286
TI - Engineering less immunogenic and antigenic FVIII proteins.
AB - The development of neutralizing antibodies against blood coagulation factor VIII
(FVIII), referred to clinically as "inhibitors", is the most challenging and
deleterious adverse event to occur following intravenous infusions of FVIII to
treat hemophilia A. Inhibitors occlude FVIII surfaces that must bind to activated
phospholipid membranes, the serine proteinase factor IXa, and other components of
the 'intrinsic tenase complex' in order to carry out its important role in
accelerating blood coagulation. Inhibitors develop in up to one of every three
patients, yet remarkably, a substantial majority of severe hemophilia A patients,
who circulate no detectable FVIII antigen or activity, acquire immune tolerance
to FVIII during initial infusions or else after intensive FVIII therapy to
overcome their inhibitor. The design of less immunogenic FVIII proteins through
identification and modification ("de-immunization") of immunodominant T-cell
epitopes is an important goal. For patients who develop persistent inhibitors,
modification of B-cell epitopes through substitution of surface-exposed amino
acid side chains and/or attachment of bulky moieties to interfere with FVIII
attachment to antibodies and memory B cells is a promising approach. Both
experimental and computational methods are being employed to achieve these goals.
Future therapies for hemophilia A, as well as other monogenic deficiency
diseases, are likely to involve administration of less immunogenic proteins in
conjunction with other novel immunotherapies to promote a regulatory cellular
environment promoting durable immune tolerance.
PMID- 26566287
TI - Non-Alcoholic Fatty Liver Disease Is a Risk Factor for the Development of
Diabetic Nephropathy in Patients with Type 2 Diabetes Mellitus.
AB - BACKGROUND: Non-alcoholic fatty liver disease (NAFLD) is prevalent in individuals
with type 2 diabetes mellitus (T2DM). Diabetic nephropathy (DN) is also
associated with T2DM. However, little is known about the interaction between
these conditions in patients with T2DM. OBJECTIVE: To examine the association
between NAFLD and DN in patients with T2DM. METHODS: This retrospective study
included patients seen between January 2006 and July 2014.T2DM patients were
divided into two groups based on NAFLD status (with NAFLD = group A; without =
group B). The cumulative incidence of DN and chronic kidney disease (CKD) staging
were compared between the two groups. Liver fat content was examined in some
patients. Associations among NAFLD, other factors,and DN were analyzed by the
additive interaction method. RESULTS: Cumulative incidence of DN in patients from
group A (58.58%) was higher than in group B (37.22%) (P = 0.005). In both groups,
the number of DN patients with CKD stage 1 was greater than the number of
patients with stages 2-5. Increased liver fat content was associated with
increased occurrence of severe and mild albuminuria and decreased glomerular
filtration rate (GFR). There were positive correlations between NAFLD and insulin
resistance index (HOMA-IR), free fatty acids (FFA), tumor necrosis factor-alpha
(TNF-alpha), omentin-1, visceral fat area, homocysteine (HCY), and serum uric
acid (UA). CONCLUSION: NAFLD might be a risk factor for DN. Elevated liver fat
content could be associated with higher DN burden.
PMID- 26566285
TI - Validation of Six Short and Ultra-short Screening Instruments for Depression for
People Living with HIV in Ontario: Results from the Ontario HIV Treatment Network
Cohort Study.
AB - OBJECTIVE: Major depression affects up to half of people living with HIV.
However, among HIV-positive patients, depression goes unrecognized 60-70% of the
time in non-psychiatric settings. We sought to evaluate three screening
instruments and their short forms to facilitate the recognition of current
depression in HIV-positive patients attending HIV specialty care clinics in
Ontario. METHODS: A multi-centre validation study was conducted in Ontario to
examine the validity and accuracy of three instruments (the Center for
Epidemiologic Depression Scale [CESD20], the Kessler Psychological Distress Scale
[K10], and the Patient Health Questionnaire depression scale [PHQ9]) and their
short forms (CESD10, K6, and PHQ2) in diagnosing current major depression among
190 HIV-positive patients in Ontario. Results from the three instruments and
their short forms were compared to results from the gold standard measured by
Mini International Neuropsychiatric Interview (the "M.I.N.I."). RESULTS: Overall,
the three instruments identified depression with excellent accuracy and validity
(area under the curve [AUC]>0.9) and good reliability (Kappa statistics: 0.71
0.79; Cronbach's alpha: 0.87-0.93). We did not find that the AUCs differed in
instrument pairs (p-value>0.09), or between the instruments and their short forms
(p-value>0.3). Except for the PHQ2, the instruments showed good-to-excellent
sensitivity (0.86-1.0) and specificity (0.81-0.87), excellent negative predictive
value (>0.90), and moderate positive predictive value (0.49-0.58) at their
optimal cut-points. CONCLUSION: Among people in HIV care in Ontario, Canada, the
three instruments and their short forms performed equally well and accurately.
When further in-depth assessments become available, shorter instruments might
find greater clinical acceptance. This could lead to clinical benefits in fast
paced speciality HIV care settings and better management of depression in HIV
positive patients.
PMID- 26566288
TI - DBGC: A Database of Human Gastric Cancer.
AB - The Database of Human Gastric Cancer (DBGC) is a comprehensive database that
integrates various human gastric cancer-related data resources. Human gastric
cancer-related transcriptomics projects, proteomics projects, mutations,
biomarkers and drug-sensitive genes from different sources were collected and
unified in this database. Moreover, epidemiological statistics of gastric cancer
patients in China and clinicopathological information annotated with gastric
cancer cases were also integrated into the DBGC. We believe that this database
will greatly facilitate research regarding human gastric cancer in many fields.
DBGC is freely available at http://bminfor.tongji.edu.cn/dbgc/index.do.
PMID- 26566289
TI - Assessment of HER2 Status Using Immunohistochemistry (IHC) and Fluorescence In
Situ Hybridization (FISH) Techniques in Mucinous Epithelial Ovarian Cancer: A
Comprehensive Comparison between ToGA Biopsy Method and ToGA Surgical Specimen
Method.
AB - We aimed to compare the assay performance characteristics of HER2 status in
mucinous epithelial ovarian cancer (EOC) by ToGA (Trastuzumab for Gastric Cancer)
biopsy versus ToGA surgical specimen methods. Forty-nine tissue microarray (TMA)
samples of mucinous EOC from Asian women were analyzed by immunohistochemistry
(IHC) and fluorescence in situ hybridization (FISH) tests using ToGA trial HER2
scoring methods. The overall concordance between IHC and FISH by the ToGA
surgical specimen method is 97.56% and by the ToGA biopsy specimen method is
97.14%. The agreements of HER2 IHC results under both biopsy and surgical
specimen methods were nearly perfect (weighted kappa = 0.845). Additionally, the
percentage of Her2 FISH amplification showed increasing trend with increasing
HER2 IHC ordinals (negative, equivocal, positive) by both TOGA biopsy (P<0.001)
and surgical specimen method (P<0.001). After excluding equivocal cases, the
sensitivity (100%), PPV (88.89%) and NPV (100%) of HER2 IHC were unchanged under
either surgical specimen method or biopsy method. However, the specificity
(96.97%) and accuracy (97.56%) of HER2 IHC was slightly higher under the surgical
specimen method than those (specificity 96.30%, accuracy 97.14%) under the biopsy
method. Of the total 49 cases, the number (n = 14) of HER2 IHC equivocal results
under the ToGA biopsy method was 1.75-fold higher than those (n = 8) under the
ToGA surgical specimen method (28.57% vs. 16.32%). Therefore, compared to ToGA
surgery specimen method, the ToGA biopsy method caused more equivocal IHC cases
to be referred to FISH testing and did not increase the detection rates of Her2
FISH amplification.
PMID- 26566290
TI - Judicial Assessment of the Credibility of Child Witnesses.
AB - This article reports on the results of two research studies carried out by the
authors that address the questions of how and how well judges assess the honesty
and reliability of children's testimony. One study tested the accuracy of judges
and other professionals in assessing the honesty of children giving mock
testimony. Judges performed at only slightly above chance levels, though the
performance of judges was comparable to other justice system professionals, and
significantly better than the performance of law students. The second study, a
survey of Canadian judges about their perceptions of child witnesses, reveals
that judges believe that compared to adults, children are generally more likely
when testifying to make errors due to limitations of their memory or
communication skills and due to the effects of suggestive questions. However,
children are perceived to generally be more honest than adult witnesses. The
survey also revealed that judges believe that children are often asked
developmentally inappropriate questions in court, especially by defence counsel.
There were no gender differences among the judges in either study. To put this
research in context, the article first discusses the inherent challenges in
assessing the credibility of witnesses and provides a review of the psychological
literature and leading Canadian jurisprudence on the credibility and evidence of
children.
PMID- 26566291
TI - Conference Report: The 6th International Symposium on Waterborne Pathogens.
PMID- 26566292
TI - Early life adversity increases foraging and information gathering in European
starlings, Sturnus vulgaris.
AB - Animals can insure themselves against the risk of starvation associated with
unpredictable food availability by storing energy reserves or gathering
information about alternative food sources. The former strategy carries costs in
terms of mass-dependent predation risk, while the latter trades off against
foraging for food; both trade-offs may be influenced by an individual's
developmental history. Here, we consider a possible role of early developmental
experience in inducing different mass regulation and foraging strategies in
European starlings. We measured the body mass, body condition, foraging effort,
food consumption and contrafreeloading (foraging for food hidden in sand when
equivalent food is freely available) of adult birds (>=10 months old) that had
previously undergone a subtle early life manipulation of food competition (cross
fostering into the highest or lowest ranks in the brood size hierarchy when 2-12
days of age). We found that developmentally disadvantaged birds were fatter in
adulthood and differed in foraging behaviour compared with their advantaged
siblings. Disadvantaged birds were hyperphagic compared with advantaged birds,
but only following a period of food deprivation, and also spent more time
contrafreeloading. Advantaged birds experienced a trade-off between foraging
success and time spent contrafreeloading, whereas disadvantaged birds faced no
such trade-off, owing to their greater foraging efficiency. Thus, developmentally
disadvantaged birds appeared to retain a phenotypic memory of increased nestling
food competition, employing both energy storage and information-gathering
insurance strategies to a greater extent than their advantaged siblings. Our
results suggest that subtle early life disadvantage in the form of psychosocial
stress and/or food insecurity can leave a lasting legacy on foraging behaviour
and mass regulation even in the absence of food insufficiency during development
or adulthood.
PMID- 26566293
TI - Effective dimension reduction for sparse functional data.
AB - We propose a method of effective dimension reduction for functional data,
emphasizing the sparse design where one observes only a few noisy and irregular
measurements for some or all of the subjects. The proposed method borrows
strength across the entire sample and provides a way to characterize the
effective dimension reduction space, via functional cumulative slicing. Our
theoretical study reveals a bias-variance trade-off associated with the
regularizing truncation and decaying structures of the predictor process and the
effective dimension reduction space. A simulation study and an application
illustrate the superior finite-sample performance of the method.
PMID- 26566295
TI - Reader-Text Interactions: How Differential Text and Question Types Influence
Cognitive Skills Needed for Reading Comprehension.
AB - Current research has shown that comprehension can vary based on text and question
types, and that readers' word recognition and background knowledge may account
for these differences. Other reader characteristics such as semantic and
syntactic awareness, inferencing, planning/organizing have also all been linked
to reading comprehension, but have not been examined with regard to specific text
and question types. The aim of this study was to explore the relationships
between reader characteristics, text types, and question types, in children aged
10-14. We sought to compare children's performance when comprehending narrative,
expository, and functional text, as well as to explore differences between
children's performance on comprehension questions that assess their literal or
inferential comprehension of a passage. To examine such differences, we analyzed
the degree to which distinct cognitive skills (semantic and syntactic awareness,
inferencing, planning/organizing) contribute to performance on varying types of
texts and questions. This study found main effects of text and question types, as
well as an interaction in which relations between question types varied between
text types. Analyses indicated that higher order cognitive skills, including the
ability to make inferences and to plan and organize information, contribute to
comprehension of more complex text (e.g., expository vs. narrative) and question
types (e.g., inferential vs. literal), and therefore are important components of
reading for later elementary and middle school students. These findings suggest
that developing these skills in early elementary school may better equip students
for comprehending the texts they will encounter in higher grades.
PMID- 26566294
TI - The visual system's internal model of the world.
AB - The Bayesian paradigm has provided a useful conceptual theory for understanding
perceptual computation in the brain. While the detailed neural mechanisms of
Bayesian inference are not fully understood, recent computational and
neurophysiological works have illuminated the underlying computational principles
and representational architecture. The fundamental insights are that the visual
system is organized as a modular hierarchy to encode an internal model of the
world, and that perception is realized by statistical inference based on such
internal model. In this paper, I will discuss and analyze the varieties of
representational schemes of these internal models and how they might be used to
perform learning and inference. I will argue for a unified theoretical framework
for relating the internal models to the observed neural phenomena and mechanisms
in the visual cortex.
PMID- 26566296
TI - Safety & the Diverse Workforce: Lessons From NIOSH's Work With Latino Immigrants.
PMID- 26566297
TI - A timeline of pharyngeal endoskeletal condensation and differentiation in the
shark, Scyliorhinus canicula, and the paddlefish, Polyodon spathula.
AB - The lesser-spotted dogfish (Scyliorhinus canicula) and the North American
paddlefish (Polyodon spathula) are two emerging model systems for the study of
vertebrate craniofacial development. Notably, both of these taxa have retained
plesiomorphic aspects of pharyngeal endoskeletal organization, relative to more
commonly used models of vertebrate craniofacial development (e.g. zebrafish,
chick and mouse), and are therefore well suited to inform the pharyngeal
endoskeletal patterning mechanisms that functioned in the last common ancestor of
jawed vertebrates. Here, we present a histological overview of the condensation
and chondrogenesis of the most prominent endoskeletal elements of the jaw, hyoid
and gill arches - the palatoquadrate/Meckel's cartilage, the
hyomandibula/ceratohyal, and the epi-/ceratobranchial cartilages, respectively -
in embryonic series of S. canicula and P. spathula. Our observations provide a
provisional timeline and anatomical framework for further molecular developmental
and functional investigations of pharyngeal endoskeletal differentiation and
patterning in these phylogenetically informative taxa.
PMID- 26566298
TI - Evaluation of Criteria for the Detection of Fires in Underground Conveyor Belt
Haulageways.
AB - Large-scale experiments were conducted in an above-ground gallery to simulate
typical fires that develop along conveyor belt transport systems within
underground coal mines. In the experiments, electrical strip heaters, imbedded ~5
cm below the top surface of a large mass of coal rubble, were used to ignite the
coal, producing an open flame. The flaming coal mass subsequently ignited 1.83
meter-wide conveyor belts located approximately 0.30 m above the coal surface.
Gas samples were drawn through an averaging probe located approximately 20 m
downstream of the coal for continuous measurement of CO, CO2, and O2 as the fire
progressed through the stages of smoldering coal, flaming coal, and flaming
conveyor belt. Also located approximately 20 m from the fire origin and
approximately 0.5 m below the roof of the gallery were two commercially available
smoke detectors, a light obscuration meter, and a sampling probe for measurement
of total mass concentration of smoke particles. Located upstream of the fire
origin and also along the wall of the gallery at approximately 14 m and 5 m
upstream were two video cameras capable of both smoke and flame detection. During
the experiments, alarm times of the smoke detectors and video cameras were
measured while the smoke obscuration and total smoke mass were continually
measured. Twelve large-scale experiments were conducted using three different
types of fire-resistant conveyor belts and four air velocities for each belt. The
air velocities spanned the range from 1.0 m/s to 6.9 m/s. The results of these
experiments are compared to previous large-scale results obtained using a smaller
fire gallery and much narrower (1.07-m) conveyor belts to determine if the fire
detection criteria previously developed (1) remained valid for the wider conveyor
belts. Although some differences between these and the previous experiments did
occur, the results, in general, compare very favorably. Differences are duly
noted and their impact on fire detection discussed.
PMID- 26566299
TI - Dynamics of human categorization in a collaborative tagging system: How social
processes of semantic stabilization shape individual sensemaking.
AB - We study how categories form and develop over time in a sensemaking task by
groups of students employing a collaborative tagging system. In line with
distributed cognition theories, we look at both the tags students use and their
strength of representation in memory. We hypothesize that categories get more
differentiated over time as students learn, and that semantic stabilization on
the group level (i.e. the convergence in the use of tags) mediates this
relationship. Results of a field experiment that tested the impact of topic study
duration on the specificity of tags confirms these hypotheses, although it was
not study duration that produced this effect, but rather the effectiveness of the
collaborative taxonomy the groups built. In the groups with higher levels of
semantic stabilization, we found use of more specific tags and better
representation in memory. We discuss these findings with regard to the important
role of the information value of tags that would drive both the convergence on
the group level as well as a shift to more specific levels of categorization. We
also discuss the implication for cognitive science research by highlighting the
importance of collaboratively built artefacts in the process of how knowledge is
acquired, and implications for educational applications of collaborative tagging
environments.
PMID- 26566300
TI - [Pilot testing of an internet based pregnancy planning study "Snart-gravid.dk"].
AB - Before launching a new study pilot testing is often recommended, however, it is
seldom described in depth. Here, we report extensively on a pilot study using the
internet as a new method for recruitment and data collection in a prospective
cohort study of women planning a pregnancy.We aimed to enroll 2500 participants
in six months and attained more than 75 % after 12 months follow up. To test data
completeness and validity we randomized participants to fill either a long or a
short version of the baseline questionnaire and compared self reported data with
registry based data.We succeeded in enrolling 2288 participants, and
participation rate was 82 % after 12 months. We found high correlations (0.96)
for self-reported vs. registry based data and no difference in participation rate
or data completeness according to questionnaire length. Overall, the internet
based methods seem promising and we plan to launch the full study.
PMID- 26566301
TI - Coenzyme Q regulates the expression of essential genes of the pathogen- and
xenobiotic-associated defense pathway in C. elegans.
AB - Coenzyme Q (CoQ) is necessary for mitochondrial energy production and modulates
the expression of genes that are important for inflammatory processes, growth and
detoxification reactions. A cellular surveillance-activated detoxification and
defenses (cSADDs) pathway has been recently identified in C. elegans. The down
regulation of the components of the cSADDs pathway initiates an aversion behavior
of the nematode. Here we hypothesized that CoQ regulates genes of the cSADDs
pathway. To verify this we generated CoQ-deficient worms ("CoQ-free") and
performed whole-genome expression profiling. We found about 30% (120 genes) of
the cSADDs pathway genes were differentially regulated under CoQ-deficient
condition. Remarkably, 83% of these genes were down-regulated. The majority of
the CoQ-sensitive cSADDs pathway genes encode for proteins involved in larval
development (enrichment score (ES) = 38.0, p = 5.0E(-37)), aminoacyl-tRNA
biosynthesis, proteasome function (ES 8.2, p = 5.9E(-31)) and mitochondria
function (ES 3.4, p = 1.7E(-5)). 67% (80 genes) of these genes are categorized as
lethal. Thus it is shown for the first time that CoQ regulates a substantial
number of essential genes that function in the evolutionary conserved cellular
surveillance-activated detoxification and defenses pathway in C. elegans.
PMID- 26566302
TI - Maternal molecular hydrogen administration on lipopolysaccharide-induced mouse
fetal brain injury.
AB - Fetal brain injury is often related to prenatal inflammation; however, there is a
lack of effective therapy. Recently, molecular hydrogen (H2), a specific
antioxidant to hydroxyl radical and peroxynitrite, has been reported to have anti
inflammatory properties. The aim of this study was to investigate whether
maternal H2 administration could protect the fetal brain against inflammation.
Pregnant C3H/HeN mice received an intraperitoneal injection of lipopolysaccharide
(LPS) on gestational day 15.5 and were provided with H2 water for 24 h prior to
LPS injection. Pup brain samples were collected on gestational day 16.5, and the
levels of apoptosis and oxidative damage were evaluated using
immunohistochemistry. Interleukin-6 (IL-6) levels were examined using real-time
PCR. The levels of apoptosis and oxidative damage, as well as the levels of IL-6
mRNA, increased significantly when the mother was injected with LPS than that in
the control group. However, these levels were significantly reduced when H2 was
administered prior to the LPS-injection. Our results suggest that LPS-induced
apoptosis, oxidative damage and inflammation in the fetal brain were ameliorated
by maternal H2 administration. Antenatal H2 administration might protect the
premature brain against maternal inflammation.
PMID- 26566303
TI - Sodium 4-phenylbutyrate prevents murine dietary steatohepatitis caused by trans
fatty acid plus fructose.
AB - Excess consumption of trans-fatty acid could increase the risk of non-alcoholic
steatohepatitis (NASH); however, treatment targeting trans-fatty acid-induced
NASH has not been examined. Here we focused on the influence of trans-fatty acid
intake on endoplasmic reticulum (ER) stress in hepatocytes, so we investigated
the effect of the chemical chaperone 4-phenylbutyric acid (PBA), on trans-fatty
acid-caused steatohepatitis using diabetic KK-A(y) mice. Elaidic acid (EA, trans
fatty acid) alone did not cause definitive liver injury. In contrast, EA plus low
dose fructose induced extensive apoptosis in hepatocytes with severe fat
accumulation. EA plus fructose significantly increased ER stress markers such as
glucose-regulated protein 78 (GRP78), eukaryotic initiation factor 2alpha
(eIF2alpha) and phosphorylated c-jun N-terminal kinase (JNK), while PBA
significantly reduced this response. In vitro, EA promoted expression of GRP78
and phosphorylation of eIF2alpha in primary-cultured hepatocytes. EA also
increased hepatocellular susceptibility to low-dose tert-butyl hydroperoxide.
Treatment with PBA significantly reduced these responses. In conclusion, EA
potentiates susceptibly to non-hazardous dose of fructose, and increases ER and
oxidative stress. PBA improved steatohepatitis induced by EA plus fructose
through amelioration of ER stress. Therefore, ER stress-targeted therapy using a
chemical chaperone is a promising novel strategy for trans-fatty acid-induced
steatohepatitis.
PMID- 26566304
TI - Therapeutic effects of mouse bone marrow-derived clonal mesenchymal stem cells in
a mouse model of inflammatory bowel disease.
AB - Mouse bone marrow-derived clonal mesenchymal stem cells (mcMSCs), which were
originated from a single cell by a subfractionation culturing method, are
recognized as new paradigm for stem cell therapy featured with its homogenous
cell population. Next to proven therapeutic effects against pancreatitis, in the
current study we demonstrated that mcMSCs showed significant therapeutic effects
in dextran sulfate sodium (DSS)-induced experimental colitis model supported with
anti-inflammatory and restorative activities. mcMSCs significantly reduced the
disease activity index (DAI) score, including weight loss, stool consistency, and
intestinal bleeding and significantly increased survival rates. The pathological
scores were also significantly improved with mcMSC. We have demonstrated that
especial mucosal regeneration activity accompanied with significantly lowered
level of apoptosis as beneficiary actions of mcMSCs in UC models. The levels of
inflammatory cytokines including TNF-alpha, IFN-gamma, IL-1beta, IL-6, and IL-17
were all significantly concurrent with significantly repressed NF-kappaB
activation compared to the control group and significantly decreased
infiltrations of responsible macrophage and neutrophil. Conclusively, our
findings provide the rationale that mcMSCs are applicable as a potential source
of cell-based therapy in inflammatory bowel diseases, especially contributing
either to prevent relapse or to accelerate healing as solution to unmet medical
needs in IBD therapy.
PMID- 26566305
TI - High-fat diet intake from senescence inhibits the attenuation of cell functions
and the degeneration of villi with aging in the small intestine, and inhibits the
attenuation of lipid absorption ability in SAMP8 mice.
AB - We examined the effect of a high-fat diet from senescence as a means of
preventing malnutrition among the elderly. The senescence-accelerated mouse P8
was used and divided into three groups. The 6C group was given a normal diet
until 6 months old. The 12N group was given a normal diet until 12 months old.
The 12F group was given a normal diet until 6 months old and then a high-fat diet
until 12 months old. In the oral fat tolerance test, there was a decrease in area
under the curve for serum triacylglycerol level in the 12N group and a
significant increase in the 12F group, suggesting that the attenuation of lipid
absorption ability with aging was delayed by a high-fat diet from senescence. To
examine this mechanism, histological analysis in the small intestine was
performed. As a result, the degeneration of villi with aging was inhibited by the
high-fat diet. There was also a significant decrease in length of villus in the
small intestine in the 12N group and a significant increase in the 12F group. The
high-fat diet from senescence inhibited the degeneration of villi with aging in
the small intestine, and inhibited the attenuation of lipid absorption ability.
PMID- 26566306
TI - Dietary polyphenols increase fecal mucin and immunoglobulin A and ameliorate the
disturbance in gut microbiota caused by a high fat diet.
AB - The effects of dietary polyphenols on human health have mainly been discussed in
the context of preventing degenerative diseases, particularly cardiovascular
diseases and cancer. The antioxidant properties of polyphenols have been widely
studied, but it has become clear that the mechanism of action of polyphenols
extends beyond the modulation of oxidative stress, as they are poorly absorbed
from the digestive tract. The purpose of this study was to clarify the effects of
polyphenols on the colonic environment, intestinal barrier function, and gut
microbiota. We demonstrated that dietary polyphenols derived from aronia, haskap,
and bilberry, markedly elevated the amount of fecal mucin and immunoglobulin A
(IgA) as an intestinal barrier function and ameliorated the disturbance in gut
microbiota caused by a high fat diet in rats. These results suggest that dietary
polyphenols play a significant role in the prevention of degenerative diseases
through improvement of the colonic environment without any absorption from the
digestive tract.
PMID- 26566308
TI - Dietary intake of vitamin K in relation to bone mineral density in Korea adults:
The Korea National Health and Nutrition Examination Survey (2010-2011).
AB - Low vitamin K nutritional status has been associated with increased risk of
fracture, however inconsistent results exist to support the role of vitamin K on
bone mineral density depending on ethnic difference and gender. Our objective was
to determine vitamin K intake in Korean adults, examine correlation between
vitamin K intake and bone mineral density. This study analyzed raw data from the
fifth Korea National Health and Nutrition Examination Survey for adults (2,785
men, 4,307 women) aged over 19 years. Cross-sectional analyses showed only
positive association between vitamin K intake and femur bone mineral density in
men after adjusting bone-related factors. However, women in high tertiles of
vitamin K intake had a significantly higher bone mineral density both in femur
and lumber as compared to women in lowest tertiles (p<0.05). The risk for
osteoporosis was decreased as vitamin K intake increased in women, but this
effect was not persisted after adjusting factors. The findings of this study
indicate that low dietary vitamin K intake was associated with low bone mineral
density in subjects. From these results we may suggest an increase in dietary
vitamin K intakes for maintaining bone mineral density. (2010-02CON-21-C, 2011
02CON-06-C).
PMID- 26566307
TI - Effect of an isocaloric diet containing fiber-enriched flour on anthropometric
and biochemical parameters in healthy non-obese non-diabetic subjects.
AB - We studied the effect of soluble fiber-enriched products on anthropometric and
biochemical variables in 30 healthy non-obese, non-diabetic subjects. This was a
randomized, controlled crossover, single-blind, dietary intervention study
performed for 8 weeks. Subjects received an isocaloric diet with fiber-enriched
products for the first 4 weeks and with regular flour products for the following
4 weeks, or vice versa. Weight, height, measures of fat distribution (waist, hip
circumference), glucose, insulin and triglycerides were measured at baseline,
after 4 and 8 weeks of intervention. BMI and insulin sensitivity indices were
calculated. Weight and BMI decreased in the first period of isocaloric diet in
both groups, regardless of the type of flour consumed (weight p<0.01, p<0.001
respectively; BMI p = 0.01, p<0.001 respectively). At the end of the 8 weeks,
weight and BMI further decreased in the group consuming the fiber-enriched diet
(p<0.01). Insulin resistance, estimated with the Homeostasis Model Assessment
index and the Lipid Accumulation Product index, improved in all subjects after
the fiber-enriched flour diet (p = 0.03, p = 0.02, respectively). In conclusion,
an isocaloric diet supplemented with fiber-enriched products may improve measures
of fatness and insulin sensitivity in healthy non-obese non-diabetic subjects. We
might hypothesize a similar effect also in subjects with metabolic abnormalities.
PMID- 26566309
TI - Changes in arterial stiffness and nitric oxide production with Chlorella-derived
multicomponent supplementation in middle-aged and older individuals.
AB - Chlorella is a unicellular green alga, which contains a variety of nutrients
including amino acids, dietary fibers, n-3 unsaturated fatty acid, vitamins, and
minerals. We previously demonstrated that Chlorella-derived multicomponent
supplementation decreases arterial stiffness in young men. However, mechanisms
underlying the reduction in arterial stiffness by Chlorella-derived
supplementation and the effect in middle-aged and older individuals have remained
unexplored. This study tested our hypothesis that Chlorella-derived
supplementation improves arterial stiffness via an increase in nitric oxide (NO,
a endothelium-derived relaxing factor) production in middle-aged and older
individuals. Thirty-two subjects between 45 and 75 years of age assigned to
placebo and Chlorella groups in a double-blinded manner and took respective
tablets for 4 weeks. Before and after the supplementations, brachial-ankle pulse
wave velocity (baPWV, an index of arterial stiffness) and plasma nitrite/nitrate
(NOx, end product of NO) concentration were measured. There was no difference in
baPWV between before and after the placebo intake, but baPWV decreased after the
Chlorella supplementation. Changes in baPWV with the Chlorella supplementation
were correlated with those in plasma NOx concentration. We concluded that
Chlorella-derived multicomponent supplementation decreases arterial stiffness in
middle-aged and older individuals. It may be associated with increase in NO
production by vascular endothelium.
PMID- 26566310
TI - Recent effectiveness of proton pump inhibitors for severe reflux esophagitis: the
first multicenter prospective study in Japan.
AB - Proton pump inhibitors are the first-line treatment for reflux esophagitis.
Because severe reflux esophagitis has very low prevalence in Japan, little is
known about the effectiveness of proton pump inhibitors in these patients. This
prospective multicenter study assessed the effectiveness of proton pump
inhibitors for severe reflux esophagitis in Japan. Patients with modified Los
Angeles grade C or D reflux esophagitis were treated with daily omeprazole (10 or
20 mg), lansoprazole (15 or 30 mg), or rabeprazole (10, 20, or 40 mg) for 8
weeks. Healing was assessed endoscopically, with questionnaires administered
before and after treatment to measure the extent of reflux and dyspepsia
symptoms. Factors affecting healing rates, including patient characteristics and
endoscopic findings, were analyzed. Of the 115 patients enrolled, 64 with grade C
and 19 with grade D reflux esophagitis completed the study. The healing rate was
67.5% (56/83), with 15 of the other 27 patients (55.6%) improving to grade A or
B. No patient characteristic or endoscopic comorbidity was significantly
associated with healing rate. Reflux and dyspepsia symptoms improved
significantly with treatment. The low healing rate suggests the need of
endoscopic examination to assess healing of reflux esophagitis at the end of
therapy. (UMIN000005271).
PMID- 26566311
TI - Safety and efficacy of botulinum toxin injection therapy for esophageal achalasia
in Japan.
AB - Botulinum toxin injection is an accepted treatment modality for esophageal
achalasia in western countries. This pilot study aimed to clarify the
effectiveness of botulinum toxin injection for esophageal achalasia in Japanese
patients. We enrolled 10 patients diagnosed with esophageal achalasia between
2008 and 2014. A total of 100 U botulinum toxin A was divided into eight aliquots
and injected around the esophagogastric junction. We compared the lower
esophageal sphincter pressure before and 1 week after treatment. Scores of
subjective symptoms for esophageal achalasia were assessed using a visual analog
scale (VAS) before and after 1 week of follow-up of treatment. Barium passage was
improved in barium esophagography and passage of contrast agent was also
improved. Mean Eckardt score was reduced from 5.5 to 1.6 after treatment
(p<0.001). By esophageal manometric study, mean lower esophageal sphincter
pressure was reduced from 46.9 to 29.1 mmHg after treatment (p = 0.002). One week
after treatment, mean VAS score was reduced from 10 to 3.9 (p<0.001). There were
no side effects in any cases. Botulinum toxin injection for esophageal achalasia
was safe and effective with few complications. Therefore, botulinum toxin could
be used as minimally invasive therapy for esophageal achalasia in Japan.
PMID- 26566312
TI - Sitagliptin can inhibit the development of hepatic steatosis in high-fructose
diet-fed ob/ob mice.
AB - The beneficial effect of dipeptidyl peptidase-4 inhibition on diet-induced extra
pancreatic effects, especially on liver tissue remains poorly understood. Thus,
we made the experimental designs as follows; five-week-old male ob/ob mice, which
develop type 2 diabetic mellitus and nonalcoholic fatty liver disease by taking a
high-carbohydrate diet (HCD), were divided into a group in which a HCD was given
for 8 weeks as control, and another in which a HCD added with 0.0018% sitagliptin
was given for 8 weeks. Hepatic steatosis was seen in all mice, but the mean grade
of steatosis in the sitagliptin-administrated mice was significantly decreased.
The acetyl-CoA concentrations were lower in sitagliptin-administrated mice,
although the differences were not significant. However, the malonyl-CoA
concentrations were significantly lower in sitagliptin-administrated mice. The
expression of acetyl-CoA carboxylase 1 was inhibited in sitagliptin-administrated
mice, irrespective of expressions of carbohydrate responsive element-binding
protein (ChREBP) or sterol regulatory element-binding protein (SREBP)-1c. In
conclusion, sitagliptin may affect the development of nonalcoholic fatty liver
disease by inhibiting the production of malonyl-CoA and thus synthesis of fatty
acids in the liver.
PMID- 26566313
TI - Lumbar segmental instability: Points to ponder.
PMID- 26566314
TI - Remote ischemic preconditioning enhances fracture healing.
AB - PURPOSE: We hypothesized that RIP accelerates fracture healing. METHODS: Rats (n
= 48) were used for the technique of ischemic preconditioning involved applying
35 min of intermittent pneumatic tourniquet for 7 cycles of 5 min each to the
fractured hind limb. RESULTS: We observed greater callus maturity in RIP group at
first week after fracture when compared to controls (p < 0,0001). The serum MDA
levels demonstrated statistically lower values at the RIP group at the first week
after fracture; however, there were not significant differences at 3rd and 5th
weeks (p = 0.0001, p = 0.725, p = 0.271, respectively). CONCLUSIONS: Greater
callus maturity was obtained in RIP group.
PMID- 26566315
TI - Outcomes of trochanteric external fixation for geriatric inter-trochanteric hip
fractures.
AB - INTRODUCTION: Trochanteric fractures are a leading cause of disability among the
elderly. Internal fixation is the gold standard treatment. However, it may be
challenging in the high-risk population. We present our results using external
fixator in trochanteric fractures in the elderly. PATIENTS AND METHODS: During
2005-2012, twenty-three high-risk elderly patients (average age 70 years) with
trochanteric fracture were managed using percutaneous external fixation (EF).
RESULTS: At one year follow-up, 86% returned to pre-fracture ambulatory status.
Average time to fixator removal was 14 weeks. There were no cases of pin
loosening, breakage, or penetration of femoral head. CONCLUSION: Advantages of EF
include avoidance of delay, use of local/regional anesthesia, and shorter
duration of surgery, blood loss and hospital stay. EF is an acceptable
alternative in this patient population, given the associated co-morbidities and
especially due to limited resources in developing countries.
PMID- 26566317
TI - A modified staging system for chronic osteomyelitis.
AB - AIMS: To investigate the short-term outcome of treatment of chronic osteomyelitis
where management was based on a refined host stratification system. METHODS: A
retrospective review of 109 adult patients with chronic osteomyelitis. RESULTS:
At a minimum follow-up of 12 months (range 12-36) we observed an overall success
rate of 89.9% (95% CI: 82.7-94.9%). There was no statistically significant
difference in success rates by host status (p-value = 0.201). CONCLUSION: By
integrating the redefined host status and treatment strategy, we were able to
achieve comparable short-term outcomes in both low and high-risk cases while
maintaining a low rate of amputation.
PMID- 26566316
TI - Patellar tendon donor-site healing during six and twelve months after Anterior
Cruciate Ligament Reconstruction.
AB - BACKGROUND: Bone-Patellar Tendon-Bone Graft is one of the most acceptable methods
of treatment for Anterior Cruciate Ligament rupture (ACL). This study evaluates
the recovery process of the graft donor site. METHODS: This study evaluates the
graft donor site recovery in 23 patients with ACL reconstruction, 6 and 12 months
after the patellar tendon graft surgery. RESULTS: In 70 percent of the cases, the
healing process was completed after 6 months and the remaining 30 percent
recovered after 12 months. CONCLUSION: Time is an important factor in the
recovery process of the patellar tendon for reconstruction of the ACL.
PMID- 26566318
TI - A national questionnaire survey on knee manipulation following total knee
arthroplasty.
AB - BACKGROUND: Adequate range of knee motion is critical for successful total knee
arthroplasty. While aggressive physical therapy is an important component,
manipulation may be a necessary supplement. There seems to be a lack of consensus
with variable practices existing in managing stiff postoperative knees following
arthroplasty. Hence we aim to determine the current practice and trend among knee
surgeons throughout the United Kingdom. MATERIALS AND METHODS: Postal
questionnaires were sent out to 100 knee surgeons registered with British
Association of Knee Surgeons, ensuring that the whole of United Kingdom was well
represented. The questions included whether the surgeon used Manipulation Under
Anaesthaesia (MUA) as an option for stiff postoperative knees; timing of MUA; use
of Continuous Passive Motion (CPM) post-manipulation. RESULTS: We received 82
responses. 46% of respondents performed MUA routinely, 43% sometimes, and 11
never. Majority (71.23%) performed MUA within 3 months of the index procedure.
68% routinely used CPM post-manipulation while 7% of the respondents applied
splints or serial cast post MUA. 41% of the surgeons routinely used Patient
Controlled Analgaesia +/- Regional blocks. Majority (55%) never performed
open/arthroscopic debridement of fibrous tissue for adhesiolysis. CONCLUSIONS:
Knee manipulation requires an additional anaesthetic and may result in
complications such as: supracondylar femur fractures, wound dehiscence, patellar
tendon avulsions, haemarthrosis, and heterotopic ossification. Moreover studies
have shown that manipulation while being an important therapeutic adjunct does
not increase the ultimate flexion achieved. Manipulation should be reserved for
the patient with difficult and painful flexion in the early postoperative period.
PMID- 26566319
TI - Effects of fat pad excision on length of the patellar tendon after total knee
replacement.
AB - PURPOSE: We report our results of the effect that total fat pad excision has on
patellar tendon length following total knee replacement. METHOD: We
retrospectively reviewed radiographs of the knees of 133 patients who had Depuy
LCS uncemented TKR between January 2009 to December 2009. We excluded patients
who had patella resurfacing, lateral release, knee replacement for other than
osteoarthritis and who had other implants used. We measured the length of the
patellar tendon using the Insall-Salvati ratio.(.) Changes in the length of the
tendon were calculated both as an absolute evaluation and as a percentage of the
original length. RESULTS: We effect of fat pad excision on patellar tendon length
at 1 year and 5 years following surgery. At one year we observed no change in
patellar tendon length in 81.1%, patella infera in 17.3% and patella alta in 1.6%
of these 133 patients. We manage to follow up 50 of these patients at 5 years
following surgery. In comparison to pre-operative length, at one year 86% showed
no change, 14% had patella infera and none had patella alta. At 5 years we
observed no change in 98% and patella infera in 2% of patients. Forty nine
percent of our patients with patella infera developed anterior knee pain, mean
flexion in those patients was 95.625 degrees and mean oxford score was 52.31.
CONCLUSIONS: In our patients following single implant design used patella infera
developed in up to 18% at one year following surgery. Two percent of fifty
patients who had five years follow up showed further shortening of patellar
tendon after one year. We conclude that complete fat pad excision during total
knee replacement does affect patellar tendon length. Level of evidence IV.
PMID- 26566320
TI - Efficacy of periarticular injection applied trough knee other than posterior
capsule in simultaneous bilateral total knee arthroplasty.
AB - BACKGROUND: We aimed to evaluate periarticular multimodal drug injection (PMDI)
in bilateral total knee arthroplasty. METHODS: In 154 knees of 77 patients, PMDI
was administered intraoperatively through the regions other than posterior
capsule to one knee; other knee was control. RESULTS: Drug-injected knees had
lower visual analog scale scores and higher passive range of motion
postoperatively (p < 0.05). The active straight leg raise was higher in drug
injected knees (47 [61%] vs 19 [24.7%], p = 0.001). CONCLUSIONS: PMDI is a safe
and effective method of early postoperative pain management in total knee
arthroplasty when applied through regions other than posterior capsule.
PMID- 26566321
TI - Radiological and clinical effect of prosthesis design in varus knees?
AB - PURPOSE: The aim of the study is to investigate the efficacy of the prosthesis
design used in total knee arthroplasty in patients with varus malalignment.
METHODS: After exclusion criteria we classified 90 patients underwent total knee
arthroplasty according to prosthesis used into two groups: posterior cruciate
ligament substituting and retaining. Mean follow up period was 25-98 months. We
evaluated preoperative and postoperative radiological and as well as clinical
parameters such as pain, knee function, flexion deformity. RESULTS: We found
statistically significant difference in both groups in terms of deformity
correction (p = 0.000). CONCLUSION: Prosthesis design affects radiological
outcomes in varus knees.
PMID- 26566322
TI - Histopathological examination of bone debris from reaming of interlocking intra
medullary nail fixation of long bone fractures with concomitant head injury.
AB - BACKGROUD/AIM: The aim of study was to test, for the presence of osteoblasts in
the reaming debris of intramedullary nailing of femoral and tibial fracture in
patients with and without severe head injury. METHODS: Two groups of patients
were studied. Group A (n = 32) had long bone fractures in addition to having head
injuries. Group B (n = 35) had only long bone fractures. The fractures in the 2
groups of patients was treated by inter medullary nailing. Osteoblasts in the
debris of the inter medullary nailing was compared between the 2 groups of
patients. RESULTS: The results demonstrated that histopathological specimens from
reaming debris of fractured femur and tibia in patients with head injury showed
osteoblasts in (82.9%) and in (27.5%) of patients with isolated long bone
fractures (p < 0.001). CONCLUSION: Healing indicators in diaphyseal fractures and
concomitant head injury confirm fast and adequate healing in these patients and
the presence of plenty of osteoblasts in their reaming debris may reflect a proof
of accelerated fracture healing environment.
PMID- 26566323
TI - How does pelvic rotation or tilt affect radiographic measurement of acetabular
component inclination angle during THA?
AB - BACKGROUND: It is unclear how radiographic measurements of cup position are
sensitive to deviations from a perfect AP pelvis image. PURPOSE: To quantify
changes in radiographic measurements of cup abduction angle due to pelvic tilt or
obliquity. METHODS: Part A, a retrospective comparison of radiographic cup
abduction angle measurements from intraoperative and post-operative radiographs
of 23 patients undergoing THA. Part B, a pelvic sawbones model was used to
quantify changes in radiographic measurement of cup abduction angle due to known
changes in pelvic tilt or obliquity. RESULTS: Part A, a perfect AP pelvis was
obtained in just 30% of intraoperative radiographs. The mean intraoperative cup
angle measurement was underestimated by 3.4 degrees compared to post-operative
standard radiographs. In Part B, pelvic tilt caused cup abduction angle
measurement to decrease on inlet view and increase on outlet view. Pelvic
obliquity caused cup abduction angle measurement to decrease on obturator oblique
view and increase on iliac oblique view. CONCLUSIONS: A trend exists toward
slight underestimation of cup abduction angle measurement using intraoperative
radiographs. Pelvic tilt or obliquity alters the measured cup abduction angle in
known directions.
PMID- 26566324
TI - Comparison between component designs with different femoral head size in metal-on
metal total hip arthroplasty; multicenter randomized prospective study.
AB - BACKGROUNDS/AIMS: We prospectively studied 78 prostheses with conventional
femoral head and 86 prostheses with large head (Magnum) of metal-on-metal total
hip arthroplasty (MoM THA) with two years follow-up. METHODS: Clinical outcomes
and blood metal ion were evaluated. RESULTS: There were no significant
differences of clinical outcomes between groups. 1.17 +/- 1.01 MUg/L of blood
cobalt ion in Magnum was significantly lower than 1.99 +/- 2.34 MUg/L in
conventional group. No dislocation was observed in Magnum while one dislocation
in conventional group. MoM THA with large head is useful if the implants are
positioned in appropriate alignment, however longer follow-up will be necessary.
CLINICAL TRIAL REGISTRATION: NCT01010763 (registered on ClinicalTrials.gov).
PMID- 26566325
TI - Compartment syndrome in patients with haemophilia.
AB - BACKGROUND: Acute compartment syndrome (ACS) is an uncommon but potentially
devastating condition. METHODS AND RESULTS: There are scattered case reports and
case series in the literature of ACS in persons with haemophilia (PWH), and even
fewer in PWH and inhibitors. The management of compartment syndrome in these
scenarios is controversial and often anecdotal. In addition haematological
outcomes are frequently quoted but functional outcomes are generally overlooked.
This article aims to provide an overview of ACS and its contemporary management.
We also review the literature and outcomes of patients with haemophilia who
develop ACS in an effort to assess the best treatment modality. CONCLUSION: In
the majority of cases ACS settles with normalisation of the clotting cascade.
Specialist haematological input is mandatory before surgical intervention should
be considered, especially in PWH and inhibitors.
PMID- 26566326
TI - Bilateral double level tibial lengthening in dwarfism.
AB - PURPOSE: Outcome assessment after double level tibial lengthening in patients
with dwarfism. METHODS: Fourteen patients with dwarfism were analyzed after
bilateral simultaneous double level tibial lengthening. RESULTS: Average age was
15.1 years. Average lengthening was 13.5 cm. The two levels were lengthened by an
average of 7.5 cm proximally and 6.0 cm distally. Concomitant deformities were
also addressed during lengthening. External fixation treatment time averaged 8.8
months. Healing index averaged 0.7 months/cm. CONCLUSION: Bilateral tibial
lengthening for dwarfism is difficult, but the results are usually quite
gratifying.
PMID- 26566327
TI - Pre-operative templating for trauma hemiarthroplasty (Thompson's).
AB - INTRODUCTION: Surgical complications may be avoided by preoperative templating in
trauma hemiarthroplasty. MATERIALS AND METHODS: Digital templates for the
StrykerTM range of Thompson's prostheses were created and fifty trauma patients
that had undergone cemented hemiarthroplasty were retrospectively templated by 2
blinded surgeons. RESULTS: Templating for prosthesis size was highly accurate
with excellent Inter and intra-observer reproducibility. Sensitivity for
identifying femoral canals too narrow for a Thompsons was 100%. CONCLUSIONS:
Templating is a valuable tool and should be standard practice in trauma. We have
demonstrated that it is possible to generate custom templates to allow accurate
templating.
PMID- 26566329
TI - A case of an unusual Monteggia equivalent type II with lateral condyle fracture.
AB - Monteggia fracture dislocations are uncommon in childhood. Since the term
'Monteggia equivaents' was termed, various equivalents of this entity have been
described. However, these fractures with concomitant elbow injuries are
exceedingly rare in young children. We present a case of an 18 month-old girl who
sustained a fracture of lateral condyle of right distal end of humerus with
posterior dislocation of the elbow. We suggest that the fracture pattern can be
included under type II Monteggia equivalent on the basis of its characteristics,
biomechanics and the mode of injury with supporting literature review for the
same.
PMID- 26566328
TI - The trends and challenges in orthopaedic simulation.
AB - Generally, in some universities of medicine, orthopaedic training procedures
represent a difficult task due to the inadequacies of the systems, the resources,
and the use of technologies. This article explains the challenges and the needs
for more research in the issue of orthopaedic simulation around the world.
PMID- 26566330
TI - Peri-operative visual loss following total knee arthroplasty - A case report:
Visual loss following TKA.
AB - Perioperative visual loss (POVL) following non-ocular surgery is a rare but
significant complication. This report describes a case of ischaemic optic
neuropathy following total knee arthroplasty which resulted in permanent
blindness.
PMID- 26566331
TI - Metallosis following a dual coat porous hydroxyapatite shoulder hemiarthroplasty.
AB - We report a case of metallosis following a shoulder hemiarthroplasty with a
humeral component resurfacing shoulder replacement.
PMID- 26566332
TI - Accuracy of templating the acetabular cup size in Total Hip Replacement using
conventional acetate templates on digital radiographs.
AB - OBJECTIVE: Templating of the acetabular cup size in Total Hip Replacement (THR)
is normally done using conventional radiographs. As these are being replaced by
digital radiographs, it has become essential to create a technique of templating
using digital films. We describe a technique that involves templating the digital
films using the universally available acetate templates for THR without the use
of special software. MATERIALS AND METHODS: Preoperative digital radiographs of
the pelvis were taken with a 30 mm diameter spherical metal ball strapped over
the greater trochanter. Using standard acetate templates provided by the implant
company on magnified digital radiographs, the size of the metal ball (X mm) and
acetabular cup (Y mm) were determined. The size of the acetabular cup to be
implanted was estimated using the formula 30*Y/X. The estimated size was compared
with the actual size of the cup used at surgery. RESULTS: Using this technique,
it was possible to accurately predict the acetabular cup size in 28/40 (70%) of
the hips. When the accuracy to within one size was considered, templating was
correct in 90% (36/40). When assessed by two independent observers, there was
good intra-observer and inter-observer reliability with intra-class correlation
coefficient values greater than 0.8. CONCLUSION: It was possible to accurately
and reliably predict the size of the acetabular cup, using acetate templates on
digital films, without any digital templates.
PMID- 26566333
TI - Surgical site infection in orthopedic trauma: A case-control study evaluating
risk factors and cost.
AB - BACKGROUND: With the shift of our healthcare system toward a value-based system
of reimbursement, complications such as surgical site infections (SSI) may not be
reimbursed. The purpose of our study was to investigate the costs and risk
factors of SSI for orthopedic trauma patients. METHODS: Through retrospective
analysis, 1819 patients with isolated fractures were identified. Of those, 78
patients who developed SSIs were compared to 78 uninfected control patients.
Patients were matched by fracture location, type of fracture, duration of
surgery, and as close as possible to age, year of surgery, and type of procedure.
Costs for treatment during primary hospitalization and initial readmission were
determined and potential risk factors were collected from patient charts. A
Wilcoxon test was used to compare the overall costs of treatment for case and
control patients. Costs were further broken down into professional fees and
technical charges for analysis. Risk factors for SSIs were analyzed through a chi
squared analysis. RESULTS: Median cost for treatment for patients with SSIs was
$108,782 compared to $57,418 for uninfected patients (p < 0.001). Professional
fees and technical charges were found to be significantly higher for infected
patients. No significant risk factors for SSIs were determined. CONCLUSIONS: Our
findings indicate the potential for financial losses in our new healthcare system
due to uncompensated care. SSIs nearly double the cost of treatment for
orthopedic trauma patients. There is no single driver of these costs. Reducing
postoperative stay may be one method for reducing the cost of treating SSIs,
whereas quality management programs may decrease risk of infection.
PMID- 26566334
TI - Vitamin D status in pediatric osteoarticular tuberculosis.
AB - BACKGROUND: Tubercular patients, in general, have been demonstrated with lower
serum levels of vitamin D, but the levels in osteoarticular tuberculosis (TB) in
children are largely unknown. We aimed to determine serum vitamin D status in
pediatric cases with osteoarticular TB. METHODS: The values of serum vitamin D
were estimated in 100 children with osteoarticular TB. The patients were divided
into three groups based on gender, age, and site to assess variations of vitamin
D levels in pediatric osteoarticular TB. RESULTS: Hypovitaminosis D was
associated with active osteoarticular TB in children. The mean vitamin D levels
were 42.165 nmol/L. There were no statistical difference between mean vitamin D
levels in boys and girls (p value = 0.6143); age groups <5 and 5-12 years (p
value = 0.3857); or spinal and non-spinal osteoarticular groups (p value =
0.8350). CONCLUSIONS: Active osteoarticular TB in children was also associated
with hypovitaminosis D. The correlation between hypovitaminosis D and
osteoarticular TB appears to be more disease specific rather than host specific.
PMID- 26566335
TI - Quantitative measurement of intra-compartmental pressure of the leg in acute
traumatic injury: As a routine trend.
AB - BACKGROUND: Experience and literature regarding complications of lower extremity
compartment syndrome led us to hypothesize that delayed diagnosis and limb loss
are potentially preventable events. Clinical examination does play a role, but
quantification of compartment pressure reading serves as confirmation and
determines the need for surgical intervention and provides the only objective
data in case of conflict. METHODS: We performed a prospective study of all cases
of closed tibial fractures presenting to our trauma centre over a 3-year period
(January 2009-June 2012). Variables reviewed included intra-compartmental
pressure readings, location of the fracture and development of subsequent
compartment syndrome requiring fasciotomy. Patients were divided into (1) Group A
- proximal tibial fracture, (2) Group B - diaphyseal fracture and (3) Group C -
Pilon fracture. Values of the injured and uninjured leg were taken and the data
analyzed using SPSS version 22. RESULTS: 168 (41 females and 127 males) cases
were analyzed. Mean pressure readings of the fractured limb were higher in Group
A compared to the other groups. The mean difference in pressure values between
the injured and uninjured limb recorded were of 15.1 mm Hg (Group A), 13.8 mm Hg
(Group B) and 13.3 mm Hg (Group C). Patients who eventually underwent fasciotomy
were 5 (10.8%) in Group A, 8 (10.3%) in Group B and 3 (6.8%) in Group C, and had
initial baseline pressure difference of >18.5 mm Hg. CONCLUSION: These data
underscore the importance of routine recording of initial intra-compartmental
pressure and relation of difference in compartmental pressure between injured and
uninjured limb to eventual development of compartment syndrome requiring
fasciotomy.
PMID- 26566336
TI - PCL-retaining versus PCL-substituting TKR - Outcome assessment based on the
"forgotten joint score".
AB - BACKGROUND: Posterior cruciate ligament (PCL) retention or sacrifice figures
prominently among the current controversies in total knee arthroplasty (TKA).
Even though biomechanical advantages and disadvantages have been claimed for each
type of TKA, clinical studies have not shown significant differences in the
outcomes. METHODS: In this retrospective study, the recently introduced
"forgotten joint score" (FJS) was used to assess whether any differences exist
between the two types of total knee replacement (TKR). FJ scores of 169 patients
with PCL-retaining TKA and 178 patients with PCL sacrificing were obtained. The
mean follow-up period was 3.5 years and the minimum follow-up period was 2.5
years. RESULTS: Both groups showed high FJ scores indicating that majority of the
patients were oblivious to the presence of the artificial joint during daily
activities. There was no statistically significant difference between the mean FJ
scores of the two groups. Scores of subsets based on gender, age and unilateral
and bilateral TKR also did not show significant differences. CONCLUSIONS: Since
there are no clinically important differences between the two types of TKR, the
choice of the TKA should be based on surgeon preferences and training and local
conditions of the knee. Patient-reported outcomes appear to be similar regardless
of the choice of TKA. Further prospective studies and validation of FJS outcomes
with those of other questionnaires are essential to confirm the absence of
differences between PCL retention and sacrifice.
PMID- 26566337
TI - Distal femoral rotational alignment in the Indian population - An important
consideration in total knee arthroplasty.
AB - OBJECTIVE: To measure the angular relationships of distal femoral rotational axes
in the normal Indian population. MATERIALS AND METHODS: Magnetic Resonance
Imaging (MRI) scans of the knee of 40 Indian subjects were used to define the
posterior condylar axis, the transepicondylar axis and the Whiteside's line
(anteroposterior axis). The posterior condylar angle (PCA) - the angle between
the posterior condylar axis and the transepicondylar axis, and the Whiteside
epicondylar angle (W-EP angle) were then calculated. RESULTS: The mean posterior
condylar angle in the Indian knee was 4.67 degrees and the mean Whiteside
epicondylar angle was 92.7 degrees . CONCLUSION: There are differences in the
distal femoral rotational axes among various races. The mean PCA and the W-EP
angle are more externally rotated in the Indian than in the Western, population,
but similar to the Chinese. Using fixed values to define the angular
relationships between the axes could lead to malrotation of the femoral
component. An understanding of the racial differences is essential while
designing implants for the Indian population.
PMID- 26566338
TI - Management of infection following reconstruction in bone tumors.
AB - Limb salvage surgery in bone tumors has evolved in recent years and includes all
of the surgical procedures designed to accomplish removal of a malignant tumor
and reconstruction of the limb with an acceptable oncologic, functional, and
cosmetic result. This dramatic change came about as the result of three important
developments, i.e. effective chemotherapy, improved precision imaging techniques
and advances in reconstructive surgery. Reconstruction with a modular custom-made
oncological endoprosthesis (megaprosthesis) has become a common procedure
nowadays. These large foreign bodies make infection a common and feared
complication. However, the occurrence of complications may be multifactorial,
including a poor nutritional and compromised immune status due to chemotherapy
and/or radiotherapy, a lengthy operation, extensive dissection and resection of
soft tissues, inadequate soft-tissue coverage, a longer exposure of the wound
resulting in infection, etc. Management of postoperative infection in these cases
remains a challenge. This article analyses the current literature available for
these cases and summarizes the cause and different available methods of
treatment.
PMID- 26566339
TI - Pelvic ring injuries: Emergency assessment and management.
AB - Pelvic ring injuries are associated with significant morbidity and mortality.
Understanding the anatomy of the pelvic ring is essential for accurate diagnosis
and treatment. A systematic approach taking into account the mechanism of injury,
physical examination, and radiographic assessment is important to quickly
identify unstable pelvic disruptions and associated injuries. Because the pelvis
is a ring structure, isolated pubic rami fractures on plain radiographs are
unusual and should warrant careful evaluation for posterior pelvic disruption
with computed tomography. Hemorrhagic shock can occur in about 10% of pelvic ring
injuries. Immediate recognition and treatment of this life-threatening condition
is critical in emergency management. In addition to fluid resuscitation and blood
transfusion, circumferential wrapping, angiographic embolization, laparotomy with
pelvic packing, and external fixation can be important life-saving adjuncts in
the setting of hemodynamic instability.
PMID- 26566340
TI - Solitary plasmacytoma of L3 vertebral body treated by minimal access surgery:
Common problem different solution!
AB - INTRODUCTION: Solitary plasmacytoma of bone is a local primary bone tumour
consisting of malignant plasma cells without systemic involvement. These tumours
are known for large amount of blood loss, and the use of electrocautery is
helpful in reducing blood loss and performing surgery in a relatively bloodless
field; however, use of unipolar cautery in patients with indwelling cardiac
pacemaker is known to cause arrhythmias and cardiac events. Minimally invasive
techniques offer potential advantages over open techniques particularly in
patients with spinal tumours, where massive amount of blood loss is expected, if
open procedure is performed. Here, we present a case of solitary L3 plasmacytoma
with progressive neurological deficit with chronic refractory anaemia with
indwelling cardiac pacemaker treated by minimally invasive technique. MATERIALS
AND METHODS: A 71-year-old male presented with increasing back pain with Left L3
radiculopathy since 6 months and progressive left lower limb weakness since 5
days. The patient is a known case of chronic renal failure with chronic
refractory anaemia. The patient has indwelling cardiac pacemaker for cardiac
arrhythmias. Radiology was suggestive of L3 body plasmacytoma. L3 corpectomy and
anterior column reconstruction with expandable cage and posterior stabilization
by minimally invasive techniques were performed. RESULTS: Two years of follow-up
showed no local recurrence. The patient is ambulatory unaided with no
neurological deficit and backache. DISCUSSION: There is no consensus regarding
appropriate surgical approach and perioperative strategies in treatment of
solitary plasmacytoma. A solitary plasmacytoma was found in the spine of a
patient with cardiac pacemaker where anaesthetic consideration, blood loss and
the use of electrocautery were the limiting factors. Minimally invasive approach
is a good option.
PMID- 26566341
TI - A rare case of chronic subdural haematoma presenting with paraparesis: A case
report and review of literature.
AB - OBJECTIVES: To report a rare case of spontaneous chronic subdural haematoma
presenting with paraparesis and to highlight that subdural haematoma as one of
the differential diagnoses for unexplained paraparesis. SUMMARY OF BACKGROUND
DATA: Chronic subdural haematoma is common in elderly people usually presenting
with altered mental status, monoplegia, headache and seizure. But spontaneous
chronic subdural haematoma resulting in paraparesis is not reported in the
literature. METHODS: A 58-year-old male patient presented with weakness of
bilateral lower limbs and retention of urine and constipation. Patient was
thoroughly evaluated and analysed. CONCLUSION: Spontaneous chronic subdural
haematoma should be considered as one of the deferential diagnosis for
paraparesis in elderly.
PMID- 26566342
TI - Successful salvage of an unstable Girdlestone's excision arthroplasty with a
megaprosthesis of the hip.
AB - The functional results after a Girdlestone's excision arthroplasty of the hip are
unpredictable with high patient dissatisfaction and complication rates. We report
such a case of symptomatic patient, which was managed successfully with a
megaprosthesis of the hip with constrained acetabular liner. The use of
megaprosthesis for a failed and symptomatic Girdlestone's excision arthroplasty
of the hip has not been reported before.
PMID- 26566343
TI - Neglected anterior dislocation of shoulder with large Hillsach's lesion &
deficient glenoid: Treated by autogenous bone graft & modified Latarjet
procedure.
AB - Neglected anterior dislocation of shoulder is rare in spite of the fact that the
anterior dislocation of the shoulder is seen in around 90% of the acute cases.
Most of the series of neglected dislocation describe posterior dislocation to be
far more common.(1) (,2) We hereby report a case of the neglected anterior
shoulder dislocation in a 15 year old boy who had a history of epilepsy. There
was a large Hill Sachs lesion in humeral head which was impacted in glenoid
inferiorly and glenoid was eburnated at that margin. The humeral head was
reconstructed with a tricortical iliac graft. Glenoid was reconstructed by
transfer of coracoids process of scapula to antero-inferior glenoid (modified
Latarjet procedure). This case is unique because management of humeral head
defect with bone graft is not mentioned in anterior dislocation.
PMID- 26566344
TI - Double level arterial injury with neuropraxia following anterior shoulder
dislocation.
AB - Vascular and nervous complications are rare after shoulder dislocation. We report
the case of a double level arterial injury with neuropraxia following anterior
shoulder dislocation that was diagnosed by MultiDetector-row Computed Tomographic
(MDCT) angiography and treated by surgical bypass graft and embolectomy. Our case
is original, not only because of the rarity of these complications, but also
because of the thromboembolism of brachial artery which could be undiagnosed and
could compromise prognosis.
PMID- 26566345
TI - Carpal tunnel syndrome secondary to Masson's tumour.
AB - We present a case of a Masson's tumour causing carpal tunnel syndrome. Space
occupying lesions should be considered as a differential in refractory cases of
carpal tunnel syndrome, especially those in whom the symptoms are dynamic. Once
radiological investigation confirms such a diagnosis, we advocate surgical
excision, as malignancy can only be excluded via histological examination.
PMID- 26566346
TI - Reconstruction of the elbow by Baksi sloppy hinge total elbow prosthesis
following excision of a malignant fibrous histiocytoma.
PMID- 26566347
TI - Abductor muscle necrosis due to iliopsoas bursal mass after total hip
arthroplasty.
AB - BACKGROUND: While symptomatic iliopsoas bursal lesions have been reported after
total hip arthroplasty (THA), mass effect of the collection causing abductor
muscle damage has not been reported in the literature. METHODS AND RESULTS: This
report discusses the presentation, clinical findings, and operative management of
a patient, status post metal-on-polyethylene THA, with a large psoas bursal
collection with resulting abductor muscle injury and deep venous thrombosis from
compression of the femoral vein. Despite the improved wear characteristics of
modern-generation THA implants, physicians must be aware of the possibility of
soft tissue irritation of the iliopsoas as a cause of soft tissue swelling,
persistent pain, and potential adverse complications. It is also important to
recognize the variety of effects and spectrum of severity for associated lesions,
including muscle damage. CONCLUSIONS: This report highlights the rare findings of
abductor muscle necrosis, as well as acute thrombosis, related to iliopsoas
bursitis. It also highlights a review of the available literature.
PMID- 26566348
TI - Tuberculosis of wrist joint in an 8-year-old child presenting as hamate osteitis:
A rare case report.
AB - Tuberculosis rarely involves wrist joint and is usually diagnosed in arthritic
stage. We, hereby, describe a case of TB afflicting wrist joint in a young child.
To our knowledge, similar presentation has never been reported. Diagnostic
dilemma became more pronounced as the radiograph presented with features of
osteitis of hamate instead of a classical phemister's triad, as is usually
expected.
PMID- 26566349
TI - Neglected bilateral rupture of the patellar tendon: A case report.
AB - Simultaneous bilateral rupture of the patellar tendon (PT) is extremely rare and
is generally associated to some chronic diseases. When the rupture becomes
chronic, it is more difficult to repair that as it remained untreated. The
diagnosis, which is clinical, is often delayed, guided by standard radiography
and confirmed by ultrasound or MRI. The management of a bilateral neglected,
chronic patellar tendon rupture must address some serious difficulties: the
proximally retracted patella, the reconstruction of the patellar tendon, finally,
the temporary protection of this repair. We report a case of neglected bilateral
rupture of the patellar tendon in a chronic hemodialysis patient, treated with a
plastic surgery of the ipsilateral quadriceps tendon.
PMID- 26566350
TI - EDITOR'S NOTE - About This Supplement.
PMID- 26566351
TI - Respiratory Syncytial Virus Related Readmission in Preterm Infants Less than 34
weeks' Gestation Following Discharge from a Neonatal Intensive Care Unit in
Korea.
AB - This study was done to evaluate respiratory syncytial virus (RSV) related
readmission (RRR) and risk factors of RRR in preterm infants < 34 weeks
gestational age (GA) within 1 yr following discharge from the neonatal intensive
care unit (NICU). Infants (n = 1,140) who were born and admitted to the NICUs of
46 hospitals in Korea from April to September 2012, and followed up for > 1 yr
after discharge from the NICU, were enrolled. The average GA and birth weight of
the infants was 30(+5) +/- 2(+5) weeks and 1,502 +/- 474 g, respectively. The RRR
rate of enrolled infants was 8.4% (96/1,140), and RSV accounted for 58.2% of
respiratory readmissions of infants who had laboratory tests confirming
etiological viruses. Living with elder siblings (odd ratio [OR], 2.68; 95%
confidence interval [CI], 1.68-4.28; P < 0.001), and bronchopulmonary dysplasia
(BPD) (OR, 2.95; 95% CI, 1.44-6.04; P = 0.003, BPD vs. none) increased the risk
of RRR. Palivizumab prophylaxis (OR, 0.06; 95% CI, 0.03-0.13; P < 0.001)
decreased the risk of RRR. The risk of RRR of infants of 32-33 weeks' gestation
was lower than that of infants < 26 weeks' gestation (OR, 0.11; 95% CI, 0.02
0.53; P = 0.006). This was a nationwide study that evaluated the rate and
associated risk factors of RRR in Korean preterm infants. Preterm infants with
BPD or living with siblings should be supervised, and administration of
palivizumab to prevent RRR should be considered.
PMID- 26566352
TI - Real-time Data Display System of the Korean Neonatal Network.
AB - Real-time data reporting in clinical research networks can provide network
members through interim analyses of the registered data, which can facilitate
further studies and quality improvement activities. The aim of this report was to
describe the building process of the data display system (DDS) of the Korean
Neonatal Network (KNN) and its basic structure. After member verification at the
KNN member's site, users can choose a variable of interest that is listed in the
in-hospital data statistics (for 90 variables) or in the follow-up data
statistics (for 54 variables). The statistical results of the outcome variables
are displayed on the HyperText Markup Language 5-based chart graphs and tables.
Participating hospitals can compare their performance to those of KNN as a whole
and identify the trends over time. Ranking of each participating hospital is also
displayed in terms of key outcome variables such as mortality and major neonatal
morbidities with the names of other centers blinded. The most powerful function
of the DDS is the ability to perform 'conditional filtering' which allows users
to exclusively review the records of interest. Further collaboration is needed to
upgrade the DDS to a more sophisticated analytical system and to provide a more
user-friendly interface.
PMID- 26566353
TI - Data Management and Site-Visit Monitoring of the Multi-Center Registry in the
Korean Neonatal Network.
AB - The Korean Neonatal Network (KNN), a nationwide prospective registry of very-low
birth-weight (VLBW, < 1,500 g at birth) infants, was launched in April 2013. Data
management (DM) and site-visit monitoring (SVM) were crucial in ensuring the
quality of the data collected from 55 participating hospitals across the country
on 116 clinical variables. We describe the processes and results of DM and SVM
performed during the establishment stage of the registry. The DM procedure
included automated proof checks, electronic data validation, query creation,
query resolution, and revalidation of the corrected data. SVM included SVM team
organization, identification of unregistered cases, source document verification,
and post-visit report production. By March 31, 2015, 4,063 VLBW infants were
registered and 1,693 queries were produced. Of these, 1,629 queries were resolved
and 64 queries remain unresolved. By November 28, 2014, 52 participating
hospitals were visited, with 136 site-visits completed since April 2013. Each
participating hospital was visited biannually. DM and SVM were performed to
ensure the quality of the data collected for the KNN registry. Our experience
with DM and SVM can be applied for similar multi-center registries with large
numbers of participating centers.
PMID- 26566354
TI - Changes in Survival Rate for Very-Low-Birth-Weight Infants in Korea: Comparison
with Other Countries.
AB - Recently the Korean Neonatal Network (KNN) was established in order to enhance
treatment outcomes further through the registration of very-low-birth-weight
infants (VLBWI) data. The present study was conducted on 2,606 VLBWI, 2,386
registered and 220 un-registered, in the KNN participating centers, with the
objective of reporting on recent survival rates of VLBWI in Korea and verifying
the changing trends in survival rates with data from the 1960s and beyond. The
study also aimed to compare the premature infants' survival rate in Korea with
those reported in neonatal networks of other countries. The recent survival rate
of VLBWI increased more than twice from 35.6% in the 1960s to 84.8%, and the
survival rate of the extremely low birth weight infants (ELBWI) increased by more
than 10 times, indicating improvement of the survival rate in premature infants
with lower birth weight and gestational age. Comparison of VLBWI between
countries showed improved survival rates according to each birth weight group in
Canada, Australia-New Zealand, and European countries with Japan at the head, but
in terms of comparison based on gestational age, differences, except for Japan,
have been reduced. Efforts to increase the survival rate of premature infants in
Korea with low birth rate are inevitable, and they should be the foundation of
academic and clinical development based on its network with advanced countries.
PMID- 26566355
TI - The Korean Neonatal Network: An Overview.
AB - Currently, in the Republic of Korea, despite the very-low-birth rate, the birth
rate and number of preterm infants are markedly increasing. Neonatal deaths and
major complications mostly occur in premature infants, especially very-low-birth
weight infants (VLBWIs). VLBWIs weigh less than 1,500 g at birth and require
intensive treatment in a neonatal intensive care unit (NICU). The operation of
the Korean Neonatal Network (KNN) officially started on April 15, 2013, by the
Korean Society of Neonatology with support from the Korea Centers for Disease
Control and Prevention. The KNN is a national multicenter neonatal network based
on a prospective web-based registry for VLBWIs. About 2,000 VLBWIs from 60
participating hospital NICUs are registered annually in the KNN. The KNN has
built unique systems such as a web-based real-time data display on the web site
and a site-visit monitoring system for data quality surveillance. The KNN should
be maintained and developed further in order to generate appropriate, population
based, data-driven, health-care policies; facilitate active multicenter neonatal
research, including quality improvement of neonatal care; and ultimately lead to
improvement in the prognosis of high-risk newborns and subsequent reduction in
health-care costs through the development of evidence-based neonatal medicine in
Korea.
PMID- 26566356
TI - Risk Factors for Cause-specific Mortality of Very-Low-Birth-Weight Infants in the
Korean Neonatal Network.
AB - This study attempted to assess the risk factors for mortality of very-low-birth
weight (VLBW) infants in the neonatal intensive care unit (NICU, n=2,386). Using
data from the Korean Neonatal Network, we investigated infants with birth weights
<1,500 g and gestational ages (GAs) of 22-31 weeks born between January 2013 and
June 2014. Cases were defined as death at NICU discharge. Controls were randomly
selected from live VLBW infants and frequency matched to case subjects by GA.
Relevant variables were compared between the cases (n=236) and controls (n=236)
by Cox proportional hazards regression to determine their associations with cause
specific mortality (cardiorespiratory, neurologic, infection, gastrointestinal,
and others). In a Cox regression analysis, cardiorespiratory death were
associated with a foreign mother (hazard ratio, HR, 4.33; 95% confidence
interval, CI, 2.08-9.02), multiple gestation (HR, 1.65; 95% CI, 1.07-2.54), small
for gestational age (HR, 2.06; 95% CI, 1.25-3.41), male gender (HR, 1.69; 95% CI,
1.10-2.60), Apgar score <=3 at 5 min (HR, 1.97; 95% CI, 1.18-3.31), and delivery
room resuscitation (HR, 2.60; 95% CI, 1.53-4.40). An Apgar score <=3 at 5 min was
also associated with neurological death (HR, 2.95; 95% CI, 1.29-6.73). Death due
to neonatal infection was associated with outborn delivery (HR, 5.09; 95% CI,
1.46-17.74). Antenatal steroid and preterm premature rupture of membranes reduced
risk of cardiorespiratory death (HR, 0.43; 95% CI, 0.27-0.67) and
gastrointestinal death (HR, 0.30; 95% CI, 0.13-0.70), respectively. In
conclusion, foreign mother, multiple gestation, small gestation age, male gender,
Apgar score <=3 at 5 min, and resuscitation in the delivery room are associated
with cardiorespiratory mortality of VLBW infants in NICU. An Apgar score <=3 at 5
min and outborn status are associated with neurological and infection mortality,
respectively.
PMID- 26566357
TI - Initial Resuscitation at Delivery and Short Term Neonatal Outcomes in Very-Low
Birth-Weight Infants.
AB - Survival of very-low-birth-weight infants (VLBWI) depends on professional
perinatal management that begins at delivery. Korean Neonatal Network data on
neonatal resuscitation management and initial care of VLBWI of less than 33 weeks
gestation born from January 2013 to June 2014 were reviewed to investigate the
current practice of neonatal resuscitation in Korea. Antenatal data, perinatal
data, and short-term morbidities were analyzed. Out of 2,132 neonates, 91.7%
needed resuscitation at birth, chest compression was performed on only 104
infants (5.4%) and epinephrine was administered to 80 infants (4.1%). Infants who
received cardiac compression and/or epinephrine administration at birth (DR-CPR)
were significantly more acidotic (P < 0.001) and hypothermic (P < 0.001) than
those who only needed positive pressure ventilation (PPV). On logistic
regression, DR-CPR resulted in greater early mortality of less than 7 days (OR,
5.64; 95% CI 3.25-9.77) increased intraventricular hemorrhage >= grade 3 (OR,
2.71; 95% CI 1.57-4.68), periventricular leukomalacia (OR, 2.94; 95% CI 1.72
5.01), and necrotizing enterocolitis (OR, 2.12; 95% CI 1.15-3.91) compared with
those infants who needed only PPV. Meticulous and aggressive management of
infants who needed DR-CPR at birth and quality improvement of the delivery room
management will result in reduced morbidities and early death for the vulnerable
VLBWI.
PMID- 26566358
TI - Intraventricular Hemorrhage and Post Hemorrhagic Hydrocephalus among Very-Low
Birth-Weight Infants in Korea.
AB - Here, we aimed to evaluate the incidence and mortality of intraventricular
hemorrhage (IVH) and post-hemorrhagic hydrocephalus (PHH) among very-low-birth
weight (VLBW) infants in Korea and assess the associated factors of PHH. This
cohort study used prospectively collected data from the Korean Neonatal Network
(KNN). Among 2,386 VLBW infants in the KNN database born between January 2013 and
June 2014, 63 infants who died without brain ultrasonography results were
excluded. Maternal demographics and neonatal clinical characteristics were
assessed. The overall incidence of IVH in all the VLBW infants was 42.2% (987 of
2,323), while those of IVH grade 1, 2, 3, and 4 were 25.1%, 7.0%, 4.8%, and 5.5%,
respectively. The incidence and severity of IVH showed a negatively correlating
trend with gestational age and birth weight. PHH developed in 0%, 3.5%, 36.1%,
and 63.8% of the surviving infants with IVH grades 1, 2, 3, and 4, respectively.
Overall, in the VLBW infants, the IVH-associated mortality rate was 1.0%
(24/2,323). Only IVH grade severity was proven to be an associated with PHH
development in infants with IVH grades 3-4. This is the first Korean national
report of IVH and PHH incidences in VLBW infants. Further risk factor analyses or
quality improvement studies to reduce IVH are warranted.
PMID- 26566359
TI - Current Status of Therapeutic Strategies for Patent Ductus Arteriosus in Very-Low
Birth-Weight Infants in Korea.
AB - This study aimed to investigate current therapeutic strategies for patent ductus
arteriosus (PDA) in very-low-birth-weight (VLBW) infants in Korea. A total of
2,254 VLBW infants among 2,386 from Korean Neonatal Network cohort born from
January 2013 to June 2014 were included. No PDA was seen for 1,206 infants
(53.5%) and the infants diagnosed or treated for PDA were 1,048 infants (46.5%).
The proportion of infants with PDA was decreased according to the increase in
gestational age (GA) and birthweight. Infants with PDA were divided into groups
according to the therapeutic strategies of PDA: prophylactic treatment (PT, n =
69, 3.1%), pre-symptomatic treatment (PST, n = 212, 9.4%), symptomatic treatment
(ST, n = 596, 26.4%), and conservative treatment (CT, n = 171, 7.6%). ST was the
most preferred treatment modality for preterm PDA and the proportion of the
patients was decreased in the order of PST, CT, and PT. Although ST was still the
most favored treatment in GA < 24 weeks group, CT was more preferred than PST or
ST when compared with GA >= 32 weeks group [CT vs. PST, OR 5.3, 95% CI 1.56
18.18; CT vs. ST, OR 2.9, 95% CI 1.03-8.13]. A total of 877 infants (38.9%)
received pharmacological or surgical treatment about PDA, and 35.5% (801 infants)
received pharmacological treatment, mostly with ibuprofen. Seventy-six infants
(3.4%) received primary ligation and 8.9% (201 infants) received secondary
ligation. Diverse treatment strategies are currently used for preterm PDA in
Korea. Further analyses of neonatal outcomes according to the treatment
strategies are necessary to obtain a standardized treatment guideline for preterm
PDA.
PMID- 26566360
TI - Blood Culture Proven Early Onset Sepsis and Late Onset Sepsis in Very-Low-Birth
Weight Infants in Korea.
AB - Neonatal sepsis remains one of the most important causes of death and co
morbidity in very-low-birth-weight (VLBW) infants. The aim of this study was to
determine the current incidences of early-onset sepsis (EOS) and late-onset
sepsis (LOS), the distribution of pathogens, and the impact of infection on co
morbidities in VLBW infants. We analyzed the data including sepsis episode from
2,386 VLBW infants enrolled in Korean Neonatal Network from January 2013 to June
2014. We defined EOS as a positive blood culture occurring between birth and 7
days of life and LOS after 7 days of life. Sepsis was found in 21.1% of VLBW
infants. The risk of sepsis was inversely related to birth weight and gestational
age. EOS was found in only 3.6% of VLBW infants, however the mortality rate was
as high as 34.1%. EOS was associated with the increased odds for bronchopulmonary
dysplasia and intraventricular hemorrhage. The vast majority of EOS was caused by
Gram-positive organisms, particularly coagulase-negative staphylococci (30.6%).
LOS developed in 19.4% of VLBW infants with a 16.1% mortality rate. Pathogens in
LOS were dominated by coagulase-negative staphylococci (38.3%). Twenty-five
percent and fifty percent of first LOS episode occurred after 12 days and 20 days
from birth, respectively. Younger and smaller VLBW infants showed the earlier
occurrence day for the 25% of first LOS episode. This study provides a recent
nationwide epidemiology of sepsis in VLBW infants in Korea. Based on this study,
successful strategies to reduce infections would improve survival and reduce
morbidity.
PMID- 26566361
TI - Necrotizing Enterocolitis among Very-Low-Birth-Weight Infants in Korea.
AB - Necrotizing enterocolitis (NEC) is the most common gastrointestinal emergency and
remains a major cause of mortality for very-low-birth-weight infants (VLBWI)
requiring surgery. To date, there have been no large-scale studies evaluating the
incidence, associated clinical factors and outcomes of NEC for VLBWI in Korea.
The 2,326 VLBWI of a total 2,386 Korean Neonatal Network (KNN) cohort born with a
birth weight below 1,500 g between January 2013 to June 2014 were included in
this analysis. The overall incidence of NEC (stage >= 2) among VLBWI in Korea was
6.8%; 149 infants had NEC stage >= 2 and 2,177 infants did not have NEC. Surgery
was performed for 77 (53%) of the infants in the NEC group. NEC was related to
lower gestational age (GA) and birth weight (P < 0.001). Multivariate logistic
regression analysis demonstrated that NEC was consistently related to hypotension
within one week after birth (OR 2.0, 95% CI 1.0-3.9). With respect to outcome,
the NEC group had longer times to reach 100 mL/kg/day feeding (P < 0.001), longer
TPN duration (P < 0.001) and hospitalization (P = 0.031) and higher PVL (P <
0.001) and mortality rate (P < 0.001). When the medical and surgical NEC groups
were compared, GA was significantly lower and PDA was more found in the surgical
NEC group. The overall incidence of NEC in Korea is similar to that of other
multicenter studies. In addition to GA and birth weight, hypotension within a
week of life is significantly related to NEC.
PMID- 26566362
TI - Recent Changes in the Incidence of Bronchopulmonary Dysplasia among Very-Low
Birth-Weight Infants in Korea.
AB - We investigated the incidence of bronchopulmonary dysplasia (BPD) in very-low
birth-weight (VLBW) infants in Korea using the Korean Neonatal Network (KNN)
data. In total, 2,386 VLBW infants born from January 2013 to June 2014 were
prospectively registered. BPD was defined as supplemental oxygen or positive
pressure support at 36 weeks postmenstrual age (PMA). The overall incidence of
BPD was 28.9%, and the overall mortality rate in the neonatal intensive care
units (NICUs) was 11.9%. To investigate recent changes in the incidence of BPD
among VLBW infants, we compared the BPD rate in the present study with the latest
nationwide retrospective survey conducted between 2007 and 2008. For comparison,
we selected infants (23-31 weeks of gestation) (n=1,990) to adjust for the same
conditions with the previous survey in 2007-2008 (n=3,841). Among the limited
data on VLBW infants (23-31 weeks of gestation), the incidence of BPD increased
by 85% (from 17.8% to 33.0%) and the mortality rate in the NICU decreased by
31.4% (from 18.8% to 12.9%) compared to those in the study conducted in 2007
2008. The current trend of increase in the incidence of BPD among infants can be
attributed to the increase in the survival rate of VLBW infants.
PMID- 26566363
TI - Retinopathy of Prematurity among Very-Low-Birth-Weight Infants in Korea:
Incidence, Treatment, and Risk Factors.
AB - This study was conducted to describe the incidence, risk factors, and current
treatment status of retinopathy of prematurity (ROP) in very-low-birth-weight
(VLBW) infants registered in the Korean Neonatal Network database. Medical
records of 2,009 VLBW infants born between January 2013 and June 2014 who
underwent examination by an ophthalmologist were reviewed. The total incidence of
ROP was 34.1%. Of the patients, 11.6% showed ROP stage >= 3 and 11.5% received
treatment of VLBW. Among all infants who received treatment of ROP, 63.6%
underwent operation only; 16.9%, anti-vascular endothelial growth factor (anti
VEGF) treatment only; and 19.5%, both operation and anti-VEGF treatment. The mean
gestational age (GA) and birth weight (BW) were significantly lower and the
prevalence rates of respiratory distress syndrome, patent ductus arteriosus
(PDA), invasive ventilator duration, and sepsis were significantly higher in the
VLBW infants with ROP than in those without ROP. In the multivariable logistic
regression analysis, PDA (odd ratio [OR], 2.1; 95% confidence interval [CI], 1.11
3.79) and invasive ventilator duration (OR, 1.0; 95% CI, 1.00-1.02) were
significant risk factors of ROP and ROP stage >= 3. In conclusion, the high
incidence of ROP is associated with low GA and BW, and attempt to reduce the
aforementioned risk factors could reduce the incidence of ROP stage >= 3 in VLBW
infants.
PMID- 26566364
TI - Use of Medical Resources by Preterm Infants Born at Less than 33 Weeks' Gestation
Following Discharge from the Neonatal Intensive Care Unit in Korea.
AB - This study was aimed to provide data on the use of medical resources by preterm
infants following discharge from the neonatal intensive care unit (NICU). The
cohort included preterm infants (n=2,351) born at 22-32 weeks' gestation who were
discharged from the NICUs of 44 Korean hospitals between April 2009 to March
2010. Mean duration of post-discharge follow-up was 425+/-237 days. After
discharge from the NICU, 94.5% of total infants visited a pediatric outpatient
clinic (11.5+/-9.8 mean visits), 42.9% visited a pediatric clinic for respiratory
problems irregularly (4.9+/-6.6 mean visits), and 31.1% utilized emergency center
at least once. Among all visits to the emergency center, 24.7% resulted in
readmission and 50.8% of those visits were due to respiratory problems. At least
one episode of readmission was required by 33.6% (788/2,346) of total infants,
and 18.4% (431/2,346) of total infants were readmitted with respiratory problems
at least once. Among all infants readmitted for respiratory problems, 16.2%
(70/341) were diagnosed with respiratory syncytial virus infection which
accounted for 30.3% of viral etiologies confirmed by laboratory testing. Infants
born at <30 weeks' gestation had more frequent total readmission and respiratory
readmission than those >=30 weeks' gestation (2+/-1.7 vs. 1.7+/-1.2, P=0.009,
1.8+/-1.2 vs. 1.5+/-1.1, 0.027, respectively). Overall, use of medical resources
is common, and respiratory problems are the leading cause of use of medical
resources. Total readmissions and respiratory readmissions are more frequent in
more immature infants.
PMID- 26566365
TI - Parenting and Children's Internalizing Symptoms: How Important are Parents?
AB - Parenting behaviors are associated with children's internalizing symptoms,
however, it is not often examined which factors could possibly influence this
relationship. The goals of this study were twofold. One goal was to examine
whether the association between parenting and children's internalizing symptoms
would increase if parenting behaviors were assessed behaviorally and in a context
where the child displayed specific anxious behaviors. Another goal was to examine
whether this relationship was influenced by the age and gender of the child, and
by possible parenting differences between mothers and fathers. These questions
were examined in a sample of 211 children aged 4-12 years; 140 community children
and 71 clinically referred anxious children. Parents completed questionnaires
regarding children's internalizing symptoms and parenting behaviors (positive
reinforcement, punishment, force, reinforcement of dependency, and
modeling/reassurance). In line with expectations, more punishment and less
modeling/reassurance by parents were related to more internalizing symptoms in
children. Child gender, child age, parent gender and clinical anxiety status were
not found to influence the relationship between parenting and children's
internalizing symptoms. Our results suggest that paternal parenting is as
important as maternal parenting with respect to children's internalizing
symptoms, and therefore, fathers could be included in child treatment as well.
PMID- 26566366
TI - Health Behaviors and Health Status of At-Risk Latino Students for Diabetes.
AB - This research study examined the behavioral lifestyle patterns and health status
of at-risk Latino college students for future diabetes onset in relation to their
age, gender, and acculturation status. Participants were 156 Latino (34% male and
66% female) university students who had a first and/or second degree relative
afflicted with diabetes. Findings indicated that Latino students exhibit similar
lifestyle patterns in terms of dietary intake, physical activity, and drinking
and smoking behaviors observed in the general and college population that have
been linked to obesity-a particularly problematic risk factor among those who
already have a genetic predisposition for diabetes. The reported findings are of
importance for the development of culturally-relevant treatment interventions
targeting young Latinos in college.
PMID- 26566367
TI - Efficacy of thrombomodulin for acute exacerbation of idiopathic pulmonary
fibrosis and nonspecific interstitial pneumonia: a nonrandomized prospective
study.
AB - PURPOSE: Acute exacerbation (AE) is an important outcome of idiopathic pulmonary
fibrosis (IPF) and nonspecific interstitial pneumonia (NSIP). Recombinant human
soluble thrombomodulin (rhTM) is a new drug for the treatment of disseminated
intravascular coagulation in Japan. The objective of this study was to evaluate
the efficacy of rhTM for AE of IPF/NSIP. METHODS: Twenty-two patients with AE
idiopathic interstitial pneumonia (16 patients with IPF and six patients with
NSIP) were enrolled in our study. Among them, eleven patients were treated with
rhTM (rhTM group), and eleven patients were treated without rhTM (non-rhTM
group). Patients admitted to our hospital prior to December 2013 were treated
with rhTM, while those admitted after January 2014 were treated without rhTM. The
primary endpoint was mortality at 90 days after AE treatment. The secondary
endpoint was the safety of rhTM for AE-IPF/AE-NSIP. In addition, we examined
prognostic factors of AE-IPF/AE-NSIP. RESULTS: The mortality rate was
significantly lower in the rhTM group than in the non-rhTM group (mortality rate
at 90 days: 36% vs 90%, P=0.023; median survival time: not reached vs 15.0 days,
P=0.019). A univariate analysis revealed the respiratory rate (hazard ratio [HR]
1.09, 95% confidence interval [CI] 1.00-1.18, P=0.039) and rhTM administration
(HR 0.21, 95% CI 0.06-0.77, P=0.013) as predictors of mortality at 90 days, and a
multivariate analysis identified rhTM administration (HR 0.025, 95% CI 0.0006
0.94, P=0.046) as an independent predictor of mortality at 90 days. No serious
adverse events were observed. CONCLUSION: The administration of rhTM is
associated with reductions in mortality in patients with AE-IPF/NSIP, without
causing adverse events.
PMID- 26566369
TI - Efficacy of physical activity counseling plus sleep restriction therapy on the
patients with chronic insomnia.
AB - OBJECTIVE: Lack of physical activity (PA) is common in patients with chronic
insomnia. Studies to increase PA and decrease sedentary behavior in those
patients are limited. Therefore, we investigated the efficacy of "PA counseling
combined with sleep restriction (SR) therapy (PASR)" vs only SR in the patients
with chronic insomnia. METHODS: Seventy-one outpatients were assigned to either
PASR (n=35), consisting of four weekly PA counseling sessions based on 5A model
(assess, advise, agree, assist, and arrange) + SR, or SR (n=36), consisting of
four weekly SR. International Physical Activity Questionnaire (Chinese version)
and pedometer-based daily steps were evaluated as the primary endpoints. Insomnia
Severity Index, Epworth Sleepiness Scale, Fatigue Scale-14, and Sleep Diary were
evaluated as the secondary endpoints. RESULTS: The results showed that the
patients in the PASR group gained more benefits than the SR group in terms of PA
level and pedometer-based daily steps (all P<0.05). Better improvements of the
study group were also shown in Epworth Sleepiness Scale, Fatigue Scale-14, and
Sleep efficiency (all P<0.05). CONCLUSION: We conclude that PA counseling based
on 5A model combined with SR cannot only effectively increase the PA levels but
also improve the sleep quality for patients with chronic insomnia.
PMID- 26566368
TI - Rationale and clinical utility of the darunavir-cobicistat combination in the
treatment of HIV/AIDS.
AB - This article is to provide an update overview of cobicistat (COBI)-boosted
darunavir in response to its recent approval by the US Food and Drug
Administration, and inclusion as an alternative first-line regime in the 2015
treatment guidelines in the US. COBI is a relatively new non-antiretroviral
cytochrome P450 3A inhibitor or pharmacoenhancer. The rationale behind COBI
development was to provide an alternative to ritonavir (RTV) as a protease
inhibitor pharmacoenhancer, due to associated adverse events with short- and long
term RTV use, such as gastrointestinal intolerability, drug-drug interactions,
insulin resistance, lipodystrophy, and hyperlipidemia. Although in vitro studies
suggest that COBI may result in a lower incidence of undesired drug-drug
interactions and lipid-associated disorders than RTV, not all Phase III studies
have well addressed these issues, and the data are limited. However, Phase III
studies have demonstrated tolerability, noninferiority, and bioequivalence of
COBI compared to RTV. Two main advantages of COBI over RTV-containing regimes
have been noted as follows: 1) COBI has no anti-HIV activity; therefore,
resistance to COBI as a booster in addition to protease inhibitor resistance is
of little concern, allowing for COBI-containing regimes in future. 2) COBI's
solubility and dissolution rate allow for co-formulated/fixed-dose combination
products. Nonetheless, prior to initiating COBI-containing treatment regimens,
the following should be considered: 1) COBI may increase serum creatinine levels
and reduce estimated glomerular filtration rate (GFR) without affecting actual
GFR; 2) potential drug-drug interaction data are insufficient, warranting caution
when initiating COBI in conjunction with concomitant medication or in individuals
with multiple comorbidities; 3) food plays a pivotal role in boosting darunavir
exposure, warranting caution and patient education on the importance of taking
COBI-containing regimens with appropriate amounts of food; and 4) data on the
success of COBI-containing regimens in treatment-experienced patients are
limited.
PMID- 26566370
TI - The role of brimonidine tartrate gel in the treatment of rosacea.
AB - Rosacea is a chronic cutaneous condition with a prevalence rate ranging from 9.6%
to 22% in recent studies. Facial erythema (transient and permanent) is considered
a common denominator that is frequently observed in all subtypes of rosacea and
is estimated to affect more than 40 million people worldwide. Brimonidine
tartrate is a selective alpha2-adrenergic receptor agonist and is the first
topical treatment approved for facial erythema of rosacea. Clinical trials have
demonstrated that brimonidine tartrate provided significantly greater efficacy,
compared to vehicle, for the treatment of moderate to severe erythema of rosacea.
In addition, brimonidine tartrate has demonstrated a rapid onset of effect,
duration of action throughout the day, and good safety profile in studies of up
to 1 year. This review critically discusses the role of brimonidine tartrate for
the treatment of facial erythema of rosacea by examining both clinical study data
and real-world dermatologist experiences across a wide spectrum of treated
patients, and concludes that it is a significant therapeutic option in the
management of an unmet need of this chronic condition.
PMID- 26566372
TI - Prospective noninterventional study on the use of panitumumab monotherapy in
patients with recurrent or progressive colorectal cancer: the VECTIS study.
AB - PURPOSE: Epidermal growth factor receptor-targeted monoclonal antibodies are
active as monotherapy beyond second-line treatment. Skin toxicities (STs) are
common during treatment, and a positive association between ST severity and
patient outcome has been reported. This study collected information on
panitumumab monotherapy use in patients with KRAS exon 2 wild-type metastatic
colorectal cancer in clinical practice. METHODS: This open-label, prospective,
observational, noninterventional study included adult patients who had failed
prior chemotherapy with 5-fluorouracil, oxaliplatin, and irinotecan. Patients
received panitumumab monotherapy (6 mg/kg every 2 weeks) for <=18 cycles.
Effectiveness was assessed as disease control rate (DCR), tumor response, and
freedom from progression. The incidence of ST and other adverse drug reactions
(ADRs) was recorded, as were Eastern Cooperative Oncology Group performance
status (ECOG PS) and quality of life. The KRAS analysis process was also
evaluated. FINDINGS: The full analysis set included 632 patients (64.6% male;
mean age, 62.3 years), who completed a mean of 9.6 panitumumab cycles. ST, mainly
grade 1/2, occurred in 84.3% of patients, 82.7% of whom required treatment.
Nonskin ADRs occurred in 3.5% of patients. By the end of treatment, the DCR was
58.9% overall, and was 53.8% and 62.7%, respectively in patients with ST grade
0/1 and grade 2/3. Significant associations were observed between maximum ST
grade and best response (P=0.0009), DCR (P=0.0046), tumor response (P=0.0002),
and freedom from progression (P=0.0084). At the end of the study, 67.4% of the
patients had an ECOG PS of 0/1. Quality of life was rated as "very good" or
"good" in 70.3% of patients. Mean time to obtain KRAS results was 18.2 days;
satisfaction with different aspects of KRAS testing was "very good" or "good" in
80%-97% of patients. CONCLUSION: Panitumumab monotherapy showed adequate
effectiveness and safety in patients with heavily pretreated KRAS exon 2 wild
type metastatic colorectal cancer. The most common ADR was grade 1/2 ST.
PMID- 26566371
TI - Fecal microbiota transplantation: current clinical efficacy and future prospects.
AB - Fecal microbiota transplantation (FMT) has gained mainstream attention with its
remarkable efficacy in treating recurrent Clostridium difficile infection (RCDI)
when there are no other effective therapies. Methods of selecting donors and
routes of administration vary among studies, but there are now randomized
controlled trials showing efficacy of FMT in treating RCDI. Ongoing trials of FMT
for other disease such as inflammatory bowel disease are underway; this therapy
should not be used for these conditions unless there is strong evidence for
efficacy. Long-term safety data are sorely needed, as well as clarification of
regulatory concerns.
PMID- 26566374
TI - Respiratory therapy and tobacco: Exploring our role.
PMID- 26566373
TI - NMDA receptors are expressed in human ovarian cancer tissues and human ovarian
cancer cell lines.
AB - We have earlier demonstrated that breast cancer and small-cell lung cancer
express functional NMDA receptors that can be targeted to promote cancer cell
death. Human ovarian cancer tissues and human ovarian cancer cell lines (SKOV3,
A2008, and A2780) have now been shown to also express NMDA-receptor subunit 1
(GluN1) and subunit 2B (GluN2B). Seventeen ovarian cancers in two arrays were
screened by immunohistochemistry using polyclonal antibodies that recognize an
extracellular moiety on GluN1 and on GluN2B. These specimens comprised malignant
tissue with pathology diagnoses of serous papillary cystadenocarcinoma,
endometrioid adenocarcinoma, and clear-cell carcinoma. Additionally, archival
tissues defined as ovarian adenocarcinoma from ten patients treated at this
institute were also evaluated. All of the cancerous tissues demonstrated positive
staining patterns with the NMDA-receptor antibodies, while no staining was found
for tumor-adjacent normal tissues or sections of normal ovarian tissue. Human
ovarian adenocarcinoma cell lines (A2008, A2780, SKOV3) were demonstrated to
express GluN1 by Western blotting, but displayed different levels of expression.
Through immunocytochemistry utilizing GluN1 antibodies and imaging using a
confocal microscope, we were able to demonstrate that GluN1 protein is expressed
on the surface of these cells. In addition to these findings, GluN2B protein was
demonstrated to be expressed using polyclonal antibodies against this protein.
Treatment of all ovarian cell lines with antibodies against GluN1 was found to
result in decreased cell viability (P<0.001), with decreases to 10%-25% that of
untreated cells. Treatment of control HEK293 cells with various dilutions of
GluN1 antibodies had no effect on cell viability. The GluN1 antagonist MK-801
(dizocilpine maleate) and the GluN2B antagonist ifenprodil, like antibodies,
dramatically decreased the viability of A2780 ovarian tumor cells (P<0.01).
Treatment of A2780 tumor xenografts with ifenprodil (2.5 mg/kg body weight/day)
significantly reduced tumor growth in nu/nu mice. Our findings suggest that both
GluN1 and GluN2B proteins as membrane components could be readily available
targets for the treatment of most ovarian cancers.
PMID- 26566375
TI - Electronic cigarettes - where are we headed?
PMID- 26566376
TI - Call to action: Training in tobacco addiction in Canada.
PMID- 26566377
TI - Why do we still permit tobacco use?
PMID- 26566378
TI - Situating tobacco dependency education in health professional prelicensure
curricula: An interprofessional learning opportunity.
PMID- 26566379
TI - Improving the health of Canadians: Why a certification program for tobacco
educators is necessary.
PMID- 26566380
TI - Are electronic nicotine delivery systems an effective smoking cessation tool?
AB - BACKGROUND: Recent studies have estimated that 21% of all deaths over the past
decade are due to smoking, making it the leading cause of premature death in
Canada. To date, many steps have been taken to eradicate the global epidemic of
tobacco smoking. Most recently, electronic nicotine delivery systems (ENDS) have
become a popular smoking cessation tool. ENDS do not burn or use tobacco leaves,
but instead vapourize a solution the user then inhales. The main constituents of
the solution, in addition to nicotine when nicotine is present, are propylene
glycol, with or without glycerol and flavouring agents. Currently, ENDS are not
regulated, and have become a controversial topic. OBJECTIVES: To determine
whether ENDS are an effective smoking cessation tool. METHODS: A systematic
literature search was conducted in February 2015 using the following databases:
PubMed, Scopus and Web of Science Core Collection. Randomized controlled trials
were the only publications included in the search. A secondary search was
conducted by reviewing the references of relevant publications. RESULTS: After
conducting the primary and secondary search, 109 publications were identified.
After applying all inclusion and exclusion criteria through abstract and full
text review, four publications were included in the present literature review. A
low risk of bias was established for each included study using the Cochrane
Collaboration risk of bias evaluation framework. DISCUSSION: The primary outcome
measured in all studies was self-reported abstinence or reduction from smoking.
In three of the four studies, self-reported abstinence or reduction from smoking
was verified by measuring exhaled carbon monoxide. In the remaining study, the
primary outcome measured was self-reported desire to smoke and measured desire to
smoke. All four studies showed promise that ENDS are an effective smoking
cessation tool. CONCLUSIONS: While all publications included in the present
review revealed that ENDS are effective smoking cessation aid, further evaluation
of the potential health effects in long-term use of ENDS remains vital.
PMID- 26566381
TI - Race, ethnicity and lung function: A brief history.
AB - Spirometry is the most common lung function test and represents the cornerstone
diagnostic and management tool for individuals with chronic respiratory diseases.
Variability and changing temporal trends in lung function measurements, however,
have contributed to the problem of standardizing spirometry, especially with
regard to 'race correction'. This article examines the history of the practice,
the dilemmas encountered by researchers and the implications of adhering to long
held beliefs without considering more complex explanatory frameworks.
PMID- 26566382
TI - Infection with Middle East respiratory syndrome coronavirus.
PMID- 26566383
TI - On a boundary-localized Higgs boson in 5D theories.
AB - In the context of a simple five-dimensional (5D) model with bulk matter coupled
to a brane-localized Higgs boson, we point out a non-commutativity in the 4D
calculation of the mass spectrum for excited fermion towers: the obtained
expression depends on the choice in ordering the limits, [Formula: see text]
(infinite Kaluza-Klein tower) and [Formula: see text] ([Formula: see text] being
the parameter introduced for regularizing the Higgs Dirac peak). This introduces
the question of which one is the correct order; we then show that the two
possible orders of regularization (called I and II) are experimentally
equivalent, as both can typically reproduce the measured observables, but that
the one with less degrees of freedom (I) could be uniquely excluded by future
experimental constraints. This conclusion is based on the exact matching between
the 4D and 5D analytical calculations of the mass spectrum - via regularizations
of type I and II. Beyond a deeper insight into the Higgs peak regularizations,
this matching brings another confirmation of the validity of the 5D mixed
formalism. All the conclusions, deduced from regularizing the Higgs peak through
a brane shift or a smoothed square profile, are expected to remain similar in
realistic models with a warped extra-dimension. The complementary result of the
study is that the non-commutativity disappears, both in the 4D and the 5D
calculations, in the presence of higher order derivative operators. For clarity,
the 4D and 5D analytical calculations, matching with each other, are presented in
the first part of the paper, while the second part is devoted to the
interpretation of the results.
PMID- 26566384
TI - Temperature Compensation of Oxygen Sensing Films Utilizing a Dynamic Dual
Lifetime Calculation Technique.
AB - With advances to chemical sensing, methods for compensation of errors introduced
by interfering analytes are needed. In this work, a dual lifetime calculation
technique was developed to enable simultaneous monitoring of two luminescence
decays. Utilizing a windowed time-domain luminescence approach, the response of
two luminophores is separated temporally. The ability of the dual dynamic rapid
lifetime determination (DDRLD) approach to determine the response of two
luminophores simultaneously was investigated through mathematical modeling and
experimental testing. Modeling results indicated that lifetime predictions will
be most accurate when the ratio of the lifetimes from each luminophore is at
least three and the ratio of intensities is near unity. In vitro experiments were
performed using a porphyrin that is sensitive to both oxygen and temperature,
combined with a temperature-sensitive inorganic phosphor used for compensation of
the porphyrin response. In static experiments, the dual measurements were found
to be highly accurate when compared to single-luminophore measurements
statistically equivalent for the long lifetime emission and an average difference
of 2% for the short lifetimes. Real-time testing with dynamic windowing was
successful in demonstrating dual lifetime measurements and temperature
compensation of the oxygen sensitive dye. When comparing the actual oxygen and
temperature values with predictions made using a dual calibration approach, an
overall difference of less than 1% was obtained. Thus, this method enables rapid,
accurate extraction of multiple lifetimes without requiring computationally
intense curve fitting, providing a significant advancement toward multi-analyte
sensing and imaging techniques.
PMID- 26566385
TI - Comparison of smoking habits, knowledge, attitudes and tobacco control
interventions between primary care physicians and nurses.
AB - BACKGROUND: Primary care providers are uniquely positioned to initiate smoking
cessation. We aimed to evaluate knowledge levels about the health effects of
smoking and attitudes toward smoking and tobacco control activities among primary
care providers. METHODS: In the cross-sectional and primary care-based study,
self-administered surveys modified from the WHO Global Health Professional Survey
5A steps of smoking cessation practice (Ask, Advise, Assess, Assist and Arrange)
were provided to primary care physicians (PCPhs) and nurses (PCNs). RESULTS:
Respondents included 1182 PCPhs and 1063 PCNs. The proportions of current and
former smokers were significantly higher among PCPhs than among PCNs (34.4 vs.
30.7 % and 14.0 vs. 10.1 %, respectively; both P < 0.001). We observed that 77.2
% of PCPhs and 58.4 % of PCNs always or rarely practiced an "Ask" step about
their patients' smoking status (P < 0.001). One-third of PCPhs (33.8 %) stated
that they always practiced an "Ask" step, whereas only 27.6 % of PCNs always did
so in their practice (P < 0.001). A small minority of primary care providers had
advised patients to quit smoking, although there was a significant difference in
this between PCNs and PCPhs (8.4 vs. 15.6 %; P < 0.001). Most PCPhs considered
themselves competent in advising about smoking interventions, but only a minority
of PCNs did so (75.1 vs. 17.3 %; P < 0.001). Among barriers to tobacco
intervention measures, lack of time was the item most commonly cited by PCPhs,
whereas low patient priority was most commonly cited by PCNs (35.9 and 35.7 %; P
< 0.001). CONCLUSIONS: Smoking intervention practice by primary care nurses was
quite low. Lack of time and low patient priority were identified as barriers by
primary care providers. Strategies by which primary care providers could improve
tobacco control should be established.
PMID- 26566386
TI - Immunomodulatory activity of Tityus serrulatus scorpion venom on human T
lymphocytes.
AB - BACKGROUND: Tityus serrulatus scorpion venom (TsV) contains toxins that act on
K(+) and Na(+) channels and account for the venom's toxic effects. TsV can
activate murine peritoneal macrophages, but its effects on human lymphocytes have
been poorly investigated. Considering that lymphocytes may play an important role
in envenomation, we assessed whether TsV affects the expression of phenotypic
(CD3, CD4, and CD8) and activation (CD69, CD25, and HLA-DR) markers, cell
proliferation, and cytokine production in peripheral blood mononuclear cells.
METHODS: Cytotoxicity of TsV was evaluated via the MTT assay. Cell proliferation,
expression of phenotypic and activation markers, and release of cytokines were
assessed using flow cytometry, after treatment with non-cytotoxic concentrations
of TsV. The combined use of carboxyfluorescein diacetate succinimidyl ester and
monoclonal antibodies against phenotypic and activation markers enabled us to
simultaneously assess cell proliferation extent and cell activation status, and
to discriminate among cell subpopulations. RESULTS: TsV at concentrations of 25
to 100 MUg/mL were not cytotoxic towards peripheral blood mononuclear cells. TsV
did not induce significant changes in lymphocyte subpopulations or in the
expression of activation markers on CD4(+) and CD8(+) T cells. TsV inhibited the
phytohemagglutinin-stimulated lymphocyte proliferation, particularly in the
CD8(+) CD25(+) T lymphocyte subset. TsV alone, at 50 and 100 MUg/mL, did not
induce peripheral blood mononuclear cell proliferation, but elicited the
production and release of IL-6, a proinflammatory cytokine that plays an
important role in innate and adaptive immune responses. CONCLUSIONS: TsV is a
potential source of molecules with immunomodulatory action on human T
lymphocytes.
PMID- 26566387
TI - The knowledge of Polish medical students about surgical treatment of obesity.
AB - BACKGROUND: Surgical treatment of morbid obesity is becoming an increasingly
important approach for the treatment of this condition. However, knowledge about
the possibility of surgical procedures among general practitioners is far from
satisfactory. The source of the problem might be due to a lack of information
about bariatric surgery in university curriculum. METHODS: We assessed the
knowledge of students from four Polish medical universities. The survey was
conducted among 468 students, in their sixth (final) year of study. The survey
included two parts-the first nine questions assessed of the level of the
students' knowledge about the methods of surgical treatment of obesity, and the
following three questions allowed for an evaluation of the amount of information
on metabolic surgery provided to students during surgery courses. RESULTS: The
results demonstrate a low level of knowledge on the possibility of applying
metabolic surgery to treat morbid obesity. The students themselves expressed a
need to improve their knowledge and favorably assessed the proposition of
expanding the curriculum to include more information on the subject of metabolic
surgery. CONCLUSION: The awareness of surgical treatment for morbid obesity among
medical students should be improved. The development of an interesting curriculum
that is based on current guidelines should be undertaken.
PMID- 26566388
TI - IL7R gene expression network associates with human healthy ageing.
AB - BACKGROUND: The level of expression of the interleukin 7 receptor (IL7R) gene in
blood has recently been found to be associated with familial longevity and
healthy ageing. IL7R is crucial for T cell development and important for immune
competence. To further investigate the IL7R pathway in ageing, we identified the
closest interacting genes to construct an IL7R gene network that consisted of
IL7R and six interacting genes: IL2RG, IL7, TSLP, CRLF2, JAK1 and JAK3. This
network was explored for association with chronological age, familial longevity
and immune-related diseases (type 2 diabetes, chronic obstructive pulmonary
disease and rheumatoid arthritis) in 87 nonagenarians, 337 of their middle-aged
offspring and 321 middle-aged controls from the Leiden Longevity Study (LLS).
RESULTS: We observed that expression levels within the IL7R gene network were
significantly different between the nonagenarians and middle-aged controls (P =
4.6 * 10(-4)), being driven by significantly lower levels of expression in the
elderly of IL7, IL2RG and IL7R. After adjustment for multiple testing and white
blood cell composition and in comparison with similarly aged controls, middle
aged offspring of nonagenarian siblings exhibit a lower expression level of IL7R
only (P = 0.006). Higher IL7R gene expression in the combined group of middle
aged offspring and controls is associated with a higher prevalence of immune
related disease (P = 0.001). On the one hand, our results indicate that lower
IL7R expression levels, as exhibited by the members of long-lived families that
can be considered as 'healthy agers', are beneficial in middle age. This is
augmented by the observation that higher IL7R gene expression associates with
immune-related disease. On the other hand, IL7R gene expression in blood is lower
in older individuals, indicating that low IL7R gene expression might associate
with reduced health. Interestingly, this contradictory result is supported by the
observation that a higher IL7R gene expression level is associated with better
prospective survival, both in the nonagenarians (Hazard ratio (HR) = 0.63, P =
0.037) and the middle-aged individuals (HR = 0.33, P = 1.9 * 10(-4)).
CONCLUSIONS: Overall, we conclude that the IL7R network reflected by gene
expression levels in blood may be involved in the rate of ageing and health
status of elderly individuals.
PMID- 26566389
TI - HIV related pulmonary arterial hypertension: epidemiology in Africa,
physiopathology, and role of antiretroviral treatment.
AB - The development of HIV related pulmonary arterial hypertension (PAH) reduces the
probability of survival by half as compared with HIV-infected individuals without
HIV related PAH. HIV infected patients have a greater incidence of PAH compared
to general population and have a 2500-fold increased risk of developing PAH. It
is therefore important to have a recent overview of the problem in Africa, the
most HIV affected part of the world (70 % of all HIV infection in the world).
First, we discussed the epidemiology of HIV-related PAH in Africa. Second, the
current understanding of the HIV-related PAH pathogenesis has been covered.
Third, role of highly active antiretroviral therapy on HIV-related PAH has been
revisited. There are few data concerning epidemiology of HIV related pulmonary
hypertension in Africa leading to necessity to conduct further prospective large
studies. The prevalence of PAH among HIV infected people in Africa varies from 5
to 13 %. The prevalence of HIV-related PAH in Africa is notably high compared to
those in developed countries and in general population. The pathogenesis of PAH
is clearly complex, and probably results from the interaction of multiple
modulating genes with environmental factors. The physiopathology includes
cytokines secretion increase which induces dysregulation of endothelial and
vascular smooth muscle cell growth and imbalance of endogenous vasodilators and
constrictors; HIV viral proteins which induces vascular oxidative stress, smooth
myocyte proliferation and migration, and endothelial injury and genetic
predisposition due to some major histocompatibility complex alleles, particularly
HDL-DR6 and HLA-DR5. Histologically, HIV related PAH has the same characteristics
with other types PAH. Antiretroviral therapy have a beneficial effect on the
outcome of HIV related pulmonary hypertension, but it lacks evidence from large
prospective studies.
PMID- 26566390
TI - Community-based ART distribution system can effectively facilitate long-term
program retention and low-rates of death and virologic failure in rural Uganda.
AB - BACKGROUND: Community-drug distribution point is a care model for stable patients
in the community designed to make ART delivery more efficient for the health
system and provide appropriate support to encourage long-term retention of
patients. We examined program retention among ART program participants in rural
Uganda, which has used a community-based distribution model of ART delivery since
2004. METHODS: We analyzed data of all patients >18 years who initiated ART in
Jinja, Ugandan site of The AIDS Support Organization between January 1, 2004 and
July 31, 2009. Participants attended clinic or outreach visits every 2-3 months
and had CD4 cell counts measured every 6 months. Retention to care was defined as
any patient with at least one visit in the 6 months before June 1, 2013. We then
identified participants with at least one visit in the 6 months before June 1,
2013 and examined associations with mortality and lost-to-follow-up (LTFU).
Participants with >4 years of follow up during August, 2012 to May, 2013 had
viral load conducted, since no routine viral load testing was available. RESULTS:
A total of 3345 participants began ART during 2004-2009. The median time on ART
in June 2013 was 5.69 years. A total of 1335 (40 %) were residents of Jinja
district and 2005 (60 %) resided in outlying districts. Of these, 2322 (69 %)
were retained in care, 577 (17 %) died, 161 (5 %) transferred out and 285 (9 %)
were LTFU. Factors associated with mortality or LTFU included male gender,
[Adjusted Hazard Ratio (AHR) = 1.56; 95 % CI 1.28-1.9], CD4 cell count <50
cells/MUL (AHR = 4.09; 95 % CI 3.13-5.36) or 50-199 cells/MUL (AHR = 1.86; 95 %
CI 1.46-2.37); ART initiation and WHO stages 3 (AHR = 1.35; 95 % CI 1.1-1.66) or
4 (AHR = 1.74; 95 % CI 1.23-2.45). Residence outside of Jinja district was not
associated with mortality/LTFU (p value = 0.562). Of 870 participants who had VL
tests, 756 (87 %) had VLs <50 copies/mL. CONCLUSION: Community-based ART
distribution systems can effectively mitigate the barriers to program retention
and result in good rates of virologic suppression.
PMID- 26566391
TI - Design and implementation of a sexual health intervention for migrant
construction workers situated in Shanghai, China.
AB - BACKGROUND: China's growing population of internal migrants has exceeded 236
million. Driven by rapid development and urbanization, this extreme population
mobility creates opportunities for transmission of HIV and sexually-transmitted
infections (STI). Large numbers of rural migrants flock to megacities such as
Shanghai in search of employment. Although migrants constitute a key population
at heightened risk of acquiring HIV or an STI, there is a lack of easily
accessible sexual health services available for them. In response, we designed a
short, inexpensive sexual health intervention that sought to improve HIV and STI
knowledge, while reducing stigma, risky sexual behaviour, and sexual transmission
of HIV and STI among migrant construction workers (MCW) situated in Shanghai,
China. RESULTS: We implemented a three-armed, community-randomized trial spread
across three administrative districts of Shanghai. The low-intensity intervention
included educational pamphlets. The medium-intensity intervention included
pamphlets, posters, and videos. The high-intensity intervention added group and
individual counselling sessions. Across 18 construction sites, 1871 MCW were
allocated at baseline to receive one intervention condition. Among baseline
participants, 1304 workers were retained at 3-months, and 1013 workers were
retained at 6-months, representing a total of 579 person-years of follow-up. All
workers, regardless of participation, had access to informational materials even
if they did not participate in the evaluation. Overall outputs included: 2284
pamphlets distributed, 720 posters displayed, 672 h of video shown, 376
participants accessed group counselling, and 61 participants attended individual
counselling sessions. A multivariable analysis of participation found that men
(aOR = 2.2; 95 % CI 1.1, 4.1; p = 0.036), workers situated in Huangpu district
(aOR = 5.0; 95 % CI 2.6, 9.5; p < 0.001), and those with a middle school
education (aOR = 1.9; 95 % CI 1.2, 3.0; p = 0.01) were more likely to have
participated in intervention activities. CONCLUSION: A brief educational
intervention that prioritized ease of delivery to a highly mobile workforce was
feasible and easily accessed by participants. Routine implementation of sexual
health interventions in workplaces that employ migrant labour have the potential
to make important contributions toward improving HIV and STI outcomes among
migrant workers in China's largest cities.
PMID- 26566392
TI - The free energy cost of accurate biochemical oscillations.
AB - Oscillation is an important cellular process that regulates timing of different
vital life cycles. However, in the noisy cellular environment, oscillations can
be highly inaccurate due to phase fluctuations. It remains poorly understood how
biochemical circuits suppress phase fluctuations and what is the incurred
thermodynamic cost. Here, we study three different types of biochemical
oscillations representing three basic oscillation motifs shared by all known
oscillatory systems. In all the systems studied, we find that the phase diffusion
constant depends on the free energy dissipation per period following the same
inverse relation parameterized by system specific constants. This relationship
and its range of validity are shown analytically in a model of noisy oscillation.
Microscopically, we find that the oscillation is driven by multiple irreversible
cycles that hydrolyze the fuel molecules such as ATP; the number of phase
coherent periods is proportional to the free energy consumed per period.
Experimental evidence in support of this general relationship and testable
predictions are also presented.
PMID- 26566393
TI - Differentiation of deer tendons from cattle tendons by a loop-mediated isothermal
amplification (LAMP) test and bone remodeling bioassays.
AB - BACKGROUND: Deer tendons are believed more effective than cattle tendons in
tonifying kidney yang (shen yang) and enhancing bone and tendons. This study aims
to differentiate the two types of tendons by a loop-mediated isothermal
amplification (LAMP) test and bone remodeling bioassays. METHODS: Internal
control primers to detect both types of tendons and specific primers for deer
tendons were designed according to a sequence analysis. The LAMP test was set up
and the results were analyzed by conventional gel electrophoresis, real-time
fluorescence observation, and colorimetric detection. Crude tendon extracts were
prepared by water extraction to compare their effects on bone. The anti
osteoclastic effects were investigated on mouse pre-osteoclast Raw264.7 cells by
cell viability determination and tartrate-resistant acid phosphatase staining.
The osteogenic effects were examined using rat osteoblast-like UMR106 cells by
evaluation of cell proliferation, alkaline phosphatase activity, and calcium
deposition. The relative gene expressions of bone remodeling-related markers,
including nuclear factor of activated T-cells cytoplasmic 1, tartrate-resistant
acid phosphatase, cathepsin K, and osteoprotegerin/receptor activator of NF
kappaB ligand, were determined by real-time PCR. RESULTS: In the LAMP test, both
deer and cattle tendons were detected in the control reactions, while only deer
tendons were amplified by the specific LAMP test. In the bioassays, both tendons
inhibited the viability and differentiation of pre-osteoclast Raw264.7 cells, and
promoted the proliferation and mineralization of osteoblast-like UMR106 cells.
The mRNA expressions of bone remodeling-related markers were consistent with the
results of the bioassays. CONCLUSION: This study demonstrated that the isothermal
LAMP test can distinguish between deer tendons and cattle tendons. Both types of
tendons exhibited similar beneficial effects on bone remodeling according to the
bioassay findings.
PMID- 26566394
TI - CyNetworkBMA: a Cytoscape app for inferring gene regulatory networks.
AB - BACKGROUND: Inference of gene networks from expression data is an important
problem in computational biology. Many algorithms have been proposed for solving
the problem efficiently. However, many of the available implementations are
programming libraries that require users to write code, which limits their
accessibility. RESULTS: We have developed a tool called CyNetworkBMA for
inferring gene networks from expression data that integrates with Cytoscape. Our
application offers a graphical user interface for networkBMA, an efficient
implementation of Bayesian Model Averaging methods for network construction. The
client-server architecture of CyNetworkBMA makes it possible to distribute or
centralize computation depending on user needs. CONCLUSIONS: CyNetworkBMA is an
easy-to-use tool that makes network inference accessible to non-programmers
through seamless integration with Cytoscape. CyNetworkBMA is available on the
Cytoscape App Store at http://apps.cytoscape.org/apps/cynetworkbma.
PMID- 26566395
TI - The Ethical Significance of Antimicrobial Resistance.
AB - In this paper, we provide a state-of-the-art overview of the ethical challenges
that arise in the context of antimicrobial resistance (AMR), which includes an
introduction to the contributions to the symposium in this issue. We begin by
discussing why AMR is a distinct ethical issue, and should not be viewed purely
as a technical or medical problem. In the second section, we expand on some of
these arguments and argue that AMR presents us with a broad range of ethical
problems that must be addressed as part of a successful policy response to
emerging drug resistance. In the third section, we discuss how some of these
ethical challenges should be addressed, and we argue that this requires
contributions from citizens, ethicists, policy makers, practitioners and
industry. We conclude with an overview of steps that should be taken in moving
forward and addressing the ethical problems of AMR.
PMID- 26566396
TI - Is Antimicrobial Resistance a Slowly Emerging Disaster?
AB - The problem of antimicrobial resistance is so dire that people are predicting
that the era of antibiotics may be coming to an end, ushering in a 'post
antibiotic' era. A comprehensive policy response is therefore urgently needed. A
part of this response will require framing the problem in such a way that
adequately reflects its nature as well as encompassing an approach that has the
best prospect of success. This paper considers framing the problem as a slowly
emerging disaster, including its potential benefits and difficulties, from a
conceptual and policy perspective.
PMID- 26566397
TI - The Return of Lombroso? Ethical Aspects of (Visions of) Preventive Forensic
Screening.
AB - The vision of legendary criminologist Cesare Lombroso to use scientific theories
of individual causes of crime as a basis for screening and prevention programmes
targeting individuals at risk for future criminal behaviour has resurfaced,
following advances in genetics, neuroscience and psychiatric epidemiology. This
article analyses this idea and maps its ethical implications from a public health
ethical standpoint. Twenty-seven variants of the new Lombrosian vision of
forensic screening and prevention are distinguished, and some scientific and
technical limitations are noted. Some lures, biases and structural factors,
making the application of the Lombrosian idea likely in spite of weak evidence
are pointed out and noted as a specific type of ethical aspect. Many classic and
complex ethical challenges for health screening programmes are shown to apply to
the identified variants and the choice between them, albeit with peculiar and
often provoking variations. These variations are shown to actualize an underlying
theoretical conundrum in need of further study, pertaining to the relationship
between public health ethics and the ethics and values of criminal law policy.
PMID- 26566398
TI - Potential Conflict of Interest and Bias in the RACGP's Smoking Cessation
Guidelines: Are GPs Provided with the Best Advice on Smoking Cessation for their
Patients?
AB - Patient visits are an important opportunity for general practitioners (GPs) to
discuss the risks of smoking and cessation strategies. In Australia, the
guidelines on cessation published by the Royal Australian College of General
Practitioners (the Guidelines) represent a key resource for GPs in this regard.
The predominant message of the Guidelines is that pharmacotherapy should be
recommended as first-line therapy for smokers expressing an interest in quitting.
This, however, ignores established evidence about the success of unassisted
quitting. Our analysis of the Guidelines identifies a number of potential
conflicts of interest which may have affected the advice provided. These include
extensive funding by the pharmaceutical industry of sources cited to support the
recommendations, and relations between members of the Guidelines Content Advisory
Group and the pharmaceutical industry. Recommendations issued by professional
bodies have enormous potential impact upon public health and there is a need for
the highest levels of scrutiny and transparency in their development. Information
about research cited in guidelines should include funding sources, and developers
should be free of obvious conflicts of interest. Smoking remains the leading
preventable cause of global mortality. Concerns related to pharmaceutical
industry funding of research, scientific integrity and recommendations on smoking
cessation by medical advisory groups clearly have implications beyond Australia.
PMID- 26566399
TI - Tissue Probability Map Constrained 4-D Clustering Algorithm for Increased
Accuracy and Robustness in Serial MR Brain Image Segmentation.
AB - The traditional fuzzy clustering algorithm and its extensions have been
successfully applied in medical image segmentation. However, because of the
variability of tissues and anatomical structures, the clustering results might be
biased by the tissue population and intensity differences. For example,
clustering-based algorithms tend to over-segment white matter tissues of MR brain
images. To solve this problem, we introduce a tissue probability map constrained
clustering algorithm and apply it to serial MR brain image segmentation, i.e., a
series of 3-D MR brain images of the same subject at different time points. Using
the new serial image segmentation algorithm in the framework of the CLASSIC
framework, which iteratively segments the images and estimates the longitudinal
deformations, we improved both accuracy and robustness for serial image
computing, and at the mean time produced longitudinally consistent segmentation
and stable measures. In the algorithm, the tissue probability maps consist of
both the population-based and subject-specific segmentation priors. Experimental
study using both simulated longitudinal MR brain data and the Alzheimer's Disease
Neuroimaging Initiative (ADNI) data confirmed that using both priors more
accurate and robust segmentation results can be obtained. The proposed algorithm
can be applied in longitudinal follow up studies of MR brain imaging with subtle
morphological changes for neurological disorders.
PMID- 26566400
TI - Effects of Exercise on Sleep Among Young Women With Generalized Anxiety Disorder.
AB - STATEMENT OF THE PROBLEM: Generalized Anxiety Disorder (GAD) and disturbed sleep
are prevalent, debilitating, and frequently comorbid problems for which
successful treatment remains limited. Exercise can promote sleep but whether it
does among GAD patients is unknown. METHODS: Thirty sedentary women (18-37y) with
a primary DSM-IV diagnosis of GAD were randomized to six weeks of resistance
(RET) or aerobic exercise training (AET), or waitlist (WL). RET and AET involved
twice-weekly sessions of either lower-body weightlifting or leg cycling matched
on multiple features of exercise. Outcomes included total sleep time (TST),
lights out time, awakening out of bed time, time in bed (TIB), sleep onset
latency (SOL), wakefulness after sleep onset, and sleep efficiency. Hedges' d
effect sizes and 95% confidence intervals were calculated for each exercise
condition compared to WL. Regression examined baseline associations between
anxiety and sleep and associated change. RESULTS: Twenty-two of 26 participants
reported poor baseline sleep (Pittsburgh Sleep Quality Index >5). RET
significantly decreased weekend TIB (d=-1.79; [-2.89, -0.70]) and SOL (d=-1.30; [
2.32, -0.28]), and significantly increased weekend sleep efficiency (d=1.30;
[0.29,2.32]). AET significantly reduced weekend TIB (d=-1.13; [-2.16, -0.11]) and
SOL (d=-1.08; [-2.09, -0.06]). Reduced GAD clinical severity rating was
significantly associated with improved weekend sleep efficiency among RET (t6=
3.48, p<=0.013). CONCLUSIONS: Short-term exercise training improves sleep
outcomes among GAD patients, especially for RET and weekend sleep. Findings
suggest improved sleep may be associated with reduced clinical severity among GAD
patients.
PMID- 26566401
TI - Towards a phenome-wide catalog of human clinical traits impacted by genetic
ancestry.
AB - BACKGROUND: Racial/ethnic differences for commonly measured clinical variables
are well documented, and it has been postulated that population-specific genetic
factors may play a role. The genetic heterogeneity of admixed populations, such
as African Americans, provides a unique opportunity to identify genomic regions
and variants associated with the clinical variability observed for diseases and
traits across populations. METHOD: To begin a systematic search for these
population-specific genomic regions at the phenome-wide scale, we determined the
relationship between global genetic ancestry, specifically European and African
ancestry, and clinical variables measured in a population of African Americans
from BioVU, Vanderbilt University's biorepository linked to de-identified
electronic medical records (EMRs) as part of the Epidemiologic Architecture using
Genomics and Epidemiology (EAGLE) study. Through billing (ICD-9) codes, procedure
codes, labs, and clinical notes, 36 common clinical and laboratory variables were
mined from the EMR, including body mass index (BMI), kidney traits, lipid levels,
blood pressure, and electrocardiographic measurements. A total of 15,863 DNA
samples from non-European Americans were genotyped on the Illumina Metabochip
containing ~200,000 variants, of which 11,166 were from African Americans. Tests
of association were performed to examine associations between global ancestry and
the phenotype of interest. RESULTS: Increased European ancestry, and conversely
decreased African ancestry, was most strongly correlated with an increase in QRS
duration, consistent with previous observations that African Americans tend to
have shorter a QRS duration compared with European Americans. Despite known
racial/ethnic disparities in blood pressure, European and African ancestry was
neither associated with diastolic nor systolic blood pressure measurements.
CONCLUSION: Collectively, these results suggest that this clinical population can
be used to identify traits in which population differences may be due, in part,
to population-specific genetics.
PMID- 26566403
TI - Hypomagnesemia in Critically Ill Sepsis Patients.
AB - Magnesium (Mg), also known as "the forgotten electrolyte", is the fourth most
abundant cation overall and the second most abundant intracellular cation in the
body. Mg deficiency has been implicated in the pathophysiology of many diseases.
This article is a review of the literature regarding Mg abnormalities with
emphasis on the implications of hypomagnesemia in critical illness and on
treatment options for hypomagnesemia in critically ill patients with sepsis.
Hypomagnesemia is common in critically ill patients, and there is strong,
consistent clinical evidence, largely from observational studies, showing that
hypomagnesemia is significantly associated with increased need for mechanical
ventilation, prolonged ICU stay and increased mortality. Although the mechanism
linking hypomagnesemia with poor clinical outcomes is not known, experimental
data suggest mechanisms contributing to such outcomes. However, at the present
time, there is no clear evidence that magnesium supplementation improves outcomes
in critically ill patients with hypomagnesemia. Large, well-designed clinical
trials are needed to evaluate the role of magnesium therapy for improving
outcomes in critically ill patients with sepsis.
PMID- 26566404
TI - Intermittent Auscultation in Labor: Could It Be Missing Many Pathological (Late)
Fetal Heart Rate Decelerations? Analytical Review and Rationale for Improvement
Supported by Clinical Cases.
AB - Intermittent auscultation (IA) of fetal heart rate (FHR) is recommended/preferred
in low risk labors. Its usage even in developed countries is poised to increase
because of perceived benefit of reduction in operative intervention and some
disillusionment with the cardiotocography (CTG). Many national guidelines have
stipulated regimes (frequency/timing) of IA based on level IV evidence. These
tend to get faithfully and exactingly followed. It was observed that deliveries
of many unexpectedly asphyxiated infants occurred despite rigorously performed
and documented IA compliant with the guidelines. This triggered a reappraisal of
the robustness of IA leading to this focused review supplemented by two
anonymized cases. It concludes that the current methodology of IA may be flawed
in that it poses a risk of missing many or most late (pathological) FHR
decelerations, one of the foremost goals of IA. This is because many late
decelerations reach their nadir before the end of the contraction. Thus the
currently recommended auscultation of FHR for 60 seconds after the contraction by
all national guidelines seemed to encompass their "recovery" phase and appeared
to be misinterpreted as normal FHR or even as a reassuring accelerative pattern
in the clinical practice. A recent recommendation of recording of the FHR as a
single figure (rather than a range) does not remedy this anomaly and seems even
less informative. It would be better to auscultate FHR before and after the
contractions (or contraction to contraction) and take the FHR just before the
contraction as the baseline FHR and interpret the FHR after contraction in the
context of this baseline. This relatively simple improvement would detect most
late FHR decelerations thus ameliorating the risk and significantly enhancing the
patient safety.
PMID- 26566402
TI - Epigenetics and male reproduction: the consequences of paternal lifestyle on
fertility, embryo development, and children lifetime health.
AB - The correlation between epigenetics and human reproduction represents a very
interesting field of study, mainly due to the possible transgenerational effects
related to epigenetic modifications of male and female gametes. In the present
review, we focused our attention to the role played by epigenetics on male
reproduction, evidencing at least four different levels at which sperm epigenetic
modifications could affect reproduction: (1) spermatogenesis failure; (2) embryo
development; (3) outcome of assisted reproduction technique (ART) protocols,
mainly as concerning genomic imprinting; and (4) long-term effects during the
offspring lifetime. The environmental agents responsible for epigenetic
modifications are also examined, suggesting that the control of paternal
lifestyle prior to conception could represent in the next future a novel hot
topic in the management of human reproduction.
PMID- 26566405
TI - Nutrition for Sarcopenia.
AB - Aging-related sarcopenia means that muscle mass, strength, and physical
performance tend to decline with age, and malnutrition is associated with
sarcopenia. Therefore, nutritional interventions may make an important
contribution to prevent the development of sarcopenia. Here I reviewed published
articles about the effects of nutritional factors on sarcopenia in elderly
people. A growing body of evidence suggests that metabolic factors associated
with obesity and diabetes induce the progression of sarcopenia. However, the
effectiveness and safety of caloric restriction for sarcopenia remained unclear.
Protein intake and physical activity are the main anabolic stimuli for muscle
protein synthesis. As optimal dietary protein intake, 1.0 - 1.2 g/kg (body
weight)/day with an optimal repartition over each daily meal or 25 - 30 g of high
quality protein per meal were recommended to prevent sarcopenia, which was
supported by some observational studies. Protein supplementation using cheese and
milk protein, essential amino acids, leucine, beta-hydroxy-beta-methylbutyrate
and vitamin D has been investigated as a potential supplement to improve muscle
quality in sarcopenic elderly people.
PMID- 26566406
TI - Treatment of Prosthetic Valve Thrombosis: Current Evidence and Future Directions.
AB - Prosthetic heart valve thrombosis (PVT) is a rare but serious complication with
high morbidity and mortality. The optimal treatment of the PVT is controversial
and depends on thrombus location and size, the patient's functional class, the
risk of surgery or thrombolysis, and the clinician's experience. Although
surgical therapy has been the traditional therapeutic approach, studies with low
dose and slow-infusion rates of thrombolytic agents have revealed excellent
results. This article reviews the various treatment options in patient with PVT.
PMID- 26566407
TI - Patterns of the Demographics, Clinical Characteristics, and Resource Utilization
Among Maternal Decedents in Texas, 2001 - 2010: A Population-Based Cohort Study.
AB - BACKGROUND: Contemporary reporting of maternal mortality is focused on single,
mutually exclusive causes of death among a minority of maternal decedents
(pregnancy-related deaths), reflecting initial events leading to death. Although
obstetric patients are susceptible to the lethal effects of downstream, more
proximate contributors to death and to conditions not caused or precipitated by
pregnancy, the burden of both categories and related patients' attributes is
invisible to clinicians and healthcare policy makers with the current reporting
system. Thus, the population-level demographics, clinical characteristics, and
resource utilization associated with pregnancy-associated deaths in the United
States have not been adequately characterized. METHODS: We used the Texas
Inpatient Public Use Data File to perform a population-based cohort study of the
patterns of demographics, chronic comorbidity, occurrence of early maternal
demise, potential contributors to maternal death, and resource utilization among
maternal decedents in the state during 2001 - 2010. RESULTS: There were 557
maternal decedents during study period. Chronic comorbidity was reported in
45.2%. Most women (74.1%) were admitted to an ICU. Hemorrhage (27.8%), sepsis
(23.5%), and cardiovascular conditions (22.6%) were the most commonly reported
potential contributing conditions to maternal death, varying across categories of
pregnancy-associated hospitalizations. More than one condition was reported in
39% of decedents. One in three women died during their first day of
hospitalization, with no significant change over the past decade. The mean
hospital length of stay was 7.9 days and total hospital charges were $250,000 or
higher in 65 (11.7%) women. CONCLUSIONS: The findings of the high burden of
chronic illness, patterns of occurrence of a broad array of potential
contributing conditions to pregnancy-associated death, and the resource-intensive
needs of a large contemporary population-based cohort of maternal decedents may
better inform preventive and intervention measures at the bedside and as
healthcare policy priorities. The prevalent and unchanged occurrence of rapid
maternal demise following presentation for hospitalization supports a special
focus on means to identify and effectively address front-line clinician- and
healthcare system-related performance areas that can improve maternal outcomes.
The common reporting of more than one potential contributing condition
underscores the complexity of determination of causes of maternal death.
PMID- 26566408
TI - Benefits of Initial Limited Crystalloid Resuscitation in Severely Injured Trauma
Patients at Emergency Department.
AB - BACKGROUND: Whether initial limited crystalloid resuscitation (LCR) benefits to
all severely injured trauma patients receiving blood transfusions at emergency
department (ED) is uncertain. We aimed to determine the role of LCR and its
associations with packed red blood cell (PRBC) transfusion during initial
resuscitation. METHODS: Trauma patients receiving blood transfusions were
reviewed from 2004 to 2013. Patients with LCR (L group, defined as < 2,000 mL)
and excessive crystalloid resuscitation (E group, defined as >= 2,000 mL) were
compared separately in terms of basic demographic, clinical variables, and
hospital outcomes. Logistic regression, R-square (R(2)), and Spearman rho
correlation were used for analysis. RESULTS: A total of 633 patients were
included. The mortality was 51% in L group and 45% in E group (P = 0.11). No
statistically significant difference was found in terms of basic demographics,
vital signs upon arrival at ED, or injury severity between the groups. The volume
of blood transfused strongly correlated with the volume of crystalloid infused in
E group (R(2) = 0.955). Crystalloid to PRBC (C/PRBC) ratio was 0.8 in L group and
1.3 in E group (P < 0.01). The correlations between C/PRBC and ED versus ICU
versus hospital length of stay (LOS) via Spearman rho were 0.25, 0.22, and 0.22,
respectively. CONCLUSIONS: Similar outcomes were observed in trauma patients
receiving blood transfusions regardless of the crystalloid infusion volume. More
crystalloid infusions were associated with more blood transfusions. The C/PRBC
did not demonstrate predictive value regarding mortality but might predict LOS in
severely injured trauma patients.
PMID- 26566409
TI - Japanese Physicians' Views on Drug Post-Marketing Surveillance.
AB - BACKGROUND: Registration trials leading to the approval of drugs are paramount in
drug development. After approval, continuous efforts are necessary to ensure
proper use of the approved drugs. In Japan, post-marketing surveillance (PMS) by
drug companies is conducted in accordance with good post-marketing study practice
(GPSP). Although the global standard for pharmacovigilance is incorporated into
GPSP, attention has recently been focused on disassociating them. In this study,
we examined physicians' views on PMS with the aim of conducting PMS more
effectively. METHODS: We retrospectively reviewed records between 2009 and 2013
from the institutional review board of Tokushima University Hospital, an academic
hospital in rural Japan. The annual number of times PMS was performed was then
determined. Next, we assessed physicians' attitudes toward drug PMS, including
ethical issues, in a cross-sectional study using a questionnaire designed for
this study. Five- and two-point scales were used. The questionnaire was
distributed in 2014 to 221 physicians listed as investigators in PMS contracts.
RESULTS: Of the 221 physicians, 103 (46.6%) responded to the questionnaire. About
50% of the respondents had experience writing PMS reports. Many of the physicians
considered PMS to be important but burdensome. Furthermore, from the viewpoint of
research ethics, many physicians considered it improper within the present PMS
framework to collect and provide data beyond the scope of routine clinical
practice without obtaining informed consent in the case of extra blood sampling,
provision of images, monitoring and controlled studies. CONCLUSIONS: Beyond
practical factors such as workload, attention should be given to establishing an
ethical infrastructure and globally harmonized system with regard to the Japanese
PMS system. Given the limitations of this single-institution study, further
research is needed to collect information for developing a suitable
infrastructure.
PMID- 26566410
TI - The Diagnosis of Choriocarcinoma in Molar Pregnancies: A Revised Approach in
Clinical Testing.
AB - BACKGROUND: Hydatidiform moles occur in approximately 1 in 1,500 pregnancies;
however, early miscarriages or spontaneous abortions may not be correctly
identified as molar pregnancies due to poor differentiation of chorionic villi.
METHODS: The current clinical testing algorithm used for the detection of
hydatidiform moles uses a combination of morphological analysis and p57
immunostaining followed by ploidy testing to establish a diagnosis of either a
complete or partial molar pregnancy. We review here 198 referrals for
fluorescence in situ hybridization (FISH) ploidy testing, where the initial
diagnosis based on morphology is compared to the final diagnosis based on a
combination of morphology, FISH and p57 immunohistochemical (IHC) staining.
RESULTS: Approximately 40% of cases were determined to be genetically abnormal,
but only 28.8% of cases were diagnosed as molar pregnancies. The underestimation
of complete molar pregnancies and those with androgenetic inheritance was also
found to be likely using conventional diagnostic methods, as atypical p57
staining was observed in approximately 10% of cases. CONCLUSIONS: Our findings
suggest that a revised approach to testing products of conception is necessary,
with cases screened according to their clinical history in order to distinguish
molar pregnancy referrals from hydropic pregnancies.
PMID- 26566411
TI - Survey of Hypoglycemia in Elderly People With Type 2 Diabetes Mellitus in Japan.
AB - BACKGROUND: The number of elderly type 2 diabetes mellitus (T2DM) patients in
Japan is increasing continuously. Hypoglycemia is a significant issue in their
treatment. However, the actual situation and related details of their
hypoglycemia remain unclear. In order to elucidate them, the Japan Physicians
Association conducted a large-scale questionnaire survey for physicians and their
outpatients all over Japan. METHODS: Targeted elderly T2DM outpatients were 65
years old or older in 2011. Specialized questionnaire survey forms were
distributed to both of physicians and patients. The forms for physicians included
questions whether patient had hypoglycemia in the last 1 month or 1 year; those
for patients included whether they experienced it in the same durations and any
of the 28 symptoms that are suggestive of hypoglycemia or pertaining to geriatric
syndrome in the last 1 month, as well as questions about knowledge regarding
hypoglycemia. We analyzed associations between hypoglycemia and the symptoms, and
between hypoglycemia and medications. RESULTS: Of 15,892 T2DM patients (age, 74.2
+/- 6.3 years; diabetes duration, 12.8 +/- 8.9 years; HbA1c, 7.0+/-1.0%),
dipeptidyl peptidase-4 inhibitor (DPP-4i) was the most prescribed medication
among all oral hypoglycemic agents (OHAs). The frequencies of hypoglycemia in the
last 1 month recognized by physicians and experienced by patients were 7.8% and
10.4% (P < 0.0001), and in the last 1 year were 15.5% and 21.1% respectively (P <
0.0001). The most common symptom was "weakness, fatigue/feeling languid" and the
majority of all patients reported neuroglycopenic or autonomic symptoms.
Regarding monotherapy, hypoglycemia was observed in 32.7% of the patients with
insulin, 4% in sulfonylurea (SU), 3.8% in glinide, and 3.5% in pioglitazone. The
questions asking knowledge about hypoglycemia revealed that SU or insulin users
had significantly more knowledge of hypoglycemia than others (P < 0.001);
however, 63% of patients using insulin, and 31% of patients using SU always
carried glucose or a similar medication with them. CONCLUSIONS: The present study
suggested two types of "hidden hypoglycemia", one is that physicians did not
detect and the other one is that patients were not aware. It is vital that
physicians strive to prevent hypoglycemia by paying closer attention to symptoms
of "hidden hypoglycemia" in their elderly patients.
PMID- 26566412
TI - The Relation Between Global Longitudinal Strain and Serum Natriuretic Peptide Is
More Strict Than That Found Between the Latter and Left Ventricular Ejection
Fraction: A Retrospective Study in Chronic Heart Failure.
AB - BACKGROUND: In chronic heart failure (CHF), the finding of elevated levels of the
N-terminal fragment of the pro B-type natriuretic peptide (NT-proBNP) is a marker
of pathological increase in myocardial ventricular wall stress and detrimental
rise in ventricular filling pressures. However, the ensemble of data concerning
the relationship between longitudinal deformation indices and NT-proBNP is still
rather vague and approximate. METHODS: We carried out a retrospective study that
involved 118 patients with CHF admitted to our clinic for CHF outpatients. For
inclusion in the study, the CHF patients were required to have undergone at least
a determination of global longitudinal strain (GLS) by means of speckle tracking
echocardiography and to have practiced at least a determination of NT-proBNP. As
regards the two determinations, the one echocardiographic and the other
laboratory-based, the former should have been done not more than 24 hours before
or after the latter. RESULTS: Correlation between log (NT-proBNP) and GLS was
highly significant (r = 0.8386; P < 0.0001). The observed correlation between log
(NT-proBNP) and left ventricular ejection fraction (LVEF) was also significant,
but explained a smaller magnitude of the variance (r = -0.5465; P < 0.0001). In
multiple linear regression analysis, GLS was shown to be the strongest
independent predictor of log (NT-proBNP), within a parsimonious model including
age, body mass index, estimated glomerular filtration rate, left atrial volume
index, and LVEF (beta (regression coefficient) = 305, rpartial = 0.7076; P <
0.0001). By using the median value of NT-proBNP (299.5 pg/mL) as a discriminating
value for identifying relatively low (i.e., below the median) and relatively high
(i.e., above the median) levels of NT-proBNP, GLS was associated with the upper
quartiles, whereas LVEF was associated with lower quartiles of NT-proBNP.
However, the C statistics for GLS were significantly higher than for LVEF (area
under the curve (AUC): 0.949 (GLS) vs. 0.730 (LVEF); P = 0.0030). CONCLUSIONS: In
CHF patients, GLS shows a stronger association with NT-proBNP levels with respect
to LVEF. Thus, in both CHF with preserved and reduced LVEF, GLS is more accurate
compared with LVEF in predicting increased levels of NT-proBNP.
PMID- 26566414
TI - Severe Hypothyroidism-Induced Volvulus.
AB - Thyroid disorders have been found to be associated with multiple organ systems
and thus have a broad spectrum of presenting symptoms and clinical conditions.
Certain aspects of the gastrointestinal (GI) system have yet to be fully
understood and documented. Hypothyroidism and even hyperthyroidism have been
identified in patients with motility symptoms involving the GI tract. These
symptoms can vary and can be a complication of undertreated or undiagnosed
condition involving the thyroid. Unfortunately, the mechanism in which these
hormones can impact intestinal motility remains poorly understood and not well
documented. In this case report, we discuss the presentation of a 71-year-old
female with poorly managed hypothyroidism presenting with significant abdominal
distention and pain secondary to underlying volvulus formation. By better
understanding the complications induced by hypothyroidism, physicians may be able
to prevent further life-threatening outcomes with early management and
intervention.
PMID- 26566413
TI - Serum Copeptin, Pentraxin 3, Anti-Mullerian Hormone Levels With Echocardiography
and Carotid Artery Intima-Media Thickness in Adolescents With Polycystic Ovary
Syndrome.
AB - BACKGROUND: The aim of the study was to investigate the presence of possible
markers in the prediction of polycystic ovary syndrome (PCOS)-related metabolic
alterations and cardiovascular events in adolescent PCOS cases and also to
investigate the applicability of anti-Mullerian hormone (AMH) levels for the
diagnosis of PCOS. METHODS: In this cross-sectional study, a total of 75 non
obese women (adolescent PCOS group, n = 25; adult PCOS group, n = 25; control
group, n = 25) were included. Measurements of copeptin, pentraxin 3 (PTX3), and
AMH serum levels were performed. RESULTS: Serum copeptin, PTX3 and
echocardiographic indices were not significantly different in PCOS subjects and
they did not have higher common carotid artery intima-media thickness (CIMT)
measurement. AMH levels were significantly higher in PCOS patients. There was a
positive correlation between AMH and mean ovarian volume (r = 0.58, P < 0.001)
and between AMH and total testosterone level (r = 0.63, P < 0.001). In order to
predict a threshold value for the diagnosis of PCOS by using AMH, the receiver
operating characteristic (ROC) method was used. Area under the curve was 0.820
and cut-off point was 6.66 ng/mL for AMH with a sensitivity of 62% and
specificity of 76%. CONCLUSIONS: Possible markers for PCOS-related metabolic
alterations may not present in the adolescent years. Serum AMH may be useful as a
diagnostic test for adolescents.
PMID- 26566415
TI - Reversion of Severe Mitral Insufficiency in Peripartum Cardiomyopathy Using
Levosimendan.
AB - Idiopathic peripartum cardiomyopathy presenting with heart failure is a true
diagnostic and treatment challenge. Goal oriented clinical management aims at the
relapse of left ventricular systolic dysfunction. A 35-year-old patient on her
12th day post-delivery presents progressive signs of heart failure. Transthoracic
echocardiography showed severe mitral insufficiency, mild left ventricular
dysfunction, mild tricuspid insufficiency, severe pulmonary hypertension, and
right atrial enlargement. With wet and cold heart failure signs, the patient was
a candidate for inodilator cardiovascular support and volume depletion therapy.
As the patient presented a persistent tachycardia at rest, levosimendan was
chosen over dobutamine. Levosimendan was administered at a dose of 0.2 ug/kg/min
during a period of 24 hours. After inodilator therapy, the patient's signs and
symptoms of heart failure began to decrease, showing improvement of dyspnea,
mitral murmur grade went from IV/IV to II/IV, filling pressures and systemic and
pulmonary resistance indexes decreased, arterial blood gases improved, and an
echocardiography performed 72 h later showed non-dilated cardiomyopathy, mild
cardiac contractile dysfunction, mild mitral insufficiency, type I diastolic
dysfunction and improvement of pulmonary hypertension. Cardiovascular function in
peripartum cardiomyopathy tends to go back to normality in 23-41% of the cases,
but in a large group of patients, severe ventricle dysfunction remains months
after initial symptoms. This article describes the diagnostic process of a
patient with peripartum cardiomyopathy and a successful reversion of a severe
case of mitral insufficiency using levosimendan as a new therapeutic strategy in
this clinical context.
PMID- 26566416
TI - Distinctive Skeletal Abnormalities With No Microdeletions or Microduplications on
Array-CGH in a Boy With Mohr Syndrome (Oro-Facial-Digital Type II).
AB - We describe a constellation of distinctive skeletal abnormalities in an 8-year
old boy who presented with the full clinical criteria of oro-facial-digital (OFD)
type II (Mohr syndrome): bony changes of obtuse mandibular angle, bimanual
hexadactyly and unilateral synostosis of the metacarpo-phalanges of 3-4,
bilateral coxa valga associated with moderate hip subluxation, over-tubulation of
the long bones, vertical talus of the left foot and talipes equinovarus of the
right foot respectively. Interestingly, we encountered variable minor
malformations in his parents, confirming the autosomal recessive pattern of
inheritance. There were no microdeletions or microduplications after performing
array-CGH-analysis. We report what might be a constellation of unreported
skeletal abnormalities in a child with OFD type II (Mohr syndrome).
PMID- 26566417
TI - Primary Diffuse Large B-Cell Lymphoma of Central Nervous System: Is Still Surgery
an Unorthodox Treatment?
AB - Primary central nervous system lymphoma (PCNSL) is characterized as an extra
nodal non-Hodgkin lymphoma which develops from the neuraxis. The purpose was to
report a case of a patient with a supra-tentorial tumor who underwent subtotal
resection of his tumor as his biopsy was not indicative of a PCNSL tumor and had
uneventful recovery until his last follow-up. A 42-year-old man was admitted to
our department for generalized epileptic seizures. CT and MRI examinations
revealed a tumor in his right parietal-occipital lobe that was surrounded by
edema and was enhancing after gadolinium administration. The patient underwent a
navigation-assisted parieto-occipital craniotomy and posterior parietal
transcortical approach for tumor biopsy which was not indicative of PCNSL tumor.
The surgical team decided to remove the tumor on site. Histological analysis of
the resected specimen showed primary diffuse large B-cell lymphoma. Combined
chemotherapy and radiation therapy was applied to the patient, and at his last
follow-up (16 months), he is tumor free. In our case as in several other studies
during the last decade, the outcome after the surgical resection of a PCNSL tumor
in combination to radiation and chemotherapy was unexpectedly good. The role of
surgery probably should be reconsidered for single lesion PCNSL tumors.
PMID- 26566418
TI - Problems of Perinatal Mental Health Care in Tokyo, Japan.
PMID- 26566419
TI - EXAMINING SOCIOECONOMIC HEALTH DISPARITIES USING A RANK-DEPENDENT RENYI INDEX.
AB - The Renyi index (RI) is a one-parameter class of indices that summarize health
disparities among population groups by measuring divergence between the
distributions of disease burden and population shares of these groups. The rank
dependent RI introduced in this paper is a two-parameter class of health
disparity indices that also accounts for the association between socioeconomic
rank and health; it may be derived from a rank-dependent social welfare function.
Two competing classes are discussed and the rank-dependent RI is shown to be more
robust to changes in the distribution of either socioeconomic rank or health. The
standard error and sampling distribution of the rank-dependent RI are evaluated
using linearization and re-sampling techniques, and the methodology is
illustrated using health survey data from the U.S. National Health and Nutrition
Examination Survey and registry data from the U.S. Surveillance, Epidemiology and
End Results Program. Such data underlie many population-based objectives within
the U.S. Healthy People 2020 initiative. The rank-dependent RI provides a unified
mathematical framework for eliciting various societal positions with regards to
the policies that are tied to such wide-reaching public health initiatives. For
example, if population groups with lower socioeconomic position were ascertained
to be more likely to utilize costly public programs, then the parameters of the
RI could be selected to reflect prioritizing those population groups for
intervention or treatment.
PMID- 26566420
TI - High-quality draft genome sequence of a new phytase-producing microorganism
Pantoea sp. 3.5.1.
AB - Strain 3.5.1 was isolated from soils of the Republic of Tatarstan, Russia, on the
basis of presence of a high phytate-degrading activity. Strains with such
activities attract special interest because of its potential use as feed
additives and natural manures. Strain 3.5.1 harbors a 99 % 16S rRNA nucleotide
sequence similarity to different Pantoea species (P. vagans, P. ananatis, P.
agglomerans, P. anthophila and Pantoea sp.) and exhibits unique biochemical
properties that do not allow strain identification up to species. Moreover, the
strain 3.5.1 shows a low ANI and MALDI-TOF Mass Spectrometry scores. Thus, it is
likely that the strain 3.5.1 represents a new Pantoea species. Here, we present
the genome sequence of Pantoea sp. strain 3.5.1. The 4,964,649 bp draft genome
consists of 23 contigs with 4,556 protein-coding and 143 RNA genes. Genome
sequencing and annotation revealed two phytase genes and putative regulatory
genes controlling its activity.
PMID- 26566421
TI - Complete genome sequence of bacteriophage P8625, the first lytic phage that
infects Verrucomicrobia.
AB - Bacteriophage P8625 is a lytic bacteriophage that infects the verrucomicrobial
strain IMCC8625, a marine bacterium affiliated with Verrucomicrobia subdivision
4. Both the bacteriophage and the host bacterial strain were isolated from
surface seawater samples collected off the east coast of Korea. The phage
particle has an icosahedral capsid with a diameter of ~47 nm and a long tail of
~75 nm in length, showing the distinctive morphology of the Siphoviridae family.
The complete genome sequence of phage P8625 is 32,894 bp long with 51.0 % G + C
content. This is the first report of the complete genome sequence of a lytic
phage that infects the Verrucomicrobia, for which the name "verrucophage" is
proposed.
PMID- 26566422
TI - Complete genome sequence of Bacillus cereus FORC_005, a food-borne pathogen from
the soy sauce braised fish-cake with quail-egg.
AB - Due to abundant contamination in various foods, the pathogenesis of Bacillus
cereus has been widely studied in physiological and molecular level. B. cereus
FORC_005 was isolated from a Korean side dish, soy sauce braised fish-cake with
quail-egg in South Korea. While 21 complete genome sequences of B. cereus has
been announced to date, this strain was completely sequenced, analyzed, and
compared with other complete genome sequences of B. cereus to elucidate the
distinct pathogenic features of a strain isolated in South Korea. The genomic DNA
containing a circular chromosome consists of 5,349,617-bp with a GC content of
35.29 %. It was predicted to have 5170 open reading frames, 106 tRNA genes, and
42 rRNA genes. Among the predicted ORFs, 3892 ORFs were annotated to encode
functional proteins (75.28 %) and 1278 ORFs were predicted to encode hypothetical
proteins (748 conserved and 530 non-conserved hypothetical proteins). This genome
information of B. cereus FORC_005 would extend our understanding of its
pathogenesis in genomic level for efficient control of its contamination in foods
and further food poisoning.
PMID- 26566423
TI - High-quality draft genome sequence of Gracilimonas tropica CL-CB462(T) (DSM
19535(T)), isolated from a Synechococcus culture.
AB - Gracilimonas tropica Choi et al. 2009 is a member of order Sphingobacteriales,
class Sphingobacteriia. Three species of the genus Gracilimonas have been
isolated from marine seawater or a salt mine and showed extremely halotolerant
and mesophilic features, although close relatives are extremely halophilic or
thermophilic. The type strain of the type species of Gracilimonas, G. tropica
DSM19535(T), was isolated from a Synechococcus culture which was established from
the tropical sea-surface water of the Pacific Ocean. The genome of the strain
DSM19535(T) was sequenced through the Genomic Encyclopedia of Type Strains, Phase
I: the one thousand microbial genomes project. Here, we describe the genomic
features of the strain. The 3,831,242 bp long draft genome consists of 48 contigs
with 3373 protein-coding and 53 RNA genes. The strain seems to adapt to phosphate
limitation and requires amino acids from external environment. In addition,
genomic analyses and pasteurization experiment suggested that G. tropica
DSM19535(T) did not form spore.
PMID- 26566424
TI - Working draft genome sequence of the mesophilic acetate oxidizing bacterium
Syntrophaceticus schinkii strain Sp3.
AB - Syntrophaceticus schinkii strain Sp3 is a mesophilic syntrophic acetate oxidizing
bacterium, belonging to the Clostridia class within the phylum Firmicutes,
originally isolated from a mesophilic methanogenic digester. It has been shown to
oxidize acetate in co-cultivation with hydrogenotrophic methanogens forming
methane. The draft genome shows a total size of 3,196,921 bp, encoding 3,688 open
reading frames, which includes 3,445 predicted protein-encoding genes and 55 RNA
genes. Here, we are presenting assembly and annotation features as well as basic
genomic properties of the type strain Sp3.
PMID- 26566425
TI - Genome sequence of Pedobacter glucosidilyticus DD6b, isolated from zooplankton
Daphnia magna.
AB - The phosphite assimilating bacterium, P. glucosidilyticus DD6b, was isolated from
the gut of the zooplankton Daphnia magna. Its 3,872,381 bp high-quality draft
genome is arranged into 93 contigs containing 3311 predicted protein-coding and
41 RNA-encoding genes. This genome report presents the specific properties and
common features of P. glucosidilyticus DD6b genome in comparison with the genomes
of P. glucosidilyticus type strain DSM 23,534, and another five Pedobacter type
strains with publicly available completely sequenced genomes. Here, we present
the first journal report on P. glucosidilyticus genome sequence and provide
information on a new specific physiological determinant of P. glucosidilyticus
species.
PMID- 26566426
TI - Pancreatic insulinomas: Laparoscopic management.
AB - Insulinomas are rare pancreatic neuroendocrine tumors that are most commonly
benign, solitary, and intrapancreatic. Uncontrolled insulin overproduction from
the tumor produces neurological and adrenergic symptoms of hypoglycemia.
Biochemical diagnosis is confirmed by the presence of Whipple's triad, along with
corroborating measurements of blood glucose, insulin, proinsulin, C-peptide, beta
hydroxybutyrate, and negative tests for hypoglycemic agents during a supervised
fasting period. This is accompanied by accurate preoperative localization using
both invasive and non-invasive imaging modalities. Following this, careful
preoperative planning is required, with the ensuing procedure being preferably
carried out laparoscopically. An integral part of the laparoscopic approach is
the application of laparoscopic intraoperative ultrasound, which is indispensable
for accurate intraoperative localization of the lesion in the pancreatic region.
The extent of laparoscopic resection is dependent on preoperative and
intraoperative findings, but most commonly involves tumor enucleation or distal
pancreatectomy. When performed in an experienced surgical unit, laparoscopic
resection is associated with minimal mortality and excellent long-term cure
rates. Furthermore, this approach confers equivalent safety and efficacy rates to
open resection, while improving cosmesis and reducing hospital stay. As such,
laparoscopic resection should be considered in all cases of benign insulinoma
where adequate surgical expertise is available.
PMID- 26566427
TI - Cutting edge of endoscopic full-thickness resection for gastric tumor.
AB - Recently, several studies have reported local full-thickness resection techniques
using flexible endoscopy for gastric tumors, such as gastrointestinal stromal
tumors, gastric carcinoid tumors, and early gastric cancer (EGC). These
techniques have the advantage of allowing precise resection lines to be
determined using intraluminal endoscopy. Thus, it is possible to minimize the
resection area and subsequent deformity. Some of these methods include: (1)
classical laparoscopic and endoscopic cooperative surgery (LECS); (2) inverted
LECS; (3) combination of laparoscopic and endoscopic approaches to neoplasia with
non-exposure technique; and (4) non-exposed endoscopic wall-inversion surgery.
Furthermore, a recent prospective multicenter trial of the sentinel node
navigation surgery (SNNS) for EGC has shown acceptable results in terms of
sentinel node detection rate and the accuracy of nodal metastasis. Endoscopic
full-thickness resection with SNNS is expected to become a treatment option that
bridges the gap between endoscopic submucosal dissection and standard surgery for
EGC. In the future, the indications for these procedures for gastric tumors could
be expanded.
PMID- 26566428
TI - Emerging role of narrow band imaging in duodenum.
AB - Endoscopy using magnification narrow band imaging (mNBI) allows detailed
assessment of mucosal surface and vascular pattern. This may help in better
identification and prediction of the nature of the lesion. The role of this
technology in duodenum is still evolving. Studies have shown that mNBI has high
accuracy in predicting villous atrophy in the duodenum. Limited data suggests
that this technique can provide additional information on duodenal polyps,
nodules and ampullary tumour which can help guide their management. In this paper
we describe the technique for duodenal assessment using NBI and review the
existing literature evaluating its role in diagnosis of various duodenal
pathologies.
PMID- 26566429
TI - Optimization of the generator settings for endobiliary radiofrequency ablation.
AB - AIM: To determine the optimal generator settings for endobiliary radiofrequency
ablation. METHODS: Endobiliary radiofrequency ablation was performed in live
swine on the ampulla of Vater, the common bile duct and in the hepatic
parenchyma. Radiofrequency ablation time, "effect", and power were allowed to
vary. The animals were sacrificed two hours after the procedure.
Histopathological assessment of the depth of the thermal lesions was performed.
RESULTS: Twenty-five radiofrequency bursts were applied in three swine. In the
ampulla of Vater (n = 3), necrosis of the duodenal wall was observed starting
with an effect set at 8, power output set at 10 W, and a 30 s shot duration,
whereas superficial mucosal damage of up to 350 MUm in depth was recorded for an
effect set at 8, power output set at 6 W and a 30 s shot duration. In the common
bile duct (n = 4), a 1070 MUm, safe and efficient ablation was obtained for an
effect set at 8, a power output of 8 W, and an ablation time of 30 s. Within the
hepatic parenchyma (n = 18), the depth of tissue damage varied from 1620 MUm
(effect = 8, power = 10 W, ablation time = 15 s) to 4480 MUm (effect = 8, power =
8 W, ablation time = 90 s). CONCLUSION: The duration of the catheter application
appeared to be the most important parameter influencing the depth of the thermal
injury during endobiliary radiofrequency ablation. In healthy swine, the
currently recommended settings of the generator may induce severe,
supratherapeutic tissue damage in the biliary tree, especially in the high-risk
area of the ampulla of Vater.
PMID- 26566430
TI - PillCam COLON 2((c)) as a pan-enteroscopic test in Crohn's disease.
AB - A recent paper by Boal Carvalho et al demonstrates the potential of PillCam COLON
2((c)) (PCC2) as a pan-enteric investigation in Crohn's disease (CD). Our own
prospective data in patients with known CD also shows good correlation between
PCC2 and small/large bowel investigations (R = 0.896, P < 0.0004/R = 0.6667, P <
0.035). Larger studies are warranted to prospectively validate the use of PCC2 in
the investigation and monitoring of both small and large bowel CD.
PMID- 26566432
TI - Evaluation of canine intervertebral disc degeneration in colour-coded computed
tomography.
AB - BACKGROUND: Canine intervertebral disc degeneration can lead to intervertebral
disc disease. Mild degenerative changes in the structure of the canine
intervertebral disc can be identified in magnetic resonance images, whereas these
changes are not visible in computed tomographic images. Therefore, one aim of
this study was to detect whether colour-coded computed tomography enhances the
visibility of mild degenerative changes in the canine disc structure compared to
non-contrast computed tomography. Furthermore, the study aimed to detect if
intervertebral disc degeneration could be classified with a higher reliability in
colour-coded images than in non-contrast images. RESULTS: Computed tomographic
image studies of 144 canine intervertebral discs were coloured using three
different lookup tables. Canine intervertebral disc degeneration was evaluated by
three observers using a 5-grade classification system and compared to the
evaluation of non-contrast CT and MRI images. A moderate to almost perfect
intraobserver and a moderate to substantial interobserver agreement were found
depending on the used colour code. On comparing non-contrast and colour-coded CT
significant differences were found by one observer only. Significant differences
in evaluation were found in grading intervertebral disc degeneration in MRI and
colour-coded CT. CONCLUSIONS: Intervertebral disc degeneration could not be
classified with a higher reliability on colour-coded images compared to non
contrast images. Furthermore, colour-coded CT did not enhance the visibility of
mild degenerative changes in disc structure compared to non-contrast CT. However,
the better intraobserver agreement and the subjective impression of the observers
highlighted that the usage of colour encoded CT data sets with a wide range of
tonal values of few primary and secondary colours may facilitate evaluation.
PMID- 26566433
TI - Hepatitis A: The Changing Epidemiology of Hepatitis A.
PMID- 26566431
TI - Reprogramming barriers and enhancers: strategies to enhance the efficiency and
kinetics of induced pluripotency.
AB - Induced pluripotent stem cells are powerful tools for disease modeling, drug
screening, and cell transplantation therapies. These cells can be generated
directly from somatic cells by ectopic expression of defined factors through a
reprogramming process. However, pluripotent reprogramming is an inefficient
process because of various defined and unidentified barriers. Recent studies
dissecting the molecular mechanisms of reprogramming have methodically improved
the quality, ease, and efficiency of reprogramming. Different strategies have
been applied for enhancing reprogramming efficiency, including
depletion/inhibition of barriers (p53, p21, p57, p16(Ink4a)/p19(Arf), Mbd3,
etc.), overexpression of enhancing genes (e.g., FOXH1, C/EBP alpha, UTF1, and
GLIS1), and administration of certain cytokines and small molecules. The current
review provides an in-depth overview of the cutting-edge findings regarding
distinct barriers of reprogramming to pluripotency and strategies to enhance
reprogramming efficiency. By incorporating the mechanistic insights from these
recent findings, a combined method of inhibition of roadblocks and application of
enhancing factors may yield the most reliable and effective approach in
pluripotent reprogramming.
PMID- 26566434
TI - Non-trauma surgical emergencies in adults: Spectrum, challenges and outcome of
care.
AB - INTRODUCTION: Significant deaths of between 21% and 38% occur from non-trauma
surgical conditions in the accident and emergency room. Access to emergency
surgical care is limited in many developing countries including Nigeria. We aimed
to study the spectrum of non-trauma surgical emergencies, identify challenges in
management and evaluate outcomes. METHODS: A one year prospective cohort study of
all non-trauma emergencies in adults seen at the surgical emergency room of
LASUTH from 1st October, 2011 to 30th September, 2012 was conducted. Data was
analyzed using SPSS version 15.0. RESULTS: Of a total of 7536 patients seen,
there were 7122 adults. Those with non-trauma conditions were 2065 representing
29% of adult emergencies. Age ranged between 15 and 97 years and male to female
ratio was 1.7:1. Acute abdomen (30%), urological problems (18%) and malignancies
(10%) were the most common. Among 985 patients requiring admission only 464 (47%)
were admitted while the remaining 53% were referred to other centers. Emergency
surgical intervention was carried out in 222 patients representing 48% of
admitted patients. There were 12 (24%) non-trauma deaths in the emergency room.
They were due to acute abdomen and malignancies in half of the cases. CONCLUSION:
Facilities for patients needing emergency care were inadequate with more than
half of those requiring admission referred. Attention should be paid to the
provision of emergency surgical services to the teeming number of patients seen
on yearly basis in the Teaching Hospital.
PMID- 26566436
TI - Mechanical small bowel obstruction following a blunt abdominal trauma: A case
report.
AB - INTRODUCTION: Intestinal obstruction following abdominal trauma has previously
been described. However, in most reported cases pathological finding was
intestinal stenosis. PRESENTATION OF THE CASE: A 51-year-old male was admitted
after a motor vehicle accident. Initial focused abdominal sonogram for trauma and
enhanced computerized tomography were normal, however there was a fracture of the
tibia. Three days later, he complained of abdominal pain, constipation, and
vomiting. An exploratory laparotomy showed bleeding from the omentum and
mechanical small bowel obstruction due to a fibrous band. DISCUSSION: The patient
had prior abdominal surgery, but clinical and radiological findings indicate that
the impact of the motor vehicle accident initiated his condition either by
causing rotation of a bowel segment around the fibrous band, or by formation of a
fibrous band secondary to minimal bleeding from the omentum. CONCLUSION: High
index of suspicion of intestinal obstruction is mandatory in trauma patients
presenting with complaints of abdominal pain, vomiting, and constipation despite
uneventful CT scan.
PMID- 26566435
TI - Anti-CD163-dexamethasone protects against apoptosis after ischemia/reperfusion
injuries in the rat liver.
AB - AIM: The Pringle maneuver is a way to reduce blood loss during liver surgery.
However, this may result in ischemia/reperfusion injury in the development of
which Kupffer cells play a central role. Corticosteroids are known to have anti
inflammatory effects. Our aim was to investigate whether a conjugate of
dexamethasone and antibody against the CD163 macrophage cell surface receptor
could reduce ischemia/reperfusion injury in the rat liver. METHODS: Thirty-six
male Wistar rats were used for the experiments. Animals were randomly divided
into four groups of eight receiving anti-CD163-dexamethasone, high dose
dexamethasone, low dose dexamethasone or placebo intravenously 18 h before
laparotomy with subsequent 60 min of liver ischemia. After reperfusion for 24 h
the animals had their liver removed. Bloods were drawn 30 min and 24 h post
ischemia induction. Liver cell apoptosis and necrosis were analyzed by
stereological quantification. RESULTS: After 24 h' reperfusion, the fraction of
cell in non-necrotic tissues exhibiting apoptotic profiles was significantly
lower in the high dose dexamethasone (p = 0.03) and anti-CD163-dex (p = 0.03)
groups compared with the low dose dexamethasone and placebo groups. There was no
difference in necrotic cell volume between groups. After 30 min of reperfusion,
levels of haptoglobin were significantly higher in the anti-CD163-dex and high
dose dexamethasone groups. Alanine aminotransferase and alkaline phosphatase were
significantly higher in the high dose dexamethasone group compared to controls
after 24 h' reperfusion. CONCLUSIONS: We show that pharmacological
preconditioning with anti-CD163-dex and high dose dexamethasone reduces the
number of apoptotic cells following ischemia/reperfusion injury.
PMID- 26566437
TI - Hybrid external fixation via a minimally invasive method for tibial pilon
fractures - Technical note.
AB - INTRODUCTION: We aimed to present the clinical and radiological outcomes of
patients with tibial pilon fractures who were treated with hybrid external
fixators. Shanz screws were applied synchronously and used as joysticks for
fracture reduction. Radiological evaluations were conducted on roentgenograms at
the second week, sixth week, third month and first year. MATERIAL AND METHODS:
The study group included 42 patients with tibial pilon fractures that were
classified as 43C according to the AO/OTA classification system. We used 2
hydroxyapatite-coated Schanz screws for the tibial pilon reduction. Schanz screws
fixated to two separate motors were synchronously passed through the fracture
fragments. An external fixator was applied after the fracture was stabilized with
Schanz screws. RESULTS AND DISCUSSION: The mean operation duration was 45 min
Fracture healing was observed in all patients, and the mean fracture healing time
was 17 (range, 12-32 weeks) weeks. The mean lateral distal tibial angle was 89
degrees . Joint surface irregularity was not observed in any patient. All of the
patients had 0-15 degrees of ankle dorsiflexion. None of the patients had
restricted ankle plantar flexion. No wound complications were observed. According
to the AOFAS scoring system, the clinical evaluation was excellent in 26
patients, good in 14 patients and fair in 2 patients. Malunion and nonunion may
necessitate additional surgical procedures, delay the return to activities of
daily living, and increase treatment costs. Good alignment was achieved, with a
mean lateral distal tibial angle of 89 (range, 84-92) degrees. CONCLUSION:
Permanent hybrid external fixator applied using Schanz screws via a mini open
technique is a fast, easily applied alternative with low morbidity and satisfying
results.
PMID- 26566438
TI - Clinical and radiological outcomes of conservative treatment after stable post
traumatic thoracolumbar fractures in elderly: Is it really best option for all
elderly patients?
AB - OBJECTIVE: The purpose of this study was to research the effectiveness of
conservative treatment of stable post-traumatic thoracolumbar vertebral fractures
in elderly patients. METHODS: The study included 21 elderly patients (13 females,
8 males) with post-traumatic thoracolumbar compression fracture who were treated
with a brace. Fractures without any trauma history, pathological fractures,
patients younger than 60 years old and patients with no malignancy history were
excluded from study. Neurological examination and posterior ligamentous complex
(PLC) were intact in all patients. Radiological parameters and pain scores were
recorded in regular follow-up. RESULTS: The mean age and follow-up were 71.3
years (range, 60-84 years) and 20.1 months (range, 12-26 months) respectively.
During follow-up, 4 patients had significant height loss resulting in kyphotic
deformity and intractable pain. There was a significant increase in the local
kyphosis angle (p = 0.001) and height loss percentage (p = 0.017). At the final
follow-up, the mean Denis Score of pain was 1.62 +/- 0.74. CONCLUSION: Although
there is wide acceptance of conservative treatment of post-traumatic stable
thoracolumbar fracture with intact PCL according to the Thoracolumbar Injury
Classification and Severity Score (TLICS), elderly female patients with a post
traumatic compression fracture in the junctional region are at great risk of
conservative treatment failure. These patients should be well-informed about the
possible complications and poor results of conservative treatment, and surgical
treatment should be considered in selective cases with the informed consent of
the patients.
PMID- 26566439
TI - Facial Artery Musculomucosal (FAMM) flap for nasal lining in reconstruction of
large full thickness lateral nasal defects.
AB - Obviously, restoring the nasal lining is a great challenge in the reconstruction
of nasal defects. Full thickness nasal defects usually require special flaps for
reconstructing the nasal lining. Intranasal mucosal flaps, hinge over flaps,
perinasal second flaps, folded or second forehead flaps and finally free flaps
are examples that can be used for this purpose. Moreover, the case presented in
this article expresses a new role for the superiorly based Facial Artery
Musculomucosal (FAMM) flap in this topic. Furthermore, mucosal island variant of
this flap is presented to reduce the tension on this flap while restoring the
nasal lining in large full thickness nasal defect.
PMID- 26566440
TI - AFRICAN-AMERICANS' AND LATINOS' PERCEPTIONS OF USING HYPNOSIS TO ALLEVIATE
DISTRESS BEFORE A COLONOSCOPY.
AB - Although colorectal cancer (CRC) screenings can effectively detect and prevent
cancer, a large portion of African-Americans and Latinos do not undergo regular
colonoscopy screening. Research suggests that anticipatory distress can
significantly hinder minorities' adherence to colonoscopy recommendations. There
is significant promise that hypnosis may effectively reduce such distress. The
current study examined African-Americans' and Latinos' (n = 213) perceptions of
using hypnosis prior to a colonoscopy. Overall, 69.9% of the sample expressed
favourable perceptions of using pre-colonoscopy hypnosis, although there was
notable variability. The results from this study can guide clinical decision
making and inform future research efforts.
PMID- 26566441
TI - Are the public getting the message about antimicrobial resistance?
AB - Raising public awareness of the need to use antibiotics appropriately is a major
focus of the UK Government's strategy to tackle antimicrobial resistance. To
investigate the public's views on antibiotic use and resistance we conducted a
survey of 120 people as part of patient engagement activities held at University
College London Hospital in June 2015.
PMID- 26566442
TI - Application of acoustic droplet vaporization in ultrasound therapy.
AB - Microbubbles have been used widely both in the ultrasonic diagnosis to enhance
the contrast of vasculature and in ultrasound therapy to increase the bioeffects
induced by bubble cavitation. However, due to their large size, the lifetime of
microbubbles in the circulation system is on the order of minutes, and they
cannot penetrate through the endothelial gap to enter the tumor. In an acoustic
field, liquefied gas nanoparticles may be able to change the state and become the
gas form in a few cycles of exposure without significant heating effects. Such a
phenomenon is called as acoustic droplet vaporization (ADV). This review is
intended to introduce the emerging application of ADV. The physics and the
theoretical model behind it are introduced for further understanding of the
mechanisms. Current manufacturing approaches are provided, and their differences
are compared. Based on the characteristic of phase shift, a variety of
therapeutic applications have been carried out both in vitro and in vivo. The
latest progress and interesting results of vessel occlusion, thermal ablation
using high-intensity focused ultrasound (HIFU), localized drug delivery to the
tumor and cerebral tissue through the blood-brain barrier, localized tissue
erosion by histotripsy are summarized. ADV may be able to overcome some
limitations of microbubble-mediated ultrasound therapy and provide a novel drug
and molecular targeting carrier. More investigation will help progress this
technology forward for clinical translation.
PMID- 26566443
TI - A review of sepsis-induced cardiomyopathy.
AB - Sepsis-induced cardiomyopathy is a reversible myocardial dysfunction that
typically resolves in 7-10 days. It is characterized by left ventricular
dilatation and depressed ejection fraction. However, many uncertainties exist
regarding the mechanisms, characteristics, and treatments of this condition.
Therefore, this review attempts to summarize our current knowledge of sepsis
induced cardiomyopathy.
PMID- 26566444
TI - First report of NDM-5-producing Escherichia coli ST1284 isolated from dog in
Bejaia, Algeria.
PMID- 26566445
TI - Acute Q fever infection in Thuringia, Germany, after burial of roe deer fawn
cadavers (Capreolus capreolus): a case report.
AB - We report on a case of a 48-year-old man who presented with acute Q fever
infection after burying two fawn cadavers (Capreolus capreolus). Recent outbreaks
of Q fever in Europe have been traced back to intensive goat breeding units,
sheep flocks in the proximity of highly populated urban areas or to farmed deer.
To our knowledge, this is the first case report describing Q fever infection in a
human linked to roe deer as a source of infection.
PMID- 26566446
TI - Engaging South Asian women with type 2 diabetes in a culturally relevant exercise
intervention: a randomized controlled trial.
AB - BACKGROUND: We examined the efficacy of a culturally relevant exercise program in
improving glycated hemoglobin (HbA1c) among South Asian women with type 2
diabetes, compared with usual care. METHODS: This was a randomized controlled 8
week pilot study of Bollywood dance among South Asian women with type 2 diabetes.
The intervention consisted of 1 h Bollywood dance classes offered twice per week.
The primary outcome was change in HbA1c. The effect of attendance on this outcome
was also examined. RESULTS: The intervention group demonstrated a decrease in
HbA1c from baseline (-0.18% (0.2%); p=0.018) compared with a non-significant
increase in the usual care group (+0.03% (0.2%)); p value for difference between
groups was 0.032. Participants attending at least 10 of 16 sessions had a
statistically significant reduction in weight (-0.69 kg (0.76 kg)) compared with
those attending fewer sessions (+0.86 kg (0.71 kg)). CONCLUSIONS: These results
support culturally relevant dance as a successful exercise intervention to
promote HbA1c control, compared with usual care. TRIAL REGISTRATION NUMBER:
NCT02061618.
PMID- 26566447
TI - Intensity of statin therapy and new hospitalizations for heart failure in
patients with type 2 diabetes.
AB - OBJECTIVE: To examine a relationship between statin intensity and heart failure
(HF) incidence in diabetes. RESEARCH DESIGN AND METHODS: We performed a
retrospective cohort study of patients with type 2 diabetes (n=600; age, 66.3
years; men, 68%). Patients were categorized into three groups by baseline statin
treatments-moderate-intensity, low-intensity, or no statin-and the independent
association between the statin category and HF hospitalization during follow-up
was examined. RESULTS: Over the course of the median 6-year follow-up, 17.7% of
the patients were hospitalized for HF. Cox regression analysis revealed a
significant association between the baseline statin category and HF incidence
(p=0.002), independently of age, sex, hypertension, B-type natriuretic peptide,
glycated hemoglobin, estimated glomerular filtration rate, and low-density
lipoprotein (LDL) cholesterol levels. The moderate-intensity statin group had a
significantly lower risk for HF than the low-intensity statin group with an
adjusted HR of 0.31 (95% CI 0.13 to 0.65, p=0.0014). Interestingly, among
patients with prevalent coronary artery diseases (CAD) and with baseline LDL
controlled to less than 100 mg/dL, the frequency of HF was still significantly
lower in the moderate-intensity group than in the low-intensity group or the no
statin group. The effect of baseline statin category on HF was independent of
incident CAD events during follow-up. CONCLUSIONS: In type 2 diabetes, moderate
intensity statins, in comparison to low-intensity or no statin, were associated
with lower HF incidence independently of LDL levels or of CAD events.
PMID- 26566448
TI - Pain management in our daily practice: should we re-evaluate?
AB - Treatment strategies of back pain are variable. We describe an unusual case of
polyuria and erectile dysfunction with a short-term tramadol use. A 29-year-old
man presented to our clinic with worsening lower back pain. After poor control of
his pain on Non steroidal anti-inflammatory drugs, tramadol was prescribed. After
3 days of starting tramadol, he experienced significant polyuria and erectile
dysfunction with inability to ejaculate or obtain orgasm. He denied any systemic
symptoms. On follow-up, he reported complete resolution of his polyuria and
erectile dysfunction within 24 h of stopping tramadol, in addition to
satisfactory control of his pain. Polyuria and erectile dysfunction are very
uncommon side effects of tramadol, reported in <1% of chronic users. This is the
first case report to demonstrate such a rapid and aggressive onset of this
combination of rare side effects with the complete resolution after tramadol
discontinuation.
PMID- 26566449
TI - A case of pregnancy complicated with dilated cardiomyopathy 1X.
AB - Dilated cardiomyopathy 1X (CMD1X) is characterized by dilated cardiomyopathy
(DCM) with mildest limb-girdle muscle symptoms and normal intelligence. Compound
heterozygous mutation in fukutin gene is known as its genetic cause. Here, we
report a pregnancy case complicated with CMD1X. A 25-year-old primiparous woman,
who had been diagnosed as CMD1X at the age of 19, was referred to our hospital at
6 weeks of gestation. In early pregnancy, the evaluation of her cardiac function
showed ejection fraction 47% and NYHA class II. Worsening of cardiac function was
observed from 30 weeks, manifesting reduced cardiac load with left ventricular
dilatation and in-hospital bed rest was necessary. Elective cesarean section was
performed at 35 weeks to prevent deterioration of cardiac function. The
parameters of her cardiac function returned to the pre-pregnancy status in a
month after delivery, whereas she realized persistent worsening of muscular
weakness at postpartum.
PMID- 26566450
TI - Hypercalcaemic crisis in an elderly patient with pulmonary tuberculosis.
AB - Tuberculosis is an uncommon but recognized cause of hypercalcaemia, though
calcium levels are seldom severely elevated and rarely result in symptoms. In the
elderly patient however, several competing aetiologies may contribute to
hypercalcaemia and the diagnostic evaluation may be confounded by polypharmacy as
well as multiple co-existing medical conditions. We present here a case of an
elderly man who presented with pulmonary tuberculosis and concomitant delirium
secondary to hypercalcaemic crisis. Treatment with anti-tuberculous drugs,
together with supportive care, eventually led to resolution of hypercalcaemia and
restoration of mental function.
PMID- 26566451
TI - Unsuspected large left ventricular pseudoaneurysm: rapid bedside diagnosis by
contrast-enhanced echocardiography.
PMID- 26566452
TI - Cold Agglutinin Disease; A Laboratory Challenge.
AB - INTRODUCTION: Autoimmune haemolytic anemia (AIHA) is a complex process
characterized by an immune reaction against red blood cell self-antigens. The
analysis of specimens, drawn from patients with cold auto-immune hemolytic anemia
is a difficult problem for automated hematology analyzer. This paper was written
to alert technologists and pathologists to the presence of cold agglutinins and
its effect on laboratory tests. CASE PRESENTATION: A 72-year-old female presented
to the Shafa laboratory for hematology profile evaluation. CBC indices showed
invalid findings with the Sysmex automated hematology analyzer. Checking the
laboratory process showed precipitation residue sticking to the sides of the
tube. After warming the tubes, results become valid and the problem attributed to
cold agglutinin disease. In this situation, aggregation of RBCs, which occurs at
t < 30 degrees C, causes invalid findings meanwhile working with automated
hematology analyzer. CONCLUSIONS: Knowledge of this phenomenon can help prevent
wasting too much time and make an early and accurate diagnosis.
PMID- 26566453
TI - Shiga Toxigenic Escherichia coli in Iranian Pediatric Patients With and Without
Diarrhea: O-Serogroups, Virulence Factors and Antimicrobial Resistance
Properties.
AB - BACKGROUND: Shiga-toxigenic Escherichia coli is an important human pathogen cause
of diarrhea, hemorrhagic colitis, hemolytic uremic syndrome and thrombotic
thrombocytopenic purpura in humans is a significant public health. OBJECTIVES:
The aim of this study was to determine the molecular characteristics and
antimicrobial resistance properties of Shiga toxigenic Escherichia coli (STEC)
strains with respect to their seasonal, age and geographical distributions in
Iranian pediatric patients with and without diarrhea. PATIENTS AND METHODS: Four
hundred and eighty swab samples were taken from pediatric patients with and
without diarrhea of four major provinces of Iran. Swab samples were immediately
cultured and the positive culture samples were analyzed by the polymerase chain
reaction (PCR) method. Finally, antimicrobial susceptibility testing was
performed using the disk diffusion method in Mueller-Hinton agar. RESULTS: In
total, 118 out of 200 diarrheic stool samples (59%) and 77 out of 280 non
diarrheic stool samples (27.5%) were positive for E. coli. Samples taken from one
to ten months old cases (73.33%) and those from Shiraz province (81.13%) were the
most commonly infected. Samples taken in the summer season (91.66%) were the most
commonly infected. A significant difference was shown between AEEC and EHEC
strains of E. coli. The genes encoding Shiga toxins and intimin protein were the
most commonly detected in all strains. O26 (33.33%), O111 (18.18%) and O91
(12.12%) serogroups had the highest incidence in patients with and without
diarrhea. Prevalence of the genes that encode resistance against ampicillin
(CITM), gentamicin (aac(3)-IV) and tetracycline (tetA) were 80.30%, 75.75% and
65.15%, respectively. The STEC strains harbored the highest levels of resistance
against ampicillin (84.84%), gentamycin (78.78%), tetracycline (50%) and
sulfamethoxazole (40.90%) antibiotics. We found that 55.08% of diarrheic and
1.29% of non-diarrheic E. coli isolates were resistant to more than six
antibiotics. CONCLUSIONS: Accurate control programs should be organized for
antibiotic prescription especially during warmer seasons in Iran. Primary
treatment of diarrheic patients with co-trimoxazole, cefotaxime and ceftriaxone
is effective.
PMID- 26566454
TI - Evaluating the Role of Corticosteroid Pulse Therapy in Patients With Secondary
Progressive Multiple Sclerosis Receiving Mitoxantrone: A Double Blind Randomized
Controlled Clinical Trial.
AB - BACKGROUND: Multiple sclerosis (MS) is a central nervous system disorder with
periods of recurrence and recovery. Mitoxantrone has been approved for secondary
progressive MS (SPMS) treatment but data lacks the role of corticosteroid pulse
therapy in SPMS. OBJECTIVES: To evaluate the role of corticosteroid pulse therapy
in patients with SPMS receiving mitoxantrone. PATIENTS AND METHODS: A double
blind randomized controlled clinical trial was performed on 71 patients with SPMS
referred to Shahid Sadoughi Hospital (Yazd, Iran) for receiving mitoxantrone in
two groups. The first group (35 patients) received 20 mg mitoxantrone plus 500 mg
methylprednisolone monthly for six months. The second group (36 patients)
received the same dosage of mitoxantrone plus 100 CC of 5% dextrose water monthly
for six months. Expanded disability status scale (EDSS), MRI plaques in both
groups before and after the treatment completion and six months after the end of
trial were compared together. RESULTS: 28 men and 43 women enrolled in the study.
MRI plaques number reduced in groups significantly (2.29 vs. 2.17) without
significant difference between the groups (P = 0.782). Six months after trial
completion, plaques number increased in groups without significantly difference
(0.72 vs. 0.77, P = 0.611). The mean value of EDSS showed significant reduction
at the end of treatment in groups (0.79 and 0.53) without significant difference
between the groups (P = 0.953). Six months after trial completion, EDSS increased
in groups without significant difference (0.35 vs. 0.43, P = 0.624). CONCLUSIONS:
Corticosteroid pulse therapy in SPMS was effective in inflammatory process, but
could not postpone or decline the neurodegenerative process and besides the
imposing side effects could not result in significant improvement in EDSS and MRI
plaques number in long term.
PMID- 26566455
TI - Bronchoalveolar Lavage Fluid Characteristics of Patients With Sarcoidosis and
Nonsarcoidosis Interstitial Lung Diseases: Ten-Year Experience of a Single Center
in Turkey.
AB - BACKGROUND: Bronchoalveolar lavage (BAL) is a noninvasive and useful technique
for evaluating interstitial lung diseases (ILDs). Flow cytometric analysis of BAL
fluid reveals specific diagnostic information in some unusual ILDs, and helps to
narrow down the possible causes of interstitial diseases in most patients with
more common disorders. A high BAL CD4/CD8 ratio is highly specific for
sarcoidosis but can also be seen in other ILDs. OBJECTIVES: In this
retrospective, descriptive, cross-sectional study, we compared BAL fluid
characteristics and clinical variables in patients with sarcoidosis and non
sarcoidosis ILDs in a large cohort. PATIENTS AND METHODS: The study was conducted
in a tertiary university hospital in Zonguldak, the biggest city of the western
Black Sea region of Turkey. Between 2004 and 2014, all patients who underwent
both fiberoptic bronchoscopy and BAL with a suspicion of ILD were included in the
study, retrospectively. Patients were divided into two main groups: sarcoidosis
and non-sarcoidosis ILDs. Non-sarcoidosis ILDs were further divided into
subgroups: pneumoconiosis, tuberculosis (TB), collagen vascular diseases,
idiopathic interstitial pneumonias, malignancies, and unclassified ILDs. The
clinical data of patients, including age, gender, smoking status, pulmonary
function tests, and BAL flow cytometric analysis results, were compared among
groups. RESULTS: In total, 261 patients (119 sarcoidosis and 142 non-sarcoidosis
ILDs) were enrolled. The median (interquartile range) BAL CD4/CD8 ratio and
lymphocyte fraction were significantly higher in sarcoidosis than in non
sarcoidosis ILDs: 3.88 (3.76) versus 0.88 (1.01), respectively, and 20.6 (28.3)
versus 6.0 (13.7), respectively. T cell receptor gamma delta, CD16(+)56(+),
CD103(+), CD8(+)103(+), and CD3(+)16(+)56(+) cells were significantly lower in
sarcoidosis than in non-sarcoidosis ILDs. The median BAL CD4/CD8 ratios were
significantly higher in patients with TB (1.87, P = 0.01) and malignancies (1.69,
P = 0.03) than in other non-sarcoidosis ILDs. CONCLUSIONS: Among BAL fluid flow
cytometric parameters, CD4/CD8 and lymphocyte fraction may be helpful for
distinguishing sarcoidosis from other ILDs, but they are neither specific nor
diagnostic for any lung disease. Thus, a multidisciplinary diagnostic discussion
is required to differentiate various ILDs.
PMID- 26566456
TI - Computed tomography-virtual cystoscopy in the evaluation of a bladder mass: Could
it replace standard conventional cystoscopy?
AB - OBJECTIVE: To determine the role of computed tomography-virtual cystoscopy (CT
VC) in the detection and evaluation of bladder cancer, compared to standard
conventional cystoscopy (CC). PATIENTS AND METHODS: Twenty-five patients with a
clinical presentation of a bladder mass(es) were selected from an outpatient
urology clinic between May 2011 and August 2012. All patients were then assessed
using multi-slice CT of the bladder, CT-VC and CC. The results were then compared
amongst axial CT images, multiplanar reconstruction (MPR) images, CT-VC and CC,
and compared with the pathological results. RESULTS: Forty lesions were found at
CC in the 25 patients. MPR images had a greater sensitivity for detecting small
masses of ?5 mm, and for identifying the location of the masses, especially basal
(100%), than had axial images. The diagnostic results varied significantly (P =
0.031 and 0.039) between CC and axial images. The difference was slightly
significant (P = 0.063) for MPR images and was not significant (P = 0.99) for
virtual images. CONCLUSIONS: Compared to CC, CT-VC was much less invasive, but it
was not possible to take a biopsy and provide tissue for histopathology, and it
could not depict flat lesions or mucosal colour changes. Therefore, CT-VC could
be considered for bladder mapping before CC, in the follow-up of patients with
superficial transitional cell carcinoma after transurethral resection of the
tumour, in combination with urine cytology, and for patients in whom CC is
difficult or contraindicated.
PMID- 26566457
TI - Systematic Literature Review of AbobotulinumtoxinA in Clinical Trials for
Blepharospasm and Hemifacial Spasm.
AB - BACKGROUND: The aim was to elucidate clinical trial efficacy, safety, and dosing
practices of abobotulinumtoxinA (ABO) treatment in adult patients with
blepharospasm and hemifacial spasm. To date, most literature reviews for
blepharospasm and hemifacial spasm have examined the effectiveness of all
botulinum neurotoxin type A products as a class. However, differences in dosing
units and recommended schemes provide a clear rationale for reviewing each
product separately. METHODS: A systematic literature review was performed to
identify randomized controlled trials and other comparative clinical studies of
ABO in the treatment of blepharospasm and hemifacial spasm published in English
between January 1991 and March 2015. Medical literature databases (PubMed,
Cochrane library, EMBASE) were searched. A total of five primary publications
that evaluated ABO for the management of blepharospasm and hemifacial spasm were
identified and summarized. RESULTS: Data included 374 subjects with blepharospasm
and 172 subjects with hemifacial spasm treated with ABO. Total ABO doses ranged
between 80 and 340 U for blepharospasm and 25 and 85 U for hemifacial spasm,
depending on the severity of the clinical condition. All studies showed
statistically significant benefits for the treatment of blepharospasm and
hemifacial spasm. ABO was generally well tolerated across the individual studies.
Adverse events considered to be associated with ABO treatment included: ptosis,
tearing, blurred vision, double vision, dry eyes, and facial weakness.
DISCUSSION: These data from 5 randomized clinical studies represents the
available evidence base of ABO in blepharospasm and hemifacial spasm. Future
studies in this area will add to this evidence base.
PMID- 26566458
TI - Improvement of Primary Writing Tremor in Parkinson's Disease with
Carbidopa/Levodopa.
AB - BACKGROUND: Primary writing tremor is a task-specific phenomenon that has been
described as variants of essential tremor or dystonia. PHENOMENOLOGY SHOWN: We
describe the case of a 63-year-old female who initially had primary writing
tremor, later developed Parkinson's disease, and once initiated on
carbidopa/levodopa had improvement in her parkinsonism and her writing tremor.
EDUCATIONAL VALUE: As neither essential tremor nor typical brachial dystonia
respond to carbidopa/levodopa, our case documents that at least some cases of
primary writing tremor are not variants of either dystonia or essential tremor.
PMID- 26566459
TI - Effective Management of Upper Limb Parkinsonian Tremor by IncobotulinumtoxinA
Injections Using Sensor-based Biomechanical Patterns.
AB - BACKGROUND: Focal treatment of Parkinson's disease tremor by botulinum toxin type
A incobotulinumtoxinA (BoNT-A) injections has been inadequately investigated and
at best provides modest relief with significant muscle weakness. Complexity of
multi-joint tremulous movements results in non-individualized dosing regimens.
This 38-week open-label study used kinematic technology to guide muscle selection
and improve efficacy of incobotulinumtoxinA (BoNT-A) injections for Parkinson's
disease tremor. METHODS: Participants (n=28) attended study visits at weeks 0, 6,
16, 22, 32, and 38, and were injected with BoNT-A at weeks 0, 16, and 32. During
each visit, clinical tremor scales, the Unified Parkinson's Disease Rating Scale
(UPDRS) and the Fahn-Tolosa-Marin (FTM), and kinematic assessments were
conducted. Participants performed rest and postural scripted tasks with motion
sensors placed over the wrist, elbow, and shoulder joints where tremor was
quantified by angular root mean square (RMS) amplitude in multiple degrees of
freedom at each joint. Injection parameters were determined using the clinician's
interpretation of which muscles would contribute to the upper limb tremor
biomechanics analyzed kinematically. RESULTS: Kinematic measures of tremor
amplitude allowed detailed segmentation of tremor into directional components at
each arm joint permitting a statistically significant decrease in mean UPDRS item
20 (rest tremor) at week 16 (p=0.006) and at week 32 (p=0.014), and in FTM tremor
severity scores at week 6 (p=0.024). Ten participants perceived mild muscle
weakness following the third treatment, which did not interfere with performing
activities of daily living. DISCUSSION: Kinematics is a simple method for
standardizing assessments and treatment of upper limb Parkinson's disease tremor,
thereby personalizing tremor therapy and optimizing the effect of BoNT-A
injections for Parkinson's disease tremor.
PMID- 26566460
TI - Jaw Tremor Resulting in Broken Teeth: On the Essential Tremor Spectrum.
AB - BACKGROUND: Jaw tremors in essential tremor (ET) rarely represent anything other
than a cosmetic concern. PHENOMENOLOGY SHOWN: A case of an ET patient whose jaw
tremor was severe enough to result in cracked teeth. EDUCATIONAL VALUE: It
behooves treating clinicians to be aware of the full spectrum of this movement
disorder.
PMID- 26566461
TI - Atopic Dermatitis and Respiratory Allergy: What is the Link.
AB - Understanding the aetiology and progression of atopic dermatitis and respiratory
allergy may elucidate early preventative and management strategies aimed towards
reducing the global burden of asthma and allergic disease. In this article, we
review the current opinion concerning the link between atopic dermatitis and the
subsequent progression of respiratory allergies during childhood and into early
adolescence. Advances in machine learning and statistical methodology have
facilitated the discovery of more refined definitions of phenotypes for
identifying biomarkers. Understanding the role of atopic dermatitis in the
development of respiratory allergy may ultimately allow us to determine more
effective treatment strategies, thus reducing the patient and economic burden
associated with these conditions.
PMID- 26566462
TI - Understanding the Basics of NGS: From Mechanism to Variant Calling.
AB - Identifying disease-causing mutations in DNA has long been the goal of genetic
medicine. In the last decade, the toolkit for discovering DNA variants has
undergone rapid evolution: mutations that were historically discovered by analog
approaches like Sanger sequencing and multiplex ligation-dependent probe
amplification ("MLPA") can now be decoded from a digital signal with next
generation sequencing ("NGS"). Given the explosive growth of NGS-based tests in
the clinic, it is of the utmost importance that medical practitioners have a
fundamental understanding of the newest NGS methodologies. To that end, here we
provide a very basic overview of how NGS works, with particular emphasis on the
close resemblance between the underlying chemistry of Sanger sequencing and NGS.
Using a pair of simple analogies, we develop an intuitive framework for
understanding how high-confidence detection of single-nucleotide polymorphisms,
indels, and large deletions/duplications is possible with NGS alone.
PMID- 26566464
TI - Influence factor research on deacidification process for high carbon content gas
field by numerical simulation-a case study of the Oudeh gas field.
AB - INTRODUCTION: High concentrations of CO2 in natural gas affect its calorific
value and corrode the equipment and pipelines related to its transportation and
usage. Therefore, strict control over the H2S and CO2 contents in natural gas is
essential. CO2 is an important industrial gas that can bring a great deal of
economic profit when it is fully utilized. CASE DESCRIPTION: The natural gas
produced at the Oudeh gas field in Syria contains high carbon content natural
gas, in which the CO2 content is in the range of 17.5-18.8 %, while the H2S
content is in the range of 2.8-3.2 %. However, there have been few studies
conducted on treatment solutions for natural gas with high carbon contents. In
this paper, several commonly used methods for deacidification of natural gas were
introduced. Among these methods, the most suitable one was chosen for
desulfurization and decarbonization of the natural gas produced at the Oudeh gas
field based on its gas quality. CONCLUSIONS: Optimization and analysis of the
primary operating parameters for the desulfurization and decarbonization
processes were conducted to obtain the optimized values for the input temperature
of the lean solution (42 degrees C), reflux ratio (0.8), number of trays in the
absorber unit (17) , and circulation rate of the lean solution (330 m(3)/h), etc.
Additionally, the influence of the operating pressure of the regenerator unit on
the regeneration system was also investigated. The energy consumption of the
apparatus and the corrosion level of sour gas to the apparatus were reduced after
optimization. Based on the investigation of the natural gas treatment for this
gas field, it can serve as a reference for the purification of high carbon
contents natural gas.
PMID- 26566463
TI - Incidental Findings with Genomic Testing: Implications for Genetic Counseling
Practice.
AB - This paper summarizes the current controversies surrounding the identification
and disclosure of "incidental" or "secondary" findings from genomic sequencing
and the implications for genetic counseling practice. The rapid expansion of
clinical sequencing has influenced the ascertainment and return of incidental
findings, while empiric data to inform best practices are still being generated.
Using the North Carolina Clinical Genomic Evaluation by Next Generation Exome
Sequencing (NCGENES) research project as an example, we discuss the implications
of different models of consent and their impact on patient decisions.
PMID- 26566465
TI - In vitro degradation and cell attachment studies of a new electrospun polymeric
tubular graft.
AB - Electrospinning technique was utilized to engineer a small-diameter (id = 4 mm)
tubular graft. The tubular graft was made from biocompatible and biodegradable
polymers polycaprolactone (PCL) and poliglecaprone with 3:1 (PCL:PGC) ratio.
Enzymatic degradation effect on the mechanical properties and fiber morphology in
the presence of lipase enzyme were observed. Significant changes in tensile
strength (1.86-1.49 MPa) and strain (245-205 %) were noticed after 1 month in
vitro degradation. The fiber breakage was clearly evident through scanning
electron microscopy (SEM) after 4 weeks in vitro degradation. Then, the graft was
coated with a collagenous protein matrix to impart bioactivity. Human umbilical
vein endothelial cells (HUVECs) and aortic artery smooth muscle cells (AoSMCs)
attachment on the coated graft were observed in static condition. Further, HUVECs
were seeded on the lumen surface of the grafts and exposed to laminar shear
stress for 12 h to understand the cell attachment. The coated graft was aged in
PBS solution (pH 7.3) at 37 degrees C for 1 month to understand the coating
stability. Differential scanning calorimetry (DSC) and Fourier transform infrared
spectroscopy (FTIR) suggested the erosion of the protein matrix from the coated
graft under in vitro condition.
PMID- 26566466
TI - Influence of the protocol of fibroin extraction on the antibiotic activities of
the constructed composites.
AB - The effect of the solvents for silk fibroin (SF) extraction on its antimicrobial
activity was studied. Extraction protocols were performed using LiBr (SFL) and
Ajisawa's reagent (CaCl2:ethanol:H2O) (SFC). The morphological and structural
characteristics of the extracted SF and their composites were assessed.
Corresponding bactericidal activities against Staphylococcus aureus (ATCC 25923),
Escherichia coli (ATCC 25922) and Pseudomonas aeroginosa (ATCC 27853) were
performed. The resulting solutions were either casted into films or individually
incorporated into composites of silver nanoparticles (NS) embedded into chitosan
fragments (Cs) through gamma-irradiation. Films of SF, obtained by using the two
solvents, as well as the final prepared composites of SF, NS and Cs were analyzed
using XRD, FTIR, SEM, TEM and zeta potential at several pH values. The band gap
values were calculated. The results proved that, although SFC consumed shorter
gelation time, yet SFL exerted higher antibiotic activity against the tested
microorganisms. Moreover, the final composites had the ability to significantly
reduce the growth of these medically relevant bacteria and are, therefore,
recommended as a novel natural antibacterial biomaterial for several biomedical
applications.
PMID- 26566467
TI - Synthesis and evaluation of MePEG-PCL diblock copolymers: surface properties and
controlled release behavior.
AB - The amphiphilic block copolymers are composed of various combinations of
hydrophilic and hydrophobic block unimers. The variation in unimer ratio alters
the surface as well as micelle-forming properties of the block copolymers. These
nanoscopic micelles have the ability to encapsulate hydrophobic compounds and act
as potential drug carrier. MePEG-PCL copolymers with various block lengths were
synthesized by ring-opening polymerization and characterized by 1HNMR, GPC, WXRD
and DSC. The number average molecular weight of the block copolymer was found to
vary from 7511 to 21,270 as determined by GPC and 1HNMR studies. The surface
topology of the polymer films was determined by AFM analysis, which shows a
smoother surface with increased MePEG contents in the block copolymers. The
protein-binding assay indicates a better biocompatibility of the block copolymers
in comparison to MePEG or PCL alone. The CMC of the block copolymer provides the
information about micelle formations for encapsulation of hydrophobic materials
and affects the in vitro release.
PMID- 26566468
TI - PEG-penetrated chitosan-alginate co-polysaccharide-based partially and fully
cross-linked hydrogels as ECM mimic for tissue engineering applications.
AB - The emerging strategy of tissue engineering for the management of end-stage organ
failure and associated complications mainly relies on ECM mimicking scaffolds for
neo-tissue genesis. In the current study, novel polyethylene glycol
interpenetrated cross-linked hydrogel scaffold based on a co-polysaccharide
(PIAC) synthesized from two marine heteropolysaccharides, alginate and chitosan,
was designed. Partially cross-linked (PIAC-P) and fully cross-linked hydrogels
(PIAC-F) were prepared. The physiochemical evaluations of both the hydrogels
revealed the presence of alginate fraction and extensive -OH groups on the
surface, sufficient water content and water holding capacity. The porosity and
bulk density were also appreciable. The scaffolds were hemocompatible and were
able to adsorb appreciable plasma proteins on to the surface. MTT assay on
hydrogel extracts and direct contact assay showed the nontoxic effects of
fibroblast cells upon contact with the hydrogel. Live/dead assay using ethidium
bromide/acridine orange cocktail on fibroblast cells grown on the hydrogels after
5 days of initial seeding displayed green nucleus revealing the non-apoptotic
cells. PIAC-P hydrogels were superior to certain aspects due to the availability
of free functional groups than PIAC-F where most of these groups were utilized
for cross-linking. The biological evaluations confirmed the healthy being and 3D
growth of fibroblasts on the porous networks of both the hydrogels. The present
hydrogel can form an ECM mimic and can form a potent candidate for various tissue
engineering applications.
PMID- 26566469
TI - Apoptotic efficacy of biogenic silver nanoparticles on human breast cancer MCF-7
cell lines.
AB - This article describes the synthesis of silver nanoparticles using the aqueous
extract of Alternanthera sessilis as a reducing agent by sonication, espousing
green chemistry principles. Biologically synthesized nanoparticle-based drug
delivery systems have significant potential in the field of biopharmaceutics due
to its smaller size entailing high surface area and synergistic effects of
embedded biomolecules. In the present work the cytotoxic effect of biosynthesized
silver nanoparticles studied by MTT assay against breast cancer cells (MCF-7 cell
line) showed significant cytotoxic activity with IC50 value 3.04 MUg/mL compared
to that of standard cisplatin. The superior activity of the silver nanoparticles
may be due to the spherical shape and smaller particle size 10-30 nm as confirmed
from transmission electron microscope (TEM) analysis. The data obtained in the
study reveal the potent therapeutic value of biogenic silver nanoparticles and
the scope for further development of anticancer drugs.
PMID- 26566470
TI - Influence of temperature, pH and simulated biological solutions on swelling and
structural properties of biomineralized (CaCO3) PVP-CMC hydrogel.
AB - ABSTRACT: Biomaterials having stimuli response are interesting in the biomedical
field. This paper reports about swelling response and internalstructural of
biomineralized (CaCO3) polyvinylpyrrolidone (PVP) carboxymethylcellulose (CMC)
hydrogel having various thicknesses (0.1-0.4 mm). Samples were tested in aqueous
solution using temperature ranges from 10 to 40 degrees C; pH varies from 4 to
9, time 60 min. In addition, an experiment was conducted in the presence of
simulated biological solutions (SBS): glucose (GS), physiological fluid (PS) and
urea (US) at temperature 37 degrees C and pH 7.5 for 180 min. It is noticed that
the maximum swelling ratio reached in 30-40 degrees C at pH 7 in aqueous
solution. Among biological fluids, the swelling ratio shows: US > PS > GS at
temperature 37 degrees C, pH 7.5, time 150 min. The equilibrium swelling ratio
of the test sample in SBS and their non-reformative apparent structure confirm
that biomineralized (CaCO3) PVP-CMC hydrogel can be acclaimed for medical
application like bone tissue engineering. GRAPHICAL ABSTRACT:
PMID- 26566471
TI - Public opinion concerning residential sprinkler systems for 1- and 2-family
homes.
AB - BACKGROUND: Residential sprinkler systems (RSS) are one intervention to prevent
fire injury and death, yet there is no literature documenting why RSS homeowners
opt to purchase a sprinkler-equipped home. This manuscript describes homeowners'
decisions to purchase homes with residential sprinkler systems (RSS) and their
experiences with the technology. It also compares how RSS homeowners and owners
of homes without RSS value sprinklers and their levels of support for policies to
mandate RSS in new homes. METHODS: We used a national online web panel to sample
owners of 1- and 2-family homes, and descriptive methods to analyze the resulting
data. RESULTS: Our final sample included 1,357 homeowners of 1- and 2-family
homes without RSS and 976 homeowners with RSS. RSS homeowners were more likely
than owners of non-RSS homes to indicate they would buy an RSS home in the future
(75 % vs. 30 %), and more often indicated a willingness to pay for sprinklers (70
% vs. 40 %). RSS homeowners also expressed higher levels of support for policies
to mandate RSS in all new 1- and 2-family homes (48 % vs. 19 %). CONCLUSIONS: The
findings offer insight into educational and policy strategies to promote RSS in
all new homes, and provide a foundation for future research in this area.
PMID- 26566472
TI - Neighborhood Disadvantage, Physical Activity Barriers, and Physical Activity
among African American Breast Cancer Survivors.
AB - BACKGROUND: In view of evidence that African American cancer survivors experience
the greatest challenges in maintaining adequate levels of physical activity, this
cross-section study was designed to determine whether individual and residential
environment characteristics are associated with physical activity in this
population. METHODS: A total of 275 breast cancer survivors completed self-report
items measuring sociodemographic variables, physical activity, and select
barriers to physical activity in Spring of 2012. Neighborhood disadvantage
variables were extracted from national databases. Regression models were computed
to assess relationships. RESULTS: Traditional correlates of smoking status and
the presence of health complications were associated with physical activity. In
addition, the relative number of renters versus homeowners in one's neighborhood
was associated with lower levels of physical activity in the context of
individual level barriers (i.e., interest and space), which were also associated
with lower levels of physical activity. DISCUSSION: Higher renter rates and
individual barriers both contribute to lower levels of physical activity in
African American breast cancer survivors. These data suggest that the potential
for constant residential turnover (via rentership) and perceived barriers may
increase physical inactivity even where facilities may be available.
PMID- 26566473
TI - Induced myelomonocytic differentiation in leukemia cells is accompanied by
noncanonical transcription factor expression.
AB - Transcription factors that drive non-neoplastic myelomonocytic differentiation
are well characterized but have not been systematically analyzed in the leukemic
context. We investigated widely used, patient-derived myeloid leukemia cell lines
with proclivity for differentiation into granulocytes by retinoic acid (RA)
and/or monocytes by 1,25-dihyrdroxyvitamin D3 (D3). Using K562 (FAB M1), HL60
(FAB M2), RA-resistant HL60 sublines, NB4 (FAB M3), and U937 (FAB M5), we
correlated nuclear transcription factor expression to immunophenotype, G1/G0 cell
cycle arrest and functional inducible oxidative metabolism. We found that
myelomonocytic transcription factors are aberrantly expressed in these cell
lines. Monocytic-lineage factor EGR1 was not induced by D3 (the monocytic
inducer) but instead by RA (the granulocytic inducer) in lineage bipotent
myeloblastic HL60. In promyelocytic NB4 cells, EGR1 levels were increased by D3,
while Gfi-1 expression (which promotes the granulocytic lineage) was upregulated
during D3-induced monocytic differentiation in HL60, and by RA treatment in
monocytic U937 cells. Furthermore, RARalpha and VDR expression were not strongly
correlated to differentiation. In response to different differentiation inducers,
U937 exhibited the most distinct transcription factor expression profile, while
similarly mature NB4 and HL60 were better coupled. Overall, the differentiation
induction agents RA and D3 elicited cell-specific responses across these common
FAB M1-M5 cell lines.
PMID- 26566474
TI - Functional distribution of synapsin I in human sperm.
AB - Proteins known to function during cell-cell communication and exocytosis in
neurons and other secretory cells have recently been reported in human sperm.
Synapsins are a group of proteins that have been very well characterized in
neurons, but little is known about synapsin function in other cell types. Based
upon previous findings and the known function of synapsin, we tested the
hypothesis that synapsin I was present in human sperm. Washed, capacitated, and
acrosome induced sperm preparations were used to evaluate the functional
distribution of synapsin I using immunocytochemistry. Protein extracts from mouse
brain, mouse testis/epididymis, and human semen were used for protein blotting
techniques. Immunolocalization revealed synapsin I was enriched in the sperm
equatorial segment. Protein extracts from mouse brain, mouse testis/epididymis,
and human semen were positive for synapsin I using several different antibodies,
and dot blot results were confirmed by Western blot analyses. Finally, treatment
of capacitated and acrosome reaction induced samples with anti-synapsin
antibodies significantly reduced sperm motility. Localization of synapsin I in
human sperm is a novel finding. The association of synapsin I with the sperm
equatorial segment and effects on motility are suggestive of a role associated
with capacitation and/or acrosome reaction, processes that render sperm capable
of fertilizing an oocyte.
PMID- 26566475
TI - Study of circulating IgG antibodies to BIRC5 and MYC in non-small cell lung
cancer.
AB - An in-house enzyme-linked immunosorbent assay (ELISA) was developed in this study
to detect circulating IgG antibodies to peptide antigens derived from baculoviral
IAP repeat-containing protein 5 isoform 2 (BIRC5) and myc proto-oncogene protein
(MYC) in non-small cell lung cancer (NSCLC). Student's t-test revealed that
circulating anti-MYC IgG levels were significantly increased in patients with
NSCLC compared with control subjects in the discovery sample (t = 3.96, P =
0.0001) but not in the validation sample (t = 1.24, P = 0.217), generating a
combined P-value of 0.0003. Neither the discovery sample nor the validation
sample showed a significant change in anti-BIRC5 IgG levels in NSCLC. Further
analysis was performed to investigate whether circulating IgG antibodies to these
two tumor-associated antigens (TAAs) significantly changed with early (stages I +
II) and late (stages III + IV) NSCLC stages. The results showed that neither anti
MYC IgG nor anti-BIRC5 IgG levels significantly changed in patients with early
stage NSCLC, while patients with late stage NSCLC had higher levels of
circulating anti-MYC IgG than control subjects in the discovery sample (t = 4.74,
P < 0.0001) but not in the validation sample (t = 0.80, P = 0.423), generating a
combined P-value of 0.00003 (X (2) = 26.13, df = 4). In conclusion, circulating
IgG antibodies to MYC and BIRC5 do not appear to serve as biomarkers for early
diagnosis of lung cancer but anti-MYC IgG might have a prognostic value.
PMID- 26566476
TI - House dust mites possess a polymorphic, single domain putative peptidoglycan d,l
endopeptidase belonging to the NlpC/P60 Superfamily.
AB - A 14 kDa protein homologous to the gamma-d-glutamyl-l-diamino acid endopeptidase
members of the NlpC/P60 Superfamily has been described in Dermatophagoides
pteronyssinus and Dermatophagoides farinae but it is not clear whether other
species produce homologues. Bioinformatics revealed homologous genes in other
Sarcopteformes mite species (Psoroptes ovis and Blomia tropicalis) but not in
Tetranychus urticae and Metaseiulus occidentalis. The degrees of identity
(similarity) between the D. pteronyssinus mature protein and those from D.
farinae, P. ovis and B. tropicalis were 82% (96%), 77% (93%) and 61% (82%),
respectively. Phylogenetic studies showed the mite proteins were monophyletic and
shared a common ancestor with both actinomycetes and ascomycetes. The gene
encoding the D. pteronyssinus protein was polymorphic and intronless in contrast
to that reported for D. farinae. Homology studies suggest that the mite,
ascomycete and actinomycete proteins are involved in the catalysis of stem
peptide attached to peptidoglycan. The finding of a gene encoding a P60 family
member in the D. pteronyssinus genome together with the presence of a bacterial
promotor suggests an evolutionary link to one or more prokaryotic endosymbionts.
PMID- 26566477
TI - Pediatric obesity prevention: From naive examination of energy imbalance towards
strategies that influence the competition for nutrient resources among tissues.
AB - Current pediatric obesity interventions have collectively yielded relatively
unsuccessful results. In this Field of Vision, we present plausible physiologic
underpinnings fostering ineffectiveness of conventional strategies grounded in
requisite induction of negative energy imbalance. Moreover, such recommendations
exacerbate the underlying metabolic dysfunction by further limiting metabolic
fuel availability, lowering energy expenditure, and increasing hunger
(recapitulating the starvation response amid apparent nutritional adequacy) which
precede and promote obesity during growth and development. The qualitative
aspects of musculoskeletal system (i.e., endocrine response, muscle functional
capacity) are likely to improve metabolic function and increase nutrient delivery
and utilization. An intricate and complex system including multiple feedback
mechanisms operates to homeostatically regulate energy balance and support
optimal body composition trajectories and metabolic health, during growth and
development. Thus, ignoring the interdependencies of regulatory growth processes
initiates a nuanced understanding of energy regulation and thus misguided
attempts at preventive strategies. Importantly, these gains are not dependent
upon weight-loss, rather we suggest can be achieved through resistance training.
Collectively, optimizing musculoskeletal health via resistance training elicits
augmentation of competitive capacity across systems. Further, substantial gains
can be achieved in skeletal muscle mass, strength, and functional capacity
through resistance training in a relatively short period of time.
PMID- 26566478
TI - Single-incision pediatric endosurgery in newborns and infants.
AB - This study focuses on the successful application of single-incision pediatric
endosurgery in the treatment of congenital anomalies and acquired diseases in
neonates and infants. The purpose of this scientific review consists in
highlighting the spectrum, indications, applicability, and effectiveness of
single-port endosurgery in children during the first 3 postnatal months.
PMID- 26566479
TI - Congenital and childhood myotonic dystrophy: Current aspects of disease and
future directions.
AB - Myotonic dystrophy type 1 (DM1) is multisystem disease arising from mutant CTG
expansion in the non-translating region of the dystrophia myotonica protein
kinase gene. While DM1 is the most common adult muscular dystrophy, with a
worldwide prevalence of one in eight thousand, age of onset varies from before
birth to adulthood. There is a broad spectrum of clinical severity, ranging from
mild to severe, which correlates with number of DNA repeats. Importantly, the
early clinical manifestations and management in congenital and childhood DM1
differ from classic adult DM1. In neonates and children, DM1 predominantly
affects muscle strength, cognition, respiratory, central nervous and
gastrointestinal systems. Sleep disorders are often under recognised yet a
significant morbidity. No effective disease modifying treatment is currently
available and neonates and children with DM1 may experience severe physical and
intellectual disability, which may be life limiting in the most severe forms.
Management is currently supportive, incorporating regular surveillance and
treatment of manifestations. Novel therapies, which target the gene and the
pathogenic mechanism of abnormal splicing are emerging. Genetic counselling is
critical in this autosomal dominant genetic disease with variable penetrance and
potential maternal anticipation, as is assisting with family planning and
undertaking cascade testing to instigate health surveillance in affected family
members. This review incorporates discussion of the clinical manifestations and
management of congenital and childhood DM1, with a particular focus on
hypersomnolence and sleep disorders. In addition, the molecular genetics,
mechanisms of disease pathogenesis and development of novel treatment strategies
in DM1 will be summarised.
PMID- 26566481
TI - Current management of pediatric soft tissue sarcomas.
AB - Pediatric soft tissue sarcomas are a group of malignant neoplasms arising within
embryonic mesenchymal tissues during the process of differentiation into muscle,
fascia and fat. The tumors have a biphasic peak for age of incidence.
Rhabdomyosarcoma (RMS) is diagnosed more frequently in younger children, whereas
adult-type non-RMS soft tissue sarcoma is predominately observed in adolescents.
The latter group comprises a variety of rare tumors for which diagnosis can be
difficult and typically requires special studies, including immunohistochemistry
and molecular genetic analysis. Current management for the majority of pediatric
sarcomas is based on the data from large multi-institutional trials, which has
led to great improvements in outcomes over recent decades. Although surgery
remains the mainstay of treatment, the curative aim cannot be achieved without
adjuvant treatment. Pre-treatment staging and risk classification are of prime
importance in selecting an effective treatment protocol. Tumor resectability, the
response to induction chemotherapy, and radiation generally determine the risk
group, and these factors are functions of tumor site, size and biology. Surgery
provides the best choice of local control of small resectable tumors in a
favorable site. Radiation therapy is added when surgery leaves residual disease
or there is evidence of regional spread. Chemotherapy aims to reduce the risk of
relapse and improve overall survival. In addition, upfront chemotherapy reduces
the aggressiveness of the required surgery and helps preserve organ function in a
number of cases. Long-term survival in low-risk sarcomas is feasible, and the
intensity of treatment can be reduced. In high-risk sarcoma, current research is
allowing more effective disease control.
PMID- 26566480
TI - Caffeine therapy in preterm infants.
AB - Caffeine is the most commonly used medication for treatment of apnea of
prematurity. Its effect has been well established in reducing the frequency of
apnea, intermittent hypoxemia, and extubation failure in mechanically ventilated
preterm infants. Evidence for additional short-term benefits on reducing the
incidence of bronchopulmonary dysplasia and patent ductus arteriosus has also
been suggested. Controversies exist among various neonatal intensive care units
in terms of drug efficacy compared to other methylxanthines, dosage regimen, time
of initiation, duration of therapy, drug safety and value of therapeutic drug
monitoring. In the current review, we will summarize the available evidence for
the best practice in using caffeine therapy in preterm infants.
PMID- 26566482
TI - Fever tree revisited: From malaria to autoinflammatory diseases.
AB - Over the centuries the idea of recurrent fevers has mainly been associated with
malaria, but many other fevers, such as typhoid and diphtheria were cause for
concern. It is only in recent times, with the more severe forms of fever from
infectious origin becoming less frequent or a cause for worry that we started
noticing recurrent fevers without any clear infectious cause, being described as
having a pathogenesis of autoinflammatory nature. The use of molecular
examinations in many cases can allow a diagnosis where the cause is monogenic. In
other cases, however the pathogenesis is likely to be multifactorial and the
diagnostic-therapeutic approach is strictly clinical. The old fever tree paradigm
developed to describe fevers caused by malaria has been revisited here to
describe today's periodic fevers from the periodic fever adenitis pharyngitis
aphthae syndrome to the more rare autoinflammatory diseases. This model may allow
us to place cases that are yet to be identified which are likely to be of
multifactorial origin.
PMID- 26566483
TI - Efficiency of upper gastrointestinal endoscopy in pediatric surgical practice.
AB - After the introduction of flexible fiber optic endoscopy to pediatric
gastroenterology in the 1970s, upper gastrointestinal (UGI) endoscopy can be
performed for the diagnosis and treatment of all age groups of children. We
review indications, contraindications, preparation of patients for the procedure,
and details of diagnostic and therapeutic UGI endoscopy used in pediatric
surgery. We also discuss potential complications of endoscopy.
PMID- 26566484
TI - Hirschsprung's disease: Historical notes and pathological diagnosis on the
occasion of the 100(th) anniversary of Dr. Harald Hirschsprung's death.
AB - Hirschsprung's disease (HSCR) or congenital megacolon is one of the differential
diagnoses of chronic constipation mostly in infancy and may indeed represent a
challenge for pediatricians, pediatric surgeons, and pediatric pathologists. The
diagnosis relies clearly on the identification of the absence of ganglion cells
at the plexuses (submucosus and myentericus) of the bowel wall. HSCR is usually
located at the terminal (distal) rectum with potential pre-terminal or proximal
extension to the less distal large bowel (sigmoid colon). Astonishingly, there is
some evidence that Hindu surgeons of prehistoric India may have been exposed and
had considerable knowledge about HSCR, but this disease is notoriously and
eponymously named to Dr. Harald Hirschsprung (1830-1916), who brilliantly
presented two infants with fatal constipation at the Berlin conference of the
German Society of Pediatrics more than one century ago. Historical milestones and
diagnosis of HSCR (originally called "Die Hirschsprungsche Krankheit") are
reviewed. More than 100 years following his meticulous and broad description,
HSCR is still a puzzling disease for both diagnosis and treatment. HSCR remains a
critical area of clinical pediatrics and pediatric surgery and an intense area of
investigation for both molecular and developmental biologists.
PMID- 26566485
TI - Acute encephalitis and encephalopathy associated with human parvovirus B19
infection in children.
AB - Reports of neurologic manifestations of human parvovirus B19 (B19) infection have
been on the rise. Acute encephalitis and encephalopathy is the most common,
accounting for 38.8% of total B19-associated neurological manifestations. To
date, 34 children with B19 encephalitis and encephalopathy have been reported,
which includes 21 encephalitis and 13 encephalopathy cases. Ten (29%) were
immunocompromised and 17 (39%) had underlying diseases. Fever at the onset of
disease and rash presented in 44.1% and 20.6% of patients, respectively.
Neurological manifestations include alteration of consciousness occurred in all
patients, seizures in 15 (44.1%) patients, and focal neurologic signs in 12
(35.3%) patients. Anemia and pleocytosis in cerebrospinal fluid (CSF) occurred in
56.3% and 48.1% of patients, respectively. Serum Anti-B19 IgM (82.6%) and CSF B19
DNA (90%) were positive in the majority of cases. Some patients were treated with
intravenous immunoglobulins and/or steroids, although an accurate evaluation of
the efficacy of these treatment modalities cannot be determined. Nineteen (57.6%)
patients recovered completely, 11 (33.3%) patients had some neurological sequelae
and 3 (8.8%) patients died. Although the precise pathogenesis underlying the
development of B19 encephalitis and encephalopathy is unclear, direct B19
infection or NS1protein of B19 toxicity in the brain, and immune-mediated brain
injuries have been proposed.
PMID- 26566487
TI - Limitations of urease test in diagnosis of pediatric Helicobacter pylori
infection.
AB - The diagnosis of Helicobacter pylori (H. pylori) infection is usually based on
the results of urease test and histology. The urease test known as a simple and
cheap method does not need special skills to perform or to read the result. The
time needed for the test to turn positive depends on the concentration of
bacteria, and the accuracy is up to the density of H. pylori density in the
biopsy sample, which is generally lower in children than adolescents and adults.
Therefore, there are debates about the sensitivity of the urease test in
children. The reason for lower sensitivity of the urease test in children was not
identified, but might be related to the low density and patchy distribution of
bacteria. In this review, we discuss the limitations of the urease test in
children according to age, histology, number of biopsy samples, and biopsy site.
In children under 5 years old, the differences in positivity rate when the urease
test used one or three biopsy samples, and samples from the antrum or the gastric
body, were larger than those in children aged 5-15 years. Thus, three or more
biopsy samples from both the antrum and body would improve the sensitivity of H.
pylori infection diagnosis in children under 5 years old.
PMID- 26566486
TI - Use of corticosteroids during acute phase of Kawasaki disease.
AB - In spite of initial intravenous immunoglobulin (IVIG) treatment, a significant
number of patients are unresponsive to it and are at a higher risk for coronary
artery lesions. Corticosteroids have been used as a secondary drug or used in
combination with IVIG. Three options of using corticosteroids for the treatment
of patients during the acute phase of Kawasaki disease, have been considered. The
first is their use exclusively for patients unresponsive to IVIG treatment. The
second is their use in combination with IVIG as the routine first line therapy
for all patients. The last is the use in the combination as the first line
therapy for selected patients at a high risk being unresponsive to initial IVIG.
However, it is uncertain that the corticosteroids as the second line treatment
are better than the additional IVIG in patients unresponsive to initial IVIG. The
combination of corticosteroids and IVIG as the routine first line therapy also
have not enough evidences. The last option of using corticosteroids - the
combination of corticosteroids and IVIG in patients at high risk of
unresponsiveness, is a properly reasonable treatment strategy. However, there
have been no globally standardized predictive models for the unresponsiveness to
initial IVIG treatment. Therefore, future investigations to determine the best
predictive model are necessary.
PMID- 26566488
TI - Coeliac disease in children in Christchurch, New Zealand: Presentation and
patterns from 2000-2010.
AB - AIM: To evaluate the presentation patterns of a cohort of children diagnosed with
coeliac disease (CD) at Christchurch Hospital, New Zealand. METHODS: Children
aged 16 years or less diagnosed with CD at Christchurch Hospital, Christchurch,
New Zealand, over the 11 year period between 2000 and 2010 were identified
retrospectively. Diagnosis of CD was based upon standard histological criteria of
endoscopically-obtained duodenal biopsies. Overlapping search methods were used
to identify all relevant diagnoses within the time period. Endoscopy reports and
histology findings were reviewed to confirm diagnosis. The numbers of diagnoses
per year were calculated and changes in annual rates over the study period were
delineated. Available records were reviewed to ascertain presenting symptoms,
baseline anthropometry and the indication for referral for each child. In
addition, the results of relevant investigations prior to diagnosis were accessed
and reviewed. These key investigations included the results of coeliac serology
testing (including tissue transglutaminase and endomysial antibodies) as well as
the results of tests measuring levels of micronutrients, such as iron. In
addition, the histological findings of concurrent biopsies in the oesophagus and
stomach were reviewed. RESULTS: Over the 11 year study period, 263 children were
diagnosed with CD at this New Zealand paediatric facility. Children were
diagnosed from late infancy to 16.9 years: the largest subgroup of children (n =
111) were diagnosed between 5 and 12 years of age. The numbers of children
diagnosed each year increased from 13 per year to 31 per year over the 11 years
(P = 0.0095). Preschool children (aged less than 5 years) were more likely to
have low weight, and to have diarrhoea and abdominal pain prior to diagnosis.
Older children (over 5 years of age) most commonly presented with abdominal pain.
Fifty-six (21.6%) of the 263 children were diagnosed following screening in high
risk groups, with 38 of these children having no symptoms at diagnosis. Mean
weight Z scores were lower in children aged less than five years than children
aged 5-12 years or older children (-0.4096 +/- 1.24, vs 0.1196 +/- 0.966 vs
0.0901 +/- 1.14 respectively: P = 0.0033). CONCLUSION: Increasing numbers of
children were diagnosed with CD in this New Zealand centre over this time, with
varied presentations and symptoms.
PMID- 26566489
TI - Use of laparoscopy as the initial surgical approach of impalpable testes: 10-year
experience.
AB - AIM: To review the experience in the management of impalpable testes using
laparoscopy as the initial approach and the need for inguinal exploration.
METHODS: From January 2004 to June 2014, 339 patients with undescended testes
underwent operation in our institute. Fifty patients (15%) had impalpable testes.
All children with impalpable testes underwent initial laparoscopy. A
retrospective review was conducted on this group of patients and the outcome was
analyzed. RESULTS: Forty children had unilateral impalpable testis. Ten children
had bilateral impalpable testes. Thirty-one children (78%) in the unilateral
group underwent subsequent inguinal exploration while 4 children (40%) in the
bilateral group underwent inguinal exploration (P < 0.05). Orchidopexy was
performed in 16 children (40%) in the unilateral group and 9 children (90%) in
the bilateral group (P < 0.05). Regarding the 24 children with unilateral
impalpable testis and underwent orchidectomy for testicular nubbin (n = 19) or
atrophic testes (n = 2) or has vanishing testes (n = 3); contralateral testicular
hypertrophy was noticed in 10 (41%). No intra-operative complication was
encountered. Two children after staged Fowler-Stephens procedure and 1 child
after inguinal orchidopexy had atrophic testes. CONCLUSION: The use of
laparoscopy in children with impalpable testes is a safe procedure and can guide
the need for subsequent inguinal exploration. Children with unilateral impalpable
testis were associated with an increased need for inguinal exploration after
laparoscopy. Orchidopexies could be performed successfully in 90% of children
with bilateral impalpable testes.
PMID- 26566490
TI - Inflammatory fibroid polyps in children: A new case report and a systematic
review of the pediatric literature.
AB - AIM: To study that inflammatory fibroid polyps (IFPs) in children are extremely
uncommon tumors that may occur throughout the gastrointestinal tract. METHODS: A
systematic review of the pediatric literature and a report of a new case of IFP
is also presented. The PubMed database was searched for original studies on
pediatric IFPs since 1960, according to "Preferred reporting items for systematic
reviews and meta-analyses" guidelines for systematic reviews. RESULTS: Five
studies were finally enclosed, encompassing 6 children with IFPs (mean age 64
mo). Tumors were located in the stomach (2 patients), in the small bowel (2
patients), in the rectum (1 patient) and in the colon (1 patient). Open surgery
was performed in all patients and complete excision of the mass was achieved in
all cases. All patients are alive and free of symptom. Authors described a
further case of a 3-year-old boy with a large duodenal IFP, in whom the tumor was
removed by "en block resection". The presence of IFP throughout the
gastrointestinal tract and its variable clinical appearances make it difficult to
diagnose. An accurate pre-operative assessment is fundamental in order to
differentiate IFP from other more aggressive gastrointestinal tumor, enabling
unnecessary demolitive surgery. CONCLUSION: When complete resection of the IFP is
achieved, the prognosis is excellent.
PMID- 26566492
TI - Interaction between Glucose and Lipid Metabolism: More than Diabetic
Dyslipidemia.
AB - Glucose and lipid metabolism are linked to each other in many ways. The most
important clinical manifestation of this interaction is diabetic dyslipidemia,
characterized by elevated triglycerides, low high density lipoprotein cholesterol
(HDL-C), and predominance of small-dense LDL particles. However, in the last
decade we have learned that the interaction is much more complex.
Hypertriglyceridemia and low HDL-C cannot only be the consequence but also the
cause of a disturbed glucose metabolism. Furthermore, it is now well established
that statins are associated with a small but significant increase in the risk for
new onset diabetes. The underlying mechanisms are not completely understood but
modulation of 3-hydroxy-3-methylglutaryl-coenzyme A (HMG CoA)-reductase may play
a central role as genetic data indicate that mutations resulting in lower HMG CoA
reductase activity are also associated with obesity, higher glucose
concentrations and diabetes. Very interestingly, this statin induced increased
risk for new onset type 2 diabetes is not detectable in subjects with familial
hypercholesterolemia. Furthermore, patients with familial hypercholesterolemia
seem to have a lower risk for type 2 diabetes, a phenomenon which seems to be
dose-dependent (the higher the low density lipoprotein cholesterol, the lower the
risk). Whether there is also an interaction between lipoprotein(a) and diabetes
is still a matter of debate.
PMID- 26566493
TI - Perspective of Small-Molecule AdipoR Agonist for Type 2 Diabetes and Short Life
in Obesity.
AB - Obesity associated with unhealthy diet and lack of exercise is shown to
contribute to the onset and/or aggravation of the metabolic syndrome and
diabetes, thus placing affected individuals at increased risk of cardiovascular
disease and cancer. Plasma adiponectin levels are decreased in obesity, which
causes insulin resistance and diabetes. Therefore, we identified adiponectin
receptors (AdipoRs) as the therapeutic target. It was suggested that, similarly
to caloric restriction and exercise, activation of the AdipoRs may have the
potential not only to improve lifestyle-related diseases but to contribute to
prolonged the shortened lifespan on a high caloric unhealthy diet. To this end,
we have identified "AdipoRon" as an adiponectin receptor agonist. Indeed,
AdipoRon ameliorated diabetes associated with obesity as well as to increase
exercise endurance, thus prolonging shortened lifespan of obese mice fed on a
high fat diet. Additionally, we have recently determined the crystal structures
of the human AdipoRs. The seven-transmembrane helices of AdipoRs are structurally
distinct from those of G-protein coupled receptors. It is expected that these
findings will contribute not only to the elucidation of the AdipoR-related signal
transduction but to the development and optimization of AdipoR-targeted
therapeutics for obesity-related diseases such as diabetes.
PMID- 26566494
TI - Dipeptidyl Peptidase 4 Inhibitors and the Risk of Cardiovascular Disease in
Patients with Type 2 Diabetes: A Tale of Three Studies.
AB - Dipeptidyl peptidase 4 (DPP4) inhibitors have been touted as promising
antihyperglycemic agents due to their beneficial effects on glycemia without
inducing hypoglycemia or body weight gain and their good tolerability. Beyond
their glucose-lowering effects, numerous clinical trials and experimental studies
have suggested that DPP4 inhibitors may exert cardioprotective effects through
their pleiotropic actions via glucagon-like peptide 1-dependent mechanisms or
involving other substrates. Since 2008, regulatory agencies have required an
assessment of cardiovascular disease (CVD) safety for the approval of all new
anti-hyperglycemic agents, including incretin-based therapies. Three large
prospective DPP4 inhibitor trials with cardiovascular (CV) outcomes have recently
been published. According to the Saxagliptin Assessment of Vascular Outcomes
Recorded in Patients with Diabetes Mellitus (SAVOR-TIMI 53) and EXamination of
cArdiovascular outcoMes with alogliptIN versus standard of carE in patients with
type 2 diabetes mellitus and acute coronary syndrome (EXAMINE) trials, DPP4
inhibitors, including saxagliptin and alogliptin, did not appear to increase the
risk of CV events in patients with type 2 diabetes and established CVD or high
risk factors. Unexpectedly, saxagliptin significantly increased the risk of
hospitalization for heart failure by 27%, a finding that has not been explained
and that requires further exploration. More recently, the Trial Evaluating
Cardiovascular Outcomes with Sitagliptin (TECOS) trial demonstrated the CV safety
of sitagliptin, including assessments of the primary composite CV endpoint and
hospitalization for heart failure in patients with type 2 diabetes and
established CVD. The CV outcomes of an ongoing linagliptin trial are expected to
provide new evidence about the CV effects of a DPP4-inhibitor in patients with
type 2 diabetes.
PMID- 26566491
TI - The role of the Wnt signaling pathway in cancer stem cells: prospects for drug
development.
AB - Cancer stem cells (CSCs), also known as tumor initiating cells are now considered
to be the root cause of most if not all cancers, evading treatment and giving
rise to disease relapse. They have become a central focus in new drug
development. Prospective identification, understanding the key pathways that
maintain CSCs, and being able to target CSCs, particularly if the normal stem
cell population could be spared, could offer an incredible therapeutic advantage.
The Wnt signaling cascade is critically important in stem cell biology, both in
homeostatic maintenance of tissues and organs through their respective somatic
stem cells and in the CSC/tumor initiating cell population. Aberrant Wnt
signaling is associated with a wide array of tumor types. Therefore, the ability
to safely target the Wnt signaling pathway offers enormous promise to target
CSCs. However, just like the sword of Damocles, significant risks and concerns
regarding targeting such a critical pathway in normal stem cell maintenance and
tissue homeostasis remain ever present. With this in mind, we review recent
efforts in modulating the Wnt signaling cascade and critically analyze
therapeutic approaches at various stages of development.
PMID- 26566495
TI - Role of Bilirubin in Diabetic Vascular Complications: Can Bilirubin Predict More
than Just Liver Disease?
PMID- 26566496
TI - Changing Clinical Characteristics according to Insulin Resistance and Insulin
Secretion in Newly Diagnosed Type 2 Diabetic Patients in Korea.
AB - BACKGROUND: The role of increased insulin resistance in the pathogenesis of type
2 diabetes has been emphasized in Asian populations. Thus, we evaluated the
proportion of insulin resistance and the insulin secretory capacity in patients
with early phase type 2 diabetes in Korea. METHODS: We performed a cross
sectional analysis of 1,314 drug-naive patients with newly diagnosed diabetes
from primary care clinics nationwide. The homeostasis model assessment of insulin
resistance (HOMA-IR) was used as an index to measure insulin resistance, which
was defined as a HOMA-IR >=2.5. Insulin secretory defects were classified based
on fasting plasma C-peptide levels: severe (<1.1 ng/mL), moderate (1.1 to 1.7
ng/mL) and mild to non-insulin secretory defect (>=1.7 ng/mL). RESULTS: The mean
body mass index (BMI) was 25.2 kg/m(2); 77% of patients had BMIs >23.0 kg/m(2).
Up to 50% of patients had central obesity based on their waist circumference
(>=90 cm in men and 85 cm in women), and 70.6% had metabolic syndrome. Overall,
59.5% of subjects had insulin resistance, and 20.2% demonstrated a moderate to
severe insulin secretory defect. Among those with insulin resistance, a high
proportion of subjects (79.0%) had a mild or no insulin secretory defect. Only
2.6% of the men and 1.9% of the women had both insulin resistance and a moderate
to severe insulin secretory defect. CONCLUSION: In this study, patients with
early phase type 2 diabetes demonstrated increased insulin resistance, but
preserved insulin secretion, with a high prevalence of obesity and metabolic
syndrome.
PMID- 26566497
TI - The Association between Hypertension Comorbidity and Microvascular Complications
in Type 2 Diabetes Patients: A Nationwide Cross-Sectional Study in Thailand.
AB - BACKGROUND: Type 2 diabetes mellitus (T2DM) is a global pandemic and its
prevalence is rapidly increasing in developing countries, including Thailand. The
most common comorbidity of T2DM is hypertension. T2DM with a hypertension
comorbidity is likely to exacerbate the development of, or more severe
microvascular complications. This study aims to determine the association between
the hypertension comorbidity and microvascular complication among T2DM patients
in Thailand. METHODS: The present study is a nationwide, multicenter, cross
sectional survey of T2DM outpatients across Thailand. Binary logistic mixed
effect regression was used to investigate the effect of hypertension and other
risk factors on the presence of microvascular complications. Imputation was used
to investigate potential bias introduced by missing values. RESULTS: Of the
55,797 T2DM patients included in our sample, 55.35% were hypertensive. Prevalence
of microvascular complication diagnosis in the last 12 months was higher in T2DM
patients with hypertension than those without hypertension (12.12% vs. 9.80%,
respectively). Patient with a hypertension comorbidity had 1.32 time the odds of
developing microvascular complication (adjusted odds ratio [OR], 1.32; 95%
confidence interval [CI], 1.20 to 1.46; P<0.001). Older age, longer diabetes
duration had 1.07 and 1.21 times the odds of developing microvascular
complication, per 10 years (age) and 5 years (duration), respectively (ORage,
1.07; 95% CI, 1.03 to 1.12; P<0.001; and ORduration, 1.12; 95% CI, 1.07 to 1.16;
P<0.001; respectively). Minimal bias was introduced by missing values, and did
not influence to the magnitude of effect of hypertension on the presence
microvascular complication. CONCLUSION: Hypertension comorbidity is highly
associated with microvascular complication among T2DM patients. Patients with
T2DM and physicians should pay attention to blood pressure control.
PMID- 26566498
TI - Increased Epicardial Adipose Tissue Thickness in Type 2 Diabetes Mellitus and
Obesity.
AB - BACKGROUND: Epicardial adipose tissue (EAT) is suggested to play an important
role in the progression of metabolic syndrome. We aimed to establish a simple
method to measure EAT and examine the differences in EAT thickness according to
the presence of type 2 diabetes mellitus or obesity. METHODS: A total of 94
patients (42.6% type 2 diabetes mellitus, 53.2% obese, mean age 61+/-13) who
underwent multidetector computed tomography were enrolled. Thickness of EAT was
measured on the parasternal short and horizontal long axis view. Epicardial fat
area (EFA) was measured at the level of left main coronary artery (LMCA).
RESULTS: All EAT thicknesses were correlated with EFA at the LMCA level (r=0.235
to 0.613, all Ps<0.05), and EAT thickness in the left atrioventricular groove
(LAVG) had the highest correlation coefficient (r=0.613). EFA, and EAT
thicknesses in the LAVG and the left ventricular apex were higher in the group
with type 2 diabetes mellitus than in the group without type 2 diabetes mellitus
when adjusted only for body mass index. When adjusted only for type 2 diabetes
mellitus, EFA, and EAT thicknesses in the LAVG and the right atrioventricular
groove were higher in obese group than in nonobese group. CONCLUSION: In
conclusion, EAT thickness can be easily measured and represent EFA. EAT
thickness, especially in LAVG, was higher in groups with type 2 diabetes mellitus
and obesity independently. These findings implicate that EAT thickness may be a
useful indicator for type 2 diabetes mellitus and obesity.
PMID- 26566499
TI - Serum Total Bilirubin Levels Provide Additive Risk Information over the
Framingham Risk Score for Identifying Asymptomatic Diabetic Patients at Higher
Risk for Coronary Artery Stenosis.
AB - BACKGROUND: The diagnosis of coronary artery disease (CAD) is often delayed in
patients with type 2 diabetes. Serum total bilirubin levels are inversely
associated with CAD. However, no studies have examined whether this can be used
as a biochemical marker for identifying asymptomatic diabetic patients at higher
risk for having obstructive CAD. METHODS: We performed a cross-sectional study of
460 consecutive asymptomatic patients with type 2 diabetes. All patients
underwent coronary computed tomographic angiography, and their serum total
bilirubin levels were measured. Obstructive CAD was defined as >=50% diameter
stenosis in at least one coronary artery. RESULTS: Serum total bilirubin tertiles
showed an inverse association with the prevalence of obstructive CAD. In
multivariate logistic regression analysis, the odds ratio for the highest versus
the lowest tertile of total bilirubin was 0.227 (95% confidence interval [CI],
0.130 to 0.398), and an increment of 1 umol/L in serum total bilirubin level was
associated with a 14.6% decrease in obstructive CAD after adjustment for
confounding variables. Receiver operating characteristic curve analysis showed
that the area under the curve for the Framingham Risk Score (FRS) plus serum
total bilirubin level was 0.712 (95% CI, 0.668 to 0.753), which is significantly
greater than that of the FRS alone (P=0.0028). CONCLUSION: Serum total bilirubin
level is inversely associated with obstructive CAD and provides additive risk
information over the FRS. Serum total bilirubin may be helpful for identifying
asymptomatic patients with type 2 diabetes who are at higher risk for obstructive
CAD.
PMID- 26566500
TI - The Preventive Effects of 8 Weeks of Resistance Training on Glucose Tolerance and
Muscle Fiber Type Composition in Zucker Rats.
AB - BACKGROUND: We investigated the therapeutic effects of resistance training on
Zucker rats before and after the onset of diabetes to understand the importance
of the timing of exercise intervention. We assessed whether 8 weeks of resistance
training ameliorated impaired glucose tolerance and altered muscle fiber type
composition in Zucker rats. METHODS: Five-week-old male Zucker rats were divided
into Zucker lean control (ZLC-Con), non-exercised Zucker diabetic fatty (ZDF
Con), and exercised Zucker diabetic fatty (ZDF-Ex) groups. The ZDF-Ex rats
climbed a ladder three times a week for 8 weeks. Intraperitoneal glucose
tolerance tests (IPGTT) were performed on the 1st and 8th weeks of training, and
grip strength was measured during the last week. We also measured glucose
transporter 4 (GLUT4) expression by Western blot and immunofluorescence.
Moreover, immunohistochemistry was performed to assess muscle fiber type
composition. RESULTS: Fasting glucose levels and area under the curve responses
to IPGTTs gradually increased as diabetes progressed in the ZDF-Con rats but
decreased in the ZDF-Ex rats. Grip strength decreased in the ZDF-Con rats.
However, resistance training did not improve grip strength in the ZDF-Ex rats.
GLUT4 expression in the ZLC-Con and the ZDF-Con rats did not differ, but it
increased in the ZDF-Ex rats. The proportions of myosin heavy chain I and II were
lower and higher, respectively, in the ZDF-Con rats compared to the ZLC-Con rats.
Muscle fiber type composition did not change in the ZDF-Ex rats. CONCLUSION: Our
results suggest that regular resistance training initiated at the onset of
diabetes can improve glucose tolerance and GLUT4 expression without changing
muscle morphology in Zucker rats.
PMID- 26566501
TI - Relationship between Biological Markers, Metabolic Components, Lifestyles, and
Impaired Fasting Glucose in Male Workers.
AB - BACKGROUND: Confirmation regarding the association between impaired fasting
glucose (IFG) and biomarkers in addition to metabolic components and lifestyle
factors are required in the occupational filed for preventing diabetes mellitus.
METHODS: The study was performed in working men aged 30 to 60 years old, who were
not taking medication for any metabolic diseases. The author measured the serum
levels of high-sensitivity C-reactive protein (CRP), uric acid, and plasma
fibrinogen as potential biomarkers of IFG. RESULTS: The mean serum uric acid, log
transformed serum CRP, and plasma fibrinogen levels were higher in the subjects
with IFG than in those without IFG. Multivariate analysis revealed significant
associations between the presence of IFG and age, log-transformed value of serum
CRP, increased waist circumference, hypertension, and hypertriglyceridemia, with
odds ratios of 1.1 (95% confidence interval [CI], 1.08 to 1.1; P<0.001), 1.8 (95%
CI, 1.4 to 2.3; P<0.001), 1.3 (95% CI, 1.09 to 1.7; P<0.01), 1.9 (95% CI, 1.6 to
2.3; P<0.001), and 1.3 (95% CI, 1.04 to 1.6; P<0.05), respectively, for the
presence of IFG. CONCLUSION: Serum CRP, age, and three metabolic components were
associated with IFG. In contrast, there were no significant associations between
IFG and lifestyle factors, serum uric acid or plasma fibrinogen.
PMID- 26566503
TI - Letter: Predictive Factors for Efficacy of Dipeptidyl Peptidase-4 Inhibitors in
Patients with Type 2 Diabetes Mellitus (Diabetes Metab J 2015;39:342-7).
PMID- 26566502
TI - Identification of Two Cases of Ciliopathy-Associated Diabetes and Their Mutation
Analysis Using Whole Exome Sequencing.
AB - BACKGROUND: Alstrom syndrome and Bardet-Biedl syndrome are autosomal recessively
inherited ciliopathies with common characteristics of obesity, diabetes, and
blindness. Alstrom syndrome is caused by a mutation in the ALMS1 gene, and Bardet
Biedl syndrome is caused by mutations in BBS1-16 genes. Herein we report
genetically confirmed cases of Alstrom syndrome and Bardet-Biedl syndrome in
Korea using whole exome sequencing. METHODS: Exome capture was done using
SureSelect Human All Exon Kit V4+UTRs (Agilent Technologies). HiSeq2000 system
(Illumina) was used for massive parallel sequencing. Sanger sequencing was used
for genotype confirmation and familial cosegregation analysis. RESULTS: A 21-year
old Korean woman was clinically diagnosed with Alstrom syndrome. She had
diabetes, blindness, obesity, severe insulin resistance, and hearing loss. Whole
exome sequencing revealed a nonsense mutation in exon 10 of ALMS1 (c.8776C>T,
p.R2926X) and a seven base-pair deletion resulting in frameshift mutation in exon
8 (c.6410_6416del, p.2137_2139del). A 24-year-old Korean man had Bardet-Biedl
syndrome with diabetes, blindness, obesity, and a history of polydactyly. Whole
exome sequencing revealed a nonsynonymous mutation in exon 11 of the BBS1 gene
(c.1061A>G, p.E354G) and mutation at the normal splicing recognition site of exon
7 of the BBS1 gene (c.519-1G>T). CONCLUSION: We found novel compound heterozygous
mutations of Alstrom syndrome and Bardet-Biedl syndrome using whole exome
sequencing. The whole exome sequencing successfully identified novel genetic
variants of ciliopathy-associated diabetes.
PMID- 26566504
TI - Response: Predictive Factors for Efficacy of Dipeptidyl Peptidase-4 Inhibitors in
Patients with Type 2 Diabetes Mellitus (Diabetes Metab J 2015;39:342-7).
PMID- 26566505
TI - Erratum: Figure Correction: Probiotics as Complementary Treatment for Metabolic
Disorders.
AB - [This corrects the article on p. 291 in vol. 39, PMID: 26301190.].
PMID- 26566506
TI - Theoretical Analysis of the Relative Impact of Obesity on Hemodynamic Stability
During Acute Hemorrhagic Shock.
AB - BACKGROUND: Evidence suggests that morbid obesity may be an independent risk
factor for adverse outcomes in patients with traumatic injuries. OBJECTIVES: In
this study, a theoretic analysis using a derivation of the Guyton model of
cardiovascular physiology examines the expected impact of obesity on hemodynamic
changes in Mean Arterial Pressure (MAP) and Cardiac Output (CO) during
Hemorrhagic Shock (HS). PATIENTS AND METHODS: Computer simulation studies were
used to predict the relative impact of increasing Body Mass Index (BMI) on global
hemodynamic parameters during HS. The analytic procedure involved recreating
physiologic conditions associated with changing BMI for a virtual subject in an
In Silico environment. The model was validated for the known effect of a BMI of
30 on iliofemoral venous pressures. Then, the relative effect of changing BMI on
the outcome of target cardiovascular parameters was examined during simulated
acute loss of blood volume in class II hemorrhage. The percent changes in these
parameters were compared between the virtual nonobese and obese subjects. Model
parameter values are derived from known population distributions, producing
simulation outputs that can be used in a deductive systems analysis assessment
rather than traditional frequentist statistical methodologies. RESULTS: In
hemorrhage simulation, moderate increases in BMI were found to produce greater
decreases in MAP and CO compared to the normal subject. During HS, the virtual
obese subject had 42% and 44% greater falls in CO and MAP, respectively, compared
to the nonobese subject. Systems analysis of the model revealed that an increase
in resistance to venous return due to changes in intra-abdominal pressure
resulting from obesity was the critical mechanism responsible for the
differences. CONCLUSIONS: This study suggests that obese patients in HS may have
a higher risk of hemodynamic instability compared to their nonobese counterparts
primarily due to obesity-induced increases in intra-abdominal pressure resulting
in reduced venous return.
PMID- 26566507
TI - Biomechanical Study Using the Finite Element Method of Internal Fixation in
Pauwels Type III Vertical Femoral Neck Fractures.
AB - BACKGROUND: Several factors are known to influence osseous union of femoral neck
fractures. Numerous clinical studies have reported different results, hence with
different recommendations regarding treatment of Pauwels III fractures: femoral
neck fractures with a more vertically oriented fracture line. The current study
aimed to analyze biomechanically whether this fracture poses a higher risk of
nonunion. OBJECTIVES: To analyze the influence of one designated factor, authors
believe that a computerized fracture model, using a finite element Finite Element
Method (FEM), may be essential to negate the influence of other factors. The
current study aimed to investigate a single factor, i.e. orientation of the
fracture line toward a horizontal line, represented by Pauwels classification. It
was hypothesized that a model with a vertically oriented fracture line
maintaining parity of all other related factors has a higher stress at the
fracture site, which would delay fracture healing. This result can be applicable
to other types of pinning. PATIENTS AND METHODS: The finite element models were
constructed from computed tomography data of the femur. Three fracture models,
treated with pinning, were constructed based on Pauwels classification: Type I,
30 degrees between the fracture line and a horizontal line; Type II, 50 degrees
; and Type III, 70 degrees . All other factors were matched between the models.
The Von Mises stress and principal stress distribution were examined along with
the fracture line in each model. RESULTS: The peak Von Mises stresses at the
medial femoral neck of the fracture site were 35, 50 and 130 MPa in Pauwels type
I, II, and III fractures, respectively. Additionally, the peak Von Mises stresses
along with the fracture site at the lateral femoral neck were 140, 16, and 8 MPa
in Pauwels type I, II, and III fractures, respectively. The principal stress on
the medial femoral neck in Pauwels type III fracture was identified as a traction
stress, whereas the principal stress on the lateral femoral neck in Pauwels type
I fracture was a compression stress. CONCLUSIONS: The most relevant finding was
that hook pinning in Pauwels type III fracture may result in delayed union or
nonunion due to significantly increased stress of a traction force at the
fracture site that works to displace the fracture. However, in a Pauwels type I
fracture, increased compression stress contributes to stabilize it. Surgeons are
recommended not to treat Pauwels type III femoral neck fractures by pinning.
PMID- 26566508
TI - Intrapelvic Lumbosacral Fracture Dislocation in a Neurologically Intact Patient:
A Case Report.
AB - INTRODUCTION: Lumbosacral fracture dislocation is a rare entity mainly occurred
in high-energy trauma accidents. In this unstable injury, anatomical separation
of the spinal column from pelvis is usually associated with severe neurological
deficits. CASE PRESENTATION: We described a 16-year-old girl with extremely
severe axial trauma to the lumbosacral spine who presented with fracture
dislocation of the lumbosacral spine and its intrusion to the pelvic space.
Despite violent lumbosacral joint dissociation on imaging studies, the patient
was neurologically intact. She was treated with spinopelvic fusion and
instrumentation. CONCLUSIONS: Although spinopelvic fracture dislocation injuries
are severe high-energy entities, in cases with traumatic spondylolytic
spondylolisthesis due to widening of the vertebral canal, neurologic deficit may
not be seen at all.
PMID- 26566509
TI - Bilateral Proximal Tibial Sleeve Fractures in a Child: A Case Report.
AB - INTRODUCTION: A sleeve fracture classically describes an avulsion of cartilage or
periosteum with or without osseous fragments and usually occurs at the inferior
margin of the patella. Tibial tubercle sleeve fractures in the skeletally
immature are extremely rare. CASE PRESENTATION: In this report the authors
describe a 12-year-old boy with no systemic disease and no steroid use who
sustained bilateral proximal tibial sleeve fractures whilst playing football.
Both ruptures were associated with rupture of the medial patellofemoral ligament
and tear of the medial retinaculum. Treatment was performed with primary end-to
end repair, reinforcement with bone anchors and cerclage wires with an excellent
outcome. CONCLUSIONS: We feel this rare, currently unclassified variant of a
tibial tubercle avulsion fracture should be recognised and consideration taken to
adding it to existing classification systems.
PMID- 26566510
TI - Infrapatellar Fat Pad Para-Articular Osteochondroma: A Ten-Year Follow-up and
Review.
AB - INTRODUCTION: Para-articular masses are not clear enough in terms of their
etiology and nomenclature. Although surgical removal of the mass is the preferred
treatment, long term follow-up after surgical treatment has not been reported
yet. The current study presents a patient with the osteo-cartilaginous mass of
infrapatellar region, diagnosed after a trauma. This case has the longest follow
up period in the literature. CASE PRESENTATION: A 52-year-old female patient
referred after falling down on her right knee. Lateral radiographs of the knee
revealed a mass in the infrapatellar area. The case was treated surgically by
total excision of the mass. The mass was extra-capsular with lobular and
irregular shape. After mass removal the clinical course was uneventful and at the
10-year follow-up, no signs of recurrence were evident clinically or
radiologically. CONCLUSIONS: Tumor-like lesions within the infrapatellar fat pad
should remind the para-articular osteochondroma. Although its etiology has not
yet been elicited, operative removal of the mass is the preferred treatment of
choice and also curative in long-term follow-up.
PMID- 26566511
TI - Correlation Between Intra-Abdominal Free Fluid and Solid Organ Injury in Blunt
Abdominal Trauma.
AB - BACKGROUND: In previous studies, the diagnostic value of Focused Assessment with
Sonography for Trauma (FAST) has been evaluated but few studies have been
performed on the relationship between the amount of free intra-abdominal fluid
and organ injury in blunt abdominal trauma. To select patients with a higher
probability of intra-abdominal injuries, several scoring systems have been
proposed based on the results of FAST. OBJECTIVES: The aim of this study was to
determine the prognostic value of FAST according to the Huang scoring system and
to propose a cut-off point for predicting the presence of intra-abdominal
injuries on the Computed Tomography (CT) scan. The correlation between age and
Glasgow Coma Scale (GCS) and the presence of intra-abdominal injuries on the CT
scan was also assessed. PATIENTS AND METHODS: This study was performed on 200
patients with severe blunt abdominal trauma who had stable vital signs. For all
patients, FAST-ultrasound was performed by a radiologist and the free fluid score
in the abdomen was calculated according to the Huang score. Immediately, an
intravenous contrast-enhanced abdominal CT scan was performed in all patients and
abdominal solid organ injuries were assessed. Results were analyzed using Kruskal
Wallis test, Mann-Whitney test and ROC curves. The correlation between age and
GCS and the presence of intra-abdominal injuries on CT-scan was also evaluated.
RESULTS: The mean age of the patients was 29.6 +/- 18.3 years and FAST was
positive in 67% of the subjects. A significant correlation was seen between the
FAST score and the presence of organ injury on CT scan (P < 0.001). Considering
the cut-off point of 3 for the free fluid score (with a range of 0-8),
sensitivity, specificity, positive predictive value and negative predictive value
were calculated to be 0.83, 0.98, 0.93, and 0.95, respectively. Age and GCS
showed no significant correlation with intra-abdominal injuries. CONCLUSIONS: It
seems that FAST examination for intra-abdominal fluid in blunt trauma patients
can predict intra-abdominal injuries with very high sensitivity and specificity.
Using the scoring system can more accurately determine the probability of the
presence of abdominal injuries with a cut-off point of three.
PMID- 26566512
TI - Current Concepts for Patellar Dislocation.
AB - CONTEXT: Patellar dislocation usually occurs to the lateral side, leading to
ruptures of the Medial Patellofemoral Ligament (MPFL) in about 90% of the cases.
Even though several prognostic factors are identified for patellofemoral
instability after patellar dislocation so far, the appropriate therapy remains a
controversial issue. EVIDENCE ACQUISITION: Authors searched the Medline library
for studies on both surgical and conservative treatment for patellar dislocation
and patellofemoral instability. Additionally, the reference list of each article
was searched for additional studies. RESULTS: A thorough analysis of the
anatomical risk factors with a particular focus on patella alta, increased Tibial
Tuberosity-Trochlear Groove (TT-TG) distance, trochlear dysplasia as well as
torsional abnormalities should be performed early after the first dislocation to
allow adequate patient counseling. Summarizing the results of all published
randomized clinical trials and comparing surgical and conservative treatment
after the first-time patellar dislocation until today indicated no significant
evident difference for children, adolescents, and adults. Therefore, nonoperative
treatment was indicated after a first-time patellar dislocation in the vast
majority of patients. CONCLUSIONS: Surgical treatment for patellar dislocation is
indicated primarily in case of relevant concomitant injuries such as
osteochondral fractures, and secondarily for recurrent dislocations.
PMID- 26566513
TI - Measurement of Disability and Its Predictors Among Trauma Patients: A Follow-up
Study.
AB - BACKGROUND: Globally more than a billion people, 15% of the population, lives
with disability and most of disabilities are caused by injuries. OBJECTIVES: The
aim of this study was to describe the prevalence of disability and its predictors
at 1 and 3 months post-injury in Kashan City during 2014 - 2015. PATIENTS AND
METHODS: In this longitudinal follow-up study, 400 injured patients 15 - 65 years
referred to Shahid Beheshti hospital in Kashan and hospitalized more than 24
hours were assessed for disability status with the WHODAS II 12-item instrument
at 1 and 3-months post-injury. Patients based on their disability scores were
divided into 5 groups: none, mild, moderate, severe and very severe. Work status
was assessed at the 3-month follow-up with one question "Are you back at work
following your injury". Also, demographic characteristics and information about
injury were gathered by a checklist. Data were analyzed using chi-square, Mann
Whitney U, Kruskal Wallis, Pearson correlation coefficient and logistic
regression by SPSS software. The significance level was set at P < 0.05. RESULTS:
The mean disability scores at 1 and 3 months post-injury was 30.3 (9.2) and 18.8
(8.3), respectively and there was a statistical significant difference between
disability status at 1 and 3 months after trauma (P < 0.0001). The rates of
return to work in 262 employed patients at 1 and 3 months after injury were 29%
and 55.4%, respectively. The disability score showed a statistically significant
correlation with Injury Severity Score (ISS) (P < 0.0001), work return (P =
0.033), intensive care unit transfer (P < 0.0001), trauma type (P = 0.001) and
age (P = 0.004). Also, age, ISS, duration of hospital stay and injury to
extremities were predictors of disability. CONCLUSIONS: More than half of the
patients were disabled after 3 months of trauma. Elderly patients, patient with
severe trauma, and long hospitalization and patients with extremity injuries were
high risk for disability.
PMID- 26566514
TI - Determination of Tetanus Antibody Levels in Trauma Patients Referred To Shahid
Beheshti Hospital in Kashan, Iran, 2014.
AB - BACKGROUND: There is a noticeable difference in serologic immune status against
tetanus among different age and social groups in various countries due to
different national vaccination policies and methods. OBJECTIVES: Considering that
the immunization status of trauma patients against tetanus is not-known or
uncertain and they may need to receive the vaccine and tetabulin, this study was
conducted to determine the tetanus antibody levels in patients referred to the
trauma emergency ward of Shahid Beheshti Hospital in Kashan City, Iran. PATIENTS
AND METHODS: This cross-sectional study was performed on 204 trauma patients
referred to the trauma emergency ward of Shahid Beheshti hospital in Kashan City,
Iran, in 2014. After obtaining a written informed consent from the patients, a
questionnaire consisted of demographic information and tetanus vaccination record
was completed by the patients. Afterwards, a 4 - 5 mL venous blood sample was
taken from each patient and the tetanus antibody level (IgG) was measured using
the enzyme-linked immunosorbent assay method. The tetanus antibody levels equal
or more than 0.1 IU/mL were considered protective. Data were analyzed using chi
square test, independent t-test and one-way ANOVA with SPSS software version 16.
RESULTS: From a total of 204 patients, 35 cases (16.7%) were females and 169
(83.2%) were males with the mean age of 40.9 +/- 3.7 years. There was no
statistically significant difference in the tetanus antibody levels between both
sexes (P = 0.09). Moreover, there was no significant difference in immunization
status between the patients who had a history of tetanus vaccination and those
who had not received the vaccine before (P = 0.67). The antibody levels were
significantly reduced with the passage of time since the last vaccination (P <
0.001). Also, 87.3% of the patients had the high protective level of immunity to
tetanus. CONCLUSIONS: The findings of the present study show a high level of
tetanus antibody among trauma patients in this hospital; so, taking the tetanus
vaccine history can be misleading. It is suggested that further studies be
performed in different regions of our country and with larger sample sizes and
detection of the immunization status of patients by measuring anti-tetanus
antibody levels among trauma patients is recommended to make suitable policy for
a national vaccine protocol in the future.
PMID- 26566515
TI - Anti-carcinogenic and Anti-bacterial Properties of Selected Spices: Implications
in Oral Health.
AB - "Let food be thy medicine and medicine be thy food", as said by the father of
medicine, Hippocrates in 431 B.C. Nature has provided us with a variety of
treatment modalities in the form of food. For the first 5,000 years of
civilization, humans relied on herbs and foods for medicine. Only in the past 60
years have we forgotten our medicinal "roots" in favor of patented medicines.
While pharmaceutical ingredients have their value, we should not overlook the
well-documented, non-toxic and inexpensive healing properties of food. As an
individual we consume food several times a day without a complete understanding
of its innate qualities. As part of a daily diet, food plays a significant role
in helping our bodies function at their best. There are hundreds of extremely
nutritious foods, but the items in this article do more than providing healthy
nutrients. Many of them consist of ingredients with hidden pharmaceutical
qualities ranging from anti-inflammatory to anti-carcinogenic agent. They not
only boost our innate immunity but also act as an adjunct to medicines for
specific treatment. Prevention and management of symptoms can often be improved
significantly through the foods we consume regularly. This paper overviews these
beneficial traits of food ingredients, consumed on a daily basis, in various oral
diseases.
PMID- 26566516
TI - Dietary Patterns and Their Associations with the Diet Quality Index-International
(DQI-I) in Korean Women with Gestational Diabetes Mellitus.
AB - The aim of this study was to examine dietary pattern, nutritional intake, and
diet quality of Korean pregnant women with gestational diabetes mellitus (GDM).
Between October 2008 and May 2012, 166 pregnant women diagnosed with GDM
completed a questionnaire and dietary intake was assessed using a 3-day food
record. Blood pressure, fasting plasma glucose, and glycated hemoglobin (HbA1c)
concentrations were measured and oral glucose tolerance test (OGTT) was
performed. Two major dietary patterns ("carbohydrate and vegetable" and "western"
patterns) were identified through factor analysis. Dietary pattern scores for
each dietary pattern were categorized into tertiles. The dietary quality index
international (DQI-I) was used to measure overall diet quality. Subjects with
higher carbohydrate and vegetable pattern scores reported less physical activity
(p < 0.05) and have higher diastolic blood pressure levels (p = 0.05). After
adjusting for age and energy intake, higher carbohydrate and vegetable pattern
scores were associated with higher sodium intakes (p = 0.02), but lower intakes
of fat (p = 0.002) and other micronutrients. On the other hand, higher western
pattern scores were associated with higher fat intake (p = 0.0001), but lower
intakes of sodium (p = 0.01) and other micronutrients. Higher scores for both
dietary patterns were associated with lower scores in the moderation category of
the DQI-I (p < 0.0001). HbA1c and fasting plasma glucose levels were
significantly lower among participants with high DQI-I than those with low DQI-I
(p < 0.05). The study findings suggest that many Korean women with GDM do not
consume nutritionally adequate or balanced diets, regardless of dietary pattern.
PMID- 26566517
TI - Effects of Soy Flour Fortified Bread Consumption on Cardiovascular Risk Factors
According to APOE Genotypes in Overweight and Obese Adult Women: A Cross-over
Randomized Controlled Clinical Trial.
AB - Recent studies suggest that inclusion of soy product in the diet may have
favorable effects on relief of cardiovascular diseases (CVDs) and risk factors.
These effects might be associated with the presence of specific polymorphism in
gene. The aim of this study was to examine the effects of consumption of soy
flour fortified bread on cardiovascular risk factors in overweight and obese
women according to APOE genotype. In a randomized cross-over clinical trial 30
overweight and obese women received a mild weight loss diet and assigned to a
regular diet and a soy bread diet, each for 6 weeks and a washout period for 20
days. Subjects in the soy bread diet were asked to replace 120 grams of their
daily usual bread intake with equal amount of soy bread. No significant effects
of soy bread on serum lipid, systolic blood pressure and anthropometric indices
were observed compared to the regular diet (p > 0.05). For diastolic blood
pressure (DBP), comparison of mean differences between two groups showed a
marginally significant effect of soy bread (p = 0.06). Compared to regular diet,
soy bread had a significant effect on DBP in E2 genotype group
(epsilon2/epsilon2) (p = 0.03). Having epsilon2 allele may influences responses
of CVD risk factor to soy bread consumption. However more nutrigenetic studies
are required.
PMID- 26566518
TI - Association Between Usual Vitamin K Intake and Anticoagulation in Patients Under
Warfarin Therapy.
AB - This study aimed to explore the correlation between usual vitamin K intake and
response to anticoagulant therapy among patients under warfarin therapy. We
conducted a retrospective survey of patients (n = 50) on continuous warfarin
therapy. Clinical information and laboratory parameters were sourced from medical
records. Anticoagulant effect was evaluated by using the percent time in
therapeutic range (TTR) and the coefficient of variation (CV) of International
normalized ratio (INR). Dietary vitamin K intake was assessed using a semi
quantitative food frequency questionnaire that has been developed for the purpose
of assessing dietary intake of vitamin K. A total of 50 patients aged between 21
and 87 years were included in the study. The mean vitamin K intake was 262.8 +/-
165.2 ug/day. Study subjects were divided into tertiles according to their usual
vitamin K intake. The proportion of men was significantly higher in second and
third tertile than first tertile (p = 0.028). The mean percent TTR was 38.4 +/-
28.4% and CV of INR was 31.8 +/- 11.8%. Long-term warfarin therapy group (>= 3
years) had a higher percentage of TTR as compared to the control group (< 3
years) (p = 0.046). No statistically significant correlation was found between
usual vitamin K intake and percent TTR (p > 0.05). In conclusion, no significant
association was observed between usual vitamin K intake and anticoagulant
effects. Further studies are required to consider inter-individual variability of
vitamin K intake. Development of assessment tools to measure inter-individual
variability of vitamin K intake might be helpful.
PMID- 26566519
TI - Evaluation of Malnutrition Risk after Liver Transplantation Using the Nutritional
Screening Tools.
AB - Malnutrition is a common problem in patients with end-stage liver disease
requiring liver transplantation. The aim of this study was to evaluate
nutritional status by using nutritional screening tools [Nutritional Risk
Screening (NRS) 2002, Malnutrition Universal Screening Tool (MUST) and Subjective
Global Assessment (SGA)] in patients before and after liver transplantation. We
analyzed medical record, blood test, nutrient intake and malnutrition rate just
before transplantation and at discharge, and at 3, 6, 12 months after
transplantation respectively. Initially 33 patients enrolled as study subjects
and finally 28 patients completed the study. Nutrients intake such as energy,
fiber, calcium, potassium, vitamin C, and folate were insufficient at 12 months
after transplantation. The rates of malnutrition before transplantation were very
high, reported at 81.8% for the NRS 2002, 87.9% for the MUST, and 84.8% for the
SGA. By 12 months after operation, malnutrition rates reported at NRS, MUST and
SGA had decreased to 6.1%, 10.7%, and 10.7%, respectively. Sensitivity was 87.1%
for the NRS 2002, 82.0% for the MUST, and 92.0% for the SGA. Of these screening
tools the SGA was the highest sensitive tool that predict the risk of mortality
in malnutrition patients who received transplantation. Further studies on
nutritional status of patients and proper tools for nutrition intervention are
needed to provide adequate nutritional care for patients.
PMID- 26566520
TI - Development and Application of Low-Carbohydrates and Low-Simple Sugar Nutrition
Education Materials for Non-Alcoholic Fatty Liver Disease Patients.
AB - We developed nutrition education materials for non-alcoholic fatty liver disease
(NAFLD) patients focusing on low-carbohydrate and low-simple sugar diet and
assessed subjective difficulty and compliance for the developed materials. The
materials were developed in 2 types, a booklet for face-to-face education and a
handout for phone education. The booklet covered 4 topic areas of fatty liver,
low-carbohydrate and low-simple sugar diet, weight control, and meal plan. The
handout material included several eating behavior tips. To assess practical
usability of nutrition education using the developed materials, subjective
compliance and difficulty levels were examined in a sample for NAFLD patients. A
total of 106 patients recruited from 5 general hospitals were randomly assigned
to a low-carbohydrate and low-simple sugar weight control diet group or a general
weight control diet group. Each participant received a 6-week nutrition education
program consisting of a face-to-face education session and two sessions of phone
education. The developed materials were used for the low-carbohydrate and low
simple sugar weight control diet group and general weight control information
materials were used for the control group. Subjective difficulty and compliance
levels were evaluated three times during the education period. Subjective
difficulty level was significantly higher in the low-carbohydrate and low-simple
sugar diet group compared to the control group at the end of the second week, but
such a discrepancy disappeared afterward. No significant difference was found for
subjective compliance between the groups at each time. In conclusion, the
developed nutrition education materials for low-carbohydrate and low-simple sugar
diet are reasonably applicable to general Korean NAFLD patients.
PMID- 26566521
TI - Daily Copper and Manganese Intakes and Their Relation to Blood Pressure in
Normotensive Adults.
AB - Although it has been proposed that trace minerals have anti-oxidative functions
and are related to the control of blood pressure, only a limited number of
studies directly address the issue. Thus, the purpose of our study was to assess
the intake of copper and manganese, which are trace minerals, and to clarify
their relation to blood pressure. In a cross-sectional study, the blood pressure
of 640 normotensive adults, from 19 to 69 year-old (320 males and 320 females),
was measured, and its correlation with the intake of copper and manganese was
assessed using a 24-hour dietary recall method. The average value of the blood
pressure was 126.4/80.2 mmHg for the males and 117.8/75.8 mmHg for the females.
The daily copper intake was 1.3 mg/day for the males and 1.2 mg/day for the
females. For manganese, the daily intake was 4.2 mg/day for the males and 4.1
mg/day for the females. Although the copper intake of all subjects showed a
positive correlation with the systolic and diastolic blood pressures, there was
no significant correlation when the potential confounding factors were adjusted.
The manganese intake of the male subjects had a significantly negative
correlation with the systolic blood pressure after adjusting for gender, age,
body mass index, and energy intake. In conclusion, the daily manganese intake of
the normotensitve adults showed a significantly negative correlation with the
systolic blood pressure indicating a possibility of a positive effect of
manganese on blood pressure.
PMID- 26566522
TI - The Impact of Low Adherence to the Low-iodine Diet on the Efficacy of the
Radioactive Iodine Ablation Therapy.
AB - To improve the efficacy of radioactive iodine (RAI) therapy for differentiated
thyroid cancer patients, a low-iodine diet (LID) prior to the therapy is
recommended. In iodine-rich areas such as Korea, however, a strict LID is very
difficult to maintain. We experienced the cases of three patients showing low
adherence to the LID before initial RAI therapy, and analyzed the main food
source supplying iodine during the LID, and examined the influence of the poorly
maintained LID on the efficacy of RAI therapy. The dietary intake during the LID
periods were assessed using three-day dietary records and remnant thyroid
activity after the second RAI administration was also evaluated. All patients'
mean daily iodine intake during two-week LID periods exceeded the 100 ug
guideline set by the Korean Thyroid Association (median 110.9 ug, ranges 100.4
117.0 ug). Although the typical food sources of iodine intake are seaweeds in
Korea, salted vegetables were the main contributor to the patients' iodine intake
during the LID periods. Remnant thyroid activity was shown on a follow-up scan in
all of 3 patients suggesting low efficacy of RAI therapy. In summary, the
patients with low adherence to the LID guideline showed unsuccessful remnant
ablation, and the main food source of iodine was salted vegetables. Further
studies are necessary to examine the relationship between adherence of the LID
and RAI efficacy according to dietary iodine intake levels, as well as food
sources that cause low adherence to the LID. These data can then be used to
develop more practical LID guidelines.
PMID- 26566523
TI - Mesh Optimization for Monte Carlo-Based Optical Tomography.
AB - Mesh-based Monte Carlo techniques for optical imaging allow for accurate modeling
of light propagation in complex biological tissues. Recently, they have been
developed within an efficient computational framework to be used as a forward
model in optical tomography. However, commonly employed adaptive mesh
discretization techniques have not yet been implemented for Monte Carlo based
tomography. Herein, we propose a methodology to optimize the mesh discretization
and analytically rescale the associated Jacobian based on the characteristics of
the forward model. We demonstrate that this method maintains the accuracy of the
forward model even in the case of temporal data sets while allowing for
significant coarsening or refinement of the mesh.
PMID- 26566525
TI - OSLER and ODYSSEY LONG TERM: PCSK9 inhibitors on the right track of reducing
cardiovascular events.
AB - Proprotein convertase subtilisin kexin 9 (PCSK9) inhibitors have emerged as a
novel treatment option in patients with hypercholesterolemia. Evolocumab and
alirocumab have achieved consistent and significant (around 60%) reduction in low
density lipoprotein cholesterol (LDL-C) levels when added to statin therapy in
short term studies. The Open-Label Study of Long-term Evaluation Against LDL-C
(OSLER), and The Long-term Safety and Tolerability of Alirocumab in High
Cardiovascular Risk Patients with Hypercholesterolemia Not Adequately Controlled
with Their Lipid Modifying Therapy (ODYSSEY LONG TERM) studies are two phase 3,
multicentre, randomized, placebo controlled studies that were conducted to
evaluate the long term efficacy and safety of evolocumab and alirocumab
respectively in reducing lipids and cardiovascular (CV) events. Both studies
demonstrated additional 48-53% reduction of CV events when added to statin
therapy. Most adverse events occurred with similar frequency in the two groups;
however the rate of neurocognitive adverse events was higher with evolocumab and
alirocumab than with placebo. These data provide strong support for the notion
that lower LDL-C goal is better, and may confirm the role of PCSK9 inhibitors as
a new frontier in lipid management. The results of larger long-term outcome
studies are still awaited.
PMID- 26566524
TI - Lutein and Brain Function.
AB - Lutein is one of the most prevalent carotenoids in nature and in the human diet.
Together with zeaxanthin, it is highly concentrated as macular pigment in the
foveal retina of primates, attenuating blue light exposure, providing protection
from photo-oxidation and enhancing visual performance. Recently, interest in
lutein has expanded beyond the retina to its possible contributions to brain
development and function. Only primates accumulate lutein within the brain, but
little is known about its distribution or physiological role. Our team has begun
to utilize the rhesus macaque (Macaca mulatta) model to study the uptake and bio
localization of lutein in the brain. Our overall goal has been to assess the
association of lutein localization with brain function. In this review, we will
first cover the evolution of the non-human primate model for lutein and brain
studies, discuss prior association studies of lutein with retina and brain
function, and review approaches that can be used to localize brain lutein. We
also describe our approach to the biosynthesis of 13C-lutein, which will allow
investigation of lutein flux, localization, metabolism and pharmacokinetics.
Lastly, we describe potential future research opportunities.
PMID- 26566526
TI - Aortic biomechanics in hypertrophic cardiomyopathy.
AB - BACKGROUND: Ventricular-vascular coupling is an important phenomenon in many
cardiovascular diseases. The association between aortic mechanical dysfunction
and left ventricular (LV) dysfunction is well characterized in many disease
entities, but no data are available on how these changes are related in
hypertrophic cardiomyopathy (HCM). AIM OF THE WORK: This study examined whether
HCM alone is associated with an impaired aortic mechanical function in patients
without cardiovascular risk factors and the relation of these changes, if any, to
LV deformation and cardiac phenotype. METHODS: 141 patients with HCM were
recruited and compared to 66 age- and sex-matched healthy subjects as control
group. Pulse pressure, aortic strain, stiffness and distensibility were
calculated from the aortic diameters measured by M-mode echocardiography and
blood pressure obtained by sphygmomanometer. Aortic wall systolic and diastolic
velocities were measured using pulsed wave Doppler tissue imaging (DTI). Cardiac
assessment included geometric parameters and myocardial deformation (strain and
strain rate) and mechanical dyssynchrony. RESULTS: The pulsatile change in the
aortic diameter, distensibility and aortic wall systolic velocity (AWS') were
significantly decreased and aortic stiffness index was increased in HCM compared
to control (P < .001) In HCM AWS' was inversely correlated to age(r = - .32, P <
.0001), MWT (r = - .22, P < .008), LVMI (r = - .20, P < .02), E/Ea (r = - .16, P
< .03) LVOT gradient (r = - 19, P < .02) and severity of mitral regurg (r = -
.18, P < .03) but not to the concealed LV deformation abnormalities or mechanical
dyssynchrony. On multivariate analysis, the key determinant of aortic stiffness
was LV mass index and LVOT obstruction while the role LV dysfunction in aortic
stiffness is not evident in this population. CONCLUSION: HCM is associated with
abnormal aortic mechanical properties. The severity of cardiac phenotype, not LV
deformation, is interrelated to aortic stiffness in patients with HCM. The
increased aortic stiffness seems to be promising module that can be added as
clinical risk parameter in HCM.
PMID- 26566527
TI - CTS Trials Network: Surgical ablation of atrial fibrillation during mitral valve
surgery - many questions unanswered.
AB - A disease that is associated with stroke and mortality, atrial fibrillation (AF)
complicates 30 to 50% of mitral valve disease patients admitted for surgery.(1)
Since the introduction of the Cox maze III procedure in 1992 many efforts have
been made to come up with modified lesion sets and/or energy sources to
surgically treat AF. This lead to the recently published American Heart
Association (AHA)- American College of Cardiology (ACC)-Heart Rhythm Society
(HRS) guidelines(2) stating that it is reasonable to perform atrial fibrillation
ablation in selected patients undergoing other types of cardiac surgery. The
effectiveness of different techniques in conversion to sinus rhythm and the
clinical impact of freedom from AF remain a question. The CTS Trials Network have
undertaken a trial to answer these questions. The first year results of their
randomized trial comparing AF ablation at the time of mitral valve surgery with
mitral valve surgery alone were published recently in The New England Journal of
Medicine.(3).
PMID- 26566528
TI - Gulf CARE: Heart failure in the Middle East.
PMID- 26566529
TI - NaNog: A pluripotency homeobox (master) molecule.
AB - One of the most intriguing aspects of cell biology is the state of pluripotency,
where the cell is capable of self-renewal for as many times as deemed
"necessary", then at a specified time can differentiate into any type of cell.
This fundamental process is required during organogenesis in foetal life and
importantly during tissue repair in health and disease. Pluripotency is very
tightly regulated, as any dysregulation can result in congenital defects,
inability to repair damage, or cancer. Fuelled by the relatively recent interest
in stem cell biology and tissue regeneration, the molecules implicated in
regulating pluripotency have been the subject of extensive research. One of the
important molecules involved in pluripotency, is NaNog, the subject of this
article.
PMID- 26566531
TI - Utilization of the Electronic Health Record to Improve Provision of Smoking
Cessation Resources for Vascular Surgery Inpatients.
AB - BACKGROUND AND OBJECTIVES: Identification of hospitalized patients who smoke has
shown significant improvement in recent years, but provision of evidence-based
tobacco cessation treatment remains a challenge. This study evaluated the
utilization of an electronic health record (EHR) to facilitate implementation of
evidence-based clinical practice guidelines for smoking cessation on a vascular
surgery inpatient unit. METHODS: A pre-and post-intervention cohort study was
conducted over 6 months at a single academic medical center with a comprehensive
EHR. All patients admitted to the vascular surgery service and documented as
current smokers were included. A vascular surgery discharge order set with an
evidence-based smoking cessation module was developed and implemented. The
primary outcome was prescription of nicotine replacement therapy (NRT) at the
time of discharge. The secondary outcome was referral for smoking cessation
counseling at the time of discharge. RESULTS: There were 52 and 42 smokers in the
pre-and post-intervention cohorts, respectively. Over the 3 months following
implementation of the EHR order set, prescription of NRT at the time of discharge
did not change significantly (27% vs 19%, p=0.30). Referral for outpatient
smoking cessation counseling increased in the post-intervention group, but did
not reach significance (64% vs 72%, p=0.20). CONCLUSIONS: Implementation of a
brief tobacco dependence treatment order set in an existing EHR increased
cessation counseling referrals on a vascular surgery inpatient unit. One
potential limitation of the study was the modest sample size. Not being able to
make smoking cessation treatment a mandatory component in discharge orders may
also have contributed to the modest effect. Assessing the differential effect of
EHR-based order implementation will be important in future research on this
topic.
PMID- 26566530
TI - Genetics of channelopathies associated with sudden cardiac death.
AB - Recent technological advances in cardiology have resulted in new guidelines for
the diagnosis, treatment and prevention of diseases. Despite these improvements,
sudden death remains one of the main challenges to clinicians because the
majority of diseases associated with sudden cardiac death are characterized by
incomplete penetrance and variable expressivity. Hence, patients may be unaware
of their illness, and physical activity can be the trigger for syncope as first
symptom of the disease. Most common causes of sudden cardiac death are congenital
alterations and structural heart diseases, although a significant number remain
unexplained after comprehensive autopsy. In these unresolved cases,
channelopathies are considered the first potential cause of death. Since all
these diseases are of genetic origin, family members could be at risk, despite
being asymptomatic. Genetics has also benefited from technological advances, and
genetic testing has been incorporated into the sudden death field, identifying
the cause in clinically affected patients, asymptomatic family members and post
mortem cases without conclusive diagnosis. This review focuses on recent advances
in the genetics of channelopathies associated with sudden cardiac death.
PMID- 26566532
TI - Public Health Opportunities for Promoting Health Equity in Cancer Prevention and
Control in LGBT Populations.
AB - Advances in cancer prevention, detection, and treatment have led to reductions in
morbidity and premature mortality and improvements in quality of life. However,
not all Americans have benefitted equally from these advances, and certain
populations experience continued disparities in cancer care. Although research
and public health efforts have highlighted the experiences of some groups, other
populations have been relatively understudied, such as lesbian, gay, bisexual,
and transgender (LGBT) individuals. Public health efforts in surveillance,
research, programs, and partnerships can provide opportunities to advance health
equity for LGBT at the population level and lead to better health outcomes for
LGBT individuals with cancer.
PMID- 26566533
TI - A Survey Study of Pregnant Women and Healthcare Practitioners Assessing the
Knowledge of Attitudes and Practices of Hepatitis B Management at a Teaching
Hospital in Kumasi, Ghana, West Africa.
AB - Hepatitis B virus (HBV) infection is a major global health problem, with sub
Saharan Africa (SSA), including West Africa, bearing a large proportion of cases.
Mother-to-child and early childhood horizontal transmission, the most common
mechanisms of disease spread in West Africa, lead to a high rate of chronic
infection. Although these transmission mechanisms are preventable through vaccine
and hepatitis B immunoglobulin, they are not routinely used due to limited
resources. Antiviral therapy in pregnant women who are HBV positive is another
option to reduce transmission. We conducted a survey study of pregnant women and
clinicians at a teaching hospital in West Africa to determine the knowledge base
about HBV and willingness to implement measures to reduce HBV transmission.
Pregnant women had limited knowledge about HBV and the common transmission
mechanisms. Clinicians identified cost and time as the major barriers to
implementation of HBV prevention measures. Both pregnant women and clinicians
were largely willing to implement and use measures, including antivirals, to help
reduce HBV transmission.
PMID- 26566534
TI - Parainfluenza Virus Infection Among Human Immunodeficiency Virus (HIV)-Infected
and HIV-Uninfected Children and Adults Hospitalized for Severe Acute Respiratory
Illness in South Africa, 2009-2014.
AB - Background. Parainfluenza virus (PIV) is a common cause of acute respiratory
tract infections, but little is known about PIV infection in children and adults
in Africa, especially in settings where human immunodeficiency virus (HIV)
prevalence is high. Methods. We conducted active, prospective sentinel
surveillance for children and adults hospitalized with severe acute respiratory
illness (SARI) from 2009 to 2014 in South Africa. We enrolled controls
(outpatients without febrile or respiratory illness) to calculate the
attributable fraction for PIV infection. Respiratory specimens were tested by
multiplex real-time reverse-transcription polymerase chain reaction assay for
parainfluenza types 1, 2, and 3. Results. Of 18 282 SARI cases enrolled, 1188
(6.5%) tested positive for any PIV type: 230 (19.4%) were type 1; 168 (14.1%)
were type 2; 762 (64.1%) were type 3; and 28 (2.4%) had coinfection with 2 PIV
types. After adjusting for age, HIV serostatus, and respiratory viral
coinfection, the attributable fraction for PIV was 65.6% (95% CI [confidence
interval], 47.1-77.7); PIV contributed to SARI among HIV-infected and -uninfected
children <5 years of age and among individuals infected with PIV types 1 and 3.
The observed overall incidence of PIV-associated SARI was 38 (95% CI, 36-39)
cases per 100 000 population and was highest in children <1 year of age (925 [95%
CI, 864-989] cases per 100 000 population). Compared with persons without HIV,
persons with HIV had an increased relative risk of PIV hospitalization (9.4; 95%
CI, 8.5-10.3). Conclusions. Parainfluenza virus causes substantial severe
respiratory disease in South Africa among children <5 years of age, especially
those that are infected with HIV.
PMID- 26566535
TI - Hemophagocytic Lymphohistiocytosis Secondary to Human Immunodeficiency Virus
Associated Histoplasmosis.
AB - Hemophagocytic lymphohistiocytosis (HLH) in immunocompromised hosts is a
fulminant syndrome of immune activation with high rates of mortality that may be
triggered by infections or immunodeficiency. Rapid diagnosis and treatment of the
underlying disorder is necessary to prevent progression to multiorgan failure and
death. We report a case of HLH in a patient with human immunodeficiency virus,
disseminated histoplasmosis, Mycobacterium avium complex, and Escherichia coli
bacteremia. We discuss management of acutely ill patients with HLH and treatment
of the underlying infection versus initiation of HLH-specific chemotherapy.
PMID- 26566536
TI - Epidemiology and Outcome of Trichosporon Fungemia: A Review of 185 Reported Cases
From 1975 to 2014.
AB - Background. Trichosporon species have emerged as an important non-Candida spp
yeast pathogen in immunocompromised patients in recent decades; however, the
systemic analysis of Trichosporon epidemiology has seldom been reported. Methods.
We reviewed 185 reported cases of Trichosporon fungemia from 1975 to 2014 in the
English-language literature, and the epidemiology and prognostic factors of the
included cases are described. Results. The number of cases reported has
increased with time, especially over the past decade. During the 3 decades from
1975 to 2004, the most commonly used antifungal compounds were amphotericin
B/liposomal amphotericin B; however, in recent decades (2005-2014), triazoles
(especially voriconazole) have become the most widely used agents, significantly
improving outcome in the reported cases. Correlation analysis revealed that
negative outcome is associated with several prognostic factors, including a
history of antimicrobial use, bacterial bloodstream coinfection,
prophylactic/empirical antifungal therapy, Trichosporon beigelii infection, and
receiving the antifungal regimen of amphotericin B/liposomal amphotericin B. In
addition, a significantly greater proportion of patients with a positive outcome
had fungemia without invasive tissue infection and received a voriconazole
regimen or an AmB-triazole combined regimen. Significant positive outcome was
also associated with patients who had recovered from neutropenia or after central
venous catheter removal. Conclusions. Voriconazole can be recommended as a first
line antifungal compound to treat Trichosporon fungemia; the immune status of the
host plays a crucial role in the outcome of this infection, and the removal of
vascular catheters should be considered if feasible.
PMID- 26566537
TI - Recurrent Septic Arthritis Due to Achromobacter xylosoxidans in a Patient With
Granulomatosis With Polyangiitis.
AB - We report a case of recurrent Achromobacter xylosoxidans infections including
bacteremia, sepsis, septic joints and endocarditis in a 72 year old female with
granulomatosis with polyangiitis. Achromobacter xylosoxidans is a gram negative
bacteria increasingly identified in immunocompromised patients. Surgical and
medical therapy may need to be combined.
PMID- 26566538
TI - Human Immunodeficiency Virus Infection Newly Diagnosed at Autopsy in New York
City, 2008-2012.
AB - Background. Studying the most extreme example of late diagnosis, new HIV
diagnoses after death, may be instructive to HIV testing efforts. Using the
results of routine HIV testing of autopsies performed by the Office of Chief
Medical Examiner (OCME), we identified new HIV diagnoses after death in New York
City (NYC) from 2008 to 2012. Methods. Population-based registries for HIV and
deaths were linked to identify decedents not known to be HIV-infected before
death. Multivariable logistic regression models were constructed to determine
correlates of a new HIV diagnosis after death among all persons newly diagnosed
with HIV and among all HIV-infected decedents receiving an OCME autopsy. Results.
Of 264 893 deaths, 24 426 (9.2%) were autopsied by the NYC OCME. Of these, 1623
(6.6%) were infected with HIV, including 142 (8.8%) with a new HIV diagnosis at
autopsy. This represents 0.8% (142 of 18 542) of all new HIV diagnoses during the
5-year period. Decedents newly diagnosed with HIV at OCME autopsy were
predominantly male (73.9%), aged 13-64 years (85.9%), non-white (85.2%),
unmarried (81.7%), less than college educated (83.8%), and residents of an
impoverished neighborhood (62.0%). Of all HIV-infected OCME decedents aged >=65
years (n = 71), 22.0% were diagnosed at autopsy. The strongest independent
correlate of new HIV diagnosis at autopsy in both multivariable models was age
>=65 years. Conclusions. Human immunodeficiency virus diagnoses first made after
death are rare, but, when observed, these diagnoses are more commonly found among
persons >=65 years, suggesting that despite highly visible efforts to promote HIV
testing community-wide, timely diagnosis among older adults living in
impoverished, high-prevalence neighborhoods may require additional strategies.
PMID- 26566539
TI - Malignancies, Particularly B-Cell Lymphomas, Are a Frequent Cause of Mortality in
Human Immunodeficiency Virus-1 Patients Despite Highly Active Antiretroviral
Therapy.
AB - Human immunodeficiency virus (HIV)-1-infected individuals are affected by
diseases at rates above those of their HIV-negative peers despite the increased
life expectancy of the highly active antiretroviral therapy era. We followed a
cohort of approximately 2000 HIV-1-infected patients for 5 years. The most
frequent cause of death in this HIV-1-infected cohort was malignancy, with 39% of
all classified deaths due to cancer. Among the cancer deaths, B-cell lymphomas
were the most commonly seen malignancy, representing 34% of all cancer deaths.
These lymphomas were very aggressive with a median survival of <2 months from
time of diagnosis.
PMID- 26566540
TI - Assessment of microbial populations within Chicago area nearshore waters and
interfaces with river systems.
AB - The Chicago area locks separate and control water flow between the freshwaters of
Lake Michigan and the network of Illinois waterways. Under extreme storm
conditions, however, the locks are opened and storm waters, untreated waste, and
runoff are released directly into the lake. These combined sewer overflow (CSO)
events introduce microbes, viruses, and nutrients such as nitrogen and
phosphorous into nearshore waters which likely affect the native species. We
collected surface water samples from four Chicago area beaches - Gillson Park,
Montrose Beach, 57th Street Beach, and Calumet Beach - every two weeks from May
13 through August 5, 2014. Sampling was conducted with four biological replicates
for each sampling date and location, resulting in 112 samples. Each community was
surveyed through targeted sequencing of the V4 16S rRNA gene. Technical
replicates were also sequenced and are included in this dataset. Taxa were
identified using Mothur. Raw sequence data is available via NCBI's SRA database
(part of BioProject PRJNA245802).
PMID- 26566541
TI - Optimized process parameters for fabricating metal particles reinforced 5083 Al
composite by friction stir processing.
AB - Metal matrix composites (MMCs) exhibit improved strength but suffer from low
ductility. Metal particles reinforcement can be an alternative to retain the
ductility in MMCs (Bauri and Yadav, 2010; Thakur and Gupta, 2007) [1,2]. However,
processing such composites by conventional routes is difficult. The data
presented here relates to friction stir processing (FSP) that was used to process
metal particles reinforced aluminum matrix composites. The data is the processing
parameters, rotation and traverse speeds, which were optimized to incorporate Ni
particles. A wide range of parameters covering tool rotation speeds from 1000 rpm
to 1800 rpm and a range of traverse speeds from 6 mm/min to 24 mm/min were
explored in order to get a defect free stir zone and uniform distribution of
particles. The right combination of rotation and traverse speed was found from
these experiments. Both as-received coarse particles (70 MUm) and ball-milled
finer particles (10 MUm) were incorporated in the Al matrix using the optimized
parameters.
PMID- 26566542
TI - Data in support of crystal structures of highly-ordered long-period stacking
ordered phases with 18R, 14H and 10H-type stacking sequences in the Mg-Zn-Y
system.
AB - The crystal structures of highly-ordered Mg-Zn-Y long-period stacking-ordered
(LPSO) phases with the 18R, 14H and 10H-type stacking sequences have been
investigated by atomic-resolution scanning transmission electron microscopy
(STEM) and transmission electron microscopy (Kishida et al., 2015) [1]. This data
article provides supporting materials for the crystal structure analysis based on
the crystallographic theory of the order-disorder (OD) structure and the
crystallographic information obtained through the structural optimization for
various simple polytypes of the highly-ordered Mg-Zn-Y LPSO phases with the 18R,
14H and 10H-type stacking sequences by first-principles density functional theory
(DFT) calculations.
PMID- 26566543
TI - Visual Inquiry Toolkit - An Integrated Approach for Exploring and Interpreting
Space-Time, Multivariate Patterns.
AB - While many datasets carry geographic and temporal references, our ability to
analyze these datasets lags behind our ability to collect them because of the
challenges posed by both data complexity and scalability issues. This study
develops a visual analytics approach that integrates human knowledge and
judgments with visual, computational, and cartographic methods to support the
application of visual analytics to relatively large spatio-temporal, multivariate
datasets. Specifically, a variety of methods are employed for data clustering,
pattern searching, information visualization and synthesis. By combining both
human and machine strengths, this approach has a better chance to discover novel,
relevant and potentially useful information that is difficult to detect by any
method used in isolation. We demonstrate the effectiveness of the approach by
applying the Visual Inquiry Toolkit we developed to analysis of a dataset
containing geographically referenced, time-varying and multivariate data for U.S.
technology industries.
PMID- 26566544
TI - Efficient SDH Computation In Molecular Simulations Data.
AB - Analysis of large particle or molecular simulation data is integral part of the
basic-science research community. It often involves computing functions such as
point-to-point interactions of particles. Spatial distance histogram (SDH) is one
such vital computation in scientific discovery. SDH is frequently used to compute
Radial Distribution Function (RDF), and it takes quadratic time to compute using
naive approach. Naive SDH computation is even more expensive as it is computed
continuously over certain period of time to analyze simulation systems. Tree
based SDH computation is a popular approach. In this paper we look at different
tree-based SDH computation techniques and briefly discuss about their
performance. We present different strategies to improve the performance of these
techniques. Specifically, we study the density map (DM) based SDH computation
techniques. A DM is essentially a grid dividing simulated space into cells (3D
cubes) of equal size (volume), which can be easily implemented by augmenting a
Quad-tree (or Oct-tree) index. DMs are used in various configurations to compute
SDH continuously over snapshots of the simulation system. The performance
improvements using some of these configurations is presented in this paper. We
also present the effect of utilizing computation power of Graphics Processing
Units (GPUs) in computing SDH.
PMID- 26566545
TI - Performance Modeling in CUDA Streams - A Means for High-Throughput Data
Processing.
AB - Push-based database management system (DBMS) is a new type of data processing
software that streams large volume of data to concurrent query operators. The
high data rate of such systems requires large computing power provided by the
query engine. In our previous work, we built a push-based DBMS named G-SDMS to
harness the unrivaled computational capabilities of modern GPUs. A major design
goal of G-SDMS is to support concurrent processing of heterogenous query
processing operations and enable resource allocation among such operations.
Understanding the performance of operations as a result of resource consumption
is thus a premise in the design of G-SDMS. With NVIDIA's CUDA framework as the
system implementation platform, we present our recent work on performance
modeling of CUDA kernels running concurrently under a runtime mechanism named
CUDA stream. Specifically, we explore the connection between performance and
resource occupancy of compute-bound kernels and develop a model that can predict
the performance of such kernels. Furthermore, we provide an in-depth anatomy of
the CUDA stream mechanism and summarize the main kernel scheduling disciplines in
it. Our models and derived scheduling disciplines are verified by extensive
experiments using synthetic and real-world CUDA kernels.
PMID- 26566546
TI - Crisis in medicine: part 3. they physician as the captain--a personal touch.
PMID- 26566547
TI - Biceps tenodesis and superior labrum anterior to posterior (SLAP) tears.
PMID- 26566548
TI - Open carpal tunnel release with use of a nasal turbinate speculum.
AB - Incomplete release of the transverse carpal ligament (TCL) and median nerve
injury are complications of carpal tunnel release (CTR). In this article, we
describe a modified mini-open release using a fine nasal turbinate speculum to
aid in the proximal release with direct visualization of the proximal limb of the
TCL and the distal volar forearm fascia (DVFF). The technique begins with a 2.5
cm palmar longitudinal incision, and standard distal release of the TCL is
completed. A fine nasal turbinate speculum is inserted into the plane above the
proximal limb of the TCL and the DVFF. A long-handle scalpel is used to incise
the TCL and the DVFF under direct visualization. We retrospectively analyzed a
cohort of 101 consecutive CTR cases (63 right, 38 left). Carpal tunnel syndrome
symptoms were relieved in all patients with a high degree of satisfaction. This
modified mini-open technique provides surgeons with a reproducible and
inexpensive method to ensure a safe proximal release of the TCL.
PMID- 26566549
TI - Medial patellar subluxation: diagnosis and treatment.
AB - Medial patellar subluxation is a poorly recognized clinical condition
characterized by chronic anterior knee pain that is exacerbated with knee
flexion. Additional symptoms include instability, limited knee motion, and pain
with squatting and stair climbing. Full characterization of the patient's knee
pain requires an accurate history and physical examination. Diagnosis is
typically confirmed during diagnostic arthroscopy. When nonsurgical management
(eg, physical rehabilitation, patella-stabilizing bracing) fails, surgery (eg,
medial retinacular release, lateral retinacular imbrication, newer techniques to
repair or reconstruct the lateral retinaculum/lateral patellofemoral ligament)
can lead to good results. Further study is needed to confirm current
understanding of medial patellar subluxation and to better define treatment
options and prevention strategies.
PMID- 26566550
TI - Conflict of interest in sports medicine: does it affect our judgment?
AB - The American Academy of Orthopaedic Surgeons (AAOS) and other orthopedic
societies require authors to disclose conflicts of interest (COIs). We conducted
a study to evaluate how a hypothetical research team's reported COI would
influence the perceived value of its data. Using a hypothetical prospective
study, we asked orthopedic surgeons and nonoperative sports medicine specialists
to rate the value of the data, given different study designs, statistical
significance, and research institutions (academic vs private). The fictional
research team disclosed the project was funded by a pharmaceutical company and
all team members received consulting compensation. Eighty percent of 522
respondents thought COI disclosure is important in the interpretation of study
results, 41% reported always using this information when interpreting data, and
24% reported that a case series with significant positive results at an academic
center was likely trustworthy (this percentage decreased to 5% when the study was
set in a community hospital). When no significant difference was found in
results, 42% thought the study was trustworthy. When the study design yielded
level I evidence (randomized controlled trial) at an academic center, 57% thought
the study was trustworthy (when the study was set in a community hospital, this
percentage decreased to 39%). When the results of the design showed no difference
among groups, the majority of respondents (62%) thought the study was
trustworthy. Although the majority of respondents thought disclosure is
important, fewer than half reportedly used this information when interpreting
study results. Randomized controlled trial status improved the perceived
reliability of the data over a case series but was not as important as reporting
"negative" results.
PMID- 26566551
TI - Academic characteristics of orthopedic team physicians affiliated with high
school, collegiate, and professional teams.
AB - We conducted a study to determine the academic involvement and research
productivity of orthopedic team physicians at high school, college, and
professional levels of sport. Through Internet and telephone queries, we
identified 1054 team physicians from 362 institutions, including 120 randomly
selected high schools and colleges and 122 professional teams (baseball,
basketball, football, hockey). For all physicians included in the study, we
performed a comprehensive search of the Internet and of a citation database to
determine academic affiliations, number of publications, and h-index values. Of
the 1054 physicians, 678 (64%) were orthopedic surgeons. Percentage of orthopedic
team physicians affiliated with an academic medical center was highest in
professional sports (64%; 173/270) followed by collegiate sports (36%; 98/275)
and high school sports (20%; 27/133). Median number of publications per
orthopedic team physician was significantly higher in professional sports (30.6)
than in collegiate sports (10.7) or high school sports (6). Median number of
publications by orthopedic physicians also varied by sport, with the highest
number in Major League Baseball (37.9; range, 0-225) followed by the National
Basketball Association (32.0; range, 0-227) and the National Football League
(30.4; range, 0-460), with the lowest number within the National Hockey League
(20.7; range, 0-144). Academic affiliation and research productivity of
orthopedic team physicians vary by competition level and professional sporting
league.
PMID- 26566552
TI - Excision of symptomatic spinous process nonunion in adolescent athletes.
AB - While clay-shoveler's fractures in athletes are usually treated conservatively
with rest, activity modification, and return to activities when symptoms abate,
nonunion of these fractures can occur, given the ligamentous attachments and
muscular forces acting on the fracture fragment. Surgical treatment for
recalcitrant symptomatic nonunions in adolescent athletes has not been described
in the literature. We examined the medical records and radiographs of adolescent
athletes who presented with persistent symptoms related to a T1 spinous process
nonunion at our institution. Three adolescent athletes were identified who
underwent surgical excision of a nonunited ossicle after a T1 spinous process
fracture and failure of conservative treatment. All patients had complete pain
relief and were able to return to sports after surgical excision. There were no
surgical complications. Persistent pain after a clay-shoveler's fracture in
athletes has been described in the literature. This is the first case series
describing surgical excision of the nonunited ossicle in athletes unable to
return to play because of persistent disabling pain at the nonunion site.
Excision should be considered if patients experience persistent pain after this
injury, with expectation of complete resolution of symptoms after surgery.
PMID- 26566553
TI - Collagenase enzymatic fasciotomy for Dupuytren contracture in patients on chronic
immunosuppression.
AB - Collagenase enzymatic fasciotomy is an accepted nonsurgical treatment for
disabling hand contractures caused by Dupuytren disease. We conducted a study to
investigate use of collagenase in an immunosuppressed population. We
retrospectively reviewed data from 2 academic hand surgical practices. Eight
patients on chronic immunosuppressive therapies were treated with collagenase for
digital contractures between 2010 and 2011. Thirteen collagenase enzymatic
fasciotomies were performed in these 8 patients. Mean preinjection contracture
was 53.0 degrees . At mean follow-up of 6.7 months, mean magnitude of contracture
improved to 12.9 degrees . Mean metacarpophalangeal joint contracture improved
from 42.0 degrees to 4.2 degrees . Mean proximal interphalangeal joint
contracture improved from 65.8 degrees to 21.7 degrees . Three of the enzymatic
fasciotomies were complicated by skin tears. There were no infections. As more
patients seek nonsurgical treatment for Dupuytren disease, its safety and
efficacy in select cohorts of patients should continue to be evaluated
prospectively.
PMID- 26566554
TI - Total shoulder arthroplasty outcome for treatment of osteoarthritis: a
multicenter study using a contemporary implant.
AB - In this article, we present clinical results of primary total shoulder
arthroplasty for osteoarthritis using an implant that provides dual eccentricity
and variable neck and version angles for reconstruction of proximal humeral
anatomy. Two hundred one patients with symptomatic osteoarthritis underwent 218
total shoulder arthroplasties with a fourth-generation anatomical shoulder and a
replicator plate at 4 centers between August 1, 2006, and December 31, 2010.
Fourth-generation implants allow for varying humeral neck and version angles and
have dual eccentricity so as to be consistently able to cover the humeral head
cut. At a mean follow-up of 3 years (minimum, 2 years), there was an 81% follow
up rate. At final follow-up, 3 objective measures were significantly (P < .05)
improved over preoperative levels: average active elevation (preoperative, 92
degrees ; postoperative, 137 degrees ), active external rotation (pre, 15 degrees
; post, 42 degrees ), and active internal rotation (pre, S3; post, L2). The
functional outcome scores that were significantly (P < .05) improved at final
follow-up were Constant normalized (pre, 39; post, 79), Shoulder Pain and
Disability Index (pre, 86; post, 20), Simple Shoulder Test (pre, 3.3; post, 10),
UCLA Shoulder Rating Scale (pre, 13; post, 31), and American Shoulder and Elbow
Surgeons Shoulder Assessment (pre, 33; post, 85). Complications were noted in 11%
of the shoulders. The most common complications were rotator cuff failure (13,
6%) and infection (5, 2%).
PMID- 26566555
TI - Professional dissatisfaction: are orthopedic surgeons spoiled?
PMID- 26566556
TI - Reinforcing a spica cast with a fiberglass bar.
AB - Hip spica casting is used in the treatment of femur fractures and hip dysplasia
in children 1 to 6 years old. A bar connecting the legs of the cast has been
shown to improve cast integrity and assist in patient transport. We present a
simple and low-cost technique that can be used to create a connecting bar and
that can be performed with readily available casting materials.
PMID- 26566557
TI - Long-term elastic durability of polymer matrix composite materials after repeated
steam sterilization.
AB - We compared the durability of 3 different selected composite materials that
underwent repeated steam sterilization with the durability of traditional metal
materials. Composite materials Tepex, CFR-PPS (carbon-fiber-reinforced
polyphenylene sulfide), and HTN-53 (Zytel HTN53G50HSLR NC010) were evaluated for
durability and water retention after repeated steam sterilization. These
composites were compared with stainless steel and aluminum. The structural
properties of these materials were measured (short-beam load-to-failure and
cyclic compression loading tests) before, during, and after repeated steam
sterilization. The relative radiographic density of these materials was also
compared. There was no significant difference in the moisture retention of these
composite materials before and after repeated sterilization. The composite
materials were significantly more radiolucent than the metals. For all the
composite materials, load to failure deteriorated after repeated sterilization.
The cyclic compression loading tests showed HTN-53 had the poorest performance,
with complete failure after 400 cycles of repeated sterilization. CFR-PPS
performed slightly better, with 33% failure at final testing. Tepex had no
failures at final testing. Although HTN-53 has shown promise in other orthopedic
applications, its performance after repeated sterilization was relatively poor.
Tepex showed the most potential for durability after repeated sterilization.
Further study is needed to identify specific applications for these materials in
the orthopedic industry.
PMID- 26566558
TI - Incidence and functional outcomes of malunion of nonoperatively treated humeral
shaft fractures.
AB - We conducted a study to determine the overall incidence and long-term clinical
and functional outcomes of patients with malunion after nonoperative management
of humeral shaft fractures. Fifteen patients with radiographic malunion (>20
degrees angulation or shortening of :ge;2.5 cm) were identified, and their
medical records retrospectively reviewed for information about their injuries and
treatment. Long-term outcomes were assessed with a self-reported questionnaire,
the DASH (Disabilities of the Arm, Shoulder, and Hand) form, and physical
examination. Of 91 study-eligible patients, 15 (16%) had malunion after
nonoperative management of humeral shaft fractures treated over an 11.5-year
period. The 8 patients reached for long-term follow-up had a mean DASH score of
10.4. Seventy-five percent of patients reported having no functional limitations
and being satisfied with the outcome of their treatment. However, 75% also
reported a noticeable cosmetic deformity; for 25% of patients, this was a major
reason for dissatisfaction. Our findings suggest that malunion may be more common
than previously thought but, for a majority of patients, does not cause
significant pain, functional limitations, or dissatisfaction. However, patients
should be counseled about the high likelihood of cosmetic deformity, which they
may find bothersome.
PMID- 26566559
TI - Risk factors for discharge to rehabilitation among hip fracture patients.
AB - Length of stay (LOS) drives costs for hip fracture patients. One factor that
affects LOS is delayed transfer of patients to rehabilitation centers. It is
therefore imperative that orthopedists have a mechanism for identifying which
patients require rehabilitation services after surgery. We conducted a study to
identify patient risk factors that are significantly associated with discharge to
rehabilitation. Using 2011 ACS-NSQIP (American College of Surgeons National
Surgical Quality Improvement Program) data, we prospectively analyzed the cases
of 4815 patients who underwent hip fracture surgery and had discharge information
available. Discharge location, surgery type, patient demographics, 32 patient
comorbidities, and 7 operative factors were identified in these patients. Fisher
exact tests were used to determine which patient factors were significantly
associated with discharge to rehabilitation. Of the 4815 patients, 80.3% were
discharged to rehabilitation and 19.7% to home. After multivariable analysis, age
over 65 years, female sex, dialysis, prior percutaneous coronary intervention,
hypertension, general anesthesia, and ASA (American Society of Anesthesiologists)
class higher than 2 had higher odds of discharge to rehabilitation, and DNR (do
not resuscitate) status had higher odds of discharge to home. This study was the
first to determine which factors predicted discharge to rehabilitation in hip
fracture patients. Knowing these risk factors provides orthopedists with a
mechanism that can be used to identify which patients require rehabilitation
after surgery, thereby facilitating transfer and potentially decreasing LOS and
associated costs.
PMID- 26566560
TI - Is the orthopedic fellowship interview process broken? A survey of program
directors and residents.
AB - Most orthopedic surgery residents pursue fellowship training. The fellowship
interview process requires considerable time and financial investment from
residents and residency programs. We conducted a study of the time, financial,
and program disruption impact the fellowship interview process has on residents
and residency programs. Two mixed-response questionnaires were sent to orthopedic
surgery residency directors and postgraduate year 4 and 5 residents. Responses
were received from 45 program directors and 129 residents. Sixty-two percent of
the directors thought the interview process was extremely disruptive to their
program. On average, the residents applied to 19 programs, received 14 interview
offers, attended 11 interviews, were away from residency training 11 days, and
spent $5875 on travel. About 70% of directors and residents wanted changes made
to the orthopedic fellowship interview process. Sixty percent of the directors
wanted interviews conducted in a central location. Our results highlight that
time away from residency training, financial costs associated with the fellowship
interview process, and disruption of the residency program are substantial.
PMID- 26566561
TI - Medicaid insurance is associated with larger curves in patients who require
scoliosis surgery.
AB - Children with Medicaid may have difficulty accessing care for adolescent
idiopathic scoliosis (AIS), a condition that may worsen with time. We conducted a
study to determine whether patients with Medicaid present with a larger curve
magnitude. We reviewed the cases of consecutive AIS patients treated with
posterior spinal fusion (PSF) between 2008 and 2012. Children seen for second
opinions were excluded. Medical records were evaluated to determine time from
evaluation to determination for surgery, time from recommendation for surgery to
actual procedure, and insurance status. Radiographs were reviewed to determine
Cobb angle at initial presentation. Of the 135 patients who underwent PSF for
newly diagnosed AIS, 39% had Medicaid insurance. Compared with private insurance
patients, Medicaid patients presented with a larger mean (SD) Cobb angle, 57.2
degrees (15.7 degrees ) versus 47.5 degrees (14.3 degrees ) (P < .001), and had
larger curves at time of surgery, 60.6 degrees (13.9 degrees ) versus 54.6
degrees (11.7 degrees ) (P = .008). There was no difference in wait time from
the decision to undergo surgery to the actual surgery or in mean (SD) number of
levels fused, 10.3 (2.2) for Medicaid patients versus 9.7 (2.3) for private
insurance patients (P = .16). Compared with private insurance patients, Medicaid
patients who underwent PSF for AIS had larger presenting Cobb angles and larger
Cobb angles at time of surgery.
PMID- 26566562
TI - Acute multiple flexor tendon injury and carpal tunnel syndrome after open distal
radius fracture.
AB - Although the rupture of extensor tendons after distal radius fractures is well
described, acute flexor tendon ruptures are much less common. We report a case of
acute rupture of the flexor pollicis longus and flexor carpi radialis tendons
with acute carpal tunnel syndrome after a Gustilo-Anderson type II open distal
radius fracture. We reviewed the literature to identify risk factors for tendon
rupture and the development of carpal tunnel syndrome.
PMID- 26566563
TI - Posttraumatic saphenous neuroma after open tibial fracture.
AB - Neuralgia and neuroma secondary to iatrogenic saphenous nerve injury have been
described in the setting of orthopedic surgical interventions. However,
postoperative neuropathic pain caused by saphenous neuroma in the setting of
orthopedic trauma has not been reported. We present a case of a 43-year-old woman
with a symptomatic saphenous neuroma after an open, laterally angulated tibial
fracture. The diagnosis was confirmed in the clinical setting, and treatment
consisted of neurolysis and partial neurectomy, resulting in complete pain relief
and functional recovery. This case demonstrates the diagnosis and treatment
strategy for a symptomatic posttraumatic saphenous neuroma in the setting of a
healed, open distal one-third tibial fracture.
PMID- 26566564
TI - Posterior reversible encephalopathy syndrome: temporary visual loss after spinal
deformity surgery.
AB - Posterior reversible encephalopathy syndrome (PRES) is a rare cause of temporary
postoperative visual loss (POVL) after spinal deformity surgery. We report on 2
patients diagnosed with PRES after spinal deformity surgery, who were closely
examined postoperatively. A 78-year-old woman with severe disability due to
degenerative lumbar spondylosis after laminectomy was treated with transpsoas
lumbar interbody fusion from L1 to L4 and posterior spinal fusion from T10 to
pelvis. She developed confusion and bilateral visual loss on postoperative day 7.
A second patient, a 51-year-old woman with progressive pain and decompensation
caused by adult scoliosis, was treated with posterior spinal fusion from T3 to
pelvis and interbody fusion from L4 to S1 via a presacral interbody fusion
approach. She developed bilateral visual loss on postoperative day 15. Both
patients achieved a complete recovery of their vision after medical management of
PRES. Timely diagnosis of PRES and prompt intervention allow for a good patient
prognosis and complete recovery of eyesight.
PMID- 26566565
TI - Coracoid fracture after reverse total shoulder arthroplasty: a report of 2 cases.
AB - Although reverse total shoulder arthroplasty is largely successful, there are
still complications that require appropriate diagnostic workup and treatment.
These 2 cases of patients with a coracoid fracture were encountered at 3 months
and 15 months after reverse total shoulder arthroplasty. One patient presented
with new-onset pain in the coracoid region without significant functional
deficit, and the other presented with functional deficit and complaint of a
strange noise at the anterior aspect of the operative shoulder. While standard
radiographs did not detect the fracture, computed tomography imaging was
sufficient to establish the diagnosis. Ultimately, nonoperative management led to
resolution of these symptoms.
PMID- 26566566
TI - Surgical management of Gorham-Stout disease of the pelvis refractory to medical
and radiation therapy.
AB - Gorham-Stout disease (GSD) is a rare condition characterized by spontaneous
idiopathic bone resorption that can affect any part of the skeleton. Treatment is
aimed at halting osteolysis and alleviating complications associated with bone
loss. Often this can be achieved via observation and supportive management,
medical treatment, and/or radiation therapy. We report a case of GSD of the
pelvis that was refractory to medical and radiation therapy and was managed
successfully with surgery. A 30-year-old man presented to our clinic 3 years
after being diagnosed at an outside institution with GSD of the pelvis that was
managed with medical treatments and radiation therapy. Despite aggressive,
multimodality treatment, he was unable to ambulate without crutches and was in
significant pain. The patient opted for intralesional surgery and spinopelvic
fusion. Sixteen months after surgery, the patient had only mild pain and was able
to ambulate with a cane. Very few cases have been reported of GSD involving the
pelvis that necessitated surgical management. Significant functional impairment
can occur as a result of pelvic osteolysis, and traditional management strategies
focused on halting resorption may not be adequate. Surgical stabilization of the
affected areas is an important treatment strategy for patients who have exhausted
other options.
PMID- 26566567
TI - A noninvasive approach to off-face skin laxity and tightening: a review of the
literature.
AB - Off-face skin scales tailored to each anatomical site can measure laxity and
tightening of the neck, chest, arms, hands, abdomen, buttocks, and medial thighs.
Although surgery may be indicated for major weight loss patients, other
noninvasive modalities may be considered including: CO2 laser, bipolar
radiofrequency, monopolar radiofrequency, microfocused ultrasound, and
combination therapies. We provide a review of the literature for each modality
and relevance to the off-face anatomical sites.
PMID- 26566568
TI - Noninvasive body contouring: cryolipolysis and ultrasound.
AB - Over the past 5 years, there has been a paradigm shift in the treatment of fat.
The clear trend is toward noninvasive fat removal technologies over more
traditional forms of fat removal such as liposuction. While these devices do not
yet approach the results seen with liposuction, noninvasive treatments are
gaining popularity due to their ability to safely and effectively reduce fat with
little downtime and typically no need for anesthesia. The optimal candidate is
relatively fit with localized areas of adiposity, rather than an obese patient.
It is important to note that there are numerous noninvasive fat devices including
low-level light, radiofrequency, laser, cryolipolysis, and ultrasound. Some of
these technologies have more robust data to support their efficacy than others.
This review will focus on only 2 noninvasive fat technologies: cryolipolysis and
ultrasound.
PMID- 26566569
TI - Injectable agents affecting subcutaneous fats.
AB - Mesotherapy is an intradermal or subcutaneous injection of therapeutic agents to
induce local effects, and was pioneered in Europe during the 1950s. For the past
2 decades, there has been significant interest in the use of mesotherapy for
minimally invasive local fat contouring. Based on the theorized lipolytic effects
of the agent phosphatidylcholine, initial attempts involved its injection into
subcutaneous tissue. With further studies, however, it became apparent that the
activity attributed to phosphatidylcholine mesotherapy was due to the adipolytic
effects of deoxycholate, a detergent used to solubilize phosphatidylcholine.
Since then, clinical trials have surfaced that demonstrate the efficacy of a
proprietary formulation of deoxycholate for local fat contouring. Current trials
on mesotherapy with salmeterol, a b-adrenergic agonist and lipolysis stimulator,
are underway-with promising preliminary results as well.
PMID- 26566570
TI - Therapeutic approaches to cellulite.
AB - Cellulite is a condition that affects the vast majority of women. Although it is
of no danger to one's overall health, cellulite can be psychosocially
debilitating. Consequently, much research has been devoted to understanding
cellulite and its etiopathogenesis. With additional insights into the underlying
causes of its clinical presentation, therapeutic modalities have been developed
that offer hope to cellulite sufferers. This review examines evidence for topical
treatments, noninvasive energy-based devices, and recently developed minimally
invasive interventions that may finally provide a solution.
PMID- 26566571
TI - Hand rejuvenation.
AB - Aging of the hands results from both natural processes and chronic ultraviolet
light exposure. Together, these cause textural and pigmentary changes, excess
skin laxity, rhytides, and soft tissue atrophy that presents as prominent bones
and tendons with easily visible veins. Many options are available for the
reversal of these changes. Photoaging can be improved with chemical peels and
light-based treatments (such as Q-switched lasers), resurfacing lasers, intense
pulsed light, and photodynamic therapy. Soft tissue atrophy can be corrected with
autologous fat, nonanimal stabilized hyaluronic acid, calcium hydroxylapatite,
and poly-L lactic acid injections. The literature shows that these treatments
have favorable outcomes for most patients; but in order to reduce known
complications, it is important to understand the proper use and limitations of
each modality.
PMID- 26566572
TI - Prevention and treatment of nonfacial scars.
AB - Hypertrophy in nonfacial scars can be caused by mechanical stress created by
large core muscles and joint movement and by increased pressure and shear over
sites with boney prominences. Careful surgical planning and technique to minimize
wound tension, followed by tension off-loading, can help minimize scar
hypertrophy. Both surgical and nonsurgical techniques can be utilized for non
facial scar revision. The adoption of a combination therapy with multiple
treatment modalities may be required to improve the appearance of nonfacial
scars.
PMID- 26566573
TI - Design, fabrication and test of a pneumatically controlled, renewable,
microfluidic bead trapping device for sequential injection analysis applications.
AB - This paper describes the design, fabrication, and testing of a pneumatically
controlled, renewable, microfluidic device for conducting bead-based assays in an
automated sequential injection analysis system. The device used a "brick wall"
like pillar array (pillar size: 20 MUm length * 50 MUm width * 45 MUm height)
with 5 MUm gaps between the pillars serving as the micro filter. The flow channel
where bead trapping occurred is 500 MUm wide * 75 MUm deep. An elastomeric
membrane and an air chamber were located underneath the flow channel. By applying
pressure to the air chamber, the membrane is deformed and pushed upward against
the filter structure. This effectively traps beads larger than 5 MUm and creates
a "bed" or micro column of beads that can be perfused and washed with liquid
samples and reagents. Upon completion of the assay process, the pressure is
released and the beads are flushed out from underneath the filter structure to
renew the device. Mouse IgG was used as a model analyte to test the feasibility
of using the proposed device for immunoassay applications. Resulting microbeads
from an on-chip fluorescent immunoassay were individually examined using flow
cytometry. The results show that the fluorescence signal intensity distribution
is fairly narrow indicating high chemical reaction uniformity among the beads
population. Electrochemical on-chip assay was also conducted. A detection limit
of 1 ppb was achieved and good device reliability and repeatability were
demonstrated. The novel microfluidic-based beads-trapping device thus opens up a
new pathway to design micro-bead based immunoassays for various applications.
PMID- 26566574
TI - A biosensor based on gold nanoparticles stabilized in poly(allylamine
hydrochloride) and decorated with laccase for determination of dopamine.
AB - Gold nanoparticles stabilized in poly(allylamine hydrochloride) (AuNP-PAH) were
used as a support for the immobilization of the enzyme laccase obtained from
genetically-modified microorganisms (Aspergillus oryzae) and successfully applied
in the development of a new biosensor for the determination of dopamine by square
wave voltammetry. The electrochemical characterization of the biosensor was
performed by cyclic voltammetry and electrochemical impedance spectroscopy and
indicated that the nanomaterial used for the electrode modification facilitated
the electron transfer. Under optimized conditions, the calibration curve showed a
linear range for dopamine from 0.49 to 23.0 MUmol L(-1), with a limit of
detection of 0.26 MUmol L(-1). The biosensor demonstrated suitable selectivity
and stability, good intra-day and inter-day repeatability and electrode-to
electrode repeatability, with relative standard deviations of 4.95, 4.85 and
4.21%, respectively. The proposed biosensor was successfully applied to the
determination of dopamine in pharmaceutical samples and the results were in
agreement with those obtained using a spectrophotometric method. The recoveries
of 97.6 to 105.5% obtained for the samples demonstrate that the proposed method
is suitable for practical applications. The good analytical performance of the
proposed method can be attributed to the efficient immobilization of laccase in
the nanomaterial and the facilitation of electron transfer between the protein
and the electrode surface due to the presence of the Au nanoparticles and the PAH
polymer.
PMID- 26566575
TI - Exploring the Severity of Dependence Scale (SDS) as a possible measure of
nicotine dependence.
AB - BACKGROUND: The time to first cigarette (TTFC) of the day is an emerging single
item indicator of nicotine dependence due to its robust associations with indices
of physical dependence. However, it is unclear if this measure adequately
captures other dimensions of dependence. The Severity of Dependence Scale (SDS)
is a brief questionnaire used to assess psychological aspects of dependence that
has not yet been extensively applied to smoking research. METHODS: We examined
associations between the SDS and TTFC among 255 smokers during the baseline
session of a cessation trial. We also examined associations of the SDS and TTFC
with biobehavioral dependence indices, quitting behaviors, and cognitive
affective variables and compared the relative contributions of both measures in
predicting these variables. RESULTS: TTFC was unrelated to SDS total score, but
was related to individual SDS items. TTFC, but not SDS, was correlated with
indices of physical dependence (e.g., cigarettes per day [CPD], carbon monoxide
[CO]). Both TTFC and SDS were associated with quitting behaviors, with opposite
directionality of associations. TTFC and SDS were both associated with cognitive
affective variables, but SDS outperformed TTFC in strength and number of these
relationships. Including both the SDS and TTFC as regression model predictors
often increased the amount of variance explained. CONCLUSIONS: Findings suggest
that SDS and TTFC assess different constructs of nicotine dependence; among
smokers, the SDS appears to tap into nonphysical components of dependence (e.g.,
loss of control) that relate to quitting motivation and affect. Assessing
nicotine dependence using only the SDS may fail to capture physical dependence
and, further, may not reflect the same domains of addiction the SDS assesses in
other drugs of abuse. Nonetheless, using 3 SDS items in addition to TTFC may
offer utility over using TTFC alone.
PMID- 26566576
TI - Antiplasmodial drug targets: a patent review (2000 - 2013).
AB - INTRODUCTION: New antimalarials with novel modes of action are crucial in
countering the challenge of emerging drug-resistant Plasmodium falciparum.
Equally significant is the identification and characterization of the targets
these compounds inhibit. Biochemical evidence from seminal studies, whole genome
clues and high-throughput chemical screening data provide starting points worth
exploring in target identification efforts. Several proteins and biochemical
processes/pathways critical to parasite survival have since been profiled and
patented. AREAS COVERED: In this review, an analysis of patents describing the
characterization of different enzymatic and/or biosynthetic targets in P.
falciparum over the last fourteen years is presented. The review also details
structures, biological evaluation, potential modes of action and therapeutic
utilities of small molecule antiplasmodial compounds from ongoing research,
designed to inhibit these targets. EXPERT OPINION: Though various strategies to
address antimalarial drug resistance exist, direct inhibition of unrelated
targets and non-genome coded processes potentially present the most effective
options. Additionally, interest in peptides as antimalarials merits further
exploration especially in view of their unique low susceptibility to resistance,
wider spectrum of action and faster activity. Finally, target-based optimization
and chemical validation of novel targets can be facilitated by routine phenotypic
whole-cell screening of antiplasmodial hits against any new target(s).
PMID- 26566577
TI - From Unorthodox to Established: The Current Status of (18)F-Trifluoroborate- and
(18)F-SiFA-Based Radiopharmaceuticals in PET Nuclear Imaging.
AB - Unorthodox (18)F-labeling strategies not employing the formation of a carbon
(18)F bond are seldom found in radiochemistry. Historically, the formation of a
boron- or silicon-(18)F bond has been introduced very early on into the
repertoire of labeling chemistries, but is without translation into any clinical
radiotracer besides inorganic B[(18)F]F4(-) for brain tumor diagnosis. For many
decades these labeling methodologies were forgotten and have just recently been
revived by a handful of researchers thinking outside the box. When breaking with
established paradigms such as the inability to obtain labeled compounds of high
specific activity via isotopic exchange or performing radiofluorination in
aqueous media, the research community often reacts skeptically. In 2005 and 2006,
two novel labeling methodologies were introduced into radiochemistry for positron
emission tomography (PET) tracer development: RBF3(-) labeling reported by Perrin
et al. and the SiFA methodology by Schirrmacher, Jurkschat, and Waengler et al.
which is based on isotopic exchange (IE). Both labeling methodologies have been
complemented by other noncanonical strategies to introduce (18)F into
biomolecules of diagnostic importance, thus profoundly enriching the landscape of
(18)F radiolabeling. B- and Si-based labeling strategies finally revealed that IE
is a viable alternative to established and traditional radiochemistry with the
advantage of simplifying both the labeling effort as well as the necessary
purification of the radiotracer. Hence IE will be the focus of this contribution
over other noncanonical labeling methods. Peptides for tumor imaging especially
lend themselves favorably toward one-step labeling via IE, but small molecules
have been described as well, taking advantage of these new approaches, and have
been used successfully for brain imaging. This Review gives an account of both
radiochemistries centered on boron and silicon, describing the very beginnings of
their basic research, the path that led to optimization of their chemistries, and
the first encouraging preclinical results paving the way to their clinical use.
This side by side approach will give the reader the opportunity to follow the
development of a new basic discovery into a clinically applicable radiotracer
including all the hurdles that have had to be overcome.
PMID- 26566578
TI - Response to Pick.
AB - In his letter, Dr. Pick criticizes our use of relative values when representing
the NOX2 inhibitory action of a novel small molecule (GSK2795039) in a semi
recombinant NOX2 membrane assay. To address this concern, we performed additional
experiments using the superoxide inhibitable assays cytochrome C and water
soluble tetrazolium salt (WST-1) reduction. In this letter, we document turnover
values between 80 and 100 mol O2(*-)/s/mol cytochrome b558 in our semi
recombinant assay and confirmed that GSK2795039 inhibits the NOX2 isoform in the
submicromolar range. Antioxid. Redox Signal. 23, 1251-1253.
PMID- 26566579
TI - Impact of Mentors During Adolescence on Outcomes Among Gay Young Adults.
AB - Using the National Longitudinal Study of Adolescent Health and the Adolescent
Health and Academic Achievement study datasets, this study examined whether
natural mentoring relationships during adolescence were associated with young
adult outcomes among lesbian, gay, and bisexual (LGB) persons. Outcomes in three
domains were investigated: education and employment, psychological wellbeing, and
substance use and abuse. Results indicated that LGB persons reporting natural
mentors during adolescence were about three times as likely to graduate from high
school as those without. Discussion surrounds strategies to foster mentoring
relationships within the school environment or community.
PMID- 26566580
TI - Management of anorectal and intra-abdominal infections in the neutropenic cancer
patient.
PMID- 26566581
TI - Neutropenia: A nursing perspective.
PMID- 26566582
TI - Self-Management of Heart Disease in Older Adults.
AB - The American Heart Association estimates that 81% of people who die of coronary
heart disease are 65 years old or older. The leading risk health behaviors
include physical inactivity, poor diet, smoking, and binge drinking. Using the
2011-2012 California Health Interview Survey (CHIS), this study looked at how
self-management, which includes a plan developed by a medical professional and
the confidence to manage one's disease, may decrease negative risk behaviors in
older adults. The presence of a plan and increased self-efficacy decreased
engagement in negative dietary behaviors and low physical activity. Implications
for strategies that address heart disease and self-management are discussed.
PMID- 26566583
TI - Lost in Translation: Language, Terminology, and Understanding of Penile-Anal
Intercourse in an HIV Prevention Trial in South Africa, Uganda, and Zimbabwe.
AB - Despite efforts to use culturally appropriate, understandable terms for sexual
behavior in HIV prevention trials, the way in which participants interpret
questions is underinvestigated and not well understood. We present findings from
qualitative interviews with 88 women in South Africa, Uganda, and Zimbabwe who
had previously participated in an HIV prevention trial. Findings suggested that
participants may have misinterpreted questions pertaining to penile-anal
intercourse (PAI) to refer to vaginal sex from behind and subsequently
misreported the behavior. Three key issues emerge from these findings: first, the
underreporting of socially stigmatized sexual behaviors due to social
desirability bias; second, the inaccurate reporting of sexual behaviors due to
miscomprehension of research terms; and third, the ambiguity in vernacular terms
for sexual behavior and lack of acceptable terms for PAI in some languages. These
findings highlight methodological challenges around developing clear and
unambiguous definitions for sexual behaviors, with implications not only for
clinical trials but also for clinical practice and sexual risk assessment. We
discuss the challenges in collecting accurate and reliable data on heterosexual
PAI in Africa and make recommendations for improved data collection on sensitive
behaviors.
PMID- 26566584
TI - Isolation of a novel polyomavirus, related to Japanese eel endothelial cell
infecting virus, from marbled eels, Anguilla marmorata (Quoy & Gaimard).
AB - Marbled eels, Anguilla marmorata (Quoy & Gaimard), cultured in Taiwan exhibited
haemorrhage and mortality in January 2012. The severely diseased eels bled from
the gills and showed congestion of the central venous sinus of the gill filaments
and haemorrhage throughout the body similar to viral endothelial cell necrosis of
eel. In this study, a novel polyomavirus (AmPyV) was isolated from the diseased
eels using the AMPF cell line established from the pectoral fin of healthy
marbled eels. AmPyV was found to encode a long T-antigen orthologous gene.
Phylogenetic analysis showed that AmPyV was closely related to Japanese eel
endothelial cell-infecting virus. PCR assays revealed AmPyV infection throughout
the systemic organs. AmPyV proliferated in the AMPF, EK-1 and EO-2 cells at
temperatures 25-30 degrees C, and the progeny virus yields were 10(7.0) ,
10(7.4) and 10(7.7) TCID50 mL(-1) , respectively. The purified virions were
icosahedral particles, 70-80 nm in diameter. No clinical signs or mortality was
observed among the eels injected with the virus; however, the virus was
reisolated from the brain, eyes, kidneys, fins and gills of infected eels 2 month
after injection. Our results suggest that AmPyV exhibits a latent infection.
Pathogen of the disease needs to study further.
PMID- 26566585
TI - Language outcomes of children with cerebral palsy aged 5 years and 6 years: a
population-based study.
AB - AIM: To examine the frequency, range, and features of language impairment in a
community sample of children with cerebral palsy (CP) aged 5 to 6 years. METHOD:
Children with CP born between 2005 and 2007 were identified through the Victorian
Cerebral Palsy Register. Eighty-four participants were recruited, representing
48% of the contacted families. The recruited sample was representative of non
participants. Participants completed standardized measures of receptive and
expressive language, and non-verbal cognition. RESULTS: Language impairment was
identified in 61% (51/84) of participants. Twenty-four per cent (20/84) were non
verbal. Co-occurring receptive and expressive language impairment was common
(37/84, 44%). Isolated receptive (6/84, 7%) and expressive (4/84, 5%) impairments
occurred relatively infrequently. At a group level, verbal and non-verbal
participants demonstrated deficits across language subdomains (i.e. semantics,
syntax, morphology), rather than in single domains. Cognitive impairment and
Gross Motor Function Classification System levels IV and V were associated with
higher rates of language impairment (odds ratio [OR] 15.2, 95% confidence
interval [CI] 3.2-71.8 and OR 8.5, 95% CI 1.8-40.3 respectively). Only cognition
was independently associated with language impairment when both of these factors
were considered within a multivariable model. INTERPRETATION: Language impairment
was common in 5-year-old and 6-year-old children with CP, affecting three out of
five children. Participants were impaired across linguistic subdomains indicating
a generalized language deficit. Findings suggest most children would benefit from
a clinical language assessment. To target services effectively, subgroups of
individuals with CP at greatest risk for language impairment need to be
identified.
PMID- 26566586
TI - Structural impact of proline mutations in the loop region of an ancestral
membrane protein.
AB - The sodium ion-translocating F0 F1 ATP synthase from the bacterium Ilyobacter
tartaricus contains a highly stable rotor ring composed of 11 c subunits. The
synthase subunit c-in effect an 89-residue peptide that folds into a helical
hairpin consisting of two membrane-spanning helices and a cytoplasmic loop-was
probed for the structural impact of a series of substitutions with the beta-turn
inducing proline-glycine couplet scanning the hairpin loop (residues 44-51) of
the I. tartaricus sequence. We found that a Pro residue in other than the wild
type position 47 alters the gross secondary structure of subunit c from alpha
helical to beta-sheet-like, as well as changing its oligomeric ring structure,
and its stability toward heat and trichloroacetic acid treatment. Such a Pro
mediated structural switch in one of the first membrane proteins in life hints to
a potential evolutionary connection between alpha-helical and beta-sheet membrane
proteins.
PMID- 26566587
TI - The use of radiofrequency in combination with lasers for acne scars.
PMID- 26566588
TI - Clinicopathologic features of IgG/IgA pemphigus in comparison with classic (IgG)
and IgA pemphigus.
AB - BACKGROUND: The pemphigus group is characterized by the presence of circulating
immunoglobulins against desmosomes. IgG/IgA pemphigus is defined by the presence
of IgG and IgA cell surface deposits upon direct immunofluorescence (DIF) and/or
circulating IgG and IgA autoantibodies upon indirect immunofluorescence. Previous
reports of patients with IgG/IgA pemphigus are sparse. Whether IgG/IgA pemphigus
is best classified as a subtype of IgG (classic) pemphigus or IgA pemphigus, or
as a distinct entity, has yet to be determined. OBJECTIVES: We compared the
features of patients with IgG/IgA pemphigus to those of IgG pemphigus and IgA
pemphigus. METHODS: Retrospective clinicopathologic study of patients with IgG,
IgG/IgA, and IgA pemphigus evaluated at our clinic (1993-2013). RESULTS: We
included 26, 13, and seven patients with IgG, IgG/IgA, and IgA pemphigus,
respectively. Patients with IgG/IgA pemphigus did not differ significantly from
patients with IgG pemphigus in terms of clinical and microscopic features, DIF
findings, anti-desmoglein antibody values, and treatments required. However,
patients with IgG/IgA pemphigus were significantly different from patients with
IgA pemphigus regarding intertriginous distribution (P = 0.038) and pustular
lesions (P < 0.001), acantholysis (P = 0.043), and presence of intercellular C3
deposits on DIF (P < 0.001). CONCLUSION: Comparative clinicopathologic data imply
that IgG/IgA pemphigus may best be regarded as a variant of IgG pemphigus and
distinct from IgA pemphigus.
PMID- 26566589
TI - Announcing "Up to Date in the Science of Sodium".
PMID- 26566591
TI - What every clinical geneticist should know about testing for osteogenesis
imperfecta in suspected child abuse cases.
AB - Non-accidental injury (NAI) is a major medical concern in the United States. One
of the challenges in evaluation of children with unexplained fractures is that
genetic forms of bone fragility are one of the differential diagnoses. Infants
who present with fractures with mild forms of osteogenesis imperfecta (OI) (OI
type I or OI type IV), the most common genetic form of bone disease leading to
fractures might be missed if clinical evaluation alone is used to make the
diagnosis. Diagnostic clinical features (blue sclera, dentinogenesis imperfecta,
Wormian bones on X-rays or positive family history) may not be present or
apparent at the age of evaluation. The evaluating clinician faces the decision
about whether genetic testing is necessary in certain NAI cases. In this review,
we outline clinical presentations of mild OI and review the history of genetic
testing for OI in the NAI versus OI setting. We summarize our data of molecular
testing in the Collagen Diagnostic Laboratory (CDL) from 2008 to 2014 where NAI
was noted on the request for DNA sequencing of COL1A1 and COL1A2. We provide
recommendations for molecular testing in the NAI versus OI setting. First, DNA
sequencing of COL1A1, COL1A2, and IFITM5 simultaneously and duplication/deletion
testing is recommended. If a causative variant is not identified, in the absence
of a pathologic clinical phenotype, no additional gene testing is indicated. If a
VUS is found, parental segregation studies are recommended.
PMID- 26566590
TI - Phosphorylation of alphaSNAP is Required for Secretory Organelle Biogenesis in
Toxoplasma gondii.
AB - Upon infection, apicomplexan parasites quickly invade host cells and begin a
replicative cycle rapidly increasing in number over a short period of time,
leading to tissue lysis and disease. The secretory pathway of these highly
polarized protozoan parasites tightly controls, in time and space, the biogenesis
of specialized structures and organelles required for invasion and intracellular
survival. In other systems, regulation of protein trafficking can occur by
phosphorylation of vesicle fusion machinery. Previously, we have shown that
Toxoplasma gondii alphaSNAP - a protein that controls the disassembly of cis
SNARE complexes--is phosphorylated. Here, we show that this post-translational
modification is required for the correct function of alphaSNAP in controlling
secretory traffic. We demonstrate that during intracellular development
conditional expression of a non-phosphorylatable form of alphaSNAP results in
Golgi fragmentation and vesiculation of all downstream secretory organelles. In
addition, we show that the vestigial plastid (termed apicoplast), although
reported not to be reliant on Golgi trafficking for biogenesis, is also affected
upon overexpression of alphaSNAP and is much more sensitive to the levels of this
protein than targeting to other organelles. This work highlights the importance
of alphaSNAP and its phosphorylation in Toxoplasma organelle biogenesis and
exposes a hereto fore-unexplored mechanism of regulation of vesicle fusion during
secretory pathway trafficking in apicomplexan parasites.
PMID- 26566592
TI - Competing-risks model in screening for pre-eclampsia by maternal factors and
biomarkers at 35-37 weeks' gestation.
AB - OBJECTIVE: To develop a model for prediction of term pre-eclampsia (PE) based on
a combination of maternal factors and late third-trimester biomarkers. METHODS:
Data were derived from prospective screening for adverse obstetric outcomes in
women attending their routine hospital visit at 35-37 weeks' gestation in two
maternity hospitals in the UK. Uterine artery pulsatility index (UtA-PI) was
measured in 5362 pregnancies, mean arterial pressure (MAP) in 5386 and serum
placental growth factor (PlGF) and serum soluble fms-like tyrosine kinase-1 (sFlt
1) in 3920. Bayes' theorem was used to combine the a-priori risk of PE from
maternal factors with various combinations of biomarkers, expressed as multiples
of the median (MoM). Five-fold cross-validation was used to estimate the
performance of screening for PE, requiring delivery at some stage after
assessment. The empirical performance of screening was compared to model
predictions. RESULTS: In pregnancies that developed PE, the values of MAP, UtA-PI
and sFlt-1 were increased and PlGF was decreased compared to unaffected
pregnancies. For all biomarkers evaluated, the deviation from normal was
inversely related to the gestational age at which delivery became necessary for
maternal or fetal indications. Screening by maternal factors and by a combination
of maternal factors with all biomarkers predicted 35% and 84% of PE,
respectively, at a 10% false-positive rate. CONCLUSION: A combination of maternal
factors and biomarkers at 35-37 weeks' gestation can provide effective screening
for term PE. Copyright (c) 2015 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 26566593
TI - Accelerometer-measured physical activity among older adults in urban India:
Results of a study on global AGEing and adult health substudy.
AB - OBJECTIVES: Accelerometry provides researchers with a powerful tool to measure
physical activity in population-based studies, yet this technology has been
underutilized in cross-cultural studies of older adults. The present study was
conducted among older adults in an urban setting in India with the following
three objectives: (1) to compare average activity levels obtained through
different durations of monitoring (1, 3, and 7 days); (2) to document differences
in physical activity patterns by sex and age; and (3) to evaluate links between
measures of physical activity and anthropometrics, as well as between activity
parameters and measures of household size, work status, and social cohesion.
METHODS: The present study uses data from a physical activity substudy of the
World Health Organization's Study on global AGEing and adult health (SAGE-PA).
This study of 200 older adults (49-90 years old; 72 males, 128 females) in urban
India combines 7 continuous days of ActiGraph GT3X accelerometry with
anthropometric and sociodemographic data. RESULTS: Results reveal overall low
activity levels, with significantly lower activity energy expenditure (AEE) among
females (P < 0.05). No significant differences were documented in activity level
by monitoring duration. Age was negatively correlated with AEE in men (P < 0.01)
and women (P < 0.001). AEE was positively correlated with BMI in men (P < 0.01)
and women (P < 0.05). Finally, women who were more socially integrated had
greater AEE (P < 0.01). CONCLUSIONS: This study illustrates the utility of
accelerometry for quantifying activity levels in aging populations in non-Western
nations. Am. J. Hum. Biol. 28:412-420, 2016. (c) 2015 Wiley Periodicals, Inc.
PMID- 26566595
TI - Screening for nonalcoholic fatty liver disease in patients with atherosclerotic
coronary disease?--In principle yes, in practice not yet.
PMID- 26566594
TI - Evolutionary History of the Enzymes Involved in the Calvin-Benson Cycle in
Euglenids.
AB - Euglenids are an ancient lineage that may have existed as early as 2 billion
years ago. A mere 65 years ago, Melvin Calvin and Andrew A. Benson performed
experiments on Euglena gracilis and elucidated the series of reactions by which
carbon was fixed and reduced during photosynthesis. However, the evolutionary
history of this pathway (Calvin-Benson cycle) in euglenids was more complex than
Calvin and Benson could have imagined. The chloroplast present today in
euglenophytes arose from a secondary endosymbiosis between a phagotrophic
euglenid and a prasinophyte green alga. A long period of evolutionary time
existed before this secondary endosymbiotic event took place, which allowed for
other endosymbiotic events or gene transfers to occur prior to the establishment
of the green chloroplast. This research revealed the evolutionary history of the
major enzymes of the Calvin-Benson cycle throughout the euglenid lineage and
showed that the majority of genes for Calvin-Benson cycle enzymes shared an
ancestry with red algae and/or chromophytes suggesting they may have been
transferred to the nucleus prior to the acquisition of the green chloroplast.
PMID- 26566596
TI - Seasonal influenza immunization program outside general practice: An evaluation.
AB - With the support of our local Public Health and NHS England teams, we developed a
pathway of care to provide seasonal influenza vaccination to our heroin dependent
service users. 340 of the 515 service users receiving opioid substitution
treatment (OST) were offered the vaccination in the 2014/15 influenza season and
205 accepted it. A further 29 service users received the vaccination elsewhere.
With over 50% of those on OST prescriptions known to have a diagnosed chronic
condition, such as liver or respiratory disease, this was a worthwhile health
intervention in a population that is known to be "hard to reach." In addition to
the potential benefit to the individuals who received the seasonal influenza
vaccination, there was also an opportunity to provide health advice and
information surrounding chronic disease management. Service user feedback
overwhelmingly supported the provision of seasonal influenza vaccination within
Drug and Alcohol services.
PMID- 26566598
TI - Epidermal expression of receptor for advanced glycation end products (RAGE) is
related to inflammation and apoptosis in human skin.
PMID- 26566597
TI - 15-F2t-Isoprostane Concentrations and Oxidant Status in Lactating Dairy Cattle
with Acute Coliform Mastitis.
AB - BACKGROUND: Severe mammary tissue damage during acute coliform mastitis in cattle
is partially caused by oxidative stress. Although considered a gold standard
biomarker in some human conditions, the utility of 15-F2t-Isoprostanes (15-F2t
Isop) in detecting oxidative stress in dairy cattle has not been validated.
HYPOTHESIS: Concentrations of 15-F2t-Isop in plasma, urine, and milk correlate
with changes in oxidant status during severe coliform mastitis in cattle.
ANIMALS: Eleven lactating Holstein-Friesian dairy cows in their 3rd-6th
lactation. METHODS: A case-control study using cows with acute coliform mastitis
and matched healthy controls were enrolled into this study. Measures of
inflammation, oxidant status, and redox status in plasma and milk samples were
quantified using commercial assays. Plasma, urine, and milk 15-F2t-Isop were
quantified by liquid chromatography/tandem mass spectrometry (LC-MS/MS) and ELISA
assays. Data were analyzed by Wilcoxon rank sum tests (alpha = 0.05). RESULTS:
Plasma 15-F2t-Isop quantified by LC-MS/MS was positively correlated with systemic
oxidant status (r = 0.83; P = .01). Urine 15-F2t-Isop quantified by LC-MS/MS did
not correlate with systemic oxidant status, but was negatively correlated with
redox status variables (r = -0.83; P = .01). Milk 15-F2t-Isop quantified by LC
MS/MS was negatively correlated (r = -0.86; P = .007) with local oxidant status.
Total 15-F2t-Isop in milk quantified by a commercial ELISA (cbELISA) was
positively correlated with oxidant status in milk (r = 0.98; P < .001).
CONCLUSIONS AND CLINICAL IMPORTANCE: Free plasma 15-F2t-Isop quantified by LC
MS/MS and total milk 15-F2t-Isop quantified by cbELISA are accurate biomarkers of
systemic and mammary gland oxidant status, respectively. Establishing reference
intervals for free and total 15-F2t-Isops for evaluating oxidative stress in
dairy cows should currently be based on the LC-MS/MS method.
PMID- 26566599
TI - Commentary about papular mycosis fungoides, lymphomatoid papulosis and
lymphomatoid pityriasis lichenoides: more similarities than differences.
PMID- 26566600
TI - Health-related quality of life is unimpaired in children and adolescents with
Marfan syndrome despite its distinctive phenotype.
AB - AIM: Marfan syndrome (MFS) is a progressive, life-threatening genetic disorder of
the connective tissue, which causes impaired quality of life (QoL) in adults.
This study investigated the quality of life in children and adolescents, taking
into account their gender, age and how MFS affected their organs. METHODS: This
prospective nonrandomised single-centre study included 46 patients with verified
MFS with a mean age of 10.98 years (+/-3.72). QoL was measured using the self
reported, multidimensional KINDL-R questionnaire and compared with an age-matched
control group of 174 children and adolescents. RESULTS: No significant overall
reduction of QoL was found. Total QoL scores for patients diagnosed at four to
seven years were the same as the control group (77.65 +/- 9.37 versus 77.06 +/-
11.72), but they were higher for patients aged eight to 16 years (75.15 +/- 9.19
versus 70.46 +/- 11.35, p = 0.025). No gender-specific differences or impairments
in QoL during adolescence were observed (p > 0.05). Analysis of the effect of
organ manifestation on QoL showed better or equal QoL scores (p > 0.05), despite
distinctive phenotypes such as ectopia lentis. CONCLUSION: QoL was fairly good in
paediatric patients with MFS, and there was no impairment during adolescence.
Despite the distinctive phenotype, quality of life was unimpaired in younger
patients.
PMID- 26566601
TI - Syphilis as "the great imitator": a case of impetiginoid syphiloderm.
PMID- 26566602
TI - Synthetic PAMPS gel activates BMP/Smad signaling pathway in ATDC5 cells, which
plays a significant role in the gel-induced chondrogenic differentiation.
AB - The purposes of this study were to identify signaling pathways that were
specifically activated in ATDC5 cells cultured on poly (2-acrylamido-2
methylpropanesulfonic acid) (PAMPS) gel in insulin-free maintenance medium and to
evaluate the significance of the determined signaling pathways in the
chondrogenic differentiation induced by this gel. In this study, ATDC5 cells
cultured on PAMPS gel using the maintenance medium without insulin (PAMPS
Culture) were compared with cells cultured on polystyrene using the
differentiation medium containing insulin (PS-I Culture). The microarray
analysis, Western blot analysis, and real-time PCR analysis demonstrated that the
TGF-beta/BMP signaling pathway was significantly enhanced at Days 1, 2, and 3 in
the PAMPS Culture when compared with the PS-I Culture. Inhibition of the BMP type
I receptor reduced the phosphorylation level of Smad1/5 and expression of type-2
collagen and aggrecan mRNA in the cells accompanied by a reduction in cell
aggregation at Day 13 in the PAMPS Culture. The inhibition of the TGF-beta/BMP
signaling pathway significantly inhibited the chondrogenic differentiation
induced by the PAMPS gel. The present study demonstrated that synthetic PAMPS gel
activates the TGF-beta/BMP/Smad signaling pathway in the ATDC5 cells in the
absence of insulin, and that this activation plays a significant role in the
chondrogenic differentiation induced by PAMPS gel. (c) 2015 Wiley Periodicals,
Inc. J Biomed Mater Res Part A: 104A: 734-746, 2016.
PMID- 26566603
TI - Anaesthesia-associated hypersensitivity reactions: seven years' data from a
British bi-specialty clinic.
AB - Our bi-specialty clinic was established to systematically investigate patients
with suspected peri-operative hypersensitivity reactions. Four hundred and ten
patients were studied; 316 following an intra-operative reaction ('postoperative'
group) and 94 with a previous history of reaction, referred before undergoing
anaesthesia ('pre-operative' group). In the postoperative group, 173 (54.7%) were
diagnosed with IgE-mediated reactions: 65 (37.6%) to neuromuscular blocking
drugs; 54 (31.2%) antibiotics; 15 (8.7%) chlorhexidine and 12 (6.9%) patent blue
dye. Reactions were severe in 114 patients (65.9%). All reactions to patent blue
dye were severe. We identified IgE sensitisation in 22 (13.2%) cases with
isolated mucocutaneous reactions. Only 173 (54.7%) patients had serum tryptase
samples taken. Referrers' suspected causal agent was confirmed in only 37.2% of
patients. Of 94 patients reviewed 'pre-operatively', 29 (30.8%) were diagnosed
with IgE-mediated hypersensitivity reactions, reinforcing the importance of
investigating this group of patients. Knowledge of the range of causative agents
identified in our study should guide the investigation of suspected peri
operative hypersensitivity reactions.
PMID- 26566604
TI - Maxillary 3-implant removable prostheses without palatal coverage on Locator
abutments - a case series.
AB - OBJECTIVES: The aim of this study was to present the clinical outcomes of
patients with an edentulous maxilla treated with a removable prosthesis without
palatal coverage retained by Locator abutments on three titanium implants.
MATERIAL AND METHODS: All the patients in a private dental clinic consecutively
treated up to 6 years earlier were invited for a follow-up examination (n = 23).
Two implants were placed bilaterally and one implant anteriorly in a tripod
pattern. All patients underwent a clinical and radiological examination and
completed questionnaires related to their experiences and satisfaction with the
reconstructions. The prosthesis and implants were examined for adverse biological
or technical aspects. Patient satisfaction and quality of life outcomes were
collected using a self-reported Denture Satisfaction Scale and OHIP-20.
Statistical analyses were limited to descriptive statistics. RESULTS: Twenty-one
of 23 invited participants consented to participate. We report in this study the
outcomes of the study participants who had received their implants more than 2
years ago (n = 12). None of their 36 implants gave any indications of mobility or
tenderness upon percussion. Suppuration was observed on one implant. Probing
around the implants caused no (53%) or minor bleeding (47%). The incidence of
adverse biological and technical events was near non-existent. The rates of
replacement of male attachments varied, as did any changes of male attachment
retention force. All participants described the task of insertion and removal of
the prosthesis as unproblematic. The marginal bone loss ranged between 0 and 5.3
mm. The OHIP-20 and the Denture Satisfaction Questionnaire scores were high.
CONCLUSIONS: The results in this clinical study are positive and promising.
Admittedly, the study design is purely retrospective and observational with a
small participant cohort, so the technical solution of placing three implants in
the edentulous maxilla to retain a removable prosthesis should be appraised
further in more controlled studies.
PMID- 26566605
TI - Context differences in delta beta coupling are associated with neuroendocrine
reactivity in infants.
AB - Although evidence suggests that delta-beta coupling may provide a useful index of
trait level cortico-subcortical cross talk in baseline contexts, there has been
little work done to clarify the role of delta-beta coupling across contexts and
in association with other physiological markers of emotion processing. We
examined whether individual differences in coupling were visible across both
positive and negative emotion-eliciting episodes during infancy (age 6 months).
We also tested the convergence between measures of delta-beta coupling and
neuroendocrine reactivity, which is also believed to index emotion processing.
Patterns of coupling across emotion-eliciting episodes differed based on infants'
levels of cortisol reactivity. Low cortisol-reactive infants largely did not show
differences in coupling across emotion contexts while high cortisol-reactive
infants showed greater coupling in non-fear contexts during baseline and fear
episodes. Moreover, high cortisol-reactive infants showed greater coupling than
low-reactive infants in non-positive episodes.
PMID- 26566606
TI - Editorial Comment to Features, risk factors and clinical outcome of "very late"
recurrences after surgery for localized renal carcinoma: A retrospective
evaluation of a cohort with a minimum of 10 years of follow up.
PMID- 26566607
TI - Thoracic aorta dilation in patients with hereditary hemorrhagic telangiectasia
due to SMAD4 gene mutation.
PMID- 26566608
TI - Outcome of Stretta radiofrequency and fundoplication for GERD-related severe
asthmatic symptoms.
AB - This study aimed to investigate the outcome of treatment with Stretta
radiofrequency (SRF) or laparoscopic Nissen fundoplication (LNF). A total of 137
gastroesophageal reflux disease (GERD) patients with severe asthmatic symptoms
who responded inadequately to medical treatment for asthma were investigated. The
patients were followed up 1 year and 5 years after SRF (n = 82) or LNF (n = 55)
treatment. A questionnaire covering 29 related symptoms and medication use was
employed. Digestive, respiratory, and ear-nose-throat (ENT) symptom scores
significantly decreased after antireflux treatment. Symptom scores respectively
changed from 17.2 +/- 10.1, 31.9 +/- 6.6, and 21.1 +/- 11.8 to 5.0 +/- 6.2, 11.5
+/- 10.2, and 6.3 +/- 6.8 at 1 year and to 5.6 +/- 6.5, 13.1 +/- 10.1, and 7.8 +/
7.2 at 5 years (P < 0.001). The outcome of LNF was significantly better than
that of SRF in terms of digestive (P < 0.001, P = 0.001), respiratory (P = 0.006,
P = 0.001), and ENT symptoms (P = 0.006, P = 0.003) at both 1 year and 5 years.
SRF and LNF were both effective against the digestive symptoms of GERD as well as
GERD-related severe asthmatic and ENT symptoms, with better outcomes exhibited by
the LNF group. Severe asthmatic symptoms and GERD were closely associated, and
this finding warrants further study.
PMID- 26566610
TI - Surveillance of dengue vectors using spatio-temporal Bayesian modeling.
AB - BACKGROUND: At present, dengue control focuses on reducing the density of the
primary vector for the disease, Aedes aegypti, which is the only vulnerable link
in the chain of transmission. The use of new approaches for dengue entomological
surveillance is extremely important, since present methods are inefficient. With
this in mind, the present study seeks to analyze the spatio-temporal dynamics of
A. aegypti infestation with oviposition traps, using efficient computational
methods. These methods will allow for the implementation of the proposed model
and methodology into surveillance and monitoring systems. METHODS: The study area
includes a region in the municipality of Rio de Janeiro, characterized by high
population density, precarious domicile construction, and a general lack of
infrastructure around it. Two hundred and forty traps were distributed in eight
different sentinel areas, in order to continually monitor immature Aedes aegypti
and Aedes albopictus mosquitoes. Collections were done weekly between November
2010 and August 2012. The relationship between egg number and climate and
environmental variables was considered and evaluated through Bayesian zero
inflated spatio-temporal models. Parametric inference was performed using the
Integrated Nested Laplace Approximation (INLA) method. RESULTS: Infestation
indexes indicated that ovipositing occurred during the entirety of the study
period. The distance between each trap and the nearest boundary of the study
area, minimum temperature and accumulated rainfall were all significantly related
to the number of eggs present in the traps. Adjusting for the interaction between
temperature and rainfall led to a more informative surveillance model, as such
thresholds offer empirical information about the favorable climatic conditions
for vector reproduction. Data were characterized by moderate time (0.29 - 0.43)
and spatial (21.23 - 34.19 m) dependencies. The models also identified spatial
patterns consistent with human population density in all sentinel areas. The
results suggest the need for weekly surveillance in the study area, using traps
allocated between 18 and 24 m, in order to understand the dengue vector dynamics.
CONCLUSIONS: Aedes aegypti, due to it short generation time and strong response
to climate triggers, tend to show an eruptive dynamics that is difficult to
predict and understand through just temporal or spatial models. The proposed
methodology allowed for the rapid and efficient implementation of spatio-temporal
models that considered zero-inflation and the interaction between climate
variables and patterns in oviposition, in such a way that the final model
parameters contribute to the identification of priority areas for entomological
surveillance.
PMID- 26566609
TI - The early identification of psychosis: can lessons be learnt from cardiac stress
testing?
AB - Psychotic disorders including schizophrenia are amongst the most debilitating
psychiatric disorders. There is an urgent need to develop methods to identify
individuals at risk with greater precision and as early as possible. At present,
a prerequisite for a diagnosis of schizophrenia is the occurrence of a psychotic
episode. Therefore, attempting to detect schizophrenia on the basis of psychosis
is analogous to diagnosing coronary artery disease (CAD) after the occurrence of
a myocardial infarction (MI). The introduction of cardiac stress testing (CST)
has revolutionized the detection of CAD and the prevention and management of
angina and MI. In this paper, we attempt to apply lessons learnt from CST to the
early detection of psychosis by proposing the development of an analogous
psychosis stress test. We discuss in detail the various parameters of a proposed
psychosis stress test including the choice of a suitable psychological or
psychopharmacological "stressor," target population, outcome measures, safety of
the approach, and the necessary evolution of test to become clinically
informative. The history of evolution of CST may guide the development of a
similar approach for the detection and management of psychotic disorders. The
initial development of a test to unmask latent risk for schizophrenia will
require the selection of a suitable and safe stimulus and the development of
outcome measures as a prelude to testing in populations with a range of risk to
determine predictive value. The use of CST in CAD offers the intriguing
possibility that a similar approach may be applied to the detection and
management of schizophrenia.
PMID- 26566613
TI - Long-term tobacco plantation induces soil acidification and soil base cation
loss.
AB - Changes in soil exchangeable cations relative to soil acidification are less
studied particularly under long-term cash crop plantation. This study
investigated soil acidification in an Ali-Periudic Argosols after 10-year (2002
2012) long-term continuous tobacco plantation. Soils were respectively sampled at
1933 and 2143 sites in 2002 and 2012 (also 647 tobacco plants), from seven
tobacco plantation counties in the Chongqing Municipal City, southwest China.
After 10-year continuous tobacco plantation, a substantial acidification was
evidenced by an average decrease of 0.20 soil pH unit with a substantial increase
of soil sites toward the acidic status, especially those pH ranging from 4.5 to
5.5, whereas 1.93 kmol H(+) production ha(-1) year(-1) was mostly derived from
nitrogen (N) fertilizer input and plant N uptake output. After 1 decade, an
average decrease of 27.6 % total exchangeable base cations or of 0.20 pH unit
occurred in all seven tobacco plantation counties. Meanwhile, for one unit pH
decrease, 40.3 and 28.3 mmol base cations kg(-1) soil were consumed in 2002 and
2012, respectively. Furthermore, the aboveground tobacco biomass harvest removed
339.23 kg base cations ha(-1) year(-1) from soil, which was 7.57 times higher
than the anions removal, leading to a 12.52 kmol H(+) production ha(-1) year(-1)
as the main reason inducing soil acidification. Overall, our results showed that
long-term tobacco plantation not only stimulated soil acidification but also
decreased soil acid-buffering capacity, resulting in negative effects on
sustainable soil uses. On the other hand, our results addressed the importance of
a continuous monitoring of soil pH changes in tobacco plantation sites, which
would enhance our understanding of soil fertility of health in this region.
PMID- 26566612
TI - Detecting the exposure to Cd and PCBs by means of a non-invasive transcriptomic
approach in laboratory and wild contaminated European eels (Anguilla anguilla).
AB - Detecting and separating specific effects of contaminants in a multi-stress field
context remain a major challenge in ecotoxicology. In this context, the aim of
this study was to assess the usefulness of a non-invasive transcriptomic method,
by means of a complementary DNA (cDNA) microarray comprising 1000 candidate
genes, on caudal fin clips. Fin gene transcription patterns of European eels
(Anguilla anguilla) exposed in the laboratory to cadmium (Cd) or a polychloro
biphenyl (PCBs) mixture but also of wild eels from three sampling sites with
differing contamination levels were compared to test whether fin clips may be
used to detect and discriminate the exposure to these contaminants. Also,
transcriptomic profiles from the liver and caudal fin of eels experimentally
exposed to Cd were compared to assess the detection sensitivity of the fin
transcriptomic response. A similar number of genes were differentially
transcribed in the fin and liver in response to Cd exposure, highlighting the
detection sensitivity of fin clips. Moreover, distinct fin transcription profiles
were observed in response to Cd or PCB exposure. Finally, the transcription
profiles of eels from the most contaminated site clustered with those from
laboratory-exposed fish. This study thus highlights the applicability and
usefulness of performing gene transcription assays on non-invasive tissue
sampling in order to detect the in situ exposure to Cd and PCBs in fish.
PMID- 26566611
TI - Behavioral and metabolic effects of sublethal doses of two insecticides,
chlorpyrifos and methomyl, in the Egyptian cotton leafworm, Spodoptera littoralis
(Boisduval) (Lepidoptera: Noctuidae).
AB - Insecticides have long been used as the main method in limiting agricultural
pests, but their widespread use has resulted in environmental pollution,
development of resistances, and biodiversity reduction. The effects of
insecticides at low residual doses on both the targeted crop pest species and
beneficial insects have become a major concern. In particular, these low doses
can induce unexpected positive (hormetic) effects on pest insects, such as surges
in population growth exceeding what would have been observed without pesticide
application. Methomyl and chlorpyrifos are two insecticides commonly used to
control the population levels of the cotton leafworm Spodoptera littoralis, a
major pest moth. The aim of the present study was to examine the effects of
sublethal doses of these two pesticides, known to present a residual activity and
persistence in the environment, on the moth physiology. Using a metabolomic
approach, we showed that sublethal doses of methomyl and chlorpyrifos have a
systemic effect on the treated insects. We also demonstrated a behavioral
disruption of S. littoralis larvae exposed to sublethal doses of methomyl,
whereas no effects were observed for the same doses of chlorpyrifos.
Interestingly, we highlighted that sublethal doses of both pesticides did not
induce a change in acetylcholinesterase activity in head of exposed larvae.
PMID- 26566614
TI - Definition of redox and pH influence in the AMD mine system using a fuzzy
qualitative tool (Iberian Pyrite Belt, SW Spain).
AB - Poderosa Mine is an abandoned pyrite mine, located in the Iberian Pyrite Belt
which pours its acid mine drainage (AMD) waters into the Odiel river (South-West
Spain). This work focuses on establishing possible reasons for interdependence
between the potential redox and pH, with the load of metals and sulfates, as well
as a set of variables that define the physical chemistry of the water
conductivity, temperature, TDS, and dissolved oxygen-transported by a channel
from Poderosa mine affected by acid mine drainage, through the use of techniques
of artificial intelligence: fuzzy logic and data mining. The sampling campaign
was carried out in May of 2012. There were a total of 16 sites, the first inside
the tunnel and the last at the mouth of the river Odiel, with a distance of
approximately 10 m between each pair of measuring stations. While the tools of
classical statistics, which are widely used in this context, prove useful for
defining proximity ratios between variables based on Pearson's correlations, in
addition to making it easier to handle large volumes of data and producing easier
to-understand graphs, the use of fuzzy logic tools and data mining results in
better definition of the variations produced by external stimuli on the set of
variables. This tool is adaptable and can be extrapolated to any system polluted
by acid mine drainage using simple, intuitive reasoning.
PMID- 26566615
TI - Low temperature destruction of PCDD/Fs by catalysis coupled with activated
carbon.
AB - In order to enhance the oxidation and adsorption capacity of catalyst, two kinds
of activated carbon (AC) are mechanically mixed with V2O5-WO3/TiO2 catalyst
respectively. In this study, the mixtures (M-1: catalyst mixing with AC based on
lignite; M-2: the one on coconut shell) are investigated to destroy high
concentration (9.8 ng I-TEQ Nm(-3)) PCDD/Fs at low temperature (160 degrees C).
Adding AC into the catalyst obviously increases removal efficiency (RE) and
destruction efficiency (DE). However, M-2 presents higher RE value and lower DE
value compared with M-1 at the same conditions as the stronger adsorption
capacity of AC based on coconut shell. For the M-2 mixture, RE values are
decreasing while DE values show an opposite trend with the ratios of catalyst to
AC increasing. Oxygen plays a positive role on the destruction of PCDD/Fs by
accelerating the conversion of V(4+)Ox and V(5+)Ox. Adjusting oxygen content from
0 to 20 % could increase the DE value from 27.4 to 82.2 % for the M-1 and from
15.8 to 68.9 % for the M-2. In the presence of ozone, a dark brown flock will be
generated when the ratio of AC and catalyst is 4:1 due to the reaction between AC
and ozone, which results in the lower RE and DE values. The RE and DE values
reach the maximum of 96.3 %, 90.6 % in this paper, respectively, when the ratio
of AC and catalyst is 1:1 with ozone. Finally, the regenerating of mixture is
investigated. Most of dioxin residues in the mixture are desorbed and oxidized by
catalysis at 200 degrees C in the presence of oxygen.
PMID- 26566616
TI - Quality analysis, miceller behavior, and environmental impact of some laundry
detergents available in Bangladesh.
AB - The cleansing efficiencies of laundry detergents depend on composition and
variation of ingredients such as surfactants, phosphate, and co-builders. Among
these ingredients, surfactants and phosphate are considered as hazardous
materials. Knowledge on compositions and micellar behavior is very useful for
understanding their cleansing efficiencies and environmental impact. With this
view, composition, critical micelle concentration, and dissolved oxygen level in
aqueous solution of some laundry detergents available in Bangladesh such as keya,
Wheel Power White, Tibet, Surf Excel, and Chaka were determined. Surfactant and
phosphate were found to be maximum in Surf Excel and Wheel Power White,
respectively, while both of the ingredients were found to be minimum in Tibet.
The critical micelle concentration decreased with increasing surfactant content.
The amount of laundry detergents required for efficient cleansing was found to be
minimum for Surf Excel and maximum for Chaka; however, cleansing cost was the
highest for Surf Excel and the lowest for Tibet. The maximum amount of
surfactants and phosphate was discharged by Surf Excel and Wheel Power White,
respectively, while discharges of both of the ingredients were minimum for Tibet.
The maximum decrease of dissolved oxygen level was caused by Surf Excel and the
minimum by Tibet. Therefore, it can be concluded that Tibet is cost-effective and
environment friendly, whereas Surf Excel and Wheel Power White are expensive and
pose a threat to water environment.
PMID- 26566617
TI - Inactivation of Cryptosporidium parvum under laboratory conditions.
AB - The aim of the present study was to evaluate alternatives for inactivating
Cryptosporidium parvum under experimental conditions. Disinfectants against this
protozoan are usually based on cresols and often difficult to handle in
laboratories. Four different substances (ethanol, denatured ethanol, sodium
hypochlorite and peroxide) at different concentrations were tested for several
exposure times (30 min, 2 h, 4 h, 12 h and 24 h). The results show an
inactivation over 99% by using 10% H2O2 at an exposure time over 2 h as well as 3
and 6% NaOCl after 12 h of exposure. Furthermore, the ability of UV-C light to
inactivate oocysts on smooth surfaces (e.g., laminar flow) was evaluated. To
mimic laboratory conditions, oocysts were given on germ carriers. Best results
(>99%) were achieved at an exposure time of 30 min (100.8 mJ/cm(2)).
PMID- 26566618
TI - No evidence of Dirofilaria repens infection in red foxes (Vulpes vulpes) and
raccoon dogs (Nyctereutes procyonoides) from Brandenburg, Germany.
AB - Dirofilaria (D.) repens is a nematode causing dirofilariasis in dogs, cats and in
humans. Red foxes (Vulpes vulpes) and raccoon dogs (Nyctereutes procyonoides) are
well-known wildlife reservoirs for zoonotic diseases. These two species are
highly abundant in Germany, frequently exposed to vector mosquitoes and
potentially susceptible to Dirofilaria infections. To obtain data about D. repens
infections in these animals, red fox and raccoon dog carcasses (hunted or found
dead) were collected from January to September 2009 in the Federal State of
Brandenburg, Germany. Lung tissue samples were subjected to DNA extraction and
examined for the presence of Dirofilaria DNA by means of D. repens-specific PCR.
D. repens-specific DNA could not be amplified from the lungs of red foxes (n =
122; 0%) nor from the lungs of raccoon dogs (n = 13; 0%), suggesting a limited
role if a role at all in the natural transmission cycle of D. repens in
Brandenburg.
PMID- 26566619
TI - Lucio's phenomenon, an uncommon occurrence among leprosy patients in Sri Lanka.
AB - BACKGROUND: Lucio's phenomenon is a rare manifestation of untreated leprosy which
is seen almost exclusively in regions surrounding the Gulf of Mexico. Its
occurrence elsewhere though documented is considered uncommon. We present a case
of Lucio's phenomenon in a previously undiagnosed leprosy patient who presented
to us with its classical skin manifestations. CASE PRESENTATION: A 64 year old
South Asian (Sri Lankan) male with a history of chronic obstructive airway
disease presented to us with fever and cough. He had a generalized smooth and
shiny skin with ulcerating skin lesions afflicting the digits of the fingers. The
lesions progressed to involve the extremities of the body and healed with
crusting. Based on the clinical and investigational findings Tuberculosis and
common vasculitic conditions were suspected and excluded. The unusual skin
manifestations prompted a biopsy, and wade fite stained revealed Mycobacterium
bacilli. In context of the clinical picture and histological findings, Lucio's
phenomenon was suspected. A clinical diagnosis of Lucio's phenomenon occurring in
the backdrop of lepromatous leprosy was made. CONCLUSION: Though leprosy is still
a prevalent disease, it has manifestations that are not easily recognized or
fully appreciated. Regional patterns of atypical manifestations should not limit
better understanding of rarer manifestations as it will aid in clinching an early
diagnosis and instituting prompt treatment, thereby reducing morbidity and
mortality.
PMID- 26566620
TI - Complex Dynamics of an SIR Epidemic Model with Saturated Incidence Rate and
Treatment.
AB - This paper describes a traditional SIR type epidemic model with saturated
infection rate and treatment function. The dynamics of the model is studied from
the point of view of stability and bifurcation. Basic reproduction number is
obtained and it is shown that the model system may possess a backward
bifurcation. The global asymptotic stability of the endemic equilibrium is
studied with the help of a geometric approach. Optimal control problem is
formulated and solved. Some numerical simulation works are carried out to
validate our analytical results.
PMID- 26566621
TI - Growth and physiology of Clostridium perfringens wild-type and DeltaazoC
knockout: an azo dye exposure study.
AB - Clostridium perfringens, a strictly anaerobic micro-organism and inhabitant of
the human intestine, has been shown to produce the azoreductase enzyme AzoC, an
NAD(P)H-dependent flavin oxidoreductase. This enzyme reduces azo dyes to aromatic
amines, which are carcinogenic in nature. A significant amount of work has been
completed that focuses on the activity of this enzyme; however, few studies have
been completed that focus on the physiology of azo dye reduction. Dye reduction
studies coupled with C. perfringens growth studies in the presence of ten
different azo dyes and in media of varying complexities were completed to compare
the growth rates and dye-reducing activity of C. perfringens WT cells, a C.
perfringens DeltaazoC knockout, and Bifidobacterium infantis, a non-azoreductase
producing control bacterium. The presence of azo dyes significantly increased the
generation time of C. perfringens in rich medium, an effect that was not seen in
minimal medium. In addition, azo dye reduction studies with the DeltaazoC
knockout suggested the presence of additional functional azoreductases in this
medically important bacterium. Overall, this study addresses a major gap in the
literature by providing the first look, to our knowledge, at the complex
physiology of C. perfringens upon azo dye exposure and the effect that both azo
dyes and the azoreductase enzyme have on growth.
PMID- 26566622
TI - Protective effects of electroacupuncture at LR3 on cardiac hypertrophy and
apoptosis in hypertensive rats.
AB - OBJECTIVES: To investigate the effect of electroacupuncture (EA) at LR3 on blood
pressure (BP) and cardiovascular remodelling and hypertrophy in male
spontaneously hypertensive rats (SHRs). METHODS: Healthy Wistar-Kyoto rats were
used as normotensive controls (control group, n=9). SHRs either remained
untreated (SHR group, n=9) or received EA treatment at LR3 (SHR+LR3 group, n=9)
or a nearby non-acupuncture point (SHR+sham group, n=9) for 3 weeks. BP was
measured on day 3 and day 19. Samples of left ventricle were stained with
haematoxylin and eosin or subjected to terminal deoxynucleotidyl transferase dUTP
(deoxyuridine triphosphate) nick end labelling (TUNEL) to assess histology and
apoptosis, respectively (n=3 per group). Western blotting was used to determine
the relative expression of antioxidants and molecular markers of detoxification
capacity, cardiac hypertrophy, and apoptosis (n=5 per group). RESULTS: By day 3,
the systolic BP, mean BP, and diastolic BP in the untreated SHRs increased from
169.5+/-14, 131.6+/-14, and 112.2+/-15 mm Hg (at baseline) to 179.6+/-1, 137.6+/
4, and 118.7+/-5 mm Hg, respectively (p<0.001 vs control group). BP in the
SHR+LR3 rats was approximately 15 mm Hg lower than the SHR and SHR+sham groups
(p<0.05). SHRs also exhibited cardiac hypertrophy (evident from histological and
Western blot analyses). However, SHR+LR3 rats showed significant reductions in
markers of cardiac hypertrophy and apoptosis, as well as elevated expression of
antioxidant enzymes including superoxide dismutase-1 (SOD1). CONCLUSIONS: EA at
LR3 reduced BP and had positive effects on markers of cardiac apoptosis and
hypertrophy in a rat model of hypertension. Thus, EA is a potentially promising
intervention to treat cardiovascular remodelling secondary to hypertension.
PMID- 26566623
TI - Factors influencing the adoption of self-management solutions: an interpretive
synthesis of the literature on stakeholder experiences.
AB - BACKGROUND: In a research context, self-management solutions, which may range
from simple book diaries to complex telehealth packages, designed to facilitate
patients in managing their long-term conditions, have often shown cost
effectiveness, but their implementation in practice has frequently been
challenging. METHODS: We conducted an interpretive qualitative synthesis of
relevant articles identified through systematic searches of bibliographic
databases in July 2014. We searched PubMed (Medline/NLM), Web of Science, LISTA
(EBSCO), CINAHL, Embase and PsycINFO. Coding and analysis was inductive, using
the framework method to code and to categorise themes. We took a sensemaking
approach to the interpretation of findings. RESULTS: Fifty-eight articles were
selected for synthesis. Results showed that during adoption, factors identified
as facilitators by some were experienced as barriers by others, and facilitators
could change to barriers for the same adopter, depending on how adopters
rationalise the solutions within their context when making decisions about
(retaining) adoption. Sometimes, when adopters saw and experienced benefits of a
solution, they continued using the solution but changed their minds when they
could no longer see the benefits. Thus, adopters placed a positive value on the
solution if they could constructively rationalise it (which increased adoption)
and attached a negative rationale (decreasing adoption) if the solution did not
meet their expectations. Key factors that influenced the way adopters
rationalised the solutions consisted of costs and the added value of the solution
to them and moral, social, motivational and cultural factors. CONCLUSIONS:
Considering 'barriers' and 'facilitators' for implementation may be too
simplistic. Implementers could instead iteratively re-evaluate how potential
facilitators and barriers are being experienced by adopters throughout the
implementation process, to help adopters to retain constructive evaluations of
the solution. Implementers need to pay attention to factors including (a) cost:
how much resource will the intervention cost the patient or professional; (b)
moral: to what extent will people adhere because they want to be 'good' patients
and professionals; (c) social: the expectations of patients and professionals
regarding the interactive support they will receive; (d) motivational:
motivations to engage with the intervention and (e) cultural: how patients and
professionals learn and integrate new skills into their daily routines, practices
and cultures.
PMID- 26566624
TI - Identification of phosphatidylcholine and lysophosphatidylcholine as novel
biomarkers for cervical cancers in a prospective cohort study.
AB - Metabolites are the end products of cellular regulatory processes. Squamous
cervical cancer (SCC) can alter the level of certain small molecular metabolite
in plasma through modulating gene expression. In this study, we identified two
metabolites, phosphatidylcholine (PC) and lysophosphatidylcholine (LPC), which
are significantly down- and upregulated in plasma of SCC as compared to uterine
fibroid (UF) patients via ultra-performance liquid chromatographic-mass
spectrometry (UPLC-MS). In external prospective cohort, our assay has a
sensitivity of 93.2 %, a specificity of 91.3 %, and an area under the receiver
operating characteristic (ROC) curve (AUC) of 0.972. The level of LPC is
significantly higher in SCC than in UF patients. An opposite result was observed
in PC level. Our findings suggest that the PC and lysoPC could be used as novel
biomarkers to facilitate SCC diagnosis.
PMID- 26566625
TI - VEGF-activated miR-144 regulates autophagic survival of prostate cancer cells
against Cisplatin.
AB - Cisplatin is a commonly used chemotherapy drug for prostate cancer (PC). However,
some PCs are resistant to cisplatin treatment, while the molecular mechanisms
underlying the resistance of PCs to cisplatin are not completely understood. In
this study, we found that cisplatin dose-dependently activated Beclin-1 in two PC
cell lines, PC3 and LNCap. Autophagy suppression significantly increased the
cisplatin-induced cell death of these PC cells in a CCK-8 assay. Moreover,
microRNA (miR)-144 levels were significantly downregulated in cisplatin-treated
PC cells, in a VEGF-dependent manner. Bioinformatics analysis showed that miR-144
targeted the 3'-UTR of Beclin-1 mRNA to inhibit its translation, which was
confirmed by luciferase reporter assay. In PC patients after cisplatin treatment,
low miR-144 levels appeared to predict poor outcome of patients' survival.
Together, these data suggest that cisplatin may induce VEGF to suppress miR-144
levels in PC cells, which subsequently upregulates Beclin-1 to increase
autophagic cell survival against cisplatin-induced cell death. Upregulation of
miR-144 or suppression of cell autophagy may improve the outcome of cisplatin
therapy in PC.
PMID- 26566626
TI - Monoclonal antibodies-based treatment in gastric cancer: current status and
future perspectives.
AB - Gastric cancer (GC) is the second leading cause of cancer-related death, and
despite having improved treatment modalities over the last decade, for most
patients, only modest improvements have been seen in overall survival. Recent
progress in understanding the molecular biology of GC and the related signaling
pathways offers, from the clinical point of view, promising advances for selected
groups of patients. In the past, targeted therapies have significantly impacted
the treatment strategy of several common solid tumors such as breast, colorectal,
and lung cancers. Unfortunately, translational and clinical research shows fewer
encouraging targeted treatments with regards to the GC. To date, only two
monoclonal antibodies (mAb), named trastuzumab and ramucirumab, are approved for
the treatment of advanced GC, suggesting that in GC, maybe more than in other
cancers, effective targeted therapy requires patient selection based on precise
predictive molecular biomarkers. The aim of this review is to summarize the
available data on the clinical advantages offered by the use of mAbs in the
treatment of advanced/metastatic GC. Future perspective is also discussed.
PMID- 26566627
TI - IL-17 promotes tumor angiogenesis through Stat3 pathway mediated upregulation of
VEGF in gastric cancer.
AB - Gastric cancer is the world's second most common malignancy and is a major threat
to global health. IL-17, a CD4 T cell-derived mediator of angiogenesis, plays a
major role in stimulating angiogenesis by regulating the production of a variety
of proangiogenic factors, including the vascular endothelial growth factor
(VEGF). The level of VEGF expression correlates with tumor progression and
metastasis in gastric cancer tissues. Abnormal activation of signal transducer
and activator of transcription 3 (Stat3) rendered the tumor cells highly
angiogenic, which is manifested by an increased microvascular density (MVD) and
considered it as a potential molecular marker for poor prognosis in gastric
cancer angiogenesis. We determined that IL-17A-induced VEGF upregulation and
neovascularization through a Stat3-mediated signaling pathway and hypothesized
that blocking the Stat3 activation by using JSI-124, an inhibitor of
phosphorylated Stat3, could significantly reduce the VEGF expression and can thus
prevent angiogenesis. We showed an inhibition of angiogenesis and tumor
progression when JSI-124 was treated with IL-17A in the cells and xenografts in
an animal model and suggested that targeting the Stat pathway with JSI-124 could
derive an effective therapeutic target for gastric cancers and could be a
promising drug in gastric cancer treatment.
PMID- 26566629
TI - miRNA profiling in serum and tissue samples to assess noninvasive biomarkers for
NSCLC clinical outcome.
AB - In NSCLC, the altered expression of some miRNAs in primary tumor tissues has been
correlated with diagnosis and prognosis, while the role of circulating miRNAs as
cancer biomarkers is currently emerging. MiRNA expression profile through miRNA
Affymetrix array was evaluated on a training set formed by the tumor component (n
= 30 NSCLC serum, n = 11/30 tumor tissues) and the control component (n = 10
healthy serum and n = 11/30 noncancerous counterparts). Statistical analyses
highlighted the following: a = 55 miRNAs deregulated in tumor serum, b = 27
miRNAs deregulated in tumor tissues, and c = 2 miRNAs deregulated both in tumor
serum and in tumor tissues. MiRwalk tool and enrichment pathway analyses selected
some miRNAs whose target genes are correlated with the main pathways involved in
NSCLC tumorigenesis. The altered expression of the selected miR-486-5p (a), miR
29c* (b), and miR-133a (c) was confirmed in the validation set (n = 40). MiR-486
5p had a higher expression in tumor serum than in tumor tissues (P = 0.004), and
miR-29c* showed a lower expression in tumor tissues than in tumor serum (P <
0.001). MiR-133a had a not different expression in both tumor serum and tumor
tissues (P = 0.07). The low level of miR-486-5p expression in the serum of
affected patients was associated with a worse time to progression of disease (P =
0.010), and serum level of miR-486-5p was a significant prognostic indicator of
NSCLC (adjusted hazard ratio = 0.179, P = 0.019). These data suggest the
possibility to monitor affected patients through serum and/or tissue samples,
analyzing the altered expression of specific miRNAs, in order to detect
prognostic biomarkers in the NSCLC.
PMID- 26566628
TI - Estrogen potentiates reactive oxygen species (ROS) tolerance to initiate
carcinogenesis and promote cancer malignant transformation.
AB - Estrogen-mediated high reactive oxygen species (ROS) tolerance plays an important
role in driving carcinogenesis. ROS overproduction acts as the significant
effector to increase genomic instability and transduce redox-related signal
pathway. Especially, estrogen-mediated mitochondrial ROS promote the mutations in
mitochondrial DNA (mtDNA) and the damage to mitochondrial proteins. Moreover,
estrogen-mediated ROS contribute to the alteration of energy metabolism and
modulate several redox-sensitive proteins responsible for cell proliferation and
anti-apoptosis. On the other hand, estrogen simultaneously performs the
antioxidative beneficial functions, which protects cancer cells from the
potential cytotoxic effects of estrogen-mediated ROS through activation of
nuclear factor-erythroid-2-related factor 2 (Nrf2)/Kelch-like ECH-associated
protein 1 (Keap1) antioxidant response. Consequently, estrogen potentiates the
high ROS tolerance through increase of ROS production as well as acceleration of
ROS elimination, which ultimately results in estrogen-mediated carcinogenesis and
malignant transformation. However, this overdependence on antioxidant response
system to resist ROS-mediated cytotoxicity also represents the "Achilles' Heel"
of estrogen-mediated cancer cells. In other words, the destruction of the high
ROS tolerance using antioxidant inhibitors may provide a novel and efficacious
measure to selectively eliminate these cancer cells without harming normal cells.
Of course, it will be necessary to define the exact situation of ROS homeostasis
in the different cellular microenvironment and further decipher the mechanisms of
redox regulation, which is consequently used as a new avenue to optimize the
clinical therapy for estrogen-mediated cancer.
PMID- 26566630
TI - Lectin-Conjugated Clarithromycin and Acetohydroxamic Acid-Loaded PLGA
Nanoparticles: a Novel Approach for Effective Treatment of H. pylori.
AB - Helicobacter pylori infection remains challenging as it mainly colonized beneath
the deep gastric mucosa and adheres to epithelial cells of the stomach.
Concanavalin-A (Con-A)-conjugated gastro-retentive poly (lactic-co-glycolic acid)
(PLGA) nanoparticles of acetohydroxamic acid (AHA) and clarithromycin (CLR) were
prepared and evaluated under in vitro conditions. Solvent evaporation method was
employed for preparation of nanoparticles and characterized for particle size
distribution, surface morphology, percent drug entrapment, and in vitro drug
release in simulated gastric fluid. Optimized nanoparticles were conjugated with
Con-A and further characterized for Con-A conjugation efficiency and mucoadhesion
and tested for in vitro anti-H. pylori activity. The conjugation with Con-A
further sustained the drug release over a period of 8 h when compared to non
conjugated nanoparticles of AHA and CLR. In vitro anti H. pylori study confirmed
that Con-A-conjugated nanoparticles containing both drugs, i.e., CLR and AHA, had
shown maximum zone of inhibition compared to other formulations. In a nut shell,
results suggest that the developed systems could be used for better therapeutic
activity against H. pylori infection.
PMID- 26566631
TI - Uroguanylin Action in the Brain Reduces Weight Gain in Obese Mice via Different
Efferent Autonomic Pathways.
AB - The gut-brain axis is of great importance in the control of energy homeostasis.
The identification of uroguanylin (UGN), a peptide released in the intestines
that is regulated by nutritional status and anorectic actions, as the endogenous
ligand for the guanylyl cyclase 2C receptor has revealed a new system in the
regulation of energy balance. We show that chronic central infusion of UGN
reduces weight gain and adiposity in diet-induced obese mice. These effects were
independent of food intake and involved specific efferent autonomic pathways. On
one hand, brain UGN induces brown adipose tissue thermogenesis, as well as
browning and lipid mobilization in white adipose tissue through stimulation of
the sympathetic nervous system. On the other hand, brain UGN augments fecal
output through the vagus nerve. These findings are of relevance as they suggest
that the beneficial metabolic actions of UGN through the sympathetic nervous
system do not involve nondesirable gastrointestinal adverse effects, such as
diarrhea. The present work provides mechanistic insights into how UGN influences
energy homeostasis and suggests that UGN action in the brain represents a
feasible pharmacological target in the treatment of obesity.
PMID- 26566632
TI - FK506 reduces albuminuria through improving podocyte nephrin and podocin
expression in diabetic rats.
AB - OBJECTIVE AND DESIGN: Several works in the setting of early experimental diabetic
nephropathy using anti-inflammatory drugs, such as the calcineurin inhibitor
FK506, have shown prevention of the development or amelioration of renal injury
including proteinuria. The exact mechanisms by which anti-inflammatory drugs
lower the albuminuria have not been still clarified well. MATERIALS: The diabetic
rats were induced by using streptozotocin. TREATMENT: The diabetic rats were
subjected to oral FK506 treatment at a dose of 0.5 or 1.0 mg/kg daily for 4
weeks. METHODS: Renal histology for the ultrastructural evaluation was determined
by electron microscope, followed by analyses of renal nephrin and podocin and
detection of renal iNOS(+) macrophages and NF-kappaB-p-p65(+). RESULTS: Elevated
24-h urinary albumin excretion rate was markedly attenuated by FK506 treatment.
In diabetic model rats, FK506 treatment at a dose of 0.5 or 1.0 mg/kg
significantly increased the expression of nephrin and podocin when compared to
control. As expected, rats in control diabetic group had an increase in GBM
thickening and foot process effacement when compared to normal rats; increased
GBM thickening and foot process effacement were ameliorated by FK506 treatment
with 0.5 and 1.0 mg/kg. Histologically, there was marked accumulation of ED
1(+)cells (macrophages) in diabetic kidneys, and FK506 treatment failed to
inhibit it. In contrast, FK506 treatment at 0.5 and 1.0 mg/kg doses significantly
inhibited the elevated ED-1(+)/iNOS(+) cells in the kidneys of diabetic rats. ED
1(+)/NF-kappaB-p-p65(+) cells were significantly increased in positive diabetic
kidneys compared to those of normal rats. FK506 treatment at 0.5 and 1.0 mg/kg
significantly attenuated the elevated ED-1(+)/NF-kappaB-p-p65(+) cells in
diabetic kidneys. Additionally, a positive correlation was observed between ED
1(+)/iNOS(+) cells and albuminuria (r = 0.87, p < 0.05). Likewise, ED
1(+)/iNOS(+) cells were correlated negatively with both nephrin and podocin
protein (r = -0.70, p < 0.05; r = -0.68, p < 0.05, respectively). CONCLUSION: Our
results show that FK506 not only upregulates expression of nephrin and podocin
but also inhibits macrophage activation to protect against podocyte injury.
PMID- 26566634
TI - Cross sectional study of factors associated to self-reported blood-borne
infections among drug users.
AB - BACKGROUND: The study's aim was to estimate the self-reported prevalence of Human
Immunodeficiency Virus (HIV) and Hepatitis C Virus (HCV), and to describe their
associated risk factors in a population of users of illicit drugs recruited in
Catalonia- Spain, during 2012. METHODS: Cross-sectional study. People with
illicit drugs use were selected in three different types of healthcare centres.
The questionnaire was a piloted, structured ad hoc instrument. An analysis was
made to identify factors associated to self-reported HCV, HIV and co-infection.
Correlates of reported infections were determined using univariate and
multivariate Poisson regression (with robust variance). RESULTS: Among 512
participants, 39.65% self-reported positive serostatus for HCV and 14.84% for
HIV, co-infection was reported by 13.48%. Among the 224 injecting drug users
(IDUs), 187 (83.48%), 68 (30.36%) and 66 (29.46%) reported being positive for
HCV, HIV and co-infection, respectively. A higher proportion of HIV-infected
cases was observed among women, (18.33% vs. 13.78% in men). Prevalence of HCV,
HIV and co-infection were higher among participants with early onset of drug
consumption, long periods of drug injection or who were unemployed. A positive
serostatus was self-reported by 21(7.34%) participants who did not report any
injection; among them 16 and eight, reported being positive for HCV and HIV,
respectively; three reported co-infection. Only two people declared exchanging
sex for money. For those that reported a negative test, the median time since the
last HIV test was 11.41 months (inter-quartile range (IQR) 4-12) and for the HCV
test was 4.5 months (IQR 2-7). CONCLUSIONS: Among drug users in Catalonia, HIV,
HCV and co-infection prevalence are still a big issue especially among IDUs.
Women and drug users who have never injected drugs are groups with a significant
risk of infection; this might be related to their high-risk behaviours and to
being unaware of their serological status.
PMID- 26566633
TI - Midkine in vitamin D deficiency and its association with anti-Saccharomyces
cerevisiae antibodies.
AB - OBJECTIVES AND DESIGN: The growth factor midkine (MK) is a protein that is
involved in cancer, inflammation, immunity. Vitamin D is a potent
immunomodulator. Anti-Saccharomyces cerevisiae antibody (ASCA) is reported in
autoimmune disorders, some of which are among the causes of vitamin D deficiency.
The objective of this study was to investigate a possible association of MK and
ASCA with vitamin D deficiency. MATERIALS AND METHODS: 208 adults presented to
internal medicine outpatient clinic for history and physical examination has been
studied. Serum biochemistry, vitamin D, MK, ASCA-IgG and -IgA, IL-1beta, IL-6, IL
8, TNF-alpha, PDGF, VEGF were obtained. RESULTS: Vitamin D deficiency was 74.2%.
Serum MK level was significantly higher in vitamin D-deficient compared to
vitamin D-sufficient individuals (1138.1 +/- 262.8 vs 958.6 +/- 189 pg/mL,
respectively; P < 0.009). Serum MK levels were also significantly higher in both
ASCA-IgG and -IgA positives compared to negatives (1318.5 +/- 160.3 vs 1065.5 +/-
256.1, P = 0.008 and 1347.7 +/- 229.7 vs 1070.1 +/- 250.9 pg/mL, P = 0.011,
respectively). Vitamin D was significantly lower in ASCA positives (P =
0.044).Vitamin D showed positive correlation with IL-1beta (r 0.338, P < 0.009)
and negative correlation with VEGF (r -0.366, P < 0.004). CONCLUSIONS: MK was
significantly elevated in vitamin D deficiency and associated with ASCA
positivity which was significantly increased in vitamin D deficiency. These
findings suggested that molecular mechanism of vitamin D deficiency may be
related with some inflammatory processes.
PMID- 26566635
TI - Classroom Age Composition and the School Readiness of 3- and 4-Year-Olds in the
Head Start Program.
AB - The federal Head Start program, designed to improve the school readiness of
children from low-income families, often serves 3- and 4-year-olds in the same
classrooms. Given the developmental differences between 3- and 4-year-olds, it is
unknown whether educating them together in the same classrooms benefits one
group, both, or neither. Using data from the Family and Child Experiences Survey
2009 cohort, this study used a peer-effects framework to examine the associations
between mixed-age classrooms and the school readiness of a nationally
representative sample of newly enrolled 3-year-olds (n = 1,644) and 4-year-olds
(n = 1,185) in the Head Start program. Results revealed that 4-year-olds
displayed fewer gains in academic skills during the preschool year when they were
enrolled in classrooms with more 3-year-olds; effect sizes corresponded to 4 to 5
months of academic development. In contrast, classroom age composition was not
consistently associated with 3-year-olds' school readiness.
PMID- 26566636
TI - David Oliver: Stop blaming patients for emergency visits.
PMID- 26566637
TI - Refinements for embryo implantation surgery in the mouse: comparison of
injectable and inhalant anesthesias - tribromoethanol, ketamine and isoflurane -
on pregnancy and pup survival.
AB - An essential aspect of genetically-engineered mice (GEM) is the ability to
produce live animals after the appropriate injection procedure. Animals are
produced by implantation of manipulated embryos into pseudopregnant females for
gestation, parturition, and growth to the weaning stage. This study was carried
out to test whether the anesthesia used during surgery could affect the number of
pups produced. Anesthetics commonly used for implant surgery include
tribromoethanol (Avertin) delivered by intraperitoneal (IP) injection, IP
injected ketamine:xylazine or ketamine:medetomidine mix, and inhaled isoflurane.
To determine if the anesthesia used might affect the number of animals produced,
each anesthetic agent was tested in implant surgeries and the numbers of pups
produced using both wild-type and GEM embryos were assessed. Parallel studies
were conducted in institutions in the EU and in the USA. Based on a direct
comparison of pregnancy status, number of pups born, and number of pups weaned
for each agent, we found no statistical differences among the three anesthetics.
We conclude that all three anesthetic agents tested are equally useful for
implantation surgery.
PMID- 26566638
TI - Reliability of Tonnis classification in early hip arthritis: a useless reference
for hip-preserving surgery.
AB - INTRODUCTION: The Tonnis classification is widely accepted for grading hip
arthritis, but its usefulness as a reference in hip-preserving surgery is yet to
be demonstrated. We aimed to evaluate reproducibility of the Tonnis
classification in early stages of hip osteoarthritis, and thus determine whether
it is a reliable reference for hip-preserving surgery. MATERIALS AND METHODS:
Three orthopaedic surgeons with different levels of experience examined 117 hip X
rays that were randomly mixed of two groups: a group of 31 candidates for hip
preserving surgery and a control group of 30 patients that were asymptomatic with
respect to the hip joint. The surgeons were asked to rate an eventual
osteoarthritis according to the Tonnis classification. After 2 months, the
surgeons were asked to re-evaluate the X-rays in a random order. Intra- and
interobserver reliabilities were calculated by comparing the observers' two
estimations using Kappa statistics. RESULTS: Kappa values for interobserver
reliability were slight or fair (range 0.173-0.397). Kappa values for
intraobserver reproducibility were fair (range 0.364-0.397). Variance in grading
no and slight osteoarthritis was the most frequent cause for intra- and
interobserver disagreements (76.3 and 73.01% of the non-concordant observations,
respectively). The confidence interval analysis showed that the observers'
experience did not affect reproducibility. CONCLUSIONS: The Tonnis classification
is a poor method to assess early stages of hip osteoarthritis. These findings
suggest that its routine use in therapeutic decision-making for conservative hip
surgery should be reconsidered.
PMID- 26566639
TI - A classification and grading system for Barton fractures.
AB - PURPOSE: We described a morphological classification and grading system for volar
Barton fractures. METHODS: We divided these fractures into four types: typical
Barton, ulna Barton, radial Barton, comminuted Barton. Moreover, we graded the
fractures into two degrees: simple split and split-depression. We retrospectively
reviewed all wrist radiographs showing Barton fractures in our hospital between
January 2013 and January 2015. We identified 100 cases whose records and
radiographs were reviewed and included 36 men and 64 women with a mean age of 50
years (15-78). The morphological classification was applied to the 100 cases by
three reviewers on two occasions using the Kappa statistic. RESULTS: The inter-
and intra-observer reliability of the morphological classification was 0.71-0.80
and 0.68-0.88, respectively. The distribution of typical, ulna, radial and
comminuted Barton type fractures was 69 %, 7 %, 5 % and 19 %, respectively. Grade
2 fractures accounted for 49 % in our series. CONCLUSIONS: This classification
and grading system of Barton fractures is likely to have implications in terms of
pathophysiology and surgical technique.
PMID- 26566640
TI - Long-term results of acetabular reconstruction using three bulk bone graft
techniques in cemented total hip arthroplasty for developmental dysplasia.
AB - PURPOSE: The aims of this retrospective study were to firstly introduce three
practical bulk bone graft techniques based on acetabular morphology for dysplasia
and secondly evaluate the long-term durability of acetabular reconstruction using
those techniques combined with cemented total hip arthroplasty (THA). METHODS:
The study comprised 101 consecutive THAs with a minimum follow-up of ten years;
these procedures were categorised as being L shape (n = 58), Wall (n = 33) and D
shape (n = 10) types. RESULTS: At the last follow-up, all bone grafts acquired
trabecular reorientation, and no evidence of revision for aseptic or radiological
loosening was noted. CONCLUSIONS: These bone graft techniques will be effective
for improving the management of dysplasia in cemented THA by providing both
acetabular reconstruction and cement containment.
PMID- 26566641
TI - Balloon tibioplasty for reduction of depressed tibial plateau fractures:
Preliminary radiographic and clinical results.
AB - PURPOSE: In vitro studies have shown promising results for balloon-guided
inflation tibioplasty. It was our hypothesis that this technique may be safe and
effective for use in depressed lateral tibial plateau fracture. METHODS: We
performed a prospective study of all patients suffering from a depressed lateral
tibial plateau fracture, managed in our institution with inflation tibioplasty
and a resorbable calcium phosphate bone substitute injection, between January
2012 and December 2013. Twenty patients, mean age 54.3 +/- 12. 8 years, suffering
from a depressed lateral tibial plateau fracture (Schatzker type II and III) were
included. We then aimed to evaluate at a minimum follow-up of one year: (1) the
rate of complications, (2) the clinical outcome (Knee Injury and Osteoarthritis
Outcome (KOO) and 12-Item Short Form Health Survey (SF-12) scores); and (3) the
radiographic outcome (evaluated by CT-scan). RESULTS: No peri-operative
complications occurred. In one patient, calcium phosphate substitute was found in
the infrapatellar fat pad on post-operative radiography with no clinical or
radiographic consequences at one year. At one year after surgery, the KOO
subscores were: Pain 80 (range 64-93), other symptoms 81.3 (69-93), daily living
activities 81.9 (46-99), sport 65.83 (20-100), and quality of life 67.04 (31
100). The SF-12 activity component was 40.4 (28.6-52.2) and SF-12 mental
component 47.71 (28 - 67.1). The mean depressed step-off of the lateral joint
decreased from 10.7 +/- 4.8 mm pre-operatively to 2.7 +/- 1.7 mm post-operatively
(p < 0.0001). CONCLUSION: Our observations suggest that the use of balloon-guided
inflation tibioplasty with injection of a resorbable bone substitute is safe, and
results in a high rate of anatomic reduction and good clinical outcomes in
patients with depressed tibial plateau fractures. LEVEL OF EVIDENCE: Therapeutic
Level IV.
PMID- 26566642
TI - Distribution and fractionation of mercury in the soils of a unique tropical
agricultural wetland ecosystem, southwest coast of India.
AB - Mercury biogeochemistry is highly complex in the aquatic ecosystems and it is
very difficult to predict. The speciation of mercury is the primary factor
controlling its behavior, movement, and fate in these systems. The fluctuating
water levels in wetlands could play a major role in the mercury transformations
and transport. Hence, the agricultural wetlands may have a significant influence
on the global mercury cycling. Kuttanad agricultural wetland ecosystem is a
unique one as it is lying below the sea level and most of the time it is
inundated with water. To understand the mobility and bioavailability of Hg in the
soils of this agricultural wetland ecosystem, the present study analyzed the
total mercury content as well as the different fractions of mercury. Mercury was
detected using cold vapor atomic fluorescence spectrophotometer. The total
mercury content varied from 0.002 to 0.683 mg/kg, and most of the samples are
having concentrations below the background value. The percentage of mercury found
in the initial three fractions F1, F2, and F3 are more available and it may
enhance the methylation potential of the Kuttanad agroecosystem.
PMID- 26566643
TI - Occurrence and fate of tetracycline and degradation products in municipal
biological wastewater treatment plant and transport of them in surface water.
AB - The aims of this study are to investigate the fate of tetracycline (TC) and
degradation products (DPs) in municipal biological wastewater treatment plant
(MBWWTP) located in Elazig City (Turkey) and to determine the occurrence and
transport of TC and DPs in surface water (SW) (Kehli Stream) which the effluents
of the plant discharged. The aqueous phase removal of TC, 4-epitetracycline
(ETC), 4-epianhydrotetracycline (EATC), and anhydrotetracycline (ATC) in the
studied treatment plant was 39.4 +/- 1.9, 31.8 +/- 1.5, 15.1 +/- 0.7, and 16.9 +/
0.8%, respectively. According to the analyses' results of SW samples taken from
downstream at every 500-m distance, TC and DPs decreased by the increase in the
distance. In downstream, at 2000 m, TC, ETC, EATC, and ATC were 4.12 +/- 0.20,
6.70 +/- 0.33, 8.31 +/- 0.41, and 3.57 +/- 0.17 MUg/L, respectively. As a result,
antibiotic pollution in the SW that takes the effluent of MBWWTP exists.
PMID- 26566644
TI - The Isolation, Identification and Analyses of Lactobacillus Genus Bacteria with
Probiotic Potential.
AB - 103 strains of lactic acid bacteria of Lactobacillus genus were isolated from
natural sources and identified for genus and species level with API tests and 16S
rRNA sequencing. However, only 27 strains from isolated cultures demonstrated a
high stability to gastric stress and from that - only 15 strains were highly
resistant to intestinal stress. Results indicated that only some isolated
cultures of lactobacilli possessed potential probiotical properties and could
serve as new probiotics for dairy industry with high resistance to gastro
intestinal stresses.
PMID- 26566645
TI - Role of Sonication in the Microbiological Diagnosis of Implant-Associated
Infections: Beyond the Orthopedic Prosthesis.
AB - Implant-associated infections are difficult-to-treat conditions associated with
high morbidity, mortality and length of hospitalization. They are characterized
by biofilm formation on implant surface, which makes the microbiological
diagnosis difficult and requires a complete device removal for the correct
management. The sonication method, which is based on the application of long-wave
ultrasounds radiating in a liquid medium, has been recently validated for the
diagnosis of prosthetic joint infections. Additionally, this technique has been
considered a potential tool in order to improve the microbiological diagnosis of
infections associated with other foreign bodies, such as breast, urinary,
endovascular and cerebral implants. In the present study, the application of
sonication in the setting of implant-associated infections other than orthopedics
will be reviewed.
PMID- 26566646
TI - Increase in Peripheral CD3-CD56brightCD16- Natural Killer Cells in Hashimoto's
Thyroiditis Associated with HHV-6 Infection.
AB - Hashimoto's thyroiditis (HT) is a very common autoimmune disease of the thyroid.
In addition to genetic background, several viruses, including herpesviruses, have
been suggested to play a role as possible environmental triggers of disease, but
conclusive data are still lacking. Previous results showed that HT patients have
an increased cellular immune response directed against the HHV-6 U94 protein and
increased NK activity directed against HHV-6 infected thyrocytes.In this study,
we characterized the antiviral antibody response and the NK cells activity and
subtype in HHV-6 infected HT patients. The results showed that HT subjects have
increased prevalence and titer of anti-U94 antibodies and a higher amount of CD3
CD56(bright)CD16(-)NK cell percentages compared to controls. Furthermore, the
cell activation of CD3(-)CD56(bright) NK cells in HT patients significantly
correlates with TPO and Tg Ab levels.The results suggest that HHV-6 might
contribute to HT development, increasing NK cell secretion of inflammatory
cytokines that could sustain the persistence of an inflammatory status in HT
patients.
PMID- 26566647
TI - Comparative evaluation of the antimicrobial activity of 19 essential oils.
AB - In our research on natural compounds efficient against human pathogen or
opportunist microorganisms contracted by food or water, the antimicrobial
activity of 19 essential oils (EOs) was investigated against 11 bacterial species
(6 Gram positive, 5 Gram negative) and 7 fungal species (2 dermatophytes, 1
mould, 4 yeasts) using microdilution assays. Five essential oils were obtained
from Tunisian plants (EOtun): Artemisia herba-alba Asso, Juniperus phoenicea L.,
Rosmarinus officinalis L., Ruta graveolens L. and Thymus vulgaris L., whereas
others were commercial products (EOcom). Overall, T. vulgaris EOtun was the most
efficient EO against both bacteria (Gram negative: MIC <= 0.34 mg/mL; Gram
positive: MIC <= 0.70 mg/mL) and fungi (yeasts: MIC <= 0.55 mg/mL; mould: MIC =
0.30 mg/mL; dermatophytes: MIC <= 0.07 mg/mL). Two EOcom displayed both
acceptable antibacterial and antifungal potency, although weaker than T. vulgaris
EOtun activity: Origanum vulgare EOcom (bacteria: MIC <= 1.13 mg/mL, fungi: MIC
<= 1.80 mg/mL), and Cymbopogon martinii var. motia EOcom (bacteria: MIC <= 1.00
mg/mL, fungi: MIC <= 0.80 mg/mL). Bacillus megaterium, Legionella pneumophila,
Listeria monocytogenes and Trichophyton spp. were the most sensitive species to
both EOcom and EOtun. This study demonstrated the noteworthy antimicrobial
activity of two commercial EOs and points out the remarkable efficiency of T.
vulgaris EOtun on all tested bacterial and fungal species, certainly associated
with its high content in carvacrol (85 %). These three oils could thus represent
promising candidates for applications in water and food protections.
PMID- 26566649
TI - US doctors' group seeks to block insurance company mergers.
PMID- 26566648
TI - Advances in Microbiology, Infectious Diseases and Public Health: Refractory
Trichophyton rubrum Infections in Turin, Italy: A Problem Still Present.
AB - Dermatophytosis caused by Trichophyton rubrum is the most common cutaneous fungal
infection in industrialized countries and worldwide with high recurrence and lack
of treatment response. In addition, patients with cutaneous and concurrent
toenail lesions are often misdiagnosed and therefore treated with an
inappropriate therapy. In this study, we evaluated five previously misdiagnosed
cases of T.rubrum chronic dermatophytosis sustained by two variants at sites
distant from the primary lesion. Our patients were successfully treated by
systemic and topical therapy, and 1 year after the end of therapy follow-up did
not show any recurrence of infection.Our data indicate that the localization of
all lesions, the isolation and the identification of the causative fungus are
essential to establish the diagnosis and the setting of a correct therapeutic
treatment to avoid recurrences.
PMID- 26566650
TI - FasL expression in cardiomyocytes activates the ERK1/2 pathway, leading to
dilated cardiomyopathy and advanced heart failure.
AB - Increase in the apoptotic molecule Fas ligand (FasL) in serum and cardiomyocytes
has been shown to be associated with progressive dilated cardiomyopathy (DCM) and
congestive heart failure (CHF) in humans. However, the underlying mechanism(s) of
FasL-related deterioration of heart function remain obscure. The aim of the
present study is to determine roles of myocardial FasL in the activation of
alternative pathways such as extracellular-signal-regulated kinase 1/2 (ERK1/2),
inflammation or fibrosis and to identify effective treatments of progressive DCM
and advanced CHF. Transgenic mice with cardiomyocyte-specific overexpression of
FasL were investigated and treated with an ERK1/2 inhibitor (U-0126), losartan
(los), prednisolone (pred) or placebo. Morpho-histological and molecular studies
were subsequently performed. FasL mice showed significantly higher mortality
compared with wild-type (WT) littermates due to DCM and advanced CHF. Prominent
perivascular and interstitial fibrosis, increased interleukin secretion and
diffuse CD3-positive cell infiltration were evident in FasL hearts. Up-regulation
of the short form of Fas-associated death domain (FADD)-like interleukin 1beta
converting enzyme (FLICE) inhibitory protein (s-FLIP), RIP (receptor-interacting
protein) and ERK1/2 and down-regulation of transforming growth factor beta 1
(TGFbeta1) and nuclear factor-kappaB (NF-kappaB) was determined in the
myocardium, whereas expression of ERK1/2, periostin (Postn) and osteopontin
increased in cardiac fibroblasts. U-0126 and los increased CHF survival by 75%
compared with pred and placebo groups. U-0126 had both anti-fibrotic and anti
apoptotic effects, whereas los reduced fibrosis only. Myocardial FasL expression
in mice activates differential robust fibrotic, apoptotic and inflammatory
responses via ERK1/2 in cardiomyocytes and cardiac fibroblasts inducing DCM and
CHF. Blocking the ERK1/2 pathway prevented progression of FasL-induced DCM and
CHF by reducing fibrosis, inflammation and apoptosis in the myocardium.
PMID- 26566651
TI - Peripheral blood antigen presenting cell responses in otitis-prone and non-otitis
prone infants.
AB - Stringently defined otitis-prone (sOP) children represent a new classification of
the otitis-prone condition. Previous studies showed dysfunction in Ab, B-cell
memory and T-cell memory responses. We sought to determine whether there are
defects in numbers, phenotype and/or function of professional APC in the
peripheral blood of sOP infants. APC phenotypic counts, MHC II expression and
intracellular cytokine levels were determined in response to TLR7/8 (R848)
stimulation by flow cytometry. Innate immune mRNA expression was measured using
RT-PCR and cytokines were measured using Luminex technology. Significant (P <
0.05) increases in the phenotypic counts of monocytes and conventional dendritic
cells but not plasmacytoid DCs were observed in sOP compared with non-otitis
prone (NOP) age-matched infants. No significant differences in APC activation or
function were observed. Expression of various TLRs, intracellular signaling
molecules and downstream cytokines was also not found to be significantly
different between sOP and NOP infants. Higher numbers of APCs in sOP infants
suggest the possibility of a persistent mucosal inflammatory status.
Transcriptional and cytokine profiles of PBMCs among sOP infants suggest their
systemic innate responses are not different compared to NOP infants.
PMID- 26566652
TI - Outcome of patients with malignant glioma and synchronous or metachronous non
central nervous system primary neoplasms.
AB - Patients with malignant glioma who are also diagnosed with one or more primary
neoplasms of other organs present a unique challenge in both determining
prognosis and clinical management. The overlapping impact of the malignancies and
their treatment result in confounding variables that may adversely affect optimal
management of such patients. Additionally, the glioma-related characteristics and
survival outcome of these patients is not well-defined. In this retrospective
chart and data review from our longitudinal database, we identified patients with
malignant glioma including anaplastic glioma and glioblastoma, diagnosed between
January 2005 and June 2011, who were also diagnosed with other non-CNS primary
neoplasms. Patients with known genetic syndromes were excluded. The data was
analyzed to determine the clinical characteristics and glioma-related survival. A
total of 204 patients with malignant glioma (165 glioblastoma and 39 anaplastic
glioma) were identified. There was no significant difference in the overall
survival or progression-free survival between patients with malignant glioma plus
non-CNS primary neoplasm when compared with patients with malignant glioma only.
In patients with glioblastoma and non-CNS malignancy, the duration between
diagnosis of glioblastoma and non-CNS neoplasms did not significantly alter
glioma-related survival. Patients with malignant glioma who were diagnosed with
other non-CNS malignancy have survival outcome comparable to those with malignant
glioma only. The duration between diagnosis of glioblastoma and diagnosis of non
CNS neoplasms did not affect survival. Further prospective studies specifically
addressing survival and molecular characteristics of patients with malignant
glioma plus non-CNS cancers are recommended.
PMID- 26566653
TI - Presurgical navigated TMS motor cortex mapping improves outcome in glioblastoma
surgery: a controlled observational study.
AB - The authors report on an observational study designed to isolate the impact of
navigated transcranial magnetic stimulation (nTMS) on surgical outcome in
glioblastoma treatment. We undertook a controlled observational study to identify
the additive impact of presurgical nTMS in patients scheduled for surgical
treatment of glioblastoma in or near motor eloquent locations. The trial data is
derived from a large university hospital with a differential availability of its
nTMS mapping service at its two campuses, both equally served by a single
neurosurgical department. When available, the nTMS cortical mapping data and nTMS
based fiber tractography are used for surgical planning and patient counseling as
well as intraoperative identification of the primary motor cortex and guidance in
subcortical motor mapping. The addition of preoperative nTMS mapping data to a
clinical routine already incorporating preoperative fiber tractography and
intraoperative neuronavigation and electrophysiology was shown to improve
surgical outcomes by increasing the extent of resection, without compromising
patient safety or long-term functional outcomes in comparison to the concurrent
non-TMS control group. This study is the first to prove that the improved
surgical outcomes observed in previous studies after the implementation of nTMS
to presurgical work-up are not caused by any overall improvement in patient care
or a paradigm shift toward more aggressive resection but by the additional
functional data provided by nTMS.
PMID- 26566654
TI - Improving care of the dying adult: the great challenge to which we all must rise.
PMID- 26566655
TI - EpCAM-based flow cytometry in cerebrospinal fluid greatly improves diagnostic
accuracy of leptomeningeal metastases from epithelial tumors.
AB - BACKGROUND: Moderate diagnostic accuracy of MRI and initial cerebrospinal fluid
(CSF) cytology analysis results in at least 10%-15% false negative diagnoses of
leptomeningeal metastases (LM) of solid tumors, thus postponing start of therapy.
The aim of this prospective clinical study was to determine the diagnostic value
of epithelial cell adhesion molecule (EpCAM)-based flow cytometry versus cytology
in CSF for the diagnosis of LM in patients with epithelial tumors. METHODS:
Patients with a clinical suspicion of LM but a negative or inconclusive MRI in
whom a diagnostic lumbar puncture has to be performed were included. At least 5
mL of CSF for cytology, 5 mL for flow cytometry, 2 mL for cell count and
biochemistry, and 8 mL whole blood samples for circulating tumor cells
measurements and biochemistry were drawn. Tumor cells in CSF and whole blood were
detected by multiparameter flow cytometry using EpCAM antibody. RESULTS: In total
29 eligible patients were enrolled in the study. Thirteen patients were
ultimately diagnosed with LM. The flow cytometry assay showed 100% sensitivity
and 100% specificity for diagnosing LM, while sensitivity of CSF cytology was
only 61.5%. Cell count or biochemical parameters in CSF were abnormal in 100% of
patients with LM. CONCLUSIONS: Our results suggest that the EpCAM-based flow
cytometry assay is superior to CSF cytology for the diagnosis of LM in patients
with an epithelial tumor, a clinical suspicion of LM, and a nonconclusive MRI.
Confirmation of these data is needed in a larger dataset to recommend dual CSF
diagnostics for LM. CLINICALTRIALSGOV IDENTIFIER: NCT01713699.
PMID- 26566656
TI - Dosimetric evaluation of the feasibility of stereotactic body radiotherapy for
primary lung cancer with lobe-specific selective elective nodal irradiation.
AB - More than 10% of all patients treated with stereotactic body radiotherapy (SBRT)
for primary lung cancer develop regional lymph node recurrence. We evaluated the
dosimetric feasibility of SBRT with lobe-specific selective elective nodal
irradiation (ENI) on dose-volume histograms. A total of 21 patients were treated
with SBRT for Stage I primary lung cancer between January 2010 and June 2012 at
our institution. The extents of lobe-specific selective ENI fields were
determined with reference to prior surgical reports. The ENI fields included
lymph node stations (LNS) 3 + 4 + 11 for the right upper lobe tumors, LNS 7 + 11
for the right middle or lower lobe tumors, LNS 5 + 11 for the left upper lobe
tumors, and LNS 7 + 11 for the left lower lobe tumors. A composite plan was
generated by combining the ENI plan and the SBRT plan and recalculating for
biologically equivalent doses of 2 Gy per fraction, using a linear quadratic
model. The V20 of the lung, D(1cm3) of the spinal cord, D(1cm3) and D(10cm3) of
the esophagus and D(10cm3) of the tracheobronchial wall were evaluated. Of the 21
patients, nine patients (43%) could not fulfill the dose constraints. In all
these patients, the distance between the planning target volume (PTV) of ENI
(PTVeni) and the PTV of SBRT (PTVsrt) was <=2.0 cm. Of the three patients who
developed regional metastasis, two patients had isolated lymph node failure, and
the lymph node metastasis was included within the ENI field. When the distance
between the PTVeni and PTVsrt is >2.0 cm, SBRT with selective ENI may therefore
dosimetrically feasible.
PMID- 26566658
TI - SCRaMbLE generates designed combinatorial stochastic diversity in synthetic
chromosomes.
AB - Synthetic chromosome rearrangement and modification by loxP-mediated evolution
(SCRaMbLE) generates combinatorial genomic diversity through rearrangements at
designed recombinase sites. We applied SCRaMbLE to yeast synthetic chromosome arm
synIXR (43 recombinase sites) and then used a computational pipeline to infer or
unscramble the sequence of recombinations that created the observed genomes. Deep
sequencing of 64 synIXR SCRaMbLE strains revealed 156 deletions, 89 inversions,
94 duplications, and 55 additional complex rearrangements; several duplications
are consistent with a double rolling circle mechanism. Every SCRaMbLE strain was
unique, validating the capability of SCRaMbLE to explore a diverse space of
genomes. Rearrangements occurred exclusively at designed loxPsym sites, with no
significant evidence for ectopic rearrangements or mutations involving synthetic
regions, the 99% nonsynthetic nuclear genome, or the mitochondrial genome.
Deletion frequencies identified genes required for viability or fast growth.
Replacement of 3' UTR by non-UTR sequence had surprisingly little effect on
fitness. SCRaMbLE generates genome diversity in designated regions, reveals
fitness constraints, and should scale to simultaneous evolution of multiple
synthetic chromosomes.
PMID- 26566657
TI - RNA structure replaces the need for U2AF2 in splicing.
AB - RNA secondary structure plays an integral role in catalytic, ribosomal, small
nuclear, micro, and transfer RNAs. Discovering a prevalent role for secondary
structure in pre-mRNAs has proven more elusive. By utilizing a variety of
computational and biochemical approaches, we present evidence for a class of
nuclear introns that relies upon secondary structure for correct splicing. These
introns are defined by simple repeat expansions of complementary AC and GT dimers
that co-occur at opposite boundaries of an intron to form a bridging structure
that enforces correct splice site pairing. Remarkably, this class of introns does
not require U2AF2, a core component of the spliceosome, for its processing.
Phylogenetic analysis suggests that this mechanism was present in the ancestral
vertebrate lineage prior to the divergence of tetrapods from teleosts. While
largely lost from land dwelling vertebrates, this class of introns is found in
10% of all zebrafish genes.
PMID- 26566659
TI - Family history of atherosclerotic vascular disease is associated with the
presence of abdominal aortic aneurysm.
AB - We investigated whether family history (FHx) of atherosclerotic cardiovascular
disease (ASCVD) was associated with presence of abdominal aortic aneurysm (AAA).
The study cohort comprised of 696 patients with AAA (70+/-8 years, 84% men) and
2686 controls (68+/-10 years, 61% men) recruited from noninvasive vascular and
stress electrocardiogram (ECG) laboratories at Mayo Clinic. AAA was defined as a
transverse diameter of abdominal aorta ? 3 cm or history of AAA repair. Controls
were not known to have AAA. FHx was defined as having at least one first-degree
relative with aortic aneurysm or with onset of ASCVD (coronary, cerebral or
peripheral artery disease) before age 65 years. FHx of aortic aneurysm or ASCVD
were each associated with presence of AAA after adjustment for age, sex,
conventional risk factors and ASCVD: adjusted odds ratios (OR; 95% confidence
interval): 2.17 (1.66-2.83, p < 0.01) and 1.31 (1.08-1.59, p < 0.01),
respectively. FHx of ASCVD remained associated with AAA after additional
adjustment for FHx of aortic aneurysm: adjusted OR: 1.27 (1.05-1.55, p = 0.01).
FHx of ASCVD in multiple arterial locations was associated with higher odds of
having AAA: the adjusted odds were 1.23 times higher for each additionally
affected arterial location reported in the FHx (1.08-1.40, p = 0.01). Our results
suggest both unique and shared environmental and genetic factors mediating
susceptibility to AAA and ASCVD.
PMID- 26566660
TI - Four key questions surrounding thrombolytic therapy for submassive pulmonary
embolism.
AB - Submassive pulmonary embolism (PE) remains a vexing entity, and the appropriate
use of thrombolytic therapy for this subgroup continues to be actively debated.
Catheter-directed thrombolysis has shown efficacy for submassive PE and is
gaining momentum because of theoretically improved safety. This review poses and
responds to four questions that explore the complex issues surrounding optimal
therapy of submassive PE.
PMID- 26566662
TI - Are scientists a workforce? - Or, how Dr. Frankenstein made biomedical research
sick: A proposed plan to rescue US biomedical research from its current 'malaise'
will not be effective as it misdiagnoses the root cause of the disease.
PMID- 26566661
TI - Deconstruction of O-glycosylation--GalNAc-T isoforms direct distinct subsets of
the O-glycoproteome.
AB - GalNAc-type O-glycosylation is found on most proteins trafficking through the
secretory pathway in metazoan cells. The O-glycoproteome is regulated by up to 20
polypeptide GalNAc-Ts and the contributions and biological functions of
individual GalNAc-Ts are poorly understood. Here, we used a zinc-finger nuclease
(ZFN)-directed knockout strategy to probe the contributions of the major GalNAc
Ts (GalNAc-T1 and GalNAc-T2) in liver cells and explore how the GalNAc-T
repertoire quantitatively affects the O-glycoproteome. We demonstrate that the
majority of the O-glycoproteome is covered by redundancy, whereas distinct
subsets of substrates are modified by non-redundant functions of GalNAc-T1 and
GalNAc-T2. The non-redundant O-glycoproteome subsets and specific transcriptional
responses for each isoform are related to different cellular processes; for the
GalNAc-T2 isoform, these support a role in lipid metabolism. The results
demonstrate that GalNAc-Ts have different non-redundant glycosylation functions,
which may affect distinct cellular processes. The data serves as a comprehensive
resource for unique GalNAc-T substrates. Our study provides a new view of the
differential regulation of the O-glycoproteome, suggesting that the plurality of
GalNAc-Ts arose to regulate distinct protein functions and cellular processes.
PMID- 26566664
TI - Biomimetic Approach to Enhance Enzymatic Hydrolysis of the Synthetic Polyester
Poly(1,4-butylene adipate): Fusing Binding Modules to Esterases.
AB - Mimicking a concept of nature for the hydrolysis of biopolymers, the Thermobifida
cellulosilytica cutinase 1 (Thc_Cut1) was fused to a polymer binding module (PBM)
to enhance the hydrolysis of the polyester poly(1,4-butylene adipate) (PBA).
Namely, the binding module of a polyhydroxyalkanoate depolymerase from
Alcaligenes faecalis (Thc_Cut1_PBM) was attached to the cutinase via two
different linker sequences varying in length. In order to investigate the
adsorption behavior, catalytically inactive mutants both of Thc_Cut1 and
Thc_Cut1_PBM were successfully constructed by site-directed mutagenesis of serine
131 to alanine. Quartz crystal microbalance with dissipation monitoring (QCM-D)
analysis revealed that the initial mass increase during enzyme adsorption was
larger for the inactive enzymes linked with the PBM as compared to the enzyme
without the PBM. The hydrolysis rates of PBA were significantly enhanced when
incubated with the active, engineered Thc_Cut1_PBM as compared to the native
Thc_Cut1. Thc_Cut1_PBM completely hydrolyzed PBA thin films on QCM-D sensors
within approximately 40 min, whereas twice as much time was required for the
complete hydrolysis by the native Thc_Cut1.
PMID- 26566665
TI - Validation of serum free light chain reference ranges in primary care.
AB - BACKGROUND: The demand for measurement of serum immunoglobulin free kappa (kappa)
and lambda (lambda) light chains has increased. The kappa:lambda ratio is used to
assist in diagnosis/monitoring of plasma cell disorders. The binding site
reference range for serum-free light chain kappa:lambda ratios of 0.26-1.65 was
derived from healthy volunteers. Subsequently, a reference range of 0.37-3.1 for
patients with chronic kidney disease has been proposed. Elevated free light chain
concentrations and borderline raised free light chain ratios also may be found in
polyclonal gammopathies and with other non-renal illnesses. This assessment was
conducted to validate the established free light chain reference ranges in
individuals from primary care. METHOD: A total of 130 samples were identified
from routine blood samples collected in primary care for routine biochemistry
testing and estimated glomerular filtration rate calculation. RESULTS: The median
and range of kappa:lambda ratios found in each estimated glomerular filtration
rate group used for chronic kidney disease classification were higher than
previously described. This was the case for individuals with normal or
essentially normal renal function with estimated glomerular filtration rates>90,
(0.58-1.76) and estimated glomerular filtration rate of 60-90 mL/min/1.73 m(2),
(0.71-1.93). Individuals with estimated glomerular filtration rate 15-30, (0.72
4.50) and estimated glomerular filtration rate <15 ml/min/1.73 m(2) (0.71-4.95)
also had higher values when compared to the current renal reference range of 0.37
3.10. CONCLUSIONS: Elevation of free light chain-kappa:lambda ratios may occur in
the absence of a reduced renal function shown by a normal estimated glomerular
filtration rate and in the presence of reduced renal function by estimated
glomerular filtration rate when comparing results with the established reference
ranges. Explanations include choice of analytical systems or the presence of
other concurrent non-plasma cell illness.
PMID- 26566666
TI - High-sensitivity troponin measurements: challenges and opportunities for the
laboratory and the clinician.
PMID- 26566663
TI - The pathogenicity of splicing defects: mechanistic insights into pre-mRNA
processing inform novel therapeutic approaches.
AB - Removal of introns from pre-mRNA precursors (pre-mRNA splicing) is a necessary
step for the expression of most genes in multicellular organisms, and alternative
patterns of intron removal diversify and regulate the output of genomic
information. Mutation or natural variation in pre-mRNA sequences, as well as in
spliceosomal components and regulatory factors, has been implicated in the
etiology and progression of numerous pathologies. These range from monogenic to
multifactorial genetic diseases, including metabolic syndromes, muscular
dystrophies, neurodegenerative and cardiovascular diseases, and cancer.
Understanding the molecular mechanisms associated with splicing-related
pathologies can provide key insights into the normal function and physiological
context of the complex splicing machinery and establish sound basis for novel
therapeutic approaches.
PMID- 26566667
TI - Heart Failure and Mortality in Patients With Nonvalvular Atrial Fibrillation
Started on Novel Oral Anticoagulant Therapy: A Single-Center Experience.
AB - Heart failure (HF) is the leading cause of in-hospital morbidity and mortality in
the elderly population. Coexistence of HF and atrial fibrillation (AF) increases
the risk of thromboembolic events. Oral anticoagulant therapy reduces the risk of
thromboembolic events in patients with AF. Novel oral anticoagulants (NOACs) have
been introduced as an alternative drug for prevention from thromboembolic events
in patients with nonvalvular AF. The primary aim of this study is to investigate
the clinical effects of warfarin, dabigatran, and rivaroxaban in patients with
nonvalvular AF. The secondary aim of this study is to reveal the predictors of
all-cause mortality in patients with nonvalvular AF undergoing NOACs therapy. The
study population consisted of 171 patients with nonvalvular AF. Patients were
divided into 3 groups according to the usage of oral anticoagulant therapy
including coumadin (51 patients), dabigatran (52 patients), and rivaroxaban (68
patients). Although CHA2DS2-VASc score was similar between groups, HAS-BLED score
was significantly higher in patients using rivaroxaban. Dyspepsia and itching
were more common in patients using dabigatran. Heart failure and vascular disease
were more common in the nonsurviving group (10 patients) than in the surviving
group (110 patients) in patients using NOACs. Among age, sex, HF, hypertension,
vascular disease, and CHA2DS2-VASc, which were included in the regression model,
only the presence of HF was an independent predictor of all-cause mortality in
patients using NOACs. In conclusion, the mortality rate is significantly higher
in patients with HF using NOACs. Moreover, HF is an independent predictor of all
cause mortality in patients using NOACs.
PMID- 26566668
TI - The Role of Anticoagulants in the Prevention of Pregnancy Complications.
AB - The role of anticoagulants in the prevention of pregnancy complications,
including recurrent miscarriage, late fetal loss, and preeclampsia, continues to
be an area of active research and debate. Although prophylactic anticoagulation
with heparin and aspirin is considered the standard of care in some conditions,
such as obstetric antiphospholipid antibody syndrome, the optimal management of
pregnant women with factor V Leiden mutation, prothrombin G20210A mutation, and
other inherited thrombophilias without a history of thrombosis remains unknown.
Some studies suggest a benefit of heparins in preventing late-term losses but not
earlier miscarriages in the inherited thrombophilias. In the following review, we
will discuss the recent literature regarding anticoagulation and pregnancy
complications and conclude with our suggested approach to the management of these
challenging patients.
PMID- 26566669
TI - Apixaban for the Secondary Prevention of Thrombosis Among Patients With
Antiphospholipid Syndrome: Study Rationale and Design (ASTRO-APS).
AB - BACKGROUND: Antiphospholipid syndrome (APS) is an acquired thrombophilia
characterized by thrombosis, pregnancy morbidity, and the presence of
characteristic antibodies. Current therapy for patients having APS with a history
of thrombosis necessitates anticoagulation with the vitamin K antagonist
warfarin, a challenging drug to manage. Apixaban, approved for the treatment and
prevention of venous thrombosis with a low rate of bleeding observed, has never
been studied among patients with APS. AIMS AND METHODS: We report study rationale
and design of Apixaban for the Secondary Prevention of Thrombosis Among Patients
With Antiphospholipid Syndrome (ASTRO-APS), a prospective randomized open-label
blinded event pilot study that will randomize patients with a clinical diagnosis
of APS receiving therapeutic anticoagulation to either adjusted-dose warfarin or
apixaban 2.5 mg twice a day. We aim to report our ability to identify, recruit,
randomize, and retain patients with APS randomized to apixaban compared with
warfarin. We will report clinically important outcomes of thrombosis and
bleeding. All clinical outcomes will be adjudicated by a panel blinded to the
treatment arm. A unique aspect of this study is the enrollment of patients with
an established clinical diagnosis of APS. Also unique is our use of electronic
medical record interrogation techniques to identify patients who would likely
meet our inclusion criteria and use of an electronic portal for follow-up visit
data capture. CONCLUSION: ASTRO-APS will be the largest prospective study to date
comparing a direct oral anticoagulant with warfarin among patients with APS for
the secondary prevention of thrombosis. Our inclusion criteria assure that
outcomes obtained will be clinically applicable to the routine management of
patients with APS receiving indefinite anticoagulation.
PMID- 26566670
TI - A polygenic burden of rare variants across extracellular matrix genes among
individuals with adolescent idiopathic scoliosis.
AB - Adolescent idiopathic scoliosis (AIS) is a complex inherited spinal deformity
whose etiology has been elusive. While common genetic variants are associated
with AIS, they explain only a small portion of disease risk. To explore the role
of rare variants in AIS susceptibility, exome sequence data of 391 severe AIS
cases and 843 controls of European ancestry were analyzed using a pathway burden
analysis in which variants are first collapsed at the gene level then by Gene
Ontology terms. Novel non-synonymous/splice-site variants in extracellular matrix
genes were significantly enriched in AIS cases compared with controls (P = 6 *
10(-9), OR = 1.7, CI = 1.4-2.0). Specifically, novel variants in musculoskeletal
collagen genes were present in 32% (126/391) of AIS cases compared with 17%
(146/843) of in-house controls and 18% (780/4300) of EVS controls (P = 1 * 10(
9), OR = 1.9, CI = 1.6-2.4). Targeted resequencing of six collagen genes
replicated this association in combined 919 AIS cases (P = 3 * 10(-12), OR = 2.2,
CI = 1.8-2.7) and revealed a highly significant single-gene association with
COL11A2 (P = 6 * 10(-9), OR = 3.8, CI = 2.6-7.2). Importantly, AIS cases harbor
mainly non-glycine missense mutations and lack the clinical features of monogenic
musculoskeletal collagenopathies. Overall, our study reveals a complex genetic
architecture of AIS in which a polygenic burden of rare variants across
extracellular matrix genes contributes strongly to risk.
PMID- 26566671
TI - CpG methylation differences between neurons and glia are highly conserved from
mouse to human.
AB - Understanding epigenetic differences that distinguish neurons and glia is of
fundamental importance to the nascent field of neuroepigenetics. A recent study
used genome-wide bisulfite sequencing to survey differences in DNA methylation
between these two cell types, in both humans and mice. That study minimized the
importance of cell type-specific differences in CpG methylation, claiming these
are restricted to localized genomic regions, and instead emphasized that
widespread and highly conserved differences in non-CpG methylation distinguish
neurons and glia. We reanalyzed the data from that study and came to markedly
different conclusions. In particular, we found widespread cell type-specific
differences in CpG methylation, with a genome-wide tendency for neuronal CpG
hypermethylation punctuated by regions of glia-specific hypermethylation.
Alarmingly, our analysis indicated that the majority of genes identified by the
primary study as exhibiting cell type-specific CpG methylation differences were
misclassified. To verify the accuracy of our analysis, we isolated neuronal and
glial DNA from mouse cortex and performed quantitative bisulfite pyrosequencing
at nine loci. The pyrosequencing results corroborated our analysis, without
exception. Most interestingly, we found that gene-associated neuron vs. glia CpG
methylation differences are highly conserved across human and mouse, and are very
likely to be functional. In addition to underscoring the importance of
independent verification to confirm the conclusions of genome-wide epigenetic
analyses, our data indicate that CpG methylation plays a major role in
neuroepigenetics, and that the mouse is likely an excellent model in which to
study the role of DNA methylation in human neurodevelopment and disease.
PMID- 26566672
TI - Outlier DNA methylation levels as an indicator of environmental exposure and risk
of undesirable birth outcome.
AB - We have identified a novel molecular phenotype that defines a subgroup of
newborns who have highly disrupted epigenomes. We profiled DNA methylation in
cord blood of 114 children selected from the lowest and highest quintiles of the
birth weight distribution (irrespective of their mode of conception) at 96 CpG
sites in genes we have found previously to be related to birth weight or growth
and metabolism. We identified those individuals in each group who differed from
the mean of the distribution by the greatest magnitude at each site and for the
largest number of sites. Such 'outlier' individuals differ substantially from the
rest of the group in having highly disrupted methylation levels at many CpG
sites. We find that children from the lowest quintile of the birth weight
distribution have a significantly greater number of disrupted CpGs than children
from the highest quintile of the birth weight distribution. Among children from
the lowest quintile of the birth weight distribution, 'outlier' individuals are
significantly more common among children conceived in vitro than children
conceived in vivo. These observations are novel and potentially important because
they associate a molecular phenotype (multiple and large DNA methylation
differences) in normal somatic tissues (cord blood) with both a prenatal exposure
(conception in vitro) and a clinically important outcome (low birth weight).
These observations suggest that some individuals are more susceptible to
environmentally mediated epigenetic alterations than others.
PMID- 26566674
TI - Role of Sortilin in Models of Autoimmune Neuroinflammation.
AB - The proneurotrophin receptor sortilin is a protein with dual functions, being
involved in intracellular protein transport, as well as cellular signal
transduction. The relevance of the receptor for various neuronal disorders, such
as dementia, seizures, and brain injury, is well established. In contrast, little
is known about the role of sortilin in immune cells and inflammatory diseases.
The aim of our study was to elucidate the distribution of sortilin in different
immune cell types in mice and humans and to analyze its function in autoimmune
CNS inflammation. Sortilin was expressed most profoundly in murine and human
macrophages and dendritic cells and to a much lesser extent in B and T cells. In
dendritic cells, sortilin had an impact on Ag processing. Accordingly, sortilin
was highly expressed by infiltrated perivascular myeloid cells, mainly in vessel
cuffs, in the CNS of patients suffering from multiple sclerosis, the most common
inflammatory autoimmune disease of the CNS. Yet, sortilin gene-targeted mice
(Sort1(-/-)) and chimeras deficient in sortilin in the immune system were as
susceptible as wild-type littermates to T cell-dependent experimental autoimmune
encephalomyelitis. Considering our results and recent data from other
investigators, we conclude that the proneurotrophin receptor sortilin plays a
role in innate, rather than in adaptive, immune processes and, thus, not in
autoimmune neuroinflammation.
PMID- 26566673
TI - Effect of genetic background on the dystrophic phenotype in mdx mice.
AB - Genetic background significantly affects phenotype in multiple mouse models of
human diseases, including muscular dystrophy. This phenotypic variability is
partly attributed to genetic modifiers that regulate the disease process. Studies
have demonstrated that introduction of the gamma-sarcoglycan-null allele onto the
DBA/2J background confers a more severe muscular dystrophy phenotype than the
original strain, demonstrating the presence of genetic modifier loci in the
DBA/2J background. To characterize the phenotype of dystrophin deficiency on the
DBA/2J background, we created and phenotyped DBA/2J-congenic Dmdmdx mice (D2-mdx)
and compared them with the original, C57BL/10ScSn-Dmdmdx (B10-mdx) model. These
strains were compared with their respective control strains at multiple time
points between 6 and 52 weeks of age. Skeletal and cardiac muscle function,
inflammation, regeneration, histology and biochemistry were characterized. We
found that D2-mdx mice showed significantly reduced skeletal muscle function as
early as 7 weeks and reduced cardiac function by 28 weeks, suggesting that the
disease phenotype is more severe than in B10-mdx mice. In addition, D2-mdx mice
showed fewer central myonuclei and increased calcifications in the skeletal
muscle, heart and diaphragm at 7 weeks, suggesting that their pathology is
different from the B10-mdx mice. The new D2-mdx model with an earlier onset and
more pronounced dystrophy phenotype may be useful for evaluating therapies that
target cardiac and skeletal muscle function in dystrophin-deficient mice. Our
data align the D2-mdx with Duchenne muscular dystrophy patients with the LTBP4
genetic modifier, making it one of the few instances of cross-species genetic
modifiers of monogenic traits.
PMID- 26566675
TI - Tetraspanin CD37 Regulates beta2 Integrin-Mediated Adhesion and Migration in
Neutrophils.
AB - Deciphering the molecular basis of leukocyte recruitment is critical to the
understanding of inflammation. In this study, we investigated the contribution of
the tetraspanin CD37 to this key process. CD37-deficient mice showed impaired
neutrophil recruitment in a peritonitis model. Intravital microscopic analysis
indicated that the absence of CD37 impaired the capacity of leukocytes to follow
a CXCL1 chemotactic gradient accurately in the interstitium. Moreover, analysis
of CXCL1-induced leukocyte-endothelial cell interactions in postcapillary venules
revealed that CXCL1-induced neutrophil adhesion and transmigration were reduced
in the absence of CD37, consistent with a reduced capacity to undergo beta2
integrin-dependent adhesion. This result was supported by in vitro flow chamber
experiments that demonstrated an impairment in adhesion of CD37-deficient
neutrophils to the beta2 integrin ligand, ICAM-1, despite the normal display of
high-affinity beta2 integrins. Superresolution microscopic assessment of
localization of CD37 and CD18 in ICAM-1-adherent neutrophils demonstrated that
these molecules do not significantly cocluster in the cell membrane, arguing
against the possibility that CD37 regulates beta2 integrin function via a direct
molecular interaction. Moreover, CD37 ablation did not affect beta2 integrin
clustering. In contrast, the absence of CD37 in neutrophils impaired actin
polymerization, cell spreading and polarization, dysregulated Rac-1 activation,
and accelerated beta2 integrin internalization. Together, these data indicate
that CD37 promotes neutrophil adhesion and recruitment via the promotion of
cytoskeletal function downstream of integrin-mediated adhesion.
PMID- 26566676
TI - Mechanism of Activation-Induced Downregulation of Mitofusin 2 in Human Peripheral
Blood T Cells.
AB - Mitofusin 2 (Mfn2), a mitochondrial protein, was shown to have antiproliferative
properties when overexpressed. In this article, we show that activation of
resting human peripheral blood T cells caused downregulation of Mfn2 levels. This
downregulation of Mfn2 was blocked by different inhibitors (mTOR inhibitor
rapamycin, PI3K inhibitor LY294002, and Akt inhibitor A443654), producing cells
that were arrested in the G0/G1 stage of the cell cycle. Furthermore, the
activation-induced downregulation of Mfn2 preceded the entry of the cells into
the cell cycle, suggesting that Mfn2 downregulation is a prerequisite for
activated T cell entry into the cell cycle. Accordingly, small interfering RNA
mediated knockdown of Mfn2 resulted in increased T cell proliferation.
Overexpression of constitutively active AKT resulted in the downregulation of
Mfn2, which can be blocked by a proteasome inhibitor. Akt-mediated downregulation
of Mfn2 was via the mTORC1 pathway because this downregulation was blocked by
rapamycin, and overexpression of wild-type, but not kinase-dead mTOR, caused Mfn2
downregulation. Our data suggested that activation-induced reactive oxygen
species production plays an important role in the downregulation of Mfn2.
Collectively, our data suggest that the PI3K-AKT-mTOR pathway plays an important
role in activation-induced downregulation of Mfn2 and subsequent proliferation of
resting human T cells.
PMID- 26566677
TI - gammadelta T Cell-Dependent Regulatory T Cells Prevent the Development of
Autoimmune Keratitis.
AB - To prevent potentially damaging inflammatory responses, the eye actively promotes
local immune tolerance via a variety of mechanisms. Owing to trauma, infection,
or other ongoing autoimmunity, these mechanisms sometimes fail, and an autoimmune
disorder may develop in the eye. In mice of the C57BL/10 (B10) background,
autoimmune keratitis often develops spontaneously, particularly in the females.
Its incidence is greatly elevated in the absence of gammadelta T cells, such that
~80% of female B10.TCRdelta(-/-) mice develop keratitis by 18 wk of age. In this
article, we show that CD8(+) alphabeta T cells are the drivers of this disease,
because adoptive transfer of CD8(+), but not CD4(+), T cells to keratitis
resistant B10.TCRbeta/delta(-/-) hosts induced a high incidence of keratitis.
This finding was unexpected because in other autoimmune diseases, more often
CD4(+) alphabeta T cells, or both CD4(+) and CD8(+) alphabeta T cells, mediate
the disease. Compared with wild-type B10 mice, B10.TCRdelta(-/-) mice also show
increased percentages of peripheral memory phenotype CD8(+) alphabeta T cells,
along with an elevated frequency of CD8(+) alphabeta T cells biased to produce
inflammatory cytokines. In addition, B10.TCRdelta-/- mice have fewer peripheral
CD4(+) CD25(+) Foxp3(+) alphabeta regulatory T cells (Tregs), which express lower
levels of receptors needed for Treg development and function. Together, these
observations suggest that in B10 background mice, gammadelta T cells are required
to generate adequate numbers of CD4(+) CD25(+) Foxp3(+) Tregs, and that in
B10.TCRdelta(-/-) mice a Treg deficiency allows dysregulated effector or memory
CD8(+) alphabeta T cells to infiltrate the cornea and provoke an autoimmune
attack.
PMID- 26566679
TI - Simulation of the Impact of Programs for Prevention and Screening of Pediatric
Abusive Head Trauma.
AB - Primary prevention programs of pediatric abusive head trauma (PAHT) exist and
early screening is proposed, but negative effects of mislabeling parents as
abusers, an important issue, are not well documented. The aim of our study was to
simulate the possible impact of programs for the primary prevention and screening
of PAHT. We developed Markov models that simulate the life histories of PAHT with
no intervention, with primary prevention program only, with screening program,
and with both programs in a hypothetical cohort of 800,000 newborns in a high
income country. Screening program would be addressed to all families until
children are 2 years old, during repeated consultations. Potential side effects
for parents being mislabeled as abusers were supposed to increase the probability
of PAHT and decrease participation in screening. Time horizon was 2 years with
cycles of 15 days. Outcomes were number of deaths and abused children avoided.
Uncertainty was specified with probability distributions. After 2 years, the
median number of deaths avoided through primary prevention would vary from 6 (95%
confidence interval [CI] 2-11) to 28 (95% CI 6-51) per 100,000 newborns.
Screening could prevent up to 6 (95% CI 0-29) or cause up to 66 (95% CI 0-361)
deaths per 100,000 children born alive. The impact of both programs was
uncertain. Our model confirmed the potential benefits of primary prevention and
documented the uncertainty associated with screening of PAHT.
PMID- 26566678
TI - An exploration of alcohol use severity and route of drug administration among
persons that use heroin and cocaine.
AB - BACKGROUND: Alcohol use is prevalent among populations of persons that use
illicit drugs. Problematic alcohol use among persons that use heroin and cocaine
has been associated with poor treatment adherence, abstinence maintenance, and
mental health concerns. Fully exploring how alcohol use severity interacts with
route of administration (ROA) may be of notable importance in development of
treatment protocols for persons that use heroin and cocaine. METHODS: Data from a
neurological and sociobehavioral assessment of risk factors among injection and
noninjection drug users known as the NEURO-HIV Epidemiologic Study was used in
the analyses. Participants (N = 551) included those who reported their level of
past-30-day alcohol use and past-6-month heroin and cocaine use. RESULTS:
Multiple logistic regression analyses found that both problematic and moderate
alcohol users were significantly less likely than abstainers to report injecting
heroin and cocaine. Both problematic and moderate alcohol users were
significantly more likely than abstainers to snort substances. CONCLUSIONS:
Alcohol use may play a role in promoting or impeding the use of substances
through certain ROAs. Treatment protocols that transition persons that use
injection heroin and cocaine to noninjection use of these substances may be used
in conjunction with treatments that reduce alcohol consumption as a means to
reduce noninjection drug use.
PMID- 26566680
TI - Routes of abuse of prescription opioid analgesics: a review and assessment of the
potential impact of abuse-deterrent formulations.
AB - Prescription opioid analgesics are an important treatment option for patients
with chronic pain; however, misuse, abuse and diversion of these medications are
a major global public health concern. Prescription opioid analgesics can be
abused via intended and non-intended routes of administration, both intact or
after manipulation of the original formulation to alter the drug-delivery
characteristics. Available data indicate that ingestion (with or without
manipulation of the prescribed formulation) is the most prevalent route of abuse,
followed by inhalation (snorting, smoking and vaping) and injection. However,
reported routes of abuse vary considerably between different formulations. A
number of factors have been identified that appear to be associated with non-oral
routes of abuse, including a longer duration of abuse, younger age, male sex and
a rural or socially deprived location. The development of abuse-deterrent
formulations of prescription opioid analgesics is an important step toward
reducing abuse of these medications. Available abuse-deterrent formulations aim
to hinder extraction of the active ingredient, prevent administration through
alternative routes and/or make abuse of the manipulated product less attractive,
less rewarding or even aversive. There are currently five opioid analgesics with
a Food and Drug Administration abuse-deterrent label, and a number of other
products are under review. A growing body of evidence suggests that introduction
of abuse-deterrent opioid analgesics in the USA has been associated with
decreased rates of abuse of these formulations. The availability of abuse
deterrent formulations therefore appears to represent an important step toward
curbing the epidemic of abuse of prescription opioid analgesics, while ensuring
the availability of effective pain medications for patients with legitimate
medical need.
PMID- 26566681
TI - Opium tincture versus methadone syrup in management of acute raw opium
withdrawal: A randomized, double-blind, controlled trial.
AB - The aim of this study was to evaluate the effectiveness of opium tincture versus
methadone syrup in the management of acute withdrawal syndrome in opium dependent
patients during the detoxification period. In this double-blind randomized
controlled study, a total of 74 adult male raw opium dependent patients were
treated with opium tincture or methadone syrup 2 times daily for 5 consecutive
days. Detoxification was initiated by tapered dose reductions to reach
abstinence. At the end of the 10th day, the medications were discontinued. The
Objective Opioid Withdrawal Scale was used to assess withdrawal symptoms every
day. Significant decreases on the Objective Opioid Withdrawal Scale were found
for both treatment methods during the study period (p < .0001). However, there
was no significant difference between groups on the total Objective Opioid
Withdrawal Scale, and adverse effects existed. Opium tincture can be considered
as a potential substitute for methadone syrup for suppression of raw opium
withdrawal symptoms, with minimal adverse effects.
PMID- 26566682
TI - Nitric oxide synthase in diabetic rat testicular tissue and the effects of
pentoxifylline therapy.
AB - Diabetes is known to be associated with erectile dysfunction, retrograde
ejaculation, level of testicular hormone, and a decrease in semen quality,
respectively. In this project, we aimed to investigate at the molecular level,
the effects of NOS on testes pathology in diabetes and examine the effects of
pentoxifylline on healing. A total of 50 Wistar albino male rats were divided
into five groups: Group I control; Group II only diabetes; Group III and IV
diabetes + pentoxifylline; Group V only pentoxifylline. Group III rats received
50 mg/kg/day pentoxifylline during two months. In comparison, Group IV rats
received saline in the first month followed by 50 mg/kg/day of pentoxifylline for
the following month. NOS expression in testicular tissue was assessed using qRT
PCR, western blot, and immunohistochemistry. The mean seminiferous tubule
diameter, Johnsen's testicular biopsy score, and serum testosterone levels
decreased compared to controls. In contrast, the number of apoptotic cells, the
levels of nNOS, iNOS and eNOS mRNA, and protein increased when compared to the
control. Upon pentoxifylline therapy NOS decreased suggesting that it contributes
to this damage and treatment with pentoxifylline may be effective in reversing
this damage.
PMID- 26566683
TI - Prevalence and Correlates of Lifetime Suicide Attempts Among Transgender Persons
in Argentina.
AB - This study examined the lifetime prevalence and correlates of attempted suicide
among transgender persons in Argentina. Data were derived from a nation-wide,
cross-sectional survey conducted in 2013. We assessed individual, social, and
structural correlates of reporting a history of attempting suicide using logistic
regression. Among 482 participants, the median age was 30, 91% identified as
transwomen, and 32% resided in the Buenos Aires metropolitan area. A lifetime
suicide attempt was reported by 159 (33%), among whom the median age at first
attempt was 17. In a multivariate model, internalized stigma was positively
associated with a history of suicidal behavior, while participants with stable
housing had reduced odds of prior suicide attempt(s). These findings suggest that
reducing stigma and mitigating structural vulnerabilities (through, for example,
the enactment and enforcement of laws that prohibit discrimination based on
gender identity to ensure equitable access to housing) could be effective targets
for intervention to reduce suicide attempts among transgender individuals in
Argentina.
PMID- 26566684
TI - Discrimination of almonds (Prunus dulcis) geographical origin by minerals and
fatty acids profiling.
AB - Twenty-one almond samples from three different geographical origins (Sicily,
Spain and California) were investigated by determining minerals and fatty acids
compositions. Data were used to discriminate by chemometry almond origin by
linear discriminant analysis. With respect to previous PCA profiling studies,
this work provides a simpler analytical protocol for the identification of
almonds geographical origin. Classification by using mineral contents data only
was correct in 77% of the samples, while, by using fatty acid profiles, the
percentages of samples correctly classified reached 82%. The coupling of mineral
contents and fatty acid profiles lead to an increased efficiency of the
classification with 87% of samples correctly classified.
PMID- 26566685
TI - Site-specific methylation and acetylation of lysine residues in the C-terminal
domain (CTD) of RNA polymerase II.
AB - Dynamic modification of heptad-repeats with the consensus sequence Tyr1-Ser2-Pro3
Thr4-Ser5-Pro6-Ser7 of RNA polymerase II (RNAPII) C-terminal domain (CTD)
regulates transcription-coupled processes. Mass spectrometry analysis revealed
that K7-residues in non-consensus repeats of human RNAPII are modified by
acetylation, or mono-, di-, and tri-methylation. K7ac, K7me2, and K7me3 were
found exclusively associated with phosphorylated CTD peptides, while K7me1
occurred also in non-phosphorylated CTD. The monoclonal antibody 1F5 recognizes
K7me1/2 residues in CTD and reacts with RNAPIIA. Treatment of cellular extracts
with phosphatase or of cells with the kinase inhibitor flavopiridol unmasked the
K7me1/2 epitope in RNAPII0, consistent with the association of K7me1/2 marks with
phosphorylated CTD peptides. Genome-wide profiling revealed high levels of
K7me1/2 marks at the transcriptional start site of genes for sense and antisense
transcribing RNAPII. The new K7 modifications further expand the mammalian CTD
code to allow regulation of differential gene expression.
PMID- 26566686
TI - Pharyngeal involvement of antrochoanal polyp.
PMID- 26566687
TI - An evaluation of the epidemiology of medication discrepancies and clinical
significance of medicines reconciliation in children admitted to hospital.
AB - AIMS: To determine the incidence of unintended medication discrepancies in
paediatric patients at the time of hospital admission; evaluate the process of
medicines reconciliation; assess the benefit of medicines reconciliation in
preventing clinical harm. METHOD: A 5 month prospective multisite study.
Pharmacists at four English hospitals conducted admission medicines
reconciliation in children using a standardised data collection form. A
discrepancy was defined as a difference between the patient's preadmission
medication (PAM), compared with the initial admission medication orders written
by the hospital doctor. The discrepancies were classified into intentional and
unintentional discrepancies. The unintentional discrepancies were assessed for
potential clinical harm by a team of healthcare professionals, which included
doctors, pharmacists and nurses. RESULTS: Medicines reconciliation was conducted
in 244 children admitted to hospital. 45% (109/244) of the children had at least
one unintentional medication discrepancy between the PAM and admission medication
order. The overall results indicated that 32% (78/244) of patients had at least
one clinically significant unintentional medication discrepancy with potential to
cause moderate 20% (50/244) or severe 11% (28/244) harm. No single source of
information provided all the relevant details of a patient's medication history.
Parents/carers provided the most accurate details of a patient's medication
history in 81% of cases. CONCLUSIONS: This study demonstrates that in the absence
of medicines reconciliation, children admitted to hospitals across England are at
risk of harm from unintended medication discrepancies at the transition of care
from the community to hospital. No single source of information provided a
reliable medication history.
PMID- 26566688
TI - Timed motor function tests capacity in healthy children.
AB - OBJECTIVE: Motor function tests are used clinically and in research in children,
particularly in those with neuromuscular disorders. Timed function tests are
recommended in the follow-up of patients with neuromuscular disorders. This study
was designed to know how healthy children perform on simple timed motor function
tests. MATERIAL AND METHODS: In a cross-sectional observational study, 345
children aged 2-12 years, followed at the Federal University of Rio de Janeiro's
Institute of Paediatric, were evaluated. To be eligible they had to have acquired
independent walking before the age of 14 months, be able to cope and willing to
participate in the study. Anthropometric and vital signs were verified, as well
as contact with smokers. The following timed motor function tests were measured:
time to rise from the floor (TRF), time to walk 10 meters (10MWT) and time to run
10 meters (10MRT). RESULTS: Improvement in time to perform those motor functions
was found to occur in healthy preschool children. Stabilisation of mean times for
those motor functions was seen thereafter: TRF of 1.2 s, 10MWT of 10 s and 10MRT
of 5 s. CONCLUSIONS: Walking and rising speed improve with age in preschoolers,
as expected, and is shown to occur up to a plateau level. Our findings for the
10MWT, 10MRT and TRF are in line with those published in 2008 for the 6 minute
walk test (6MWT). The motor functions used in the present study require less time
and space than the ones in the 6MWT. They should be considered more universally
applicable. Those tests could be used in childcare clinics as a screening for
motor disorders such as the neuromuscular diseases. TRIAL REGISTRATION NUMBER:
1.098.302.
PMID- 26566689
TI - The morbidity and mortality meeting: time for a different approach?
PMID- 26566690
TI - In Situ Study of Fe3Pt-Fe2O3 Core-Shell Nanoparticle Formation.
AB - We report an in situ study of Fe3Pt-Fe2O3 core-shell nanoparticle growth using
liquid cell transmission electron microscopy. By controlling the Fe-to-Pt ratio
in the precursor solution, we achieved the growth of nanoparticles with the
formation of an iron-platinum alloy core followed by an iron oxide shell in the
electron beam-induced reactions. There was no substantial change in the growth
kinetics of the iron oxide shell after the Fe-Pt alloy core stopped growing. The
core growth was arrested by depletion of the Pt precursor. Heteroepitaxy of Fe3Pt
[101] (core)||alpha-Fe2O3 [111] (shell) was observed in most of the
nanoparticles, while a polycrystalline iron oxide shell is developed eventually
for strain relaxation. Our studies suggest that Pt atoms catalyze the reduction
of Fe ions to form the Fe3Pt alloy core, and when Pt is depleted, a direct
precipitation of iron oxide results in the core-shell nanostructure formation.
PMID- 26566691
TI - Polymorphisms of ST2-IL18R1-IL18RAP gene cluster: a new risk for autoimmune
thyroid diseases.
AB - Interleukin 33 (IL33) / ST2 pathway and ST2-interlukin18 receptor1-interlukin18
receptor accessory protein (ST2-IL18R1-IL18RAP) gene cluster have been involved
in many autoimmune diseases but few report in autoimmune thyroid diseases (AITD).
In this study, we investigated whether polymorphisms of IL33, ST2, IL18R1, and
IL18RAP are associated with Graves' disease (GD) and Hashimoto's thyroiditis
(HT), two major forms of AITD, among a Chinese population. A total of 11 SNPs
were explored in a case-control study including 417 patients with GD, 250 HT
patients and 301 controls, including rs1929992, rs10975519, rs10208293,
rs6543116, rs1041973, rs3732127, rs11465597, rs1035130, rs2293225, rs1035127,
rs917997 of IL 33, ST2-IL18R1-IL18RAP gene cluster. Genotyping of these SNPs was
performed using matrix-assisted laser desorption / ionization-time-of-flight mass
spectrometer (MALDI-TOF-MS) platform from Sequenom. The frequencies of allele A
and AA+AG genotype of rs6543116 (ST2) in HT patients were significantly increased
compared with those of the controls (P = 0.029/0.021, OR = 1.31/1.62). And in
another SNP rs917997, AA+AG genotype presented an increased frequency in HT
subjects compared with controls (P = 0.046, OR = 1.53). Furthermore, the
haplotype GAGCCCG from ST2-IL18R1-IL18RAP gene cluster (rs6543116, rs1041973,
rs1035130, rs3732127, rs1035127, rs2293225, rs917997) was associated with
increased susceptibility to GD with an OR of 2.03 (P = 0.022, 95% CI = 1.07
3.86). Some SNPs of ST2-IL18R1-IL18RAP gene cluster might increase the risk of
susceptibility of HT and GD in Chinese Han population.
PMID- 26566692
TI - Priming of anti-herbivore defence in Nicotiana attenuata by insect oviposition:
herbivore-specific effects.
AB - Oviposition by Spodoptera exigua on Nicotiana attenuata primes plant defence
against its larvae that consequently suffer reduced performance. To reveal
whether this is a general response of tobacco to insect oviposition or species
specific, we investigated whether also Manduca sexta oviposition primes N.
attenuata's anti-herbivore defence. The plant response to M. sexta and S. exigua
oviposition overlapped in the egg-primed feeding-induced production of the
phenylpropanoid caffeoylputrescine. While M. sexta larvae were unaffected in
their performance, they showed a novel response to the oviposition-mediated plant
changes: a reduced antimicrobial activity in their haemolymph. In a cross
resistance experiment, S. exigua larvae suffered reduced performance on M. sexta
oviposited plants like they did on S. exigua-oviposited plants. The M. sexta
oviposition-mediated plant effects on the S. exigua larval performance and on M.
sexta larval immunity required expression of the NaMyb8 transcription factor that
is governing biosynthesis of phenylpropanoids such as caffeoylputrescine. Thus,
NaMyb8-dependent defence traits mediate the effects that oviposition by both
lepidopteran species exerts on the plant's anti-herbivore defence. These results
suggest that oviposition by lepidopteran species on N. attenuata leaves may
generally prime the feeding-induced production of certain plant defence compounds
but that different herbivore species show different susceptibility to egg-primed
plant effects.
PMID- 26566693
TI - A meta-analysis of math performance in Turner syndrome.
AB - AIM: Studies investigating the relationship between Turner syndrome and math
learning disability have used a wide variation of tasks designed to test various
aspects of mathematical competencies. Although these studies have revealed much
about the math deficits common to Turner syndrome, their diversity makes
comparisons between individual studies difficult. As a result, the consistency of
outcomes among these diverse measures remains unknown. The overarching aim of
this review is to provide a systematic meta-analysis of the differences in math
and number performance between females with Turner syndrome and age-matched
neurotypical peers. METHOD: We provide a meta-analysis of behavioral performance
in Turner syndrome relative to age-matched neurotypical populations on
assessments of math and number aptitude. In total, 112 comparisons collected
across 17 studies were included. RESULTS: Although 54% of all statistical
comparisons in our analyses failed to reject the null hypothesis, our results
indicate that meaningful group differences exist on all comparisons except those
that do not require explicit calculation. INTERPRETATION: Taken together, these
results help elucidate our current understanding of math and number weaknesses in
Turner syndrome, while highlighting specific topics that require further
investigation.
PMID- 26566694
TI - Incidence of trichostasis spinulosa at a single institution in Yemen.
AB - BACKGROUND: Trichostasis spinulosa (TS) is a common, underdiagnosed cosmetic skin
condition. OBJECTIVES: The main objectives of this study were to determine the
occurrence of TS relative to age and gender, to analyze its cutaneous
distribution, and to investigate any possible familial basis for this condition,
its impact on patients, and the types and efficacy of previous treatments.
METHODS: All patients presenting to the outpatient dermatology clinic at the
study institution and their relatives were examined for the presence of TS and
were questioned about family history and previous treatment. Photographs and
biopsies of suspected cases of TS were obtained. RESULTS: Of 2400 patients seen
between August and December 2013, 286 patients were diagnosed with TS (135 males,
151 females; prevalence: 11.9%). Women presented more frequently than men with
complaints of TS (6.3 vs. 4.2%), and more women had received prior treatment for
TS (10.5 vs. 2.8%). The most commonly affected sites were the face (100%),
interscapular area (10.5%), and arms (3.1%). Lesions involved the nasal alae in
96.2%, the nasal tip in 90.9%, the chin in 55.9%, and the cheeks in 52.4% of
patients. Only 15.7% of patients had forehead lesions, and only 4.5% had perioral
lesions. Among the 38 previously treated patients, 65.8% reported temporary
improvement. CONCLUSIONS: Trichostasis spinulosa is a common condition that
predominantly affects the face in patients of all ages. Additional studies
employing larger cohorts from multiple centers will be required to determine the
prevalence of TS in the general population.
PMID- 26566695
TI - p53 R175H hydrophobic patch and H-bond reorganization observed by MD simulation.
AB - Molecular dynamics simulations probe the origins of aberrant functionality of
R175H p53, which normally prevent tumorigenesis. This hotspot mutation exhibits
loss of its essential zinc cofactor, aggregation, and activation of gain of
function promoters, characteristics contributing to the loss of normal p53
activity. This study provided molecular level insight into the reorganization of
the hydrogen bonding network and the formation of a hydrophobic patch on the
surface of the protein. The hydrogen bonding network globally redistributes at
the expense of the stability of the beta-sandwich structure, and surface residues
reorganize to expose a 250 A(2) hydrophobic patch of residues covering
approximately 2% of the solvent accessible surface. These changes could both
stabilize the protein in the conformation exposing the patch to solvent to
mediate the reported aggregation, and cause a destabilization in the area
associated with DNA binding residues to affect the specificity. The development
of the patch prior to loss of zinc indicates that stabilizing the patch quickly
may prevent zinc loss. Considerations for rational design of small molecule
therapeutics in light of the structural insight has been discussed and it suggest
the positive ring around the hydrophobic patch and conserved residues may
constitute a druggable site.
PMID- 26566696
TI - Modelling non-normal data: The relationship between the skew-normal factor model
and the quadratic factor model.
AB - Maximum likelihood estimation of the linear factor model for continuous items
assumes normally distributed item scores. We consider deviations from normality
by means of a skew-normally distributed factor model or a quadratic factor model.
We show that the item distributions under a skew-normal factor are equivalent to
those under a quadratic model up to third-order moments. The reverse only holds
if the quadratic loadings are equal to each other and within certain bounds. We
illustrate that observed data which follow any skew-normal factor model can be so
well approximated with the quadratic factor model that the models are empirically
indistinguishable, and that the reverse does not hold in general. The choice
between the two models to account for deviations of normality is illustrated by
an empirical example from clinical psychology.
PMID- 26566697
TI - Natural course of untreated acute syphilitic posterior placoid chorioretinitis.
PMID- 26566698
TI - Identification of a novel phenamacril-resistance-related gene by the cDNA-RAPD
method in Fusarium asiaticum.
AB - BACKGROUND: Fusarium asiaticum, a dominant pathogen of Fusarium head blight (FHB)
in East Asia, causes huge economic losses. Phenamacril, a novel cyanoacrylate
fungicide, has been increasingly applied to control FHB in China, especially
where resistance of F. asiaticum against carbendazim is severe. It is important
to clarify the resistance-related mechanisms of F. asiaticum to phenamacril so as
to avoid control failures, and to sustain the usefulness of the new product.
RESULTS: A novel phenamacril-resistance-related gene Famfs1 was obtained by
employing the cDNA random amplified polymorphic DNA (cDNA-RAPD) technique, and
was validated by genetic and biochemical assays. Compared with the corresponding
progenitors, deletion of Famfs1 in phenamacril-sensitive or highly phenamacril
resistant strains caused a significant decrease in effective concentrations
inhibiting radial growth by 50% (EC50 value). Additionally, the biological
fitness parameters (including mycelial growth under different stresses,
conidiation, perithecium formation and virulence) of the deletion mutants
attenuated significantly. CONCLUSION: Famfs1 not only was involved in the
resistance of F. asiaticum to phenamacril but also played an important role in
adaptation of F. asiaticum to the environment. Moreover, our data suggest that
the cDNA-RAPD method can be a candidate technique to clone resistance-related
genes in fungi. (c) 2015 Society of Chemical Industry.
PMID- 26566699
TI - Interstitial granulomatous dermatitis with prominent neutrophil infiltration.
PMID- 26566700
TI - Long non-coding RNA TSIX is upregulated in scleroderma dermal fibroblasts and
controls collagen mRNA stabilization.
AB - Long non-coding RNAs (lncRNAs) are thought to have various functions other than
RNA silencing. We tried to evaluate the expression of lncRNAs in patients with
systemic sclerosis (SSc) and determined whether lncRNAs controls collagen
expression in dermal fibroblasts. lncRNA expression was determined by real-time
PCR and in situ hybridization. Protein and mRNA levels of collagen were analysed
using immunoblotting and real-time PCR. We found TSIX, one of the lncRNAs, was
overexpressed in SSc dermal fibroblasts both in vivo and in vitro, which was
inhibited by the transfection of transforming growth factor (TGF)-beta1 siRNA.
TSIX siRNA reduced the mRNA expression of type I collagen in normal and SSc
dermal fibroblasts, but not the levels of major disease-related cytokines. In
addition, TSIX siRNA significantly reduced type I collagen mRNA stability, but
not protein half-lives. Furthermore, we first investigated serum lncRNA levels in
patients with SSc, and serum TSIX levels were significantly increased in SSc
patients. TSIX is a new regulator of collagen expression which stabilizes the
collagen mRNA. The upregulation of TSIX seen in SSc fibroblasts may result from
activated endogenous TGF-beta signalling and may play a role in the constitutive
upregulation of collagen in these cells. Further studies on the regulatory
mechanism of tissue fibrosis by lncRNAs in SSc skin lead to a better
understanding of the pathogenesis, new diagnostic methods by their serum levels
and new therapeutic approaches using siRNAs.
PMID- 26566701
TI - Bilateral glossopharyngeal neuropathy following chemo and radiation therapy for a
primitive neuroectodermal tumour.
AB - This case describes a young adult male patient diagnosed and treated for a
primitive neuroectodermal tumour (PNET) at 3 years of age. Chemotherapy and
radiation therapy used following surgical treatment of this tumour have known
neurotoxic complications, some of which have delayed onset. In this case, the
patient exhibited sudden onset, persistent bilateral and deep ear pain that was
consistent with a neuropathy of the glossopharyngeal nerve occurring 17 years
after the completion of therapy for PNET. Treatment with pregabalin was
successful with near-complete resolution of the complaint. The diagnostic
certainty in this case is discussed in relation to the current diagnostic
criteria for neuropathic pain.
PMID- 26566702
TI - Developmental patterns of bilateral asymmetry in ancestral puebloans.
AB - OBJECTIVES: Producing and maintaining a bilaterally symmetric phenotype
throughout the lifespan is energetically demanding. Over the course of an
individual's life, various intrinsic and external stressors impact the growth
trajectory. These perturbations can compromise the allocation of energetic
resources to processes that maintain developmental precision, potentially
resulting in bilateral asymmetry (BA). Because different stressors are present
during the lifespan, BA is a valuable tool for examining the unique factors
impacting symmetrical growth and development. This study examines BA in paired
long bones across a developmental skeletal series. METHODS: The humeri, radii,
femora, and tibiae of 198 individuals from Ancestral Puebloan New Mexico (919
1670 CE) are analyzed to explore BA across development. Individuals are separated
into five age categories, and by sex when possible, to explore patterns of BA.
RESULTS: Significant BA is found in the bones of the upper limb when the
interaction between bone and age is examined. Results suggest that BA in the
humerus and radius becomes more right-biased with age. These directional trends
are not observed in the lower limbs. Division into age categories illuminates
patterns of asymmetry associated with age-related activities and physiological
maturity, indicating that BA is differentially affected by varying environmental
stressors across development. CONCLUSIONS: Our findings support the hypothesis
that BA in long bones is influenced by environmental stressors that impact an
individual's ability to produce symmetric morphological traits over the lifespan.
Right-biased BA in the upper limb bones indicates that this variation from a
symmetric ideal is strongly influenced by handedness resulting from habitual
manual activities. Am. J. Hum. Biol. 28:421-430, 2016. (c) 2015 Wiley
Periodicals, Inc.
PMID- 26566703
TI - A quality improvement project to reduce the intraoperative use of single-dose
fentanyl vials across multiple patients in a pediatric institution.
AB - OBJECTIVE: The use of a single-dose vial across multiple patients presents a risk
to sterility and is against CDC guidelines. We initiated a quality improvement
(QI) project to reduce the intraoperative use of single-dose vials of fentanyl
across multiple patients at Cincinnati Children's Hospital Medical Center
(CCHMC). METHODS: The initial step of the improvement project was the development
of a Key Driver Diagram. The diagram has the SMART aim of the project, key
drivers inherent to the process we are trying to improve, and specific
interventions targeting the key drivers. The number of patients each week
receiving an IV dose of fentanyl, from a vial previously accessed for another
patient was tracked in a high turnover operating room (OR). The improvement model
used was based on the concept of building Plan-Do-Study-Act (PDSA) cycles. Tests
of change included provider education, provision of an increased number of
fentanyl vials, alternate wasting processes, and provision of single-use fentanyl
syringes by the pharmacy. RESULTS: Prior to initiation of this project, it was
common for a single fentanyl vial to be accessed for multiple patients. Our data
showed an average percentage of failures of just over 50%. During the end of the
project, after 7 months, the mean percentage failures had dropped to 5%.
Preparation of 20 mcg single-use fentanyl syringes by pharmacy, combined with
education of providers on appropriate use, was successful in reducing failures to
below our goal of 25%. CONCLUSIONS: Appropriately sized fentanyl syringes
prepared by pharmacy, education on correct use of single-dose vials, and
reminders in the OR, reduced the percentage of patients receiving a dose of
fentanyl from a vial previously accessed for another patient in a high-volume
otolaryngology room.
PMID- 26566704
TI - Hail to the chief-Keith Lindor, our new AASLD president.
PMID- 26566705
TI - Quantitative trait locus mapping and functional genomics of an organophosphate
resistance trait in the western corn rootworm, Diabrotica virgifera virgifera.
AB - The western corn rootworm, Diabrotica virgifera virgifera, is an insect pest of
corn and population suppression with chemical insecticides is an important
management tool. Traits conferring organophosphate insecticide resistance have
increased in frequency amongst D. v. virgifera populations, resulting in the
reduced efficacy in many corn-growing regions of the USA. We used comparative
functional genomic and quantitative trait locus (QTL) mapping approaches to
investigate the genetic basis of D. v. virgifera resistance to the
organophosphate methyl-parathion. RNA from adult methyl-parathion resistant and
susceptible adults was hybridized to 8331 microarray probes. The results
predicted that 11 transcripts were significantly up-regulated in resistant
phenotypes, with the most significant (fold increases >= 2.43) being an alpha
esterase-like transcript. Differential expression was validated only for the
alpha-esterase (ST020027A20C03), with 11- to 13-fold greater expression in methyl
parathion resistant adults (P < 0.05). Progeny with a segregating methyl
parathion resistance trait were obtained from a reciprocal backcross design. QTL
analyses of high-throughput single nucleotide polymorphism genotype data
predicted involvement of a single genome interval. These data suggest that a
specific carboyxesterase may function in field-evolved corn rootworm resistance
to organophosphates, even though direct linkage between the QTL and this locus
could not be established.
PMID- 26566706
TI - Detection of volume loss using the Nexfin device in blood donors.
AB - We investigated which haemodynamic parameters derived from Nexfin non-invasive
continuous arterial blood pressure measurements are optimal to detect controlled
volume loss in spontaneously breathing subjects. Haemodynamic monitoring was
performed in 40 whole-blood donors. Mean arterial pressure, cardiac index,
systemic vascular resistance index and pulse pressure variation were recorded
during controlled breathing, and a Valsalva manoeuvre was performed before and
after blood donation. Blood donation resulted in a reduction in cardiac index
(from 3.96 +/- 0.84 l.min(-1) .m(2) to 3.30 +/- 0.61 l.min(-1) .m(2) ; p <
0.001), an increase in systemic vascular resistance (from 1811 +/- 450 dyn.s.cm(
5) .m(2) to 2137 +/- 428 dyn.s.cm(-5) .m(2) ; p < 0.001) and an increase in pulse
pressure variation (from 13.4 +/- 5.1 to 15.3 +/- 5.4%; p = 0.02). The area under
the receiver operating characteristic curve to detect volume loss was highest for
cardiac index (0.94, 95% CI 0.88-0.99) and systemic vascular resistance (0.90,
95% CI 0.82-0.99). Nexfin is a non-invasive haemodynamic monitor that can
feasibly detect volaemic changes in spontaneously breathing subjects.
PMID- 26566707
TI - Photodermatitis with subsequent vitiligo-like leukoderma in HIV infection.
PMID- 26566709
TI - From a single nucleotide polymorphism to tau pathology: Appoptosin is the missing
link.
PMID- 26566708
TI - Effect of heme oxygenase-1 gene promoter polymorphism on cancer risk by
histological subtype: A prospective study in arseniasis-endemic areas in Taiwan.
AB - Heme oxygenase (HO)-1 is upregulated by many stressful stimuli, including
arsenic. A GT-repeat ((GT)n) polymorphism in the HO-1 gene promoter inversely
modulates the levels of HO-1 induction. Previous HO-1 (GT)n polymorphism studies
in relation to cancer risk have shown disparate results. We prospectively
investigated the associations between HO-1 (GT)n polymorphism and cancer risk
related to arsenic from drinking water. Totally, 1,013 participants from
community-based cohorts of arseniasis-endemic areas in Taiwan were followed for
13 years. Allelic polymorphisms were classified into long (L, >= 27 (GT)n) and
short (S, <27 (GT)n). Newly developed cases were identified through linkage with
National Cancer Registry of Taiwan. Multivariate Cox proportional hazard methods
were used to evaluate effects of the HO-1 polymorphism alone or combined with
arsenic exposure. Results showed that participants with the S/S genotype had an
increased risk of Bowen's disease (HR = 10.49; 95% CI: 2.77-39.7), invasive skin
cancer (HR = 2.99; 95% CI: 1.13-7.87), and lung squamous cell carcinoma (HR =
3.39; 95% CI: 1.15-9.95) versus those with L/S or L/L genotype. The S/S genotype
combined with high arsenic exposure (>300 MUg/L) had a greater risk of skin
cancer compared to the genotype alone. Consistent with previous findings,
participants with the S-allele had a reduced risk of lung adenocarcinoma (HR =
0.21; 95% CI: 0.03-0.68) versus those with L/L genotype. There were no
significant differences in risk of urothelial carcinoma among the three
genotypes. Associations of HO-1 (GT)n polymorphism with cancer risk differs by
histological subtype and the polymorphism should be considered a modifier in the
risk assessment of arsenic exposure.
PMID- 26566710
TI - Mac attack: macrophages as key drivers of cutaneous T-cell lymphoma pathogenesis.
PMID- 26566711
TI - Treatment of Disseminated Aspergillosis with Posaconazole in 10 Dogs.
AB - BACKGROUND: Few effective treatments for disseminated Aspergillus infections in
dogs are available. Posaconazole has potent and broad-spectrum activity against
Aspergillus spp., but its use has not yet been sufficiently evaluated in dogs.
HYPOTHESIS/OBJECTIVES: The aim of this study was to determine the safety and
efficacy of posaconazole for the treatment of naturally occurring disseminated
Aspergillus infections in dogs. ANIMALS: Ten client-owned dogs with disseminated
aspergillosis. METHODS: Prospective, nonrandomized, noncontrolled study with
posaconazole administered to dogs at dosage of 5 mg/kg p.o. q12h. The primary
veterinarian or the veterinary specialist caring for the dogs provided patient
data. RESULTS: The treatment response for dogs with disseminated disease while
receiving posaconazole was defined as clinical remission (n = 4) and clinical
improvement (n = 6). There was a high rate of relapse during treatment or after
cessation of treatment in both groups, and most dogs died or were euthanized due
to progressive disease. Excluding 1 dog concurrently treated with terbinafine
that remains alive 5 years after diagnosis, the mean survival time for dogs was
241 days (range 44-516 days). Three other dogs lived >1 year after starting
treatment. No clinically relevant adverse events or increases in serum liver
enzyme activity occurred during treatment with posaconazole. CONCLUSIONS AND
CLINICAL IMPORTANCE: Posaconazole appears to be safe and well-tolerated for
treatment of disseminated Aspergillus infections in dogs. Long-term survival >1
year is possible with prolonged treatment, but relapse is common.
PMID- 26566712
TI - Optimizing psychosocial support during office-based buprenorphine treatment in
primary care: Patients' experiences and preferences.
AB - BACKGROUND: Buprenorphine maintenance treatment is effective and has been
successfully integrated into human immunodeficiency virus (HIV) and primary care
settings. However, one key barrier to providers prescribing buprenorphine is
their perception that they are unable to provide adequate counseling or
psychosocial support to patients with opioid addiction. This qualitative study
investigated supportive elements of office-based buprenorphine treatment that
patients perceived to be most valuable. METHODS: The authors conducted five focus
groups with 33 buprenorphine treatment-experienced participants. Focus groups
were audio-recorded and transcribed. Iterative readings of transcripts and
grounded theory analysis revealed common themes. RESULTS: Overall, participants
perceived that buprenorphine treatment helped them to achieve their treatment
goals and valued the flexibility, accessibility, and privacy of treatment.
Participants identified interpersonal and structural elements of buprenorphine
treatment that provided psychosocial support. Participants desired good physician
patient relationships, but also valued care delivery models that were patient
centered, created a safe place for self-disclosure, and utilized coordinated team
based care. CONCLUSIONS: Participants derived psychosocial support from their
prescribing physician, but were also open to collaborative or team-based models
of care, as long as they were voluntary and confidential. Buprenorphine
prescribing physicians without access to referral options for psychosocial
counseling could focus on maintaining nonjudgmental attitudes and shared decision
making during patient encounters. Adding structure and psychosocial support to
buprenorphine treatment through coordinated team-based care also seems to have
great promise.
PMID- 26566713
TI - Supplementation of Slow-Release Melatonin Improves Recovery of Ovarian Cyclicity
and Conception in Summer Anoestrous Buffaloes (Bubalus bubalis).
AB - The role of melatonin as a protective neurohormone against restoring cyclicity in
summer anoestrous animals in photoperiod species has gained wider acceptance.
This study was designed to uncover the evidence the slow-release melatonin (MLT)
has on initiation of ovarian cyclicity and conception rate (CR) in summer
anoestrous buffaloes. Thus, buffaloes diagnosed as summer anoestrous (absence of
overt signs of oestrus, concurrent rectal examination and radioimmunoassay for
serum progesterone at 10 days interval) were grouped as untreated (Group I,
sterilized corn oil, n = 8) and treated (Group II, single subcutaneous injection
of MLT @18 mg/50 kg bwt in sterilized corn oil, n = 20). Animals treated and
detected in oestrus were artificially inseminated (AI) followed by division into
Group III (second dose of MLT on 5th day post-AI, n = 8) and Group IV (no
melatonin administration, n = 10). Blood samples were collected at 4 days
interval for estimation of serum MLT, progesterone and oestrogen using
radioimmunoassay kit. Mean oestrous induction rate (OIR), oestrous induction
interval (OII), interoestrous interval (IOI) and CR were estimated. Compared to
control, concentration of melatonin was significantly (p < 0.05) higher in
treated group ranging from 14.34 +/- 1.72 to 412.31 +/- 14.47 pg/ml whereas other
two hormones did not show any concentration difference. Melatonin-administered
buffaloes showed significantly (p < 0.05) higher (90%) OIR with OII of 18.06 +/-
1.57 days. Results showed improvement in conception rate in buffaloes
administered with post-insemination melatonin. It can be concluded from the study
that slow-release melatonin supplementation restored cyclicity in summer
anoestrous animals resulting in improvement in conception rate in buffaloes.
PMID- 26566714
TI - How much is too much? Outcomes in patients using high-dose insulin glargine.
AB - BACKGROUND AND OBJECTIVES: Many patients with type 2 diabetes mellitus (T2DM) do
not achieve glycaemic control targets on basal insulin regimens. This analysis
investigated characteristics, clinical outcomes and impact of concomitant oral
antidiabetes drugs (OADs) in patients with T2DM treated with high-dose insulin
glargine. METHODS: Patient-level data were pooled from 15 randomised, treat-to
target trials in patients with T2DM treated with insulin glargine +/- OADs for >=
24 weeks. Data were stratified according to whether patients exceeded three
insulin dose cut-off levels (> 0.5, > 0.7 and > 1.0 IU/kg). End-points included
glycated haemoglobin A1c (A1C), fasting plasma glucose, body weight, and overall,
nocturnal and severe hypoglycaemia. RESULTS: Data from 2837 insulin-naive
patients were analysed. Patients with insulin titrated beyond the three doses
investigated had significantly higher baseline A1C levels and were younger, with
shorter diabetes duration than those at/below cut-offs (p < 0.05 for all cut
offs); they also had greater weight gain (p < 0.001 for the > 0.5 and > 0.7 IU/kg
cut-offs) than those who did not exceed the cut-offs, regardless of concomitant
OAD. Patients on concomitant metformin alone had higher insulin doses at Week 24,
but achieved greater reductions in A1C, less weight gain and lower hypoglycaemia
rates than patients on a concomitant sulfonylurea or metformin plus a
sulfonylurea, regardless of whether cut-offs were exceeded. CONCLUSION: In
patients with T2DM, increasing basal insulin doses above 0.5 IU/kg may not
improve glycaemic control; treatment strategies targeting postprandial glucose
control should be considered for such patients.
PMID- 26566715
TI - Stainless steel surface functionalization for immobilization of antibody
fragments for cardiovascular applications.
AB - Stainless steel 316 L material is commonly used for the production of coronary
and peripheral vessel stents. Effective biofunctionalization is a key to
improving the performance and safety of the stents after implantation. This paper
reports the method for the immobilization of recombinant antibody fragments
(scFv) on stainless steel 316 L to facilitate human endothelial progenitor cell
(EPC) growth and thus improve cell viability of the implanted stents for
cardiovascular applications. The modification of stent surface was conducted in
three steps. First the stent surface was coated with titania based coating to
increase the density of hydroxyl groups for successful silanization. Then
silanization with 3 aminopropyltriethoxysilane (APTS) was performed to provide
the surface with amine groups which presence was verified using FTIR, XPS, and
fluorescence microscopy. The maximum density of amine groups (4.8*10(-5)
mol/cm(2)) on the surface was reached after reaction taking place in ethanol for
1 h at 60 degrees C and 0.04M APTS. On such prepared surface the glycosylated
scFv were subsequently successfully immobilized. The influence of oxidation of
scFv glycan moieties and the temperature on scFv coating were investigated. The
fluorescence and confocal microscopy study indicated that the densest and most
uniformly coated surface with scFv was obtained at 37 degrees C after oxidation
of glycan chain. The results demonstrate that the scFv cannot be efficiently
immobilized without prior aminosilanization of the surface. The effect of the
chemical modification on the cell viability of EPC line 55.1 (HucPEC-55.1) was
performed indicating that the modifications to the 316 L stainless steel are non
toxic to EPCs.
PMID- 26566716
TI - Triploidy mosaicism (45,X/68,XX) in an infant presenting with failure to thrive.
AB - Triploid mosaicism is a rare aneuploidy syndrome characterized by growth
retardation, developmental delay, 3-4 syndactyly, microphthalmia, coloboma, cleft
lip and/or palate, genitourinary anomalies, and facial or body asymmetry. In the
present report, we describe a 3-month-old female presenting with failure to
thrive, growth retardation, and developmental delay. A chromosomal microarray
demonstrated monosomy X, but her atypical phenotype prompted further evaluation
with a chromosome analysis, which demonstrated 45,X/68,XX mixoploidy. To our
knowledge, this is the first report of a patient with this chromosome complement.
Mosaicism in chromosomal aneuploidies is likely under-recognized and may obscure
the clinical diagnosis. At a time when comparative genomic hybridization and
genome sequencing are increasingly used as diagnostic tools, this report
highlights the clinical utility of chromosome analysis when a molecular diagnosis
is not consistent with the observed phenotype.
PMID- 26566717
TI - Mitophagy is required for mitochondrial biogenesis and myogenic differentiation
of C2C12 myoblasts.
AB - Myogenesis is a crucial process governing skeletal muscle development and
homeostasis. Differentiation of primitive myoblasts into mature myotubes requires
a metabolic switch to support the increased energetic demand of contractile
muscle. Skeletal myoblasts specifically shift from a highly glycolytic state to
relying predominantly on oxidative phosphorylation (OXPHOS) upon differentiation.
We have found that this phenomenon requires dramatic remodeling of the
mitochondrial network involving both mitochondrial clearance and biogenesis.
During early myogenic differentiation, autophagy is robustly upregulated and this
coincides with DNM1L/DRP1 (dynamin 1-like)-mediated fragmentation and subsequent
removal of mitochondria via SQSTM1 (sequestosome 1)-mediated mitophagy.
Mitochondria are then repopulated via PPARGC1A/PGC-1alpha (peroxisome
proliferator-activated receptor gamma, coactivator 1 alpha)-mediated biogenesis.
Mitochondrial fusion protein OPA1 (optic atrophy 1 [autosomal dominant]) is then
briskly upregulated, resulting in the reformation of mitochondrial networks. The
final product is a myotube replete with new mitochondria. Respirometry reveals
that the constituents of these newly established mitochondrial networks are
better primed for OXPHOS and are more tightly coupled than those in myoblasts.
Additionally, we have found that suppressing autophagy with various inhibitors
during differentiation interferes with myogenic differentiation. Together these
data highlight the integral role of autophagy and mitophagy in myogenic
differentiation.
PMID- 26566718
TI - Attentional bias in adults with cannabis use disorders.
AB - There has been modest examination of attentional bias in individuals with
cannabis use disorders. Clinical implications of this work are directly relevant
to better informing extant evidence-based treatment for substance use disorders
(e.g., relapse prevention) and/or developing novel interventions. The overarching
aim of this investigation was to examine a novel attentional bias task in adults
with cannabis use disorders. Participants were comprised of 25 adults (8 women: M
age = 31, SD = 6.8; range = 22-45) with cannabis use disorders (n = 12) and
controls (n = 13) without any current (past month) psychopathology. Relative to
controls, adults with cannabis use disorders had greater attentional bias scores.
These differences were present only at the 125-ms probe time, where the cannabis
use disorders group showed greater attentional bias to cannabis cues than the
control group (adjusted p = .001, cannabis use disorders mean = 59.9, control
mean = -24.8, Cohen's d-effect size for 125 ms = 1.03). The cannabis use
disorders group also reported significantly greater perceived stress and post
task stress scores than the control group, but stress was not related to
attentional bias. This study informs understanding of the influence of cannabis
cues on visual detection and reaction time under different cue-target onset
times, as attentional bias was most prevalent under time pressure to detect the
probe.
PMID- 26566719
TI - Ofatumumab for treating chronic lymphocytic leukemia: a safety profile.
AB - INTRODUCTION: Ofatumumab, the first fully human IgG1kappa, belongs to the second
generation of the first class of anti-CD20 monoclonal antibodies. The drug used
alone and in combination with drugs having different mechanisms of action has
shown a favorable toxicity profile and significant benefit especially in
relapsed/refractory chronic lymphocytic leukemia (CLL) patients in doses up to
2000 mg. AREAS COVERED: This article reviews pharmacokinetic, clinical
application for CLL treatment, and safety profile of ofatumumab as well as
differences and similarity between ofatumumab and rituximab. Publications in
English from 2010 through October 2015 were surveyed on the MEDLINE database for
articles. Proceedings of the American Society of Hematology held during the last
5 years were also included. EXPERT OPINION: Ofatumumab more effectively than
rituximab enhanced complement-dependent cytotoxicity playing the crucial role for
its therapeutic activity. The drug is highly effective in the first-line and
salvage treatment of CLL, essentially as a part of immunochemotherapy, and
probably also as maintenance therapy. Its safety profile is very advantageous,
since adverse events are usually limited to grade 1 and 2 infusion-related
reactions, which tend to decrease throughout the treatment. Its advantage over
the other anti-CD20 monoclonal antibodies in the treatment of CLL remains to be
determined in the direct head-to-head trials.
PMID- 26566720
TI - Some Spatial Politics of Queer-Feminist Research: Personal Reflections From the
Field.
AB - This article addresses methodological issues emerging from research conducted
with Trans in the Center, an LGBT activist group in Tel Aviv, Israel. It
addresses some complex issues related to the politics and ethics of applying
queer and feminist methodology to qualitative research in a trans, queer, and
feminist community space. The focus is on two issues: the researcher's
positionality vis-a-vis the participants and selecting the appropriate
methodology in relation to the characteristics of the group under study. Such
issues demonstrate how queer and feminist principles are articulated and
interwoven in geographical-spatial research in two different dimensions: in the
research practice and methodology and in the practices and the spaces created by
the activity of the researched group itself. I conclude with insights arising
from the attempt to apply feminist and queer paradigms in both theory and
research, and I call for their integration into geographical research.
PMID- 26566721
TI - Senna alata leaves are a good source of propelargonidins.
AB - Proanthocyanidins (PA) in Senna alata leaves were investigated by thiolysis with
benzyl mercaptan, LC-MS and NMR and consisted of almost pure propelargonidins
with <6% procyanidins, had B-type linkages and a mean degree of polymerisation of
three. Epiafzelechin was the major flavan-3-ol subunit (>94%) and epicatechin a
minor constituent (6.4%) in residual PA and mainly detected as an extension unit.
PMID- 26566722
TI - Effects of Resistant Starch and Arabinoxylan on Parameters Related to Large
Intestinal and Metabolic Health in Pigs Fed Fat-Rich Diets.
AB - This study compared the effects of a resistant starch (RS)-rich, arabinoxylan
(AX)-rich, or low-DF Western-style control diet (all high-fat) on large
intestinal gene expression, adiposity, and glycemic response parameters in pigs.
Animals were slaughtered after 3 weeks of treatment. Plasma butyrate
concentration was higher following the high-DF diets, whereas plasma glucose,
insulin, and insulin resistance increased after 3 weeks irrespective of diet. The
mRNA abundance in the large intestine of genes involved in nutrient transport,
immune response, and intestinal permeability was affected by segment (cecum,
proximal, mid or distal colon) and some genes also by diet. In contrast, there
was no diet-induced effect on adipose mRNA abundance or adipocyte size. Overall,
a high level of RS or AX did not demonstrate strong beneficial effects on large
intestinal gene expression as indicators of colonic health or glycemic response
parameters when included in a high-fat diet for pigs as a model of healthy
humans.
PMID- 26566723
TI - Extraversion modulates functional connectivity hubs of resting-state brain
networks.
AB - Personality dimension extraversion describes individual differences in social
behaviour and socio-emotional functioning. The intrinsic functional connectivity
patterns of the brain are reportedly associated with extraversion. However,
whether or not extraversion is associated with functional hubs warrants
clarification. Functional hubs are involved in the rapid integration of neural
processing, and their dysfunction contributes to the development of
neuropsychiatric disorders. In this study, we employed the functional
connectivity density (FCD) method for the first time to distinguish the energy
efficient hubs associated with extraversion. The resting-state functional
magnetic resonance imaging data of 71 healthy subjects were used in the analysis.
Short-range FCD was positively correlated with extraversion in the left cuneus,
revealing a link between the local functional activity of this region and
extraversion in risk-taking. Long-range FCD was negatively correlated with
extraversion in the right superior frontal gyrus and the inferior frontal gyrus.
Seed-based resting-state functional connectivity (RSFC) analyses revealed that a
decreased long-range FCD in individuals with high extraversion scores showed a
low long-range functional connectivity pattern between the medial and
dorsolateral prefrontal cortex, middle temporal gyrus, and anterior cingulate
cortex. This result suggests that decreased RSFC patterns are responsible for
self-esteem, self-evaluation, and inhibitory behaviour system that account for
the modulation and shaping of extraversion. Overall, our results emphasize
specific brain hubs, and reveal long-range functional connections in relation to
extraversion, thereby providing a neurobiological basis of extraversion.
PMID- 26566724
TI - Cardiac remodeling in the mouse model of Marfan syndrome develops into two
distinctive phenotypes.
AB - Marfan syndrome (MFS) is a systemic disorder of connective tissue caused by
mutations in fibrillin-1. Cardiac dysfunction in MFS has not been characterized
halting the development of therapies of cardiac complication in MFS. We aimed to
study the age-dependent cardiac remodeling in the mouse model of MFS FbnC1039G+/-
mouse [Marfan heterozygous (HT) mouse] and its association with valvular
regurgitation. Marfan HT mice of 2-4 mo demonstrated a mild hypertrophic cardiac
remodeling with predominant decline of diastolic function and increased
transforming growth factor-beta canonical (p-SMAD2/3) and noncanonical (p-ERK1/2
and p-p38 MAPK) signaling and upregulation of hypertrophic markers natriuretic
peptides atrium natriuretic peptide and brain natriuretic peptide. Among older HT
mice (6-14 mo), cardiac remodeling was associated with two distinct phenotypes,
manifesting either dilated or constricted left ventricular chamber. Dilatation of
left ventricular chamber was accompanied by biochemical evidence of greater
mechanical stress, including elevated ERK1/2 and p38 MAPK phosphorylation and
higher brain natriuretic peptide expression. The aortic valve regurgitation was
registered in 20% of the constricted group and 60% of the dilated group, whereas
mitral insufficiency was observed in 40% of the constricted group and 100% of the
dilated group. Cardiac dysfunction was not associated with the increase of
interstitial fibrosis and nonmyocyte proliferation. In the mouse model fibrillin
1, haploinsufficiency results in the early onset of nonfibrotic hypertrophic
cardiac remodeling and dysfunction, independently from valvular abnormalities.
MFS heart is vulnerable to stress-induced cardiac dilatation in the face of
valvular regurgitation, and stress-activated MAPK signals represent a potential
target for cardiac management in MFS.
PMID- 26566725
TI - High-intensity training reduces intermittent hypoxia-induced ER stress and
myocardial infarct size.
AB - Chronic intermittent hypoxia (IH) is described as the major detrimental factor
leading to cardiovascular morbimortality in obstructive sleep apnea (OSA)
patients. OSA patients exhibit increased infarct size after a myocardial event,
and previous animal studies have shown that chronic IH could be the main
mechanism. Endoplasmic reticulum (ER) stress plays a major role in the
pathophysiology of cardiovascular disease. High-intensity training (HIT) exerts
beneficial effects on the cardiovascular system. Thus, we hypothesized that HIT
could prevent IH-induced ER stress and the increase in infarct size. Male Wistar
rats were exposed to 21 days of IH (21-5% fraction of inspired O2, 60-s cycle, 8
h/day) or normoxia. After 1 wk of IH alone, rats were submitted daily to both IH
and HIT (2 * 24 min, 15-30m/min). Rat hearts were either rapidly frozen to
evaluate ER stress by Western blot analysis or submitted to an ischemia
reperfusion protocol ex vivo (30 min of global ischemia/120 min of reperfusion).
IH induced cardiac proapoptotic ER stress, characterized by increased expression
of glucose-regulated protein kinase 78, phosphorylated protein kinase-like ER
kinase, activating transcription factor 4, and C/EBP homologous protein. IH
induced myocardial apoptosis was confirmed by increased expression of cleaved
caspase-3. These IH-associated proapoptotic alterations were associated with a
significant increase in infarct size (35.4 +/- 3.2% vs. 22.7 +/- 1.7% of
ventricles in IH + sedenary and normoxia + sedentary groups, respectively, P <
0.05). HIT prevented both the IH-induced proapoptotic ER stress and increased
myocardial infarct size (28.8 +/- 3.9% and 21.0 +/- 5.1% in IH + HIT and normoxia
+ HIT groups, respectively, P = 0.28). In conclusion, these findings suggest that
HIT could represent a preventive strategy to limit IH-induced myocardial ischemia
reperfusion damages in OSA patients.
PMID- 26566727
TI - TGFbeta1 regulates Scleraxis expression in primary cardiac myofibroblasts by a
Smad-independent mechanism.
AB - In cardiac wound healing following myocardial infarction (MI), relatively
inactive resident cardiac fibroblasts phenoconvert to hypersynthetic/secretory
myofibroblasts that produce large quantities of extracellular matrix (ECM) and
fibrillar collagen proteins. Our laboratory and others have identified TGFbeta1
as being a persistent stimulus in the chronic and inappropriate wound healing
phase that is marked by hypertrophic scarring and eventual stiffening of the
entire myocardium, ultimately leading to the pathogenesis of heart failure
following MI. Ski is a potent negative regulator of TGFbeta/Smad signaling with
known antifibrotic effects. Conversely, Scleraxis is a potent profibrotic basic
helix-loop-helix transcription factor that stimulates fibrillar collagen
expression. We hypothesize that TGFbeta1 induces Scleraxis expression by a novel
Smad-independent pathway. Our data support the hypothesis that Scleraxis
expression is induced by TGFbeta1 through a Smad-independent pathway in the
cardiac myofibroblast. Specifically, we demonstrate that TGFbeta1 stimulates
p42/44 (Erk1/2) kinases, which leads to increased Scleraxis expression.
Inhibition of MEK1/2 using U0126 led to a sequential temporal reduction of
phospho-p42/44 and subsequent Scleraxis expression. We also found that adenoviral
Ski expression in primary myofibroblasts caused a significant repression of
endogenous Scleraxis expression at both the mRNA and protein levels. Thus we have
identified a novel TGFbeta1-driven, Smad-independent, signaling cascade that may
play an important role in regulating the fibrotic response in activated cardiac
myofibroblasts following cardiac injury.
PMID- 26566726
TI - Endothelial PPAR-gamma provides vascular protection from IL-1beta-induced
oxidative stress.
AB - Loss of peroxisome proliferator-activated receptor (PPAR)-gamma function in the
vascular endothelium enhances atherosclerosis and NF-kappaB target gene
expression in high-fat diet-fed apolipoprotein E-deficient mice. The mechanisms
by which endothelial PPAR-gamma regulates inflammatory responses and protects
against atherosclerosis remain unclear. To assess functional interactions between
PPAR-gamma and inflammation, we used a model of IL-1beta-induced aortic
dysfunction in transgenic mice with endothelium-specific overexpression of either
wild-type (E-WT) or dominant negative PPAR-gamma (E-V290M). IL-1beta dose
dependently decreased IkappaB-alpha, increased phospho-p65, and increased
luciferase activity in the aorta of NF-kappaB-LUC transgenic mice. IL-1beta also
dose dependently reduced endothelial-dependent relaxation by ACh. The loss of ACh
responsiveness was partially improved by pretreatment of the vessels with the
PPAR-gamma agonist rosiglitazone or in E-WT. Conversely, IL-1beta-induced
endothelial dysfunction was worsened in the aorta from E-V290M mice. Although IL
1beta increased the expression of NF-kappaB target genes, NF-kappaB p65 inhibitor
did not alleviate endothelial dysfunction induced by IL-1beta. Tempol, a SOD
mimetic, partially restored ACh responsiveness in the IL-1beta-treated aorta.
Notably, tempol only modestly improved protection in the E-WT aorta but had an
increased protective effect in the E-V290M aorta compared with the aorta from
nontransgenic mice, suggesting that PPAR-gamma-mediated protection involves
antioxidant effects. IL-1beta increased ROS and decreased the phospho-endothelial
nitric oxide synthase (Ser(1177))-to-endothelial nitric oxide synthase ratio in
the nontransgenic aorta. These effects were completely abolished in the aorta
with endothelial overexpression of WT PPAR-gamma but were worsened in the aorta
with E-V290M even in the absence of IL-1beta. We conclude that PPAR-gamma
protects against IL-1beta-mediated endothelial dysfunction through a reduction of
oxidative stress responses but not by blunting IL-1beta-mediated NF-kappaB
activity.
PMID- 26566728
TI - Altered Na/Ca exchange distribution in ventricular myocytes from failing hearts.
AB - In mammalian cardiac ventricular myocytes, Ca efflux via Na/Ca exchange (NCX)
occurs predominantly at T tubules. Heart failure is associated with disrupted t
tubular structure, but its effect on t-tubular function is less clear. We
therefore investigated t-tubular NCX activity in ventricular myocytes isolated
from rat hearts ~18 wk after coronary artery ligation (CAL) or corresponding sham
operation (Sham). NCX current (INCX) and l-type Ca current (ICa) were recorded
using the whole cell, voltage-clamp technique in intact and detubulated (DT)
myocytes; intracellular free Ca concentration ([Ca]i) was monitored
simultaneously using fluo-4. INCX was activated and measured during application
of caffeine to release Ca from sarcoplasmic reticulum (SR). Whole cell INCX was
not significantly different in Sham and CAL myocytes and occurred predominantly
in the T tubules in Sham myocytes. CAL was associated with redistribution of INCX
and ICa away from the T tubules to the cell surface and an increase in t-tubular
INCX/ICa density from 0.12 in Sham to 0.30 in CAL myocytes. The decrease in t
tubular INCX in CAL myocytes was accompanied by an increase in the fraction of Ca
sequestered by SR. However, SR Ca content was not significantly different in
Sham, Sham DT, and CAL myocytes but was significantly increased by DT of CAL
myocytes. In Sham myocytes, there was hysteresis between INCX and [Ca]i, which
was absent in DT Sham but present in CAL and DT CAL myocytes. These data suggest
altered distribution of NCX in CAL myocytes.
PMID- 26566729
TI - Augmented pressor and sympathetic responses to skeletal muscle metaboreflex
activation in type 2 diabetes patients.
AB - Previous studies have reported exaggerated increases in arterial blood pressure
during exercise in type 2 diabetes (T2D) patients. However, little is known
regarding the underlying neural mechanism(s) involved. We hypothesized that T2D
patients would exhibit an augmented muscle metaboreflex activation and this
contributes to greater pressor and sympathetic responses during exercise. Mean
arterial pressure (MAP), heart rate (HR), and muscle sympathetic nerve activity
(MSNA) were measured in 16 patients with T2D (8 normotensive and 8 hypertensive)
and 10 healthy controls. Graded isolation of the muscle metaboreflex was achieved
by postexercise ischemia (PEI) following static handgrip performed at 30% and 40%
maximal voluntary contraction (MVC). A cold pressor test (CPT) was also performed
as a generalized sympathoexcitatory stimulus. Increases in MAP and MSNA during 30
and 40% MVC handgrip were augmented in T2D patients compared with controls (P <
0.05), and these differences were maintained during PEI (MAP: 30% MVC PEI: T2D,
Delta16 +/- 2 mmHg vs. controls, Delta8 +/- 1 mmHg; 40% MVC PEI: T2D, Delta26 +/-
3 mmHg vs. controls, Delta16 +/- 2 mmHg, both P < 0.05). MAP and MSNA responses
to handgrip and PEI were not different between normotensive and hypertensive T2D
patients (P > 0.05). Interestingly, MSNA responses were also greater in T2D
patients compared with controls during the CPT (P < 0.05). Collectively, these
findings indicate that muscle metaboreflex activation is augmented in T2D
patients and this contributes, in part, to augmented pressor and sympathetic
responses to exercise in this patient group. Greater CPT responses suggest that a
heightened central sympathetic reactivity may be involved.
PMID- 26566731
TI - Postconditioning of ischemic heart by intermittent ventricular pacing at the
beginning of reperfusion: novel mechanisms and potential utilities in
interventional cardiology settings.
PMID- 26566730
TI - Brief serotonin exposure initiates arteriolar inward remodeling processes in vivo
that involve transglutaminase activation and actin cytoskeleton reorganization.
AB - Inward remodeling of the resistance vasculature is strongly associated with life
threatening cardiovascular events. Previous studies have demonstrated that both
actin polymerization and the activation of transglutaminases mediate early stages
of the transition from a structurally normal vessel to an inwardly remodeled one.
Ex vivo studies further suggest that a few hours of exposure to vasoconstrictor
agonists induces inward remodeling in the absence of changes in intraluminal
pressure. Here we report that a short, 10-min, topical exposure to serotonin (5
HT) + N(omega)-nitro-l-arginine methyl ester hydrochloride (l-NAME) was
sufficient to initiate inward remodeling processes in rat cremasteric feed
arterioles (100-200 MUm lumen diameter), in vivo. Addition of the
transglutaminase inhibitor, cystamine, blocked the in vivo remodeling. We further
demonstrate that, in isolated arterioles, 5-HT + l-NAME activates
transglutaminases and modulates the phosphorylation state of cofilin, a regulator
of actin depolymerization. The 5-HT + l-NAME-induced remodeling process in
isolated arterioles was also inhibited by an inhibitor of Lim Kinase, the kinase
that phosphorylates and inactivates cofilin. Therefore, our results indicate that
a brief vasoconstriction induced by 5-HT + l-NAME is able to reduce the passive
structural diameter of arterioles through processes that are dependent on the
activation of transglutaminases and Lim kinase, and the subsequent
phosphorylation of cofilin.
PMID- 26566732
TI - The course of social cognitive and metacognitive ability in depression: Deficit
are only partially normalized after full remission of first episode major
depression.
AB - OBJECTIVES: Research has suggested that patients suffering from major depressive
disorder (MDD) experience deficits in the related domains of social cognition and
metacognition. Most research has focused on detecting deficits among persons who
are acutely symptomatic. Thus, little is known about whether these deficits
persist after symptoms have remitted. As a first, this study investigated social
cognitive and metacognitive deficits in patients with MDD in the acute and
remitted state. DESIGN: Longitudinal case-control. METHODS: Forty-four drug-naive
depressed patients and an equal number of matched healthy controls were assessed
in multiple domains of social cognition including theory of mind, social
perception, and metacognition. Additionally, a comprehensive neurocognitive (non
social) test battery was utilized. Following baseline assessment, patients were
enrolled in an outpatient treatment programme. Patients reaching remission within
6 months (n = 29) were reassessed 6 months post-remission. Included for analysis
were only patients who followed a course of remission (n = 29) and their paired
healthy controls (n = 29). RESULTS: Analyses of variance revealed that remitted
patients performed significantly better at retest than at baseline on nearly all
measures. These effects withstood adjusting for test-retest effects. Moreover,
remitted patients performed up to level of healthy controls on some but not all
social cognitive tasks and metacognition at retest. CONCLUSIONS: Overall, results
suggests that social cognitive and metacognitive ability may improve with symptom
remission in major depression although it may not reach a level equal to persons
who have never experienced depression. PRACTITIONER POINTS: Many with first
episode depression experience deficits in social cognition. When first-episode
depression remits, social cognition may improve. After remission, first-episode
depressed patients may still experience deficits in social cognition. We have yet
to determine whether social cognitive deficits existed prior to the onset of
depression. Longer-term longitudinal studies of social cognition in depression
are needed. Treatment was pharmacological and non-manualized psychotherapy, the
effects of which were not controlled for. Sample sizes were modest, which must
caution against generalization of the results.
PMID- 26566733
TI - Reflections on the contribution of the Assisting Hand Assessment.
PMID- 26566734
TI - Approaches to the stabilization of bioactive epitopes by grafting and peptide
cyclization.
AB - Peptides are attracting increasing interest from the pharmaceutical industry
because of their specificity and ability to address novel targets, including
protein-protein interactions. However, typically they require stabilization for
therapeutic applications owing to their susceptibility to degradation by
proteases. Advances in the ability to chemically synthesize peptides and the
development of new side-chain and backbone ligation strategies provide new tools
to stabilize bioactive peptide epitopes. Two such epitopes are LyP1, a nine
residue peptide that localizes to tumor cells and has potential as an anticancer
therapeutic, and RGDS, a tetrapeptide shown to bind to survivin and induce
apoptosis. Here we applied a variety of strategies for the stabilization of LyP1
and RGDS, including side-chain cyclization using "click" chemistry and "grafting"
the epitopes into two naturally occurring cyclic peptide scaffolds, i.e., theta
defensins and cyclotides. NMR data showed that the three-disulfide theta-defensin
and cyclotide scaffolds accommodated the LyP1 and RGDS epitopes but that
scaffolds with fewer disulfide bonds were structurally compromised by inclusion
of the LyP1 epitope. LyP1, LyP1-, and RGDS-grafted peptides that were largely
unstructured also had reduced resistance to degradation in human serum, showing
that grafting into a stable cyclic scaffold is an effective strategy for
increasing the stability of a bioactive peptide epitope. Overall, the study
demonstrates several methods for stabilizing peptide epitopes using side-chain or
backbone cyclization and illustrates their potential in peptide drug design.
PMID- 26566735
TI - Skin diseases among internally displaced Tawerghans living in camps in Benghazi,
Libya.
AB - BACKGROUND: Benghazi has received many internally displaced persons (IDPs) from
other Libyan cities as a result of the armed conflict in Libya. These groups have
significant health problems associated with their displacement, including skin
diseases. OBJECTIVES: This study aimed to determine the spectrum and frequency of
skin diseases among people living in IDP camps in Benghazi. METHODS: A total of
480 IDP camp residents with complaints of skin diseases were studied over a
period of 6 months. RESULTS: All subjects were ethnic Tawerghans; about three
quarters were female and half were adults. The disease types found to occur at
the highest frequencies were skin infections (40.0%), followed by xerosis
(31.3%), eczema (18.3%), acne (17.0%), hair-related diseases (6.7%), and
psychosomatic diseases (3.0%). CONCLUSIONS: People who are resident in IDP camps
have skin problems similar to those of other populations in similar
circumstances. They have increased vulnerability to infections, environment
associated disorders such as xerosis cutis and eczema, and diseases of
psychosomatic origin.
PMID- 26566736
TI - Who can escape the natural number bias in rational number tasks? A study
involving students and experts.
AB - Many learners have difficulties with rational number tasks because they
persistently rely on their natural number knowledge, which is not always
applicable. Studies show that such a natural number bias can mislead not only
children but also educated adults. It is still unclear whether and under what
conditions mathematical expertise enables people to be completely unaffected by
such a bias on tasks in which people with less expertise are clearly biased. We
compared the performance of eighth-grade students and expert mathematicians on
the same set of algebraic expression problems that addressed the effect of
arithmetic operations (multiplication and division). Using accuracy and response
time measures, we found clear evidence for a natural number bias in students but
no traces of a bias in experts. The data suggested that whereas students based
their answers on their intuitions about natural numbers, expert mathematicians
relied on their skilled intuitions about algebraic expressions. We conclude that
it is possible for experts to be unaffected by the natural number bias on
rational number tasks when they use strategies that do not involve natural
numbers.
PMID- 26566737
TI - First human liver transplantation using a marginal allograft resuscitated by
normothermic machine perfusion.
PMID- 26566738
TI - Heavy metals and hand dermatitis: analysis of data in the US National Health and
Nutrition Examination Survey.
PMID- 26566739
TI - Elimination of porcine endogenous retroviruses from pig cells.
PMID- 26566740
TI - Swallowing performance and tube feeding status in patients treated with parotid
sparing intensity-modulated radiotherapy for head and neck cancer.
AB - BACKGROUND: The purpose of this prospective study was to evaluate the swallowing
performance of patients with head and neck cancer treated with parotid-sparing
intensity-modulated radiotherapy (IMRT). METHODS: Sixty-two patients were
recruited. Data were collected before and up to 12 months after treatment.
Measures included the Performance Status Scale for head and neck cancer (PSS-HN
Normalcy of Diet and Eating in Public subscales), tube feeding status, and 100 mL
water swallow test (WST) volume and capacity scores. RESULTS: There was a
significant reduction in PSS-HN and WST scores from baseline to 3 months (p <
.001). Significant improvements were observed up to 12 months on the PSS-HN.
Swallowing volume and capacity scores recovered but did not reach statistical
significance. Tube feeding was not required in 47% of the patients. CONCLUSION:
IMRT significantly impacts on swallowing performance, although there is a trend
for improvement up to 12 months after treatment. Our data support a case-by-case
approach to tube feeding. (c) 2015 Wiley Periodicals, Inc. Head Neck 38: E1436
E1444, 2016.
PMID- 26566741
TI - Malaria: bold decisions needed.
PMID- 26566742
TI - Urban health in India: many challenges, few solutions.
PMID- 26566743
TI - Undernutrition and pneumonia mortality.
PMID- 26566744
TI - Skilled doctors in tertiary hospitals are already overworked in China.
PMID- 26566745
TI - Skilled doctors in tertiary hospitals are already overworked in China--Authors'
reply.
PMID- 26566746
TI - The evidence needed to make surgery a global health priority.
PMID- 26566747
TI - Iran deal and global health diplomacy.
PMID- 26566748
TI - Age-specific and sex-specific adult mortality risk in India in 2014: analysis of
0.27 million nationally surveyed deaths and demographic estimates from 597
districts.
AB - BACKGROUND: As child mortality decreases rapidly worldwide, premature adult
mortality is becoming an increasingly important contributor to global mortality.
Any possible worldwide reduction of premature adult mortality before the age of
70 years will depend on progress in India. Indian districts increasingly have
responsibility for implementing public health programmes. We aimed to assess age
specific and sex-specific adult mortality risks in India at the district level.
METHODS: We analysed data from five national surveys of 0.27 million adult deaths
at an age of 15-69 years together with 2014 demographic data to estimate age
specific and sex-specific adult mortality risks for 597 districts. Cause of death
data were drawn from the verbal autopsies in the Registrar General of India's
ongoing Million Death Study. FINDINGS: In 2014, about two-fifths of India's men
aged 15-69 years lived in the 253 districts where the conditional probability of
a man dying at these ages exceeded 50%, and more than a third of India's women
aged 15-69 years lived in the 222 districts where the conditional probability of
a woman dying exceeded 40%. The probabilities of a man or woman dying by the age
of 70 years in high-mortality districts was 62% and 54%, respectively, whereas
the probability of a man or woman dying by the age of 70 years in low-mortality
districts was 40% and 30%, respectively. The roughly 10-year survival gap between
high-mortality and low-mortality districts was nearly as extreme as the survival
gap between the entire Indian population and people living in high-income
countries. Adult mortality risks at ages 15-69 years was highest in east India
and lowest in west India, by contrast with the north-south divide for child
mortality. Vascular disease, tuberculosis, malaria and other infections, and
respiratory diseases accounted for about 60% of the absolute gap in adult
mortality risk at ages 15-69 years between high-mortality and low-mortality
districts. Most of the variation in adult mortality could not be explained by
known determinants or risk factors for premature mortality. INTERPRETATION:
India's large variation in adult mortality by district, notably the higher death
rates in eastern India, requires further aetiological research, particularly to
explore whether high levels of adult mortality risks from infections and non
communicable diseases are a result of historical childhood malnutrition and
infection. Such research can be complemented by an expanded coverage of known
effective interventions to reduce adult mortality, especially in high-mortality
districts. FUNDING: National Institutes of Health, Canadian Institutes of Health
Research, University of Toronto.
PMID- 26566749
TI - Symptoms and medical conditions in 204 912 patients visiting primary health-care
practitioners in India: a 1-day point prevalence study (the POSEIDON study).
AB - BACKGROUND: India has one of the highest disease burdens in the world. A better
understanding of what ails India will help policy makers plan appropriate health
care services and infrastructure development, design medical education curricula,
and identify health research priorities that are relevant to the needs of the
country. The POSEIDON study aimed to record the prevalence of symptoms and
medical conditions for which patients visit a primary health-care practitioner in
India. METHODS: We randomly selected 12 000 general practitioners, general
physicians, and paediatricians from 880 cities and towns and invited them to
record demographic details, symptoms, and medical conditions for every patient
they saw on Feb 1, 2011. A further 1225 practitioners volunteered to participate
and their responses were included. We did simple descriptive analyses of
prevalence rates and used chi(2) tests to study comorbid associations. Through
application of systems biology methods, we visualised inter-relations between
organ involvement of diseases and symptoms and deciphered how these associations
change with age and gender. FINDINGS: We included responses from 7400 health-care
practitioners, which represented data for 204 912 patients, who presented with
554 146 reasons for visit. Fever (35.5%) was the most common presenting symptom.
More than half of all patients presented with respiratory symptoms across all age
groups and regions of India. Other common presentations were digestive system
symptoms (25%), circulatory symptoms (12.5%), skin complaints (9%), and endocrine
disorders (6.6%). Hypertension (14.52%), obstructive airways diseases (14.51%),
and upper respiratory tract infections (12.9%) were the most common diagnoses
reported. Of note was that 21.4% of all patients with hypertension reported by
the primary health-care practitioners were younger than 40 years. Anaemia was the
fourth most common disease reported by these health-care practitioners and was
most common in women of menstrual age living outside metro cities.
INTERPRETATION: The POSEIDON study provides insight into the reasons that
patients visit primary health-care practitioners in India; our results highlight
important social and medical challenges in the developing world. FUNDING: Chest
Research Foundation, Council of Scientific and Industrial Research-Institute of
Genomics and Integrated Biology (CSIR-IGIB), and Cipla Ltd.
PMID- 26566750
TI - Cost-effectiveness of haemodialysis and peritoneal dialysis for patients with end
stage renal disease in Singapore.
AB - AIM: This study aimed to evaluate the cost-effectiveness of haemodialysis (HD),
continuous ambulatory peritoneal dialysis (CAPD) and automated peritoneal
dialysis (APD) for patients with end-stage renal disease (ESRD) in Singapore.
METHODS: A Markov model was developed to examine the incremental cost
effectiveness ratios (ICERs) of HD, CAPD and APD over the 10-year time horizon
from the societal perspective, using clinical data from an observational study
and the national renal registry, utilities from published studies and costs from
dialysis services providers. The base-case analysis was for a hypothetical cohort
of 60-year-old non-diabetic ESRD patients. A high-risk group of 60-year-old
diabetic ESRD patients was also studied. RESULTS: In the base-case analysis, the
quality-adjusted life-years (QALYs) were 3.27 with CAPD, 3.48 with APD and 4.69
with HD. The total costs were Singapore dollar $169 872 for CAPD, $201 509 for
APD and $306 827 for HD. CAPD and HD had extended dominance over APD. The ICER of
HD versus CAPD was $96 447 (US$69 121) per QALY. One-way sensitivity analyses
indicated that the results were most sensitive to the utility of HD.
Probabilistic sensitivity analyses demonstrated that CAPD had the maximum
probability of being cost-effective among treatments under evaluation at a
willingness-to-pay (WTP) threshold of $60 000 (US$43 000) per QALY. The high-risk
group analyses showed similar results. The ICER of HD versus CAPD was $106 281
(US$76 168) per QALY and the probability of CAPD being optimal was the highest
using the same WTP threshold. CONCLUSIONS: Our analysis suggested that starting
dialysis with CAPD is most cost-effective for ESRD patients in Singapore.
PMID- 26566751
TI - 3-hydroxy-3-methyl glutaryl coenzyme A reductase: an essential actor in the
biosynthesis of cantharidin in the blister beetle Epicauta chinensis Laporte.
AB - Cantharidin (C(10)H(12)O(4)) is a monoterpene defensive toxin in insects involved
in chemical defence as well as in courtship and mating behaviours. It is
relatively well known in the medical literature because of its high anticancer
activity and as an effective therapy for molluscum contagiosum. However, little
is known about its biosynthesis pathway in vivo, and no enzyme involved in
cantharidin biosynthesis has been identified. The purpose of this study was to
identify the crucial enzyme that is involved in the biosynthesis of cantharidin.
Using the homology cloning method, a 3-hydroxy-3-methyl glutaryl coenzyme A
reductase (HMGR) gene, the rate-limiting enzyme in the mevalonate pathway, was
cloned from the blister beetle Epicauta chinensis. Quantitative reverse
transcription PCR and gas chromatography methods revealed that the HMGR
transcripts had a positive correlation with cantharidin production in the beetles
(R = 0.891). RNA interference (RNAi) knockdown of HMGR mRNA expression was
achieved by microinjection of a specific double-stranded RNA with more than 90%
RNAi efficiency, and an apparent decrease of cantharidin production was observed.
Furthermore, the HMGR mRNA was greatly upregulated by exogenous juvenile hormone
III (JH III), and cantharidin production was also raised in males; however, when
injecting the JH III with RNAi of HMGR mRNA at the same time, cantharidin
production did not rise. These results demonstrate that HMGR is an essential
enzyme in cantharidin biosynthesis in the blister beetle E. chinensis, which
further verifies previous research results demonstrating that cantharidin is
synthesized de novo by the mevalonate pathway in blister beetles.
PMID- 26566752
TI - Predicting protein folding rate change upon point mutation using residue-level
coevolutionary information.
AB - Change in folding kinetics of globular proteins upon point mutation is crucial to
a wide spectrum of biological research, such as protein misfolding, toxicity, and
aggregations. Here we seek to address whether residue-level coevolutionary
information of globular proteins can be informative to folding rate changes upon
point mutations. Generating residue-level coevolutionary networks of globular
proteins, we analyze three parameters: relative coevolution order (rCEO), network
density (ND), and characteristic path length (CPL). A point mutation is
considered to be equivalent to a node deletion of this network and respective
percentage changes in rCEO, ND, CPL are found linearly correlated (0.84, 0.73,
and -0.61, respectively) with experimental folding rate changes. The three
parameters predict the folding rate change upon a point mutation with 0.031,
0.045, and 0.059 standard errors, respectively.
PMID- 26566753
TI - Astrocyte sodium signaling and the regulation of neurotransmission.
AB - The transmembrane Na(+) concentration gradient is an important source of energy
required not only to enable the generation of action potentials in excitable
cells, but also for various transmembrane transporters both in excitable and non
excitable cells, like astrocytes. One of the vital functions of astrocytes in the
central nervous system (CNS) is to regulate neurotransmitter concentrations in
the extracellular space. Most neurotransmitters in the CNS are removed from the
extracellular space by Na(+) -dependent neurotransmitter transporters (NeuTs)
expressed both in neurons and astrocytes. Neuronal NeuTs control mainly phasic
synaptic transmission, i.e., synaptically induced transient postsynaptic
potentials, while astrocytic NeuTs contribute to the termination of phasic
neurotransmission and modulate the tonic tone, i.e., the long-lasting activation
of extrasynaptic receptors by neurotransmitter that has diffused out of the
synaptic cleft. Consequently, local intracellular Na(+) ([Na(+) ]i ) transients
occurring in astrocytes, for example via the activation of ionotropic
neurotransmitter receptors, can affect the driving force for neurotransmitter
uptake, in turn modulating the spatio-temporal profiles of neurotransmitter
levels in the extracellular space. As some NeuTs are close to thermodynamic
equilibrium under resting conditions, an increase in astrocytic [Na(+) ]i can
stimulate the direct release of neurotransmitter via NeuT reversal. In this
review we discuss the role of astrocytic [Na(+) ]i changes in the regulation of
uptake/release of neurotransmitters. It is emphasized that an activation of one
neurotransmitter system, including either its ionotropic receptor or Na(+)
coupled co-transporter, can strongly influence, or even reverse, other Na(+)
dependent NeuTs, with potentially significant consequences for neuronal
communication. GLIA 2016;64:1655-1666.
PMID- 26566754
TI - A randomised controlled trial of peri-operative pregabalin vs. placebo for video
assisted thoracoscopic surgery.
AB - We allocated 52 participants to oral pregabalin 300 mg and 48 participants to
placebo tablets before thoracoscopic surgery and for five postoperative days. The
median (IQR [range]) cumulative pain scores at rest for nine postoperative months
were 184 (94-274 [51-1454]) after pregabalin and 166 (66-266 [48-1628]) after
placebo, p = 0.39. The corresponding scores on deep breathing were 468 (281-655
[87-2870]) and 347 (133-561 [52-3666]), respectively, p = 0.16. After three
postoperative months, 29/100 participants had persistent surgical site pain,
19/52 after pregabalin and 10/48 after placebo, p = 0.12, of whom four and five,
respectively, attended a pain management clinic, p = 0.24. The median (IQR
[range]) morphine equivalent consumption six days after surgery was 273 (128-619
[39-2243]) mg after pregabalin and 319 (190-663 [47-2258]) mg after placebo, p =
0.35.
PMID- 26566755
TI - Peculiarities and pitfalls of quantifying mitochondrial energy metabolism in the
skin.
PMID- 26566756
TI - Spatial co-occurrence of hemangioma and epidermal nevus.
PMID- 26566757
TI - Blocking mammalian target of rapamycin alleviates bone cancer pain and morphine
tolerance via u-opioid receptor.
AB - The current study was to examine the underlying mechanisms responsible for the
role of mammalian target of rapamycin (mTOR) in regulating bone cancer-evoked
pain and the tolerance of systemic morphine. Breast sarcocarcinoma Walker 256
cells were implanted into the tibia bone cavity of rats and this evoked
significant mechanical and thermal hyperalgesia. Our results showed that the
protein expression of p-mTOR, mTOR-mediated phosphorylation of 4E-binding protein
4 (4E-BP1), p70 ribosomal S6 protein kinase 1 (S6K1) as well as
phosphatidylinositide 3-kinase (p-PI3K) pathways were amplified in the
superficial dorsal horn of the spinal cord of bone cancer rats compared with
control rats. Blocking spinal mTOR by using rapamycin significantly attenuated
activities of PI3K signaling pathways as well as mechanical and thermal
hyperalgesia. Additionally, rapamycin enhanced attenuations of protein kinase CE
(PKCE)/protein kinase A (PKA) induced by morphine and further extended analgesia
of morphine via u-opioid receptor (MOR). Our data for the first time revealed
specific signaling pathways leading to bone cancer pain, including the activation
of mTOR and PI3K and downstream PKCE/PKA, and resultant sensitization of MOR.
Targeting one or more of these signaling molecules may present new opportunities
for treatment and management of bone cancer pain often observed in clinics.
PMID- 26566758
TI - A novel regulatory function for miR-29a in keloid fibrogenesis.
AB - BACKGROUND: A growing body of evidence has shown that microRNA-29 (miR-29) plays
a central role in the progression of fibrosis. However, the mechanisms underlying
the role of miR-29 in keloid fibrogenesis remain unknown. AIM: To investigate the
roles of miR-29 in dermal fibroblasts in the pathogenesis of keloids. METHODS:
Primary fibroblasts from 9 patients with keloid and 6 healthy controls (HCs) were
cultured and pretreated with transforming growth factor (TGF)-beta1. Next,
fibroblasts were transfected with precursor miRNA and anti-miR-29a miRNA. TGF
beta1-associated miR-29 alterations were investigated by quantitative real-time
PCR. Collagen I and collagen III protein levels were analysed by western
blotting. RESULTS: miR-29a, miR-29b and miR-29c levels were significantly lower
in keloid compared with healthy fibroblasts (P < 0.05), and in particular, miR
29a was especially markedly reduced (P < 0.001). Type I and type III collagen
mRNA and protein levels were decreased in keloid fibroblasts transfected with pre
miR-29a (P < 0.05), whereas knockdown with anti-miR-29a increased type I and type
III collagen mRNA and protein expression (P < 0.05) in the fibroblasts.
Interestingly, pretreatment of fibroblasts with TGF-beta1 significantly decreased
miR-29a (P < 0.05), whereas miR-29b and miR-29c were reduced to a lesser extent,
which was not significant. CONCLUSIONS: These findings show that miR-29a exerts
as a novel regulator in the fibrogenesis of keloid, suggesting that miR-29a might
be a novel marker for keloid.
PMID- 26566759
TI - Antegrade embolization of spontaneous splenorenal shunt for post-transjugular
intrahepatic portosystemic shunt refractory hepatic encephalopathy.
PMID- 26566760
TI - Craniosynostosis in 10q26 deletion patients: A consequence of brain
underdevelopment or altered suture biology?
AB - Approximately a hundred patients with terminal 10q deletions have been described.
They present with a wide range of clinical features always accompanied by delayed
development, intellectual disability and craniofacial dysmorphisms. Here, we
report a girl and a boy with craniosynostosis, developmental delay and other
congenital anomalies. Karyotyping and molecular analysis including Multiplex
Ligation dependent probe amplification (MLPA) and Array Comparative Genomic
Hybridization (aCGH) were performed in both patients. We detected a 13.1 Mb pure
deletion at 10q26.12-q26.3 in the girl and a 10.9 Mb pure deletion at 10q26.13
q26.3 in the boy, both encompassing about 100 genes. The clinical and molecular
findings in these patients reinforce the importance of the DOCK1 smallest region
of overlap I (SRO I), previously suggested to explain the clinical signs, and
together with a review of the literature suggest a second 3.5 Mb region important
for the phenotype (SRO II). Genotype-phenotype correlations and literature data
suggest that the craniosynostosis is not directly related to dysregulated
signaling in suture development, but may be secondary to alterations in brain
development instead. Further, genes at 10q26 may be involved in the molecular
crosstalk between brain and cranial vault.
PMID- 26566761
TI - Policies related to opioid agonist therapy for opioid use disorders: The
evolution of state policies from 2004 to 2013.
AB - BACKGROUND: State Medicaid policies play an important role in Medicaid enrollees'
access to and use of opioid agonists, such as methadone and buprenorphine, in the
treatment of opioid use disorders. Little information is available, however,
regarding the evolution of state policies facilitating or hindering access to
opioid agonists among Medicaid enrollees. METHODS: During 2013-2014, we surveyed
state Medicaid officials and other designated state substance abuse treatment
specialists about their state's recent history of Medicaid coverage and policies
pertaining to methadone and buprenorphine. We describe the evolution of such
coverage and policies and present an overview of the Medicaid policy environment
with respect to opioid agonist therapy from 2004 to 2013. RESULTS: Among our
sample of 45 states with information on buprenorphine and methadone coverage, we
found a gradual trend toward adoption of coverage for opioid agonist therapies in
state Medicaid agencies. In 2013, only 11% of states in our sample (n = 5) had
Medicaid policies that excluded coverage for methadone and buprenorphine, whereas
71% (n = 32) had adopted or maintained policies to cover both buprenorphine and
methadone among Medicaid enrollees. We also noted an increase in policies over
the time period that may have hindered access to buprenorphine and/or methadone.
CONCLUSIONS: There appears to be a trend for states to enact policies increasing
Medicaid coverage of opioid agonist therapies, while in recent years also
enacting policies, such as prior authorization requirements, that potentially
serve as barriers to opioid agonist therapy utilization. Greater empirical
information about the potential benefits and potential unintended consequences of
such policies can provide policymakers and others with a more informed
understanding of their policy decisions.
PMID- 26566762
TI - ZnO nanoparticles assist the refolding of denatured green fluorescent protein.
AB - Proteins are essential for cellular and biological processes. Proteins are
synthesized and fold into the native structure to become active. The inability of
a protein molecule to remain in its native conformation is called as protein
misfolding, and this is due to several environmental factors. Protein misfolding
and aggregation handle several human diseases. Protein misfolding is believed to
be one of the causes of several disorders such as cancer, degenerative diseases,
and metabolic pathologies. The zinc oxide (ZnO) nanoparticle was significantly
promoted refolding of thermally denatured green fluorescent protein (GFP). In the
present study, ZnO nanoparticles interaction with GFP was investigated by
ultraviolet-visible spectrophotometer, fluorescence spectrophotometer, and
dynamic light scattering. Results suggest that the ZnO nanoparticles
significantly assist the refolding of denatured GFP.
PMID- 26566763
TI - Neurodevelopmental and immunological features in a child presenting 22q13.2
microdeletion.
PMID- 26566765
TI - Treatment Outcomes and Risk Factors for Recurrence After Definitive Surgery of
Locally Invasive Well-Differentiated Papillary Thyroid Carcinoma.
AB - BACKGROUND: Papillary thyroid carcinoma (PTC) is generally an indolent tumor that
has a favorable prognosis. However, locally invasive PTC can recur after
treatment, and its optimal treatment is still controversial. This study aimed to
evaluate treatment outcomes and identify risk factors for recurrence and survival
in patients with locally invasive PTC. MATERIALS AND METHODS: All consecutive
patients who underwent definitive surgery and radioactive iodine therapy for non
distant metastatic invasive PTC were included. Clinical factors, operative and
pathological findings, surgical morbidity, and recurrences were recorded.
Univariate and multivariate Cox proportional hazard models served to identify
factors associated with recurrence-free survival (RFS) and overall survival.
RESULTS: Of the 96 patients, 74 (77%), 52 (55%), 4 (4%), and 14 (15%) had
invasion to the recurrent laryngeal nerve (RLN), trachea, larynx, and esophagus,
respectively; 39 (41%) had preoperative vocal cord paresis or paralysis; and 24
(25%) developed recurrence during follow-up (median 77 months). The patients with
single and multiple organ invasion did not differ significantly in terms of
recurrence-free or overall survival (p > 0.05). The patients with and without
recurrences did not differ in terms of surgical extent and involving nerve
preservation. Multivariate analysis showed that high (>=1 ng/mL) post-ablation
stimulated serum thyroglobulin concentration was an independent predictor of poor
RFS (p = 0.013). CONCLUSION: Disease extent, surgical extent, and involving nerve
preservation did not associate with recurrence or overall survival outcomes. The
post-ablation stimulated thyroglobulin level may be an independent predictor for
recurrence. Careful follow-up of patients with this risk factor is recommended.
PMID- 26566764
TI - Disruption of microtubules in plants suppresses macroautophagy and triggers
starch excess-associated chloroplast autophagy.
AB - Microtubules, the major components of cytoskeleton, are involved in various
fundamental biological processes in plants. Recent studies in mammalian cells
have revealed the importance of microtubule cytoskeleton in autophagy. However,
little is known about the roles of microtubules in plant autophagy. Here, we
found that ATG6 interacts with TUB8/beta-tubulin 8 and colocalizes with
microtubules in Nicotiana benthamiana. Disruption of microtubules by either
silencing of tubulin genes or treatment with microtubule-depolymerizing agents in
N. benthamiana reduces autophagosome formation during upregulation of nocturnal
or oxidation-induced macroautophagy. Furthermore, a blockage of leaf starch
degradation occurred in microtubule-disrupted cells and triggered a distinct ATG6
, ATG5- and ATG7-independent autophagic pathway termed starch excess-associated
chloroplast autophagy (SEX chlorophagy) for clearance of dysfunctional
chloroplasts. Our findings reveal that an intact microtubule network is important
for efficient macroautophagy and leaf starch degradation.
PMID- 26566766
TI - Comparison of Face-to-Face and Web Surveys on the Topic of Homosexual Rights.
AB - Although academic research on homosexuality relies heavily on survey data, there
has been limited study of the survey method of asking relevant questions. This
study examines the effect of survey mode on responses to questions about
homosexual rights. We find significant mode effects among heterosexual
respondents, who are more likely to support equal access to employment, military
service, adoption, and marriage for homosexual people in face-to-face surveys
than in Web surveys. They are also more likely to choose to not respond when face
to-face than online. Homosexual respondents do not show mode effects for either
substantive responses or item nonresponse rate.
PMID- 26566767
TI - The Prognostic Importance of Frailty in Cancer Survivors.
AB - OBJECTIVES: To quantify the prognostic importance of prefrailty and frailty in a
population-based sample of cancer survivors. DESIGN: The Third National Health
and Nutrition Examination Survey mortality-linked prospective cohort study.
SETTING: Eighty-nine survey locations across the United States. PARTICIPANTS:
Population-based sample of older adults (average age 72.2) with a self-reported
diagnosis of non-skin-related cancer (N = 416). MEASUREMENTS: The primary outcome
was all-cause mortality. Frailty components included low weight for height, slow
walking, weakness, exhaustion, and low physical activity. Participants with none
of the five criteria were classified as nonfrail, those with one or two as
prefrail, and those with three or more as frail. RESULTS: The prevalence of
prefrailty was 37.3% and of frailty was 9.1%. During a median follow-up of 11.2
years, 319 (76.7%) participants died. Median survival was 13.9 years for
participants classified as nonfrail, 9.5 years for those classified as prefrail,
and 2.5 years for those classified as frail. Cancer survivors classified as
prefrail (hazard ratio (HR) = 1.84, 95% confidence interval (CI) = 1.28-2.65, P =
.001) or frail (HR = 2.79, 95% CI = 1.34-5.81, P = .006) had a higher risk of
premature mortality than those classified as nonfrail. CONCLUSION: Prefrailty and
frailty are prevalent clinical syndromes that may confer greater risk of
premature mortality in older adult cancer survivors. Identifying frail cancer
survivors and targeting interventions for them may be a strategy to improve
survival after cancer.
PMID- 26566768
TI - Profiles of Adaptation Among Child Victims of Suspected Maltreatment.
AB - This research seeks to identify profiles of adaptation among child victims of
suspected maltreatment using a social-ecological framework. Data were drawn from
the LONGSCAN multisite longitudinal study. Participants were 597 12-year-old
children of diverse backgrounds (57% girls) with at least one Child Protective
Services report of suspected maltreatment (M = 3.4 reports). Self-, caregiver-,
and teacher-reports were collected to assess child competence, psychological and
behavioral problems, and family and neighborhood characteristics. Latent Profile
Analysis was used to classify individuals into empirically derived groups. The
best-fitting model yielded five distinct profiles: consistent resilience;
consistent maladaptation; posttraumatic stress problems; school maladaptation,
family protection; and low socialization skills. Findings underscore the
heterogeneity of child adaptation and reveal unique profiles of adaptation and
contextual protection. Within-person variation in functioning suggests the need
for comprehensive assessment across domains and contexts to address the clinical
needs of maltreated youth.
PMID- 26566769
TI - A review of strategies to prevent mother-to-infant transmission of hepatitis B
virus infection.
AB - Hepatitis B virus (HBV) infection causes long-term, life-threatening liver
diseases worldwide. HBV is transmitted through either the horizontal or mother-to
infant route, which is the major route of transmission in endemic areas.
Administration of hepatitis B immunoglobulin and hepatitis B vaccine to newborns
of infected mothers prevents mother-to-infant transmission. Implementation of a
universal hepatitis B vaccination program has proven successful in eliminating
the infection and related complications. Nevertheless, efforts are still needed
to improve global coverage of the hepatitis B vaccine. Infants born to highly
viremic mothers are still at risk of infection despite current immunoprophylaxis.
An increasing number of reports have shown promising efficacy and safety profiles
with the use of nucleoside/nucleotide analogues in highly viremic pregnant women
to prevent mother-to-infant transmission.
PMID- 26566770
TI - Iron status determination in pregnancy using the Thomas plot.
AB - INTRODUCTION: Physiological changes during pregnancy affect routine tests for
iron deficiency. The reticulocyte haemoglobin equivalent (RET-He) and serum
soluble transferrin receptor (sTfR) assay are newer diagnostic parameters for the
detection of iron deficiency, combined in the Thomas diagnostic plot. We used
this plot to determine the iron status of pregnant women presenting for their
first visit to an antenatal clinic in Bloemfontein, South Africa. METHODS:
Routine laboratory tests (serum ferritin, full blood count and C-reactive
protein) and RET-He and sTfR were performed. The iron status was determined using
the Thomas plot. RESULTS: For this study, 103 pregnant women were recruited.
According to the Thomas plot, 72.8% of the participants had normal iron stores
and erythropoiesis. Iron-deficient erythropoiesis was detected in 12.6%. A third
of participants were anaemic. Serum ferritin showed excellent sensitivity but
poor specificity for detecting depleted iron stores. HIV status had no influence
on the iron status of the participants. CONCLUSION: Our findings reiterate that
causes other than iron deficiency should be considered in anaemic individuals.
When compared with the Thomas plot, a low serum ferritin is a sensitive but
nonspecific indicator of iron deficiency. The Thomas plot may provide useful
information to identify pregnant individuals in whom haematologic parameters
indicate limited iron availability for erythropoiesis.
PMID- 26566771
TI - Risk factors for opioid overdose and awareness of overdose risk among veterans
prescribed chronic opioids for addiction or pain.
AB - Rising overdose fatalities among U.S. veterans suggest veterans taking
prescription opioids may be at risk for overdose. However, it is unclear whether
veterans prescribed chronic opioids are aware of this risk. The objective of this
study was to identify risk factors and determine awareness of risk for opioid
overdose in veterans treated with opioids for chronic pain, using veterans
treated with methadone or buprenorphine for opioid use disorder as a high-risk
comparator group. In the current study, 90 veterans on chronic opioid medication,
for either opioid use disorder or pain management, completed a questionnaire
assessing risk factors, knowledge, and self-estimate of risk for overdose. Nearly
all veterans in both groups had multiple overdose risk factors, although
individuals in the pain management group had on average a significantly lower
total number of risk factors than did individuals in the opioid use disorder
group (5.9 versus 8.5, p < .0001). On average, participants treated for pain
management scored slightly but significantly lower on knowledge of opioid
overdose risk factors (12.1 versus 13.5, p < .01). About 70% of participants,
regardless of group, believed their overdose risk was below that of the average
American adult. There was no significant relationship between self-estimate of
overdose risk and either number or knowledge of opioid overdose risk factors. Our
results suggest that veterans in both groups underestimated their risk for opioid
overdose. Expansion of overdose education to include individuals on chronic
opioids for pain management and a shift in educational approaches to overdose
prevention may be indicated.
PMID- 26566772
TI - Development of waist circumference percentiles for Japanese children and an
examination of their screening utility for childhood metabolic syndrome: a
population-based cross-sectional study.
AB - BACKGROUND: In Japan, waist circumference (WC) percentiles to screen for
childhood metabolic syndrome (MetS) are unavailable. The objectives of this study
were to develop WC and WC-to-height ratio (WC/Ht) percentile curves by age and
sex for Japanese children, and to test their utility in screening for MetS in
children with obesity who are otherwise healthy. METHODS: The WC and WC/Ht
percentiles were developed using the LMS method of summarizing growth standards,
which monitors changing skewness (L), medians (M), and coefficients of variation
(S) in childhood distributions. A representative dataset was used, which
consisted of 3,634 boys and 3,536 girls aged 4.5-12.75 years in Shizuoka
prefecture, Japan, between 2010 and 2012. Children who were obese (355 boys and
230 girls) aged 6-12 years from Osaka prefecture, Japan, were screened for
childhood MetS using the new percentiles and the International Diabetes
Federation's (IDF's) definition of MetS. RESULTS: The number of participants with
certain metabolic abnormalities (high systolic and diastolic blood pressure, and
a high level of triglycerides) was significantly higher in boys aged 10-12 years,
with a WC >= 90th percentile, than among those with a WC < 90th percentile. None
of the participants with a WC < 90th percentile exhibited two or more metabolic
abnormalities, regardless of their age or sex. Among the participants aged 10-12
years, 11.4 % of boys and 4.4 % of girls with a WC >= 90th percentile were
diagnosed with MetS. CONCLUSIONS: The new percentiles may have a certain level of
potential to screen Japanese children for childhood MetS in accordance with the
IDF definition.
PMID- 26566773
TI - Spontaneous coronary artery rupture presenting as an acute coronary syndrome
evolved in pseudoaneurysm and cardiac tamponade: Case report and literature
review.
AB - Spontaneous coronary artery rupture is a rare disorder that may develop early
into a sudden death due to the abrupt evolution of the associated cardiac
tamponade. In some cases the rupture is contained and a false aneurysm develops
with slower evolution of clinical signs. The correct diagnosis of spontaneous
coronary artery rupture deserves a high level of suspicion; frequently it may be
missed because the time window of its evolution seems to be very short or signs
of acute coronary syndrome sometimes can prevail, leading to delays in diagnosis
or to misdiagnosis. We report the case of a patient presenting a giant
pseudoaneurysm of the right coronary artery due to spontaneous coronary artery
rupture without any underlying disease. Moreover we present a review of the few
cases in the literature, offering a pathophysiological hypothesis linking the
site of rupture and clinical presentation.
PMID- 26566774
TI - Multicenter evaluation of stress-first myocardial perfusion image triage by
nuclear technologists and automated quantification.
AB - BACKGROUND: A stress-first myocardial perfusion imaging (MPI) protocol saves
time, is cost effective, and decreases radiation exposure. A limitation of this
protocol is the requirement for physician review of the stress images to
determine the need for rest images. This hurdle could be eliminated if an
experienced technologist and/or automated computer quantification could make this
determination. METHODS: Images from consecutive patients who were undergoing a
stress-first MPI with attenuation correction at two tertiary care medical centers
were prospectively reviewed independently by a technologist and cardiologist
blinded to clinical and stress test data. Their decision on the need for rest
imaging along with automated computer quantification of perfusion results was
compared with the clinical reference standard of an assessment of perfusion
images by a board-certified nuclear cardiologist that included clinical and
stress test data. RESULTS: A total of 250 patients (mean age 61 years and 55%
female) who underwent a stress-first MPI were studied. According to the clinical
reference standard, 42 (16.8%) and 208 (83.2%) stress-first images were
interpreted as "needing" and "not needing" rest images, respectively. The
technologists correctly classified 229 (91.6%) stress-first images as either
"needing" (n = 28) or "not needing" (n = 201) rest images. Their sensitivity,
specificity, positive predictive value (PPV), and negative predictive value (NPV)
were 66.7%, 96.6%, 80.0%, and 93.5%, respectively. An automated stress TPD score
>=1.2 was associated with optimal sensitivity and specificity and correctly
classified 179 (71.6%) stress-first images as either "needing" (n = 31) or "not
needing" (n = 148) rest images. Its sensitivity, specificity, PPV, and NPV were
73.8%, 71.2%, 34.1%, and 93.1%, respectively. In a model whereby the computer or
technologist could correct for the other's incorrect classification, 242 (96.8%)
stress-first images were correctly classified. The composite sensitivity,
specificity, PPV, and NPV were 83.3%, 99.5%, 97.2%, and 96.7%, respectively.
CONCLUSION: Technologists and automated quantification software had a high degree
of agreement with the clinical reference standard for determining the need for
rest images in a stress-first imaging protocol. Utilizing an experienced
technologist and automated systems to screen stress-first images could expand the
use of stress-first MPI to sites where the cardiologist is not immediately
available for interpretation.
PMID- 26566775
TI - Adjusting Estimates of the Expected Value of Information for Implementation:
Theoretical Framework and Practical Application.
AB - BACKGROUND: Value of information (VoI) calculations give the expected benefits of
decision making under perfect information (EVPI) or sample information (EVSI),
typically on the premise that any treatment recommendations made in light of this
information will be implemented instantly and fully. This assumption is unlikely
to hold in health care; evidence shows that obtaining further information
typically leads to "improved" rather than "perfect" implementation. OBJECTIVES:
To present a method of calculating the expected value of further research that
accounts for the reality of improved implementation. METHODS: This work extends
an existing conceptual framework by introducing additional states of the world
regarding information (sample information, in addition to current and perfect
information) and implementation (improved implementation, in addition to current
and optimal implementation). The extension allows calculating the "implementation
adjusted" EVSI (IA-EVSI), a measure that accounts for different degrees of
implementation. Calculations of implementation-adjusted estimates are illustrated
under different scenarios through a stylized case study in non-small cell lung
cancer. RESULTS: In the particular case study, the population values for EVSI and
IA-EVSI were L 25 million and L 8 million, respectively; thus, a decision
assuming perfect implementation would have overestimated the expected value of
research by about L 17 million. IA-EVSI was driven by the assumed time horizon
and, importantly, the specified rate of change in implementation: the higher the
rate, the greater the IA-EVSI and the lower the difference between IA-EVSI and
EVSI. CONCLUSIONS: Traditionally calculated measures of population VoI rely on
unrealistic assumptions about implementation. This article provides a simple
framework that accounts for improved, rather than perfect, implementation and
offers more realistic estimates of the expected value of research.
PMID- 26566776
TI - Cerebral tuberculoma with pulmonary tuberculosis in a patient with psoriasis
treated with adalimumab, an anti-tumor necrosis factor-alpha agent.
PMID- 26566778
TI - C-terminus of a hexapeptidic ghrelin receptor inverse agonist can switch peptide
behavior from inverse agonism to agonism.
AB - Subtle changes in the sequence at the N-terminus and in the aromatic core of
hexapeptidic ghrelin receptor inverse agonists can switch behavior from inverse
agonism to agonism, but the C-terminal role of the sequence is unclear. Thus,
analogs of the ghrelin receptor inverse agonist KbFwLL-NH2 (b = beta-(3
benzothienyl)-d-alanine) were synthesized by solid phase peptide synthesis in
order to identify the influence of aromaticity, charge, and hydrophobicity.
Potency and efficacy of the hexapeptides were evaluated in inositol triphosphate
turnover assays. Notably, modifications directly at the C-terminal Leu(6) could
influence peptide efficacy leading to decreased constitutive activity. High
hydrophobicity at the C-terminal position was of importance for elevated inverse
agonist activity, the introduction of charged amino acids led to decreased
potency. In contrast, structure-activity relationship studies of Leu(5) located
closer to the aromatic core revealed an agonism-inducing position. These findings
imply that amino acids with possible cation-pi or pi-pi interactions and a
suitable orientation at the C-terminus of the aromatic core induce agonism.
Receptor binding studies showed that most peptides bind to the receptor at a
concentration of 1 uM and modification directly at the C-terminus is generally
more accepted than Leu(5) substitution. Interestingly, this observation is not
dependent on the type of modification. These studies reveal another switch region
of the short ghrelin receptor ligand pointing out the sensitivity of the ghrelin
receptor binding pocket.
PMID- 26566777
TI - Liver apparent diffusion coefficient repeatability with individually
predetermined optimal cardiac timing and artifact elimination by signal
filtering.
AB - PURPOSE: To prospectively assess liver ADC (apparent diffusion coefficient)
repeatability from cardiac-triggered diffusion-weighted images obtained with an
individually predetermined optimal cardiac time window minimizing cardiac-related
effects and to evaluate a signal filtering method aimed at artifact elimination.
MATERIALS AND METHODS: After Institutional Review Board approval and written
informed consent, eight healthy volunteers underwent four repetitions of
respiratory-triggered diffusion-weighted sequences (3T, b: 0,150,500 s/mm(2) )
without (RTnoCT, 51 sec) and with individually optimized cardiac triggering
(RTCT, 306 sec). The optimal cardiac delay was individually predetermined using a
5-second breath-hold sequence. Monoexponential liver ADC and left-to-right-liver
ADC ratio were computed from region of interest (ROI) signal measurements (two
independent readers). A filtering method, excluding signal intensities lower than
the mean intensity at fixed b-value, provided ADC recalculation. Limits-of
agreement (LOAs) from 95% confidence intervals for differences across the four
repetitions provided the variability range. RESULTS: For Reader 1 (Reader 2),
left-to-right-liver ADC ratios were significantly higher in RTnoCT 1.51 (1.52)
than in RTCT 1.12 (1.15), P = 0.012 (P = 0.017). Respectively for RTnoCT and
RTCT: left liver LOAs were +/-835 (+/-775), +/- 315 (+/-369) 10(-6) mm(2) /s;
right liver LOAs were +/-392 (+/-445), +/- 172 (+/-140) 10(-6) mm(2) /s: LOAs
were larger in the left than in the right lobe (both P < 0.001). After filtering,
left liver ADC LOAs narrowed to +/-650 (+/-367) 10(-6) mm(2) /s, P = 0.17 (P <
0.001); +/- 152 (+/-208) 10(-6) mm(2) /s (both P < 0.002) and left-to-right-liver
ADC ratio decreased to 1.28 (1.20), P = 0.017 (P = 0.012); 1.09 (1.08), P = 0.106
(P = 0.105). CONCLUSION: Compared to noncardiac-triggered acquisitions,
individually optimized cardiac-triggered acquisitions improved ADC repeatability
in both liver lobes and reduced ADC differences between left and right liver.
Left liver ADC repeatability was further improved after signal filtering.
PMID- 26566779
TI - Is Chemotherapy or Radiation Therapy in Addition to Surgery Beneficial for
Locally Advanced Rectal Cancer in the Elderly? A National Cancer Data Base (NCDB)
Study.
AB - INTRODUCTION: Current National Comprehensive Cancer Network guidelines for Stages
II and III rectal cancer recommend neoadjuvant chemoradiation followed by
curative intent surgery and adjuvant chemotherapy. It is unclear whether
therapies in addition to surgery are truly beneficial in elderly patients. Our
aim was to compare the survival of patients over 80 with Stages II and III rectal
cancer undergoing curative intent surgery with or without additional therapy.
MATERIALS AND METHODS: The National Cancer Data Base (NCDB 2006-2011) was queried
for patients over 80 with Stages II and III rectal cancer. The primary outcome
was overall survival. Patients were stratified based upon therapy group.
Univariate group comparisons were made. Unadjusted Kaplan-Meier and multivariable
Cox proportional hazards modeling survival analyses were performed. RESULTS: The
query yielded 3098 patients over 80 with Stage II (N = 1566) or Stage III (N =
1532) disease. Approximately, half of the patients received surgery only. Kaplan
Meier analysis showed improved survival for patients receiving neoadjuvant and/or
adjuvant therapy in addition to surgery, but there was no significant difference
between those that received guideline concordant care (GCC), neoadjuvant
chemoradiation only, or post-operative chemotherapy only. Cox proportional hazard
modeling identified age >90 and margin positivity as independent risk factors for
decreased overall survival. CONCLUSION: Analysis of NCDB data for Stages II and
III rectal cancer in patients over 80 shows a survival benefit of adjuvant and/or
neoadjuvant therapy over surgery alone. There does not appear to be a difference
in survival between patients who received neoadjuvant chemoradiation, post
resection adjuvant chemotherapy, or GCC.
PMID- 26566780
TI - Diabetes Mellitus in Patients Presenting with Adhesive Small Bowel Obstruction:
Delaying Surgical Intervention Results in Worse Outcomes.
AB - INTRODUCTION: The impact of diabetes mellitus (DM) on outcomes in patients
undergoing emergency laparotomy for adhesive small bowel obstruction (ASBO)
remains unknown. METHODS: Low-risk (ASA class of I and II) patients requiring
emergency operation for ASBO were identified using the ACS NSQIP database.
Propensity score matching was used to match patients with DM to those without DM
in a ratio of 1:3. Mortality, infectious complications, acute renal failure
(ARF), and myocardial infarction (MI) were compared between the two groups. The
impact of delaying OR >= 24 h was also analyzed in the two groups. RESULTS: A
total of 1,608 patients were matched, 402 with DM and 1,204 without DM. Overall,
patients with DM were significantly more likely to develop infections, ARF and
MI. Diabetes had no negative impact on outcomes if the operation was performed
within 24 h of admission. However, delaying surgery >24, significantly increased
infections, ARF and MI. CONCLUSIONS: DM in low-risk patients has no negative
impact on outcomes in patients undergoing surgery for ASBO within 24 h. However,
delaying surgery >24 h resulted in worse outcomes.
PMID- 26566781
TI - Working time of neurosurgical residents in Europe--results of a multinational
survey.
AB - INTRODUCTION: The introduction of the European Working Time directive 2003/88/EC
has led to a reduction of the working hours with distinct impact on the clinical
and surgical activity of neurosurgical residents in training. METHODS: A survey
was performed among European neurosurgical residents between 06/2014 and 03/2015.
Multiple logistic regression was used to assess the relationship between
responder-specific variables (e.g., age, gender, country, postgraduate year
(PGY)) and outcome (e.g., working time). RESULTS: A total of 652 responses were
collected, of which n = 532 responses were taken into consideration. In total,
17.5, 22.1, 29.5, 19.5, 5.9, and 5.5 % of European residents indicated to work
<40, 40-50, 51-60, 61-70, 71-80, or >80 h/week, respectively. Residents from
France and Turkey (OR 4.72, 95 % CI 1.29-17.17, p = 0.019) and Germany (OR 2.06,
95 % CI 1.15-3.67, p = 0.014) were more likely to work >60 h/week than residents
from other European countries. In total, 29 % of European residents were
satisfied with their current working time, 11.3 % indicated to prefer reduced
working time. More than half (55 %) would prefer to work more hours/week if this
would improve their clinical education. Residents that rated their operative
exposure as insufficient were 2.3 times as likely as others to be willing to work
more hours (OR 2.32, 95 % CI 1.47-3.70, p < 0.001). Less than every fifth
European resident spends >50 % of his/her working time in the operating room. By
contrast, 77.4 % indicate to devote >25 % of their daily working time to
administrative work. For every advanced PGY, the likelihood to spend >50 % of the
working time in the OR increases by 19 % (OR 1.19, 95 % CI 1.02-1.40, p = 0.024)
and the likelihood to spend >50 % of the working time with administrative work
decreases by 18 % (OR 0.84, 95 % CI 0.76-0.94, p = 0.002). CONCLUSIONS: The
results of this survey on >500 European neurosurgical residents clearly prove
that less than 40 % conform with the 48-h week as claimed by the WTD2003/88/EC.
Still, more than half of them would chose to work even more hours/week if their
clinical education were to improve; probably due to subjective impression of
insufficient training.
PMID- 26566782
TI - Glioma surgery in eloquent areas: can we preserve cognition?
AB - BACKGROUND: Cognitive preservation is crucial in glioma surgery, as it is an
important aspect of daily life functioning. Several studies claimed that surgery
in eloquent areas is possible without causing severe cognitive damage. However,
this conclusion was relatively ungrounded due to the lack of extensive
neuropsychological testing in homogenous patient groups. In this study, we aimed
to elucidate the short-term and long-term effects of glioma surgery on cognition
by identifying all studies who conducted neuropsychological tests preoperatively
and postoperatively in glioma patients. METHODS: We systematically searched the
electronical databases Embase, Medline OvidSP, Web of Science, PsychINFO OvidSP,
PubMed, Cochrane, Google Scholar, Scirius and Proquest aimed at cognitive
performance in glioma patients preoperatively and postoperatively. RESULTS: We
included 17 studies with tests assessing the cognitive domains: language, memory,
attention, executive functions and/or visuospatial abilities. Language was the
domain most frequently examined. Immediately postoperatively, all studies except
one, found deterioration in one or more cognitive domains. In the longer term (3
6/6-12 months postoperatively), the following tests showed both recovery and
deterioration compared with the preoperative level: naming and verbal fluency
(language), verbal word learning (memory) and Trailmaking B (executive
functions). CONCLUSIONS: Cognitive recovery to the preoperative level after
surgery is possible to a certain extent; however, the results are too arbitrary
to draw definite conclusions and not all studies investigated all cognitive
domains. More studies with longer postoperative follow-up with tests for
cognitive change are necessary for a better understanding of the conclusive
effects of glioma surgery on cognition.
PMID- 26566784
TI - Understanding organizational and cultural premises for quality of care in nursing
homes: an ethnographic study.
AB - BACKGROUND: Internationally, there are concerns about the quality of care in
nursing homes. The concept of 'corporate culture' as an internal variable could
be seen as the means to improve quality of care and quality of life for the
residents. The aim of this article was to describe the nursing home culture from
the staff's perspective and to include how the residents describe quality of
care. METHODS: An ethnographic design was employed. A purposive sample of four
municipal public nursing homes in Norway with long-term care residents was
included in the study. Data were collected by participant observation including
informal conversation with the staff, and in-depth interviews with 15 residents
using a narrative approach. RESULTS: The main findings were that organizational
cultures could be seen as relatively stable corporate cultures described as
'personalities' with characteristics that were common for all nursing homes
(conformity) and typical traits that were present in some nursing homes, but that
they were also like no other nursing home (distinctiveness). Conformity ('Every
nursing home is like all other nursing homes') meant that nursing home
organizations formed their services according to a perception of what residents
in general need and expect. Trait ('Every nursing home is like some other nursing
homes') expressed typologies of nursing homes: residency, medical, safeguard or
family orientation. The distinctness of each nursing home ('Every nursing home is
like no other nursing home') was expressed in unique features of the nursing
home; the characteristics of the nursing home involved certain patterns of
structure, cultural assumptions and interactions that were unique in each nursing
home. Nursing home residents experienced quality of care as 'The nursing home as
my home' and 'Interpersonal care quality'. The resident group in the different
types of nursing homes were unique, and the experience of quality of care seemed
to depend on whether their unique needs and expectations were met or not.
CONCLUSION: In order to create a sustainable nursing home service the service
needs to be characterized by learning and openness to change and must actually
implement practices that respond to the resident and his or her family's values.
PMID- 26566783
TI - Which Psychological Factors are Related to HIV Testing? A Quantitative Systematic
Review of Global Studies.
AB - Deciding to test for HIV is necessary for receiving HIV treatment and care among
those who are HIV-positive. This article presents a systematic review of
quantitative studies on relationships between psychological (cognitive and
affective) variables and HIV testing. Sixty two studies were included (fifty six
cross sectional). Most measured lifetime testing. HIV knowledge, risk perception
and stigma were the most commonly measured psychological variables. Meta-analysis
was carried out on the relationships between HIV knowledge and testing, and HIV
risk perception and testing. Both relationships were positive and significant,
representing small effects (HIV knowledge, d = 0.22, 95 % CI 0.14-0.31, p <
0.001; HIV risk perception, OR 1.47, 95 % CI 1.26-1.67, p < 0.001). Other
variables with a majority of studies showing a relationship with HIV testing
included: perceived testing benefits, testing fear, perceived behavioural
control/self-efficacy, knowledge of testing sites, prejudiced attitudes towards
people living with HIV, and knowing someone with HIV. Research and practice
implications are outlined.
PMID- 26566785
TI - High prevalence of hereditary thrombotic thrombocytopenic purpura in central
Norway: from clinical observation to evidence.
AB - Essentials The population prevalence of hereditary thrombotic thrombocytopenic
purpura (TTP) is unknown. We studied the prevalence of hereditary TTP and
population frequencies of two ADAMTS-13 mutations. A high frequency of hereditary
TTP related to ADAMTS-13 mutation c.4143_4144dupA was found. Vicinity of ABO
blood group and ADAMTS-13 loci may facilitate screening of ADAMTS-13 mutations.
SUMMARY: Background Hereditary thrombotic thrombocytopenic purpura (TTP) caused
by ADAMTS-13 mutations is a rare, but serious condition. The prevalence is
unknown, but it seems to be high in Norway. Objectives To identify all patients
with hereditary TTP in central Norway and to investigate the prevalence of
hereditary TTP and the population frequencies of two common ADAMTS-13 mutations.
Patients/Methods Patients were identified in a cross-sectional study within the
Central Norway Health Region by means of three different search strategies.
Frequencies of ADAMTS-13 mutations, c.4143_4144dupA and c.3178 C>T (p.R1060W),
were investigated in a population-based cohort (500 alleles) and in healthy blood
donors (2104 alleles) by taking advantage of the close neighborhood of the ADAMTS
13 and ABO blood group gene loci. The observed prevalence of hereditary TTP was
compared with the rates of ADAMTS-13 mutation carriers in different geographical
regions. Results We identified 11 families with hereditary TTP in central Norway
during the 10-year study period. The prevalence of hereditary TTP in central
Norway was 16.7 * 10(-6) persons. The most prevalent mutation was
c.4143_4144dupA, accounting for two-thirds of disease causing alleles among
patients and having an allelic frequency of 0.33% in the central, 0.10% in the
western, and 0.04% in the southeastern Norwegian population. The allelic
frequency of c.3178 C>T (p.R1060W) in the population was even higher (0.3-1%),
but this mutation was infrequent among patients, with no homozygous cases.
Conclusions We found a high prevalence of hereditary TTP in central Norway and an
apparently different penetrance of ADAMTS-13 mutations.
PMID- 26566786
TI - Design and testing of an assessment instrument to measure understanding of
protein structure and enzyme inhibition in a new context.
AB - Assessment instruments designed to measure student conceptual understanding and
skills proficiency related to biochemistry are important to transform
undergraduate biochemistry education. The purpose of this study was to develop an
assessment instrument to measure student understanding of protein structure and
enzyme inhibition in a new context, that of saturable binding. A community of
biochemistry educators was involved in an iterative process of designing and
testing of this assessment, which consists of true/false and open-ended questions
that map to low and high levels in Bloom's taxonomy. A total of 188 students'
responses were collected from seven different institutions and were graded by two
independent raters using a rubric. Results from this administration indicate that
most students were able to answer the questions related to lower-levels in
Bloom's taxonomy; however for higher-level questions, students had more
difficulty. The results from this assessment can give instructors insight into
the ways in which persistent incorrect or incomplete ideas related to protein
structure and binding events can hinder knowledge application. Also, the use of a
community of practice to develop assessment instruments of this type and the
format of the instrument itself could be a useful model for development of
assessment instruments in the future.
PMID- 26566787
TI - NHS in England missed all key targets in September.
PMID- 26566788
TI - Peptide-level Robust Ridge Regression Improves Estimation, Sensitivity, and
Specificity in Data-dependent Quantitative Label-free Shotgun Proteomics.
AB - Peptide intensities from mass spectra are increasingly used for relative
quantitation of proteins in complex samples. However, numerous issues inherent to
the mass spectrometry workflow turn quantitative proteomic data analysis into a
crucial challenge. We and others have shown that modeling at the peptide level
outperforms classical summarization-based approaches, which typically also
discard a lot of proteins at the data preprocessing step. Peptide-based linear
regression models, however, still suffer from unbalanced datasets due to missing
peptide intensities, outlying peptide intensities and overfitting. Here, we
further improve upon peptide-based models by three modular extensions: ridge
regression, improved variance estimation by borrowing information across proteins
with empirical Bayes and M-estimation with Huber weights. We illustrate our
method on the CPTAC spike-in study and on a study comparing wild-type and ArgP
knock-out Francisella tularensis proteomes. We show that the fold change
estimates of our robust approach are more precise and more accurate than those
from state-of-the-art summarization-based methods and peptide-based regression
models, which leads to an improved sensitivity and specificity. We also
demonstrate that ionization competition effects come already into play at very
low spike-in concentrations and confirm that analyses with peptide-based
regression methods on peptide intensity values aggregated by charge state and
modification status (e.g. MaxQuant's peptides.txt file) are slightly superior to
analyses on raw peptide intensity values (e.g. MaxQuant's evidence.txt file).
PMID- 26566789
TI - Understanding fatigue in paediatric multiple sclerosis: a systematic review of
clinical and psychosocial factors.
AB - AIM: Fatigue in children and adolescents with multiple sclerosis (caMS) is
currently poorly understood. This review aimed to provide greater insight into
this area and direction for future research by evaluating evidence of
associations between fatigue and clinical, psychological, and social factors in
caMS. METHOD: Studies were identified by searching online databases, hand
searching reference lists, and requesting unpublished literature from key
authors. Studies that examined fatigue in relation to at least one clinical,
psychological, or social factor in caMS were included. Data on design, sample
characteristics, measures of fatigue, clinical, psychological, and social
variables, and key findings were extracted. Twelve studies were narratively
synthesized. RESULTS: Clinical factors appeared largely unrelated to fatigue,
whereas associations between fatigue and tests of neurocognitive functioning, and
fatigue and diagnosable psychiatric disorders, were mixed. However, fatigue and
depressed mood consistently correlated. A small number of studies indicated
associations between fatigue and reduced quality of life and school performance.
INTERPRETATION: A sufficient explanatory model of fatigue in caMS is lacking as
studies in this area are few and diverse. Future research should endeavour to
identify potentially modifiable clinical and psychosocial factors that are
associated with fatigue in caMS so that interventions targeting such factors may
be developed.
PMID- 26566790
TI - Utility of Exhaled Nitric Oxide Fraction for the Diagnosis of Hypersensitivity
Pneumonitis.
AB - BACKGROUND: There is very little evidence of the utility of the exhaled fraction
of NO (FeNO) for the diagnosis of interstitial lung disease and nearly all of it
is related with connective tissue disease. Some authors have suggested that in
patients with hypersensitivity pneumonitis (HP), evolution to pulmonary fibrosis
may be mediated by a Th2 mechanism, which could redound in a potential utility of
FeNO. The aim of this study was to investigate the values of FeNO before and
after antigenic exposure with the specific inhalation challenge (SIC) and to
analyze its potential utility for the diagnosis of HP. METHODS: It was a
prospective, cross-sectional study of all patients older than 18 years referred
to our center for suspected chronic HP between May 2012 and May 2014 and who
underwent a SIC. FeNO was collected before and after SIC. RESULTS: The study
sample comprised 25 patients. Eleven were diagnosed with chronic HP; six had been
exposed to avian proteins and five to fungal agents. Of these 11 patients, seven
had positive SICs. In the 14 patients with diagnoses other than HP, all the SICs
were negative. No significant differences in baseline characteristics were
observed according to HP diagnosis, except in the BAL lymphocyte count. No
differences were found after the test in patients diagnosed with HP; nor were
there differences in baseline FeNO in patients diagnosed with HP and those who
received alternative diagnoses. CONCLUSIONS: The results suggest that FeNO
measurement is not useful for the diagnosis of chronic HP.
PMID- 26566792
TI - Elastic wave induced by friction as a signature of human skin ageing and gender
effect.
AB - BACKGROUND: In this work, we propose an innovative approach based on a rotary
tribometer coupled with laser velocimetry for measuring the elastic wave
propagation on the skin. METHODS: The method is based on a dynamic contact with
the control of the normal force (Fn ), the contact length and speed. During the
test a quantification of the friction force is produced. The elastic wave
generated by friction is measured at the surface of the skin 35 mm from the
source of friction exciter. In order to quantify the spectral range and the
energy property of the wave generated, we have used laser velocimetry whose spot
laser diameter is 120 MUm, which samples the elastic wave propagation at a
frequency which may reach 100 kHz. In this configuration, the speaker is the
friction exciter and the listener the laser velocimetry. In order to perform non
invasive friction tests, the normal stress has been set to 0.3 N and the rotary
velocity to 3 revolutions per second, which involves a sliding velocity of 63
mm/s. RESULTS AND CONCLUSIONS: This newly developed innovative tribometer has
been used for the analysis of the elastic wave propagation induced by friction on
human skin during chronological ageing and gender effect. Measurements in vivo
have been made on 60 healthy men and women volunteers, aged from 25 to 70. The
results concerning the energy of the elastic wave signature induced by friction
show a clear difference between the younger and older groups in the range of a
low band of frequencies (0-200 Hz). The gender effect was marked by a 20%
decrease in the energy of elastic wave propagation in the female group.
PMID- 26566791
TI - CH3-specific NMR assignment of alanine, isoleucine, leucine and valine methyl
groups in high molecular weight proteins using a single sample.
AB - A new strategy for the NMR assignment of aliphatic side-chains in large
perdeuterated proteins is proposed. It involves an alternative isotopic labeling
protocol, the use of an out-and-back (13)C-(13)C TOCSY experiment ((H)C-TOCSY-C
TOCSY-(C)H) and an optimized non-uniform sampling protocol. It has long been
known that the non-linearity of an aliphatic spin-system (for example Ile, Val,
or Leu) substantially compromises the efficiency of the TOCSY transfers. To
permit the use of this efficient pulse scheme, a series of optimized precursors
were designed to yield linear (13)C perdeuterated side-chains with a single
protonated CH3 group in these three residues. These precursors were added to the
culture medium for incorporation into expressed proteins. For Val and Leu
residues, the topologically different spin-systems introduced for the pro-R and
pro-S methyl groups enable stereospecific assignment. All CH3 can be
simultaneously assigned on a single sample using a TOCSY experiment. It only
requires the tuning of a mixing delay and is thus more versatile than the relayed
COSY experiment. Enhanced resolution and sensi-tivity can be achieved by non
uniform sampling combined with the removal of the large JCC coupling by
deconvolution prior to the processing by iterative soft thresholding. This
strategy has been used on malate synthase G where a large percentage of the CH3
groups could be correlated directly up to the backbone Ca. It is anticipated that
this robust combined strategy can be routinely applied to large proteins.
PMID- 26566793
TI - Ultrasonic vocalizations of adult male Foxp2-mutant mice: behavioral contexts of
arousal and emotion.
AB - Adult mouse ultrasonic vocalizations (USVs) occur in multiple behavioral and
stimulus contexts associated with various levels of arousal, emotion and social
interaction. Here, in three experiments of increasing stimulus intensity (water;
female urine; male interacting with adult female), we tested the hypothesis that
USVs of adult males express the strength of arousal and emotion via different USV
parameters (18 parameters analyzed). Furthermore, we analyzed two mouse lines
with heterozygous Foxp2 mutations (R552H missense, S321X nonsense), known to
produce severe speech and language disorders in humans. These experiments allowed
us to test whether intact Foxp2 function is necessary for developing full adult
USV repertoires, and whether mutations of this gene influence instinctive vocal
expressions based on arousal and emotion. The results suggest that USV calling
rate characterizes the arousal level, while sound pressure and spectrotemporal
call complexity (overtones/harmonics, type of frequency jumps) may provide
indices of levels of positive emotion. The presence of Foxp2 mutations did not
qualitatively affect the USVs; all USV types that were found in wild-type animals
also occurred in heterozygous mutants. However, mice with Foxp2 mutations
displayed quantitative differences in USVs as compared to wild-types, and these
changes were context dependent. Compared to wild-type animals, heterozygous
mutants emitted mainly longer and louder USVs at higher minimum frequencies with
a higher occurrence rate of overtones/harmonics and complex frequency jump types.
We discuss possible hypotheses about Foxp2 influence on emotional vocal
expressions, which can be investigated in future experiments using selective
knockdown of Foxp2 in specific brain circuits.
PMID- 26566794
TI - Arthroscopic treatment for ulnar-sided TFCC-tears.
AB - OBJECTIVE: Ruptures of ulnar-sided triangular fibrocartilaginous complex (TFCC)
often occur in cases of trauma. Golden standard for diagnosis is the arthroscopy
of the wrist. TFCC lesions are classified according to their location if
traumatic in origin or if degenerative according to their severity. MATERIALS AND
METHODS: Recent literature has focused on the ruptures of ulnar-sided triangular
fibrocartilaginous complex. This article describes conservative, operative and
arthroscopic surgical techniques to reconstruct the triangular fibrocartilaginous
complex and restore distal radioulnar joint stability. RESULTS: The main
therapeutic goal should be the stabilization of the DRUJ by reattachment of the
torn ligaments in ulnar-sided ruptures to the deep fibers in the fovea. This
reinsertion can be performed by transosseous suture, a suture anchor or open.
CONCLUSION: Central TFCC tears are typically located close to the sigmoid notch
of the radius and are either traumatic or degenerative in origin. While central
TFCC lesions are usually treated by arthroscopic debridement using small joint
punches or a bipolar high frequency system, the ulnar TFCC avulsions can also be
refixed arthroscopically in different techniques.
PMID- 26566795
TI - Protein Interacting C-Kinase 1 Modulates Surface Expression of P2Y6
Purinoreceptor, Actin Polymerization and Phagocytosis in Microglia.
AB - Microglia clean up dead cells and debris through phagocytosis in the central
nervous system. UDP-activated P2Y6 receptors (P2Y6Rs) induce the formation of
phagocytic cup-like structure and P2Y6R expression is increased during the
phagocytosis. However, it remains unclear how surface expression of P2Y6R is
increased. PICK1 (protein interacting with C-kinase-1) interacts with various
neurotransmitter receptors, transporters, and enzymes. We here report that PICK1
might interact with P2Y6R. Surface P2Y6R was reduced in microglia from PICK1
knockout mice and PICK1-knockdown BV2 cells, which was also confirmed by
electrophysiological recordings, showing that P2Y6R-mediated current was
increased by PICK1 overexpression but was reduced by PICK1-knockdown in BV2
microglia. Finally, PICK1 was sufficient to affect cytoskeletal aggregation and
phagocytosis both in primary microglia and BV2 cells. These results indicate that
PICK1 is an important regulator of P2Y6R expression and microglial phagocytosis.
PMID- 26566797
TI - Is there really an increased risk of early colorectal cancer in women with BRCA1
pathogenic mutations?
PMID- 26566798
TI - Changes in corneal thickness following combined cataract and vitreous surgery.
AB - BACKGROUND: We investigated changes in corneal thickness following combined
cataract and vitreous surgery and determined whether such changes could be used
as a criterion for evaluating the invasiveness of combined surgery. METHODS: This
retrospective, consecutive, comparative study examined 35 eyes that had undergone
combined cataract and 23-gauge vitrectomy for epiretinal membrane (ERM) (18 eyes)
and rhegmatogenous retinal detachment (RRD) (17 eyes). Corneal thickness was
measured before, 1 day, 1 week, and 1 and 3 months after the surgery.
Measurements were performed at the center and at points 3 mm superior, inferior,
nasal and temporal to the center of the cornea. RESULTS: In both groups,
postoperative corneal thickness at all points showed a significant increase at 1
day after the surgery when compared with the preoperative measurements (p < 0.05,
paired t test). At the center and inferior points, the mean corneal thickness in
the RRD group was thicker than the mean of the ERM group at 1 day after surgery.
With the exception of the inferior point, the postoperative data for both groups
showed a significant increase in the corneal thickness at 1 week after surgery
compared with the preoperative measurements. At 1 week after surgery, the mean
corneal thickness in the RRD group at the center, inferior and temporal points
was thicker than the mean of the ERM group. CONCLUSIONS: Corneal thickness
measurements are useful for assessing the extent of surgical stress that follows
combined cataract and vitreous surgery.
PMID- 26566796
TI - Persistent androgen receptor addiction in castration-resistant prostate cancer.
AB - It is now understood that persistent activation of the androgen receptor (AR)
signaling pathway often underlies the development of castration-resistant
prostate cancer (CRPC). This realization led to renewed interest in targeting the
AR and ultimately to the development of the potent next-generation AR-directed
agents abiraterone and enzalutamide. While these drugs prolong survival in men
with CRPC, they are unfortunately not curative. Perhaps not surprisingly,
evidence points to persistent AR signaling as one of the key drivers by which
resistances to these agents develops. In this context, activation of the AR
signaling program can occur through a number of molecular adaptations, including
alterations leading to persistent canonical AR signaling (e.g., AR
amplification/overexpression, elucidations/concentration of intratumoral
androgens), activation of the AR program via feedback pathways (e.g.,
AKT/mTOR/Pi3K, HER2/Neu), and activation of the AR program via mutation or
substitution (e.g., AR ligand binding domain mutation; AR splice variants;
glucocorticoid receptor signaling). This review will provide an overview of the
more clinical relevant (i.e., druggable) pathways that have been implicated in
the emergence of drug resistance in men with CRPC and highlight some of the
ongoing efforts towards developing therapeutics to impair these mechanisms.
PMID- 26566799
TI - Cumulative incidence and risk factors of creatine kinase elevation associated
with telbivudine.
AB - PURPOSE: An increasing number of studies are reporting a high frequency of
creatine kinase (CK) elevation during telbivudine therapy; however, few reports
have focused on the cumulative incidence and risk factors of CK elevation. This
study was performed to investigate the cumulative incidence and risk factors of
CK elevation in Chinese patients treated with telbivudine. METHODS: In this
observational study, patients with chronic hepatitis B receiving telbivudine
therapy between July 2008 and December 2013 were enrolled. The cumulative
incidence of CK elevation was analyzed using the Kaplan-Meier method combined
with the log rank test. Risk factors were determined using Cox proportional
hazards regression models. RESULTS: A total of 207 eligible patients were
analyzed. The cumulative incidence of CK elevation at 12, 24, 36, 48, 60, and 72
months was 51.2 %, 68.9 %, 75.1 %, 78.1 %, 78.1 %, and 78.1 %, respectively.
Multivariate analysis revealed that male and lower baseline estimated glomerular
filtration rate (eGFR) were significant risk factors for CK elevation.
CONCLUSIONS: The cumulative incidence of CK elevation after long-term telbivudine
use is quite high, and gender and baseline eGFR may be useful predictors.
However, when combined with regular monitoring of CK levels, especially for
patients with lower eGFR, telbivudine is a relatively safe nucleoside analog
treatment for chronic hepatitis B.
PMID- 26566800
TI - Vitamin D levels in patients with albinism compared with those in normally
pigmented Black patients attending dermatology clinics in the Free State
province, South Africa.
AB - BACKGROUND: Associations between vitamin D deficiency and a broad variety of
independent diseases, including several bone diseases, various types of cancer,
autoimmune diseases, hypertension, and cardiovascular disease, have been
suggested. It is therefore important to detect and treat vitamin D deficiency in
high-risk groups. Because patients with albinism (PWA) practice a policy of
strict sun avoidance, they may be at risk for low levels of vitamin D.
OBJECTIVES: This study was conducted in patients attending dermatology clinics in
the Free State, South Africa, to determine sun avoidance behavior in the patient
population and to compare 25-hydroxyvitamin D (25[OH]D) levels in PWA with those
in normally pigmented Black patients attending the same clinics. METHODS: Serum
25(OH)D levels were assessed in 50 PWA and 50 normally pigmented Black control
subjects. Questionnaires on sun exposure avoidance behaviors were administered to
all participants. RESULTS: The present study showed no statistically significant
difference in median 25(OH)D levels between PWA and controls with normally
pigmented Black skin. Rather, the study found a tendency for controls to have
lower 25(OH)D levels. A total of 53% (n = 53) of all study participants (PWA and
controls) had a 25(OH)D level of <20 ng/ml; thus most participants were deficient
in vitamin D. Most of the PWA who participated in the study did not adhere to
strict sun avoidance behavior. CONCLUSIONS: People with albinism attending
dermatology clinics in the Free State, South Africa, need not be viewed as
specifically at risk for low vitamin D levels.
PMID- 26566801
TI - Implementing an institution-wide quality improvement policy to ensure appropriate
use of continuous cardiac monitoring: a mixed-methods retrospective data analysis
and direct observation study.
AB - BACKGROUND: Hospitals have been slow to adopt guidelines from the American Heart
Association (AHA) limiting the use of continuous cardiac monitoring for fear of
missing important patient cardiac events. A new continuous cardiac monitoring
policy was implemented at a tertiary-care hospital seeking to monitor only those
patients who were clinically indicated and decrease the number of false alarms in
order to improve overall alarm response. METHODS: Leadership support was secured,
a cross-functional alarm management task force was created, and a system-wide
policy was developed based on current AHA guidelines. Process measures, including
cardiac monitoring rate, monitored transport rate, emergency department (ED)
boarding rate and the percentage of false, unnecessary and true alarms, were
measured to determine the policy's impact on patient care. Outcome measures,
including length of stay and mortality rate, were measured to determine the
impact on patient outcomes. RESULTS: Cardiac monitoring rate decreased 53.2%
(0.535 to 0.251 per patient day, p<0.001), monitored transport rate decreased
15.5% (0.216 to 0.182 per patient day, p<0.001), ED patient boarding rate
decreased 36.6% (5.5% to 3.5% of ED patients, p<0.001) and the percentage of
false alarms decreased (18.8% to 9.6%, p<0.001). Neither the length of stay nor
mortality changed significantly after the policy was implemented. CONCLUSIONS:
The observed improvements in process measures coupled with no adverse effects to
patient outcomes suggest that the overall system became more resilient to current
and emerging demands. This study indicates that when collaboration across a
diverse team is coupled with strong leadership support, policies and procedures
such as this one can improve clinical practice and patient care.
PMID- 26566802
TI - Mechanisms of action of BCL6 during germinal center B cell development.
AB - The transcriptional repressor B cell lymphoma 6 (BCL6) controls a large
transcriptional network that is required for the formation and maintenance of
germinal centers (GC). GC B cells represent the normal counterpart of most human
B-cell lymphomas, which are often characterized by deregulated BCL6 expression or
BCL6-mediated pathways. BCL6 suppresses gene transcription largely through
recruitment of its co-repressors through its distinct repression domain.
Understanding the precise biological roles of each repression domain in normal
and malignant B cells is helpful for development of targeted inhibition of BCL6
functions that is emerging as the basis for design of anti-lymphoma therapies.
This review focuses on recent progress in the molecular mechanisms of action of
BCL6 in B cells and discusses remaining unresolved questions related to how these
mechanisms are linked to normal and malignant B cell development.
PMID- 26566803
TI - Natural products against hematological malignancies and identification of their
targets.
AB - Naturally occurring molecules derived from higher plants, animals, microorganisms
and minerals play an important role in the discovery and development of novel
therapeutic agents. The identification of molecular targets is of interest to
elucidate the mode of action of these compounds, and it may be employed to set up
target-based assays and allow structure-activity relationship studies to guide
medicinal chemistry efforts toward lead optimization. In recent years, plant
derived natural compounds possessing potential anti-tumor activities have been
garnering much interest and efforts are underway to identify their molecular
targets. Here, we attempt to summarize the discoveries of several natural
compounds with activities against hematological malignancies, such as adenanthin,
oridonin, gambogic acid and wogonoside, the identification of their targets, and
their modes of actions.
PMID- 26566804
TI - Inhibitory leukocyte immunoglobulin-like receptors in cancer development.
AB - Inhibitory leukocyte immunoglobulin-like receptors (LILRB1-5) signal through
immunoreceptor tyrosine-based inhibitory motifs (ITIMs) in their intracellular
domains and recruit phosphatases protein tyrosine phosphatase, non-receptor type
6 (PTPN6, SHP-1), protein tyrosine phosphatase, non-receptor type 6 (PTPN6, SHP
2), or Src homology 2 domain containing inositol phosphatase (SHIP) to negatively
regulate immune cell activation. These receptors are known to play important
regulatory roles in immune and neuronal functions. Recent studies demonstrated
that several of these receptors are expressed by cancer cells. Importantly, they
may directly regulate development, drug resistance, and relapse of cancer, and
the activity of cancer stem cells. Although counterintuitive, these findings are
consistent with the generally immune-suppressive and thus tumor-promoting roles
of the inhibitory receptors in the immune system. This review focuses on the
ligands, expression pattern, signaling, and function of LILRB family in the
context of cancer development. Because inhibition of the signaling of certain
LILRBs directly blocks cancer growth and stimulates immunity that may suppress
tumorigenesis, but does not disturb normal development, LILRB signaling pathways
may represent ideal targets for treating hematological malignancies and perhaps
other tumors.
PMID- 26566805
TI - Fighting against hematological malignancy in China: from unique system to global
impact.
AB - During recent decades, substantial progress has been made in clinical strategies
for treating hematological malignancies. Not only did China benefit from the
global progression in the management of acute promyelocytic leukemia, risk
stratification-directed strategies for acute or chronic leukemia and
haploidentical hematopoietic stem cell transplantation, the unique system
developed by Chinese doctors has also become inspiration for refining global
clinical practice. The multicenter trials and collaborations adhering to
international standards might further strengthen the global impact and lead the
way in specific fields of research worldwide.
PMID- 26566806
TI - Development and Implementation of Tele-Savvy for Dementia Caregivers: A
Department of Veterans Affairs Clinical Demonstration Project.
AB - PURPOSE OF THE STUDY: To test fidelity and preliminary efficacy of Tele-Savvy, an
internet-based version of the in-person, evidence-based psychoeducation Savvy
Caregiver Program (SCP) for dementia caregivers. Tele-Savvy used synchronous
(tele-conferences) and asynchronous components (video modules) to provide program
access to caregivers in their homes. DESIGN AND METHODS: SCP experts were
surveyed to evaluate Tele-Savvy's fidelity to SCP. A convenience sample of 30
dementia caregivers from the Atlanta VA Medical Center enrolled in the Tele-Savvy
clinical demonstration program. Twenty-two caregivers completed both pre- and
postprogram evaluations, which included measures of caregiver stress and
competence and behavioral and psychological symptoms of dementia (BPSD). RESULTS:
Expert review confirmed Tele-Savvy's fidelity with and, in certain domains,
improvement on the original. Participants demonstrated moderately high initial
levels of burden, anxiety, and depressive symptoms all of which decreased
significantly postprogram in an intention to treat analysis. Similar reductions
were seen in care recipients' BPSD and caregivers' reactions to them. Marginally
significant increases in caregiver competence were observed. Caregiver and expert
panel evaluations of program quality were enthusiastic. IMPLICATIONS: An
effective online caregiver psychoeducation program could provide greatly expanded
access for caregivers who cannot attend in person for reasons of distance,
transportation limitations, and caregiving responsibilities. Further testing in a
controlled trial is needed to establish program efficacy.
PMID- 26566808
TI - Palestinian doctors are barred from entering UK.
PMID- 26566809
TI - Temperature effects on seaweed-sustaining top-down control vary with season.
AB - Rising seawater temperature and CO2 concentrations (ocean acidification)
represent two of the most influential factors impacting marine ecosystems in the
face of global climate change. In ecological climate change research, full
factorial experiments performed across seasons in multispecies, cross-trophic
level settings are essential as they permit a more realistic estimation of direct
and indirect effects as well as the relative importance of the effects of both
major environmental stressors on ecosystems. In benthic mesocosm experiments, we
tested the responses of coastal Baltic Sea Fucus vesiculosus communities to
elevated seawater temperature and CO2 concentrations across four seasons of one
year. While increasing [CO2] levels had only minor effects, warming had strong
and persistent effects on grazers, and the resulting effects on the Fucus
community were found to be season dependent. In late summer, a temperature-driven
collapse of grazers caused a cascading effect from the consumers to the
foundation species, resulting in overgrowth of Fucus thalli by epiphytes. In
fall/winter (outside the growing season of epiphytes), intensified grazing under
warming resulted in a significant reduction in Fucus biomass. Thus, we were able
to confirm the prediction that future increases in water temperatures will
influence marine food-web processes by altering top-down control, but we were
also able to show that specific consequences for food-web structure depend on the
season. Since F. vesiculosus is the dominant habitat-forming brown algal system
in the Baltic Sea, its potential decline under global warming implies a loss of
key functions and services such as provision of nutrient storage, substrate,
food, shelter, and nursery grounds for a diverse community of marine
invertebrates and fish in Baltic Sea coastal waters.
PMID- 26566810
TI - Idiosyncratic responses of Amazonian birds to primary forest disturbance.
AB - As humans continue to alter tropical landscapes across the world, it is important
to understand what environmental factors help determine the persistence of
biodiversity in modified ecosystems. Studies on well-known taxonomic groups can
offer critical insights as to the fate of biodiversity in these modified systems.
Here we investigated species-specific responses of 44 forest-associated bird
species with different behavioural traits to forest disturbance in 171 transects
distributed across 31 landscapes in two regions of the eastern Brazilian Amazon.
We investigated patterns of species occurrence in primary forests varyingly
disturbed by selective-logging and fire and examined the relative importance of
local, landscape and historical environmental variables in determining species
occurrences. Within undisturbed and disturbed primary forest transects, we found
that distance to forest edge and the biomass of large trees were the most
important predictors driving the occurrence of individual species. However, we
also found considerable variation in species responses to different environmental
variables as well as inter-regional variation in the responses of the same
species to the same environmental variables. We advocate the utility of using
species-level analyses to complement community-wide responses in order to uncover
highly variable and species-specific responses to environmental change that
remain so poorly understood.
PMID- 26566807
TI - MicroRNA Pharmacoepigenetics: Posttranscriptional Regulation Mechanisms behind
Variable Drug Disposition and Strategy to Develop More Effective Therapy.
AB - Knowledge of drug absorption, distribution, metabolism, and excretion (ADME) or
pharmacokinetics properties is essential for drug development and safe use of
medicine. Varied or altered ADME may lead to a loss of efficacy or adverse drug
effects. Understanding the causes of variations in drug disposition and response
has proven critical for the practice of personalized or precision medicine. The
rise of noncoding microRNA (miRNA) pharmacoepigenetics and pharmacoepigenomics
has come with accumulating evidence supporting the role of miRNAs in the
modulation of ADME gene expression and then drug disposition and response. In
this article, we review the advances in miRNA pharmacoepigenetics including the
mechanistic actions of miRNAs in the modulation of Phase I and II drug
metabolizing enzymes, efflux and uptake transporters, and xenobiotic receptors or
transcription factors after briefly introducing the characteristics of miRNA
mediated posttranscriptional gene regulation. Consequently, miRNAs may have
significant influence on drug disposition and response. Therefore, research on
miRNA pharmacoepigenetics shall not only improve mechanistic understanding of
variations in pharmacotherapy but also provide novel insights into developing
more effective therapeutic strategies.
PMID- 26566811
TI - Association of HLA-DR/DQ alleles and haplotypes with nephrotic syndrome.
AB - BACKGROUND: Nephrotic syndrome (NS) is a debilitating renal problem in children
resulting from an interaction between environmental and genetic factors including
human leukocyte antigen genes (HLA). The aim of this work was to study the
probable link between HLA alleles/haplotypes and NS in south India. METHODS: HLA
DRB1*/DQB1* alleles were genotyped in 183 NS (76 steroid sensitive-SSNS; 107
steroid resistant-SRNS) and paediatric healthy controls (PHCs; n = 91) using
polymerase chain reaction-sequence specific primers (PCR-SSP). HLA-A/-B
genotyping was performed for patients (n = 70) positive for DRB1*07-DQB1*02
haplotype to identify four locus extended haplotype. RESULTS: The following
alleles and haplotypes were strongly associated with NS (P < 0.05 as
significant): DRB1*07 (SSNS, P < 7.98 * 10(-6) ; SRNS, P < 0.008), DQB1*02 (SSNS,
P < 3.99 * 10(-6) ; SRNS, P < 0.002), DRB1*07-DQB1*02 (SSNS, P < 1.32 * 10(-4) ;
SRNS, P < 0.010), DRB1*07-DQB1*0301,0304 (DQ7) (SSNS, P < 0.001) and DRB1*03
DQB1*02 (SRNS, P < 0.048). Protective associations were observed for alleles
DRB1*10 (SRNS, P < 0.013), DQB1*05 (SSNS, P < 4.34 * 10(-6) ; SRNS, P < 0.01),
DQB1*06 (SSNS, P < 0.003), and haplotypes DRB1*10-DQB1*06 (SSNS, P < 0.046; SRNS,
P < 0.032) and DRB1*15-DQB1*05 (SSNS, P < 0.018). HLA-A/-B typing of 70 NS cases
with two locus haplotype DRB1*07-DQB1*02 (70/183; 38.25%) revealed the presence
of an extended haplotype 'A*03-B*07-DRB1*07-DQB1*02' (n = 35; 50%). CONCLUSION:
Our study revealed strong susceptible association of DRB1*07 with SRNS and
DQB1*02 with SSNS. A gender predominant protective association was observed for
DRB1*10 with SRNS females; DQB1*05 with SSNS and SRNS males. Further, the study
documented the presence of an extended haplotype and pleiotropic action of
DRB1*/DQB1* alleles in immune-mediated aetiology of NS in south India.
PMID- 26566812
TI - Demand-side interventions for maternal care: evidence of more use, not better
outcomes.
AB - BACKGROUND: Reducing maternal and neonatal mortality is essential to improving
population health. Demand-side interventions are designed to increase uptake of
critical maternal health services, but associated change in service uptake and
outcomes is varied. We undertook a literature review to understand current
evidence of demand-side intervention impact on improving utilization and outcomes
for mothers and newborn children. METHODS: We completed a rapid review of
literature in PubMed. Title and abstracts of publications identified from
selected search terms were reviewed to identify articles meeting inclusion
criteria: demand-side intervention in low or middle-income countries (LMIC),
published after September 2004 and before March 2014, study design describing and
reporting on >1 priority outcome: utilization (antenatal care visits, facility
based delivery, delivery with a skilled birth attendant) or health outcome
measures (maternal mortality ratio (MMR), stillbirth rate, perinatal mortality
rate (PMR), neonatal mortality rate (NMR)). Bibliographies were searched to
identify additional relevant papers. Articles were abstracted using a
standardized data collection template with double extraction on a sample to
ensure quality. Quality of included studies was assessed using McMaster
University's Quality Assessment Tool from the Effective Public Health Practice
Project (EPHPP). RESULTS: Five hundred and eighty two articles were screened with
50 selected for full review and 16 meeting extraction criteria (eight community
mobilization interventions (CM), seven financial incentive interventions (FI),
and one with both). We found that demand-side interventions were effective in
increasing uptake of key services with five CM and all seven FI interventions
reporting increased use of maternal health services. Association with health
outcome measures were varied with two studies reporting reductions in MMR and
four reporting reduced NMR. No studies found a reduction in stillbirth rate. Only
four of the ten studies reporting on both utilization and outcomes reported
improvement in both measures. CONCLUSIONS: We found strong evidence that demand
side interventions are associated with increased utilization of services with
more variable evidence of their impact on reducing early neonatal and maternal
mortality. Further research is needed to understand how to maximize the potential
of demand-side interventions to improve maternal and neonatal health outcomes
including the role of quality improvement and coordination with supply-side
interventions.
PMID- 26566813
TI - Palliative patients under anaesthesiological care: a single-centre retrospective
study on incidence, demographics and outcome.
AB - BACKGROUND: While anesthesiologist's involvement in palliative care has been
widely researched, extensive data on palliative patients under anesthesiological
care in the operating room is missing. This study was performed to assess the
incidence, demographics, and outcome of palliative patients under
anesthesiological care. METHODS: We conducted a single-center retrospective chart
review of all palliative patients under anesthesiological care at a university
hospital in 1 year. Patients were classified as palliative if they fulfilled all
predefined criteria (a) incurable, life-threatening disease, (b) progression of
the disease despite therapy, (c) advanced stage of the disease with limited life
expectancy, (d) receiving or being in need of a specific palliative therapy.
Demographics, periprocedural parameters, symptoms at evaluation, and outcome were
determined using different medical records. RESULTS: Of 17,580 patients examined,
276 could be classified as palliative patients (1.57%). Most contacts with
palliative patients occurred in the operating room (68.5%). In comparison to the
non-palliative patients, procedures in palliative patients were significantly
more often urgent or emergency procedures (39.1% vs. 27.1%., P < 0.001), and
hospital mortality was higher (18.8% vs. 5.0%, P < 0.001). Preprocedural symptoms
varied, with pain, gastrointestinal, and nutritional problems being the most
prevalent. CONCLUSIONS: Palliative patients are treated by anesthesiologists
under varying circumstances. Anesthesiologists need to identify these patients
and need to be aware of their characteristics to adequately attend to them during
the periprocedural period.
PMID- 26566814
TI - Relation between age of first drinking and mental health and alcohol and drug
disorders in adulthood: evidence from a 35-year cohort study.
AB - AIMS: To estimate associations between age of first drinking (AFD) and alcohol
use disorder, nicotine dependence, cannabis dependence, illicit drug dependence,
major depression and anxiety disorder in adulthood, net of a series of covariate
factors. DESIGN: Data were obtained from a longitudinal birth cohort. SETTING:
Christchurch, New Zealand. PARTICIPANTS: The Christchurch Health Development
Study (CHDS), a longitudinal study of a cohort born in 1977 and studied to age 35
years. Analysis samples ranged in size from 1056 (ages 11-13 years) to 962 (age
35 years); 50.2% of the total sample was male. MEASUREMENTS: A measure of AFD
(ages 5-13+ years) was generated using latent class analysis. Outcome measures
included: major depression, anxiety disorders, alcohol use disorder, nicotine
dependence, cannabis dependence and other illicit drug dependence during the
period 15-35 years. Covariate factors measured during childhood included family
socio-economic status, family functioning, parental alcohol-related
attitudes/behaviours and individual factors. FINDINGS: Earlier AFD was associated
significantly (P < 0.05) with increased risk of later alcohol use disorders,
nicotine dependence and illicit drug dependence, and was associated marginally (P
< 0.10) with cannabis dependence, but not depression or anxiety disorder. After
controlling for covariate factors, the associations between AFD and outcomes were
no longer statistically significant [alcohol use disorder: B = -0.07, 95%
confidence interval (CI) = -0.22, 0.08; nicotine dependence: B = -0.15, 95% CI =
0.34, 0.04; illicit drug dependence: B = -0.29, 95% CI = -0.73, 0.15; cannabis
dependence: B = -0.05, 95% CI = -0.31, 0.22]. CONCLUSIONS: The associations
between age of first drinking and later alcohol/drug disorders appear to be
accounted for at least to some degree by factors related to characteristics of
the individual and family during childhood.
PMID- 26566816
TI - An alarm for a false alarm.
PMID- 26566815
TI - Should we monitor exhaled NO to assess the restoration of CFTR function in CF
patients?
PMID- 26566817
TI - Interleukin 6 and STAT3 regulate p63 isoform expression in keratinocytes during
regeneration.
PMID- 26566818
TI - Perspectives on dermatology grand rounds: a survey of United States residency
program directors.
PMID- 26566819
TI - 2015: A landmark in plant genetics.
PMID- 26566820
TI - Next generation breeding.
AB - The genomic revolution of the past decade has greatly improved our understanding
of the genetic make-up of living organisms. The sequencing of crop genomes has
completely changed our vision and interpretation of genome organization and
evolution. Re-sequencing allows the identification of an unlimited number of
markers as well as the analysis of germplasm allelic diversity based on allele
mining approaches. High throughput marker technologies coupled with advanced
phenotyping platforms provide new opportunities for discovering marker-trait
associations which can sustain genomic-assisted breeding. The availability of
genome sequencing information is enabling genome editing (site-specific
mutagenesis), to obtain gene sequences desired by breeders. This review
illustrates how next generation sequencing-derived information can be used to
tailor genomic tools for different breeders' needs to revolutionize crop
improvement.
PMID- 26566821
TI - Application of genotyping by sequencing technology to a variety of crop breeding
programs.
AB - Since the Arabidopsis genome was completed, draft sequences or pseudomolecules
have been published for more than 100 plant genomes including green algae, in
large part due to advances in sequencing technologies. Advanced DNA sequencing
technologies have also conferred new opportunities for high-throughput low-cost
crop genotyping, based on single-nucleotide polymorphisms (SNPs). However, a
recurring complication in crop genotyping that differs from other taxa is a
higher level of DNA sequence duplication, noting that all angiosperms are thought
to have polyploidy in their evolutionary history. In the current article, we
briefly review current genotyping methods using next-generation sequencing (NGS)
technologies. We also explore case studies of genotyping-by-sequencing (GBS)
applications to several crops differing in genome size, organization and breeding
system (paleopolyploids, neo-allopolyploids, neo-autopolyploids). GBS typically
shows good results when it is applied to an inbred diploid species with a well
established reference genome. However, we have also made some progress toward GBS
of outcrossing species lacking reference genomes and of polyploid populations,
which still need much improvement. Regardless of some limitations, low-cost and
multiplexed genotyping offered by GBS will be beneficial to breed superior
cultivars in many crop species.
PMID- 26566822
TI - Breeding schemes for the implementation of genomic selection in wheat (Triticum
spp.).
AB - In the last decade the breeding technology referred to as 'genomic selection'
(GS) has been implemented in a variety of species, with particular success in
animal breeding. Recent research shows the potential of GS to reshape wheat
breeding. Many authors have concluded that the estimated genetic gain per year
applying GS is several times that of conventional breeding. GS is, however, a new
technology for wheat breeding and many programs worldwide are still struggling to
identify the best strategy for its implementation. This article provides
practical guidelines on the key considerations when implementing GS. A review of
the existing GS literature for a range of species is provided and used to prime
breeder-oriented considerations on the practical applications of GS. Furthermore,
this article discusses potential breeding schemes for GS, genotyping
considerations, and methods for effective training population design. The
components of selection intensity, progress toward inbreeding in half- or full
sibs recurrent schemes, and the generation of selection are also presented.
PMID- 26566823
TI - Effects of genome structure variation, homeologous genes and repetitive DNA on
polyploid crop research in the age of genomics.
AB - Compared to diploid species, allopolyploid crop species possess more complex
genomes, higher productivity, and greater adaptability to changing environments.
Next generation sequencing techniques have produced high-density genetic maps,
whole genome sequences, transcriptomes and epigenomes for important polyploid
crops. However, several problems interfere with the full application of next
generation sequencing techniques to these crops. Firstly, different types of
genomic variation affect sequence assembly and QTL mapping. Secondly, duplicated
or homoeologous genes can diverge in function and then lead to emergence of many
minor QTL, which increases difficulties in fine mapping, cloning and marker
assisted selection. Thirdly, repetitive DNA sequences arising in polyploid crop
genomes also impact sequence assembly, and are increasingly being shown to
produce small RNAs to regulate gene expression and hence phenotypic traits. We
propose that these three key features should be considered together when
analyzing polyploid crop genomes. It is apparent that dissection of genomic
structural variation, elucidation of the function and mechanism of interaction of
homoeologous genes, and investigation of the de novo roles of repeat sequences in
agronomic traits are necessary for genomics-based crop breeding in polyploids.
PMID- 26566824
TI - Genomics-based strategies for the use of natural variation in the improvement of
crop metabolism.
AB - Next-generation genomics holds great potential in the study of plant phenotypic
variation. With several crop reference genomes now available, the affordable
costs of de novo genome assembly or target resequencing offer the opportunity to
mine the enormous amount of genetic diversity hidden in crop wild relatives. Wide
introgressions from these wild ancestors species or land races represent a
possible strategy to improve cultivated varieties. In this review, we discuss the
mechanisms underlying metabolic diversity within plant species and the possible
strategies (and barriers) to introgress novel metabolic traits into cultivated
varieties. We show how deep genomic surveys uncover various types of structural
variants from extended gene pools of major crops and highlight how this variation
may be used for the improvement of crop metabolism.
PMID- 26566825
TI - The CRISPR-Cas9 technology: Closer to the ultimate toolkit for targeted genome
editing.
AB - The first period of plant genome editing was based on Agrobacterium; chemical
mutagenesis by EMS (ethyl methanesulfonate) and ionizing radiations; each of
these technologies led to randomly distributed genome modifications. The second
period is associated with the discoveries of homing and meganuclease enzymes
during the 80s and 90s, which were then engineered to provide efficient tools for
targeted editing. From 2006 to 2012, a few crop plants were successfully and
precisely modified using zinc-finger nucleases. A third wave of improvement in
genome editing, which led to a dramatic decrease in off-target events, was
achieved in 2009-2011 with the TALEN technology. The latest revolution surfaced
in 2013 with the CRISPR-Cas9 system, whose high efficiency and technical ease of
use is really impressive; scientists can use in-house kits or commercially
available kits; the only two requirements are to carefully choose the location of
the DNA double strand breaks to be induced and then to order an oligonucleotide.
While this close-to- ultimate toolkit for targeted editing of genomes represents
dramatic scientific progress which allows the development of more complex useful
agronomic traits through synthetic biology, the social acceptance of genome
editing remains regularly questioned by anti-GMO citizens and organizations.
PMID- 26566826
TI - Next generation sequencing and omics in cucumber (Cucumis sativus L.) breeding
directed research.
AB - In the post-genomic era the availability of genomic tools and resources is
leading us to novel generation methods in plant breeding, as they facilitate the
study of the genotype and its relationship with the phenotype, in particular for
complex traits. In this study we have mainly concentrated on the Cucumis sativus
and (but much less) Cucurbitaceae family several important vegetable crops. There
are many reports on research conducted in Cucurbitaceae plant breeding programs
on the ripening process, phloem transport, disease resistance, cold tolerance and
fruit quality traits. This paper presents the role played by new omic
technologies in the creation of knowledge on the mechanisms of the formation of
the breeding features. The analysis of NGS (NGS-next generation sequencing) data
allows the discovery of new genes and regulatory sequences, their positions, and
makes available large collections of molecular markers. Genome-wide expression
studies provide breeders with an understanding of the molecular basis of complex
traits. Firstly a high density map should be created for the reference genome,
then each re-sequencing data could be mapped and new markers brought out into
breeding populations. The paper also presents methods that could be used in the
future for the creation of variability and genomic modification of the species in
question. It has been shown also the state and usefulness in breeding the
chloroplastomic and mitochondriomic study.
PMID- 26566827
TI - Exploration of millet models for developing nutrient rich graminaceous crops.
AB - Protein-energy malnutrition and micronutrient deficiencies contribute to high
mortality among considerable proportion of the current 7.2 billion global
populations, especially children. Although poverty and diets poor in nutrition
are prime reasons for prevalence of malnutrition, nutritionally dense crops offer
an inexpensive and sustainable solution to the problem of malnutrition.
Remarkably, millets are nutritionally superior to major non-millet cereals. They
especially are rich in dietary fibers, antioxidants, phytochemicals and
polyphenols, which contribute broad-spectrum positive impacts to human health.
However, millets have received lesser research attention universally, and
considering this, the present review was planned to summarize the reports
available on nutrition profile of millets and non-millet cereals to provide a
comparative insight on importance of millets. It also emphasizes the need for
research on deciphering nutritional traits present in millets and to develop
strategies for introgressing these traits into other conventional staple crops
using germplasm and 'omics' technologies. In some millet species, excellent
'omics' and germplasm panels have started to get available which can act as a
starting point for understanding as well as of introgressing healthful traits
across millets and non-millet cereals.
PMID- 26566828
TI - Exciting journey of 10 years from genomes to fields and markets: Some success
stories of genomics-assisted breeding in chickpea, pigeonpea and groundnut.
AB - Legume crops such as chickpea, pigeonpea and groundnut, mostly grown in marginal
environments, are the major source of nutrition and protein to the human
population in Asia and Sub-Saharan Africa. These crops, however, have a low
productivity, mainly due to their exposure to several biotic and abiotic stresses
in the marginal environments. Until 2005, these crops had limited genomics
resources and molecular breeding was very challenging. During the last decade
(2005-2015), ICRISAT led demand-driven innovations in genome science and
translated the massive genome information in breeding. For instance, large-scale
genomic resources including draft genome assemblies, comprehensive genetic and
physical maps, thousands of SSR markers, millions of SNPs, several high
throughput as well as low cost marker genotyping platforms have been developed in
these crops. After mapping several breeding related traits, several success
stories of translational genomics have become available in these legumes. These
include development of superior lines with enhanced drought tolerance in
chickpea, enhanced and pyramided resistance to Fusarium wilt and Ascochyta blight
in chickpea, enhanced resistance to leaf rust in groundnut, improved oil quality
in groundnut and utilization of markers for assessing purity of hybrids/parental
lines in pigeonpea. Some of these stories together with future prospects have
been discussed.
PMID- 26566829
TI - Genomic selection in maritime pine.
AB - A two-generation maritime pine (Pinus pinaster Ait.) breeding population (n=661)
was genotyped using 2500 SNP markers. The extent of linkage disequilibrium and
utility of genomic selection for growth and stem straightness improvement were
investigated. The overall intra-chromosomal linkage disequilibrium was r(2)=0.01.
Linkage disequilibrium corrected for genomic relationships derived from markers
was smaller (rV(2)=0.006). Genomic BLUP, Bayesian ridge regression and Bayesian
LASSO regression statistical models were used to obtain genomic estimated
breeding values. Two validation methods (random sampling 50% of the population
and 10% of the progeny generation as validation sets) were used with 100
replications. The average predictive ability across statistical models and
validation methods was about 0.49 for stem sweep, and 0.47 and 0.43 for total
height and tree diameter, respectively. The sensitivity analysis suggested that
prior densities (variance explained by markers) had little or no discernible
effect on posterior means (residual variance) in Bayesian prediction models.
Sampling from the progeny generation for model validation increased the
predictive ability of markers for tree diameter and stem sweep but not for total
height. The results are promising despite low linkage disequilibrium and low
marker coverage of the genome (~1.39 markers/cM).
PMID- 26566830
TI - Dissecting quantitative trait variation in the resequencing era: complementarity
of bi-parental, multi-parental and association panels.
AB - Quantitative trait loci (QTL) have been identified using traditional linkage
mapping and positional cloning identified several QTLs. However linkage mapping
is limited to the analysis of traits differing between two lines and the impact
of the genetic background on QTL effect has been underlined. Genome-wide
association studies (GWAs) were proposed to circumvent these limitations. In
tomato, we have shown that GWAs is possible, using the admixed nature of cherry
tomato genomes that reduces the impact of population structure. Nevertheless,
GWAs success might be limited due to the low decay of linkage disequilibrium,
which varies along the genome in this species. Multi-parent advanced generation
intercross (MAGIC) populations offer an alternative to traditional linkage and
GWAs by increasing the precision of QTL mapping. We have developed a MAGIC
population by crossing eight tomato lines whose genomes were resequenced. We
showed the potential of the MAGIC population when coupled with whole genome
sequencing to detect candidate single nucleotide polymorphisms (SNPs) underlying
the QTLs. QTLs for fruit quality traits were mapped and related to the variations
detected at the genome sequence and expression levels. The advantages and
limitations of the three types of population, in the context of the available
genome sequence and resequencing facilities, are discussed.
PMID- 26566831
TI - Construction of a versatile SNP array for pyramiding useful genes of rice.
AB - DNA marker-assisted selection (MAS) has become an indispensable component of
breeding. Single nucleotide polymorphisms (SNP) are the most frequent
polymorphism in the rice genome. However, SNP markers are not readily employed in
MAS because of limitations in genotyping platforms. Here the authors report a
Golden Gate SNP array that targets specific genes controlling yield-related
traits and biotic stress resistance in rice. As a first step, the SNP genotypes
were surveyed in 31 parental varieties using the Affymetrix Rice 44K SNP
microarray. The haplotype information for 16 target genes was then converted to
the Golden Gate platform with 143-plex markers. Haplotypes for the 14 useful
allele are unique and can discriminate among all other varieties. The genotyping
consistency between the Affymetrix microarray and the Golden Gate array was
92.8%, and the accuracy of the Golden Gate array was confirmed in 3 F2
segregating populations. The concept of the haplotype-based selection by using
the constructed SNP array was proofed.
PMID- 26566832
TI - HaploSNP affinities and linkage map positions illuminate subgenome composition in
the octoploid, cultivated strawberry (Fragaria*ananassa).
AB - The cultivated strawberry, Fragaria*ananassa possesses a genetically complex allo
octoploid genome. Advances in genomics research in Fragaria, including the
release of a genome sequence for F. vesca, have permitted the development of a
high throughput whole genome genotyping array for strawberry, which promises to
facilitate genetics and genomics research. In this investigation, we used the
Axiom(r) IStraw90(r))array for linkage map development, and produced a linkage
map containing 8,407 SNP markers spanning 1,820cM. Whilst the linkage map
provides good coverage of the genome of both parental genotypes, the map of
'Monterey' contained significantly fewer mapped markers than did that of
'Darselect'. The array contains a novel marker class known as haploSNPs, which
exploit homoeologous sequence variants as probe destabilization sites to
effectively reduce marker ploidy. We examined these sites as potential indicators
of subgenomic identities by using comparisons to allele states in two ancestral
diploids. On this basis, haploSNP loci could be inferred to be derived from F.
vesca, F. iinumae, or from an unknown source. When the identity classifications
of haploSNPs were considered in conjunction with their respective linkage map
positions, it was possible to define two discrete subgenomes, while the remaining
homoeologues of each chromosome could not be partitioned into two discrete
subgenomic groupings. These findings suggested a novel hypothesis regarding
octoploid strawberry subgenome structure and evolutionary origins.
PMID- 26566833
TI - Genetic dissection of the (poly)phenol profile of diploid strawberry (Fragaria
vesca) fruits using a NIL collection.
AB - Over the last few years, diploid strawberry (Fragaria vesca) has been recognized
as a model species for applied research of cultivated strawberry (Fragaria *
ananassa) that is one of the most economically important crops. Berries,
particularly strawberries, are known for their high antioxidant capacity due to a
high concentration of (poly) phenolic compounds. Studies have already
characterized the phenolic composition of fruits from sets of cultivated
strawberries but the quantification of phenolics in a Fragaria mapping population
has not been reported, yet. The metabolite profiling of a F. vesca near isogenic
line (NIL) collection by LC-MS allowed the unambiguous identification of 22
(poly)-phenols, including anthocyanins, flavonols, flavan-3-ols, flavanones,
hydroxycinnamic acid derivatives, and ellagic acid in the diploid strawberry
fruit. The variability in the collection revealed that the genetic factor was
more decisive than the environmental factor for the accumulation of 18 of the 24
compounds. Genotyping the NIL collection with the Axiom(r) IStraw90(r) SNPs
array, we were able to map 76 stable QTLs controlling accumulation of the (poly)
phenolic compounds. They provide a powerful new tool to characterise candidate
genes to increase the antioxidant capacity of fruits and produce healthier
strawberries for consumers.
PMID- 26566834
TI - A genome-wide association study of plant height and primary branch number in
rapeseed (Brassica napus).
AB - Crop plant architecture plays a highly important role in its agronomic
performance. Plant height (PH) and primary branch number (PB) are two major
factors that affect the plant architecture of rapeseed (Brassica napus). Previous
studies have shown that these two traits are controlled by multiple quantitative
trait loci (QTL); however, QTLs have not been delimited to regions less than
10cM. Genome-wide association study (GWAS) is a highly efficient approach for
identifying genetic loci controlling traits at relatively high resolution. In
this study, variations in PH and PB of a panel of 472 rapeseed accessions that
had previously been analyzed by a 60k SNP array were investigated for three
consecutive years and studied by GWAS. Eight QTLs on chromosome A03, A05, A07 and
C07 were identified for PH, and five QTLs on A01, A03, A07 and C07 were
identified for PB. Although most QTLs have been detected in previous studies
based on linkage analyses, the two QTLs of PH on A05 and the QTL of PB on C07
were novel. In the genomic regions close to the GWAS peaks, orthologs of the
genes involved in flower development, phytohormone biosynthesis, metabolism and
signaling in Arabidopsis were identified.
PMID- 26566835
TI - Functional characterization of GhSOC1 and GhMADS42 homologs from upland cotton
(Gossypium hirsutum L.).
AB - In Arabidopsis flowering pathway, MADS-box genes encode transcription factors,
with their structures and functions highly conserved in many species. In our
study, two MADS-box genes GhSOC1 and GhMADS42 (Gossypium hirsutum L.) were cloned
from upland cotton CCRI36 and transformed into Arabidopsis. GhSOC1 was
additionally transformed into upland cotton. Comparative analysis demonstrated
sequence conservation between GhSOC1 and GhMADS42 and genes of other plant
species. Tissue-specific expression analysis of GhSOC1 and GhMADS42 revealed
spatiotemporal expression patterns involving high transcript levels in leaves,
shoot apical buds, and flowers. In addition, overexpression of both GhSOC1 and
GhMADS42 in Arabidopsis accelerated flowering, with GhMADS42 transgenic plants
showing abnormal floral organ phenotypes. Overexpression of GhSOC1 in upland
cotton also produced variations in floral organs. Furthermore, chromatin
immunoprecipitation assay demonstrated that GhSOC1 could regulate GhMADS41 and
GhMADS42, but not FLOWERING LOCUS T, by directly binding to the genes promoter.
Finally, yeast two-hybrid and bimolecular fluorescence complementation approaches
were undertaken to better understand the interaction of GhSOC1 and other MADS-box
factors. These experiments showed that GhSOC1 can interact with
APETALA1/FRUITFULL-like proteins in cotton.
PMID- 26566836
TI - Both Hd1 and Ehd1 are important for artificial selection of flowering time in
cultivated rice.
AB - Rice is a facultative short-day plant, and it requires a photoperiod shorter than
the critical day length to get flowering. Sensitivity to photoperiod has been
suggested as a major selection target in cultivated or weedy rice. The modern
rice varieties in Taiwan may be cultivated twice a year. These varieties contain
loss-of-function of two important flowering-time related genes, Heading date 1
(Hd1) and Early heading date 1 (Ehd1), and are mainly from a mega variety,
Taichung 65. However, the parental lines of this variety were sensitive to
photoperiod, thus, how Taichung 65 loss its sensitivity is a mystery. In this
study, we used accession-specific single nucleotide polymorphism analysis to
reveal the gene flow that occurred between different rice accessions decades ago
and demonstrate that two landraces introgressed during the breeding process,
which led to the loss of photoperiod sensitivity. Both Hd1 and Ehd1 may be
important during artificial selection for flowering time, especially in a
subtropical region such as Taiwan. This is a good example of introgression
playing important roles during rice domestication.
PMID- 26566837
TI - Induced mutations in tomato SlExp1 alter cell wall metabolism and delay fruit
softening.
AB - Fruit ripening and softening are key traits for many fleshy fruit. Since cell
walls play a key role in the softening process, expansins have been investigated
to control fruit over ripening and deterioration. In tomato, expression of
Expansin 1 gene, SlExp1, during fruit ripening was associated with fruit
softening. To engineer tomato plants with long shelf life, we screened for mutant
plants impaired in SlExp1 function. Characterization of two induced mutations,
Slexp1-6_W211S, and Slexp1-7_Q213Stop, showed that SlExp1 loss of function leads
to enhanced fruit firmness and delayed fruit ripening. Analysis of cell wall
polysaccharide composition of Slexp1-7_Q213Stop mutant pointed out significant
differences for uronic acid, neutral sugar and total sugar contents.
Hemicelluloses chemistry analysis by endo-beta-1,4-d-glucanase hydrolysis and
MALDI-TOF spectrometry revealed that xyloglucan structures were affected in the
fruit pericarp of Slexp1-7_Q213Stop mutant. Altogether, these results
demonstrated that SlExp1 loss of function mutants yield firmer and late ripening
fruits through modification of hemicellulose structure. These SlExp1 mutants
represent good tools for breeding long shelf life tomato lines with contrasted
fruit texture as well as for the understanding of the cell wall polysaccharide
assembly dynamics in fleshy fruits.
PMID- 26566838
TI - Molecular breeding for introgression of fatty acid desaturase mutant alleles
(ahFAD2A and ahFAD2B) enhances oil quality in high and low oil containing peanut
genotypes.
AB - High oleate peanuts have two marketable benefits, health benefits to consumers
and extended shelf life of peanut products. Two mutant alleles present on linkage
group a09 (ahFAD2A) and b09 (ahFAD2B) control composition of three major fatty
acids, oleic, linoleic and palmitic acids which together determine peanut oil
quality. In conventional breeding, selection for fatty acid composition is
delayed to advanced generations. However by using DNA markers, breeders can
reject large number of plants in early generations and therefore can optimize
time and resources. Here, two approaches of molecular breeding namely marker
assisted backcrossing (MABC) and marker-assisted selection (MAS) were employed to
transfer two FAD2 mutant alleles from SunOleic 95R into the genetic background of
ICGV 06110, ICGV 06142 and ICGV 06420. In summary, 82 MABC and 387 MAS derived
introgression lines (ILs) were developed using DNA markers with elevated oleic
acid varying from 62 to 83%. Oleic acid increased by 0.5-1.1 folds, with
concomitant reduction of linoleic acid by 0.4-1.0 folds and palmitic acid by 0.1
0.6 folds among ILs compared to recurrent parents. Finally, high oleate ILs, 27
with high oil (53-58%), and 28 ILs with low oil content (42-50%) were selected
that may be released for cultivation upon further evaluation.
PMID- 26566839
TI - Characterization of drought- and heat-responsive microRNAs in switchgrass.
AB - Recent investigations revealed that microRNAs (miRNAs) play crucial roles in
plant acclimation to stress conditions. Switchgrass, one of the important biofuel
crop species can withstand hot and dry climates but the molecular basis of stress
tolerance is relatively unknown. To identify miRNAs that are important for
tolerating drought or heat, small RNAs were profiled in leaves of adult plants
exposed to drought or heat. Sequence analysis enabled the identification of 29
conserved and 62 novel miRNA families. Notably, the abundances of several
conserved and novel miRNAs were dramatically altered following drought or heat.
Using at least one fold (log2) change as cut off, we observed that 13 conserved
miRNA families were differentially regulated by both stresses, and, five and four
families were specifically regulated by drought and heat, respectively.
Similarly, using a more stringent cut off of two fold (log2) regulation, we found
5 and 16 novel miRNA families were upregulated but 6 and 7 families were
downregulated under drought and heat, respectively. The stress-altered expression
of a subset of miRNAs and their targets was confirmed using quantitative PCR.
Overall, the switchgrass plants exposed to drought or heat revealed similarities
as well as differences with respect to miRNA regulation, which could be important
for enduring different stress conditions.
PMID- 26566840
TI - Identification of candidate network hubs involved in metabolic adjustments of
rice under drought stress by integrating transcriptome data and genome-scale
metabolic network.
AB - In this study, we have integrated a rice genome-scale metabolic network and the
transcriptome of a drought-tolerant rice line, DK151, to identify the major
transcriptional regulators involved in metabolic adjustments necessary for
adaptation to drought. This was achieved by examining the differential
expressions of transcription factors and metabolic genes in leaf, root and young
panicle of rice plants subjected to drought stress during tillering, booting and
panicle elongation stages. Critical transcription factors such as AP2/ERF, bZIP,
MYB and NAC that control the important nodes in the gene regulatory pathway were
identified through correlative analysis of the patterns of spatio-temporal
expression and cis-element enrichment. We showed that many of the candidate
transcription factors involved in metabolic adjustments were previously linked to
phenotypic variation for drought tolerance. This approach represents the first
attempt to integrate models of transcriptional regulation and metabolic pathways
for the identification of candidate regulatory genes for targeted selection in
rice breeding.
PMID- 26566841
TI - The phenome analysis of mutant alleles in Leucine-Rich Repeat Receptor-Like
Kinase genes in rice reveals new potential targets for stress tolerant cereals.
AB - Plants are constantly exposed to a variety of biotic and abiotic stresses that
reduce their fitness and performance. At the molecular level, the perception of
extracellular stimuli and the subsequent activation of defense responses require
a complex interplay of signaling cascades, in which protein phosphorylation plays
a central role. Several studies have shown that some members of the Leucine-Rich
Repeat Receptor-Like Kinase (LRR-RLK) family are involved in stress and
developmental pathways. We report here a systematic analysis of the role of the
members of this gene family by mutant phenotyping in the monocotyledon model
plant rice, Oryza sativa. We have then targeted 176 of the ~320 LRR-RLK genes
(55.7%) and genotyped 288 mutant lines. Position of the insertion was confirmed
in 128 lines corresponding to 100 LRR-RLK genes (31.6% of the entire family). All
mutant lines harboring homozygous insertions have been screened for phenotypes
under normal conditions and under various abiotic stresses. Mutant plants have
been observed at several stages of growth, from seedlings in Petri dishes to
flowering and grain filling under greenhouse conditions. Our results show that 37
of the LRR-RLK rice genes are potential targets for improvement especially in the
generation of abiotic stress tolerant cereals.
PMID- 26566842
TI - Identification of an ERECTA gene and its drought adaptation associations with
wild and cultivated common bean.
AB - In this research, we cloned and accessed nucleotide diversity in the common bean
ERECTA gene which has been implicated in drought tolerance and stomatal
patterning. The homologous gene segment was isolated with degenerate primer and
was found to be located on Chromosome 1. The gene had at least one paralog on
Chromosome 9 and duplicate copies in soybean for each homolog. ERECTA-like genes
were also discovered but the function of these was of less interest due to low
similarity with the ERECTA gene from Arabidopsis. The diversity of the 5' end of
the large Chr. 1 PvERECTA gene was evaluated in a collection of 145 wild and
cultivated common beans that were also characterized by geographic source and
drought tolerance, respectively. Our wild population sampled a range of wet to
dry habitats, while our cultivated samples were representative of landrace
diversity and the patterns of nucleotide variation differed between groups. The
5' region exhibited lower levels of diversity in the cultivated collection, which
was indicative of population bottlenecks associated with the domestication
process, compared to the wild collection where diversity was associated with
ecological differences. We discuss associations of nucleotide diversity at
PvERECTA with drought tolerance prediction for the genotypes.
PMID- 26566843
TI - Water uptake in barley grain: Physiology; genetics and industrial applications.
AB - Water uptake by mature barley grains initiates germination and is the first stage
in the malting process. Here we have investigated the effects of starchy
endosperm cell wall thickness on water uptake, together with the effects of
varying amounts of the wall polysaccharide, (1,3;1,4)-beta-glucan. In the latter
case, we examined mutant barley lines from a mutant library and transgenic barley
lines in which the (1,3;1,4)-beta-glucan synthase gene, HvCslF6, was down
regulated by RNA interference. Neither cell wall thickness nor the levels of
grain (1,3;1,4)-beta-glucan were significantly correlated with water uptake but
are likely to influence modification during malting. However, when a barley
mapping population was phenotyped for rate of water uptake into grain,
quantitative trait locus (QTL) analysis identified specific regions of
chromosomes 4H, 5H and 7H that accounted for approximately 17%, 18% and 11%,
respectively, of the phenotypic variation. These data indicate that variation in
water uptake rates by elite malting cultivars of barley is genetically controlled
and a number of candidate genes that might control the trait were identified
under the QTL. The genomics data raise the possibility that the genetic variation
in water uptake rates might be exploited by breeders for the benefit of the
malting and brewing industries.
PMID- 26566844
TI - Detection of QTL for exudation rate at ripening stage in rice and its
contribution to hydraulic conductance.
AB - Dry matter production of crops is determined by how much light they intercept and
how efficiently they use it for carbon fixation; i.e., photosynthesis. The high
yielding rice cultivar, Akenohoshi, maintains a high photosynthetic rate in the
middle of the day owing to its high hydraulic conductance in comparison with the
elite commercial rice cultivar, Koshihikari. We developed 94 recombinant inbred
lines derived from Akenohoshi and Koshihikari and measured their exudation rate
to calculate hydraulic conductance to osmotic water transport in a paddy field. A
quantitative trait locus (QTL) for exudation rate was detected on the long arm of
chromosome 2 at the heading and ripening stages. We developed chromosome segment
substitution lines which carried Akenohoshi segments in the Koshihikari genetic
background, and measured hydraulic conductance to both osmotic and passive water
transport. The QTL was confirmed to be located within a region of about 4.2Mbp on
the distal end of long arm of chromosome 2. The Akenohoshi allele increased root
surface area and hydraulic conductance, but didn't increase hydraulic
conductivity of a plant.
PMID- 26566845
TI - From QTL to variety-harnessing the benefits of QTLs for drought, flood and salt
tolerance in mega rice varieties of India through a multi-institutional network.
AB - Rice is a staple cereal of India cultivated in about 43.5Mha area but with
relatively low average productivity. Abiotic factors like drought, flood and
salinity affect rice production adversely in more than 50% of this area. Breeding
rice varieties with inbuilt tolerance to these stresses offers an economically
viable and sustainable option to improve rice productivity. Availability of high
quality reference genome sequence of rice, knowledge of exact position of
genes/QTLs governing tolerance to abiotic stresses and availability of DNA
markers linked to these traits has opened up opportunities for breeders to
transfer the favorable alleles into widely grown rice varieties through marker
assisted backcross breeding (MABB). A large multi-institutional project, "From
QTL to variety: marker-assisted breeding of abiotic stress tolerant rice
varieties with major QTLs for drought, submergence and salt tolerance" was
initiated in 2010 with funding support from Department of Biotechnology,
Government of India, in collaboration with International Rice Research Institute,
Philippines. The main focus of this project is to improve rice productivity in
the fragile ecosystems of eastern, northeastern and southern part of the country,
which bear the brunt of one or the other abiotic stresses frequently. Seven
consistent QTLs for grain yield under drought, namely, qDTY1.1, qDTY2.1, qDTY2.2,
qDTY3.1, qDTY3.2, qDTY9.1 and qDTY12.1 are being transferred into submergence
tolerant versions of three high yielding mega rice varieties, Swarna-Sub1, Samba
Mahsuri-Sub1 and IR 64-Sub1. To address the problem of complete submergence due
to flash floods in the major river basins, the Sub1 gene is being transferred
into ten highly popular locally adapted rice varieties namely, ADT 39, ADT 46,
Bahadur, HUR 105, MTU 1075, Pooja, Pratikshya, Rajendra Mahsuri, Ranjit, and
Sarjoo 52. Further, to address the problem of soil salinity, Saltol, a major QTL
for salt tolerance is being transferred into seven popular locally adapted rice
varieties, namely, ADT 45, CR 1009, Gayatri, MTU 1010, PR 114, Pusa 44 and Sarjoo
52. Genotypic background selection is being done after BC2F2 stage using an in
house designed 50K SNP chip on a set of twenty lines for each combination,
identified with phenotypic similarity in the field to the recipient parent. Near
isogenic lines with more than 90% similarity to the recipient parent are now in
advanced generation field trials. These climate smart varieties are expected to
improve rice productivity in the adverse ecologies and contribute to the farmer's
livelihood.
PMID- 26566846
TI - Improving salt tolerance of lowland rice cultivar 'Rassi' through marker-aided
backcross breeding in West Africa.
AB - Salt stress affects about 25% of the 4.4 million ha of irrigated and lowland
systems for rice cultivation in West Africa (WA). A major quantitative trait
locus (QTLs) on chromosome 1 (Saltol) that enhances tolerance to salt stress at
the vegetative stage has enabled the use of marker-assisted selection (MAS) to
develop salt-tolerant rice cultivar(s) in WA. We used 3 cycles of backcrossing
with selection based on DNA markers and field-testing using 'FL478' as tolerant
donor and the widely grown 'Rassi' as recurrent parent. In the BC3F2 stage, salt
tolerant lines with over 80% Rassi alleles except in the region around Saltol
segment were selected. 429 introgression lines (Saltol-ILs) were identified as
tolerant at vegetative stage, of which 116 were field-tested for four seasons at
the reproductive stage. Sixteen Saltol-ILs had less yield loss (3-26% relative to
control trials), and 8 Saltol-ILs showed high yield potential under stress and
non-stress conditions. The 16 Saltol-ILs had been included for further African
wide testing prior to release in 6 WA countries. MAS reduced the time for
germplasm improvement from at least 7 to about 4 years. Our objective is to
combine different genes/QTLs conferring tolerance to stresses under one genetic
background using MAS.
PMID- 26566847
TI - Yield QTLome distribution correlates with gene density in maize.
AB - The genetic control of yield and related traits in maize has been addressed by
many quantitative trait locus (QTL) studies, which have produced a wealth of QTL
information, also known as QTLome. In this study, we assembled a yield QTLome
database and carried out QTL meta-analysis based on 44 published studies,
representing 32 independent mapping populations and 49 parental lines. A total of
808 unique QTLs were condensed to 84 meta-QTLs and were projected on the 10 maize
chromosomes. Seventy-four percent of QTLs showed a proportion of phenotypic
variance explained (PVE) smaller than 10% confirming the high genetic complexity
of grain yield. Yield QTLome projection on the genetic map suggested
pericentromeric enrichment of QTLs. Conversely, pericentromeric depletion of QTLs
was observed when the physical map was considered, suggesting gene density as the
main driver of yield QTL distribution on chromosomes. Dominant and overdominant
yield QTLs did not distribute differently from additive effect QTLs.
PMID- 26566848
TI - Breeding maize for silage and biofuel production, an illustration of a step
forward with the genome sequence.
AB - The knowledge of the gene families mostly impacting cell wall digestibility
variations would significantly increase the efficiency of marker-assisted
selection when breeding maize and grass varieties with improved silage feeding
value and/or with better straw fermentability into alcohol or methane. The maize
genome sequence of the B73 inbred line was released at the end of 2009, opening
up new avenues to identify the genetic determinants of quantitative traits.
Colocalizations between a large set of candidate genes putatively involved in
secondary cell wall assembly and QTLs for cell wall digestibility (IVNDFD) were
then investigated, considering physical positions of both genes and QTLs. Based
on available data from six RIL progenies, 59 QTLs corresponding to 38 non
overlapping positions were matched up with a list of 442 genes distributed all
over the genome. Altogether, 176 genes colocalized with IVNDFD QTLs and most
often, several candidate genes colocalized at each QTL position. Frequent QTL
colocalizations were found firstly with genes encoding ZmMYB and ZmNAC
transcription factors, and secondly with genes encoding zinc finger, bHLH, and
xylogen regulation factors. In contrast, close colocalizations were less frequent
with genes involved in monolignol biosynthesis, and found only with the C4H2,
CCoAOMT5, and CCR1 genes. Close colocalizations were also infrequent with genes
involved in cell wall feruloylation and cross-linkages. Altogether, investigated
colocalizations between candidate genes and cell wall digestibility QTLs
suggested a prevalent role of regulation factors over constitutive cell wall
genes on digestibility variations.
PMID- 26566849
TI - Improvement of Basmati rice varieties for resistance to blast and bacterial
blight diseases using marker assisted backcross breeding.
AB - Marker assisted backcross breeding was employed to incorporate the blast
resistance genes, Pi2 and Pi54 and bacterial blight (BB) resistance genes xa13
and Xa21 into the genetic background of Pusa Basmati 1121 (PB1121) and Pusa
Basmati 6. Foreground selection for target gene(s) was followed by arduous
phenotypic and background selection which fast-tracked the recovery of recurrent
parent genome (RPG) to an extent of 95.8% in one of the near-isogenic lines
(NILs) namely, Pusa 1728-23-33-31-56, which also showed high degree of
resemblance to recurrent parent, PB6 in phenotype. The phenotypic selection prior
to background selection provided an additional opportunity for identifying the
novel recombinants viz., Pusa 1884-9-12-14 and Pusa 1884-3-9-175, superior to
parental lines in terms of early maturity, higher yield and improved quality
parameters. There was no significant difference between the RPG recovery
estimated based on SSR or SNP markers, however, the panel of SNPs markers was
considered as the better choice for background selection as it provided better
genome coverage and included SNPs in the genic regions. Multi-location evaluation
of NILs depicted their stable and high mean performance in comparison to the
respective recurrent parents. The Pi2+Pi54 carrying NILs were effective in
combating a pan-India panel of Magnaporthe oryzae isolates with high level of
field resistance in northern, eastern and southern parts of India. Alongside, the
PB1121-NILs and PB6-NILs carrying BB resistance genes xa13+Xa21 were resistant
against Xanthomonas oryzae pv. oryzae races of north-western, southern and
eastern parts of the country. Three of NILs developed in this study, have been
promoted to final stage of testing during the Kharif 2015 in the Indian National
Basmati Trial.
PMID- 26566850
TI - Genomic-assisted phylogenetic analysis and marker development for next generation
soybean cyst nematode resistance breeding.
AB - Soybean cyst nematode (SCN, Heterodera glycines Ichinohe) is a serious soybean
pest. The use of resistant cultivars is an effective approach for preventing
yield loss. In this study, 19,652 publicly available soybean accessions that were
previously genotyped with the SoySNP50K iSelect BeadChip were used to evaluate
the phylogenetic diversity of SCN resistance genes Rhg1 and Rhg4 in an attempt to
identify novel sources of resistance. The sequence information of soybean lines
was utilized to develop KASPar (KBioscience Competitive Allele-Specific PCR)
assays from single nucleotide polymorphisms (SNPs) of Rhg1, Rhg4, and other novel
quantitative trait loci (QTL). These markers were used to genotype a diverse set
of 95 soybean germplasm lines and three recombinant inbred line (RIL)
populations. SNP markers from the Rhg1 gene were able to differentiate copy
number variation (CNV), such as resistant-high copy (PI 88788-type), low copy
(Peking-type), and susceptible-single copy (Williams 82) numbers. Similarly,
markers for the Rhg4 gene were able to detect Peking-type (resistance) genotypes.
The phylogenetic information of SCN resistance loci from a large set of soybean
accessions and the gene/QTL specific markers that were developed in this study
will accelerate SCN resistance breeding programs.
PMID- 26566851
TI - Development of molecular markers linked to disease resistance genes in common
bean based on whole genome sequence.
AB - Common bean (Phaseolus vulgaris) is the most important grain legume for direct
human consumption in the world, particularly in developing countries where it
constitutes the main source of protein. Unfortunately, common bean yield
stability is constrained by a number of pests and diseases. As use of resistant
genotypes is the most economic and ecologically safe means for controlling plant
diseases, efforts have been made to genetically characterize resistance genes (R
genes) in common bean. Despite its agronomic importance, genomic resources
available in common bean were limited until the recent sequencing of common bean
genome (Andean genotype G19833). Besides allowing the annotation of Nucleotide
Binding-Leucine Rich Repeat (NB-LRR) encoding gene family, which is the prevalent
class of disease R genes in plants, access to the whole genome sequence of common
bean can be of great help for intense selection to increase the overall
efficiency of crop improvement programs using marker-assisted selection (MAS).
This review presents the state of the art of common bean NB-LRR gene clusters,
their peculiar location in subtelomeres and correlation with genetically
characterized monogenic R genes, as well as how the availability of the whole
genome sequence can boost the development of molecular markers for MAS.
PMID- 26566852
TI - The carbocyanine dye DiD labels in vitro and in vivo neural stem cells of the
subventricular zone as well as myelinated structures following in vivo injection
in the lateral ventricle.
AB - Carbocyanines are fluorescent lipophilic cationic dyes used since the early 1980s
as neuronal tracers. Several applications of these compounds have been developed
thanks to their low cell toxicity, lateral diffusion within the cellular
membranes, and good photostability. 1,1'-Dioctadecyl-3,3,3',3'
tetramethylindodicarbocyanine 4-chlorobenzenesulfonate (DiD) is an interesting
component of this family because, in addition to the classic carbocyanine
properties, it has a longer wavelength compared with its analogues. That makes
DiD an excellent carbocyanine for labeling cells and tissues with significant
intrinsic fluorescence. Drug encapsulation, drug delivery, and cellular
transplantation are also fields using DiD-based systems where having detailed
knowledge about its behavior as a single entity is important. Recently, promising
studies concerned neural stem cells from the subventricular zone of the lateral
ventricle in the brain (their natural niche) and their potential therapeutic use.
Here, we show that DiD is able to label these stem cells in vitro and present
basilar information concerning its pharmacokinetics, concentrations, and
microscope protocols. Moreover, when DiD is injected in vivo in the cerebrospinal
fluid present in the lateral ventricle of rat, it also labels stem cells as well
as myelinated structures of the caudoputamen. This analysis provides a database
to consult when planning experiments concerning DiD and neural stem cells from
the subventricular zone.
PMID- 26566853
TI - Spontaneous initiation, promotion and progression of colorectal cancer in the
novel A/J Min/+ mouse.
AB - The C57BL/6J multiple intestinal neoplasia (Min/+) mouse is a widely used murine
model for familial adenomatous polyposis, a hereditary form of human colorectal
cancer. However, it is a questionable model partly because the vast majority of
tumors arise in the small intestine, and partly because the fraction of tumors
that progress to invasive carcinomas is minuscule. A/J mice are typically more
susceptible to carcinogen-induced colorectal cancer than C57BL/6J mice. To
investigate whether the novel Min/+ mouse on the A/J genetic background could be
a better model for colorectal cancer, we examined the spontaneous intestinal
tumorigenesis in 81 A/J Min/+ mice ranging in age from 4 to 60 weeks. The A/J
Min/+ mouse exhibited a dramatic increase in number of colonic lesions when
compared to what has been reported for the conventional Min/+ mouse; however, an
increase in small intestinal lesions did not occur. In addition, this novel mouse
model displayed a continual development of colonic lesions highlighted by the
transition from early lesions (flat ACF) to tumors over time. In mice older than
40 weeks, 13 colonic (95% CI: 8.7-16.3) and 21 small intestinal (95% CI: 18.6
24.3) tumors were recorded. Notably, a considerable proportion of those lesions
progressed to carcinomas in both the colon (21%) and small intestine (51%). These
findings more closely reflect aspects of human colorectal carcinogenesis. In
conclusion, the novel A/J Min/+ mouse may be a relevant model for initiation,
promotion and progression of colorectal cancer.
PMID- 26566854
TI - Liver inflammation and cancer: The role of tissue microenvironment in generating
the tumor-promoting niche (TPN) in the development of hepatocellular carcinoma.
PMID- 26566855
TI - A Humanized Anti-Interleukin 6 Receptor Monoclonal Antibody, Tocilizumab, for the
Treatment of Endometriosis in a Rat Model.
AB - OBJECTIVE: The aim of this study was to investigate the efficacy of anti
interleukin 6 (IL-6) therapy in the treatment of endometriosis in a rat model.
STUDY DESIGN: After the peritoneal implantation of autologous endometrial tissue,
22 Wistar female rats were divided to create 2 intervention groups: the
tocilizumab group (n = 13) and the control group (n = 9). After measuring implant
volume, saline was administered to the rats in the control group and 8 mg/kg
tocilizumab was administered intraperitoneally to the rats in the tocilizumab
treated group every 2 weeks. After a 4-week treatment period, the volumes and
histopathological properties of the implants were evaluated. A scoring system was
used to evaluate the preservation of epithelia. Fibrosis score was assessed
between the groups. Ectopic and eutopic endometrium were evaluated
immunohistochemically for IL-6 and vascular endothelial growth factor (VEGF).
RESULTS: There was a significant difference between the volumes of implants
before and after treatment in the tocilizumab group (P < .05). The posttreatment
volumes of lesions were smaller in the tocilizumab group than in the control
group. Histologic and fibrosis scores were lower in the tocilizumab group than in
the control group. Immunoreactivity intensity for VEGF was significantly
decreased in the tocilizumab group for ectopic and eutopic endometrium (P < .05).
Interleukin 6 levels and endometrial thickness for ectopic and eutopic
endometrium were similar between the groups. CONCLUSION: Tocilizumab treatment
had a regressive effect on the endometriotic implants.
PMID- 26566857
TI - Corrigendum to "Congenital microcephaly and chorioretinopathy due to de novo
heterozygous KIF11 mutations: Five novel mutations and review of the literature.
Am J Med Genet Part A 2014 164A:2879-86".
PMID- 26566856
TI - Potential Utility of Melatonin in Preeclampsia, Intrauterine Fetal Growth
Retardation, and Perinatal Asphyxia.
AB - AIM: Reactive oxygen species play an important role in the pathogenesis of
several diseases during gestation and the perinatal period. During pregnancy,
increased oxygen demand augments the rate of production of free radicals.
Oxidative stress is involved in pregnancy disorders including preeclampsia and
intrauterine fetal growth retardation (IUGR). Moreover, increased levels of
oxidative stress and reduced antioxidative capacities may contribute to the
pathogenesis of perinatal asphyxia. Melatonin, an efficient antioxidant agent,
diffuses through biological membranes easily and exerts pleiotropic actions on
every cell and appears to be essential for successful gestation. This narrative
review summarizes current knowledge concerning the role of melatonin in reducing
complications during human pregnancy and in the perinatal period. RESULTS:
Melatonin levels are altered in women with abnormally functioning placentae
during preeclampsia and IUGR. Short-term melatonin therapy is highly effective
and safe in reducing complications during pregnancy and in the perinatal period.
Because melatonin has been shown to be safe for both mother and fetus, it could
be an attractive therapy in pregnancy and is considered a promising
neuroprotective agent in perinatal asphyxia. CONCLUSION: We believe that the use
of melatonin treatment during the late fetal and early neonatal period might
result in a wide range of health benefits, improved quality of life, and may help
limit complications during the critical periods prior to, and shortly after,
delivery.
PMID- 26566858
TI - Surgical anterior cavoplasty for managing a case of early acute outflow
obstruction after liver transplantation.
AB - HVOO following liver transplantation is rarely treated surgically because it
tends to debut subacutely. However, acute HVOO is a surgical emergency that
compromises the viability of the graft. We report a case of HVOO diagnosed intra
operatively during surgical revision for a suspected arterial thrombosis in a 10
month-old male recipient of a second graft (segments II-III) for familial
intrahepatic cholestasis. HVOO was related to a stenosis at the first transplant
hepato-caval anastomosis, left in place to obtain longer venous cuffs for
retransplantation. An anterior cavoplasty was necessary to resolve the issue. The
new anastomosis was created under total vascular exclusion after gaining control
of the supradiaphragmatic vena cava, because the inferior vena cava was
unsuitable for further surgery. This approach (normally used as a means to avoid
sternotomy in patients with hepatic or renal tumours associated with venous
thrombosis) allows adequate vascular control and, in selected cases, offers a
surgical alternative for treating HVOO.
PMID- 26566859
TI - Disclosing the results of the invasive cervical cancer review to patients: a
survey of lead colposcopists across England.
AB - OBJECTIVE: To survey lead colposcopists to explore the extent to which patients
are currently being invited to discuss the results of their invasive cervical
cancer review, the reasons why this might not be happening and the clinician
experience. METHODS: An online survey was sent to lead colposcopists across
England. They were asked whether they offered the review to patients, if they did
how they did so and what their experience was and if they did not, why not.
RESULTS: There was a 68.5% (N = 122) response rate, with 53% of respondents
currently offering the review meetings. Patients were predominantly invited to
the review meeting face to face and clinicians' experiences were mixed with a
variety of positive and negative aspects of the meetings given. For those
clinicians not currently offering a review meeting, there were a variety of
reasons: 25% cited a lack of awareness of the guidelines, 19% time constraints,
12% a fear of causing additional distress and 2% a fear of litigation. Open-ended
responses demonstrated a considerable amount of misunderstanding about the
process. CONCLUSION: Despite National Health Service Cervical Screening Programme
guidelines, not all clinicians offer review meetings to patients and those who do
offer them do not always offer them to all women. Patient research needs to be
conducted to explore the value of the meetings further, and there is a need to do
more to engage clinicians in the process.
PMID- 26566860
TI - Risk and Protective Factors of Suicidal Ideation and Attempt among Adolescents
with Different Types of School Bullying Involvement.
AB - Suicide has been found to be prevalent among adolescents involved in bullying;
however, there has been a lack of research examining whether the risk and
protective factors of suicidal ideation and attempt are different among
adolescents with different types of bullying involvement. The aims of this study
were to examine the risk and protective factors of suicidal ideation and attempt
among adolescents with different experiences of bullying involvement,
victimization, and perpetration. A total of 4,533 adolescents participated in
this study. Different groups of bullying involvement, victimization, and
perpetration were determined through use of the Chinese version of the School
Bullying Experience Questionnaire. The associations of suicidal ideation and
attempt with possible risk factors (domestic violence, overweight, depression,
anxiety, and alcohol abuse) and protective factors (family support and self
esteem) were examined using logistic regression analysis. Pure victims, pure
perpetrators, and victim-perpetrators were more likely to report suicidal
ideation and attempt than the neutral group. The risk and protective factors of
suicidal ideation and attempt were different across adolescents with different
experiences of bullying involvement, victimization, and perpetrators. The risk of
suicide should be monitored among adolescents who are involved in any type of
bullying. The risk and protective factors of suicide identified in this study
should be taken into consideration in prevention and intervention programs for
suicide in adolescents involved in bullying.
PMID- 26566861
TI - IL-12 induced the generation of IL-21- and IFN-gamma-co-expressing poly
functional CD4+ T cells from human naive CD4+ T cells.
AB - Interleukine-12 is critical for the differentiation of Th1 cells and can improve
the development of Th1 cells with Tfh cell features in mouse model. Human
effector CD4(+) T cells also exhibit poly-functionality by co-expressing IL-21
and IFN-gamma. However, the effects of IL-12 on regulating generation of human IL
21- and IFN-gamma-expressing CD4(+) T cells are still incompletely understood.
Our studies found that IL-12 but not IL-21 could induce the differentiation of
human naive CD4(+) T cells into multi-cytokine expressing CD4(+) T cells in
vitro, which co-expressed IL-21 and IFN-gamma with or without IL-2 and TNF-alpha.
At early stage of differentiation, addition of excess exogenous IFN-gamma could
increase the generation of IL-21- and IFN-gamma-expressing CD4(+) T cells,
furthermore, anti-IFN-gamma depressed the percentage of poly-functional CD4(+) T
cells. Phenotypically, IL-21(+)IFN-gamma(+)CD4(+) T cells exhibited more
characteristic features about both of Th1 and Tfh cells than IL-21 or IFN-gamma
single-expressing CD4(+) T cells. Mechamistically, IL-12 modulated the
differentiation of IL-21(+)IFN-gamma(+)CD4(+) T cells from naive CD4(+) T cells
via the pathways of STAT-1/4, T-bet and BCL(-)6. Different from naive CD4(+) T
cells, IL-12 increasing the generation of IL-21(+)IFN-gamma(+)CD4(+) T cells from
memory CD4(+) T cells was only involved in STAT-4 pathway but not STAT-1. Poly
functional CD4(+) T cells were contributed to generation and progress of varies
diseases and our studies provide basic theoretics for the designs of vaccine and
therapies of diseases.
PMID- 26566862
TI - Molding BRCA2 function through its interacting partners.
AB - The role of the tumor suppressor BRCA2 has been shaped over 2 decades thanks to
the discovery of its protein and nucleic acid partners, biochemical and
structural studies of the protein, and the functional evaluation of germline
variants identified in breast cancer patients. Yet, the pathogenic and functional
effect of many germline mutations in BRCA2 remains undetermined, and the
heterogeneity of BRCA2-associated tumors challenges the identification of
causative variants that drive tumorigenesis. In this review, we propose an
overview of the established and emerging interacting partners and functional
pathways attributed to BRCA2, and we speculate on how variants altering these
functions may contribute to cancer susceptibility.
PMID- 26566864
TI - CHFR is important for the survival of male premeiotic germ cells.
AB - DNA damage response is required for male fertility. DNA damage repair mediates
recombination between homologous chromosomes in meiotic prophase, which is
essential for proper chromosome segregation during meiotic division.
Interestingly, some DNA damage response proteins are also required for the
survival of premeiotic germ cells, but their roles in these cells are still
unclear. CHFR was recently shown to participate in DNA damage response, but it
remains to be established if CHFR is required for male fertility. In this study,
we characterized Chfr knockout male mice and found that around 30% of them were
infertile. The onset of spermatogenesis was delayed and there was significant
increase in apoptosis in premeiotic germ cells. This resulted in complete loss of
germ cells in testes in 3 months and azoospermia in these mice. We further
demonstrated that ATM activation was compromised in the testes of these mice.
Therefore, CHFR is important for the survival of male premeiotic germ cells,
which is likely through maintaining genomic stability in spermatogonial stem
cells.
PMID- 26566865
TI - Hmgn1 acts downstream of C/EBPbeta to regulate the decidualization of uterine
stromal cells in mice.
AB - Although Hmgn1 is involved in the regulation of gene expression and cellular
differentiation, its physiological roles on the differentiation of uterine
stromal cells during decidualization still remain unknown. Here we showed that
Hmgn1 mRNA was highly expressed in the decidua on days 6-8 of pregnancy.
Simultaneously, increased expression of Hmgn1 was also observed in the artificial
and in vitro induced decidualization models. Hmgn1 induced the proliferation of
uterine stromal cells and expression of Ccna1, Ccnb1, Ccnb2 and Cdk1 in the
absence of estrogen and progesterone. Overexpression of Hmgn1 could enhance the
expression of Prl8a2 and Prl3c1 which were 2 well-known differentiation markers
for decidualization, whereas inhibition of Hmgn1 with specific siRNA could reduce
their expression. Further studies found that Hmgn1 could mediate the effects of
C/EBPbeta on the expression of Prl8a2 and Prl3c1 during in vitro decidualization.
In the uterine stromal cells, cAMP analog 8-Br-cAMP could stimulate the
expression of Hmgn1 via C/EBPbeta. Moreover, siRNA-mediated down-regulation of
Hmgn1 could attenuate the effects of cAMP on the differentiation of uterine
stromal cells. During in vitro decidualization, Hmgn1 might act downstream of
C/EBPbeta to regulate the expression of Cox-2, mPGES-1 and Vegf. Progesterone
could up-regulate the expression of Hmgn1 in the ovariectomized mouse uterus,
uterine epithelial cells and stromal cells. Knockdown of C/EBPbeta with siRNA
alleviated the up-regulation of progesterone on Hmgn1 expression. Collectively,
Hmgn1 may play an important role during mouse decidualization.
PMID- 26566863
TI - The histone demethylase KDM5A is a key factor for the resistance to temozolomide
in glioblastoma.
AB - Notwithstanding current multimodal treatment, including surgery, radiotherapy and
chemotherapy with temozolomide (TMZ), median survival of glioblastoma (GBM)
patients is about 14 months, due to the rapid emergence of cell clones resistant
to treatment. Therefore, understanding the mechanisms underlying chemoresistance
is mandatory to improve treatments' outcome. We generated TMZ resistant cells
(TMZ-R) from a GBM cell line and from cancer stem cell-enriched cultures isolated
from human GBMs. We demonstrated that TMZ resistance is partially reverted by
"drug wash-out" suggesting the contribution of epigenetic mechanisms in drug
resistance and supporting the possibility of TMZ rechallenge in GBM patients
after prior drug exposure. The expression of histone lysine demethylase genes
(KDMs) was increased in TMZ-R cells compared to parental cells, and TMZ
resistance or restored sensitivity was mimicked by over-expressing or
inactivating KDM5A. Methylation and expression of O6-methylguanine-DNA
methyltransferase (MGMT) and drug efflux mechanisms were not altered in TMZ-R
cells compared to parental TMZ sensitive cells. TMZ-R cells transiently acquired
morphologic and molecular characteristics of differentiated tumor cells, features
that were lost after drug wash-out. In conclusion, we demonstrated that treatment
induced TMZ resistance in GBM involves epigenetic mechanisms in a subset of slow
cycling and transiently partially differentiated cells that escape drug
cytotoxicity, overcome G2 checkpoint and sustain clonal growth. We found that TMZ
R cells are sensitive to histone deacethylase inhibitors (HDACi) that synergize
with TMZ. This strong synergism could be exploited to develop novel combined
adjuvant therapies for this rapidly progressing and invariably lethal cancer.
PMID- 26566866
TI - Shifts in rDNA levels act as a genome buffer promoting chromosome homeostasis.
AB - The nucleolus is considered to be a stress sensor and rDNA-based regulation of
cellular senescence and longevity has been proposed. However, the role of rDNA in
the maintenance of genome integrity has not been investigated in detail. Using
genomically diverse industrial yeasts as a model and array-based comparative
genomic hybridization (aCGH), we show that chromosome level may be balanced
during passages and as a response to alcohol stress that may be associated with
changes in rDNA pools. Generation- and ethanol-mediated changes in genes
responsible for protein and DNA/RNA metabolism were revealed using next
generation sequencing. Links between redox homeostasis, DNA stability, and
telomere and nucleolus states were also established. These results suggest that
yeast genome is dynamic and chromosome homeostasis may be controlled by rDNA.
PMID- 26566867
TI - Longevity of U cells of differentiated yeast colonies grown on respiratory medium
depends on active glycolysis.
AB - Colonies of Saccharomyces cerevisiae laboratory strains pass through specific
developmental phases when growing on solid respiratory medium. During entry into
the so-called alkali phase, in which ammonia signaling is initiated, 2 prominent
cell types are formed within the colonies: U cells in upper colony regions, which
have a longevity phenotype and activate the expression of a large number of
metabolic genes, and L cells in lower regions, which die more quickly and exhibit
a starvation phenotype. Here, we performed a detailed analysis of the activities
of enzymes of central carbon metabolism in lysates of both cell types and
determined several fermentation end products, showing that previously reported
expression differences are reflected in the different enzymatic capabilities of
each cell type. Hence, U cells, despite being grown on respiratory medium, behave
as fermenting cells, whereas L cells rely on respiratory metabolism and possess
active gluconeogenesis. Using a spectrum of different inhibitors, we showed that
glycolysis is essential for the formation, and particularly, the survival of U
cells. We also showed that beta-1,3-glucans that are released from the cell walls
of L cells are the most likely source of carbohydrates for U cells.
PMID- 26566868
TI - Direct reprogramming of human fibroblasts into sweat gland-like cells.
AB - The skin of patients with an extensive deep burn injury is repaired by a process
that leaves a hypertrophic scar without sweat glands and therefore loses the
function of perspiration. The aim of this study was to identify whether the key
factors related to sweat gland development could directly reprogram fibroblasts
into sweat gland-like cells. After introducing the NF-kappaB and Lef-1 genes into
fibroblasts, we found that stably transfected fibroblasts expressed specific
markers of sweat glands, including CEA, CK7, CK14 and CK19, both at the protein
and mRNA levels. The immunofluorescence staining also showed positive expression
of CEA, CK7, CK14 and CK19 in induced fibroblasts, but there were no positive
cells in the control groups. The expression of Shh and Cyclin D1, downstream
genes of NF-kappaB and Lef-1, were also significantly increased during
regeneration. The induced fibroblasts were implanted into an animal model. Twenty
days later, iodine-starch perspiration tests showed that 7 out of the 10 cell
treated paws were positive for perspiration, with a distinctive black point-like
area appearing in the center of the paw. Contralateral paws tested negative.
Histological examination of skin biopsies from experimental and control paws
revealed that sweat glands were fully reconstructed in the test paws, with
integral, secretory and ductal portions, but were not present in the control
paws. This is the first report of successful reprogramming of fibroblasts into
sweat gland-like cells, which will provide a new cell source for sweat gland
regeneration in patients with extensive deep burns.
PMID- 26566870
TI - Tracking down the footprints of bad paternal relationships in dissociative
disorders: A diffusion tensor imaging study.
AB - Preclinical studies indicate that stress early in life can cause long-term
alterations in brain development. Studies have shown alterations in the brain
functions of patients after experiencing trauma. Our aim is to examine whether
the integrity of white matter tracts might be affected in dissociative disorder
(DD) patients. A total of 15 DD patients and 15 healthy controls were studied,
with the groups matched by age and gender. Diffusion-weighted echoplanar brain
images were obtained using a 1.5 Tesla magnetic resonance imaging scanner.
Regions of interest were manually placed on directional maps based on principal
anisotropy. Apparent diffusion coefficient and fractional anisotropy (FA) values
of white matter were measured bilaterally in the anterior corona radiata (ACR)
and by diffusion tensor imaging in the genu and splenium of the corpus callosum.
Significantly lower FA values were observed in the right ACR of DD patients
versus healthy individuals. We also found an association between bad paternal
relationships and lower FA in the genu of the corpus callosum in female patients.
Alterations in the right ACR suggest that diffusion anisotropy measurement can be
used as a quantitative biomarker for DD. Paternal relationships may also affect
the brain's microstructure in women with DD.
PMID- 26566869
TI - The oncolytic peptide LTX-315 triggers necrotic cell death.
AB - The oncolytic peptide LTX-315 has been designed for killing human cancer cells
and turned out to stimulate anti-cancer immune responses when locally injected
into tumors established in immunocompetent mice. Here, we investigated the
question whether LTX-315 induces apoptosis or necrosis. Transmission electron
microscopy or morphometric analysis of chromatin-stained tumor cells revealed
that LTX-315 failed to induce apoptotic nuclear condensation and rather induced a
necrotic phenotype. Accordingly, LTX-315 failed to stimulate the activation of
caspase-3, and inhibition of caspases by means of Z-VAD-fmk was unable to reduce
cell killing by LTX-315. In addition, 2 prominent inhibitors of regulated
necrosis (necroptosis), namely, necrostatin-1 and cycosporin A, failed to reduce
LTX-315-induced cell death. In conclusion, it appears that LTX-315 triggers
unregulated necrosis, which may contribute to its pro-inflammatory and pro-immune
effects.
PMID- 26566872
TI - Evaluating Nonverbal Behavior of Individuals with Dementia During Feeding: A
Survey of the Nursing Staff in Residential Care Homes for Elderly Adults.
AB - OBJECTIVES: To determine how nursing staff evaluate nonverbal behavior related to
hand and tube feeding of residents with dementia. DESIGN: Cross-sectional survey.
SETTING: A stratified sample of nurses and nursing assistants in residential
nursing homes in a major German city. PARTICIPANTS: Nursing staff members (N =
131) in 12 nursing homes. MEASUREMENTS: Nursing staff perception of nonverbal
behavior of residents with dementia in response to hand and tube feeding.
RESULTS: Ninety-three percent of survey participants considered the nonverbal
behavior of residents with advanced dementia crucial for decisions about
artificial nutrition and hydration (ANH). The same percentage had at some point
encountered residents who did not open their mouths when feeding was attempted.
Fifty-three percent of the participants interpreted residents' expressions of
pleasure while eating as a will to live. The most frequent interpretation of
residents' aversive behavior was discomfort. When residents did not open their
mouth during nurse's hand feeding, 41% of the participants inferred a will to
die. CONCLUSION: Most nurses and nursing assistants consider residents' behavior
during hand or tube feeding to be important, but their interpretations are
heterogeneous. Various professional caregivers assume a will to live or die.
Further reflection is necessary to determine how behavioral expressions should be
factored into treatment decisions.
PMID- 26566871
TI - Executive Attention Impairment in Adolescents With Major Depressive Disorder.
AB - Neural network models that guide neuropsychological assessment practices are
increasingly used to explicate depression, though a paucity of work has focused
on regulatory systems that are under development in adolescence. The purpose of
this study was to evaluate subsystems of attention related to executive
functioning including alerting, orienting, and executive attention networks, as
well as sustained attention with varying working memory load, in a sample of
depressed and well adolescents. Neuropsychological functioning in 99 adolescents
diagnosed with major depressive disorder (MDD) and 63 adolescent healthy controls
(M = 16.6 years old) was assessed on the Attention Network Test (ANT) and the
Continuous Performance Test, Identical Pairs. Adolescents with MDD, particularly
those who were not medicated, were slower to process conflict (slower reaction
time on the Executive Attention scale of the ANT) compared to controls,
particularly for those who were not undergoing psychopharmacological treatment.
Tentative evidence also suggests that within the MDD group, orienting performance
was more impaired in those with a history of comorbid substance use disorder, and
alerting was more impaired in those with a history of a suicide attempt.
Adolescents with depression showed impaired executive attention, although
cognitive performance varied across subgroups of patients. These findings
highlight the importance of examining neurocognitive correlates associated with
features of depression and suggest an avenue for future research to help guide
the development of interventions.
PMID- 26566873
TI - Cost-utility and budget impact analysis of drug treatments in pulmonary arterial
hypertension associated with congenital heart diseases in Thailand.
AB - OBJECTIVE: This study aims to compare the lifetime costs and health outcomes of
both first-line and sequential combination treatments with standard treatment for
pulmonary arterial hypertension (PAH) associated with congenital heart disease
(CHD) (PAH-CHD) patients. METHODS: A cost-utility analysis was performed using a
Markov model based on a societal perspective. One-way and probabilistic
sensitivity analyses were performed to investigate the effect of parameter
uncertainty. RESULTS: As first-line treatments, both beraprost (incremental cost
effectiveness ratio (ICER) = 192,752 and 201,308 Thai baht (THB) per quality
adjusted life year (QALY) gained) and sildenafil (ICER = 249,770 and 226,802 THB
per QALY gained) seemed cost-effective for PAH-CHD patients aged <=30 years in
functional classes II and III, respectively, while no treatment was cost
effective for the sequential combination therapy. CONCLUSIONS: Sildenafil should
be included in the National Drug List of Essential Medicines as the first-line
treatment for PAH-CHD, and its price per dose should be negotiated to be reduced
by 43-57%.
PMID- 26566874
TI - Profiling Noncoding RNAs in Cancer.
AB - New research shows that long noncoding RNAs have distinct expression patterns in
different cancer types, and may have diagnostic and therapeutic potential as a
specific, informative biomarker of cancer.
PMID- 26566876
TI - Awareness, practices, and barriers regarding smoking cessation treatment among
physicians in Saudi Arabia.
AB - Smoking cessation counseling and therapy provided by physicians play an important
role in helping smokers quit. Awareness and practices of the clinical practice
guidelines for tobacco dependence (in particular the 5A's: Ask, Assist, Assess,
Advise, and Arrange) among physicians and perceived barriers for their
implementation is needed to improve care for individuals who smoke/use tobacco
products in Saudi Arabia. A cross-sectional self-administered survey was
conducted among 124 general and family practitioners in primary health care
clinics belonging to 2 major medical centers in Riyadh city, Saudi Arabia.
Descriptive statistics were reported for all survey variables. Logistic
regression was used to examine the predictors of physicians' use of the 5A's for
smoking cessation counseling and therapy. Among the 216 contacted physicians, 124
responded (57.4%). The majority (63.7%) were males, between the ages of 40 and 49
years (52.4%), practicing full-time (95.2%), and had not received smoking
cessation training during medical school education or residency training (68.6%).
Approximately 85.5% reported some experience with the guidelines (heard, read, or
used). Asking (71.8%) and advising (87.9%) were the most implemented for smoking
cessation, while assisting (15.3%) and arranging for follow-up (17.7%) were the
least implemented. Most (96.0%) did not prescribe pharmacotherapy and 53.2%
reported documenting the patient's smoking status. Reported barriers were mostly
lack of time (72.6%) and lack of training (66.9%). Awareness of the guidelines,
physician's smoking status, perceived competence in ability to provide smoking
cessation counseling and therapy, reporting the ineffectiveness of smoking
cessation therapy as a barrier, and the perceived benefit of reducing patient's
physical symptoms were independently statistically significant predictors of the
implementation of the 5A's for smoking cessation therapy. This preliminary study
showed that smoking cessation delivery, according to the clinical practice
guidelines recommendation, in Saudi Arabia is inadequate. Barriers were
identified regarding the delivery of smoking cessation therapy and counseling for
the first time. Physician training is likely to improve compliance with
implementing smoking cessation counseling and therapy.
PMID- 26566877
TI - 18-electron rule and the 3c/4e hyperbonding saturation limit.
AB - We show that the empirical 18-electron rule of transition metal chemistry
corresponds to an intrinsic saturation limit for the 3c/4e hyperbonding
interactions that are a ubiquitous feature of D-block aggregation phenomena. Such
a "rule" therefore requires no "p-orbital participation," "d(2) sp(3)
hybridization," "valence shell expansion," or other p-type intrusions into the
Aufbau orbital filling sequence. Instead, 18e stability corresponds to the
natural terminus of post-Lewis 3c/4e resonance-type stabilizations that lead to
successive ligand additions (and formal increments of electron count) at a
transition metal center, all within the normal (s + 5d) confines of D-block
valence space.
PMID- 26566875
TI - Diverse and Targetable Kinase Alterations Drive Histiocytic Neoplasms.
AB - Histiocytic neoplasms are clonal, hematopoietic disorders characterized by an
accumulation of abnormal, monocyte-derived dendritic cells or macrophages in
Langerhans cell histiocytosis (LCH) and non-Langerhans cell histiocytosis (non
LCH), respectively. The discovery of BRAF(V600E) mutations in approximately 50%
of these patients provided the first molecular therapeutic target in
histiocytosis. However, recurrent driving mutations in the majority of patients
with BRAF(V600E)-wild-type non-LCH are unknown, and recurrent cooperating
mutations in non-MAP kinase pathways are undefined for the histiocytic neoplasms.
Through combined whole-exome and transcriptome sequencing, we identified
recurrent kinase fusions involving BRAF, ALK, and NTRK1, as well as recurrent,
activating MAP2K1 and ARAF mutations in patients with BRAF(V600E)-wild-type non
LCH. In addition to MAP kinase pathway lesions, recurrently altered genes
involving diverse cellular pathways were identified. Treatment of patients with
MAP2K1- and ARAF-mutated non-LCH using MEK and RAF inhibitors, respectively,
resulted in clinical efficacy, demonstrating the importance of detecting and
targeting diverse kinase alterations in these disorders. SIGNIFICANCE: We provide
the first description of kinase fusions in systemic histiocytic neoplasms and
activating ARAF and MAP2K1 mutations in non-Langerhans histiocytic neoplasms.
Refractory patients with MAP2K1- and ARAF-mutant histiocytoses had clinical
responses to MEK inhibition and sorafenib, respectively, highlighting the
importance of comprehensive genomic analysis of these disorders.
PMID- 26566878
TI - A direct aspiration first pass technique for retrieval of a detached coil.
AB - A 64-year-old man was referred to our hospital for treatment of a cerebral
aneurysm that was incidentally found. The aneurysm was 7 mm in size and located
on the left anterior communicating artery. Using a balloon assisted technique, we
performed coil embolization. During the second coil insertion, the first coil was
dislodged into the anterior communicating artery. We attempted coil retrieval
using a snare, which was unsuccessful. We applied a direct aspiration first pass
technique (ADAPT) and advanced a Penumbra 4MAX immediately proximal to the
dislodged coil; the dislodged coil was then successfully retrieved. ADAPT is a
simple procedure for retrieval of a detached coil, and it can be used as an
alternative to the snare technique.
PMID- 26566879
TI - Aneurysms in the target vessels of stroke patients subjected to mechanical
thrombectomy: prevalence and impact on treatment.
AB - BACKGROUND AND PURPOSE: Coincidental aneurysms in the target vessels of stroke
patients with large vessel occlusions (LVO) may pose risks during endovascular
mechanical thrombectomy (MTE), but there are almost no data on this subject.
Motivated by an incident of rupture of a hidden aneurysm induced by withdrawal of
a stent retriever during a MTE procedure, this study examines the prevalence of
aneurysms, associated complications, and implications for treatment strategies in
patients with LVO stroke. METHODS: A single-center retrospective analysis of
angiographic and CT/MRI images and case records of 300 consecutive patients with
LVO stroke treated with MTE was performed. RESULTS: Aneurysms related to target
vessels were detected in 11/300 patients, in 10/11 in the anterior circulation.
In 9/11 patients the aneurysms were unknown prior to the stroke. The observed
prevalence was >2-fold higher than expected for a healthy reference population.
There was one complication (aneurysm rupture), as described above. In two
subsequent patients with known aneurysms, MTE was conducted mainly with
aspiration techniques which failed, contributing to a low recanalization rate in
patients with aneurysm (45%). CONCLUSIONS: The prevalence of aneurysms is
relatively high in patients with LVO stroke, particularly in older, female,
hypertensive patients, presumably reflecting overlapping risk factors. MTE should
not be withheld from patients with LVO stroke with aneurysms, but particularly
cautious approaches may be warranted. Further research in larger samples is
required to obtain precise data on the prevalence and associated complication
rates in MTE procedures. This is necessary to estimate the true risk and to
tailor endovascular strategies in these patients.
PMID- 26566880
TI - Emergent mechanical thrombectomy for acute stroke using the Mindframe Capture LP
system: initial single-center experience.
AB - BACKGROUND: Mechanical thrombectomy using stentrievers is the standard of care
for emergent large vessel occlusion stroke. Data on the use of stentrievers in
smaller caliber vessels are sparse. OBJECTIVE: To present our initial experience
with the Mindframe Capture LP device, which was designed for mechanical
thrombectomy in small cerebral arteries. METHODS: A retrospective chart review
was conducted of patients who underwent Mindframe device assisted emergent
thrombectomy. Clinical, imaging, procedural and early follow-up data were
obtained. RESULTS: Nine patients met inclusion criteria (5 men, median age 62
years). Median National Institute of Health Stroke Scale (NIHSS) score was 18
(IQR 9-22), and 6 patients received intravenous tissue plasminogen activator. Six
patients had M2 segment occlusions, and 2 patients had distal M1 segment
occlusions of the middle cerebral artery. One had distal basilar artery
occlusion. Median vessel diameter at the thrombus was 1.7 mm (IQR 1.5-2.5). In
all 9 patients the Mindframe device was used together with manual aspiration,
with median groin puncture to recanalization time of 35 min (IQR 27-54), and
median procedural time of 67 min (IQR 51-91). Final Thrombolysis in Cerebral
Infarction score was 3 and 2b in 4 patients each (89% total), and 2a in 1
patient. No patient had any postprocedural complications or symptomatic
intracerebral hemorrhage. Median postprocedure and discharge NIHSS were 4 and 1,
respectively. CONCLUSIONS: Our data suggest that the Mindframe device is safe and
effective for rapid treatment of acute strokes involving small caliber
intracranial vessels. Further study in a larger cohort is warranted.
PMID- 26566881
TI - Mutation in cytochrome b gene of mitochondrial DNA in a family with fibromyalgia
is associated with NLRP3-inflammasome activation.
AB - BACKGROUND: Fibromyalgia (FM) is a worldwide diffuse musculoskeletal chronic pain
condition that affects up to 5% of the general population. Many symptoms
associated with mitochondrial diseases are reported in patients with FM such as
exercise intolerance, fatigue, myopathy and mitochondrial dysfunction. In this
study, we report a mutation in cytochrome b gene of mitochondrial DNA (mtDNA) in
a family with FM with inflammasome complex activation. METHODS: mtDNA from blood
cells of five patients with FM were sequenced. We clinically and genetically
characterised a patient with FM and family with a new mutation in mtCYB.
Mitochondrial mutation phenotypes were determined in skin fibroblasts and
transmitochondrial cybrids. RESULTS: After mtDNA sequence in patients with FM, we
found a mitochondrial homoplasmic mutation m.15804T>C in the mtCYB gene in a
patient and family, which was maternally transmitted. Mutation was observed in
several tissues and skin fibroblasts showed a very significant mitochondrial
dysfunction and oxidative stress. Increased NLRP3-inflammasome complex activation
was observed in blood cells from patient and family. CONCLUSIONS: We propose
further studies on mtDNA sequence analysis in patients with FM with evidences for
maternal inheritance. The presence of similar symptoms in mitochondrial
myopathies could unmask mitochondrial diseases among patients with FM. On the
other hand, the inflammasome complex activation by mitochondrial dysfunction
could be implicated in the pathophysiology of mitochondrial diseases.
PMID- 26566882
TI - Identification of germline DICER1 mutations and loss of heterozygosity in
familial Wilms tumour.
AB - Wilms tumour (WT), a paediatric renal cancer, is the most common childhood kidney
cancer. The aetiology of WT is heterogeneous with multiple genes known to result
in WT tumorigenesis. However, these genes are rarely associated with familial
Wilms tumour (FWT). To identify mutations predisposing to FWT, we performed whole
genome sequencing using genomic DNA from three affected/obligate carriers in a
large WT family, followed by Sanger sequencing of candidate gene mutations in 47
additional WT families to determine their frequency in FWT. As a result, we
identified two novel germline DICER1 mutations (G803R and R800Xfs5) co
segregating in two families, thus expanding the number of reported WT families
with unique germline DICER1 mutations. The one large family was found to include
individuals with multiple DICER1 syndrome phenotypes, including four WT cases.
Interestingly, carriers of the DICER1 mutation displayed a greatly increased
frequency of WT development compared with the penetrance observed in previously
published pedigrees. Also uniquely, in one tumour this DICER1 mutant allele
(G803R) was reduced to homozygosity in contrast to the somatic hotspot mutations
typically observed in tumours in DICER1 families.
PMID- 26566883
TI - Homozygous missense mutation in the LMAN2L gene segregates with intellectual
disability in a large consanguineous Pakistani family.
AB - BACKGROUND: Intellectual disability (ID) is a neurodevelopmental disorder
affecting 1%-3% of the population worldwide. It is characterised by high
phenotypic and genetic heterogeneity and in most cases the underlying cause of
the disorder is unknown. In our study we investigated a large consanguineous
family from Baluchistan, Pakistan, comprising seven affected individuals with a
severe form of autosomal recessive ID (ARID) and epilepsy, to elucidate a
putative genetic cause. METHODS AND RESULTS: Whole exome sequencing (WES) of a
trio, including a child with ID and epilepsy and its healthy parents that were
part of this large family, revealed a homozygous missense variant p.R53Q in the
lectin mannose-binding 2-like (LMAN2L) gene. This homozygous variant was co
segregating in the family with the phenotype of severe ID and infantile epilepsy;
unaffected family members were heterozygous variant carriers. The variant was
predicted to be pathogenic by five different in silico programmes and further
three-dimensional structure modelling of the protein suggests that variant p.R53Q
may impair protein-protein interaction. LMAN2L (OMIM: 609552) encodes for the
lectin, mannose-binding 2-like protein which is a cargo receptor in the
endoplasmic reticulum important for glycoprotein transport. Genome-wide
association studies have identified an association of LMAN2L to different
neuropsychiatric disorders. CONCLUSION: This is the first report linking LMAN2L
to a phenotype of severe ARID and seizures, indicating that the deleterious
homozygous p.R53Q variant very likely causes the disorder.
PMID- 26566884
TI - Entodermoscopy: a spotlight on tinea nigra.
PMID- 26566886
TI - Synthesis of chiral five-membered carbocyclic ring amino acids with an acetal
moiety and helical conformations of its homo-chiral homopeptides.
AB - Chiral five-membered carbocyclic ring amino acids bearing various diol acetal
moieties were synthesized starting from l-malic acid, and homo-chiral
homopeptides composed of cyclic amino acid (S)-Ac5 c(3EG) bearing an ethylene
glycol acetal, up to an octapeptide, were prepared. A conformational analysis
revealed that (S)-Ac5 c(3EG) homopeptides formed helical structures. (S)-Ac5
c(3EG) homopeptides, up to hexapeptides, formed helical structures without
controlling the helical screw direction, while (S)-Ac5 c(3EG) hepta- and
octapeptides formed helical structures with a preference for the left-handed (M)
helical-screw direction. (c) 2015 Wiley Periodicals, Inc. Biopolymers (Pept Sci)
106: 555-562, 2016.
PMID- 26566885
TI - Resting-state functional connectivity of the striatum in early-stage Parkinson's
disease: Cognitive decline and motor symptomatology.
AB - Parkinson's disease is a neurodegenerative disorder characterized by changes to
dopaminergic function in the striatum and a range of cognitive and motor
deficits. Neuroimaging studies have repeatedly shown differences in activation
and functional connectivity patterns of the striatum between symptomatic
individuals with Parkinson's disease and healthy controls. However, the presence
and severity of cognitive and motor symptoms seem to differ dramatically among
individuals with Parkinson's disease at the early-stages. To investigate the
neural basis of such heterogeneity, we examined the resting state functional
connectivity patterns of caudate and putamen subdivisions in relation to
cognitive and motor impairments among 62 early-stage individuals with Parkinson's
disease (21 females, 23 drug naive, ages 39-77 years, average UPDRS motor scores
off medication = 18.56, average H&Y stage = 1.66). We also explored how changes
in striatal connectivity relate to changes in symptomatology over a year. There
are two main findings. First, higher motor deficit rating was associated with
weaker coupling between anterior putamen and midbrain including substantia nigra.
Intriguingly, steeper declines in functional connectivity between these regions
were associated with greater declines in motor function over the course of 1
year. Second, decline in cognitive function, particularly in the memory and
visuospatial domains, was associated with stronger coupling between the dorsal
caudate and the rostral anterior cingulate cortex. These findings remained
significant after controlling for age, medication, gender, and education. In sum,
our findings suggest that cognitive decline and motor deficit are each associated
with a differentiable pattern of functional connectivity of striatal subregions.
Hum Brain Mapp 37:648-662, 2016. (c) 2015 Wiley Periodicals, Inc.
PMID- 26566887
TI - Trans-oral robotic surgical excision of an ectopic parathyroid adenoma.
AB - BACKGROUND: The pyriform sinus is a potential location for ectopic parathyroid
tissue and we describe the use of trans-oral robotic to excise the ectopic
tissue. METHODS: A 55-year-old female presented with primary hyperparathyroidism.
4D computed tomography and Sestimibi scan revealed a 1.2 * 0.7 cm mass in the
left pyriform sinus. Using the da Vinci SI robot, a 1 cm hypopharyngeal incision
was made with electrocautery in the left pyriform sinus and used to excise the
mass. RESULTS: Ectopic mass was removed via trans-oral robotic approach and final
pathology confirmed parathyroid tissue. Parathyroid hormone level dropped from
135.8 to 13.3 pg/ml 15 min after excision of the mass. CONCLUSION: Ectopic
parathyroid tissue can present in many different areas of the neck, with the
pyriform sinus being a potential location. The trans-oral robotic
parathyroidectomy confers the advantage of the lack of an external incision for
removal of ectopic parathyroid adenoma.
PMID- 26566888
TI - The interval robotic transabdominal cerclage in a morbidly obese patient.
AB - The most commonly used prophylactic treatment of cervical insufficiency is
cerclage but it carries significant morbidity either through vaginal or abdominal
approach. A robotic-assisted transabdominal cerclage (RoboTAC) placement as an
interval procedure could offer advantages over the conventional laparoscopic
approach especially in morbidly obese patients. We report the use of interval
RoboTAC procedure in a morbidly obese patient and ultimately she delivered a
healthy infant at 38 weeks 2 days nonetheless removal of the cerclage.
PMID- 26566889
TI - Robotic surgery, skills and simulation: a technical sport.
PMID- 26566890
TI - The association between medical students' lifestyles and their attitudes towards
preventive counseling in different countries.
AB - BACKGROUND: Preventive counselling is an effective approach to reducing the
prevalence of non-communicable diseases. Studies have shown that there is a
positive association between healthy behaviors of Colombian medical students and
favorable attitudes towards preventive counselling. However, there is limited
research that explores this relationship in different countries. The current
study aimed to determine how the health behaviors of medical students from China,
U.S., and Australia, are associated with attitudes towards preventive counseling.
METHODS: Students from five Chinese medical schools, Duke University in the U.S.,
and the University of Queensland in Australia, completed a 32-item, self-reported
online survey. The survey was used to examine the prevalence of healthy behaviors
and their association with attitudes towards preventive counseling. The target
sample size was 150 students from each grade, or 450 students in total from
different medical universities. Logistic regression analyses were used to assess
the association between health behaviors and attitudes towards preventive
counseling, stratified by grade and adjusted by gender. RESULTS: A positive
association was found between healthy behaviors and attitudes towards preventive
counseling for all medical students. There are significant differences among
medical students' self-reported health behaviors and their attitudes towards
preventive counselling from three different countries (P < 0.05). Chinese medical
students were more positive in stress control (OR > 1) and more passive in
limiting their smoking and alcohol behaviors compared to medical students in Duke
University. However, compared to medical students in University of Queensland,
five Chinese medical students were more passive in stress control (OR < 1).
CONCLUSION: Based on the finding that healthy behaviors are positively related to
favorable attitudes towards preventative counselling, medical students should
adopt targeted courses and training in preventive counseling and develop healthy
lifestyles.
PMID- 26566891
TI - Women's attitudes to beauty, aging, and the place of cosmetic procedures:
insights from the QUEST Observatory.
AB - BACKGROUND: The quest for beauty has been a constant theme in human history since
the earliest civilizations. The QUEST Observatory, an online observational study,
investigated how women continue the pursuit of beauty in the 21st century by
examining women's perceptions of facial attractiveness and the strategies they
adopt to combat the effects of time on their faces. AIMS: To investigate women's
attitudes toward beauty, aging, and the place of minimally invasive cosmetic
procedures and anti-aging skincare. PATIENTS/METHODS: An in-depth questionnaire
was developed by experts in dermatology, esthetic medicine, and social
anthropology as the basis for this online, observational study. A nationally
representative sample of 1000 French women aged between 25 and 70 years took part
in the study. RESULTS: The main criteria for beauty were identified as a natural
look, self-confidence, and attractive skin. A woman is considered to be at the
peak of beauty in her mid-thirties just before early signs of facial aging begin
to appear. Approximately 50% of women contemplate cosmetic procedures, but less
than 10% go ahead. Confidence in the practitioner and good postprocedure follow
up are as influential as efficacy, safety, and cost in decisions about cosmetic
procedures. CONCLUSIONS: The QUEST Observatory sheds valuable light on factors
that affect women's choices regarding strategies for anti-aging and cosmetic
procedures. These findings will help esthetic practitioners to better understand
their patients and to meet their expectations.
PMID- 26566892
TI - Isolation, Identification and Partial Characterization of a Lactobacillus casei
Strain with Bile Salt Hydrolase Activity from Pulque.
AB - The aim of this study was to isolate, from pulque, Lactobacillus spp. capable of
survival in simulated gastrointestinal stress conditions. Nine Gram-positive rods
were isolated; however, only one strain (J57) shared identity with Lactobacillus
and was registered as Lactobacillus casei J57 (GenBank accession: JN182264). The
other strains were identified as Bacillus spp. The most significant observation
during the test of tolerance to simulated gastrointestinal conditions (acidity,
gastric juice and bile salts) was that L. casei J57 showed a rapid decrease (p <=
0.05) in the viable population at 0 h. Bile salts were the stress condition that
most affected its survival, from which deoxycholic acid and the mix of bile salts
(oxgall) were the most toxic. L. casei J57 showed bile salt hydrolase activity
over primary and secondary bile salts as follows: 44.91, 671.72, 45.27 and 61.57
U/mg to glycocholate, taurocholate, glycodeoxycholate and taurodeoxycholate. In
contrast, the control strain (L. casei Shirota) only showed activity over
tauroconjugates. These results suggest that L. casei J57 shows potential for
probiotic applications.
PMID- 26566893
TI - Characterization and antipathogenic evaluation of a novel quaternary phosphonium
tripolyacrylamide and elucidation of the inactivation mechanisms.
AB - To mitigate the crisis of pathogen-caused disease outbreaks, developing novel
disinfection agents with broad-spectrum antipathogenic performance is a severe
task. In this work, an antipathogenic tripolyacrylamide containing aliphatic
quaternary phosphonium salt (QPS) was prepared. To confirm the broad-spectrum
antipathogenic activity of the as-prepared polymer, the in vitro antibacterial
performance was evaluated against Escherichia coli (E. coli) and Staphylococcus
aureus (S. aureus) and viral inactivation was assessed against influenza virus
and adenovirus as well. The polymers exhibited higher bactericidal activity
against S. aureus than E. coli in terms of minimal inhibitory concentration
(MIC), while possessing much lower MIC values compared with their corresponding
compound. The morphological alterations in polymer treated E. coli, captured by
atomic force microscopy, confirmed the membrane-acting antibacterial mechanism of
polymeric QPS. The plaque reduction assay performed on influenza virus and
adenovirus demonstrated the as-prepared tripolymer could efficiently inactivate
both enveloped and non-enveloped viruses, and the two different antiviral
mechanisms were elucidated correspondingly. (c) 2015 Wiley Periodicals, Inc. J
Biomed Mater Res Part A: 104A: 747-757, 2016.
PMID- 26566894
TI - Speech, language, communication, and cerebral palsy.
PMID- 26566895
TI - An anatomic study of the attachments on the condylar process of the mandible:
muscle bundles from the temporalis.
AB - PURPOSE: The aim of this study was to evaluate anatomically the relationship
between bone and muscles by detailed observation of the bone shape and the
structure of muscles to facilitate an understanding of the function of the
muscles involved in jaw movement. METHODS: 36 specimens of 24 Japanese cadavers
were examined. The insertion areas were marked using a radiopaque marker and
examined by micro-computed tomography. For morphological observation, we used 101
condylar processes. In addition, we made histological sections in some specimens
to observe the detailed attachments of the muscle. RESULTS: Based on the micro-CT
images and dissection findings, the lateral pterygoid muscle was found to be most
frequently inserted into the anterior impression and attached to the medial
impression of the process. According to the histological observations, the
lateral pterygoid muscle mainly inserted to the condylar process. The micro-CT
images indicated that the obvious bony ridge was lateral to the pterygoid fovea
on the condylar process in all specimens. The midmedial muscle bundle of the
temporalis was attached to the ridge. Based on the morphological observations,
the ridge was situated on the lateral area of the condylar process. CONCLUSIONS:
Since dysfunction of the temporomandibular joint is likely closely related to
both the lateral pterygoid muscle and also the temporalis, further studies are
necessary to evaluate the function of these muscles and consider jaw movement.
PMID- 26566896
TI - Endoscopic ultrasonography-guided choledochojejunostomy: Novel method to treat a
severely stenotic choledochojejunal anastomosis.
PMID- 26566898
TI - The Causal Effects of Relational Security and Insecurity on Condom Use Attitudes
and Acquisition Behavior.
AB - Research on attachment and condom use has been limited to correlational studies
of self-report measures, yielding inconsistent results. Here, we examined the
causal effects of attachment priming on self-reported condom use attitudes and an
observational measure of condom acquisition behavior. In three experiments,
participants were exposed to one of three attachment primes (security, anxiety,
or avoidance) or a control prime. For Study 1, participants in the security and
anxiety conditions preferred condom non-use to a greater extent, compared to
participants in the avoidance condition. This effect was replicated in Study 2,
and was mediated by perceptions of sexual health threat. In Study 3, the effect
of security priming on condom acquisition behavior was eliminated through the use
of a framing manipulation, though the effect of primed attachment on condom use
attitudes was not significant. A meta-analysis, however, revealed that the
predicted effects of attachment priming were consistent across the three studies,
supporting the role of attachment in evaluations of condom use. Priming
attachment security or anxiety leads participants to perceive their sexual
partners as less of a sexual health threat, resulting in a devaluation of condom
use. Primed security also reduced condom acquisition behavior, though this
negative effect eliminated by framing condoms as protecting a partner's sexual
health. Overall, these studies suggest that relational factors, such as
attachment, require greater consideration when studying sexual health and
designing interventions.
PMID- 26566897
TI - Feline calicivirus and other respiratory pathogens in cats with Feline
calicivirus-related symptoms and in clinically healthy cats in Switzerland.
AB - BACKGROUND: Cats with feline calicivirus (FCV)-related symptoms are commonly
presented to veterinary practitioners. Various clinical manifestations have been
attributed to FCV, i.e. upper respiratory tract disease (URTD), oral ulcerations,
gingivostomatitis, limping syndrome and virulent systemic disease. Additionally,
healthy cats can shed FCV. The aims of this study were 1) to investigate the
frequency of FCV in cats with FCV-related symptoms and in healthy cats in
Switzerland, 2) to assess risk and protective factors for infection, such as
signalment, housing conditions, vaccination, and co-infection with URTD
associated pathogens, and 3) to address the association between clinical symptoms
and FCV infection. RESULTS: Oropharyngeal, nasal and conjunctival swabs were
collected in 24 veterinary practices from 200 FCV-suspect and 100 healthy cats
originating from 19 cantons of Switzerland. The samples were tested for FCV using
virus isolation and reverse-transcription real-time quantitative polymerase chain
reaction (qPCR) and for feline herpesvirus-1 (FHV-1), Mycoplasma felis,
Chlamydophila felis, Bordetella bronchiseptica using real-time qPCR. Within the
two populations (FCV-suspect/healthy), the observed PCR prevalences were: FCV
45%/8%, FHV-1 20%/9%, C. felis 8%/1%, B. bronchiseptica 4%/2%, M. felis 47%/31%
and any co-infections thereof 40%/14%. Based on multivariable regression models
amongst FCV-suspect cats (odds ratio [95% confidence interval]), co-infection
with M. felis (1.75 [0.97; 3.14]), group housing (2.11 [1.02; 4.34]) and intact
reproductive status (1.80 [0.99; 3.28]) were found to be risk factors for FCV
infection. In healthy cats, intact reproductive status (22.2 [1.85; 266.7]) and
group housing (46.4 [5.70; 377.7]) were found to be associated with FCV
infection. Based on an univariable approach, FCV-suspect cats were found to be
significantly less often FCV-positive when vaccinated (0.48 [0.24; 0.94]). Oral
ulcerations, salivation, gingivitis and stomatitis, but not classical signs of
URTD were significantly associated with FCV infection (all p < 0.001).
CONCLUSIONS: FCV was detected in less than half of the cats that were judged FCV
suspect by veterinary practitioners. For a clinical diagnosis, FCV-related
symptoms should be revisited. FCV infection was present in some healthy cats,
underlining the importance of asymptomatic carriers in FCV epidemiology. To
reduce FCV-related problems in multi-cat environments, reduction of group size in
addition to the generally recommended vaccination are advocated.
PMID- 26566899
TI - Nudity as a Disinhibiting Cue in a Date Rape Analogue.
AB - Situational factors likely play a role in date rape. The sexual inhibition
hypothesis suggests that men are typically sexually inhibited by violence and non
consent, but that inhibition can also be disrupted. We attempted to determine if
female nudity reduces inhibition of sexual arousal to non-consensual cues in
sexually non-aggressive men. In two studies, heterosexual men (aged 18-25) were
presented with six 2-min audiotaped narratives depicting consensual sexual
interactions, non-consensual sexual interactions (rape), and non-sexual
interactions (neutral) involving a man and a woman. In the first study, 20
participants saw pictures depicting nude or clothed women while listening to the
stories. In the second study, 20 other participants saw videos depicting nude or
clothed women exercising, also while listening to the stories. Genital responses
and subjective sexual arousal were measured. Results suggested that nudity may
have a disinhibitory effect on sexual arousal to non-consensual cues, but only
when presented in the form of moving images.
PMID- 26566900
TI - Disparities in Social Health by Sexual Orientation and the Etiologic Role of Self
Reported Discrimination.
AB - Some past work indicates that sexual minorities may experience impairments in
social health, or the perceived and actual availability and quality of one's
social relationships, relative to heterosexuals; however, research has been
limited in many ways. Furthermore, it is important to investigate etiological
factors that may be associated with these disparities, such as self-reported
discrimination. The current work tested whether sexual minority adults in the
United States reported less positive social health (i.e., loneliness, friendship
strain, familial strain, and social capital) relative to heterosexuals and
whether self-reported discrimination accounted for these disparities.
Participants for the current study (N = 579) were recruited via Amazon's
Mechanical Turk, including 365 self-identified heterosexuals (105 women) and 214
sexual minorities (103 women). Consistent with hypotheses, sexual minorities
reported impaired social health relative to heterosexuals, with divergent
patterns emerging by sexual orientation subgroup (which were generally consistent
across sexes). Additionally, self-reported discrimination accounted for
disparities across three of four indicators of social health. These findings
suggest that sexual minorities may face obstacles related to prejudice and
discrimination that impair the functioning of their relationships and overall
social health. Moreover, because social health is closely related to
psychological and physical health, remediating disparities in social
relationships may be necessary to address other health disparities based upon
sexual orientation. Expanding upon these results, implications for efforts to
build resilience among sexual minorities are discussed.
PMID- 26566901
TI - Brain regions and molecular pathways responding to food reward type and value in
honey bees.
AB - The ability of honey bees to evaluate differences in food type and value is
crucial for colony success, but these assessments are made by individuals who
bring food to the hive, eating little, if any, of it themselves. We tested the
hypothesis that responses to food type (pollen or nectar) and value involve
different subsets of brain regions, and genes responsive to food. mRNA in situ
hybridization of c-jun revealed that brain regions responsive to differences in
food type were mostly different from regions responsive to differences in food
value, except those dorsal and lateral to the mushroom body calyces, which
responded to all three. Transcriptomic profiles of the mushroom bodies generated
by RNA sequencing gave the following results: (1) responses to differences in
food type or value included a subset of molecular pathways involved in the
response to food reward; (2) genes responsive to food reward, food type and food
value were enriched for (the Gene Ontology categories) mitochondrial and
endoplasmic reticulum activity; (3) genes responsive to only food and food type
were enriched for regulation of transcription and translation; and (4) genes
responsive to only food and food value were enriched for regulation of neuronal
signaling. These results reveal how activities necessary for colony survival are
channeled through the reward system of individual honey bees.
PMID- 26566902
TI - Antibodies against small heat-shock proteins in Alzheimer's disease as a part of
natural human immune repertoire or activation of humoral response?
AB - Characterization of autoantibodies specific for some disease-related proteins,
would allow to better assess their role as diagnostic and prognostic markers. In
the light of increasing evidence for both humoral and cellular adaptive immune
responses in the pathophysiology of Alzheimer's disease (AD), and data on the
increased small heat-shock proteins (sHSP) expression in this disease, it seemed
justified to assess humoral response against sHSP in AD patients. The aim of the
study was to check whether AD has the ability to elicit immune response against
small HSP, which could also serve as disease biomarkers. IgG and IgM
autoantibodies against alpha B-crystallin and anti-HSP 60 IgG autoantibodies were
assessed in 59 AD patients and 59 healthy subjects. Both IgM and IgG
autoantibodies against alpha B-crystallin in AD patients were significantly
higher compared to healthy controls (p < 0.05). No statistically significant
differences were found between AD patients and healthy subjects were found in
anti-HSP60 IgG autoantibody titers (p = 0.29). Anti-HSP60 antibodies present in
AD patients may indeed belong to natural human immune repertoire, and chronic
neurodegenerative process does not have significant inducing effect on the
systemic immunoreactivity against HSP60. Increased titers of IgM and IgG
autoantibodies against alpha B-crystallin in AD patients may reflect activation
of humoral immune response in the course of this chronic disease, probably
secondary to its increased expression. Further prospective studies, on larger
group of AD patients and measuring a change in antibodies titers with disease
progression are necessary to assess the exact role of these antibodies in AD.
PMID- 26566903
TI - p70 ribosomal S6 kinase regulates subpleural fibrosis following transforming
growth factor-alpha expression in the lung.
AB - The p70 ribosomal S6 kinase (S6K) is a downstream substrate that is
phosphorylated and activated by the mammalian target of rapamycin complex and
regulates multiple cellular processes associated with fibrogenesis. Recent
studies demonstrate that aberrant mTORC1-S6K signaling contributes to various
pathological conditions, but a direct role in pulmonary fibroproliferation has
not been established. Increased phosphorylation of the S6K pathway is detected
immediately following transforming growth factor-alpha (TGF-alpha) expression in
a transgenic model of progressive lung fibrosis. To test the hypothesis that the
S6K directly regulates pulmonary fibroproliferative disease we determined the
cellular sites of S6K phosphorylation during the induction of fibrosis in the TGF
alpha model and tested the efficacy of specific pharmacological inhibition of the
S6K pathway to prevent and reverse fibrotic disease. Following TGF-alpha
expression increased phosphorylation of the S6K was detected in the airway and
alveolar epithelium and the mesenchyme of advanced subpleural fibrotic regions.
Specific inhibition of the S6K with the small molecule inhibitor LY-2584702
decreased TGF-alpha and platelet-derived growth factor-beta-induced proliferation
of lung fibroblasts in vitro. Administration of S6K inhibitors to TGF-alpha mice
prevented the development of extensive subpleural fibrosis and alterations in
lung mechanics, and attenuated the increase in total lung hydroxyproline. S6K
inhibition after fibrosis was established attenuated the progression of
subpleural fibrosis. Together these studies demonstrate targeting the S6K pathway
selectively modifies the progression of pulmonary fibrosis in the subpleural
compartment of the lung.
PMID- 26566904
TI - VEGF and endothelium-derived retinoic acid regulate lung vascular and alveolar
development.
AB - Prevention or treatment of lung diseases caused by the failure to form, or
destruction of, existing alveoli, as observed in infants with bronchopulmonary
dysplasia and adults with emphysema, requires understanding of the molecular
mechanisms of alveolar development. In addition to its critical role in gas
exchange, the pulmonary circulation also contributes to alveolar morphogenesis
and maintenance by the production of paracrine factors, termed "angiocrines,"
that impact the development of surrounding tissue. To identify lung angiocrines
that contribute to alveolar formation, we disrupted pulmonary vascular
development by conditional inactivation of the Vegf-A gene during alveologenesis.
This resulted in decreased pulmonary capillary and alveolar development and
altered lung elastin and retinoic acid (RA) expression. We determined that RA is
produced by pulmonary endothelial cells and regulates pulmonary angiogenesis and
elastin synthesis by induction of VEGF-A and fibroblast growth factor (FGF)-18,
respectively. Inhibition of RA synthesis in newborn mice decreased FGF-18 and
elastin expression and impaired alveolarization. Treatment with RA and vitamin A
partially reversed the impaired vascular and alveolar development induced by VEGF
inhibition. Thus we identified RA as a lung angiocrine that regulates
alveolarization through autocrine regulation of endothelial development and
paracrine regulation of elastin synthesis via induction of FGF-18 in mesenchymal
cells.
PMID- 26566906
TI - Rejuvenating cellular respiration for optimizing respiratory function: targeting
mitochondria.
AB - Altered bioenergetics with increased mitochondrial reactive oxygen species
production and degradation of epithelial function are key aspects of pathogenesis
in asthma and chronic obstructive pulmonary disease (COPD). This motif is not
unique to obstructive airway disease, reported in related airway diseases such as
bronchopulmonary dysplasia and parenchymal diseases such as pulmonary fibrosis.
Similarly, mitochondrial dysfunction in vascular endothelium or skeletal muscles
contributes to the development of pulmonary hypertension and systemic
manifestations of lung disease. In experimental models of COPD or asthma, the use
of mitochondria-targeted antioxidants, such as MitoQ, has substantially improved
mitochondrial health and restored respiratory function. Modulation of noncoding
RNA or protein regulators of mitochondrial biogenesis, dynamics, or degradation
has been found to be effective in models of fibrosis, emphysema, asthma, and
pulmonary hypertension. Transfer of healthy mitochondria to epithelial cells has
been associated with remarkable therapeutic efficacy in models of acute lung
injury and asthma. Together, these form a 3R model--repair, reprogramming, and
replacement--for mitochondria-targeted therapies in lung disease. This review
highlights the key role of mitochondrial function in lung health and disease,
with a focus on asthma and COPD, and provides an overview of mitochondria
targeted strategies for rejuvenating cellular respiration and optimizing
respiratory function in lung diseases.
PMID- 26566905
TI - Carvedilol binding to beta2-adrenergic receptors inhibits CFTR-dependent anion
secretion in airway epithelial cells.
AB - Carvedilol functions as a nonselective beta-adrenergic receptor (AR)/alpha1-AR
antagonist that is used for treatment of hypertension and heart failure.
Carvedilol has been shown to function as an inverse agonist, inhibiting G protein
activation while stimulating beta-arrestin-dependent signaling and inducing
receptor desensitization. In the present study, short-circuit current (Isc)
measurements using human airway epithelial cells revealed that, unlike beta-AR
agonists, which increase Isc, carvedilol decreases basal and 8-(4
chlorophenylthio)adenosine 3',5'-cyclic monophosphate-stimulated current. The
decrease in Isc resulted from inhibition of the cystic fibrosis transmembrane
conductance regulator (CFTR). The carvedilol effect was abolished by pretreatment
with the beta2-AR antagonist ICI-118551, but not the beta1-AR antagonist atenolol
or the alpha1-AR antagonist prazosin, indicating that its inhibitory effect on
Isc was mediated through interactions with apical beta2-ARs. However, the
carvedilol effect was blocked by pretreatment with the microtubule-disrupting
compound nocodazole. Furthermore, immunocytochemistry experiments and
measurements of apical CFTR expression by Western blot analysis of biotinylated
membranes revealed a decrease in the level of CFTR protein in monolayers treated
with carvedilol but no significant change in monolayers treated with epinephrine.
These results demonstrate that carvedilol binding to apical beta2-ARs inhibited
CFTR current and transepithelial anion secretion by a mechanism involving a
decrease in channel expression in the apical membrane.
PMID- 26566908
TI - The clinical relevance of cerebral microbleeds in patients with cerebral ischemia
and atrial fibrillation.
AB - The clinical significance of cerebral microbleeds (CMB) in patients hospitalized
with atrial fibrillation (AF) and cerebral ischemia is unclear. We aimed to
determine the prevalence of CMB in this population and determine the future risk
of intracerebral hemorrhage (ICH) and cerebral infarction (CI). The medical
records and brain imaging of patients hospitalized with cerebral ischemia due to
AF between 2008 and 2011 were reviewed. Followup was obtained through medical
record review, mailed survey, and acquisition of death certificates. Prevalence
was calculated from those patients with a hemosiderin-sensitive MRI sequence.
Recurrent CI and ICH were calculated using Kaplan-Meier curves censored at 3
years. Among 426 patients hospitalized with cerebral ischemia due to AF, 134 had
an MRI with hemosiderin-sensitive sequences. The prevalence of CMB was 27.6%. At
3 years, 90.6% of CMB-negative patients were overall stroke free (ICH and CI)
compared to 78.6% CMB-positive patients (p = 0.0591). Only one patient in the CMB
positive group had an ICH distant to the CMB. There was a nonsignificant trend
toward higher recurrent CI, recurrent overall stroke rate, and mortality in
patients with 5 or more CMB compared to 0-4 CMB. The rate of prospective CI in
patients with prior cerebral ischemia due to AF is higher than the rate of ICH in
patients with CMB. Further study is warranted to assess larger numbers of
patients to determine appropriate antithrombotic use in this high-risk
population.
PMID- 26566907
TI - Prognostic value of trans-thoracic echocardiography in patients with acute stroke
and atrial fibrillation: findings from the RAF study.
AB - Anticoagulant therapy is recommended for the secondary prevention of stroke in
patients with atrial fibrillation (AF). T he identification of patients at high
risk for early recurrence, which are potential candidates to prompt
anticoagulation, is crucial to justify the risk of bleeding associated with early
anticoagulant treatment. The aim of this study was to evaluate in patients with
acute ischemic stroke and AF the association between findings at trans-thoracic
echocardiography (TTE) and 90 days recurrence. In consecutive patients with acute
ischemic stroke and AF, TTE was performed within 7 days from hospital admission.
Study outcomes were recurrent ischemic cerebrovascular events (stroke or TIA) and
systemic embolism. 854 patients (mean age 76.3 +/- 9.5 years) underwent a TTE
evaluation; 63 patients (7.4%) had at least a study outcome event. Left atrial
thrombosis was present in 11 patients (1.3%) among whom 1 had recurrent ischemic
event. Left atrial enlargement was present in 548 patients (64.2%) among whom 51
(9.3%) had recurrent ischemic events. The recurrence rate in the 197 patients
with severe left atrial enlargement was 11.7%. On multivariate analysis, the
presence of atrial enlargement (OR 2.13; 95% CI 1.06-4.29, p = 0.033) and CHA2DS2
VASc score (OR 1.22; 95% CI 1.04-1.45, p = 0.018, for each point increase) were
correlated with ischemic recurrences. In patients with AF-associated acute
stroke, left atrial enlargement is an independent marker of recurrent stroke and
systemic embolism. The risk of recurrence is accounted for by severe atrial
enlargement. TTE-detected left atrial thrombosis is relatively uncommon.
PMID- 26566909
TI - A prospective study of the cumulative incidence and course of restless legs
syndrome in de novo patients with Parkinson's disease during chronic dopaminergic
therapy.
AB - The authors report the cumulative incidence of Restless Legs Syndrome (RLS) over
a 3 years follow-up period in 92 de novo Parkinson's disease patients under
chronic dopaminergic therapy and the clinical course of the sensory-motor
disorder over 12 months as from its onset. The overall cumulative incidence of
RLS was found by 15.3%, i.e. 14 incident cases, and by 11.9%, i.e. 11 incident
cases, after the exclusion of possible "secondary" forms of the disorder. These
figures are higher than those reported in general population in Germany (Study of
Health in Pomerania), confirming our previous findings of incidence rate of the
disorder. At the end of the 3 years follow-up period the prevalence of "current"
RLS was significantly higher than that previously found in drug naive Parkinson's
disease patients and in controls, supporting the view that RLS emerging in the
course of chronic dopaminergic therapy is the main determinant of the co-morbid
association with Parkinson's disease. During the 12 months period of observation
the RLS showed a frequency of occurrence of 6.08 episodes per month on average
and a remittent clinical course was prevailing in the 11 incident cases, with a
significant frequency decrease in the second as compared to the first 6 months,
i.e. 3.26 versus 8.9 episodes per month, and none of the patients developed
augmentation in the same period. It is hypothesized that the remittent course
could be due to long-term adaptation (downregulation) of the hypersensitive post
synaptic dopamine receptors in the spinal cord to a continuous dopaminergic
stimulation, possibly coupled with compensatory up-regulation of pre-synaptic
dopamine re-uptake mechanism, in the patients in which the hypothalamic A11 area,
site of origin of the dopamine-mediated diencephalo-spinal pathway, is involved
in the neurodegenerative process.
PMID- 26566910
TI - Erratum to: Redefining phenotypes associated with mitochondrial DNA single
deletion.
PMID- 26566911
TI - Erratum to: Caregiver burden in amyotrophic lateral sclerosis: a cross-sectional
investigation of predictors.
PMID- 26566912
TI - Cerebellar ataxia, neuropathy, and vestibular areflexia syndrome: a slowly
progressive disorder with stereotypical presentation.
AB - Cerebellar ataxia, neuropathy and vestibular areflexia syndrome (CANVAS) is a
newly described condition with onset in adulthood, characterized by progressive
balance impairment and sensory disturbances in the lower limbs, which can
severely affect patients' quality of life. Its pathogenesis remains obscure and
the diagnosis challenging. We described four patients complaining of slowly
progressive gait unbalance and sensory disturbances at the feet followed, after a
period ranging 2-6 years, by cerebellar dysfunction. All patients showed gait and
limb ataxia, positive Romberg sign, cerebellar dysarthria, gaze-evoked nystagmus,
absent deep tendon reflexes, and impaired vibratory sensation. Nerve conduction
studies revealed axonal sensory neuropathy, brain magnetic resonance imaging
showed cerebellar atrophy, and otoneurological investigation demonstrated
bilateral vestibular areflexia with impaired vestibulo-ocular reflexes. The
diagnosis of CANVAS should be suspected on clinical ground based on homogeneous
course of symptoms and signs, and addressed by video-oculography eye movement
recording.
PMID- 26566913
TI - Pharmacodynamics of a low subacute levodopa dose helps distinguish between
multiple system atrophy with predominant Parkinsonism and Parkinson's disease.
AB - The differential diagnosis between multiple system atrophy with predominant
parkinsonism (MSA-P) and Parkinson's disease (PD) may be challenging at disease
onset. Levodopa responsiveness helps distinguish the two groups, but studies
evaluating this issue using objective standardized tests are scanty. We
retrospectively examined the extent of levodopa response by an objective kinetic
dynamic test in a series of patients prospectively followed up for a parkinsonian
syndrome and eventually diagnosed as MSA-P or PD. Sixteen MSA-P and 31 PD
patients under chronic levodopa therapy received a first morning fasting dose of
levodopa/benserazide (100/25 mg) or levodopa/carbidopa (125/12.5 or 100/25 mg)
and underwent simultaneous serial assessments of plasma levodopa concentration
and alternate finger tapping frequency up to 3 h post dosing. The main levodopa
pharmacodynamic variables were the maximum percentage increase in tapping
frequency over baseline values (DeltaTapmax %) and the area under the tapping
effect-time curve (AUCTap). Levodopa pharmacokinetics did not show significant
differences between MSA-P and PD, whereas both the magnitude and overall extent
of levodopa tapping effect were markedly reduced in the MSA-P group (p < 0.001).
The combined use of specific cut-off values for both the main pharmacodynamic
variables, DeltaTapmax % <20% and AUCTap <1900 [(tapping/min).min], correctly
discriminated 15 out of 16 MSA-P patients from PD patients. A combined estimation
of these pharmacodynamic variables after a subacute low levodopa dose may be a
simple and practical clinical tool to aid the differential diagnosis between MSA
P and PD.
PMID- 26566914
TI - Frequency of MELAS main mutation in a phenotype-targeted young ischemic stroke
patient population.
AB - Mitochondrial diseases, predominantly mitochondrial encephalomyopathy, lactic
acidosis, and stroke-like episodes (MELAS), may occasionally underlie or coincide
with ischemic stroke (IS) in young and middle-aged individuals. We searched for
undiagnosed patients with MELAS in a target subpopulation of unselected young IS
patients enrolled in the Stroke in Young Fabry Patients study (sifap1). Among the
3291 IS patients aged 18-55 years recruited to the sifap1 study at 47 centers
across 14 European countries, we identified potential MELAS patients with the
following phenotypic features: (a) diagnosed cardiomyopathy or (b) presence of
two of the three following findings: migraine, short stature (<=165 cm for males;
<=155 cm for females), and diabetes. Identified patients' blood samples underwent
analysis of the common MELAS mutation, m.3243A>G in the MTTL1 gene of
mitochondrial DNA. Clinical and cerebral MRI features of the mutation carriers
were reviewed. We analyzed blood samples of 238 patients (177 with
cardiomyopathy) leading to identification of four previously unrecognized MELAS
main mutation carrier-patients. Their clinical and MRI characteristics were
within the expectation for common IS patients except for severe hearing loss in
one patient and hyperintensity of the pulvinar thalami on T1-weighted MRI in
another one. Genetic testing for the m.3243A>G MELAS mutation in young patients
with IS based on phenotypes suggestive of mitochondrial disease identifies
previously unrecognized carriers of MELAS main mutation, but does not prove MELAS
as the putative cause.
PMID- 26566915
TI - Atypical familial amyotrophic lateral sclerosis with initial symptoms of pain or
tremor in a Chinese family harboring VAPB-P56S mutation.
AB - Amyotrophic lateral sclerosis (ALS) is the most prevalent fatal motor neuron
disease and ~10% of cases are hereditary. Mutations associated with ALS have been
identified in more than 20 genes, but ALS type 8 (ALS8), which is caused by
mutations in vesicle-associated membrane protein-associated protein B (VAPB), is
rare. To date, the dominant missense mutation P56S, which is in the major sperm
protein domain of VAPB, has been described in nine families of Portuguese
Brazilian origin and one family of German origin. Here, we report a Chinese
family spanning three generations with ALS8 caused by the same VAPB-P56S mutation
detected in these cohorts, but which in its initial manifestation displays
different features. We also detected a R545Q variant of optineurin (OPTN) in this
family and which was previously considered a pathogenic mutation. However, our
analysis showed that OPTN-R545Q is benign and that VAPB-P56S accounts for the
phenotype. Haplotype tests revealed that VAPB-P56S in the Chinese family has
arisen independently from the Brazilian cohorts. To our knowledge, this is the
first study to report ALS caused by a VAPB mutation in a Chinese population.
PMID- 26566916
TI - Treatment of SPG5 with cholesterol-lowering drugs.
PMID- 26566917
TI - A MYB/ZML Complex Regulates Wound-Induced Lignin Genes in Maize.
AB - Lignin is an essential polymer in vascular plants that plays key structural roles
in vessels and fibers. Lignification is induced by external inputs such as
wounding, but the molecular mechanisms that link this stress to lignification
remain largely unknown. In this work, we provide evidence that three maize (Zea
mays) lignin repressors, MYB11, MYB31, and MYB42, participate in wound-induced
lignification by interacting with ZML2, a protein belonging to the TIFY family.
We determined that the three R2R3-MYB factors and ZML2 bind in vivo to AC-rich
and GAT(A/C) cis-elements, respectively, present in a set of lignin genes. In
particular, we show that MYB11 and ZML2 bind simultaneously to the AC-rich and
GAT(A/C) cis-elements present in the promoter of the caffeic acid O-methyl
transferase (comt) gene. We show that, like the R2R3-MYB factors, ZML2 also acts
as a transcriptional repressor. We found that upon wounding and methyl jasmonate
treatments, MYB11 and ZML2 proteins are degraded and comt transcription is
induced. Based on these results, we propose a molecular regulatory mechanism
involving a MYB/ZML complex in which wound-induced lignification can be achieved
by the derepression of a set of lignin genes.
PMID- 26566918
TI - Arabidopsis LEAFY COTYLEDON1 Mediates Postembryonic Development via Interacting
with PHYTOCHROME-INTERACTING FACTOR4.
AB - Plants undergo postembryonic growth during the developmental transition from
germinating seeds to seedlings. Recent studies suggest LEAFY COTYLEDON1 (LEC1),
initially identified as a central regulator in embryogenesis and seed maturation
in Arabidopsis thaliana, plays a distinct role in postembryonic development.
However, the mechanism by which LEC1 regulates nonembryonic development still
remains elusive. In this study, we observed etiolation-related phenotypes in
early seedlings of lec1 mutants and inducible LEC1 overexpression transgenic
lines. Consistent with this, LEC1 promotes the expression of hypocotyl elongation
related genes in a darkness-dependent manner in spite of the comparable LEC1
transcript levels in the light- and dark-grown seedlings. Furthermore, we show
that LEC1 interacts with PHYTOCHROME-INTERACTING FACTOR4 (PIF4), a major
transcription modulator in postgermination development, to interdependently
regulate hypocotyl elongation-related genes via direct binding to G-box element
in the dark. Moreover, loss of LEC1 function suppresses the elongated hypocotyl
phenotype of PIF-overaccumulating plants; conversely, inducible overexpression of
LEC1 does not rescue the short hypocotyl in pif4 mutants. Our findings reveal
that LEC1 acts as a coactivator of PIFs in transcriptional regulation during
postembryonic growth, providing a possible mechanism by which plants fine-tune
morphological development for their survival during the transition from the
embryonic phase to seedling establishment.
PMID- 26566920
TI - Septin-Dependent Assembly of the Exocyst Is Essential for Plant Infection by
Magnaporthe oryzae.
AB - Magnaporthe oryzae is the causal agent of rice blast disease, the most
devastating disease of cultivated rice (Oryza sativa) and a continuing threat to
global food security. To cause disease, the fungus elaborates a specialized
infection cell called an appressorium, which breaches the cuticle of the rice
leaf, allowing the fungus entry to plant tissue. Here, we show that the exocyst
complex localizes to the tips of growing hyphae during vegetative growth, ahead
of the Spitzenkorper, and is required for polarized exocytosis. However, during
infection-related development, the exocyst specifically assembles in the
appressorium at the point of plant infection. The exocyst components Sec3, Sec5,
Sec6, Sec8, and Sec15, and exocyst complex proteins Exo70 and Exo84 localize
specifically in a ring formation at the appressorium pore. Targeted gene
deletion, or conditional mutation, of genes encoding exocyst components leads to
impaired plant infection. We demonstrate that organization of the exocyst complex
at the appressorium pore is a septin-dependent process, which also requires
regulated synthesis of reactive oxygen species by the NoxR-dependent Nox2 NADPH
oxidase complex. We conclude that septin-mediated assembly of the exocyst is
necessary for appressorium repolarization and host cell invasion.
PMID- 26566922
TI - Maternal deaths have nearly halved in past 25 years.
PMID- 26566919
TI - Transcriptional Dynamics Driving MAMP-Triggered Immunity and Pathogen Effector
Mediated Immunosuppression in Arabidopsis Leaves Following Infection with
Pseudomonas syringae pv tomato DC3000.
AB - Transcriptional reprogramming is integral to effective plant defense. Pathogen
effectors act transcriptionally and posttranscriptionally to suppress defense
responses. A major challenge to understanding disease and defense responses is
discriminating between transcriptional reprogramming associated with microbial
associated molecular pattern (MAMP)-triggered immunity (MTI) and that
orchestrated by effectors. A high-resolution time course of genome-wide
expression changes following challenge with Pseudomonas syringae pv tomato DC3000
and the nonpathogenic mutant strain DC3000hrpA- allowed us to establish causal
links between the activities of pathogen effectors and suppression of MTI and
infer with high confidence a range of processes specifically targeted by
effectors. Analysis of this information-rich data set with a range of
computational tools provided insights into the earliest transcriptional events
triggered by effector delivery, regulatory mechanisms recruited, and biological
processes targeted. We show that the majority of genes contributing to disease or
defense are induced within 6 h postinfection, significantly before pathogen
multiplication. Suppression of chloroplast-associated genes is a rapid MAMP
triggered defense response, and suppression of genes involved in chromatin
assembly and induction of ubiquitin-related genes coincide with pathogen-induced
abscisic acid accumulation. Specific combinations of promoter motifs are engaged
in fine-tuning the MTI response and active transcriptional suppression at
specific promoter configurations by P. syringae.
PMID- 26566921
TI - The 11q Terminal Deletion Disorder Jacobsen Syndrome is a Syndromic Primary
Immunodeficiency.
AB - BACKGROUND: Jacobsen syndrome (JS) is a rare contiguous gene syndrome caused by
partial deletion of the long arm of chromosome 11. Clinical features include
physical and mental growth retardation, facial dysmorphism, thrombocytopenia,
impaired platelet function and pancytopenia. In case reports, recurrent
infections and impaired immune cell function compatible with immunodeficiency
were described. However, Jacobsen syndrome has not been recognized as an
established syndromic primary immunodeficiency. GOAL: To evaluate the presence of
immunodeficiency in a series of 6 patients with JS. METHODS: Medical history of 6
patients with JS was evaluated for recurrent infections. IgG, IgA, IgM and
specific antibodies against S. pneumoniae were measured. Response to immunization
with a polysaccharide vaccine (Pneumovax) was measured and B and T lymphocyte
subset analyses were performed using flowcytometry. RESULTS: Five out of 6
patients suffered from recurrent infections. These patients had low IgG levels
and impaired response to S. pneumoniae polysaccharide vaccination. Moreover, we
also found a significant decrease in the absolute number of memory B cells,
suggesting a defective germinal center function. In a number of patients, low
numbers of T lymphocytes and NK cells were found. CONCLUSIONS: Most patients with
JS suffer from combined immunodeficiency in the presence of recurrent infections.
Therefore, we consider JS a syndromic primary immunodeficiency. Early detection
of immunodeficiency may reduce the frequency and severity of infections. All JS
patients should therefore undergo immunological evaluation. Future studies in a
larger cohort of patients will more precisely define the pathophysiology of the
immunodeficiency in JS.
PMID- 26566923
TI - Oral therapy for nonmelanoma skin cancer in patients with advanced disease and
large tumor burden: a review of the literature with focus on a new generation of
targeted therapies.
AB - Nonmelanoma skin cancer (NMSC) is the most common cancer in patients and includes
basal cell carcinoma (BCC) and squamous cell carcinoma (SCC). Treatments useful
for SCC and BCC include surgical, topical, and in advanced cases systemic chemo
radiation. This review of the literature aims to describe previous and current
treatment options for oral therapy in locally advanced and metastatic NMSC
otherwise unamenable to standard treatment. Oral Smoothened (Smo) inhibitors
Vismodegib, Sonidegib, and Taladegib have shown to be effective in several
trials. Oral tyrosine kinase inhibitors Erlotinib and Gefitinib, which target
epidermal growth factor receptor (EGFR), have early supporting data and are
currently undergoing large multicenter trials. Other less studied oral therapies
which have shown at least partial efficacy include 5-Fluorouracil, capecitabine,
and picropodophyllin. In vitro studies have elucidated new targets for dual
combination oral therapy targeting both EGFR and insulin-like growth factor 1
receptor (IGF-1R). It is important to stratify treatment options based on patient
risk of advanced disease, failure of conservative treatment, and ill-tolerated
intravenous chemotherapy adverse events. Oral therapy in NMSC is useful in high
risk patients with recurrent and aggressive disease who may not tolerate other
systemic therapies.
PMID- 26566924
TI - Absolute and Relative Activity Values in Assessing the Effect of NADPH Oxidase
Inhibitors.
AB - This Letter addresses the following publication: Hirano K, Chen, WS, Chueng ALW,
Dunne AA, Seredenina T, Filippova T, Ramachandran S, Bridges A, Chaudry L,
Pettman G, Allan C, Duncan S, Lee KC, Lim J, Ma MT, Ong AB, Ye NY, Nasir S,
Mulyanidewi S, Aw CC, Oon PP, Liao S, Li D, Johns DG, Miller ND, Davies CH,
Browne ER, Matsuoka Y, Chen DW, Jaquet V, and Rutter AR. Discovery of GSK2795039,
a novel small molecule NADPH oxidase 2 inhibitor. Antiox Redox Signal 23: 358
374, 2015. The article by Hirano et al. describes the discovery of an NADPH
oxidase inhibitor specific for Nox2. This is an important finding at both the
theoretical and applicative levels. However, the article fails in the proper use
of a canonical methodology and in the expression of the results derived from it.
This refers principally to the execution of and interpretation of data derived
from cell-free NADPH oxidase activation assays. Antioxid. Redox Signal. 23, 1250
1251.
PMID- 26566925
TI - Effect of Red Blood Cell Transfusion Dependence on the Natural History of
Myeloproliferative Neoplasm-Associated Myelofibrosis.
AB - BACKGROUND: There are 2 widely used criteria for red blood cell (RBC) transfusion
dependence in persons with myeloproliferative neoplasm (MPN)-associated
myelofibrosis: (1) the International Working Group-Myelofibrosis Research and
Therapy (IWG-MRT) criteria (receipt of 2 U RBC in the preceding month); and (2)
the Rand-Delphi definition (2 U RBC per month averaged over 3 months). We studied
effect of these criteria on survival and risk of leukemic transformation in 259
subjects with MPN-associated myelofibrosis. PATIENTS AND METHODS: On the basis of
hemoglobin (Hb) and transfusion history, subjects were assigned to 1 of the 4
cohorts: (1) Hb >= 100 g/L (n = 136; 52%) and no RBC transfusions in the
preceding 4 months; (2) Hb < 100 g/L, and no RBC transfusions in the preceding 4
months (n = 56; 22%); (3) subjects who met IWG-MRT criteria, but not the Rand
Delphi criteria for RBC transfusion dependence (n = 34; 13%); and (4) subjects
who met the Rand-Delphi criteria for RBC transfusion dependence (n = 33; 13%).
RESULTS: Three-year probability of survival among the 4 cohorts was 81% (95%
confidence interval [CI], 71-87), 55% (95% CI, 36-71), 52% (95% CI, 31-69), and
47% (95% CI, 24-67), respectively (P = .0005). There was no significant
difference in baseline characteristics or survival between cohorts 3 and 4 and
they were combined for subsequent analyses. In multivariate analyses, subjects
who met either definition of RBC transfusion dependence had significantly worse
survival (hazard ratio, 2.61; 95% CI, 1.38-4.96; P = .01). CONCLUSION: RBC
transfusion dependence is associated with worse survival irrespective of
definition of transfusion dependence. No effect of anemia or RBC transfusion
dependence on leukemic transformation was observed.
PMID- 26566926
TI - "I'm Coming Home, Tell the World I'm Coming Home". The Long Homecoming and Mental
Health Treatment of Iraq and Afghanistan War Veterans.
AB - This study explored the journey of American armed forces personnel from their
decision to join the service, through their service in an active military
conflict and how these factors may be associated with potential resistance for
mental healthcare. The data came from qualitative interviews with 46 OIF/OEF/OND
active-duty military, reservists, and discharged veterans of the average age of
25 years, who presented for a new episode of mental health treatment to a large
Veterans Affairs Hospital (VAH) in Northeastern United States in 2011-2012.
Qualitative analysis of veterans' perceptions revealed several major themes
describing how a mental health diagnosis would negatively impact both their sense
of identity and pragmatic career-building goals: enlisting as a career-building
avenue, 'noble superhero' identity, escaping from hardship, and mental illness as
a career-killer. Findings suggest that factors making young veterans resist
mental healthcare may be reduced by partnering VAH psychiatrists with career
counselors, and by enhancing military leadership's awareness and understanding
about how to support soldiers with emotional and mental health needs, with a goal
to eliminating stigma.
PMID- 26566927
TI - Eccrine porocarcinoma with extensive cutaneous metastases.
AB - BACKGROUND: Eccrine porocarcinoma (EPC) is an uncommon malignant neoplasm that
originates in the intraepidermal portion of the eccrine sweat duct. Although
porocarcinoma is a slow-growing tumor, up to 20% of cases can metastasize to
regional lymph nodes, thus increasing mortality. METHODS: We describe the
clinical and histopathological features and clinical course of three cases of
extensive metastatic EPC diagnosed in our department over the last 10 years.
RESULTS: All three patients were women aged 89-96 years. They had numerous skin
tumors on the left leg that were histologically and immunohistochemically
diagnosed as metastatic EPC. Only one patient had a history of primary
porocarcinoma, which had been excised 6 years earlier. The remaining two patients
had a previous lesion diagnosed as squamous cell carcinoma. We treated the
patients with palliative radiotherapy and/or chemotherapy. Only one patient is
currently alive. CONCLUSIONS: The cases of cutaneous and regional metastatic EPC
we present occurred in elderly women with major involvement of the left leg. The
third case is noteworthy, as the patient presented a long latency period before
metastases appeared. Difficulties in the clinical diagnosis--and occasionally
histological diagnosis--of primary EPC could delay more aggressive treatment,
although optimal treatment does not always guarantee a good prognosis.
PMID- 26566928
TI - Building Community Capacity in Bereavement Support.
AB - BACKGROUND: Most bereaved people do not require specialist intervention, yet
building community capacity in providing bereavement support is underdeveloped.
While family caregivers indicate a need for more information about bereavement,
there is little evidence to guide what this information might contain. OBJECTIVE:
The study's purpose was to inform bereavement support by determining the advice
people bereaved through expected deaths in palliative care have for others in
that situation. DESIGN: Four funeral providers posted a questionnaire to previous
clients who had used their services 6 to 24 months prior and 678 bereaved people
responded. SETTING/PARTICIPANTS: The sample size for this study comprised 265
bereaved people whose relative used palliative care services. MEASUREMENTS: The
questionnaire comprised 82 questions about caregiving, bereavement support,
current bereavement-related distress, and 2 open-ended questions concerning their
bereavement, one of them on advice they have to other people in the same
situation. RESULTS: Family caregivers (n = 140) of people who received palliative
care responded to the open-ended question about advice for others. An open
content analysis yielded 3 themes-preparations for bereavement, utilizing social
networks, and strategies for dealing with grief. CONCLUSIONS: Bereaved family
caregivers' experiential knowledge can be harnessed to progress the development
of bereavement care strategies for the good of the community. These responses
could be incorporated into information brochures, posters, and other community
education avenues in order to upskill palliative care bereavement volunteers and
the wider community so that bereaved family caregivers are best supported.
PMID- 26566929
TI - Student and Faculty Reflections of the Hidden Curriculum.
AB - The hidden curriculum, or the socialization process of medical training, plays a
crucial role in the development of physicians, as they navigate the clinical
learning environment. The purpose of this qualitative study was to examine
medical faculty and students' perceptions of psychological, moral, and spiritual
challenges during medical training in caring for critically ill patients. Focus
groups were conducted with 25 Harvard Medical School (HMS) students, and
interviews were conducted with 8 HMS faculty members. Five major themes emerged
as important in shaping students' medical training experiences. First, students
and faculty discussed the overall significance of the hidden curriculum in terms
of the hierarchy of medicine, behavioral modeling, and the value placed on
research versus clinical work. Second, respondents articulated values modeled in
medicine. Third, students and faculty reflected on changes in student development
during their training, particularly in terms of changes in empathy and
compassion. Fourth, respondents discussed challenges faced in medical school
including professional clinical education and the psychosocial aspects of medical
training. Finally, students and faculty articulated a number of coping mechanisms
to mitigate these challenges including reflection, prayer, repression, support
systems, creative outlets, exercise, and separation from one's work. The results
from this study suggest the significance of the hidden curriculum on medical
students throughout their training, as they learn to navigate challenging and
emotional experiences. Furthermore, these results emphasize an increased focus
toward the effect of the hidden curriculum on students' development in medical
school, particularly noting the ways in which self-reflection may benefit
students.
PMID- 26566931
TI - Development of a therapy against metastatic bladder cancer using an interleukin-2
surface-modified MB49 bladder cancer stem cells vaccine.
AB - INTRODUCTION: In previous study the streptavidin interleukin-2 (SA-IL-2)-modified
MB49 vaccine was effective against bladder cancer in a mouse model. However, a
small portion of tumors regrew because the vaccine could not eliminate MB49
bladder cancer stem cells (MCSCs). Accordingly, we developed a SA-IL-2-modified
MCSCs vaccine and evaluated its antitumor effects. METHODS: MCSCs were isolated
and identified in cancer stem cells (CSCs) characters, with high expression of
CSCs markers, higher resistance to chemotherapy, greater migration in vitro, and
stronger tumorigenicity in vivo. The SA-IL-2 MCSCs vaccine was prepared and its
bioactivity was evaluated. The protective, therapeutic, specific and memory
immune response in animal experiments were designed to identify whether the
vaccine elicited antitumor immunity and acted against metastatic bladder cancer.
RESULTS: MCSCs had higher level of CD133 and CD44, less susceptibility to
chemotherapy, more pronounced migration and greater tumorigenic ability. The
successfully prepared SA-IL-2 MCSCs vaccine inhibited the tumor volume and
prolonged mice survival in animal experiments. The expression of IgG, the
population of dendritic cells, CD8(+) and CD4(+) T cells were highest in the
experimental group than in the four control groups. CONCLUSIONS: The SA-IL-2
MCSCs vaccine induced an antitumor immune response and was used to eliminate
MCSCs to prevent tumor regrowth.
PMID- 26566930
TI - Quality of outcome reporting in phase II studies in pulmonary tuberculosis.
AB - Tuberculosis (TB) remains a major killer amongst the infectious diseases. Current
treatment involves a four-drug regimen for at least 6 months. New drugs and
regimens are required to shorten treatment duration, reduce toxicity and combat
drug resistance, but the optimal methodology to define the critical path for
novel regimens is not well defined. We undertook a systematic review to summarise
outcomes reported in Phase II trials of patients with newly diagnosed pulmonary
TB to assess the need for a core outcome set. A systematic search of databases
(PubMed, MEDLINE, EMBASE and LILACs) was conducted on 1 May 2015 to retrieve
relevant peer-reviewed articles. Reference lists of included studies were also
searched. This systematic review considered all reported outcomes. Risk of bias
was considered via sequence generation, allocation concealment, blinding, reasons
for exclusions, and selective reporting. Of 55 included studies, 20 were Phase
IIB studies based on culture conversion, 32 were Phase IIA studies based on
quantitative bacteriology, and three considered alternative outcomes. Large
variation in reported outcomes and trial characteristics was observed across the
included studies. Bacteriological results were as often expressed in terms of
positivity as negativity, with varying definitions of culture conversion.
Variation in reporting was particularly marked for Phase IIA studies, where
multiple time intervals were typically selected for analysis and sometimes
resulted in differing interpretations of the efficacy of drugs or regimens.
Within both Phase IIA and IIB studies, there was variation in the time points at
which the study participants were sampled, as well as in the bacteriological
media and methods used. For successful future meta-analysis of early-phase
studies, the findings of this review suggest that development of a core outcome
set would be desirable. This would enable trial results to be more easily
compared and combined, potentially leading to more effective development of new
treatment strategies for patients with TB. Pending development of, and agreement
on, such a core outcome set, we suggest some interim recommendations for
reporting of future phase II studies of pulmonary tuberculosis.
PMID- 26566932
TI - Binary Interactions of Antagonistic Bacteria with Candida albicans Under Aerobic
and Anaerobic Conditions.
AB - We used both aerobic and anaerobic liquid co-cultures, prepared with Luria
Bertani broth, to study the effect of bacteria on the survival of Candida
albicans in the external environment, away from an animal host. The bacteria were
represented by Aeromonas hydrophila, Bacillus cereus, Bacillus subtilis,
Clostridium, Enterobacter, Klebsiella pneumoniae, Kluyvera ascorbata and Serratia
marcescens. Under aerobic conditions, the yeast's growth was inhibited in the
presence of bacterial growth; however, under anaerobic conditions, yeast and
bacterial growth in co-cultures was similar to that observed for pure cultures.
Subsequent assays revealed that the majority of bacterial strains aerobically
produced extracellular hydrolytic enzymes capable of yeast cell wall hydrolysis,
including chitinases and mannan-degrading enzymes. In contrast, except for the A.
hydrophila strain, these enzymes were not detected in anaerobic bacterial
cultures, nor was the antimicrobial compound prodigiosin found in anaerobic
cultures of S. marcescens. When we suspended C. albicans cells in crude
extracellular enzyme preparations from K. pneumoniae and S. marcescens, we
detected no negative effect on yeast viability. However, we found that these
preparations enhance the toxicity of prodigiosin towards the yeast, especially in
combination with mannan-degrading enzymes. Analyses of the chitin and mannan
content of yeast cell walls revealed that less chitin was produced under
anaerobic than aerobic conditions; however, the levels of mannan, known for its
low permeability, remained the same. The latter phenomenon, as well as reduced
production of the bacterial enzymes and prodigiosin, may contribute to anaerobic
growth and survival of C. albicans in the presence of bacteria.
PMID- 26566933
TI - Comparative Genomics of cpn60-Defined Enterococcus hirae Ecotypes and
Relationship of Gene Content Differences to Competitive Fitness.
AB - Natural microbial communities undergo selection-driven succession with changes in
environmental conditions and available nutrients. In a previous study of the pig
faecal Enterococcus community, we demonstrated that cpn60 universal target (UT)
sequences could resolve phenotypically and genotypically distinct ecotypes of
Enterococcus spp. that emerged over time in the faecal microbiome of growing
pigs. In this study, we characterized genomic diversity in the identified
Enterococcus hirae ecotypes in order to define further the nature and degree of
genome content differences between taxa resolved by cpn60 UT sequences. Genome
sequences for six representative isolates (two from each of three ecotypes) were
compared. Differences in phosphotransferase systems and amino acid metabolism
pathways for glutamine, proline and selenocysteine were observed. Differences in
the lac family phosphotransferase system corresponded to lactose utilization
phenotypes of the isolates. Competitive fitness of the E. hirae ecotypes was
evaluated by in vitro growth competition assays in pig faecal extract medium.
Isolates from E. hirae-1 and E. hirae-2 ecotypes were able to out-compete
isolates from the E. hirae-3 ecotype, consistent with the relatively low
abundance of E. hirae-3 relative to E. hirae-1 and E. hirae-2 previously observed
in the pig faecal microbiome, and with observed differences between the ecotypes
in gene content related to biosynthetic capacity. Results of this study provide a
genomic basis for the definition of ecotypes within E. hirae and confirm the
utility of the cpn60 UT sequence for high-resolution profiling of complex
microbial communities.
PMID- 26566934
TI - Reply to Dr. Merry's Letter to the Editor.
PMID- 26566935
TI - Re: Occipital nerve block for the short-term prevention of migraine.
PMID- 26566936
TI - Hypoechogenicity of brainstem raphe nuclei is associated with increased attack
frequency in episodic migraine.
AB - INTRODUCTION: Reduced echogenicity of the brainstem raphe nuclei (BRN) was
demonstrated in major depression, possibly indicating serotonergic dysfunction.
Postulating that migraine may constitute a "chronic low serotonin syndrome," we
aimed to evaluate the echogenicity of midbrain structures, including serotonergic
BRN in episodic migraine. METHODS: Transcranial sonography was performed in 39
patients with episodic migraine (median age 35, interquartile range (IQR): 27-47
years; 27 women) and 35 controls (median age 31, IQR: 29-47 years; 19 women).
Individuals with concomitant depression were excluded. Echogenicity of BRN,
substantia nigra (SN) and third ventricle width was evaluated according to an
internationally established examination protocol. RESULTS: Hypoechogenicity of
BRN was depicted in 23.1% of migraine patients and 20% of controls, showing no
significant difference. Migraine patients with hypoechogenic BRN had
significantly higher attack frequency (median 3, IQR 2-5 vs. 1.5, IQR 1-2
days/month; p = 0.029) and a trend toward earlier disease manifestation. The rate
of hyperechogenic SN and width of the third ventricle were similar between both
groups. We did not observe any differences between migraine patients with and
without aura. CONCLUSION: Sonographic findings did not differ between migraine
patients and controls. Hypoechogenic BRN correlated to a higher migraine attack
frequency, probably indicating more severe disease activity.
PMID- 26566937
TI - Responses of dural mast cells in concussive and blast models of mild traumatic
brain injury in mice: Potential implications for post-traumatic headache.
AB - BACKGROUND: Chronic post-traumatic headache (PTH) is one of the most common
symptoms of mild traumatic brain injury (mTBI) but its underlying mechanisms
remain unknown. Inflammatory degranulation of dural mast cells (MCs) is thought
to promote headache, and may play a role in PTH. Whether mTBI is associated with
persistent degranulation of dural MCs is yet to be determined. METHODS:
Histochemistry was used to evaluate time course changes in dural MC density and
degranulation level in concussive head trauma and blast mouse models of mTBI. The
effects of sumatriptan and the MC stabilizer cromolyn sodium on concussion-evoked
dural MC degranulation were also investigated. RESULTS: Concussive head injury
evoked persistent MC degranulation for at least 30 days. Blast trauma gave rise
to a delayed MC degranulation response commencing at seven days that also
persisted for at least 30 days. Neither sumatriptan nor cromolyn treatment
reduced concussion-evoked persistent MC degranulation. CONCLUSIONS: mTBI evoked
by closed head injury or blast exposure is associated with persistent dural MC
degranulation. Such a response in mTBI patients may contribute to PTH.
Amelioration of PTH by sumatriptan may not involve inhibition of dural MC
degranulation. If persistent dural MC degranulation contributes to PTH, then
cromolyn treatment may not be effective.
PMID- 26566938
TI - A review of the current and potential oxygen delivery systems and techniques
utilized in cluster headache attacks.
AB - BACKGROUND: Despite oxygen therapy being one of the foremost acute treatments for
cluster headache (CH) attacks, little is known about the different techniques and
systems. OBJECTIVES: In this review we will examine the efficacy of the standard
non-rebreather mask (NRM) with room temperature oxygen in relieving pain in CH,
and try to compare it with the diversity of other oxygen gas conditions and
interfaces like partial rebreathers, simple masks, nasal cannulas, tusk masks,
demand valve oxygen, hyperbaric and cooled oxygen. METHOD: We searched non
structured Pubmed, Medline, the Cochrane online database and instruction
protocols from various oxygen delivery devices. CONCLUSIONS AND IMPLICATIONS:
Interfaces like demand valves and tusk masks are already proving to be superior
or at least similar to the standard NRM in terms of fraction of inspired oxygen
(FiO2), though the demand valve only showed better results than the NRM in a
single study in only four participants. Furthermore, new research shows how lower
temperatures of the gas may be an essential part of effective pain relief and
hyperbaric treatments show potential in preventing night time attacks.
PMID- 26566939
TI - Primary prevention implantable cardioverter-defibrillator and cardiac
resynchronization therapy-defibrillator in elderly patients: results of a Spanish
multicentre study.
AB - AIMS: Currently, there continues to be a lack of evidence regarding outcomes
associated with device-based therapy for ventricular arrhythmias in elderly
patients, even more in primary-prevention indications. We aimed to describe the
follow-up in terms of efficacy and safety of implantable cardioverter
defibrillator (ICD) therapy in a large cohort of elderly patients. METHODS AND
RESULTS: Retrospective multicentre study performed in 15 Spanish hospitals.
Consecutive patients referred for ICD implantation before 2011 were included. One
hundred and sixty-two of 1174 patients (13.8%) >=75 years were considered as
'elderly'. When compared with those patients <75, this subgroup presented more co
morbid conditions, including hypertension, chronic obstructive pulmonary disease
, and renal failure, and more previous hospitalizations due to heart failure
(HF). During a mean follow-up of 104.4 +/- 3.3 months, 162 patients (14%) died,
120 in the younger age (12.4%), and 42 (24.4%) in the elderly. Kaplan-Meier
analysis showed an increased probability of death with increasing age (17, 24,
28, and 69% at 12, 24, 48, and 60 months of follow-up in the elderly group).
There was neither difference regarding the rate of appropriate nor inappropriate
ICD intervention. CONCLUSION: In a real-world scenario, elderly patients comprise
~15% of ICD implantations for primary prevention of sudden cardiac death (SCD).
Although the rate of appropriate therapy is similar between groups, the benefit
of ICD is attenuated for a major increase in mortality risk among those patients
>=75 years at the moment of device implantation.
PMID- 26566940
TI - Applicability of a risk score for prediction of the long-term benefit of the
implantable cardioverter defibrillator in patients receiving cardiac
resynchronization therapy.
AB - AIMS: The Goldenberg risk score, comprising five clinical risk factors (New York
Heart Association class >2, atrial fibrillation, QRS duration >120 ms, age >70
years, and urea >26 mg/dL), may help identify patients in whom the survival
benefit of the defibrillator may be limited. We aim at assessing whether this
score can accurately predict the long-term all-cause mortality risk of patients
receiving cardiac resynchronization therapy (CRT) and identify those who are more
likely to benefit from the defibrillator. METHODS AND RESULTS: In this
retrospective observational cohort study, 638 patients with ischaemic or non
ischaemic dilated cardiomyopathy who had CRT-defibrillator (CRT-D) (n = 224) vs.
CRT-pacemaker (CRT-P) (n = 414) implantation were prospectively followed up for
survival outcomes. The long-term outcome of patients with CRT-D vs. CRT-P was
compared within risk score categories and in patients with severe renal
dysfunction. Mean follow-up in surviving and deceased patients was 62.7 and 32.5
months, respectively. This score showed higher discriminative performance in all
cause mortality prediction in CRT-D vs. CRT-P patients (area under the curve
0.718 +/- 0.041 vs. 0.650 +/- 0.032, respectively, P = 0.001). In those with
scores 0-2, a CRT-D device decreased mortality rates in the first 4 years of
follow-up compared with CRT-P (11.3 vs. 24.7%, P = 0.041), but this effect
attenuated with longer follow-up duration (21.2 vs. 32.7%, P = 0.078). In this
group, the benefit of CRT-D during the follow-up was seen after adjusting for
traditional mortality predictors (hazard ratio 0.339, P = 0.001). No significant
differences in mortality rates were seen in patients with score >=3 (57.9% with
CRT-D vs. 56.9%, P = 0.8) and those with severe renal dysfunction (92.9% in CRT-D
vs. 76.2%, P = 0.17). Similar results were seen following propensity score
matching. CONCLUSION: A simple risk stratification score comprising five clinical
risk factors may help identify CRT patients who are more likely to benefit from
the presence of the defibrillator.
PMID- 26566942
TI - Radiofrequency ablation of left atrial appendage accessory pathway.
PMID- 26566941
TI - Von Willebrand factor is associated with atrial fibrillation development in
ischaemic patients after cardiac surgery.
AB - AIMS: Atrial fibrillation (AF) is associated with an increased morbidity and
mortality after cardiac surgery. Von Willebrand factor (vWF) has been proposed as
a biomarker of endothelial damage/dysfunction. We hypothesized that vWF levels
could be used as valuable biomarker for AF occurrence after cardiac surgery.
Moreover, we explored the potential association between vWF and tissue
remodelling as possible implication in post-surgical AF. METHODS AND RESULTS: We
prospectively recruited 100 consecutive patients who undergoing programmed
cardiac surgery with cardiopulmonary bypass and with no previous history of AF.
Plasma vWF levels were determined from citrated plasma samples. Right atrial
appendage tissue was obtained during cardiac surgery, and vWF expression as well
as interstitial fibrosis was analysed by immunostaining and Masson's trichrome,
respectively. We found raised vWF plasma levels in ischaemic vs. valvular
patients (200.2 +/- 66.3 vs. 157.2 +/- 84.3 IU/dL; P = 0.015). Fibrosis degree
was associated with plasma vWF levels. Plasma vWF was an independent prognostic
marker for AF development in ischaemic patients [odds ratio, OR 6.44 (95%
confidence interval, CI 1.40-36.57), P = 0.035]. CONCLUSION: Plasma vWF levels
are associated with tissue fibrosis in patients undergoing cardiac surgery and
with post-surgical AF development in ischaemic patients. These findings suggest
an association among vWF levels, atrial remodelling, and AF development. It is
supported by higher vWF expression in right atrial tissue in ischaemic patients,
who developed post-surgical AF.
PMID- 26566943
TI - Is disability mere difference?
PMID- 26566944
TI - The job of 'ethics committees'.
AB - What should authorities establish as the job of ethics committees and review
boards? Two answers are: (1) review of proposals for consistency with the duly
established and applicable code and (2) review of proposals for ethical
acceptability. The present paper argues that these two jobs come apart in
principle and in practice. On grounds of practicality, publicity and separation
of powers, it argues that the relevant authorities do better to establish code
consistency review and not ethics-consistency review. It also rebuts bad code and
independence arguments for the opposite view. It then argues that authorities at
present variously specify both code-consistency and ethics-consistency jobs, but
most are also unclear on this issue. The paper then argues that they should
reform the job of review boards and ethics committees, by clearly establishing
code-consistency review and disestablishing ethics-consistency review, and
through related reform of the basic orientation, focus, name, and expertise
profile of these bodies and their actions.
PMID- 26566945
TI - Magnitude of PD-1, PD-L1 and T Lymphocyte Expression on Tissue from Castration
Resistant Prostate Adenocarcinoma: An Exploratory Analysis.
AB - BACKGROUND AND AIM: Recent therapeutic strategies for castration-resistant
prostate cancer have focused on immunomodulation, especially the PD-1/PD-L1
pathway related to tumor-infiltrating lymphocytes. Few cases of castration
resistant prostate adenocarcinoma have been tested simultaneously for PD-1, PD-L1
and T lymphocytes in cancerous tissue. We quantified the PD-1/PD-L1 immune
pathway and T lymphocyte infiltrates in a series of patients with castrate
resistant prostate adenocarcinoma. PATIENTS AND METHODS: Expression of PD-1, PD
L1, CD3 and FOXP3 was identified in tissue microarrays, with five tissue spots
per patient from 16 patients over at least 5 years of follow-up. Two scores were
defined. The first described the percentage of PD-1-positive T lymphocytes
(CD3+): negative (0), <5 %; low (1+), 5-30 %; high (2+), >30 %. The second
described PD-L1 staining intensity: 0 (no signal), 1+ (light signal), 2+ (high
signal) in >50 % of neoplastic cells. RESULTS: Tumor-infiltrating T lymphocytes
(CD3+) were seen in 11/16 cases (69 %). Nine of 16 cases expressed PD-1 (56 %),
among which 19 % were scored 2+. Eight of 16 cases expressed PD-L1 (50 %), with
19 % scored as strong 2+. The subgroup with high PD1/PD-L1 also exhibited FOXP3
expression. CONCLUSIONS: Approximately 19 % of patients in our series showed
simultaneous high PD-1/PD-L1 immunoscores, and were the best candidates for
receiving targeted anti-PD-1/PD-L1 immunotherapy, as determined using a tissue
based rationale.
PMID- 26566946
TI - Heterodimeric Bispecific Single Chain Variable Fragments (scFv) Killer Engagers
(BiKEs) Enhance NK-cell Activity Against CD133+ Colorectal Cancer Cells.
AB - BACKGROUND: Natural killer (NK) cells are potent cytotoxic lymphocytes that play
a critical role in tumor immunosurveillance and control. Cancer stem cells (CSC)
initiate and sustain tumor cell growth, mediate drug refractory cancer relapse,
and express the well-known surface marker CD133. METHODS: DNA fragments from two
fully humanized single chain fragment variable (scFv) antibodies recognizing CD16
on NK-cells and CD133 on CSC were genetically spliced forming a novel drug, 16 *
133 BiKE that simultaneously recognizes these antigens to facilitate an
immunologic synapse. The anti-CD133 was created using a fusion protein prepared
by fusing DNA fragments encoding the two extracellular domains of CD133.
Immunization of mice with the resulting fusion protein generated a unique
antibody that recognized the molecular framework and was species cross-reactive.
RESULTS: In vitro chromium-51 ((51)Cr) release cytotoxicity assays at both high
and low effector:target ratios demonstrated the ability of the heterodimeric
biological drug to greatly enhance NK-cell killing of human Caco-2 colorectal
carcinoma cells known to overexpress CD133. The tumor associated antigen
specificity of the drug for CD133 even enhanced NK-cell cytotoxicity against the
NK-resistant human Burkitt's lymphoma Daudi cell line, which has less than 5 %
CD133 surface expression. Flow cytometry analysis revealed increases in NK-cell
degranulation and Interferon-gamma production upon co-culture with Caco-2 targets
in the presence of the drug. CONCLUSION: These studies demonstrate that the
innate immune system can be effectively recruited to kill CSC using bispecific
antibodies targeting CD133 and that this anti-CD133 scFv may be useful in this
bispecific platform or perhaps in the design of more complex trispecific
molecules for carcinoma therapy.
PMID- 26566948
TI - Antenatal reflex DNA screening for Down's syndrome using total instead of free B
hCG in the Combined test.
PMID- 26566947
TI - Identification of a Classical Mutant in the Industrial Host Aspergillus niger by
Systems Genetics: LaeA Is Required for Citric Acid Production and Regulates the
Formation of Some Secondary Metabolites.
AB - The asexual filamentous fungus Aspergillus niger is an important industrial cell
factory for citric acid production. In this study, we genetically characterized a
UV-generated A. niger mutant that was originally isolated as a nonacidifying
mutant, which is a desirable trait for industrial enzyme production.
Physiological analysis showed that this mutant did not secrete large amounts of
citric acid and oxalic acid, thus explaining the nonacidifying phenotype. As
traditional complementation approaches to characterize the mutant genotype were
unsuccessful, we used bulk segregant analysis in combination with high-throughput
genome sequencing to identify the mutation responsible for the nonacidifying
phenotype. Since A. niger has no sexual cycle, parasexual genetics was used to
generate haploid segregants derived from diploids by loss of whole chromosomes.
We found that the nonacidifying phenotype was caused by a point mutation in the
laeA gene. LaeA encodes a putative methyltransferase-domain protein, which we
show here to be required for citric acid production in an A. niger lab strain
(N402) and in other citric acid production strains. The unexpected link between
LaeA and citric acid production could provide new insights into the
transcriptional control mechanisms related to citric acid production in A. niger.
Interestingly, the secondary metabolite profile of a DeltalaeA strain differed
from the wild-type strain, showing both decreased and increased metabolite
levels, indicating that LaeA is also involved in regulating the production of
secondary metabolites. Finally, we show that our systems genetics approach is a
powerful tool to identify trait mutations.
PMID- 26566949
TI - Implications of semi-quantitative HPV viral load estimation by Hybrid capture 2
in colposcopy practice.
AB - OBJECTIVE: High viral load of oncogenic human papillomavirus (HPV) significantly
increases risk of CIN 2 or worse (CIN 2+) lesions. Semi-quantitative estimation
of oncogenic HPV viral load by Hybrid Capture 2 (HC2) correlates well with viral
load estimated by real-time polymerase chain reaction. We correlated viral load
estimated by HC2 with colposcopy and histology diagnosis, to determine if high
viral load could detect the CIN 2+ lesions missed by colposcopy in HPV positive
women. METHODS: Using HPV testing by HC2, 39,728 women were screened. Positive
results were categorized into low-positive, intermediate, and high viral load
groups, based on relative light unit/cut-off ratios. HPV-positive and some HPV
negative women underwent colposcopy and biopsy. RESULTS: A total of 278 CIN 2+
lesions were detected. Detection rate of CIN 2+ was significantly higher in
intermediate and high viral load groups. Nearly half (48.3%) of CIN 2+ and 80.4%
of CIN 3+ lesions missed or under-diagnosed by colposcopy had viral load in
intermediate to high ranges. Risk of CIN 2+ in the high viral load group was 46
times higher than HPV-negative women, even when colposcopy was apparently normal.
DISCUSSION: Women with intermediate or high viral load should have multiple punch
biopsies, even if colposcopy is apparently normal or suggests low grade lesions.
Women with high viral load and suspected low grade lesion on colposcopy may be
considered for 'see-and-treat', as their risk of CIN 2+ is nearly 200 times
higher than HPV-negative women.
PMID- 26566950
TI - A pilot randomized controlled trial of telephone intervention to increase Breast
Cancer Screening uptake in socially deprived areas in Scotland (TELBRECS).
AB - OBJECTIVES: To determine whether a brief telephone support intervention could
increase breast cancer screening uptake among lower socio-demographic women in
Scotland, via eliciting and addressing barriers to screening attendance. METHODS:
In a pilot randomized controlled trial, participants receiving a reminder letter
for a missed screening appointment (February-June 2014) were randomized to four
arms: No telephone call (control), Simple telephone reminder (TEL), Telephone
support (TEL-SUPP), or Telephone support plus anticipated regret (TEL-SUPP-AR).
Primary outcomes were making an appointment and attending breast screening.
RESULTS: Of 856 women randomized and analysed on intention-to-treat basis,
compared with controls, more women in the telephone intervention groups made an
appointment (control: 8.8%, TEL: 20.3%, TEL-SUPP: 14.1%; TEL-SUPP-AR: 16.8%,
chi(2)(3) = 12.0, p = .007) and attended breast screening (control: 6.9%, TEL:
16.5%, TEL-SUPP: 11.3%; TEL-SUPP-AR: 13.1%, chi(2)(3) = 9.8, p = .020). Of 559
women randomized to the three telephone groups, 404 were successfully contacted
and 247 participated in the intervention. Intervention participants (ie. per
protocol analysis) were more likely to make (17% versus 10%, chi(2)(1) = 7.0, p =
.008) and attend (13% versus 7%, chi(2)(1) = 5.5, p = .019) an appointment than
non-participants, but there were no differences in attendance between the three
telephone groups. CONCLUSIONS: A simple telephone reminder doubled attendance at
breast screening in women from lower socio-demographic areas who had not attended
their initial appointment, compared with a reminder letter only (odds ratio 2.12,
95% CI (1.2, 3.8)). However, contacting women proved problematic and there was no
additional effect of telephone support or anticipated regret.
PMID- 26566951
TI - Prevalence, determinants, and clinical significance of masked hypertension and
white-coat hypertension in patients with chronic kidney disease.
AB - BACKGROUND: Masked hypertension and white-coat hypertension have been studied
among the general population and in hypertensive patients. However, little
insight is available on masked and white-coat hypertension among patients with
chronic kidney disease (CKD). METHODS: We recruited 1322 CKD patients admitted to
our hospital division. Patients were divided into four groups: normotension;
white-coat hypertension (WCHT); masked hypertension (MHT); sustained
hypertension. Multivariable logistic regression analyses were used to evaluate
the correlation between WCHT, MHT and renal/cardiovascular parameters. RESULTS:
The prevalence of WCHT and MHT was 10.21% and 16.11%, respectively. Patients with
WCHT and MHT had more severe target-organ damage (TOD) than patients with
normotension, but had less severe TOD than patients with sustained hypertension.
MHT correlated with impaired renal function and left-ventricular hypertrophy,
whereas WCHT was associated with abnormal carotid intima media thickness. Age,
body mass index, clinic and 24-h systolic blood pressure correlated with MHT,
whereas clinic, 24-h diastolic blood pressure and night-time systolic blood
pressure was associated with WCHT. CONCLUSIONS: Prevalence of WCHT and MHT was
10.21% and 16.11%, respectively. WCHT and MHT show a close relationship with TOD
in CKD patients.
PMID- 26566952
TI - (99m)Tc-MDP bone scintigraphy of the hand: comparing the use of novel cadmium
zinc telluride (CZT) and routine NaI(Tl) detectors.
AB - BACKGROUND: Cadmium zinc telluride (CZT) solid-state detectors have been recently
introduced in the field of nuclear medicine in cardiology and breast imaging. The
aim of the current study was to evaluate the performance of the novel detectors
(CZT) compared to that of the routine NaI(Tl) in bone scintigraphy. A dual-headed
CZT-based camera dedicated originally to breast imaging has been used, and in
view of the limited size of the detectors, the hands were chosen as the organ for
assessment. This is a clinical study. METHODS: Fifty-eight consecutive patients
(total 116 hands) referred for bone scan for suspected hand pathology gave their
informed consent to have two acquisitions, using the routine camera and the CZT
based camera. The latter was divided into full-dose full-acquisition time (FD
CZT) and reduced-dose short-acquisition time (RD CZT) on CZT technology, so three
image sets were available for analysis. Data analysis included comparing the
detection of hot lesions and identification of the metacarpophalangeal, proximal
interphalangeal, and distal interphalangeal joints. RESULTS: A total of 69 hot
lesions were detected on the CZT image sets; of these, 61 were identified as
focal sites of uptake on NaI(Tl) data. On FD CZT data, 385 joints were identified
compared to 168 on NaI(Tl) data (p < 0.001). There was no statistically
significant difference in delineation of joints between FD and RD CZT data as the
latter identified 383 joints. CONCLUSIONS: Bone scintigraphy using a CZT-based
gamma camera is associated with improved lesion detection and anatomic
definition. The superior physical characteristics of this technique raised a
potential reduction in administered dose and/or acquisition time without
compromising image quality.
PMID- 26566953
TI - A man with COPD, fever, and leucocytosis.
PMID- 26566954
TI - Sensitive change of iso-branched fatty acid (iso-15:0) in Bacillus pumilus PAMC
23174 in response to environmental changes.
AB - In this study, the environmental adaptive metabolic processes were investigated
using a psychrotrophic polar bacterium Bacillus pumilus PAMC 23174 in response to
various temperatures and nutrients, especially in regard to the synthesis of
fatty acids. Fatty acid methyl ester analysis was performed using gas
chromatography-mass spectrometry and we found that a sensitive changes in iso
branched fatty acid (iso-15:0) synthesis occurred when adjusting the nutritional
ratio of branched chain fatty acids (anteiso/iso) with different temperatures,
resulting in a change in the balance of anteiso- and iso-form fatty acids. We
also observed that this Arctic bacterium preferred amino acid leucine for the
synthesis of fatty acids. The increased and decreased synthesis of iso-form fatty
acids in response to different temperatures and leucine preference, changes the
fatty acid ratio in bacteria, which further affects the membrane fluidity and it
is also directly correlated with survival of bacteria in an extreme environment.
Hence, this study suggests that B. pumilus PAMC 23174 is a potential model
organism for the analysis of the unique ecological adaptations of polar bacteria
in changing and the extreme environments.
PMID- 26566955
TI - A cross sectional study of maternal 'near-miss' cases in major public hospitals
in Egypt, Lebanon, Palestine and Syria.
AB - BACKGROUND: The maternal near-miss approach has been increasingly used as a tool
to evaluate and improve the quality of care in maternal health. We report
findings from the formative stage of a World Health Organization (WHO) funded
implementation research study that was undertaken to collect primary data at the
facility level on the prevalence, characteristics, and management of maternal
near-miss cases in four major public referral hospitals - one each in Egypt,
Lebanon, Palestine and Syria. METHODS: We conducted a cross sectional study of
maternal near-miss cases in the four contexts beginning in 2011, where we
collected data on severe maternal morbidity in the four study hospitals, using
the WHO form (Individual Form HRP A65661). In each hospital, a research team
including trained hospital healthcare providers carried out the data collection.
RESULTS: A total of 9,063 live birth deliveries were reported during the data
collection period across the four settings, with a total of 77 cases of severe
maternal outcomes (71 maternal near-miss cases and 6 maternal deaths). Higher
indices for the maternal mortality index were found in both Al Galaa hospital, in
Egypt (8.6%) and Dar Al Tawleed hospital in Syria (14.3%), being large referral
hospitals, compared to Ramallah hospital in Palestine and Rafik Hariri University
hospital in Lebanon. Compared to the WHO's Multicountry Survey using the same
data collection tool, our study's mortality indices are higher than the index of
5.6% among countries with a moderate maternal mortality ratio in the WHO Survey.
Overall, haemorrhage-related complications were the most frequent conditions
among maternal near-miss cases across the four study hospitals. In all hospitals,
coagulation dysfunctions (76.1%) were the most prevalent dysfunction among
maternal near-miss cases, followed by cardiovascular dysfunctions. The coverage
of key evidence-based interventions among women experiencing a near-miss was
either universal or very high in the study hospitals. CONCLUSIONS: Findings from
this formative stage confirmed the need for quality improvement interventions.
The high reported coverage of the main clinical interventions in the study
hospitals would appear to be in contradiction with the above findings as the
level of coverage of key evidence-based interventions was high.
PMID- 26566956
TI - Awareness and use of electronic cigarettes and heat-not-burn tobacco products in
Japan.
AB - BACKGROUND AND AIMS: In addition to some electronic cigarettes (e-cigarettes),
new heat-not-burn tobacco products Ploom and iQOS have recently begun to be sold
by tobacco companies. These products are regulated differently in Japan,
depending on whether the contents are liquid or tobacco leaf. Our objective was
to estimate percentages of awareness and use of e-cigarettes and heat-not-burn
tobacco products among the Japanese population, including minors. DESIGN AND
SETTING: An internet survey (randomly sampled research agency panellists) with a
propensity score adjustment for "being a respondent in an internet survey" using
a nationally representative sample in Japan. PARTICIPANTS: A total of 8240
respondents aged 15-69 years in 2015 (4084 men and 4156 women). MEASUREMENTS:
Adjusted percentages of awareness and use of e-cigarettes (nicotine or non
nicotine e-cigarettes) and heat-not-burn products among total participants;
product types and percentages ever used among e-cigarettes ever users. FINDINGS:
Of respondents in Japan, 48% [95% confidence interval (CI) = 47-49] were aware of
e-cigarettes and heat-not-burn tobacco products, 6.6% (95% CI = 6.1-7.1) had ever
used, 1.3% (95% CI = 1.0-1.5) had used in the last 30 days and 1.3% (95% CI = 1.1
1.6) had experience of > 50 sessions. Seventy-two per cent (95% CI = 69-76) of
ever users used non-nicotine e-cigarettes, while 33% (95% CI = 30-37) of them
used nicotine e-cigarettes, which has the majority share world-wide; 7.8% (95% CI
= 5.5-10.0) and 8.4% (95% CI = 6.1-10.7) of them used the new devices, Ploom and
iQOS, respectively, with a relatively higher percentage among the younger
population. CONCLUSIONS: Approximately half the respondents in a Japanese
internet survey were aware of e-cigarettes and heat-not-burn tobacco products,
6.6% had ever used. More than 70% of ever users used non-nicotine e-cigarettes,
the sale of which is not legally prohibited, even to minors, in Japan, and 33% of
them used nicotine e-cigarettes; 3.5% of never smoking men and 1.3% of never
smoking women had ever used e-cigarettes. Corresponding figures for use in the
last 30 days were 0.6% and 0.3%, predominantly non-nicotine e-cigarettes.
PMID- 26566957
TI - Primary and maternal 3-methylcrotonyl-CoA carboxylase deficiency: insights from
the Israel newborn screening program.
AB - BACKGROUND: 3-Methylcrotonyl-CoA carboxylase deficiency (3MCCD) is an inborn
error of leucine catabolism. Tandem mass spectrometry newborn screening (NBS)
programs worldwide confirmed 3MCCD to be the most common organic aciduria and a
relatively benign disorder with favorable outcome. In addition, several
asymptomatic 3MCCD mothers were initially identified following abnormal screening
of their healthy babies and were appropriately termed maternal 3MCCD. METHODS:
This is a retrospective study that summarizes all the clinical, biochemical, and
genetic data collected by questionnaires of all 3MCCD individuals that were
identified by the extended Israeli NBS program since its introduction in 2009
including maternal 3MCCD cases. RESULTS: A total of 36 3MCCD subjects were
diagnosed within the 50-month study period; 16 were classified primary and 20
maternal cases. Four additional 3MCCD individuals were identified following
sibling screening. All maternal 3MCCD cases were asymptomatic except for one
mother who manifested childhood hypotonia. Most of the primary 3MCCD individuals
were asymptomatic except for two whose condition was also complicated by severe
prematurity. Initial dried blood spot (DBS) free carnitine was significantly
lower in neonates born to 3MCCD mothers compared with newborns with primary 3MCCD
(p = 0.0009). Most of the mutations identified in the MCCC1 and MCCC2 genes were
missense, five of them were novel. CONCLUSIONS: Maternal 3MCCD is more common
than previously thought and its presence may be initially indicated by low DBS
free carnitine levels. Our findings provide additional confirmation of the benign
nature of 3MCCD and we suggest to exclude this disorder from NBS programs.
PMID- 26566958
TI - Rethinking the research enterprise.
PMID- 26566959
TI - Time and costs of preparing and submitting an NIH grant application at a school
of nursing.
AB - BACKGROUND: Schools of nursing spend considerable time and finances pursuing
federal research funding, yet the costs of such efforts are unknown. PURPOSE: The
purpose of this study was to determine the time and costs to a school of nursing
to prepare a National Institutes of Health grant application. METHOD: We
prospectively recorded time and calculated costs in grant preparation undertaken
by principal investigators and research administrators in one school of nursing.
RESULTS: Principal investigators' time ranged from 69.8 to 162.3 hr, research
administrators' time ranged from 33.9 to 56.4 hr, and costs ranged from $4,784 to
$13,512 per grant. With funding rates of 5% to 15%, costs for one funded R01
would be $72,460 to $270,240. DISCUSSION: Grant writing activities represent a
major time commitment to faculty and staff and costs to the school. To maximize
potential for success, schools of nursing must provide costly resources to
support its grant writing enterprise. CONCLUSIONS: Less costly and more efficient
models of attaining research funding are needed for the sustainability of the
nursing profession.
PMID- 26566960
TI - A randomised comparison between ultrasound and nerve stimulation for
infraclavicular catheter placement.
AB - We conducted this study to determine if placement of infraclavicular catheters
guided by ultrasound is quicker than placement guided by nerve stimulation.
Infraclavicular brachial plexus catheters were inserted in 210 randomly allocated
patients who were scheduled for elective hand or elbow surgery. Needle and
catheter placement was guided by ultrasound (n = 105) or by nerve stimulation (n
= 105). The primary outcome was time to sensory block success. Success rate was
similar between the two techniques (83.2% vs 81.4%, p = 0.738). However,
placement of ultrasound-guided catheters took less time (7.2 [2.5] vs 9.6 [3.6]
min, p < 0 .001). Pain and satisfaction scores, and incidence of nerve deficit,
were also similar with both techniques.
PMID- 26566962
TI - Paracetamol pharmacokinetics and metabolism in young women.
AB - BACKGROUND: There is relevant between individual variability in paracetamol
clearance in young women. In this pooled study, we focused on the population
pharmacokinetic profile of intravenous paracetamol metabolism and its covariates
in young women. METHODS: Population PK parameters using non-linear mixed effect
modelling were estimated in a pooled dataset of plasma and urine PK studies in 69
young women [47 at delivery, 8/47 again 10-15 weeks after delivery (early
postpartum), and 7/8 again 1 year after delivery (late postpartum), 22 healthy
female volunteers with or without oral contraceptives]. RESULTS: Population PK
parameters were estimated based on 815 plasma samples and 101 urine collections.
Compared to healthy female volunteers (reference group) not on oral
contraceptives, being at delivery was the most significant covariate for
clearance to paracetamol glucuronide (Factor = 2.03), while women in early
postpartum had decreased paracetamol glucuronidation clearance (Factor = 0.55).
Women on contraceptives showed increased paracetamol glucuronidation clearance
(Factor = 1.46). The oestradiol level did not further affect this model. Being at
delivery did not prove significant for clearance to paracetamol sulphate, but was
higher in pregnant women who delivered preterm (<37 weeks, Factor = 1.34)
compared to term delivery and non-pregnant women. Finally, clearance of unchanged
paracetamol was dependent on urine flow rate. CONCLUSIONS: Compared to healthy
female volunteers not on oral contraceptives, urine paracetamol glucuronidation
elimination in young women is affected by pregnancy (higher), early postpartum
(lower) or exposure to oral contraceptives (higher), resulting in at least a two
fold variability in paracetamol clearance in young women.
PMID- 26566963
TI - Aberrant Notch signalling contributes to hypertrophic scar formation by
modulating the phenotype of keratinocytes.
AB - Hypertrophic scar (HS) is characterized by fibroblast hyperproliferation and
excessive matrix deposition. Aberrant keratinocyte differentiation and their
abnormal cytokine secretion are said to contribute to HS by activating
fibroblasts. However, the signalling pathway causing the aberrant keratinocytes
in HS has remained unidentified thus far. Given that Notch signalling is crucial
in initiating keratinocyte differentiation, we hypothesized that Notch signalling
contributes to HS by modulating the phenotype of keratinocytes. We found that
Notch1, Notch intracellular domain, Jagged1 and Hes-1 were overexpressed in the
epidermis of patients with HS. Supernatants from recombinant-Jagged1-treated
keratinocyte cultures could accelerate dermal fibroblast proliferation and
collagen production. Furthermore, Jagged1 induced keratinocyte differentiation
and upregulated the expression of fibrotic factors, including transforming growth
factors beta1 and beta2 , insulin-like growth factor-1, connective tissue growth
factor, vascular endothelial growth factor and epidermal growth factor, while
DAPT (a Notch inhibitor) significantly suppressed these processes. In a rabbit
ear model of HS, local application of DAPT downregulated the production of
fibrotic factors in keratinocytes, together with ameliorated scar hyperplasia.
Our findings suggest that Notch signalling contributes to HS by modulating
keratinocyte phenotype. These results provide new insights into the pathogenesis
of HS and indicate a potential therapeutic target.
PMID- 26566964
TI - Cytological Findings of 140 Bile Samples from Dogs and Cats and Associated
Clinical Pathological Data.
AB - BACKGROUND: Cholecystocentesis can be part of the diagnostic workup of
hepatobiliary disease in small animals, but literature on cytological evaluation
of bile is scant. OBJECTIVES: To determine the diagnostic utility of cytological
assessment of bile aspirates. ANIMALS: Fifty-six and 78 client-owned dogs and
cats, respectively, with bile collected by cholecystocentesis and submitted to
our diagnostic laboratory between 1999 and 2014. METHODS: Retrospective study
describing cytological findings of bile, concurrent bacterial culture results,
hematological and serum biochemical data, gallbladder biopsy results, as well as
final diagnosis and complications after cholecystocentesis. RESULTS: Infectious
agents were found in 30% of canine and 22% of feline bile aspirates, and
inflammation in 5% and 19% respectively. Presence of microorganisms was more
often detected on cytological examination (24%) than by culture (21%). The most
common bacterial isolates were Escherichia coli and Enterococcus spp., isolated
from 14.8% and 6.7% of cultured samples respectively. Only increased canine
pancreatic lipase immunoreactivity concentration (cPLI) was significantly
associated with the presence of microorganisms, inflammatory cells, or both in
bile. Clinically relevant complications of cholecystocentesis occurred in 2 dogs.
The majority of the animals undergoing cholecystocentesis suffered from hepatic,
pancreatic, gastrointestinal disease, or a combination thereof. CONCLUSIONS AND
CLINICAL IMPORTANCE: Cytological examination of bile is inexpensive and
straightforward, and yields diagnostically relevant information that precedes and
complements bacterial culture.
PMID- 26566961
TI - Anti-B-Cell Therapies in Autoimmune Neurological Diseases: Rationale and Efficacy
Trials.
AB - B cells have an ever-increasing role in the etiopathology of a number of
autoimmune neurological disorders, acting as antibody-producing cells and, most
importantly, as sensors, coordinators, and regulators of the immune response. B
cells, among other functions, regulate the T-cell activation process through
their participation in antigen presentation and production of cytokines. The
availability of monoclonal antibodies or fusion proteins against B-cell surface
molecules or B-cell trophic factors bestows a rational approach for treating
autoimmune neurological disorders, even when T cells are the main effector cells.
This review summarizes basic aspects of B-cell biology, discusses the role(s) of
B cells in neurological autoimmunity, and presents anti-B-cell drugs that are
either currently on the market or are expected to be available in the near future
for treating neurological autoimmune disorders.
PMID- 26566965
TI - The alveolar process following single-tooth extraction: a study of maxillary
incisor and premolar sites in man.
AB - OBJECTIVE: The present investigation was performed to determine some dimensional
alterations that occur in the alveolar process of the incisor and premolar sites
of the maxilla following tooth removal. MATERIAL AND METHODS: Computer-assisted
cone-beam computed tomography (CBCT) scans were obtained from the maxilla using
an iCAT unit, and involved edentulous and contralateral tooth sites. For each
site included in the study, parasagittal and axial reconstructions, 1 mm apart,
were made and measurements of different variables (cross-sectional area, height,
and width) performed. RESULTS: The study involved 69 subjects and disclosed that
the cross-sectional area and the height and width of the alveolar process of the
lateral incisor site were the smallest and those of the second premolar the
largest. All parameters had been significantly reduced after the completion of
the >=1 year of healing. Thus, the overall (i) cross-sectional area was reduced
from 99.1 to 65.0 mm(2) , (ii) the height from 11.5 to 9.5 mm, and (iii) the
width from 8.5 to 3.2 mm (marginal 1/3(rd) ), 8.9 to 4.8 mm (middle portion), and
9.0 to 5.7 mm (apical portion). CONCLUSION: The removal of single tooth caused
marked hard tissue diminution. The loss of hard tissue was most pronounced in the
buccal and marginal portions of the edentulous ridge that in most sites had
acquired a triangular shape.
PMID- 26566966
TI - Graham Little-Piccardi-Lassueur syndrome in a patient with androgen insensitivity
syndrome.
PMID- 26566967
TI - Orthotopic forelimb allotransplantation in the rat model.
AB - In this report, we present a rat orthotopic forelimb allotransplantation model.
Eight forelimbs were transplanted from Brown Norway rats to Lewis rats. Axillary
vessels of transplant were used as the vascular pedicles, which were anastomosed
to the external jugular vein and common carotid artery of the recipient rat. The
ulnar, radial, and median nerves were also repaired. Among rats, a tapered dose
of cyclosporine was administered in five rats. In other three rats, no
immunosuppressive therapy was given. The viability and signs of rejection of
transplanted forelimbs, sensation recovery, bone healing, and histology were
assessed up to the 90th postoperative day. All of rats but one survived surgery.
All of transplanted forelimbs survived. In the rats treated with cyclosporine the
transplanted forelimbs achieved long-term survival with motion and sensation
recovery. On 90th day after surgery, bone healing was achieved. There was no sign
of rejection in histology. In the rats without cyclosporine treatment, the
transplanted forelimbs experienced tissue necrosis started from day 12
postoperatively. This experimental study showed the feasibility of orthotopic
forelimb allotransplantation in the rat model. (c) 2015 Wiley Periodicals, Inc.
Microsurgery 36:672-675, 2016.
PMID- 26566968
TI - The Spectrum of E2F in Liver Disease--Mediated Regulation in Biology and Cancer.
AB - Uncoordinated cell growth is one of the fundamental concepts in carcinogenesis
and occurs secondary to dysregulation of the cell cycle. The E2Fs are a large
family of transcription factors and are key regulators of the cell cycle. The
activation of E2Fs is intimately regulated by retinoblastoma 1 (RB1). The RB
pathway has been implicated in almost every human malignancy. Recently there have
been exciting developments in the E2F field using animal models to better
understand the role of E2Fs in vivo. Genetic mouse models have proven essential
in implicating E2Fs in hepatocellular carcinoma (HCC) and liver disease. In this
review, the general structure and function of E2Fs as well as the role for E2Fs
in the development of HCC and liver disease is evaluated. Specifically, what is
known about E2Fs in human disease is explored in depth, and future directions are
discussed.
PMID- 26566969
TI - Which approach is appropriate for spontaneous portosystemic shunt embolization in
patients with post-transjugular intrahepatic portosystemic shunt refractory
hepatic encephalopathy: Antegrade or retrograde?
PMID- 26566970
TI - "This lifetime commitment": Public conceptions of disability and noninvasive
prenatal genetic screening.
AB - Recently, new noninvasive prenatal genetic screening technologies for Down
syndrome and other genetic conditions have become commercially available. Unique
characteristics of these screening tests have reignited long-standing concerns
about prenatal testing for intellectual and developmental disabilities. We
conducted a web-based survey of a sample of the US public to examine how
attitudes towards disability inform views of prenatal testing in the context of
these rapidly advancing prenatal genetic screening technologies. Regardless of
opinion toward disability, the majority of respondents supported both the
availability of screening and the decision to continue a pregnancy positive for
aneuploidy. Individuals rationalized their support with various conceptions of
disability; complications of the expressivist argument and other concerns from
the disability literature were manifested in many responses analyzed.
PMID- 26566971
TI - Acylated monogalactosyl diacylglycerol: prevalence in the plant kingdom and
identification of an enzyme catalyzing galactolipid head group acylation in
Arabidopsis thaliana.
AB - The lipid phase of the thylakoid membrane is mainly composed of the galactolipids
mono- and digalactosyl diacylglycerol (MGDG and DGDG, respectively). It has been
known since the late 1960s that MGDG can be acylated with a third fatty acid to
the galactose head group (acyl-MGDG) in plant leaf homogenates. In certain
brassicaceous plants like Arabidopsis thaliana, the acyl-MGDG frequently
incorporates oxidized fatty acids in the form of the jasmonic acid precursor 12
oxo-phytodienoic acid (OPDA). In the present study we further investigated the
distribution of acylated and OPDA-containing galactolipids in the plant kingdom.
While acyl-MGDG was found to be ubiquitous in green tissue of plants ranging from
non-vascular plants to angiosperms, OPDA-containing galactolipids were only
present in plants from a few genera. A candidate protein responsible for the acyl
transfer was identified in Avena sativa (oat) leaf tissue using biochemical
fractionation and proteomics. Knockout of the orthologous gene in A. thaliana
resulted in an almost total elimination of the ability to form both non-oxidized
and OPDA-containing acyl-MGDG. In addition, heterologous expression of the A.
thaliana gene in E. coli demonstrated that the protein catalyzed acylation of
MGDG. We thus demonstrate that a phylogenetically conserved enzyme is responsible
for the accumulation of acyl-MGDG in A. thaliana. The activity of this enzyme in
vivo is strongly enhanced by freezing damage and the hypersensitive response.
PMID- 26566972
TI - Two pregnancies shortly after transplantation with reduced intensity conditioning
in chronic myeloid leukemia.
AB - POI is a relevant late complication after HSCT and occurring more frequently
after MAC than after RIC regimens. Reports on the frequency of POI after RIC in a
large pediatric and adolescent population are lacking. In this study, we describe
a girl affected by CML diagnosed at the age of 15 yr and treated with oncarbide
and interferon followed by imatinib and dasatinib. She had two pregnancies
shortly after RIC performed according to the CML-SCT I-BFM protocol including TT,
FLU, and MEL. Hypergonadotropic hypogonadism occurred four months after HSCT;
menstruations resumed regularly six months after HSCT. Eight and 20 months after
HSCT, the patient became pregnant and then delivered, respectively, two babies at
term by cesarean section. Both newborns had no neonatal complications. Donor
chimerism at time of two pregnancies and five yr after transplantation
demonstrated complete donor engraftment. These findings suggest that I-BFM CML
SCT protocol could be a promising treatment option for adolescents or young
adults with CML eligible for HSCT.
PMID- 26566973
TI - Association of various risk factors with chronic lymphocytic leukemia and its
cytogenetic characteristics.
AB - This study aimed to investigate whether occupational and environmental exposures,
lifestyle, family, and medical history are associated with chronic lymphocytic
leukemia (CLL) risk and its chromosomal abnormalities. The study included 138 CLL
cases and 141 age- and sex-matched controls. Information data were collected
through in-person interviews from cases and controls. Cytogenetic analysis was
performed on CLL bone marrow cells. Positive associations were found between CLL
and cancer family history, smoking, pneumonia, and exposure to petroleum, metals,
pesticides/chemical fertilizers, detergents, and medical radiation. Chromosome
deletions of 11q and 13q were more frequent in patients exposed to pesticides and
rubber, respectively. This study investigated for the first time specific risk
factors in relation to CLL aberrations and presented positive correlations.
Moreover, it indicates the possible involvement of specific occupational and
lifestyle risk factors in the onset of CLL.
PMID- 26566974
TI - Expression profiling of the ubiquitin conjugating enzyme UbcM2 in murine brain
reveals modest age-dependent decreases in specific neurons.
AB - BACKGROUND: UbcM2 is a ubiquitin-conjugating enzyme with roles in the turnover of
damaged and misfolded proteins, cell cycle progression, development, and
regulation of the antioxidant transcription factor, Nrf2. Recent screens have
identified binding partners of the enzyme that are associated with various
neurodegenerative diseases, and our previous studies have shown that UbcM2 is
enriched in retina and brain. RESULTS: In the current study, we characterized
UbcM2 protein expression in various structures and cell types in the murine
brain. Immunofluorescence analysis of paraffin-embedded brain sections revealed
that UbcM2 is ubiquitously expressed throughout the brain, is enriched in
hindbrain and cortex, and is robustly expressed in neurons. In contrast, the
enzyme is undetectable in most astrocytes and microglia. As dysfunction of the
ubiquitin proteasome system (UPS) has been linked to many age-related
neurological diseases, we compared UbcM2 expression levels in young versus aged
wild-type mice and found a global decrease in expression in aged brains, with
reductions of 10 % or greater in five substructures (cerebellar granule cell
layer, primary motor cortex, olfactory nucleus, superior colliculus, and
secondary visual cortex). CONCLUSIONS: These studies represent the first protein
expression profiling of a ubiquitin-conjugating enzyme in the brain and support
the notion that deficits in protein degradation and proteostasis associated with
neurodegenerative diseases may be, in part, attributable to age-dependent
reductions in the enzymatic machinery of the UPS.
PMID- 26566975
TI - Does refining the phenotype improve replication rates? A review and replication
of candidate gene studies on Major Depressive Disorder and Chronic Major
Depressive Disorder.
AB - Replication has been poor for previously reported candidate genes involved in
Major Depressive Disorder (MDD). One possible reason is phenotypic and genetic
heterogeneity. The present study replicated genetic associations with MDD as
defined in DSM-IV and with a more narrowly defined MDD subtype with a chronic and
severe course. We first conducted a systematic review of genetic association
studies on MDD published between September 2007 and June 2012 to identify all
reported candidate genes. Genetic associations were then tested for all
identified single nucleotide polymorphisms (SNPs) and the entire genes using data
from the GAIN genome-wide association study (MDD: n = 1,352; chronic MDD
subsample: n = 225; controls: n = 1,649). The 1,000 Genomes database was used as
reference for imputation. From 157 studies identified inthe literature, 81
studies reported significant associations with MDD, involving 245 polymorphisms
in 97 candidate genes, from which we were able to investigate 185 SNPs in 89
genes. We replicated nine candidate SNPs in eight genes for MDD and six in five
genes for chronic MDD. However, these were not more than expected by chance. At
gene level, we replicated 18 genes for MDD and 17 genes for chronic MDD, both
significantly more than expected by chance. We showed that replication rates were
improved for MDD compared to a previous, highly similar, replication study based
on studies published before 2007. Effect sizes of the SNPs and replication rates
of the candidate genes were improved in the chronic subsample compared to the
full sample. Nonetheless, replication rates were still poor.
PMID- 26566976
TI - Generalized single-particle cryo-EM--a historical perspective.
AB - This is a brief account of the earlier history of single-particle cryo-EM of
biological molecules lacking internal symmetry, which goes back to the mid
seventies. The emphasis of this review is on the mathematical concepts and
computational approaches. It is written as the field experiences a turning point
in the wake of the introduction of digital cameras capable of single electron
counting, and near-atomic resolution can be reached even for smaller molecules.
PMID- 26566977
TI - A Radiation Safety Training Program Results in Reduced Radiation Exposure for
Orthopaedic Residents Using the Mini C-arm.
AB - BACKGROUND: Fluoroscopy during fracture reduction allows a physician to assess
fractures and immediately treat a pediatric patient. However, concern regarding
the effects of radiation exposure has led us to find ways to keep radiation
exposures as low as reasonably achievable. One potentially simple way, which to
our knowledge has not been explored, to decrease radiation exposure is through
formal education before mini C-arm use. QUESTIONS/PURPOSES: We questioned whether
a radiation safety educational program decreases radiation (1) time and (2)
exposure among residents and patients. PATIENTS AND METHODS: This is a
retrospective study in which second-year residents underwent a 3-hour educational
program regarding mini C-arm use and radiation safety taught by our institution's
health physics department. We evaluated the records of all patients who underwent
a pediatric both-bone forearm or distal radius fracture reduction in the
emergency department 3 months before the educational program or after the
program. To be included in the study, records included simple both-bone forearm
fractures, simple distal radius fractures, and patient age younger than 18 years,
and could not include patients with multiple fractures in the same limb. This
resulted in study groups of 53 and 45 patients' records in the groups before and
after the educational session, respectively. Radiation emission from the mini C
arm between both groups were compared. RESULTS: Exposure time with the mini C-arm
was longer in patients treated before the educational intervention than in those
treated after the intervention (patients with both-bone forearm fractures: mean =
41.2, SD = 24.7, 95% CI, 23.14-59.26 vs mean = 28.9, SD = 14.4, 95% CI, 15.91
41.89, p = 0.066; patients with distal radius fractures: mean = 38.1, SD = 26.1,
95% CI, 25.1-51.1 vs mean = 26.7, SD = 15.8, 95% CI, 16.44-36.96, p = 0.042).
Calculated radiation exposure with the mini C-arm was larger in patients treated
before the educational intervention than in those treated after the intervention
(patients with both-bone forearm fractures: mean = 90.9, SD = 60.9, 95% CI, 51.06
130.74 vs mean = 30.4, SD = 18.5, 95% CI, 16.73-44.07, p < 0.001; patients with
distal radius fractures: mean = 83.1, SD = 58.9, 95% CI, 54.75-111.45 vs mean =
32.6, SD = 26.4, 95% CI, 20.07-45.13, p < 0.001). CONCLUSIONS: A radiation-safety
program resulted in decreased radiation exposure to residents and patients, and
in decreased mini C-arm exposure time during pediatric fracture reductions. LEVEL
OF EVIDENCE: Level III, therapeutic study.
PMID- 26566978
TI - Risk of Post-TKA Acute Myocardial Infarction in Patients With a History of
Myocardial Infarction or Coronary Stent.
AB - BACKGROUND: Acute myocardial infarction (AMI) is one of the most important
perioperative complications of total knee arthroplasty (TKA). Although risk
stratification tools exist for the prediction of cardiac complications including
AMI after noncardiac surgery, such stratification does not differentiate the
patients with a coronary stent alone, AMI without a stent, or AMI with a stent.
The risk of postoperative AMI in these patient groups may vary. Several studies
have recommended suitable times for noncardiac surgery in patients with a
coronary stent; however, they do not differentiate between the patients with AMI
and no AMI. The suitable time of noncardiac surgery for patients with AMI and
stent may vary from those with a stent alone. Moreover, a study to evaluate the
risk of AMI within 1 year in an Asian population with a history of AMI or
coronary stent who underwent TKA has not been reported. QUESTIONS/PURPOSES: (1)
What are the risks of AMI within 1 year of TKA in patients who have had a stent
alone, AMI without a stent, or AMI with a stent as compared with patients without
an AMI/stent? (2) For patients with AMI/stent placement, when can TKA be
performed where the risk of subsequent AMI normalizes? (3) What comorbidities are
associated with post-TKA AMI? (4) Is the risk of AMI within 1 year after surgery
in patients undergoing TKA without a history of AMI/stent higher than that in
patients with no surgery? METHODS: This study is a retrospective study of the
medical claim records of 128,216 patients who underwent TKA between 1997 and 2010
in Taiwan. The records were retrieved from the research database of the Bureau of
National Health Insurance in Taiwan, which maintains the records of 99.68% of the
Taiwan population. The patients who had a history of AMI or coronary stent
placement within the year before TKA were compared with the patients who had not
experienced AMI or stent placement before TKA. The control subjects were matched
according to sex, age, Charlson score, and year of surgery. There were 2413
patients in each group. The patients with a history of AMI or stent placement and
the timing of TKA after coronary event were further stratified as with a coronary
stent alone, AMI without a stent, and AMI with a stent. The effects of the
comorbidities of renal failure, diabetes, liver failure, and hypertension were
also analyzed individually. The risk of AMI within 1 year after TKA was
investigated using bivariate analysis and the Cox proportional hazard model. To
compare the risk of AMI within 1 year of surgery in the patients with a history
of TKA and no AMI/stent with the population without a history of surgery, a
similar bivariate analysis and the Cox proportional hazard model were applied to
their matched case and control groups, each containing 110,980 patients. RESULTS:
In the adjusted model, using no AMI/stent before TKA as a reference, patients
having undergone AMI + stent had the highest risk (hazard ratio [HR], 5.23; 95%
confidence interval [CI], 1.81-15.14; p = 0.002), AMI alone without a stent had
less risk (HR, 4.88; 95% CI, 1.49-16.01; p = 0.009), and stent alone with AMI had
the lowest risk (HR, 3.16; 95% CI, 1.29-7.71; p = 0.012). In all patients, risk
of AMI after TKA was not different than reference values after 1 year of initial
AMI or stent (stent: HR, 1.67; 95% CI, 0.71-3.94; p = 0.239; AMI: HR, 1.88; 95%
CI, 0.42-8.49; p = 0.412; AMI + stent: HR, 1.91; 95% CI, 0.53-6.89; p = 0.321).
The risk of post-TKA AMI was elevated within 1 year of the previous episode of
AMI/stent (0-180 days: HR, 8.42; 95% CI, 3.03-23.41; p < 0.001; 181-365 days: HR,
7.52; 95% CI, 2.47-22.88; p < 0.001). Only chronic renal failure under
hemodialysis was associated with increased risk of AMI within 1 year of TKA
(adjusted HR, 4.34; 95% CI, 1.22-15.43; p = 0.023). Patients undergoing TKA with
no history of AMI/stent had a lower risk of AMI within 1 year of TKA compared
with the patients with no history of surgery (adjusted HR, 0.92; 95% CI, 0.86
0.99; p = 0.016). CONCLUSIONS: This study found the risk of post-TKA AMI remains
high within 1 year in patients with a history of AMI/stent. It is recommended
that an elective TKA should be performed at least 1 year after an episode of AMI
or stent placement. Stents do not provide protection against post-TKA AMI within
6 months of the AMI and patients with AMI + stent have a higher risk of AMI than
those with only AMI. Patients of AMI/stent on hemodialysis have a very high risk
of post-TKA AMI. However, the risk of AMI is lower in post-TKA patients compared
with those with no TKA. LEVEL OF EVIDENCE: Level III, prognostic study.
PMID- 26566979
TI - Pearls: Wrong-level Surgery Prevention.
PMID- 26566980
TI - Evaluation of the Families SHARE workbook: an educational tool outlining disease
risk and healthy guidelines to reduce risk of heart disease, diabetes, breast
cancer and colorectal cancer.
AB - BACKGROUND: Common diseases such as heart disease, diabetes, and cancer are
etiologically complex with multiple risk factors (e.g., environment, genetic,
lifestyle). These risk factors tend to cluster in families, making families an
important social context for intervention and lifestyle-focused disease
prevention. The Families Sharing Health Assessment and Risk Evaluation (SHARE)
workbook was designed as an educational tool outlining family health history
based risk of heart disease, type 2 diabetes, breast cancer, and colorectal
cancer. The current paper describes the steps taken to develop and evaluate the
workbook employing a user-centered design approach. METHODS: The workbook was
developed in four steps, culminating in an evaluation focusing on understanding
and usability of the tool. The evaluation was based on two Phases of data
collected from a sample of mothers of young children in the Washington, D.C.,
area. A baseline assessment and follow-up approximately two weeks after receipt
of the workbook were conducted, as well as focus groups with participants. The
design of the workbook was refined in response to participant feedback from the
first evaluation Phase and subsequently re-evaluated with a new sample. RESULTS:
After incorporating user-based feedback and revising the workbook, Phase 2
evaluation results indicated that understanding of the workbook components
improved for all sections (from 6.26 to 6.81 on a 7-point scale). In addition,
100% of users were able to use the algorithm to assess their disease risk and
over 60% used the algorithm to assess family members' disease risk. At follow-up,
confidence to increase fruit, vegetable and fiber intake improved significantly,
as well. CONCLUSIONS: The Families SHARE workbook was developed and evaluated
resulting in a family health history tool that is both understandable and usable
by key stakeholders. This educational tool will be used in intervention studies
assessing the effectiveness of family genomics health educators who use the
Families SHARE workbook to disseminate family risk information and encourage risk
reducing behaviors. TRIAL REGISTRATION: ClinicalTrials.gov, NCT01498276 .
Registered 21 December 2011.
PMID- 26566984
TI - Decrease in circulating percentage platelet microparticles during pregnancy-a
different perspective.
PMID- 26566981
TI - Circadian Disruption Alters the Effects of Lipopolysaccharide Treatment on
Circadian and Ultradian Locomotor Activity and Body Temperature Rhythms of Female
Siberian Hamsters.
AB - The effect of circadian rhythm (CR) disruption on immune function depends on the
method by which CRs are disrupted. Behavioral and thermoregulatory responses
induced by lipopolysaccharide (LPS) treatment were assessed in female Siberian
hamsters in which circadian locomotor activity (LMA) rhythms were eliminated by
exposure to a disruptive phase-shifting protocol (DPS) that sustains
arrhythmicity even when hamsters are housed in a light-dark cycle. This
noninvasive treatment avoids genome manipulations and neurological damage
associated with other models of CR disruption. Circadian rhythmic (RHYTH) and
arrhythmic (ARR) hamsters housed in a 16L:8D photocycle were injected with
bacterial LPS near the onset of the light (zeitgeber time 1; ZT1) or dark (ZT16)
phase. LPS injections at ZT16 and ZT1 elicited febrile responses in both RHYTH
and ARR hamsters, but the effect was attenuated in the arrhythmic females. In
ZT16, LPS inhibited LMA in the dark phase immediately after injection but not on
subsequent nights in both chronotypes; in contrast, LPS at ZT1 elicited more
enduring (~4 day) locomotor hypoactivity in ARR than in RHYTH hamsters. Power and
period of dark-phase ultradian rhythms (URs) in LMA and Tb were markedly altered
by LPS treatment, as was the power in the circadian waveform. Disrupted circadian
rhythms in this model system attenuated responses to LPS in a trait- and ZT
specific manner; changes in UR period and power are novel components of the acute
phase response to infection that may affect energy conservation.
PMID- 26566985
TI - Remission of remitting seronegative symmetrical synovitis with pitting edema
after unrelated cord blood transplantation for myelodysplastic syndrome.
PMID- 26566987
TI - Cutaneous neuromas following a burn injury.
PMID- 26566986
TI - Urban-rural differences in daily time-activity patterns, occupational activity
and housing characteristics.
AB - BACKGROUND: There is evidence that rural residents experience a health
disadvantage compared to urban residents, associated with a greater prevalence of
health risk factors and socioeconomic differences. We examined differences
between urban and rural Canadians using data from the Canadian Human Activity
Pattern Survey (CHAPS) 2. METHODS: Data were collected from 1460 respondents in
two rural areas (Haldimand-Norfolk, Ontario and Annapolis Valley-Kings County,
Nova Scotia) and 3551 respondents in five urban areas (Vancouver, Edmonton,
Toronto, Montreal, and Halifax) using a 24-h recall diary and supplementary
questionnaires administered using computer-assisted telephone interviews. We
evaluated differences in time-activity patterns, occupational activity, and
housing characteristics between rural and urban populations using multivariable
linear and logistic regression models adjusted for design as well as demographic
and socioeconomic covariates. Taylor linearization method and design-adjusted
Wald tests were used to test statistical significance. RESULTS: After adjustment
for demographic and socioeconomic covariates, rural children, adults and seniors
spent on average 0.7 (p < 0.05), 1.2 (p < 0.001), and 0.9 (p < 0.001) more hours
outdoors per day respectively than urban counterparts. 23.1% (95% CI: 19.0-27.2%)
of urban and 37.8% (95% CI: 31.2-44.4%) of rural employed populations reported
working outdoors and the distributions of job skill level and industry differed
significantly (p < 0.001) between urban and rural residents. In particular, 11.4%
of rural residents vs. 4.9% of urban residents were employed in unskilled jobs,
and 11.5% of rural residents vs. <0.5% of urban residents were employ in primary
industry. Rural residents were also more likely than urban residents to report
spending time near gas or diesel powered equipment other than vehicles (16.9% vs.
5.2%, p < 0.001), more likely to report wood as a heating fuel (9.8% vs. <0.1%; p
< 0.001 for difference in distribution of heating fuels), less likely to have an
air conditioner (43.0% vs. 57.2%, p < 0.001), and more likely to smoke (29.1% vs.
19.0 %, p < 0.001). Private wells were the main water source in rural areas
(68.6%) in contrast to public water systems (97.6%) in urban areas (p < 0.001).
Despite these differences, no differences in self-reported health status were
observed between urban and rural residents. CONCLUSIONS: We identified a number
of differences between urban and rural residents, which provide evidence
pertinent to the urban-rural health disparity.
PMID- 26566988
TI - Morbidity, mortality and cost from HPV-related oropharyngeal cancer: Impact of 2
, 4- and 9-valent vaccines.
AB - OBJECTIVE: The incidence of oropharyngeal squamous cell carcinoma (OPSCC) related
to human papillomavirus (HPV) is increasing at a dramatic rate, with men affected
more commonly than women. Individuals who develop this disease suffer significant
morbidity and potential mortality from their cancer and its associated treatment.
We aim to evaluate the possible impact that the currently available HPV vaccines
will have on this group of cancers. DATA SOURCES: Available peer-reviewed
literature, practice guidelines, and statistics published by the Center for
Disease Control and Prevention. REVIEW METHODS: Contemporary peer-reviewed
medical literature was selected based on its scientific validity and relevance to
the impact HPV vaccination may have on the morbidity, mortality and cost
resulting from HPV-related OPSCC in the United States. CONCLUSIONS: The incidence
of HPV-related OPSCC is increasing at a near epidemic rate in the United States.
The cost of treatment of HPV-related OPSCC is high, and the disease and its
therapy result in significant morbidity and potential mortality to individuals.
Using a cut-off of $50,000/Quality-Adjusted Life Year, expansion of current HPV
vaccine indications to include prevention of OPSCC in both men and women should
be recommended.
PMID- 26566989
TI - Automated low flow pump system for the treatment of refractory ascites: a single
center experience.
AB - INTRODUCTION: Ascites is a common complication of liver cirrhosis and represents
the main cause of hospitalization among patients with cirrhosis. First-line
therapy for those patients is the use of diuretics and dietary sodium
restriction. However, 10 % of patients per year become therapy refractory to
diuretic treatment with the need of repeated high-volume paracentesis or
transjugular intrahepatic portosystemic shunt (TIPS). For these patients, an
automated pump system (Alfapump/Sequana Medical) was developed. Here, we describe
our single-center experience of ten consecutively implanted pump systems.
PATIENTS AND METHODS: Between 08/13 and 11/14, ten Alfapump systems were
implanted in patients with refractory ascites all suffering from liver cirrhosis.
Those patients were treated as a bridge to transplant (4/10) or as an end-stage
therapy (6/10). Median follow-up was 165 days (23-379 days). RESULTS:
Postimplant, the need of paracentesis could be markedly reduced to a mean of 0.45
(0-4/month) per month. In eight patients, paracentesis was not needed after
implantation of the pump system. The median daily output volume was 1000 ml/day
(450-2000 ml/day). Prerenal insufficiency was a recurrent complication in the
postoperative period. DISCUSSION: The Alfapump system is a useful system in the
treatment of patients suffering from therapy refractory ascites. However, due to
the high level of comorbidities, careful patient selection and postoperative
monitoring are required.
PMID- 26566990
TI - Cerebellopontine angle epidermoid cysts: clinical presentations and surgical
outcome.
AB - Epidermoid cysts constitute less than 1% of intracranial tumors with the majority
of them involving cerebellopontine angle (CPA). Although several mechanisms for
cranial nerve dysfunction due to these tumors have been proposed, no direct
evaluation for hyper- or hypoactive dysfunction has been done. In this case
series, pathophysiology of cranial nerve dysfunction in CPA epidermoid cysts was
evaluated with special attention to a new mechanism of capsule strangulation
caused by stratified tumor capsule. Twenty-two cases with epidermoid cysts of CPA
micro-neurosurgically treated in our departments since 2005 were reviewed.
Clinical status of the patients before the surgery and post-operative functional
outcome were recorded. Available data from the English literature were summarized
for comparison. Mass reduction of cyst contents in most cases was usually
associated with prompt and marked improvement of the symptoms suggesting
neuroapraxia caused by compression of the tumor content and/or mild ischemia.
Among them, two cases showed strangulation of the affected nerves by the tumor
capsule whose preoperative dysfunction did not improve after surgery in spite of
meticulous microsurgical removal of the lesion. Involved facial and abducent
nerves in these two cases showed distortion of nerve axis and nerve atrophy
distal to the strangulation site. We report the first direct evidence of etiology
of cranial nerve dysfunction caused by cerebellopontine angle epidermoid tumors.
Young age and rapidly progressive neurological deficit might be the
characteristics for strangulation of the affected nerves by the cyst capsule.
Even though the number of cases might be limited, immediate decompression and
release of the strangulating band might be urged in such patients to prevent
irreversible deficits.
PMID- 26566991
TI - A Psychometric Review of Measures Assessing Discrimination Against Sexual
Minorities.
AB - Discrimination against sexual minorities is widespread and has deleterious
consequences on victims' psychological and physical wellbeing. However, a review
of the psychometric properties of instruments measuring lesbian, gay, and
bisexual (LGB) discrimination has not been conducted. The results of this review,
which involved evaluating 162 articles, reveal that most have suboptimal
psychometric properties. Specifically, myriad scales possess questionable content
validity as (1) items are not created in collaboration with sexual minorities;
(2) measures possess a small number of items and, thus, may not sufficiently
represent the domain of interest; and (3) scales are "adapted" from measures
designed to examine race- and gender-based discrimination. Additional limitations
include (1) summed scores are computed, often in the absence of scale score
reliability metrics; (2) summed scores operate from the questionable assumption
that diverse forms of discrimination are necessarily interrelated; (3) the
dimensionality of instruments presumed to consist of subscales is seldom tested;
(4) tests of criterion-related validity are routinely omitted; and (5) formal
tests of measures' construct validity are seldom provided, necessitating that one
infer validity based on the results obtained. The absence of "gold standard"
measures, the attendant difficulty in formulating a coherent picture of this body
of research, and suggestions for psychometric improvements are noted.
PMID- 26566994
TI - Charity urges drug firms to reduce price of pneumonia vaccine.
PMID- 26566993
TI - Is Hip Abduction Strength Asymmetry Present in Female Runners in the Early Stages
of Patellofemoral Pain Syndrome?
AB - BACKGROUND: The current literature indicates that hip abduction weakness in
female patients is associated with ipsilateral patellofemoral pain syndrome
(PFPS) as part of the weaker hip abductor complex. Thus, it has been suggested
that clinicians should consider screening female athletes for hip strength
asymmetry to identify those at risk of developing PFPS to prevent the condition.
However, no study to date has demonstrated that hip strength asymmetry exists in
the early stages of PFPS. PURPOSE: To determine whether hip abduction strength
asymmetry exists in female runners with early unilateral PFPS, defined as
symptoms of PFPS not significant enough to cause patients to seek medical
attention or prevent them from running at least 10 miles per week. STUDY DESIGN:
Controlled laboratory study. METHODS: This study consisted of 21 female runners
(mean age, 30.5 years; range, 18-45 years) with early unilateral PFPS, who had
not yet sought medical care and who were able to run at least 10 miles per week,
and 36 healthy controls comparably balanced for age, height, weight, and weekly
running mileage (mean, 18.5 mi/wk). Study volunteers were recruited using flyers
and from various local running events in the metropolitan area. Bilateral hip
abduction strength in both a neutral and extended hip position was measured using
a handheld dynamometer in each participant by an examiner blinded to group
assignment. RESULTS: Patients with early unilateral PFPS demonstrated no
significant side-to-side difference in hip abduction strength, according to the
Hip Strength Asymmetry Index, in both a neutral (mean, 83.5 +/- 10.2; P = .2272)
and extended hip position (mean, 96.3 +/- 21.9; P = .6671) compared with controls
(mean, 87.0 +/- 8.3 [P = .2272] and 96.6 +/- 16.2 [P = .6671], respectively). Hip
abduction strength of the affected limb in patients with early unilateral PFPS
(mean, 9.9 +/- 2.2; P = .0305) was significantly stronger than that of the weaker
limb of control participants (mean, 8.9 +/- 1.4; P = .0305) when testing strength
in a neutral hip position; however, no significant difference was found when
testing the hip in an extended position (mean, 7.0 +/- 1.4 [P = .1406] and 6.6 +/
1.5 [P =.1406], respectively). CONCLUSION: The study data show that early stages
of unilateral PFPS in female runners is not associated with hip abduction
strength asymmetry and that hip abduction strength tested in neutral is
significantly greater in the affected limb in the early stages of PFPS compared
with the unaffected limb. However, when tested in extension, no difference
exists. Further studies investigating the early stages of PFPS are warranted.
CLINICAL RELEVANCE: Unlike patients with PFPS seeking medical care, early PFPS
does not appear to be significantly associated with hip abduction strength
asymmetry.
PMID- 26566992
TI - Methylomic Aging as a Window onto the Influence of Lifestyle: Tobacco and Alcohol
Use Alter the Rate of Biological Aging.
AB - OBJECTIVES: To examine the effect of the relationship between alcohol and
cigarette consumption on biological aging using deoxyribonucleic acid methylation
based indices. DESIGN: Hierarchical linear regression modeling followed by
fitting of higher-order effects. SETTING: Longitudinal studies of aging and the
effect of psychosocial stress. PARTICIPANTS: Participants in two ethnically
informative cohorts (n = 656 white, n = 180 black). MEASUREMENTS: Deviation of
biological age from chronological age as a result of smoking and alcohol
consumption. RESULTS: Greater cigarette consumption was associated with
accelerated biological aging, with strong effects evident at even low levels of
exposure. In contrast, alcohol consumption was associated with a mixed effect on
biological aging and pronounced nonlinear effects. At low and heavy levels of
alcohol consumption, there was accelerated biological aging, whereas at
intermediate levels of consumption there was a relative decelerating effect. The
decelerating effects of alcohol were particularly notable at loci for which
methylation increased with age. CONCLUSION: These data support prior
epidemiological studies indicating that moderate alcohol use is associated with
healthy aging, but we urge caution in interpreting these results. Conversely,
smoking has strong negative effects at all levels of consumption. These results
also support the use of methylomic indices as a tool for assessing the impact of
lifestyle on aging.
PMID- 26566995
TI - [What has been ascertained in therapy in 2015?].
PMID- 26566996
TI - Protective antibody and cytokine responses in mice following immunization with
recombinant beta-tubulin and subsequent Trypanosoma evansi challenge.
AB - BACKGROUND: Trypanosomosis or Surra, caused by the flagellated hemoprotozoan
parasite Trypanosoma evansi, is a disease of economic importance through its wide
prevalence in domestic livestock in tropical countries. In the absence of a
protective vaccine, management of the disease relies on a few available
chemotherapeutic agents. Although humoral immunity is the mainstay of resistance
to T. evansi, the ability of the parasite to vary its immunodominant surface
proteins to subvert the immune system has forced vaccine efforts to target a
variety of invariant epitopes. Beta tubulin, an integral component of the
trypanosome cytoskeleton, was therefore targeted using the recombinant form of
the protein for immunization. METHODS: The 1329 bp coding sequence of beta
tubulin gene was PCR amplified and cloned in pQE-TriSystem expression vector.
Recombinant beta tubulin was heterologously expressed in Escherichia coli as a 46
KDa fusion protein and used for immunization of mice. The Ig response was studied
by ELISA, whereas the cytokine response was measured using a cytometric bead
based assay quantified by flow cytometry. RESULT: Immunization with recombinant
beta (beta)-tubulin protein induced a beta-tubulin specific humoral immune
response of predominantly IgG2a isotype. Lethal challenge with T. evansi blood
form trypomastigotes post-immunization elicited a robust anamnestic response. An
abundance of IFN-gamma further confirmed the Th-1 bias of the protective
response. We also observed extended survival and better control of the challenge
infection in the immunized mice. CONCLUSIONS: A robust anamnestic response
following challenge including a Th-1 serum cytokine profile coupled with
increased survival is indicative of protective immunity in the immunized mice.
These observations suggest that beta-tubulin of T. evansi is a viable antigenic
target for development of a vaccine against this important livestock pathogen.
PMID- 26566997
TI - Murine Abeta over-production produces diffuse and compact Alzheimer-type amyloid
deposits.
AB - INTRODUCTION: Transgenic overexpression of amyloid precursor protein (APP) genes
that are either entirely human in sequence or have humanized Abeta sequences can
produce Alzheimer-type amyloidosis in mice, provided the transgenes also encode
mutations linked to familial Alzheimer's Disease (FAD). Although transgenic mice
have been produced that overexpress wild-type mouse APP, no mice have been
generated that express mouse APP with FAD mutations. Here we describe two
different versions of such mice that produce amyloid deposits consisting of
entirely of mouse Abeta peptides. One line of mice co-expresses mouse APP-Swedish
(moAPPswe) with a human presenilin exon-9 deleted variant (PS1dE9) and another
line expresses mouse APP-Swedish/Indiana (APPsi) using tetracycline-regulated
vectors (tet.moAPPsi). RESULTS: Both lines of mice that produce mouse Abeta
develop amyloid deposits, with the moAPPswe/PS1dE9 mice developing extracellular
compact, cored, neuritic deposits that primarily localize to white matter tracts
and meningial layers, whereas the tet.moAPPsi mice developed extracellular
diffuse cortical/hippocampal deposits distributed throughout the parenchyma.
CONCLUSIONS: These findings demonstrate that murine Abeta peptides have the
capacity to produce amyloid deposits that are morphologically similar to deposits
found in human AD provided the murine APP gene harbors mutations linked to human
FAD.
PMID- 26566998
TI - Mortality and Length of Stay Trends Following Implementation of a Rapid Response
System and Real-Time Automated Clinical Deterioration Alerts.
AB - A study was performed to determine the potential influence of a rapid response
system (RRS) employing real-time clinical deterioration alerts (RTCDAs) on
patient outcomes involving 8 general medicine units. Introduction of the RRS
occurred in 2006 with staged addition of the RTCDAs in 2009. Statistically
significant year-to-year decreases in mortality were observed through 2014 ( r =
.794; P = .002). Similarly, year-to-year decreases in the number of
cardiopulmonary arrests (CPAs; r = -.792; P = .006) and median lengths of stay (
r = -.841; P = .001) were observed. There was a statistically significant year-to
year increase in the number of RRS activations for these units ( r = .939; P <
.001) that was inversely correlated with the occurrence of CPAs ( r = -.784; P =
.007). In this single-institution retrospective study, introduction of a RRS
employing RTCDAs was associated with lower hospital mortality, CPAs, and hospital
length of stay.
PMID- 26566999
TI - From Programs to Systems: Deploying Implementation Science and Practice for
Sustained Real World Effectiveness in Services for Children and Families.
AB - The transfer of knowledge of effective practice, especially into "usual care"
settings, remains challenging. This article argues that to close this gap we need
to recognize the particular challenges of whole-system improvement. We need to
move beyond a limited focus on individual programs and experimental research on
their effectiveness. The rapidly developing field of implementation science and
practice (ISP) provides a particular lens and a set of important constructs that
can helpfully accelerate progress. A review of selected key constructs and
distinctive features of ISP, including recognizing invisible system
infrastructure, co-construction involving active collaboration between
stakeholders, and attention to active implementation, supports for providers
beyond education and training. Key aspects of an implementation lens likely to be
most helpful in sustaining effectiveness include assisting innovators to identify
and accommodate the architecture of existing systems, understand the
implementation process as a series of distinct but nonlinear stages, identify
implementation outcomes as prerequisites for treatment outcomes, and analyse
implementation challenges using frameworks of implementation drivers. In complex
adaptive systems, how services are implemented may matter more than their
specific content, and how services align and adapt to local context may determine
their sustained usefulness. To improve implementation-relevant research, we need
better process evaluation and cannot rely on experimental methods that do not
capture complex systemic contexts. Deployment of an implementation lens may
perhaps help to avoid future "rigor mortis," enabling more productively flexible
and integrative approaches to both program design and evaluation.
PMID- 26567000
TI - A Novel Fluorescent Labeling Method Enables Monitoring of Spatio-Temporal
Dynamics of Developing Microsporidia.
AB - The microsporidium, Anncaliia algerae (Brachiola algerae), is a eukaryotic
obligate intracellular parasite first isolated from mosquitoes and is an
important opportunistic human pathogen that can cause morbidity and mortality
among immune-compromised individuals including patients with AIDS and those
undergoing chemotherapy. There is little known about the Microsporidia-host cell
interface in living host cells, due to current approaches being limited by the
lack of fluorescent reporters for detecting the parasite lifecycle. Here, we have
developed and applied novel vital fluorescent parasite labeling methodologies in
conjunction with fluorescent protein-tagged reporters to track simultaneously the
dynamics of both parasite and host cell specific components, including the
secretory and endocytic trafficking pathways, during the entire infection time
period. We have found dramatic changes in the dynamics of host secretory
trafficking organelles during the course of infection. The Golgi compartment is
gradually disassembled and regenerated into mini-Golgi structures in parallel
with cellular microtubule depolymerization. Importantly, we find that
Microsporidia progeny are associated with these de novo formed mini-Golgi
structures. These host structures appear to create a membrane bound niche
environment for parasite development. Our studies presented here provide novel
imaging tools and methodologies that will facilitate in understanding the biology
of microsporidial parasites in the living host.
PMID- 26567001
TI - Maize growth promotion by inoculation with Azospirillum brasilense and
metabolites of Rhizobium tropici enriched on lipo-chitooligosaccharides (LCOs).
AB - There is an increasing interest in the development and use of inoculants carrying
plant growth-promoting bacteria (PGPB) in crops of agronomic interest. The great
majority of the inoculants commercialized worldwide contain rhizobia for legume
crops, but the use of PGPB as Azospirillum spp. for non-legume is expanding, as
well as of inoculants combining microorganisms and microbial metabolites. In this
study we evaluated the effects of inoculants containing Azospirillum brasilense
with or without metabolites of Rhizobium tropici strain CIAT 899 highly enriched
in lipo-chitooligosaccharides (LCOs) in six field experiments performed for three
summer crop seasons in Brazil with maize (Zea mays L.). Inoculants and
metabolites were applied either at sowing by seed inoculation, or by leaf spray
at the V3 stage of plant growth. Improvement in shoot dry weight (SDW) and total
N accumulated in shoots (TNS) by single, but especially by dual inoculation was
observed in some of the experiments. Statistically significant increases in grain
yield in relation to the non-inoculated control were observed in five out of six
experiments when maize was inoculated with Azospirillum supplied with enriched
metabolites of R. tropici applied by seed or leaf spray inoculation. The results
give strength to the development of a new generation of inoculants carrying
microorganisms and microbial molecules.
PMID- 26567002
TI - Re: Open aortic arch surgery in chronic dissection with visceral arteries
originating from different lumens.
PMID- 26567003
TI - Erratum to: Killer-cell immunoglobulin-like receptor and human leukocyte antigen
C genes in common variable immunodeficiency.
PMID- 26567005
TI - Prolactin transport into mouse brain is independent of prolactin receptor.
AB - The anterior pituitary hormone prolactin exerts important physiologic actions in
the brain. However, the mechanism by which prolactin crosses the blood-brain
barrier and enters the brain is not completely understood. On the basis of high
expression of the prolactin receptor in the choroid plexus, it has been
hypothesized that the receptor may bind to prolactin in the blood and translocate
it into the cerebrospinal fluid (CSF). This study aimed to test this hypothesis
by investigating transport of (125)I-labeled prolactin ((125)I-prolactin) into
the brain of female mice in the presence and absence of the prolactin receptor
(PRLR(-/-)). Peripherally administered prolactin rapidly activates brain neurons,
as evidenced by prolactin-induced phosphorylation of signal transducer and
activator of transcription 5 (pSTAT5) in neurons within 30 min of administration.
The transport of prolactin into the brain was saturable, with transport
effectively blocked only by a very high dose of unlabeled ovine prolactin.
Transport was regulated, as in lactating mice with chronically elevated levels of
prolactin, the rate of (125)I-prolactin transport into the brain was
significantly increased compared to nonlactating controls. There was no change in
the rate of (125)I-prolactin transport into the brain in PRLR(-/-) mice lacking
functional prolactin receptors compared to control mice, indicating transport is
independent of the prolactin receptor. These data suggest that prolactin
transport into the brain involves another as yet unidentified transporter
molecule. Because CSF levels of (125)I-prolactin were very low, even up to 90 min
after administration, the data suggest that CSF is not the major route by which
blood prolactin gains access to neurons in the brain.
PMID- 26567006
TI - Thousands die in clinical trials in India, but compensation is rarely paid.
PMID- 26567004
TI - Ca2+/calmodulin-dependent protein kinase II-gamma (CaMKIIgamma) negatively
regulates vascular smooth muscle cell proliferation and vascular remodeling.
AB - Vascular smooth muscle (VSM) expresses calcium/calmodulin-dependent protein
kinase II (CaMKII)-delta and -gamma isoforms. CaMKIIdelta promotes VSM
proliferation and vascular remodeling. We tested CaMKIIgamma function in vascular
remodeling after injury. CaMKIIgamma protein decreased 90% 14 d after balloon
injury in rat carotid artery. Intraluminal transduction of adenovirus encoding
CaMKIIgammaC rescued expression to 35% of uninjured controls, inhibited neointima
formation (>70%), inhibited VSM proliferation (>60%), and increased expression of
the cell-cycle inhibitor p21 (>2-fold). Comparable doses of CaMKIIdelta2
adenovirus had no effect. Similar dynamics in CaMKIIgamma mRNA and protein
expression were observed in ligated mouse carotid arteries, correlating closely
with expression of VSM differentiation markers. Targeted deletion of CaMKIIgamma
in smooth muscle resulted in a 20-fold increase in neointimal area, with a 3-fold
increase in the cell proliferation index, no change in apoptosis, and a 60%
decrease in p21 expression. In cultured VSM, CaMKIIgamma overexpression induced
p53 mRNA (1.7 fold) and protein (1.8-fold) expression; induced the p53 target
gene p21 (3-fold); decreased VSM cell proliferation (>50%); and had no effect on
expression of apoptosis markers. We conclude that regulated CaMKII isoform
composition is an important determinant of the injury-induced
vasculoproliferative response and that CaMKIIgamma and -delta isoforms have
nonequivalent, opposing functions.
PMID- 26567007
TI - Behavior of soluble HLA-A, -B, -C and HLA-G molecules in patients with chronic
hepatitis C virus infection undergoing pegylated interferon-alpha and ribavirin
treatment: potential role as markers of response to antiviral therapy.
AB - The serum levels of soluble HLA class I antigens (sHLA-A, -B, -C and sHLA-G) were
determined in 40 HCV genotype 1-infected patients before (T 0), after 3, 6, and
12 months (T 3, T 6, and T 12) of pegylated-IFN-alpha plus ribavirin therapy and
6 months (T 18) after the end of treatment. Twenty patients were sustained
virological responders (SVR), and 20 were non-responders (NR). sHLA-A, -B, -C
levels at T 0 were significantly higher in both SVR (mean 10.48 MUg/ml) and NR
(mean 11.87 MUg/ml) patients as compared to healthy controls (mean 0.34 MUg/ml, p
< 0.0001) and HIV-infected subjects (mean 1.22 MUg/ml, p < 0.0001). sHLA-G levels
at T 0 were significantly higher in SVR (mean 24.78 ng/ml) and NR (mean 24.93
ng/ml) patients as compared to healthy controls (mean 10.34 ng/ml, p = 0.015 and
p = 0.014, respectively) but were lower as compared to HIV-infected subjects
(mean 48.00 ng/ml, p < 0.0001). The levels of sHLA-A, -B, -C and sHLA-G
significantly decreased in SVR from T 0 to T 18 (mean 1.64 and 1.43 ng/ml,
respectively, p < 0.0001) and correlated with HCV-RNA, AST, ALT, gammaGT, and ALP
levels. The determination of soluble HLA class I levels could be proposed as a
surrogate marker to discriminate SVR and NR HCV-infected patients during PEG-IFN
alpha plus ribavirin therapy.
PMID- 26567009
TI - Clinical and molecular report of novel GALC mutations in Moroccan patient with
Krabbe disease: case report.
AB - BACKGROUND: Krabbe disease (KD) or globoid cell leukodystrophy is an autosomal
recessive lysosomal disorder, which affects metabolic and neurologic systems.
This pathology has different forms. Infantile onset is about 85% to 90% of
individuals with Krabbe disease. Disorder's onset is characterized, in early
childhood, by hyperirritability, psychomotor deterioration associated to episodes
of fever. To date, all reported cases have been attributed to mutations in
galactosylceramidase gene (GALC gene) that encodes an enzyme which degrades
galactosyl-sphingolipids (galactosylceramide, psychosine), essential in myelin
production. A child compounded with two new mutations in the GALC gene was
detected. CASE PRESENTATION: An eleven month old male child of Moroccan origin
presented to our genetic consultation with severe symptoms that included
hypotonia, fever, vision loss and feeding difficulties. He was suffering from the
4th month of life. Krabbe disease was suspected. Galactocerebrosidase deficiency
was confirmed by biochemical analysis. DNA sequencing revealed a novel
heterozygous compound mutation in GALC gene. The child was compounded with two
mutations c.860G > A; p.Cys287Tyr and c.1622G > A; p.Trp541*. CONCLUSION: These
new mutations could affect GALC structure and therefore its function. The
identification of these mutations and their associated phenotypes are important
to predict the prognosis and to confer to families an adequate genetic
counseling.
PMID- 26567008
TI - CDKN1A histone acetylation and gene expression relationship in gastric
adenocarcinomas.
AB - CDKN1A is a tumor suppressor gene involved in gastric carcinogenesis and is a
potential target for histone deacetylase inhibitor-based therapies. Upregulation
of CDKN1A is generally observed in several cell lines after histone deacetylase
inhibitor treatment; however, little is known about the histone acetylation
status associated with this gene in clinical samples, including gastric tumor
tissue samples. Therefore, our goal was to quantify the H3K9 and H4K16
acetylation levels associated with three CDKN1A regions in 21 matched pairs of
gastric adenocarcinoma and corresponding adjacent non-tumor samples by chromatin
immunoprecipitation and to correlate these data with the gene expression. Our
results demonstrated that the -402, -20, and +182 CDKN1A regions showed a
significantly increased acetylation level in at least one of the histones
evaluated (p < 0.05, for all comparisons), and these levels were positively
correlated in gastric tumors. However, an inverse correlation was detected
between both H3K9 and H4K16 acetylation at the -402 CDKN1A region and mRNA levels
in gastric tumors (r = -0.51, p = 0.02; r = -0.60, p < 0.01, respectively).
Furthermore, increased H4K16 acetylation at the -20 CDKN1A region was associated
with gastric tumors of patients without lymph node metastasis (p = 0.04). These
results highlight the complexity of these processes in gastric adenocarcinoma and
contribute to a better understanding of CDKN1A regulation in carcinogenesis.
PMID- 26567010
TI - Health-related quality of life in patients with locally advanced or metastatic
breast cancer treated with eribulin mesylate or capecitabine in an open-label
randomized phase 3 trial.
AB - The clinical benefit of eribulin versus capecitabine was evaluated using health
related quality of life (HRQoL) data from a phase 3 randomized trial in patients
with pretreated advanced/metastatic breast cancer (ClinicalTrials.gov identifier:
NCT00337103). The study population has been described previously (Kaufman et al.
in J Clin Oncol 33:594-601, 2015). Eligible patients received eribulin (1.4
mg/m(2) intravenously on days 1 and 8) or capecitabine (1.25 g/m(2) orally twice
daily on days 1-14) per 21-day cycles. HRQoL was assessed using the European
Organisation for Research and Treatment of Cancer (EORTC) Quality-of-life
Questionnaire-Core 30 questions (QLQ-C30) and breast module-23 questions (QLQ
BR23), administered at baseline through 24 months, until disease progression or
other antitumor treatment initiation. Minimally important difference (MID) and
time to symptom worsening (TSW) were investigated. 1062 (96.4 %) Patients
completed the EORTC questionnaire at baseline; overall, compliance was >=80 %.
Patients receiving capecitabine versus eribulin had significantly worse symptoms
(higher scores) for nausea/vomiting (MID 8; P < 0.05) and diarrhea (MID 7; P <
0.05). Treatment with eribulin versus capecitabine, led to worse systemic therapy
side-effects (dry mouth, different tastes, irritated eyes, feeling ill, hot
flushes, headaches, and hair loss; MID 10; P < 0.01). Clinically meaningful
worsening was observed for future perspective (MID 10; P < 0.05) with
capecitabine and for systemic therapy side-effects scale (MID 10; P < 0.01) with
eribulin. Patients receiving capecitabine experienced more-rapid deterioration in
body image (by 2.9 months) and future perspective (by 1.4 months; P < 0.05)
compared with those on eribulin; the opposite was observed for systemic side
effects where patients receiving eribulin experienced more-rapid deterioration
than those receiving capecitabine (by 2 months; P < 0.05). Eribulin and
capecitabine were found to have similar impact on patient functioning with no
overall difference in HRQoL. Patients receiving eribulin reported worse systemic
side-effects of chemotherapy but reduced gastrointestinal toxicity compared with
capecitabine.
PMID- 26567011
TI - Decreased glial and synaptic glutamate uptake in the striatum of HIV-1 gp120
transgenic mice.
AB - The mechanisms leading to the neurocognitive deficits in humans with
immunodeficiency virus type 1 (HIV-1) are not well resolved. A number of cell
culture models have demonstrated that the HIV-envelope glycoprotein 120 (gp120)
decreases the reuptake of glutamate, which is necessary for learning, memory, and
synaptic plasticity. However, the impact of brain HIV-1 gp120 on glutamate uptake
systems in vivo remains unknown. Notably, alterations in brain glutamate uptake
systems are implicated in a number of neurodegenerative and neurocognitive
disorders. We characterized the kinetic properties of system XAG (sodium
dependent) and systems xc- (sodium-independent) [3H]-L-glutamate uptake in the
striatum and hippocampus of HIV-1 gp120 transgenic mice, an established model of
HIV neuropathology. We determined the kinetic constant Vmax (maximal velocity)
and Km (affinity) of both systems XAG and xc- using subcellular preparations
derived from neurons and glial cells. We show significant (30-35 %) reductions in
the Vmax of systems XAG and xc- in both neuronal and glial preparations derived
from the striatum, but not from the hippocampus of gp120 mice relative to wild
type (WT) controls. Moreover, immunoblot analysis showed that the protein
expression of glutamate transporter subtype-1 (GLT-1), the predominant brain
glutamate transporter, was significantly reduced in the striatum but not in the
hippocampus of gp120 mice. These extensive and region-specific deficits of
glutamate uptake likely contribute to the development and/or severity of HIV
associated neurocognitive disorders. Understanding the role of striatal glutamate
uptake systems in HIV-1 gp120 may advance the development of new therapeutic
strategies to prevent neuronal damage and improve cognitive function in HIV
patients.
PMID- 26567012
TI - Expression of CHRFAM7A and CHRNA7 in neuronal cells and postmortem brain of HIV
infected patients: considerations for HIV-associated neurocognitive disorder.
AB - Despite the recent advances in antiretroviral therapy, human immunodeficiency
virus type 1 (HIV-1) remains a global health threat. HIV-1 affects the central
nervous system by releasing viral proteins that trigger neuronal death and
neuroinflammation, and promotes alterations known as HIV-associated
neurocognitive disorders (HAND). This disorder is not fully understood, and no
specific treatments are available. Recently, we demonstrated that the HIV-1
envelope protein gp120IIIB induces a functional upregulation of the alpha7
nicotinic acetylcholine receptor (alpha7) in neuronal cells. Furthermore, this
upregulation promotes cell death that can be abrogated with receptor antagonists,
suggesting that alpha7 may play an important role in the development of HAND. The
partial duplication of the gene coding for the alpha7, known as CHRFAM7A,
negatively regulates alpha7 expression but its role in HIV infection has not been
studied. Hence, we studied both CHRNA7 and CHRFAM7A regulation patterns in
various gp120IIIB in vitro conditions. In addition, we measured CHRNA7 and
CHRFAM7A expression levels in postmortem brain samples from patients suffering
from different stages of HAND. Our results demonstrate the induction of CHRNA7
expression accompanied by a significant downregulation of CHRFAM7A in neuronal
cells when exposed to pathophysiological concentrations of gp120IIIB. Our results
suggest a dysregulation of CHRFAM7A and CHRNA7 expressions in the basal ganglia
from postmortem brain samples of HIV+ subjects and expand the current knowledge
about the consequences of HIV infection in the brain.
PMID- 26567013
TI - Overexpression of caspase 1 in apoptosis-resistant astrocytes infected with the
BeAn Theiler's virus.
AB - In this study, we demonstrate the upregulation in the expression of caspases 1
and 11 by SJL/J mouse brain astrocytes infected with the BeAn strain of Theiler's
murine encephalomyelitis virus (TMEV). The upregulation of both proteases hints
at protection of astrocytic cells from apoptotic death. We therefore looked for
the reason of the demonstrated absence of programmed cell death in BeAn-infected
SJL/J astrocytes. Complementary RNA (cRNA) from mock- and TMEV-infected cells was
hybridized to the whole murine genome U74v2 DNA microarray from Affymetrix. Those
experiments demonstrated the upregulation of gene expression for caspases 1 and
11 in infected cells. We further confirmed and validated their messenger RNA
(mRNA) increase by reverse transcriptase quantitative real-time PCR (qPCR). The
presence of both enzymatically active caspases 1 and 11 was demonstrated in cell
lysates using a colorimetric and fluorymetric assay, respectively. We also show
that overexpressed caspase 11 activated caspase 1 after preincubation of cytosol
in vitro following a time-dependent process. This induction was neutralized by an
anti-caspase 11 polyclonal antibody. These results demonstrate the activation of
the caspase 1 precursor by caspase 11 and suggest a new mechanism of protection
of BeAn-infected astrocytes from apoptosis. The direct experimental evidence that
the protection effect demonstrated in this article was mediated by caspase 1, is
provided by the fact that its specific inhibitor Z-WEHD-FMK induced de novo
apoptotic death.
PMID- 26567014
TI - Re: Accuracy and interrater reliability of paediatric emergency department
triage.
PMID- 26567015
TI - Viral and bacterial etiology of severe acute respiratory illness among children <
5 years of age without influenza in Niger.
AB - BACKGROUND: Globally, pneumonia is the leading cause of morbidity and mortality
in children, with the highest burden experienced in sub-Saharan Africa and Asia.
However, there is a dearth of information on the etiology of severe acute
respiratory illness (SARI) in Africa, including Niger. METHODS: We implemented a
retrospective study as part of national influenza sentinel surveillance in Niger.
We randomly selected a sample of nasopharyngeal specimens collected from children
<5 years of age hospitalized with SARI from January 2010 through December 2012 in
Niger. The samples were selected from individuals that tested negative by real
time reverse transcription polymerase chain reaction (rRT-PCR) for influenza A
and B virus. The samples were analyzed using the Fast Track Diagnostic
Respiratory Pathogens 21plus Kit (BioMerieux, Luxemburg), which detects 23
respiratory pathogens including 18 viral and 5 bacterial agents. RESULTS: Among
the 160 samples tested, 138 (86%) tested positive for at least one viral or
bacterial pathogen; in 22 (16%) sample, only one pathogen was detected. We
detected at least one respiratory virus in 126 (78%) samples and at least one
bacterium in 102 (64%) samples. Respiratory syncytial virus (56/160; 35%),
rhinovirus (47/160; 29%) and parainfluenza virus (39/160; 24%) were the most
common viral pathogens detected. Among bacterial pathogens, Streptococcus
pneumoniae (90/160; 56%) and Haemophilus influenzae type b (20/160; 12%)
predominated. CONCLUSIONS: The high prevalence of certain viral and bacterial
pathogens among children <5 years of age with SARI highlights the need for
continued and expanded surveillance in Niger.
PMID- 26567016
TI - Hypothalamic pituitary adrenal activity and autonomic nervous system arousal
predict developmental trajectories of children's comorbid behavior problems.
AB - The combined effects of hypothalamic-pituitary-adrenal (HPA) axis activity and
autonomic nervous system (ANS) arousal were examined on developmental
trajectories of children's comorbid internalizing and externalizing problems.
Participants were 394 urban dwelling, primarily African American, youth (50%
male, age 11-12 years). Parent-reported child behavior problems were obtained
initially, 3, 6, and 12 months later. Saliva samples (collected at the initial
assessment) were assayed for cortisol (HPA) and alpha-amylase (ANS). Cross-domain
latent class growth analysis identified a stable comorbid trajectory and four
other distinct short-term developmental trajectories of internalizing and
externalizing behavior problems. ANS arousal was negatively associated with the
probability of stable comorbidity, but only among youth who also had high levels
of HPA axis activity. Findings underscore the predictive value of the interaction
of HPA axis activity and ANS arousal in differentiating children with stable
comorbidity and have important implications for etiological theories and
treatment outcome research.
PMID- 26567017
TI - Quality of life in patients with chronic venous disease in Turkey: influence of
different treatment modalities at 6-month follow-up.
AB - PURPOSE: The main purpose of this study was to evaluate the influence of any of
the four domains of the QoL score in CVD patients of classes C0-C4 and to analyze
the correlation between the QoL and types of treatment modalities, and an
additional aim of the present study was to compare QoL levels of patients with
CVD and healthy participants and was to examine the factors associated with QoL
in CVD patients. METHODS: The sample was composed of 501 patients with primary
superficial venous reflux (28.5 % male and 71.5 % female) who answered 100 % of
the questions in the World Health Organization Quality of Life (WHOQoL-BREF)
questionnaire. After a clinical and duplex examination, the patients were
categorized as C0-C4, according to the CEAP classification. The relationships
between WHOQoL-BREF domains and gender, age, occupation, BMI, the clinical
classes of the CEAP classification and four different treatment modalities
according to guideline were analyzed. RESULTS: For the WHOQOL-BREF test battery,
the patients with CVD had worse values, as compared with the control group
participants. The differences were significant for the physical (77.81 +/- 12.75
vs. 59.18 +/- 12.90, p < 0.001), the psychological (74.78 +/- 11.37 vs. 60.21 +/-
14.70, p < 0.001), the social relationships (76.56 +/- 13.56 vs. 63.07 +/- 21.37,
p < 0.001) and the environmental (70.27 +/- 13.36 vs. 50.16 +/- 11.39, p < 0.001)
health scores. The patients with CVD had worse WHOQOL-BREF scores at initial,
compared with the 6-month follow-up scores. CONCLUSION: This study shows that in
spite of undergoing therapy, the subsequent QoL scores did not improve
significantly, indicating that CVD continued to negatively affect the patient's
life.
PMID- 26567018
TI - Advantages and psychometric validation of proximal intensive assessments of
patient-reported outcomes collected in daily life.
AB - OBJECTIVES: Ambulatory assessment data collection methods are increasingly used
to study behavior, experiences, and patient-reported outcomes (PROs), such as
emotions, cognitions, and symptoms in clinical samples. Data collected close in
time at frequent and fixed intervals can assess PROs that are discrete or
changing rapidly and provide information about temporal dynamics or mechanisms of
change in clinical samples and individuals, but clinical researchers have not yet
routinely and systematically investigated the reliability and validity of such
measures or their potential added value over conventional measures. The present
study provides a comprehensive, systematic evaluation of the psychometrics of
several proximal intensive assessment (PIA) measures in a clinical sample and
investigates whether PIA appears to assess meaningful differences in phenomena
over time. METHODS: Data were collected on a variety of psychopathology
constructs on handheld devices every 4 h for 7 days from 62 adults recently
exposed to traumatic injury of themselves or a family member. Data were also
collected on standard self-report measures of the same constructs at the time of
enrollment, 1 week after enrollment, and 2 months after injury. RESULTS: For all
measure scores, results showed good internal consistency across items and within
persons over time, provided evidence of convergent, divergent, and construct
validity, and showed significant between- and within-subject variability.
CONCLUSIONS: Results indicate that PIA measures can provide valid measurement of
psychopathology in a clinical sample. PIA may be useful to study mechanisms of
change in clinical contexts, identify targets for change, and gauge treatment
progress.
PMID- 26567019
TI - Sesquiterpene lactone engineering in microbial and plant platforms: parthenolide
and artemisinin as case studies.
AB - Sesquiterpene lactones (SLs) are one of the most diverse groups of secondary
metabolites that mainly have been observed in the Asteraceae. They are composed
of a C15 skeleton bearing functional groups, e.g., hydroxy, keto, or epoxy.
Sesquiterpene lactones have been shown to display several biological activities;
hence, their therapeutic effects are indispensable. To overcome low yield of
sesquiterpene lactone content in native plants, manipulation of their
biosynthetic pathway(s) has become an interesting approach for many researchers.
Several genetic engineering strategies have been used in plants or microbial
systems for elucidation of the biosynthetic pathway and high-level production of
sesquiterpene lactones. Here, we will introduce ongoing research and perspectives
about the manipulation of sesquiterpene lactone biosynthesis by various non
traditional metabolic engineering strategies, along with successful examples of
high-yield production of sesquiterpene lactones mainly focused on parthenolide
and artemisinin in plants and microorganisms.
PMID- 26567020
TI - Surgical management of temple-related problems following lateral wall rim-sparing
orbital decompression for thyroid-related orbitopathy.
AB - AIM: To report a case series of patients with persistent temple-related problems
following lateral wall rim-sparing (LWRS) orbital decompression for thyroid
related orbitopathy and to discuss their management. METHODS: Retrospective
review of medical records of patients referred to two oculoplastic centres
(Corneoplastic Unit, Queen Victoria Hospital, East Grinstead, UK and Moran Eye
Center, University of Utah, Salt Lake City, USA) for intervention to
improve/alleviate temple-related problems. All patients were seeking treatment
for their persistent, temple-related problems of minimum 3 years' duration post
decompression. The main outcome measure was the resolution or improvement of
temple-related problems. RESULTS: Eleven orbits of six patients (five females)
with a median age of 57 years (range 23-65) were included in this study. Temple
related problems consisted of cosmetically bothersome temple hollowness (n=11;
100%), masticatory oscillopsia (n=8; 73%), temple tenderness (n=4; 36%),
'clicking' sensation (n=4; 36%) and gaze-evoked ocular pain (n=4; 36%). Nine
orbits were also complicated by proptosis and exposure keratopathy. Preoperative
imaging studies showed the absence of lateral wall in all 11 orbits and evidence
of prolapsed lacrimal gland into the wall defect in four orbits. Intervention
included the repair of the lateral wall defect with a sheet implant, orbital
decompression involving fat, the medial wall or orbital floor and autologous fat
transfer or synthetic filler for temple hollowness. Postoperatively, there was
full resolution of masticatory oscillation, temple tenderness, 'clicking'
sensation and gaze-evoked ocular pain, and an improvement in temple hollowness.
Pre-existing diplopia in one patient resolved after surgery while two patients
developed new-onset diplopia necessitating strabismus surgery. CONCLUSIONS: This
is the first paper to show that persistent, troublesome temple-related problems
following LWRS orbital decompression can be surgically corrected. Patients should
be counselled about the potential risk of these complications when considering
LWRS orbital decompression.
PMID- 26567021
TI - Mycophenolate sodium for the treatment of chronic non-infectious uveitis of
childhood.
AB - AIM: To assess the efficacy and tolerability of mycophenolate sodium (MPS) in the
therapy of children with chronic non-infectious uveitis. METHODS: Retrospective
analysis of 23 children with chronic uveitis, treated with MPS, with a follow-up
of at least 6 months. The main outcome measures were time to uveitis reactivation
and corticosteroid-sparing effect under MPS treatment. The secondary outcome
measures were best-corrected visual acuity (BCVA) and treatment-related side
effects. RESULTS: From 23 patients included in the study, 2 patients had anterior
uveitis, 19 had intermediate uveitis and 2 had panuveitis. The probability of
reactivation-free survival after MPS initiation was estimated as 65% at both 1
and 2 years. The probability of discontinuing systemic corticosteroids after 1
year of treatment was 39% and after 2 years 51%. The probability to taper
corticosteroids to a daily dosage of <=0.1 mg/kg after 1 and 2 years was 62% and
85%, respectively. BCVA improved or remained stable in 96% of eyes after 1 year
of therapy. Treatment-related side effects were found in nine children (rate:
0.17/patient-year). No therapy discontinuation because of side effects was
needed. CONCLUSION: Our data suggest that MPS is useful and well tolerated in
children with chronic uveitis. MPS seems to be an effective drug for the
treatment of chronic non-infectious uveitis of childhood and may be preferred as
a first-line steroid-sparing agent in this form of uveitis.
PMID- 26567022
TI - Scleritis in patients with granulomatosis with polyangiitis (Wegener).
AB - AIMS: To describe and compare clinical features, complications and outcomes in
patients with granulomatosis with polyangiitis (GPA)-associated scleritis with
those seen in idiopathic and other autoimmune-associated scleritis, and to
further describe the features that may serve as an indicator of life-threatening
systemic disease. METHODS: We retrospectively reviewed electronic health records
of all patients with scleritis seen at two tertiary care centres. Of 500
patients, 14 had GPA-associated scleritis and were included in this analysis.
Measures included were age, gender, laterality, visual acuity and underlying
systemic or ocular diseases. Clinical features (location, pain, inflammation) and
ocular complications of these patients (decrease of vision, concomitant anterior
uveitis and ocular hypertension) were studied and correlated. RESULTS: Fourteen
of 500 patients with scleritis were GPA associated. Most of the patients with GPA
associated scleritis presented with sudden onset, bilateral, diffuse anterior
scleral inflammation, with moderate-or-severe pain. Vision loss was not
significantly different, and pain was more severe in these patients than in those
with idiopathic scleritis. When compared with patients with other underlying
autoimmune diseases, there were no significant differences found in
epidemiological or clinical signs. Necrotising scleritis and corneal involvement
were more commonly observed in GPA than in idiopathic scleritis and other
autoimmune diseases and are often the presenting feature of the disease.
CONCLUSIONS: The presence of necrotising changes or corneal involvement in the
setting of scleral inflammation is highly suggestive of an underlying systemic
vasculitis, of which GPA is the most common. These features should alert the
doctor/optometrist and prompt a thorough diagnostic approach and an aggressive
treatment given that it could reveal a life-threatening disease.
PMID- 26567023
TI - Spontaneous rupture of the lens capsule in hypermature cataract: presentations
and outcomes.
AB - AIM: To describe the occurrence of spontaneous rupture of the lens capsule in
patients with hypermature cataract. STUDY DESIGN: Consecutive case series.
METHODS: The records of patients with hypermature cataract and spontaneous
capsular dehiscence seen and managed at a tertiary eye care centre between August
2012 and August 2014 were reviewed retrospectively. RESULTS: 10 eyes of 10
patients were identified. Best-corrected visual acuity (BCVA) was limited to
light perception in all patients. Three eyes had anterior dislocation of the
nucleus, two had posterior dislocation and in five the nucleus was presumed to
have absorbed. Eight eyes (80%) demonstrated both anterior and posterior capsular
tears and five eyes (50%) showed calcification spots in the capsule remnants.
Other features at presentation included corneal oedema (two eyes), vitritis (four
eyes), raised intraocular pressure (one eye) and hypopyon (one eye). Removal of
the nucleus through anterior (three eyes) or posterior route (two eyes) was
carried out in all patients with dislocated nucleus. Intraocular lens could be
implanted in seven eyes (70%) and they had a final BCVA ranging from 6/18 to
6/36. CONCLUSION: Spontaneous lens capsule rupture can occur in hypermature
senile cataract (HMSC) and result in anterior or posterior dislocation of the
nucleus or spontaneous resorption with or without an accompanying inflammatory
reaction of varying severity. An acceptable visual outcome can be obtained,
except in the presence of associated glaucoma or corneal decompensation.
PMID- 26567024
TI - Pathogenesis of thyroid eye disease: review and update on molecular mechanisms.
AB - Orbital changes in thyroid orbitopathy (TO) result from de novo adipogenesis,
hyaluronan synthesis, interstitial oedema and enlargement of extraocular muscles.
Cellular immunity, with predominantly CD4+ T cells expressing Th1 cytokines, and
overexpression of macrophage-derived cytokines, perpetuate orbital inflammation.
Orbital fibroblasts appear to be the major effector cells. Orbital fibroblasts
express both thyrotropin receptor (TSHR) and insulin-like growth factor-1
receptor (IGF-1R) at higher levels than normal fibroblasts. TSHR expression
increases in adipogenesis; TSHR agonism enhances hyaluronan production. IGF-1R
stimulation leads to adipogenesis, hyaluronan synthesis and production of the
chemokines, interleukin (IL)-16 and Regulated on Activation, Normal T Cell
Expression and Secreted, which facilitate lymphocyte trafficking into the orbit.
Immune activation uses a specific CD40:CD154 molecular bridge to activate orbital
fibroblasts, which secrete pro-inflammatory cytokines including IL-1beta, IL
1alpha, IL-6, IL-8, macrophage chemoattractant protein-1 and transforming growth
factor-beta, to perpetuate orbital inflammation. Molecular pathways including
adenylyl cyclase/cyclic adenosine monophosphate, phophoinositide 3
kinase/AKT/mammalian target of rapamycin, mitogen-activated protein kinase are
involved in TO. The emergence of a TO animal model and a new generation of TSHR
antibody assays increasingly point towards TSHR as the primary autoantigen for
extrathyroidal orbital involvement. Oxidative stress in TO resulting from
imbalances of the oxidation-reduction state provides a framework of understanding
for smoking prevention, achieving euthyroidism and the use of antioxidants such
as selenium. Progress has been made in the understanding of the pathogenesis of
TO, which should advance development of novel therapies targeting cellular
immunity, specifically the CD40:CD40 ligand interaction, antibody-producing B
cells, cytokines, TSHR and IGF-1R and its signalling pathways. Further studies in
signalling networks and molecular triggers leading to burnout of TO will further
our understanding of TO.
PMID- 26567025
TI - Corynebacterium spp as causative agents of microbial keratitis.
AB - PURPOSE: To report the clinical and microbiological profile of keratitis caused
by Corynebacterium spp. METHODS: The medical and microbiology records of 22
patients, who had presented at the L V Prasad Eye Institute, Bhubaneswar, between
June 2009 and December 2012, and whose corneal scrapings had yielded significant
growth of Corynebacterium spp, were retrospectively reviewed. A detailed ocular
examination was performed before the respective corneal scraping was sent for a
microbiological work-up. The data collected from each record included age,
gender, predisposing factors (ocular and systemic), clinical presentation,
management and outcome of treatment. RESULTS: The mean age of the patients was
43.8+/-24.4 years. Ocular predisposing factor was present in 14 (63.6%) eyes.
Surgical intervention was required in 12 (54.5%) patients. In vitro
susceptibility (Kirby-Bauer disc diffusion method) results of Corynebacterium spp
to vancomycin (17/19, 89.5%), cefazolin (16/20, 80%), chloramphenicol (11/20,
55%), ofloxacin (13/19, 68.4%), ciprofloxacin (10/20, 50%) and gatifloxacin
(10/19, 52.6%) were variable. Drug resistance (more than one drug) was seen in
nine (40.9%) Corynebacterium isolates, of which, two (22.2%) showed multidrug
resistance to three or more classes of antibiotics. CONCLUSIONS: Corynebacteria
can cause severe corneal infection requiring surgical intervention.
PMID- 26567026
TI - The impact of donor age and endothelial cell density on graft survival following
penetrating keratoplasty.
AB - PURPOSE: To determine if donor age and preoperative endothelial cell density
(ECD) affect corneal endothelial failure following penetrating keratoplasty (PK).
METHODS: Preoperative and postoperative data for PKs performed in the UK between
April 1999 and March 2012 were analysed. Donor age was split into three groups (0
60, 61-75 and >75 years) and donor ECD was split into three groups (<=2400, 2401
2600 and >2600 cells/mm2). Cox proportional hazards regression was used to
determine whether the selected subgroups of donor age and donor ECD have an
impact on endothelial failure and a systematic analysis of the interaction
between donor ECD and donor age was conducted. The analysis was stratified for
primary corneal diagnosis (Fuchs endothelial dystrophy (FED), pseudophakic
bullous keratopathy (PBK) and other) and corrected for potentially confounding
factors (human leukocyte antigen matching, donor trephine diameter, deep
vascularisation, the occurrence of reversible rejection episodes and receipt of
systemic antiviral medication, long-term steroids or other immunosuppressive
agents). RESULTS: A total of 9415 patients, from the National Health Service
Blood and Transplant UK Transplant Registry, who received their first PK for
visual reasons were included in this study. The overall 5-year graft survival
rate due to endothelial failure was 89%. Survival rates in recipients with FED,
PBK and 'all other indications' were 95%, 83% and 89%, respectively. Our analysis
shows that donor ECD did not affect outcome following corneal graft within the
preselected categories, irrespective of diagnosis and after allowing for any
potential confounding factors. Furthermore, HRs for each level of donor ECD,
relative to >2600 cells/mm2, for each combination of age group and indication,
were not statistically significant. CONCLUSIONS: We were unable to detect a
significant effect of donor age, up to 90 years, and preoperative donor ECD,
above the lower limit of 2200 cells/mm2, on endothelial failure at 5 years
following PK.
PMID- 26567027
TI - Assessment of Quality of Life in Patients With Skin Disorders Undergoing
Ayurvedic Panchakarma (Biopurification) as Management.
AB - BACKGROUND: Chronic skin conditions can have a negative impact on one's quality
of life, affecting their physical, functional, and emotional well-being. Whereas
biopurifactory measures (panchakarma) of Ayurveda claims to provide better
quality of life after treatment. Hence current study is planned to provide
evidence in patients with skin disorders, undergoing Ayurvedic treatment.
METHODOLOGY: Sixty patients with skin disorder, who underwent purification
therapies like therapeutic emesis and therapeutic purgation, were randomly placed
in 2 groups to assess quality of life. Quality of life assessment was done with
the help of Skindex-29 among the patients before and after Ayurvedic purification
therapy. Thereafter, the quality of life assessment was done on the first follow
up. RESULTS: A statistically significant improvement in the quality of life
domains-emotions, functioning, and symptoms-after the Ayurvedic management was
observed with P value <.001. CONCLUSION: Study concludes that there is
improvement in quality of life among patients with skin disease after undergoing
Ayurveda purification therapies.
PMID- 26567028
TI - Poly(E-caprolactone)/gelatin composite electrospun scaffolds with porous crater
like structures for tissue engineering.
AB - Electrospinning has been widely used to fabricate scaffolds imitating the
structure of natural extracellular matrix (ECM). However, conventional
electrospinning produces tightly compacted nanofiber layers with only small
superficial pores and a lack of bioactivity, which limit the usefulness of
electrospinning in biomedical applications. Thus, a porous poly(epsilon
caprolactone) (PCL)/gelatin composite electrospun scaffold with crater-like
structures was developed. Porous crater-like structures were created on the
scaffold by a gas foaming/salt leaching process; this unique fiber structure had
more large pore areas and higher porosity than the conventional electrospun fiber
network. Various ratios of PCL/gelatin (concentration ratios: 100/0, 75/25, and
50/50) composite electrospun scaffolds with and without crater-like structures
were characterized by their microstructures, surface chemistry, degradation,
mechanical properties, and ability to facilitate cell growth and infiltration.
The combination of PCL and gelatin endowed the scaffold with both structural
stability of PCL and bioactivity of gelatin. All ratios of scaffolds with crater
like structures showed fairly similar surface chemistry, degradation rates, and
mechanical properties to equivalent scaffolds without crater-like structures;
however, craterized scaffolds displayed higher human mesenchymal stem cell (hMSC)
proliferation and infiltration throughout the scaffolds after 7-day culture.
Therefore, these results demonstrated that PCL/gelatin composite electrospun
scaffolds with crater-like structures can provide a structurally and
biochemically improved three-dimensional ECM-mimicking microenvironment.
PMID- 26567029
TI - Efficacy of psychotropic drugs in functional dyspepsia: systematic review and
meta-analysis.
AB - OBJECTIVE: Functional dyspepsia (FD) is a chronic gastroduodenal disorder.
Individuals with FD demonstrate visceral hypersensitivity, abnormal central pain
processing, and low mood, but it is unclear whether psychotropic drugs are an
effective treatment for the condition. We performed a systematic review and meta
analysis of randomised controlled trials (RCTs). DESIGN: MEDLINE, EMBASE, EMBASE
Classic, PsychINFO and the Cochrane Controlled Trials Register were searched (up
to June 2015) for RCTs recruiting adults with FD comparing psychotropic drugs
with placebo. We contacted authors directly to maximise trial eligibility and
minimise risk of bias for studies. Dichotomous symptom data were pooled to obtain
relative risk (RR) of remaining symptomatic after therapy, with 95% CIs. RESULTS:
The search identified 2795 citations; 13 RCTs (1241 patients) were eligible. Ten
trials were at low risk of bias. The RR of FD symptoms not improving with
psychotropic drugs versus placebo was 0.78 (95% CI 0.68 to 0.91) (number needed
to treat=6; 95% CI 4 to 16). However, benefit was limited to antipsychotics and
tricyclic antidepressants. When only studies that excluded individuals with
coexistent mood disorder were considered, there was no benefit. Total numbers of
adverse events and adverse events leading to withdrawal were significantly more
common, with a number needed to harm of 21 for both. CONCLUSIONS: Psychotropic
drugs may be an effective treatment for FD, but the effect appears to be limited
to antipsychotics and tricyclic antidepressants with fewer trials for other
agents, meaning that firm conclusions for efficacy cannot be made. More data from
high quality RCTs are required to support their use in the treatment of FD.
PMID- 26567030
TI - Effectiveness of the population health and environment approach in improving
family planning outcomes in the Gurage, Zone South Ethiopia.
AB - BACKGROUND: Family planning is a strategy of balancing population growth with
economic development for sustainable use of natural resources. A high population
growth induces increased demand for resources and the rate at which these
resources are exploited. Population, health and environment are connected
inextricably. Population growth unbalanced with economic development leads to
food insecurity which exposes households to the consumption of food with reduced
quality and quantity leading to increased risk of malnutrition and poor health.
Food insecurity again obliges people to encroach into the natural environment
leading to a spiraling progress to destitution. A study in the Philippines
provided concrete evidence that integrated development programming incorporating
population, health, and the environment (PHE) can be more effective in lowering
population growth rates and preserving critical coastal ecosystems than single
sector development interventions". Although the PHE approach has been implemented
for 5 years (2008-2012) Guraghe Zone of South Ethiopia, its outcomes have not
been evaluated. The objective of this study was to evaluate the effectiveness of
PHE approach for achieving family planning (FP) outcomes in Gurage Zone. METHODS:
A comparative cross-sectional study was conducted in October, 2012. A total of
962 married women in the reproductive age group were included in the study. Data
were collected using an interviewer administered Amharic version questionnaire.
Descriptive statistics and multivariable logistic regression analyses were
performed to compare the PHE and non-PHE Woredas (district) based on family
planning parameters adopted from Measure Evaluation Manual. RESULTS: Comparison
of non-new family panning acceptor women showed that PHE Woreda had a
significantly high CPR compared to non-PHE (78% vs 52%, P < 0.0001). Among these
sub-groups, women in the PHE Woreda were over four times more likely to use
family planning methods during the study period (P < 0.0001) compared with women
in the non-PHE Woreda. Women whose husbands' supported their use of family
planning methods were 17 times as likely to use family panning methods (AOR:
17.2, 95 % CI [11.1, 26.8]), P < 0.0001. This was even increased to 20 times more
when we did sub-group analysis only for women who were not new acceptors (AOR:
20.4:95% CI [9.7, 42.7]), P < 0.0001. The qualitative results showed that there
was a better integration of FP, health and environmental issues into the
grassroots level interventions in the PHE Woreda through using students as a
medium for reaching parents on family planning and environmental issues.
CONCLUSIONS: The findings suggest that overall; PHE has positive outcomes in FP
behaviors both among married women and their husbands. Integration of population,
health and environmental issues need to be strengthened and scaled up to sustain
the positive FP behaviors such as support of FP use. Strategies used in the PHE
Woredas such as using schools and students as medium for integrated PHE
interventions are commendable approaches that need to be strengthened.
PMID- 26567031
TI - The Prognostic Value of 48-h Continuous EEG During Therapeutic Hypothermia After
Cardiac Arrest.
AB - BACKGROUND: The aim of this study was to evaluate the prognostic value of
continuous electroencephalogram (cEEG) during the first 48 h following cardiac
arrest (CA) in patients treated with targeted temperature management (TTM).
METHODS: We reviewed data from 92 comatose post-CA patients over a 6 year-period;
cEEG recordings were performed during TTM and restoration of normothermia. EEG
findings were divided into four time-periods: 0-8, 8-16, 16-24, and 24-48 h after
CA. Background EEG findings were defined as moderate encephalopathy (diffuse
slowing with reactivity/variability), severe encephalopathy (diffuse slowing
without reactivity/variability), burst suppression or suppression, and
dichotomized as malignant (suppression/burst suppression/severe encephalopathy)
or benign (moderate encephalopathy). Epileptiform activity was defined as the
presence of seizures, sporadic epileptiform discharges, or periodic discharges.
Neurological outcome was assessed at 3 months using the cerebral performance
categories (CPC) score (good outcome: CPC 1-2). RESULTS: 26/92 (28%) patients had
a good outcome. Malignant patterns were associated with a poor outcome at all
time-points, with a high positive predictive value (94-97%) but a poor negative
predictive value (44-56%). Epileptiform activity did not influence the prognostic
value of EEG patterns. All patients with moderate encephalopathy and seizures or
generalized periodic discharges had a poor outcome. CONCLUSIONS: cEEG can
identify patients with poor outcome from the first hours following CA, with
limited predictive value for good outcome. Epileptiform activity did not improve
the prognostic accuracy of EEG, but seizures and generalized periodic discharges
were associated with poor outcome even when developing on a benign EEG pattern.
PMID- 26567032
TI - Comparison of Prothrombin Time and Aspartate Aminotransferase in Predicting
Hepatotoxicity After Acetaminophen Overdose: a Response.
PMID- 26567033
TI - Pharmacotherapy of Opioid Addiction: "Putting a Real Face on a False Demon".
AB - Methadone maintenance therapy (MMT), a pharmacological treatment for opioid use
disorder for the past 50 years, continues to remain controversial. Despite
consistent and overwhelming evidence confirming the effectiveness and safety of
MMT, misconceptions and myths persist regarding its legitimacy as a treatment for
opioid addiction. This often results in the underutilization and limited
availability of this treatment modality. Despite successful outcomes, the
controversial nature of MMT, and the stigma experienced by the patients on
methadone, has been a particularly difficult obstacle to overcome. We present the
history of MMT, review the evidence for its efficacy in the treatment of opioid
dependence, and explore the origins of the stigma and misconceptions related to
MMT.
PMID- 26567034
TI - Evaluation of Cronobacter Growth and Phenotypic Variation Under Modified Culture
Conditions.
AB - Cronobacter sakazakii is an opportunistic pathogen known to cause acute
meningitis and necrotizing enterocolitis in neonates and immunocompromised
individuals. It has been isolated from a wide range of food and environmental
samples, and has been linked to outbreaks associated with powdered infant
formula. This study was carried out to assess variations in growth conditions
(temperature, pH, and sugar supplement) and to establish how these changes impact
phenotypic characteristics for successful recovery and identification of
Cronobacter, particularly for routine surveillance purposes. A total of six
Cronobacter isolates were tested to evaluate the above growth conditions,
including three ATCC Cronobacter reference and three environmental isolates
obtained from regulatory sample screening. Although only slight changes in colony
forming units were observed across the pH range and the sugars tested, the
morphology was significantly impacted by changes in these growth factors.
Incubation between 30 and 50 degrees C resulted in growth after 24 h, and the
growth was slower at ambient temperature and colony formation was most robust at
30 degrees C. Results of this study suggest that 30 degrees C may be suitable
for recovery of some Cronobacter strains, and minor variations in growth
conditions can alter colony morphology and appearance. Expression of unique
biological characteristics based on phenotypic observations may be beneficial for
differentiating various Cronobacter strains.
PMID- 26567035
TI - Is Dental Utilization Associated with Oral Health Literacy?
AB - The objectives of this study were to examine the pattern of association between
dental utilization and oral health literacy (OHL). As part of the Carolina Oral
Health Literacy Project, clients in the Women, Infants, and Children's Special
Supplemental Nutrition Program completed a structured 30-min in-person interview
conducted by 2 trained interviewers at 9 sites in 7 counties in North Carolina.
Data were collected on clients' OHL, sociodemographics, dental utilization, self
efficacy, and dental knowledge. The outcome, OHL, was measured with a dental word
recognition test (30-item Rapid Estimate of Adult Literacy in Dentistry).
Descriptive and multiple linear regression methods were used to examine the
distribution of OHL and its association with covariates. After adjusting for age,
education, race, marital status, self-efficacy, and dental knowledge, multiple
linear regression showed that dental utilization was not a significant predictor
of OHL (P > 0.05). Under the conditions of this study, dental utilization was not
a significant predictor of OHL.
PMID- 26567036
TI - The Role of Social Work Leadership: Mount Sinai Care, the Accountable Care
Organization, and Population Health Management.
AB - In July 2012, The Mount Sinai Medical Center was selected by the Centers for
Medicare and Medicaid to join the first cohort of Accountable Care Organizations
(ACOs) in this country under its Medicare Shared Savings Program. A critical
component of an ACO is care coordination of patients, which is a complex concept,
intertwined with other concepts related to quality, delivery and organization of
health care. This article provides an overview of the development, structure and
functionality of Mount Sinai Care, the ACO of The Mount Sinai Health System, and
how it was the beginning of its work in population health management. It
describes the important role of social work leadership in the development and
operation of its care coordination model. The model's successes and challenges
and recommendations for future development of care coordination and population
health management are outlined.
PMID- 26567037
TI - Erratum to: Segregation of S292F TPO gene mutation in three large Tunisian
families with thyroid dyshormonogenesis: evidence of a founder effect.
PMID- 26567038
TI - Prospective validation of ab initio liver transplantation in hepatocellular
carcinoma upon detection of risk factors for recurrence after resection.
AB - A decade ago we proposed to enlist for transplantation those patients with
resected hepatocellular carcinoma in whom pathology registered pejorative
histological markers (microvascular invasion and/or satellites; ab initio
indication) and not wait for the appearance of recurrence. This study evaluates
the outcome of this approach. From 1995 to 2012, 164 patients with hepatocellular
carcinoma underwent resection. Eighty-five patients were potential candidates for
liver transplantation and were considered for it upon detection of pejorative
histological markers. Patients without these markers were followed, and salvage
liver transplantation was considered upon development of tumor recurrence/liver
function impairment. Thirty-seven patients were at high risk and 48 at low risk
of recurrence at pathology. Twenty-three out of 37 high-risk patients recurred
during follow-up, but in nine of them the tumor burden extent contraindicated
liver transplantation. Seventeen were finally transplanted: 10 of them presented
recurrence at imaging/explant. After a median posttransplant follow-up of 50.9
months, hepatocellular carcinoma had recurred in two patients and five patients
had died, the 5-year survival being 82.4%. Twenty-six of the 48 low-risk patients
developed recurrence, and 11 of them were transplanted. After a median
posttransplant follow-up of 59 months, two patients developed recurrence and five
died, their 5-year survival being 81.8%. CONCLUSION: Enlistment of patients at
high risk of HCC recurrence after resection but before recurrence development
seems a valid strategy and is associated with excellent long-term outcome; as
early (<6 months) recurrence reflects an aggressive tumor behavior leading to
tumor extent exceeding transplant criteria, we propose to wait at least 6 months
before enlistment; however, once included on the waiting list, priority
strategies should be implemented in order to reach effective transplantation
prior to the appearance of recurrence.
PMID- 26567039
TI - Reproductive Endocrinologists' Utilization of Genetic Counselors for
Oncofertility and Preimplantation Genetic Diagnosis (PGD) Treatment of BRCA1/2
Mutation Carriers.
AB - Genetic counselors believe fertility preservation and preimplantation genetic
diagnosis (PGD) discussions to be a part of their role when counseling BRCA1/2
mutation-positive patients. This study is the first to explore reproductive
endocrinologists' (REI) practices and attitudes regarding involvement of genetic
counselors in the care of BRCA1/2 mutation carriers seeking fertility
preservation and PGD. A survey was mailed to 1000 REIs from Reproductive
Endocrinology & Infertility (SREI), an American Society for Reproductive Medicine
(ASRM) affiliate group. A 14.5 % response rate was achieved; data was analyzed
using SPSS software. The majority of participating REIs were found to recommend
genetic counseling to cancer patients considering fertility preservation (82 %)
and consult with a genetic counselor regarding PGD for hereditary cancer
syndromes (92 %). Additionally, REIs consult genetic counselors regarding PGD
patient counseling (88 %), genetic testing (78 %), and general genetics questions
(66 %). Two areas genetic counselors may further aid REIs are: elicitation of
family history, which is useful to determine fertility preservation and PGD
intervention timing (32 % of REIs utilize a cancer family history to determine
intervention timing); and, interpretation of variants of uncertain significance
(VOUS) as cancer panel genetic testing becomes more common (36 % of REIs are
unfamiliar with VOUS). Given our findings, the Oncofertility Consortium(r)
created an online resource for genetic counselors focused on fertility
preservation education and communication strategies.
PMID- 26567041
TI - The prescribing of generic medicines in Nigeria: knowledge, perceptions and
attitudes of physicians.
AB - Generic medicines have the same efficacy and safety as originators at lower
prices; however, there are concerns with their utilization in Nigeria. Objective
was to evaluate physicians' understanding and perception of generics. A
questionnaire was administered among physicians working in tertiary healthcare
facilities in four geo-political regions of Nigeria. Questionnaire response was
74.3% (191/257) among mainly males (85.9%). The mean knowledge score regarding
generics was 5.3 (maximum of 9) with 36.6%, 36.1% and 27.2% having poor, average
and good knowledge respectively. Cross-tabulation showed statistical significance
(p = 0.047) with the duration of practice but not with position, subspecialty or
sex. The majority of respondents did not believe that generic medicines are of
lower quality than branded medicines. Therapeutic failure was a major concern in
82.7%, potentially discouraging the prescribing of generics, and a majority
(63.9%) did not support generic substitution by pharmacists. Knowledge gaps were
identified especially with the perception of generics, which need to be
addressed.
PMID- 26567042
TI - [Therapeutic applications of closed-loop brain stimulation. Success and
expectations].
AB - The therapeutic application of brain stimulation is still limited to relatively
few indications and small groups of patients due to variable efficacy.
Individualization of stimulation parameters by employing a closed-loop system,
i.e. synchronization of stimulation with endogenous brain activity with
millisecond precision, has the potential to significantly improve the therapeutic
efficacy when compared to open-loop systems. In this article the theoretical and
experimental results are reviewed including first clinical trials that support
the superiority of closed-loop brain stimulation, fundamental aspects in the
development of closed loop methods are discussed and clinical studies which could
quantify an increase in effectiveness are summarized. A significant increase in
the indications for therapeutic applications of closed-loop systems is to be
expected in the near future.
PMID- 26567040
TI - Transient receptor potential ankyrin 1 that is induced in dorsal root ganglion
neurons contributes to acute cold hypersensitivity after oxaliplatin
administration.
AB - BACKGROUND: Peripheral cold neuropathic pain is a serious side effect of
oxaliplatin treatment. However, the mechanism of oxaliplatin-induced cold
hyperalgesia is unknown. In the present study, we investigated the effects of
oxaliplatin on transient receptor potential ankyrin 1 (TRPA1) in dorsal root
ganglion (DRG) neurons of rats. RESULTS: Behavioral assessment using the acetone
spray test showed that 3 and 6 mg/kg oxaliplatin (i.p.) induced acute cold
hypersensitivity after 1, 2, 4, and 7 days. Real-time PCR showed that oxaliplatin
(6 mg/kg) significantly increased TRPA1 mRNA expression in DRGs at days 1, 2, and
4. Western blotting revealed that oxaliplatin significantly increased TRPA1
protein expression in DRGs at days 2, 4, and 7. Moreover, in situ hybridization
histochemistry revealed that most TRPA1 mRNA-labeled neurons in the DRGs were
small in size. Oxaliplatin significantly increased co-localization of TRPA1
expression and isolectin B4 binding in DRG neurons. Oxaliplatin induced a
significant increase in the percent of TRPA1 mRNA-positive small neurons in DRGs
at days 1, 2, and 4. In addition, we found that intrathecal administration of
TRPA1 antisense, but not TRPA1 mismatched oligodeoxynucleotides, knocked down
TRPA1 expression and decreased oxaliplatin-induced cold hyperalgesia. Double
labeling showed that p-p38 mitogen-activated protein kinase (MAPK) was co
expressed in TRPA1 mRNA-labeled neurons at day 2 after oxaliplatin
administration. Intrathecal administration of the p38 MAPK inhibitor, SB203580,
significantly decreased oxaliplatin-induced acute cold hypersensitivity.
CONCLUSIONS: Together, these results demonstrate that TRPA1 expression via
activation of p38 MAPK in DRG neurons, at least in part, contributes to the
development of oxaliplatin-induced acute cold hyperalgesia.
PMID- 26567043
TI - Identification of a degrading enzyme in human serum that hydrolyzes a C-terminal
core sequence of neuromedin U.
AB - Neuromedin U (NMU), an anorexigenic peptide, has attracted attention as a
candidate for development of drugs against obesity. We recently developed several
potent hexapeptidic agonists derived from NMU that share a common Pro-Arg-Asn-NH2
(PRN) sequence at their C-termini and found that the amide bond between Arg and
Asn is rapidly degraded in serum. In this study, we determined that the key
enzyme responsible for this biodegradation was thrombin. Both irreversible and
reversible thrombin inhibitors (PPACK and argatroban, respectively) enhanced the
serum stability of both hexapeptidic agonists and human NMU itself as an inherent
ligand. In addition, rapid degradation did not occur in citrated human plasma
because thrombin was not activated under these conditions. Furthermore, we found
that an N-terminal 2-thienylacetyl group in hexapeptidic agonists enhanced
recognition by thrombin. These findings will be valuable for future
investigations of the biological functions of NMU in vivo. (c) 2015 Wiley
Periodicals, Inc. Biopolymers (Pept Sci) 106: 440-445, 2016.
PMID- 26567044
TI - Expression of vascular endothelial growth factor and other cytokines in atopic
dermatitis, and correlation with clinical features.
AB - BACKGROUND: Vascular endothelial growth factor (VEGF) was found increased in the
stratum corneum of patients with atopic dermatitis (AD). However, its potential
pathogenic role(s) in AD needs further clarification. OBJECTIVE: The aim of this
study was to determine whether VEGF serum levels correlate with other selected
cytokine levels and features of AD. METHODS: VEGF and other cytokine levels were
measured in 83 patients with AD and in a control group and then correlated with
clinical and laboratory parameters of AD. RESULTS: The mean serum concentrations
of VEGF and tumor necrosis factor alpha were significantly higher in patients
with AD than in the control group, whereas the mean interleukin eight serum level
was lower. VEGF concentrations correlated with the severity of AD as expressed by
SCORAD index and objective SCORAD. CONCLUSION: VEGF could be regarded as a
potentially important mediator in the pathogenesis of AD, as VEGF levels
correlate somewhat with AD severity.
PMID- 26567047
TI - Innate Immune Response of the Pig Laryngeal Mucosa to Endotracheal Intubation.
AB - OBJECTIVE: The aim of this study was to measure the effects of endotracheal
intubation on innate immune response within the pig laryngeal mucosa. STUDY
DESIGN: Prospective controlled basic science study. SETTING: The animal
experiments and analyses were conducted at the University of Bristol. SAMPLES AND
METHODS: Eighteen pigs, matched at the major histocompatibility complex (MHC),
were used in the study. The pigs were divided into 9 pairs. One of each pair (9
pigs in total) was intubated with an endotracheal tube under general anesthesia
for 90 minutes. Two days later, pinch biopsies were taken from the supraglottis
(specifically the false cords) and subglottis of both pigs. The experiment was
repeated 8 more times. Based on quantitative immunohistochemistry, percentage
areas of positive staining for CD172a, CD163, MHC class II, CD14, and CD16 were
calculated separately for the epithelium and lamina propria of each biopsy.
RESULTS: Total areas of laryngeal mucosa (epithelium and lamina propria)
expressing CD172a and coexpressing CD163 and CD172a were significantly reduced at
2 days following endotracheal intubation (P = .039 and P = .037, respectively).
MHC class II expression and MHC class II coexpression with CD172a were similarly
reduced following intubation (P = .003 and P = .005, respectively). In the
supraglottis, MHC class II coexpression with CD16 and CD14 was also reduced
following endotracheal intubation (P = .037). CONCLUSIONS: Our results indicate
that endotracheal intubation reduces the number of innate immune cells within the
upper airway mucosa. This may be an important first step in a cascade leading to
chronic wound and scar formation causing airway stenosis.
PMID- 26567046
TI - Nervus Intermedius Guides Auditory Brainstem Implant Surgery in Children with
Cochlear Nerve Deficiency.
AB - OBJECTIVE: To investigate the anatomic features of the nervus intermedius and
cranial nerve VII in children with cochlear nerve deficiency and to verify
whether the nervus intermedius can provide an additional landmark to help guide
placement of the auditory brainstem implant electrode. STUDY DESIGN: Case series
with chart review. SETTING: Tertiary referral center. SUBJECTS AND METHODS: High
definition video was captured during retrosigmoid surgery in 64 children (mean
age, 3.91 +/- 2.83 years) undergoing auditory brainstem implant placement. These
videos were examined with particular reference to the number and variety of
nervus intermedius bundles and any associated facial nerve anomalies. RESULTS:
Absence of cranial nerves VI, VII, and VIII was observed in 3, 6, and all 64
children, respectively. Fifteen children had several abnormalities of the facial
nerve in the cerebellopontine angle. Anatomic identification of the facial nerve
and the bundles composing the nervus intermedius was possible in 46 children. In
12 children, identification was possible with the assistance of intraoperative
monitoring. The number of bundles composing the nervus intermedius varied from 1
to 6. The nervus intermedius and cranial nerve IX were useful landmarks for
identifying the foramen of Luschka of the lateral recess. CONCLUSION: The nervus
intermedius provides an additional landmark during auditory brainstem
microsurgery since it was identified in all subjects. The nervus intermedius
anatomy and its topographic relationship with the neurovascular structures around
the foramen of Luschka have been described for the first time in children with
cochlear nerve deficiency.
PMID- 26567045
TI - Anti-inflammatory effects of N-acylethanolamines in rheumatoid arthritis synovial
cells are mediated by TRPV1 and TRPA1 in a COX-2 dependent manner.
AB - INTRODUCTION: The endocannabinoid system modulates function of immune cells and
mesenchymal cells such as fibroblasts, which contribute to cartilage destruction
in rheumatoid arthritis (RA). The aim of the study was to determine the influence
of N-acylethanolamines anandamide (AEA), palmitoylethanolamine (PEA) and
oleylethanolamine (OEA) on several features of arthritic inflammation in vitro
(human material) and in vivo (a mouse model). METHODS: Immunofluorescence and
western blotting were used to detect cannabinoid receptors and related enzymes.
Cytokines and MMP-3 were measured by ELISA. Intracellular signaling proteins were
detected by proteome profiling. Proliferation was quantified by CTB reagent.
Adhesion was assessed by the xCELLigence system. After onset of collagen type II
arthritis, mice were treated daily with the FAAH inhibitor JNJ1661010 (20 mg/kg)
or vehicle. RESULTS: IL-6, IL-8 and MMP-3 (determined only in synovial
fibroblasts (SFs)) were downregulated in primary synoviocytes and SFs of RA and
OA after AEA, PEA and OEA treatment. In SFs, this was due to activation of TRPV1
and TRPA1 in a COX-2-dependent fashion. FAAH inhibition increased the efficacy of
AEA in primary synoviocytes but not in SFs. The effects of OEA and PEA on SFs
were diminished by FAAH inhibition. Adhesion to fibronectin was increased in a
CB1-dependent manner by AEA in OASFs. Furthermore, elevation of endocannabinoids
ameliorated collagen-induced arthritis in mice. CONCLUSIONS: N-acylethanolamines
exert anti-inflammatory effects in SFs. A dual FAAH/COX-2 inhibitor, increasing N
acylethanolamine levels with concomitant TRP channel desensitization, might be a
good candidate to inhibit the production of proinflammatory mediators of synovial
cells and to reduce erosions.
PMID- 26567048
TI - Seasonal Difference in Postthyroidectomy Hypocalcemia: A Montreal-Based Study.
AB - OBJECTIVE: Hypocalcemia following thyroidectomy often prolongs hospital stay and
is potentially life-threatening. The objective of this study is to determine
whether the season when thyroidectomy is performed is associated with
postoperative hypocalcemia. STUDY DESIGN: Retrospective case series of patients
undergoing thyroid surgery from 2009 to 2015. SETTING: Tertiary care academic
institution in Montreal, Canada. SUBJECTS AND METHODS: A consecutive sample of
823 patients undergoing thyroidectomy by a single high-volume otolaryngologist
for a suspected or confirmed thyroid malignancy. Patient demographics, procedure
type, calcium and vitamin D supplementation, and seasonal rate of hypocalcemia
postthyroidectomy were calculated and compared. RESULTS: Average seasonal rates
of postthyroidectomy hypocalcemia in the winter, spring, summer, and autumn were,
respectively, 8.3% (8 of 216), 7.3% (12 of 165), 1.5% (3 of 201), and 3.5% (8 of
228; P < .005). Patients operated in the winter were 5.6 times more likely to
develop hypocalcemia as compared with those in the summer (P < .01; 95%
confidence interval: 1.7-18.7). In a multiple regression analysis factoring in
season when surgery was performed, procedure type, and preoperative vitamin
D/calcium supplementation, surgery occurring in the winter predicted a
hypocalcemia event (correlation coefficient [SE]: 0.72 [0.024], P = .026; 0.006
[0.025], P = .81; 0.004 [0.019], P = .82, respectively). CONCLUSION: In this
study, patients undergoing thyroidectomy in the winter months were more likely to
develop postoperative hypocalcemia when compared with those operated in the
summer. Further studies are needed to understand the role of vitamin D in the
observed seasonal difference in hypocalcemia rates.
PMID- 26567049
TI - Upregulation of nuclear factor-kappaB activity mediates CYP24 expression and
reactive oxygen species production in indoxyl sulfate-induced chronic kidney
disease.
AB - AIM: Chronic kidney disease (CKD) is associated with an inflammation-mediated
process, and the vitamin D (3) catabolizing enzyme, CYP24, is frequently
overexpressed in CKD, where it may play a crucial role in kidney disease.
METHODS: Herein, in this study, we investigated CYP24, reactive oxygen species
(ROS), and inflammatory responses in an indoxyl sulfate (IS)-induced CKD model to
elucidate the role of CYP24 in CKD. RESULTS: Our results showed that IS
upregulates proinflammatory cytokine, CYP24 and nuclear factor-kappaB (NF-kappaB)
expression in human renal proximal tubule epithelial cells. In addition, IS
treatment increased ROS production and simultaneously upregulated CYP24
expression and NF-kappaB translocation. Moreover, the IS-induced upregulation of
CYP24 expression was alleviated by an inhibitor of NF-kappaB, as well as a siRNA
specific to NF-kappaB p65. Furthermore, the renal cortex of DN (Dahl salt
resistant normotensive) + IS, DH (Dahl salt-sensitive hypertensive), and DH + IS
rats showed increased expression of NF-kappaB p65, CYP24, 8-hydroxydeoxyguanosine
(8-OHdG), a marker of ROS and macrophage infiltration compared with DN rats.
CONCLUSIONS: These results provide evidence that administration of IS in human
renal tubular epithelial cells upregulates NF-kappaB, which leads to increase
CYP24 expression and ROS production. They also suggest that suppressing NF-kappaB
signalling is promising for the development into a strategy for CKD treatment.
PMID- 26567051
TI - From the Editor's Perspective....
PMID- 26567050
TI - Features, risk factors and clinical outcome of "very late" recurrences after
surgery for localized renal carcinoma: A retrospective evaluation of a cohort
with a minimum of 10 years of follow up.
AB - OBJECTIVE: To evaluate the features and the predictors of "very late" recurrences
after surgery for localized renal cell carcinoma. METHODS: Since 1983, an
institutional database with data of more than 2300 consecutive patients treated
for renal cancer has been prospectively maintained. Patients N0 /Nx M0 followed
for a minimum of 10 years without recurrences were retrieved. The site, time and
treatment of recurrences observed afterwards were recorded, and the predictors
were investigated by Cox regression analysis. RESULTS: A total of 554 patients
(231 women, 323 men; age 59.3 +/- 11.6 years) followed for a mean/median time of
15.1/13.6 years (range 10.0-34.1 years) were analyzed. A recurrence was observed
in 26 patients (4.6%) after a mean/median interval of 13.3/12.3 years (range 10.5
30.2 years). The pathological stage 2/3 was the only independent predictor of
recurrence (P = 0.003), and it was related also to the latency of recurrence
(mean/median latency 15.4/14.0, 11.4/10.8 and 12.5/12.0 years, respectively, for
stage 1, 2 and 3; P < 0.005 for stage 1 vs stage 2 or 3). The contralateral
kidney was the most frequent site of relapse in patients with stage pT1, whereas
multiple sites were more frequent for stage pT2 and pT3. CONCLUSIONS: The risk of
a "very late" recurrence of renal cancer is approximately 5%, and it depends on
the pathological stage. For stage pT1, the kidney/s should be surveilled for
indefinite time, preferably by ultrasound to reduce the X-ray exposition; for
stage pT2 and pT3, the abdomen and the lungs should be monitored, by computed
tomography scan during the first years, and then by abdominal ultrasound and
chest X-ray.
PMID- 26567052
TI - A qualitative study on discrimination and ethical implications in stroke care in
contemporary Greece.
AB - Contemporary stroke care is diverse, contributing to complex ethical dilemmas and
controversies. In Greece, continuing austerity measures and an ageing population
are expected to increase the burden of stroke on health care delivery. We sought
to explore ethical views and stances of health care professionals caring for
stroke patients in Greece. Forms of discrimination against elderly hospitalized
patients were also addressed. A qualitative design was adopted whereby an
informal focus group interview and follow-up, face-to-face individual interviews
were undertaken. The sample consisted of 12 nurses working in clinical areas with
stroke patients. Their mean age was 31 years with a mean work experience of 10
years. Individual discussions were audio taped after subject consent and were
transcribed verbatim subsequently for keywords-in-context analysis. Analysis of
the focus interview revealed several recurring themes, namely, ward destination,
admission policies, the concepts of age as related to stroke. Individual
interviews highlighted several other topics, such as preferences for specific
types of patients, communication capacity of the patient's condition, and his or
her individual characteristics as a favoring or limiting factors, and challenges
to age-related criteria for treatment. There is an age-related criteria of 65
years for ward destination after stroke as set by a ministerial decree, which is
still practiced. Moreover, younger stroke patients may be offered more thorough
assessments and clinical tests and therapies. Discrepancies in the level of care
pose an ethical concern regarding levels of care for older stroke patients in
Greece. A longstanding, age-related national policy in Greece regarding hospital
admission criteria is shown to be a detrimental influence on ward admission for
stroke patients. This policy, coupled with prehospital care protocols, was shown
to promote ageism against this patient group. The age-related agenda in the Greek
health care system has suffused care delivery with pockets of discrimination.
PMID- 26567053
TI - Exercise therapy for claudication: Should home-based exercise therapy be
prescribed in clinical practice?
AB - Peripheral artery disease is a cause of morbidity and mortality in the United
States. The literature suggests evidence that an exercise program can be
beneficial in the treatment of patients with claudication. Supervised exercise
therapy is well documented in the literature, and national guidelines recommend
it as an initial conservative management. When a supervised exercise program is
unavailable or not covered by insurance, an alternative to supervised exercise is
vital. The purpose of this review is to examine the evidence regarding the
efficacy of a home-based exercise program. Four studies were included in this
review, and although the evidence supporting a home-based exercise program is
limited in the literature, the findings indicate that a home-based exercise
program increases claudication onset time, resulting in greater mobility and
improvement in the patient's quality of life.
PMID- 26567054
TI - Individual blood pressure responses to walking and resistance exercise in
peripheral artery disease patients: Are the mean values describing what is
happening?
AB - OBJECTIVE: The aim of this study was to analyze the average and individual blood
pressure responses to walking and resistance exercise in patients with peripheral
artery disease. METHODS: Thirteen patients underwent three experimental sessions:
walking exercise, resistance exercise, and control. Ambulatory blood pressure,
heart rate, and rate pressure product were obtained before and until 24 hours
after sessions. RESULTS: The mean cardiovascular values during 24 hours, awake,
and sleep periods were similar (P > 0.05) after the three experimental sessions.
The analysis of individual data revealed that during 24 hours, eight of 13
patients reduced systolic or diastolic blood pressure in >=4.0 mm Hg in at least
one of the exercise session; furthermore, most of these patients presented
greater responses after resistance exercise. The clinical characteristics of
patients seem to influence the blood pressure responses after exercises.
Individual data showed that part of patients presented clinically significant
decreases in blood pressure, showing that these patients have acute
cardiovascular benefits after performing an acute bout of exercise. CONCLUSIONS:
Although, in average, a bout of walking or resistance exercise did not decrease
ambulatory blood pressure in peripheral artery disease patients, the individual
data revealed that most patients presented clinically relevant blood pressure
reductions, especially after resistance exercise.
PMID- 26567055
TI - A pilot study investigating the utilization of crest pads for treatment of toe
callus and ulceration.
AB - Patients with lesser-toe deformities are at increased risk of developing calluses
and ulcers on the distal ends of the affected digits because of the increased
pressures applied to these areas. The number of diabetic patients in the United
States continues to increase, along with associated comorbidities such as
peripheral vascular disease and peripheral neuropathy. These conditions
predispose patients to developing foot ulcerations, especially if foot
deformities are present. Crest pads are a simple-to-make, inexpensive option to
treat calluses and ulcerations on the distal ends of digits; however, there is no
research available that support their use. Crest pads consist of rolled gauze
covered in moleskin, with a large opening that fits over several toes and lies on
the dorsal aspect of the foot, with the padded portion resting under the toes.
Over several days of use, the pad molds to the plantar aspect of the toes,
offloading pressure from the distal end of the affected digit(s). The sample was
obtained through a retrospective chart review of patients identified as having
had at least one nail care visit and at least one follow-up visit at a vascular
surgery practice between August 2011 and December 2014. Potential subjects with
toe deformities who presented with callus or ulcer on the distal end of a digit
were considered for inclusion, if they received a crest pad as part of their
treatment plan. The scholarly project was a preintervention or postintervention
design with subjects acting as their own controls. McNemar's test was used to
analyze the results which were statistically significant (P < .0001 at first
callus follow-up and P = .0002 at second callus follow-up) for callus,
hemorrhagic callus, and/or ulcer improvement following the crest pad
intervention. The results of this scholarly project support the use of crest pads
in patients with lesser-toe deformities to treat distal toe calluses and/or
ulcerations.
PMID- 26567056
TI - Toe blood pressure and toe-brachial index.
AB - TBP and TBI are considered more accurate in assessing lower extremity perfusion
in those patients with a falsely elevated ABI suspected from medial arterial
calcification. Like the ABI, a TBI may be obtained by the nurse through a similar
procedure using a sphygmomanometer and hand-held Doppler. Knowledge by the
vascular nurse of this noninvasive technique will assist in a more timely
recognition of PAD and may improve long-term patient outcomes.
PMID- 26567057
TI - Review of article: Perioperative bridging anticoagulation in patients with atrial
fibrillation by James D. Douketis, MD, Alex C. Spyropoulos, MD, Scott Kaatz, DO,
et al (N Engl J Med 2015;373: 823-833).
PMID- 26567058
TI - Tuesday 1 December could be first day of industrial action, says BMA.
PMID- 26567059
TI - Acne vulgaris in general population of rural western Kenya: cross-sectional
community survey.
PMID- 26567060
TI - Two-Year Outcomes of Patients Treated With Aliskiren Under Clinical Practice
Conditions: Non-Interventional Prospective Study.
AB - The authors investigated the long-term effectiveness and safety of aliskiren
(ALIS) with particular attention on its association with dual blockade of the
renin-angiotensin system (RAS). The open, prospective 3A Registry (N=8723) in
Germany assigned patients in a 4:1:1 ratio to ALIS, angiotensin-converting enzyme
(ACE) inhibitors or angiotensin receptor blockers (ARBs), or non-RAS drugs.
Patients taking ALIS compared with those taking ACE inhibitors/ARBs or non-RAS
had more comorbidities and risk factors, were taking more antihypertensive
agents, and had higher blood pressure (BP) values at entry. At 2 years, BP
reduction from baseline was similar in all groups (mean, -20.5/-9.9 mm Hg). A
total of 2.3% of patients died, 0.5% had myocardial infarction, 0.6% had stroke,
2.9% were hospitalized, and 5.5% had any event (not significant between groups).
ALIS alone or combined with another RAS inhibitor was well tolerated and
effective in lowering BP in typical unselected patients with hypertension. Given
the methodical limitations of the design, the study cannot be used to confirm or
refute safety concerns for dual RAS blockade as suggested by the Aliskiren Trial
in Type 2 Diabetes Using Cardio-Renal Endpoints (ALTITUDE) trial.
PMID- 26567061
TI - Heart Failure Telemonitoring in Japan and Sweden: A Cross-Sectional Survey.
AB - BACKGROUND: Telemonitoring of heart failure (HF) patients is increasingly
discussed at conferences and addressed in research. However, little is known
about actual use in specific countries. OBJECTIVE: We aimed to (1) describe the
use of non-invasive HF telemonitoring, (2) clarify expectations of telemonitoring
among cardiologists and nurses, and (3) describe barriers to the implementation
of telemonitoring in Japan and Sweden. METHODS: This study used a cross-sectional
survey of non-invasive HF telemonitoring. A total of 378 Japanese (120
cardiologists, 258 nurses) and 120 Swedish (39 cardiologists, 81 nurses) health
care professionals from 165 Japanese and 61 Swedish hospitals/clinics nationwide
participated in the study (210 in Japan and 98 in Sweden were approached). Data
were collected between November 2013 and May 2014 with a questionnaire that was
adapted from a previous Dutch study on telemonitoring. RESULTS: The mean age of
the cardiologists and nurses was 47 years and 41 years, respectively. Experience
at the current position caring for HF patients was 19 years among the physicians
and 15 years among the nurses. In total, 7 Japanese (4.2%) and none of the
Swedish health care institutions used telemonitoring. One fourth (24.0%, 118/498)
of the health care professionals were familiar with the technology (in Japan:
21.6%, 82/378; in Sweden: 30.0%, 36/120). The highest expectations of
telemonitoring (rated on a scale from 0-10) were reduced hospitalizations (8.3 in
Japan and 7.5 in Sweden), increased patient self-care (7.8 and 7.4), and offering
high-quality care (7.8 and 7.0). The major goal for introducing telemonitoring
was to monitor physical condition and recognize signs of worsening HF in Japan
(94.1%, 352/374) and Sweden (88.7%, 102/115). The following reasons were also
high in Sweden: to monitor effects of treatment and adjust it remotely (86.9%,
100/115) and to do remote drug titration (79.1%, 91/115). Just under a quarter of
Japanese (22.4%, 85/378) and over a third of Swedish (38.1%, 45/118) health care
professionals thought that telemonitoring was a good way to follow up stable HF
patients. Three domains of barriers were identified by content analysis:
organizational barriers "how are we going to do it?" (categories include
structure and resource), health care professionals themselves "what do we need to
know and do" (reservation), and barriers related to patients "not everybody would
benefit" (internal and external shortcomings). CONCLUSIONS: Telemonitoring for HF
patients has not been implemented in Japan or Sweden. However, health care
professionals have expectations of telemonitoring to reduce patients'
hospitalizations and increase patient self-care. There are still a wide range of
barriers to the implementation of HF telemonitoring.
PMID- 26567062
TI - Epithelial antigenic specificities of circulating autoantibodies in mucosal
lichen planus.
AB - BACKGROUND: Mucosal lichen planus (LP) is an inflammatory disease of the mucous
membranes of unknown origin. The antigen-specific autoantibodies or T cells
responsible for this disease have not yet been established. OBJECTIVES: This
study was designed to study the antigenic specificities of circulating antibodies
in patients with mucosal LP and to review previous findings on this topic.
METHODS: We tested a series of consecutive cases of mucosal LP in our clinic by
enzyme-linked immunosorbent assay using desmoglein 3 (Dsg3) and BP180 fusion
proteins. RESULTS: Three of 22 patients were positive for anti-NC16A antibodies.
Interestingly, we found a middle-aged woman with severe disease with circulating
anti-Dsg3 antibodies at high levels, typical of pemphigus vulgaris. Levels of
these antibodies positively correlated with the severity of clinical
manifestations. We failed to detect anti-desmoglein antibodies in any other
patient in our series and in the literature review. CONCLUSIONS: Some patients
with mucosal LP may present with circulating anti-BP180 antibodies at low levels.
We also report the first case with positive anti-Dsg3 antibodies. The pathogenic
relevance of these autoantibodies remains unknown.
PMID- 26567063
TI - [Paying absolute attention to pain].
PMID- 26567064
TI - [Sophrology for patients in oncology].
AB - It is important to support patients with cancer during their care pathway and
even beyond. They undergo long and difficult treatments, all anxiety-causing
situations and sources of stress. Sophrological techniques help patients to find
calm, lessen their fears and offer them the opportunity to work on themselves
through simple easily reproducible exercises. This observation has been verified
by a study carried out at the Institut Curie with patients undergoing
chemotherapy.
PMID- 26567065
TI - [Discharge of the terminally ill patient. Multiprofessional support].
PMID- 26567066
TI - [Extending the palliative approach across the French health system].
AB - The care provision for people at the end of life requires a palliative care
approach to be extended across the whole healthcare system. Access to palliative
care for everyone requires training for professionals, support for specialised
structures and teams as well as clear political will.
PMID- 26567067
TI - [End of life, promoting the collegial procedure].
AB - The "Leonetti" law introduced the need for a collegial procedure to approach the
decision to limit or stop treatment. Collegiality is not easy in daily
professional practice. There are cultural and institutional obstacles, specific
to caregivers. Interdisciplinary meetings can be put in place in order to support
the lead doctor and to ensure the decisions taken are the best for the patient's
particular situation.
PMID- 26567068
TI - [The role of the mobile palliative care team nurse].
AB - The mobile palliative care and support team nurse works in different departments
within the hospital. The clinical situation of a patient enables the team to
identify in what ways she is declining and thereby participate in the care
management in order to favour the patient's return home.
PMID- 26567069
TI - [Palliative care network, from coordination towards the integration of players].
AB - The ageing of the population and increase in progressive chronic pathologies
constitute a major challenge for society. In parallel, the array of care systems
and the partitioning between the different players pose organisational and
conceptual difficulties. In the face of this, a palliative care network can seize
the opportunity to develop its missions to improve the care pathway for patients
and make it easier for them to remain at home.
PMID- 26567070
TI - [How to ask for the assistance of a palliative care network].
AB - Patients receiving palliative care can be cared for at home or at hospital by
different structures. The way the networks operate is illustrated by the
transcription of a telephone conversation between the wife of a patient and a
member of the coordination team of the network Quietude.
PMID- 26567071
TI - [Individualized palliative care research].
PMID- 26567072
TI - [End-of-life support from a private practice nurse].
AB - Eighty-one per cent of people say they would prefer to die at home. Several
elements contribute to improving end-of-life care at home: an evolving society,
ethical questioning, legislative changes, new methods of organisation,
specialised and interdisciplinary training. However, this remains difficult. The
private practice nurse provides clinical support and plays a major role in this
care.
PMID- 26567073
TI - [Palliative care day hospital and nursing coordination].
AB - The palliative care day hospital is still underdeveloped in France, unlike in
Anglo-Saxon countries. Its main mission is to help improve the quality of life at
home of the patient suffering from a serious and progressive disease. It offers
an inter-disciplinary and global approach in which the nurse's role is quite
specific.
PMID- 26567074
TI - [Hospital-at-home at the end of life, challenges and limits].
AB - The requests for hospital-at-home palliative care are made by health
professionals as well as by patients and their families. Nurse coordinators
assess them in order to identify the limits and assure high-quality care. Team
meetings enable professionals to decide on a joint approach and provide support
to the caregivers where necessary.
PMID- 26567075
TI - [Palliative telemedicine, a service for the security of end-of-life patients].
PMID- 26567076
TI - [Palliative care at home, transferring information to emergency medical teams].
AB - Many people wish to die at home. However, the end-of-life period can be marked by
the occurrence of numerous symptoms causing situations of crisis. Emergency
medical teams are therefore frequently called upon. In order to be able to make
the right decisions in a short space of time, they must have quick access to all
the relevant information.
PMID- 26567077
TI - [Support at the end of life in care homes by nursing assistants].
AB - In nursing homes, the nursing assistant supports patients at the end of life,
notably as they move into palliative care. This involves team work to relieve
pain, limit treatments considered disproportionate and improve comfort. Relations
with the residents and their families are particularly important in this context.
PMID- 26567078
TI - [Volunteer support at home, at the end of life].
AB - Volunteers work alongside nurses providing social support to people at the end of
life at home. As partners in the patient management, they can contribute to
finding innovative solutions and are important players in the "shared caring".
PMID- 26567079
TI - [Networks for palliative care at home].
PMID- 26567080
TI - [Concept analysis].
AB - The concept represents a fundamental element within a discipline. It is therefore
essential to have specific, operational definitions of concepts for all areas of
activity in nursing care. The concept analysis methodology is a rigorous way of
ensuring this objective is achieved.
PMID- 26567081
TI - [Delegation of diagnostic and prescription duties in addiction medicine].
PMID- 26567082
TI - We are not in Kansas anymore: The unfamiliar landscape of NASH.
PMID- 26567083
TI - Bayesian analyses of Yemeni mitochondrial genomes suggest multiple migration
events with Africa and Western Eurasia.
AB - OBJECTIVES: Anatomically, modern humans are thought to have migrated out of
Africa ~60,000 years ago in the first successful global dispersal. This initial
migration may have passed through Yemen, a region that has experienced multiple
migrations events with Africa and Eurasia throughout human history. We use
Bayesian phylogenetics to determine how ancient and recent migrations have shaped
Yemeni mitogenomic variation. MATERIALS AND METHODS: We sequenced 113 mitogenomes
from multiple Yemeni regions with a focus on haplogroups M, N, and L3(xM,N) as
these groups have the oldest evolutionary history outside of Africa. We performed
Bayesian evolutionary analyses to generate time-measured phylogenies calibrated
by Neanderthal and Denisovan mitogenomes in order to determine the age of Yemeni
specific clades. RESULTS: As defined by Yemeni monophyly, Yemeni in situ
evolution is limited to the Holocene or latest Pleistocene (ages of clades in
subhaplogroups L3b1a1a, L3h2, L3x1, M1a1f, M1a5, N1a1a3, and N1a3 range from 2 to
14 kya) and is often situated within broader Horn of Africa/southern Arabia in
situ evolution (L3h2, L3x1, M1a1f, M1a5, and N1a1a3 ages range from 7 to 29 kya).
Five subhaplogroups show no monophyly and are candidates for Holocene migration
into Yemen (L0a2a2a, L3d1a1a, L3i2, M1a1b, and N1b1a). DISCUSSION: Yemeni
mitogenomes are largely the product of Holocene migration, and subsequent in situ
evolution, from Africa and western Eurasia. However, we hypothesize that recent
population movements may obscure the genetic signature of more ancient
migrations. Additional research, e.g., analyses of Yemeni nuclear genetic data,
is needed to better reconstruct the complex population and migration histories
associated with Out of Africa.
PMID- 26567084
TI - Acromesomelic dysplasia, type maroteaux caused by novel loss-of-function
mutations of the NPR2 gene: Three case reports.
AB - The C-type natriuretic peptide (CNP)-natriuretic peptide receptor 2 (NPR2)
signaling pathway plays an important role in chondrocyte development. Homozygous
loss-of-function mutations of the NPR2 gene cause acromesomelic dysplasia, type
Maroteaux (AMDM). The aim of this study was to identify and characterize NPR2
loss-of-function mutations in patients with AMDM. The NPR2 gene was sequenced in
three Korean patients with AMDM and functional analysis of the mutated proteins
was performed in vitro. Five novel NPR2 mutations were found in the three
patients: two compound heterozygous mutations [c.1231T>C (Tyr411His) and
c.2761C>T (Arg921X) in Patient 1 and c.1663A>T (Lys555X) and c.1711-1G>C
(M571VfsX12) in Patient 3] and a homozygous mutation [c.2762G>A (Arg921Gln) in
Patient 2]. Serum NT-proCNP concentration was significantly increased in each
patient compared to control subjects. Cells transfected with the expression
vector of each mutant except those found in Patient 3 showed a negligible or a
markedly low cGMP response after treatment with CNP. HA-tagged wild-type (wt) and
HA-mutant NPR2 were expressed at comparable levels: there were two bands of ~130
and ~120 kDa in wt and Arg921Gln, a single ~120 kDa band in Tyr411His, and a
single ~110 kDa in the nonsense mutant. With respect to subcellular localization,
Arg921Gln as well as wt-NPR2 reached the cell surface, whereas Tyr411His and
Arg921X mutants did not. The Tyr411His and Arg921X NPR2 proteins were co
localized with an endoplasmic reticulum (ER) marker and failed to traffic from
the ER to the Golgi apparatus. These results are consistent with deglycosylation
experiments. Tyr411His and Arg921X NPR2 are complete loss-of-function mutations,
whereas Arg921Gln behaves as a receptor for CNP with limited function.
PMID- 26567085
TI - Western diet-induced imbalances of FoxO1 and mTORC1 signalling promote the
sebofollicular inflammasomopathy acne vulgaris.
PMID- 26567086
TI - A study on the survival of primary molars with intact and with defective
restorations.
AB - BACKGROUND: Failed restorations in primary teeth are not always re-restored. Is
re-restoration not required anymore? OBJECTIVE: To compare survival rates of
primary molars with intact and defective amalgam and ART restorations. METHODS: A
total of 649 restored primary molars, of which 162 were assessed with defective
restorations for mechanical reasons, from a cluster-randomised controlled
clinical trial, were followed up over a period of 3.5 years. Restored primary
molars, extracted because of dental sepsis or toothache, were considered a
failure. Primary molars with defective restorations were followed up from the
time they were assessed defective. Data were analysed using PHREG model with
frailty correction, Wald test, t-test, and jackknife procedure. RESULTS: The
survival rate of primary molars with intact restorations (96.3%) was
statistically significantly higher than that of primary molars with defective
restorations (75.9%) over a 3-year period (P < 0.0001). Neither the effect of
treatment protocol (amalgam or ART) (P = 0.05) nor the type of surface (single or
multiple) (P = 0.73) was observed with respect to the survival rate of restored
primary molars. CONCLUSIONS: Survival rates for primary molars with intact and
defective amalgam and ART restorations were high. The 3-year survival rate of
primary molars with intact restorations was significantly higher than that of
primary molars with defective restorations.
PMID- 26567087
TI - In vivo evaluation of biofunctionalized implant surfaces with a synthetic peptide
(P-15) and its impact on osseointegration. A preclinical animal study.
AB - OBJECTIVES: The overall aim of the study was to investigate a biofunctionalized
implant surface with electrochemically deposition of hydroxyapatite and the
synthetic peptide (P-15) and its effect on osseointegration. MATERIAL AND
METHODS: Three modified implant types of ANKYLOS(r) C/X implants were used; (1)
machined implants used as negative control (M, n = 20), (2) implants with the
FRIADENT(r) plus surface (grit blasted and acid-etched) used as positive control
(P, n = 20), and (3) implants with a biomimetic surface consisting of
hydroxyapatite and the synthetic 15 aminoacids containing peptide P-15 (BP, n =
40). The implants were randomly inserted in the mandibles of 10 beagle dogs
following 4 months after tooth extraction (P1-P4). Three animals were sacrificed
2 and 7 days after implant insertion, respectively, and four animals were
sacrificed 6 months post implant insertion. Bone-to-implant contacts (BICs) were
analyzed via histomorphometrical analyses at five different region of interests
(ROIs); two at the middle part on either side of the implant (ROI 1/4), two at
the apical part of the implant at each side (ROI 2/3), and one at the tip of the
implant (ROI 5). RESULTS: All implant surfaces showed a high level of
osseointegration and osteoconductivity. The cumulative implant survival rate
(CSR) was 93.8%, 100% in the M, 85% in the P, and 95% in the BP group. No
statistical difference in BICs at ROI 1/4, 2/3, and 5 could be shown between
implant types following 2 and 7 days of healing. BIC values increased in all
groups over time. After 6 months of healing the BP group showed superiority in
BIC in ROI 2/3 (73.2 +/- 15.6%) compared to the P (48.3 +/- 10.6%) and M group
(66.3 +/- 30.2%) with a significant difference between BP and P (P = 0.002).
CONCLUSION: It is hypothesized, that the surface biofunctionalization improves
peri-implant bone formation and remodeling, leading to an increased bone-to
implant contact. However, within the limitations of the study set-up no benefit
in the early phase of osseointegration could be established for dental implants
with P-15 containing surface in this study.
PMID- 26567088
TI - Respiratory sinus arrhythmia as a potential measure in substance use treatment-
outcome studies.
AB - BACKGROUND AND AIMS: Dysfunction of physiological regulation systems may underlie
the disrupted emotional and self-regulatory processes among people with substance
use disorder (SUD). This paper reviews evidence as to whether or not respiratory
sinus arrhythmia (RSA), as a psychophysiological index of emotional regulation,
could provide useful information in treatment-outcome research to provide
insights into recovery processes. METHODS: We reviewed the use of RSA in clinical
research and studies on SUD treatment. Search terms for the review of RSA in
clinical research included respiratory sinus arrhythmia, heart rate variability,
vagal, cardiac vagal control, psychophysiology, intervention, treatment,
mindfulness, mind-body, mental health, substance use, chemical dependence,
regulation and emotion regulation. For the review of RSA in intervention studies,
we included only those that provided adequate description of psychophysiological
methods, and examined RSA in the context of an intervention study. RESULTS: RSA
appears to be able to provide an index of self-regulatory capacity; however, it
has been little used in either intervention or treatment research. Of the four
intervention studies included in this review, all were mindfulness-based
interventions. Two studies were with substance-using samples, and both showed pre
post increases in RSA and related improved substance use outcomes. Two of the
three studies were randomized controlled trials (RCTs), and both showed
significant increases in RSA in the experimental compared to comparison
condition. CONCLUSION: Respiratory sinus arrhythmia may be a useful index of
emotional regulation in people with substance use disorder, and a potential
measure of underlying mechanisms for SUD treatment studies, particularly
mindfulness-based interventions.
PMID- 26567089
TI - Psychometric Validation of a General Health Quality of Life Tool for Cats Used to
Compare Healthy Cats and Cats with Chronic Kidney Disease.
AB - BACKGROUND: Numerous validated psychometric tools are available to assess impact
of disease on a human's quality of life (QoL). To date, no psychometrically
validated general health-related QoL tool exists for cats. HYPOTHESIS/OBJECTIVES:
To develop and validate a tool for assessment of owner-perceived QoL in cats
(CatQoL) and to use this tool to compare QoL between healthy cats and those with
chronic kidney disease (CKD). ANIMALS/SUBJECTS: Total of 204 owners of young
healthy cats (YH, n = 99; <9 years), older healthy cats (OH, n = 35), and cats
diagnosed with CKD (CKD, n = 70) completed the CatQoL. METHODS: Discussions with
a focus group and 2 pilot surveys informed design of 16 QoL questions grouped
into 4 domains. Each item scored according to frequency and importance, and item
weighted-impact-scores were calculated. The validity of the tool was assessed
using principal components analysis and Cronbach's alpha. The average item
weighted-impact-score (AWIS) was compared among groups and domains. RESULTS:
Sixteen-item CatQoL showed good internal consistency reliability (Cronbach's
alpha, 0.77) and unidimensionality with significant loadings (0.2-0.7) and
communalities (>0.3). Young healthy cats had significantly higher AWIS (median
[IQR], 1.25 [0.63, 1.88]) than OH (0.56 [-0.06, 1.00]) and CKD cats (-0.06 [
0.81, 0.88]), P < .001). CKD cats had significantly lower AWIS for eating domain
(YH: 2.00 [1.00, 3.00]; OH: 2.00 [0.67, 3.00]; CKD : 1.00 [0.00, 2.67]) when
compared with the YH group and OH group, and all groups differed significantly in
their management domain (YH: -0.50 [-1.00, 0.00]; OH: -1.00 [-1.88, -0.50]; CKD :
-1.50 [-2.50, -1.00], P < .001). CONCLUSIONS AND CLINICAL IMPORTANCE: The CatQoL
was validated for use in cats, and can be used as additional assessment parameter
in clinical and research settings.
PMID- 26567090
TI - A randomized controlled trial of an intervention for infants' behavioral sleep
problems.
AB - BACKGROUND: Infant behavioral sleep problems are common, with potential negative
consequences. We conducted a randomized controlled trial to assess effects of a
sleep intervention comprising a two-hour group teaching session and four support
calls over 2 weeks. Our primary outcomes were reduced numbers of nightly wakes or
parent report of sleep problem severity. Secondary outcomes included improvement
in parental depression, fatigue, sleep, and parent cognitions about infant sleep.
METHODS: Two hundred thirty five families of six-to-eight month-old infants were
randomly allocated to intervention (n = 117) or to control teaching sessions (n =
118) where parents received instruction on infant safety. Outcome measures were
observed at baseline and at 6 weeks post intervention. Nightly observation was
based on actigraphy and sleep diaries over six days. Secondary outcomes were
derived from the Multidimensional Assessment of Fatigue Scale, Center for
Epidemiologic Studies Depression Measure, Pittsburgh Sleep Quality Index, and
Maternal (parental) Cognitions about Infant Sleep Questionnaire. RESULTS: One
hundred eight intervention and 107 control families provided six-week follow-up
information with complete actigraphy data for 96 in each group: 96.9% of
intervention and 97.9% of control infants had an average of 2 or more nightly
wakes, a risk difference of -0.2% (95% CI: -1.32, 0.91). 4% of intervention and
14% of control infants had parent-assessed severe sleep problems: relative risk
0.3, a risk difference of -10% (CI: 0.11, 0.84-16.8 to -2.2). Relative to
controls, intervention parents reported improved baseline-adjusted parental
depression (CI: -3.7 to -0.4), fatigue (CI: -5.74 to -1.68), sleep quality (CI:
1.5 to -0.2), and sleep cognitions: doubts (CI: -2.0 to -0.6), feeding (CI: - 2.1
to - 0.7), anger (CI: - 1.8 to - 0.4) and setting limits (CI: -3.5 to -1.5).
CONCLUSIONS: The intervention improved caregivers' assessments of infant sleep
problem severity and parental depression, fatigue, sleep, and sleep cognitions
compared with controls. TRIAL REGISTRATION: ISRCTN42169337 , NCT00877162.
PMID- 26567091
TI - Implementation and use of 3D pairwise geodesic distance fields for seeding
abdominal aortic vessels.
AB - PURPOSE: Precise extraction of aorta and the vessels departing from it (i.e.
coeliac, renal, and iliac) is vital for correct positioning of a graft prior to
abdominal aortic surgery. To perform this task, most of the segmentation
algorithms rely on seed points, and better-located seed points provide better
initial positions for cross-sectional methods. Under non-optimal acquisition
characteristics of daily clinical routine and complex morphology of these
vessels, inserting seed points to all these small, but critically important
vessels is a tedious, time-consuming, and error-prone task. Thus, in this paper,
a novel strategy is developed to generate pathways between user-inserted seed
points in order to initialize segmentation methods effectively. METHOD: The
proposed method requires only a single user-inserted seed for each vessel of
interest for initializations. Starting from these initial seeds, it automatically
generates pathways that span all vessels in between. To accomplish this, first, a
geodesic mask is generated by adaptive thresholding, which reinforces the initial
seeds to be kept in the vascular tree. Then, a novel implementation of 3D
pairwise geodesic distance field (3D-PGDF) is utilized. It is shown that the
minimal-valued geodesic of 3D-PGDF successfully defines a path linking the
initial seeds as being the shortest geodesic. Moreover, the robustness of the
minimum level set of the 3D-PGDF to local variations and regions of high
curvature is increased by a region classification strategy, which adds partial
geodesics to these critical regions. RESULTS: The proposed method was applied to
19 challenging CT data sets obtained from four different scanners and compared to
two benchmark methods. The first method is a high-precision technique with very
long processing time (subvoxel precise multi-stencil fast marching-MSFM), while
the second is a very fast method with lower accuracy (3D fast marching). The
results, which are obtained using various measures, show that the pathways
generated by the developed technique enable significantly higher segmentation
performance than 3D fast marching and require much less computational power and
time than MSFM. CONCLUSION: The developed technique offers a useful tool for
generating pathways between seed points with minimal user interaction. It
guarantees to include all important vessels in a computationally effective manner
and thus, it can be used to initialize segmentation methods for abdominal aortic
tree.
PMID- 26567093
TI - Time-of-flight-assisted Kinect camera-based people detection for intuitive human
robot cooperation in the surgical operating room.
AB - BACKGROUND: Scene supervision is a major tool to make medical robots safer and
more intuitive. The paper shows an approach to efficiently use 3D cameras within
the surgical operating room to enable for safe human robot interaction and action
perception. Additionally the presented approach aims to make 3D camera-based
scene supervision more reliable and accurate. METHODS: A camera system composed
of multiple Kinect and time-of-flight cameras has been designed, implemented and
calibrated. Calibration and object detection as well as people tracking methods
have been designed and evaluated. RESULTS: The camera system shows a good
registration accuracy of 0.05 m. The tracking of humans is reliable and accurate
and has been evaluated in an experimental setup using operating clothing. The
robot detection shows an error of around 0.04 m. CONCLUSIONS: The robustness and
accuracy of the approach allow for an integration into modern operating room. The
data output can be used directly for situation and workflow detection as well as
collision avoidance.
PMID- 26567092
TI - Individual feature maps: a patient-specific analysis tool with applications in
temporal lobe epilepsy.
AB - PURPOSE: MRI-based diagnosis of temporal lobe epilepsy (TLE) can be challenging
when pathology is not visually evident due to low image contrast or small lesion
size. Computer-assisted analyses are able to detect lesions common in a specific
patient population, but most techniques do not address clinically relevant
individual pathologies resulting from the heterogeneous etiology of the disease.
We propose a novel method to supplement the radiological inspection of TLE
patients (n = 15) providing patient-specific quantitative assessment. METHOD:
Regions of interest are defined across the brain and volume, relaxometry, and
diffusion features are extracted from them. Statistical comparisons between
individual patients and a healthy control group (n = 17) are performed on these
features, identifying and visualizing significant differences through individual
feature maps. Four maps are created per patient showing differences in intensity,
asymmetry, and volume. RESULTS: Detailed reports were generated per patient.
Abnormal hippocampal intensity and volume differences were detected in all
patients diagnosed with mesial temporal sclerosis (MTS). Abnormal intensities in
the temporal cortex were identified in patients with no MTS. A laterality score
correctly distinguished left from right TLE in 12 out of 15 patients. CONCLUSION:
The proposed focus on subject-specific quantitative changes has the potential of
improving the assessment of TLE patients using MRI techniques, possibly even
redefining current imaging protocols for TLE.
PMID- 26567094
TI - No development of ciprofloxacin resistance in the Haemophilus species associated
with pneumonia over a 10-year study.
AB - BACKGROUND: The widespread overuse of antibiotics promotes the development of
antibiotic resistance in bacteria, which can cause severe illness and constitutes
a major public health concern. Haemophilus species are a common cause of
community- and nosocomial-acquired pneumonia. The antibiotic resistance of these
Gram-negative bacteria can be prevented through the reduction of unnecessary
antibiotic prescriptions, the correct use of antibiotics, and good hygiene and
infection control. This article examines, retrospectively, antibiotic resistance
in patients with community- and nosocomial-acquired pneumonia caused by
Haemophilus species. METHODS: The demographic, clinical, and laboratory data of
all patients with community- and nosocomial-acquired pneumonia caused by
Haemophilus species were collected from the hospital charts at the HELIOS Clinic,
Witten/Herdecke University, Wuppertal, Germany, within a study period from 2004
to 2014. Antimicrobial susceptibility testing was performed for the different
antibiotics that have been consistently used in the treatment of patients with
pneumonia caused by Haemophilus species. RESULTS: During the study period of
January 1, 2004, to August 12, 2014, 82 patients were identified with community-
and nosocomial-acquired pneumonia affected by Haemophilus species. These patients
had a mean age of 63.8 +/- 15.5 (60 [73.2%, 95% CI 63.6%-82.8%] males and 22
[26.8%, 95% CI 17.2%-36.4%] females). Haemophilus species had a high resistance
rate to erythromycin (38.3%), ampicillin (24.4%), piperacillin (20.8%),
cefuroxime (8.5%), ampicillin-sulbactam (7.3%), piperacillin-sulbactam (4.3%),
piperacillin-tazobactam (2.5%), cefotaxime (2.5%), and levofloxacin (1.6%). In
contrast, they were not resistant to ciprofloxacin in patients with pneumonia (P
= 0.016). CONCLUSION: Haemophilus species were resistant to many of the typically
used antibiotics. Resistance toward ciprofloxacin was not detected in patients
with pneumonia caused by Haemophilus species.
PMID- 26567095
TI - The quest for fragile X biomarkers.
AB - BACKGROUND: Fragile X is the most common form of inherited intellectual
disability and the leading known genetic cause of autism. There is currently no
cure or approved medication for fragile X although various drugs target specific
disease symptoms and a large number of therapeutics are in various stages of
clinical development. Multiple recent clinical trials have failed on their
primary endpoints indicating that there is a compelling need for validated
biomarkers and outcome measures in fragile X. FINDINGS: There are currently no
validated blood-based biomarkers to assess disease severity or to monitor drug
efficacy in fragile X syndrome. Herein, we review candidate blood protein
biomarkers including extracellular-regulated kinase, phosphoinositide 3-kinase,
matrix metalloproteinase 9, amyloid-beta and amyloid-beta protein precursor.
CONCLUSIONS: Bench-to-bedside plans for fragile X syndrome are severely limited
by the lack of validated outcome measures. The reviewed candidate biomarkers are
at early stages of validation and deserve further investigation.
PMID- 26567096
TI - Perinatal programming - myths, fact, and future of research.
AB - BACKGROUND AND FINDINGS: Perinatal programming, i.e., the (epigenetic)
modification of (genetic) functions throughout lifetime, suffers from the notion
of premature theories and difficult and extensive research strategies.
CONCLUSIONS: This mini review aims at depicting 9 current developments and
discusses possible future research strategies.
PMID- 26567097
TI - Monogenic forms of childhood obesity due to mutations in the leptin gene.
AB - Congenital leptin deficiency is a rare autosomal recessive monogenic obesity
syndrome caused by mutations in the leptin gene. This review describes the
molecular and cellular characteristics of the eight distinct mutations found so
far in humans.
PMID- 26567098
TI - Molecular and Cellular Pediatrics.
PMID- 26567099
TI - Renal response to short- and long-term exercise in very-long-chain acyl-CoA
dehydrogenase-deficient (VLCAD(-/-)) mice.
AB - BACKGROUND: Deficiency of very long-chain acyl-CoA dehydrogenase (VLCAD) is the
most common disorder of mitochondrial beta-oxidation of long-chain fatty acids.
In order to maintain glucose homeostasis, the kidney and liver as the main
gluconeogenic organs play an important role under conditions of impaired fatty
acid oxidation. However, little is known about how a defective fatty acid
oxidation machinery affects renal metabolism and function as well as renal energy
supply especially during catabolic situations. METHODS: In this study, we
analyzed VLCAD(-/-) mice under different metabolic conditions such as after
moderate (1 h) and intensive long-term (1 h twice per day over 2 weeks) physical
exercise and after 24 h of fasting. We measured the oxidation rate of palmitoyl
CoA (C16-CoA) as well as the expression of genes involved in lipogenesis and
renal failure. Oxidative stress was assessed by the function of antioxidant
enzymes. Moreover, we quantified the content of glycogen and long-chain
acylcarnitines in the kidney. RESULTS: We observed a significant depletion in
renal glycogen with a concomitant reduction in long-chain acylcarnitines,
suggesting a substrate switch for energy production and an optimal compensation
of impaired fatty acid oxidation in the kidney. In fact, the mutants did not show
any signs of oxidative stress or renal failure under catabolic conditions.
CONCLUSIONS: Our data demonstrate that despite Acadvl ablation, the kidney of
VLCAD(-/-) mice fully compensates for impaired fatty acid oxidation by enhanced
glycogen utilization and preserves renal energy metabolism and function.
PMID- 26567100
TI - Matrix metalloproteinases and epileptogenesis.
AB - Matrix metalloproteinases are vital drivers of synaptic remodeling in health and
disease. It is suggested that at early stages of epileptogenesis, inhibition of
matrix metalloproteinases may help ameliorate cell death, aberrant network
rewiring, and neuroinflammation and prevent development of epilepsy.
PMID- 26567101
TI - Mechanisms of hypothermia-induced cell protection in the brain.
AB - Therapeutic hypothermia is an effective cytoprotectant and promising intervention
shown to improve outcome in patients following cardiac arrest and neonatal
hypoxia-ischemia. However, despite our clinical and experimental experiences, the
protective molecular mechanisms of therapeutic hypothermia remain to be
elucidated. Therefore, in this brief overview we discuss both the clinical
evidence and molecular mechanisms of therapeutic hypothermia in order to provide
further insights into this promising intervention.
PMID- 26567102
TI - Are microRNAs suitable biomarkers of immunity to tuberculosis?
AB - BACKGROUND: MicroRNAs (miRNAs) are crucial regulators of human immunity e.g.
against Mycobacterium tuberculosis. Against the background of still alarming high
mortality of tuberculosis effective biomarkers to improve diagnosis of M.
tuberculosis infection and successful treatment are of major importance.
CONCLUSIONS: This review summarizes recent surrogate tissue studies for
identification of miRNA biomarker candidates in human tuberculosis with a special
focus on reproducibility and conformance. In addition we provide assistance for
the design of biomarker studies to circumvent major pitfalls.
PMID- 26567103
TI - Fanconi anemia: young patients at high risk for squamous cell carcinoma.
AB - BACKGROUND: Fanconi anemia is one of the best studied inherited cancer-prone
diseases. Greatly improved protocols for hematopoietic stem cell transplantation
increasingly save the lives of these young patients. However, in both
transplanted and not transplanted patients, the emergence of aggressive squamous
cell carcinoma represents a major medical challenge. CONCLUSIONS: This mini
review summarizes current knowledge about the pathogenesis of squamous cell
carcinoma (SCC) in the special context of Fanconi anemia.
PMID- 26567104
TI - Cancer therapy: know your enemy?
AB - BACKGROUND: Most cancer therapies are devised for adult or even elder patients.
However, when dealing with pediatric cancers, additional considerations are
needed. CONCLUSIONS: This review discusses non-classic components of tumors and
highlights possible treatment approaches which might be of particular benefit for
children and adolescents.
PMID- 26567105
TI - Proteostasis in pediatric pulmonary pathology.
AB - Protein homeostasis describes the tight supervision of protein synthesis, correct
protein maturation and folding, as well as the timely disposal of unwanted and
damaged proteins by the ubiquitin-proteasome pathway or the lysosome-autophagy
route. The cellular processes involved in preservation of protein homeostasis are
collectively called proteostasis. Dysregulation of proteostasis is an emerging
common pathomechanism for chronic lung diseases in the adult and aged patient.
There is also rising evidence that impairment of protein homeostasis contributes
to early sporadic disease onset in pediatric lung diseases beyond the well-known
hereditary proteostasis disorders such as cystic fibrosis and alpha-1 antitrypsin
(AAT) deficiency. Identifying the pathways that contribute to impaired
proteostasis will provide new avenues for therapeutic interference with the
pathogenesis of chronic lung diseases in the young and adult. Here, we introduce
the concept of proteostasis and summarize available evidence on dysregulation of
proteostasis pathways in pediatric and adult chronic lung diseases.
PMID- 26567107
TI - The Crucial Role of Cyclin-Dependent Kinase-5-Ataxia-Telangiectasia Mutated Axis
in ICH-Induced Neuronal Injury of Rat Model.
AB - Cyclin-dependent kinase 5 (CDK5) and ataxia-telangiectasia mutated (ATM) are
involved in normal human neurodevelopment and serves as a switch between neuronal
survival and death. However, the molecular mechanisms underlying CDK5-ATM-induced
neuronal injury caused by intracerebral hemorrhage (ICH) remain unclear. In this
work, we used rat ICH models and thrombin-induced cell models to investigate the
potential role of CDK5-ATM signals. Our findings revealed that CDK5 protein
levels and kinase activities (p-histone H1 expression) were enforced in hematoma
surrounding neuron tissues following ICH. Besides, the expression of p25, p-ATM,
and active caspase-3 protein was also upregulated after ICH. According to in
vitro assays, the expression of CDK5, p-ATM, and active caspase-3 was all
upregulated in cell viability-decreasing ICH cell models. However, blocking of
either CDK5 or ATM suppressed the phosphorylation of ATM and the expression of
active caspase-3, and attenuated the inhibition of neuronal survival. When
p35/p25 was silenced, CDK5-ATM pathway was further inhibited, and cell viability
was obviously ameliorated. In conclusion, this work suggested that ATM could be
phosphorylated by CDK5 to induce the active caspase-3 and neuronal injury when
intracerebral hemorrhage or ischemia occurred. Thus, the CDK5-AMT signal pathway
has an important role in ICH process and may be a therapeutic target to prevent
brain injury.
PMID- 26567108
TI - A Systematic RNAi Screen of Neuroprotective Genes Identifies Novel Modulators of
Alpha-Synuclein-Associated Effects in Transgenic Caenorhabditis elegans.
AB - Parkinson's disease (PD) is the second most common progressive neurodegenerative
disorder, defined clinically by degeneration of dopaminergic neurons and the
development of neuronal Lewy bodies. Current treatments of PD are inadequate due
to a limited understanding of molecular events of the disease, thus calling for
intense research efforts towards identification of novel therapeutic targets. We
carried out the present studies towards identifying novel genetic modulators of
PD-associated effects employing a transgenic Caenorhabditis elegans model
expressing human alpha-synuclein. Employing a systematic RNA interference (RNAi)
based screening approach, we studied a set of neuroprotective genes of C. elegans
with an aim of identifying genes that exhibit protective function under alpha
synuclein expression conditions. Our results reveal a novel set of alpha
synuclein effector genes that modulate alpha-synuclein aggregation and associated
effects. The identified genes include those from various gene families including
histone demethylase, lactate dehydrogenase, small ribosomal subunit SA protein,
cytoskeletal protein, collapsin response mediator protein, and choline kinase.
The functional characterization of these genes reveals involvement of signaling
mechanisms such as Daf-16 and acetylcholine signaling. Further elucidation of
mechanistic pathways associated with these genes will yield additional insights
into mediators of alpha-synuclein-induced cytotoxicity and cell death, thereby
helping in the identification of potential therapeutic targets for PD.
PMID- 26567109
TI - Synaptically Localized Mitogen-Activated Protein Kinases: Local Substrates and
Regulation.
AB - Mitogen-activated protein kinases (MAPKs) are expressed in postmitotic neurons
and act as important regulators in intracellular signaling. In addition to their
nuclear distribution and roles in regulating gene expression, MAPKs, especially
the extracellular signal-regulated kinase (ERK) subclass, reside in peripheral
dendritic spines and synapses, including the postsynaptic density (PSD)
microdomain. This peripheral pool of MAPKs/ERKs is either constitutively active
or sensitive to changing synaptic input. Active MAPKs directly interact with and
phosphorylate local substrates to alter their trafficking and
subcellular/subsynaptic distributions, through which MAPKs regulate function of
substrates and contribute to long-lasting synaptic plasticity. A number of
physiologically relevant substrates of MAPKs have been identified at synaptic
sites. Central among them are key synaptic scaffold proteins (PSD-95 and PSD-93),
cadherin-associated proteins (delta-catenin), Kv4.2 K+ channels, and metabotropic
glutamate receptors. Through a reversible phosphorylation event, MAPKs rapidly
and efficiently modulate the function of these substrates and thus determine the
strength of synaptic transmission. This review summarizes the recent progress in
cell biology of synaptic MAPKs and analyzes roles of this specific pool of MAPKs
in regulating local substrates and synaptic plasticity.
PMID- 26567110
TI - Lysophosphatidylcholine Drives Neuroblast Cell Fate.
AB - Neuronal differentiation plays a key role during embryogenesis. However, based on
the capacity of neuronal stem cells to either generate or regenerate neurons and
because differentiation stops aberrant neuroblasts proliferation, neuronal
differentiation is crucial during neuropathological conditions. Although
phosphatidylcholine (PtdCho) has been proposed as an important molecule for
neurite growth and neuronal regeneration, the identity of the molecular target
has remained elusive. This study originally describes that
lysophosphatidylcholine (LPtdCho), either exogenously supplied or generated by
the imbalance of PtdCho metabolism through the enzymatic action of cytosolic
phospholipase A2, acts as a neurotrophic-like factor. We demonstrated that
LPtdCho induces neuronal differentiation by activation of the small G protein Ras
followed by the Raf/MEK/ERK signaling pathway. Accordingly, LPtdCho redirects
neuroblasts gene expression leading to the generation of functional mature
neurons expressing betaIII-tubulin and having increased acetylcholinesterase
activity and membrane biosynthesis required for neuritogenesis. These findings
provide mechanistic details of the role of cytidine-5-diphosphocholine (CDP
choline) and PtdCho as neuroprotectors. Furthermore, as LPtdCho recapitulates the
effect of the therapeutic agent retinoic acid, these results open new avenues for
drug discovery for the treatment of neuropathological conditions.
PMID- 26567111
TI - Tumor-associated Endo180 requires stromal-derived LOX to promote metastatic
prostate cancer cell migration on human ECM surfaces.
AB - The diverse composition and structure of extracellular matrix (ECM) interfaces
encountered by tumor cells at secondary tissue sites can influence metastatic
progression. Extensive in vitro and in vivo data has confirmed that metastasizing
tumor cells can adopt different migratory modes in response to their
microenvironment. Here we present a model that uses human stromal cell-derived
matrices to demonstrate that plasticity in tumor cell movement is controlled by
the tumor-associated collagen receptor Endo180 (CD280, CLEC13E, KIAA0709, MRC2,
TEM9, uPARAP) and the crosslinking of collagen fibers by stromal-derived lysyl
oxidase (LOX). Human osteoblast-derived and fibroblast-derived ECM supported a
rounded 'amoeboid-like' mode of cell migration and enhanced Endo180 expression in
three prostate cancer cell lines (PC3, VCaP, DU145). Genetic silencing of Endo180
reverted PC3 cells from their rounded mode of migration towards a bipolar
'mesenchymal-like' mode of migration and blocked their translocation on human
fibroblast-derived and osteoblast-derived matrices. The concomitant decrease in
PC3 cell migration and increase in Endo180 expression induced by stromal LOX
inhibition indicates that the Endo180-dependent rounded mode of prostate cancer
cell migration requires ECM crosslinking. In conclusion, this study introduces a
realistic in vitro model for the study of metastatic prostate cancer cell
plasticity and pinpoints the cooperation between tumor-associated Endo180 and the
stiff microenvironment imposed by stromal-derived LOX as a potential target for
limiting metastatic progression in prostate cancer.
PMID- 26567112
TI - Enhancing quality and integrity in biomedical research in Africa: an
international call for greater focus, investment and standardisation in capacity
strengthening for frontline staff.
AB - The integrity of biomedical research depends heavily on the quality of research
data collected. In turn, data quality depends on processes of data collection, a
task undertaken by frontline research staff in many research programmes in Africa
and elsewhere. These frontline research staff often have additional
responsibilities including translating and communicating research in local
languages, seeking informed consent for study participation and maintaining
supportive relationships between research institutions and study participants and
wider communities. The level of skills that fieldworkers need to undertake these
responsibilities clearly affects the quality of data collected, the ethics of
research 'on the ground' and the short and long term acceptability of research.We
organised an international workshop in Kenya in July 2014 to discuss the role of
frontline staff in scientific research. A total of 25 field managers from 9
African countries and the UK met for 2.5 days to discuss the relationship between
data quality and institutional performance management systems and how they affect
career progression and supportive supervision policies of research frontline
staff.From this workshop, and supporting an expanding literature on the role of
fieldworkers in international health research, participants agreed that
fieldworkers' roles present them with practical and ethical challenges that their
routine training does not adequately prepare them for. We argue that the common
and complex challenges facing fieldworkers should in part be addressed through
increased investment and collaborative agreements across types of research
institutions in Africa. We call for standardization of core elements of training
for this critically important cadre of research staff who perform similar roles
and encounter similar challenges in many African settings. Although many valuable
training elements are offered in institutions, there is a need to develop
broader, more grounded and innovative strategies to address complex realities for
fieldworkers, and support the integrity and ethics of health research in these
settings.
PMID- 26567113
TI - Phase 1 Evaluation of [(64)Cu]DOTA-Patritumab to Assess Dosimetry, Apparent
Receptor Occupancy, and Safety in Subjects with Advanced Solid Tumors.
AB - PURPOSE: The purpose of this study was to evaluate the safety, dosimetry, and
apparent receptor occupancy (RO) of [(64)Cu]DOTA-patritumab, a radiolabeled
monoclonal antibody directed against HER3/ERBB3 in subjects with advanced solid
tumors. PROCEDURES: Dosimetry subjects (n = 5) received [(64)Cu]DOTA-patritumab
and underwent positron emission tomography (PET)/X-ray computed tomography (CT)
at 3, 24, and 48 h. Evaluable RO subjects (n = 3 out of 6) received [(64)Cu]DOTA
patritumab at day 1 and day 8 (after 9.0 mg/kg patritumab) followed by PET/CT at
24 h post-injection. Endpoints included safety, tumor uptake, and efficacy.
RESULTS: The tumor SUVmax (+/- SD) was 5.6 +/- 4.5, 3.3 +/- 1.7, and 3.0 +/- 1.1
at 3, 24, and 48 h in dosimetry subjects. The effective dose and critical organ
dose (liver) averaged 0.044 +/- 0.008 mSv/MBq and 0.46 +/- 0.086 mGy/MBq,
respectively. In RO subjects, tumor-to-blood ratio decreased from 1.00 +/- 0.32
at baseline to 0.57 +/- 0.17 after stable patritumab, corresponding to a RO of
42.1 +/- 3. CONCLUSIONS: [(64)Cu]DOTA-patritumab was safe. These limited results
suggest that this PET-based method can be used to determine tumor-apparent RO.
PMID- 26567115
TI - Systemic treatment in breast cancer: a primer for radiologists.
AB - Cytotoxic chemotherapy, hormonal therapy and molecular targeted therapy are the
three major classes of drugs used to treat breast cancer. Imaging modalities such
as computed tomography (CT), magnetic resonance imaging (MRI), (18)F-FDG positron
emission tomography (PET)/CT and bone scintigraphy each have a distinct role in
monitoring response and detecting drug toxicities associated with these
treatments. The purpose of this article is to elucidate the various systemic
therapies used in breast cancer, with an emphasis on the role of imaging in
assessing treatment response and detecting treatment-related toxicities. TEACHING
POINTS: * Cytotoxic chemotherapy is often used in combination with HER2-targeted
and endocrine therapies. * Endocrine and HER2-targeted therapies are recommended
in hormone-receptor- and HER2-positive cases. * CT is the workhorse for
assessment of treatment response in breast cancer metastases. * Alternate
treatment response criteria can help in interpreting pseudoprogression in
metastasis. * Unique toxicities are associated with cytotoxic chemotherapy and
with endocrine and HER2-targeted therapies.
PMID- 26567114
TI - [(11)C]acetate PET Imaging is not Always Associated with Increased Lipogenesis in
Hepatocellular Carcinoma in Mice.
AB - PURPOSE: Altered metabolism, including increased glycolysis and de novo
lipogenesis, is one of the hallmarks of cancer. Radiolabeled nutrients, including
glucose and acetate, are extensively used for the detection of various tumors,
including hepatocellular carcinomas (HCCs). High signal of [(11)C]acetate
positron emission tomography (PET) in tumors is often considered to be associated
with increased expression of fatty acid synthase (FASN) and increased de novo
lipogenesis in tumor tissues. Defining a subset of tumors with increased
[(11)C]acetate PET signal and thus increased lipogenesis was suggested to help
select a group of patients, who may benefit from lipogenesis-targeting therapies.
PROCEDURES: To investigate whether [(11)C]acetate PET imaging is truly associated
with increased de novo lipogenesis along with hepatocarcinogenesis, we performed
[(11)C]acetate PET imaging in wild-type mice as well as two mouse HCC models,
induced by myrAKT/Ras(V12) (AKT/Ras) and PIK3CA(1047R)/c-Met (PI3K/Met) oncogene
combinations. In addition, we analyzed FASN expression and de novo lipogenesis
rate in these mouse liver tissues. RESULTS: We found that while HCCs induced by
AKT/Ras co-expression showed high levels of [(11)C]acetate PET signal compared to
normal liver, HCCs induced by PI3K/Met overexpression did not. Intriguingly,
elevated FASN expression and increased de novo lipogenesis rate were observed in
both AKT/Ras and PI3K/Met HCCs. CONCLUSION: Altogether, our study suggests that
[(11)C]acetate PET imaging can be a useful tool for imaging of a subset of HCCs.
However, at molecular level, the increased [(11)C]acetate PET imaging is not
always associated with increased FASN expression or de novo lipogenesis.
PMID- 26567116
TI - End-of-life decision-making of terminally ill cancer patients in a tertiary
cancer center in Shanghai, China.
AB - PURPOSE: Different countries have various decision-making practices, which are
formalized according to laws, rules, traditions, religious beliefs, and ethical
views of different cultural backgrounds. We investigated the characteristics and
factors associated with the decision-making details in terminally ill cancer
patients in a tertiary cancer center in Shanghai, China. METHOD: A single center,
retrospective study was performed among advanced cancer patients who died between
March 2007 and December 2013 in ward at Palliative Care Unit, Fudan University
Shanghai Cancer Center. RESULTS: Of 436 patients' end-of-life (EOL) discussions,
424 (97.2 %) occurred between family caregivers and physicians. The main decision
maker was in the following order: spouse (45.6 %), offsprings (44.3 %), parents
(3.2 %), son-/daughter-in-law (1.8 %), and relatives (1.4 %). Two hundred twenty
one (47.3 %) patients received at least one of six life-sustaining treatments.
One hundred eighty-four (40.4 %) patients continued artificial nutrition and
hydration (ANH) until death. Cardiopulmonary resuscitation (CPR) was performed in
26 patients (6.0 %). Two hundred fourteen (49.1 %) patients received vasopressors
before death. Only two patients received mechanical ventilation and only one
patient received tracheostomy. The median time interval since the decision made
till death was 20.17 h (95 % CI = 18.94-21.40, range 4.3 to 70.2 h). Patients who
were older than 65 years old were less likely to undergo an intensive procedure
(AOR = 0.559, 95 % CI = 0.367-0.852, p = 0.007). Patients living in urban
settings (AOR = 2.177, 95 % CI = 1.398-3.390, p = 0.001) were more likely to
undergo an intensive procedure in the EOL period. CONCLUSIONS: This study
reflected some Chinese characteristics for decision-making at the end of life
among advanced cancer patients. More prospective studies focused on specific EOL
issues are required to improve the quality of EOL care.
PMID- 26567117
TI - A recurrent perivascular epithelioid cell tumor of sigmoid colon with pancreatic
metastasis: an extremely rare case report and review of the literature.
PMID- 26567118
TI - Functional diversity of stromal cell-derived factor 1 splice variants in
colorectal cancer and melanoma.
PMID- 26567119
TI - Characterization of zinc transporter 8 (ZnT8) antibodies in autoimmune diabetic
patients from Argentinian population using monomeric, homodimeric, and
heterodimeric ZnT8 antigen variants.
AB - OBJECTIVE: In order to gain further knowledge of the structure of zinc
transporter 8 (ZnT8) epitopes, we studied the role of the amino acid at position
325 in the antigen and its dimeric conformation for autoantibodies to ZnT8
(ZnT8A) recognition. METHODS: For this purpose, several ZnT8 C-terminal domain
variants were designed: monomer carrying Arg325 or Trp325, homo-dimers ZnT8-Arg
Arg325 and ZnT8-Trp-Trp325, and hetero-dimer ZnT8-Arg-Trp325. Two groups of
Argentinian diabetic patients were subjected to analysis using [(35)S]-ZnT8
variants by radioligand binding assay (RBA): i) 100 new-onset, insulin-dependent,
type 1 diabetic patients and ii) 282 slowly progressing to insulin requirement,
non-obese adult-onset diabetic patients. In addition, 50 type 1 diabetic patients
and 100 normal control sera provided by the American Diabetes Association (ADA)
were evaluated in order to calculate the sensitivity and specificity of ZnT8A
assays for each antigenic variant. Other routine beta-cell autoantibodies were
also tested by RBA. RESULTS: Of the 100 Argentinian type 1 diabetic patients, 65
were ZnT8A+. Out of them, 8 patients recognized all recombinant forms of ZnT8 and
most patients (56) reacted against the heterodimer. Additionally, out of 282 non
obese adult-onset diabetic patients 46 were ZnT8A+, whereas 29 patients
recognized only dimers. Besides, exclusive reactivity against ZnT8A was found in
9.0% for type 1 diabetes mellitus and 10.3% for non-obese adult-onset diabetic
patients. CONCLUSIONS: Significantly higher signal values in RBA were obtained
with the heterodimeric variant. An increased detection of humoral autoimmunity
was found in both groups when ZnT8A was employed in combination with the other
beta-cell autoantibodies. The inclusion of homodimeric immunoreactive peptides
revealed the existence of quaternary structure-defined epitopes probably
resembling the actual state of the autoantigen in vivo. Finally, the differential
profiles of ZnT8A exhibited by type 1 and non-obese adult-onset diabetic patients
suggest the different nature of autoimmune processes underlying both pathologies.
PMID- 26567121
TI - Nail changes.
PMID- 26567120
TI - Early blood pressure, antihypotensive therapy and outcomes at 18-22 months'
corrected age in extremely preterm infants.
AB - OBJECTIVE: To investigate the relationships between early blood pressure (BP)
changes, receipt of antihypotensive therapy and 18-22 months' corrected age (CA)
outcomes for extremely preterm infants. DESIGN: Prospective observational study
of infants 23(0/7)-26(6/7) weeks' gestational age (GA). Hourly BP values and
antihypotensive therapy exposure in the first 24 h were recorded. Four groups
were defined: infants who did or did not receive antihypotensive therapy in whom
BP did or did not rise at the expected rate (defined as an increase in the mean
arterial BP of >=5 mm Hg/day). Random-intercept logistic modelling controlling
for centre clustering, GA and illness severity was used to investigate the
relationship between BP, antihypotensive therapies and infant outcomes. SETTING:
Sixteen academic centres of the Eunice Kennedy Shriver National Institute of
Child Health and Human Development Neonatal Research Network. MAIN OUTCOME
MEASURES: Death or neurodevelopmental impairment/developmental delay (NIDD) at 18
22 months' CA. RESULTS: Of 367 infants, 203 (55%) received an antihypotensive
therapy, 272 (74%) survived to discharge and 331 (90%) had a known outcome at 18
22 months' CA. With logistic regression, there was an increased risk of
death/NIDD with antihypotensive therapy versus no treatment (OR 1.836, 95% CI
1.092 to 3.086), but not NIDD alone (OR 1.53, 95% CI 0.708 to 3.307).
CONCLUSIONS: Independent of early BP changes, antihypotensive therapy exposure
was associated with an increased risk of death/NIDD at 18-22 months' CA when
controlling for risk factors known to affect survival and neurodevelopment.
CLINICAL TRIAL REGISTRATION NUMBER: clinicaltrials.gov #NCT00874393.
PMID- 26567122
TI - Prospective motion correction and selective reacquisition using volumetric
navigators for vessel-encoded arterial spin labeling dynamic angiography.
AB - PURPOSE: The aim of this study was to improve robustness to motion in a vessel
encoded angiography sequence used for patient scans. The sequence is particularly
sensitive to motion between imaging segments, which causes ghosting and blurring
that propagates to the final angiogram. METHODS: Volumetric echo planar imaging
(EPI) navigators acquired in 275 ms were inserted after the imaging readout in a
vessel-encoded pseudo-continuous arterial spin labeling (VEPCASL) sequence. The
effects of movement between segments on the images were tested with phantom
experiments. Deliberate motion experiments with healthy volunteers were performed
to compare prospective motion correction (PMC) with reacquisition versus no
correction. RESULTS: In scans without motion, the addition of the EPI navigator
to the sequence did not affect the quality of the angiograms in comparison with
the original sequence. PMC and reacquisition improved the visibility of vessels
in the angiograms compared with the scans without correction. The reacquisition
strategy was shown to be important for complete correction of imaging artifacts.
CONCLUSION: We have demonstrated an effective method to correct motion in vessel
encoded angiography. For reacquisition of 15 segments, the technique requires
approximately 30 s of additional scanning (~25%). Magn Reson Med 76:1420-1430,
2016. (c) 2015 International Society for Magnetic Resonance in Medicine.
PMID- 26567124
TI - Overweight and obesity in India: policy issues from an exploratory multi-level
analysis.
AB - This article analyses a nationally representative household dataset-the National
Family Health Survey (NFHS-3) conducted in 2005 to 2006-to examine factors
influencing the prevalence of overweight/obesity in India. The dataset was
disaggregated into four sub-population groups-urban and rural females and males
and multi-level logit regression models were used to estimate the impact of
particular covariates on the likelihood of overweight/obesity. The multi-level
modelling approach aimed to identify individual and macro-level contextual
factors influencing this health outcome. In contrast to most studies on low
income developing countries, the findings reveal that education for females
beyond a particular level of educational attainment exhibits a negative
relationship with the likelihood of overweight/obesity. This relationship was not
observed for males. Muslim females and all Sikh sub-populations have a higher
likelihood of overweight/obesity suggesting the importance of socio-cultural
influences. The results also show that the relationship between wealth and the
probability of overweight/obesity is stronger for males than females highlighting
the differential impact of increasing socio-economic status on gender. Multi
level analysis reveals that states exerted an independent influence on the
likelihood of overweight/obesity beyond individual-level covariates, reflecting
the importance of spatially related contextual factors on overweight/obesity.
While this study does not disentangle macro-level 'obesogenic' environmental
factors from socio-cultural network influences, the results highlight the need to
refrain from adopting a 'one size fits all' policy approach in addressing the
overweight/obesity epidemic facing India. Instead, policy implementation requires
a more nuanced and targeted approach to incorporate the growing recognition of
socio-cultural and spatial contextual factors impacting on healthy behaviours.
PMID- 26567123
TI - Incidence, characteristics and impact of hypoglycaemia in patients receiving
intensified treatment for inadequately controlled type 2 diabetes mellitus.
AB - AIMS: With the intensification of antidiabetic treatment, there is an increasing
risk of hypoglycaemia. We aimed to determine incidence, characteristics and
outcomes. METHODS: Prospective, observational, multicenter cohort study. The
included 3810 patients with type 2 diabetes had their treatment intensified at
baseline. RESULTS: The incidence of hypoglycaemia was 11.4% per year with 4.2 +/-
4.4 episodes per patient. Hypoglycaemia was more frequent in patients with high
blood glucose variability. Predictors were heart failure (odds ratio: 1.66; 95%
confidence interval: 1.20-2.29) and insulin use (odds ratio: 4.03; 95% confidence
interval: 3.05-5.33), with dipeptidyl peptidase-4 inhibitors being associated
with reduced risk (odds ratio: 0.69; 95% confidence interval: 0.53-0.89).
Macrovascular events were more frequent among patients reporting severe episodes
of hypoglycaemia (odds ratio: 3.39; 95% confidence interval: 1.32-8.73).
Microvascular events were more frequent in patients with non-severe episodes
(odds ratio: 1.92; 95% confidence interval: 1.49-2.49). CONCLUSION: Case-by-case
evaluation of patients as well as appropriate selection of antidiabetic
pharmacotherapy and blood glucose treatment goals could maximize the benefits
while reducing the risks of antidiabetic treatment.
PMID- 26567125
TI - Population-based outcomes research on treatment patterns and impact of
chemotherapy in older patients with metastatic gastric cancer.
AB - PURPOSE: Older patients with cancer are underrepresented in clinical trials, and
thus, limited data are available on older patients with metastatic gastric cancer
(MGC). This study was conducted to assess patterns of care, and outcomes of
chemotherapy and its predictors, in older patients with MGC. METHODS: Using the
Korean Health Insurance Review and Assessment Service (HIRA) database, a
nationwide population-based outcomes study was conducted. From the HIRA database,
patients newly diagnosed with MGC in 2010 were identified (N = 1871). RESULTS:
Patients aged >=70 years were defined as older patients (N = 792) and those aged
<70 years as younger patients (N = 1079). Compared with younger patients, fewer
older patients were diagnosed with MGC and received cancer treatment at high
volume medical institutions. Palliative chemotherapy was less frequently
administered in older patients than in younger patients. In multivariate
analyses, although combination chemotherapy as the first-line treatment (vs.
monotherapy) and salvage chemotherapy after first-line chemotherapy (vs. no
salvage chemotherapy) conferred more prolonged survival in the entire patient
population, first-line combination chemotherapy did not provide an additional
survival benefit in older patients compared to monotherapy. CONCLUSIONS: We found
several barriers for older patients in approaching high-quality medical resources
or receiving appropriate treatments. Although age was not an independent
prognostic factor for survival in MGC, palliative chemotherapy was less
frequently used in older patients. In our analysis, combination chemotherapy as
the first-line treatment did not provide an additional benefit to older patients
compared to monotherapy.
PMID- 26567126
TI - Automated Carbon Dioxide Angiography for the Evaluation and Endovascular
Treatment of Diabetic Patients With Critical Limb Ischemia.
AB - PURPOSE: To test the safety, efficacy, and diagnostic accuracy of automated
carbon dioxide (CO2) angiography (ACDA) for the evaluation of diabetic patients
with critical limb ischemia (CLI) and baseline renal insufficiency and compare
ACDA with iodinated contrast medium (ICM) during endovascular treatment. METHODS:
From November 2014 to January 2015, 36 consecutive diabetic patients (mean age
74.8+/-5.8 years; 27 men) with stage >=3 chronic kidney disease (CKD >=3) and CLI
underwent lower limb angiography with both CO2 and ICM followed by balloon
angioplasty in a prospective single-center study. The primary outcome measure was
the safety and efficacy of ACDA as the exclusive agent to guide angioplasty in
this cohort. The secondary outcomes were the safety and diagnostic accuracy of
ACDA injection as compared with ICM digital subtraction angiography (DSA) for
invasive evaluation of these patients. RESULTS: ACDA safely and effectively
guided angioplasty in all patients without complications. Transcutaneous oxygen
pressure improved from 11.8+/-6.3 to 58.4+/-7.6 mm Hg (p<0.001). There were no
complications related to ACDA during diagnostic imaging and no significant
changes in the estimated glomerular filtration rate from baseline to 24 hours
(44.7+/-13.3 vs 47.0+/-0.8 mL/min/1.73 m(2); nonsignificant). The diagnostic
accuracy of CO2 was 89.8% (sensitivity 92.3%; specificity 75%; positive
predictive value 95.5%; negative predictive value 63.1%). There was no
statistically significant difference in the qualitative diagnostic accuracy
between the media (p=0.197). CONCLUSION: ACDA is an accurate, safe, and effective
technique that can be utilized to guide endovascular interventions in diabetics
with CLI and baseline CKD >=3. Larger multicenter randomized studies are needed
to validate these results.
PMID- 26567127
TI - Monitoring Web Site Usage of e-Bug: A Hygiene and Antibiotic Awareness Resource
for Children.
AB - BACKGROUND: e-Bug is an educational resource which teaches children and young
people about microbes, hygiene, infection, and prudent antibiotic use. The e-Bug
resources are available in over 22 different languages and they are used widely
across the globe. The resources can be accessed from the e-Bug website.
OBJECTIVE: The objective of this study was to analyze the usage of the e-Bug
website in order to understand how users access the website, where and when they
access the site, and to review variation in use across the different areas of the
site. METHODS: The usage statistics for the e-Bug website were monitored by
Google Analytics between September 2010 and August 2013. RESULTS: The statistics
show the website had over 324,000 visits during the three years, from just under
250,000 visitors, with the number of visitors increasing year after year.
Visitors accessed the website from 211 different countries, with more than
267,000 documents downloaded. The majority of visitors were from the United
Kingdom and visited the English website, although countries such as France and
Portugal were also frequent visitors. CONCLUSIONS: These website statistics
confirm that e-Bug is frequently used across Europe and highlight that e-Bug use
has expanded across the world. The findings from this report will be used to
inform future modifications or updates to the materials, as well as the
development of new educational resources.
PMID- 26567129
TI - Evaluation of the effectiveness of progressive disclosure questions as an
assessment tool for knowledge and skills in a problem based learning setting
among third year medical students at The University of The West Indies, Trinidad
and Tobago.
AB - BACKGROUND: At the University of the West Indies, Trinidad and Tobago, third year
undergraduate teaching is a hybrid of problem-based learning (PBL) and didactic
lectures. PBL discourages students from simply getting basic factual knowledge
but encourages them to integrate these basic facts with clinical knowledge and
skills. Recently progressive disclosure questions (PDQ) also known as modified
essay questions (MEQs) were introduced as an assessment tool which is reported to
be in keeping with the PBL philosophy. OBJECTIVE: To describe the effectiveness
of the PDQ as an assessment tool in a course that integrates the sub-specialties
of Anatomical Pathology, Chemical Pathology, Haematology, Immunology,
Microbiology, Pharmacology and Public Health. METHODS: A descriptive analysis of
examination questions in PDQs, and the students' performance in these
examinations was performed for the academic years 2011-2012, 2012-2013, and 2013
2014 in one-third year course that integrates Anatomical Pathology, Chemical
Pathology, Haematology, Immunology, Microbiology, Pharmacology and Public Health.
RESULTS: The PDQs reflected real life scenarios and were composed of questions of
different levels of difficulty by Blooms' Taxonomy, from basic recall through
more difficult questions requiring analytical, interpretative and problem solving
skills. The integrated PDQs in the years 2011-2012, 2012-2013, 2013-2014
respectively was 52.9, 52.5, 58% simple recall of facts. By sub-specialty this
ranged from 26.7 to 100%, 18.8 to 70%, and 23.1 to 100% in the 3 years
respectively. The rest required higher order cognitive skills. For some sub
specialties, students' performance was better where the examination was mostly
basic recall, and was poorer where there were more higher-order questions. The
different sub-specialties had different percentages of contribution in the
integrated examinations ranging from 4% in Public health to 22.9% in Anatomical
Pathology. CONCLUSION: The PDQ asked students questions in an integrated fashion
in keeping with the PBL process. More care should be taken to ensure appropriate
questions are included in the examinations to assess higher order cognitive
skills. However in an integrated course, some sub-specialties may not have
content requiring higher cognitive level questions in certain clinical cases.
More care should be taken in choosing clinical cases that integrate all the sub
specialties.
PMID- 26567128
TI - A charter for biomedical research ethics in a progressive, caring society.
AB - BACKGROUND: Given that advances in research continuously raise new ethical
issues, a multidisciplinary working group of investigators involved in biomedical
research has gathered to discuss and compare ethical viewpoints in their daily
practice. METHODS: The working group has drafted a Charter for Ethics in
Biomedical Research that encompasses all the steps in the research process, i.e.
from the initial idea to analysis and publication of the results. RESULTS: Based
on key principles for ethically responsible research, the Charter may serve as a
tool for performing research, discussing research issues and training
researchers. CONCLUSIONS: The Charter should stimulate researchers to think about
their responsibility for research in a progressive, caring society.
PMID- 26567130
TI - Testing the association between human mid-facial morphology and climate using
autosomal, mitochondrial, Y chromosomal polymorphisms and cranial non-metrics.
AB - OBJECTIVES: To figure out which and how many systems of genetic markers should be
used to control for the effects of shared population history in studies examining
the association between morphology and climate and to test cranial non-metric
traits as an additional source of neutral distances for such studies. MATERIALS
AND METHODS: We employed three systems of genetic markers (mtDNA, Y-chromosome
and autosomal SNPs) and cranial non-metrics to control for potential impact of
population history on apparent associations between climatic variables and mid
facial morphology found in a set of seven North Asian and one East Asian
populations. RESULTS: A significant association between morphology and climate
remained, independent of which of the four neutral distance matrices were used as
a control. Matrices of neutral distances based on different systems of genetic
markers show just one case of significant correlation among each other namely
between the mtDNA and autosomal SNPs matrices. The correlation between the
autosomal SNP and cranial non-metrics matrices is also fairly high but does not
reach significance. DISCUSSION: A combination of several sources of genetic
information could provide a more robust control for the effect of shared
population history compared to just one type of markers since each of them has
its own sources of bias and each provides a slightly different view of genetic
relationships among the populations. Use of cranial non-metrics in researches
examining the association between morphology and climate appears promising as
they produce results that are generally consistent with those obtained using
genetic markers.
PMID- 26567131
TI - Readily Accessible Multiplane Microscopy: 3D Tracking the HIV-1 Genome in Living
Cells.
AB - Human immunodeficiency virus (HIV)-1 infection and the associated disease AIDS
are a major cause of human death worldwide with no vaccine or cure available. The
trafficking of HIV-1 RNAs from sites of synthesis in the nucleus, through the
cytoplasm, to sites of assembly at the plasma membrane are critical steps in HIV
1 viral replication, but are not well characterized. Here we present a broadly
accessible microscopy method that captures multiple focal planes simultaneously,
which allows us to image the trafficking of HIV-1 genomic RNAs with high
precision. This method utilizes a customization of a commercial multichannel
emission splitter that enables high-resolution 3D imaging with single
macromolecule sensitivity. We show with high temporal and spatial resolution that
HIV-1 genomic RNAs are most mobile in the cytosol, and undergo confined mobility
at sites along the nuclear envelope and in the nucleus and nucleolus. These
provide important insights regarding the mechanism by which the HIV-1 RNA genome
is transported to the sites of assembly of nascent virions.
PMID- 26567134
TI - Sun shows how not to report a case of HIV.
PMID- 26567133
TI - HD5 and HBD1 variants' solvation potential energy correlates with their
antibacterial activity against Escherichia coli.
AB - The structure-activity relationship of defensins is not clear. It is known that
point mutations in HD5 and HBD1 could modify their activities; however, these
mutations do not seem to alter their three-dimensional structures. Here, applying
molecular dynamics simulations, this relationship was studied in depth. There are
modifications in flexibility, solvent accessible surface area and radius of
gyration, but these properties are not reflected in the activity. Only
alterations in the solvation potential energy were correlated to antibacterial
activity against Escherichia coli. Data here reported could lead to a better
understanding of structural and functional aspects of alpha- and beta-defensins.
PMID- 26567135
TI - A review of the peri-operative risk stratification assessment tools used for the
prediction of cardiovascular complications in non-cardiac surgery.
AB - The development of atherosclerosis is a complex process that involves several
inflammatory mechanisms. The evolution of a fatty streak to a mature occlusive
atheromatous plaque occurs over several decades. However, during acute plaque
rupture, to a varying degree, these same inflammatory systems are
involved.Evidence exists that suggests a relationship between the activated
inflammatory pathways; in the setting of lower respiratory tract or urinary tract
infections and cardiac events such as unstable angina or myocardial
infarctions.Peripheral vascular disease patients demonstrate atheromatous disease
throughout their arterial tree, with coronary artery involvement in a significant
proportion of individuals. The stress that a surgical intervention creates may be
the catalyst for an acute coronary syndrome through the activation of these
inflammatory pathways. Individual responses to the surgical insult are
unpredictable and the extent to which the inflammatory mechanisms are stimulated
is variable. The measurements of inflammatory biomarkers, such as C-reactive
protein, have been associated with adverse short- and long-term mortality in
patients who experience an acute coronary syndrome.This review article looks at
the previous assessment tools that have been developed over time to try and
predict the peri-operative risk of patients undergoing non-cardiac surgery, based
on traditional patient parameters. We also explore the use of bio-markers in
addition to these characteristics and how future work is being developed to look
at the potential use of these to improve individual risk profiles.
PMID- 26567136
TI - Radiotherapy Combined with Novel STING-Targeting Oligonucleotides Results in
Regression of Established Tumors.
AB - Cytotoxic therapies prime adaptive immune responses to cancer by stimulating the
release of tumor-associated antigens. However, the tumor microenvironment into
which these antigens are released is typically immunosuppressed, blunting the
ability to initiate immune responses. Recently, activation of the DNA sensor
molecule STING by cyclic dinucleotides was shown to stimulate infection-related
inflammatory pathways in tumors. In this study, we report that the inflammatory
pathways activated by STING ligands generate a powerful adjuvant activity for
enhancing adaptive immune responses to tumor antigens released by radiotherapy.
In a murine model of pancreatic cancer, we showed that combining CT-guided
radiotherapy with a novel ligand of murine and human STING could synergize to
control local and distant tumors. Mechanistic investigations revealed T-cell
independent and TNFalpha-dependent hemorrhagic necrosis at early times, followed
by later CD8 T-cell-dependent control of residual disease. Clinically, STING was
found to be expressed extensively in human pancreatic tumor and stromal cells.
Our findings suggest that this novel STING ligand could offer a potent adjuvant
for leveraging radiotherapeutic management of pancreatic cancer.
PMID- 26567137
TI - The Inescapable Influence of Noncoding RNAs in Cancer.
AB - This report summarizes information presented at the 2015 Keystone Symposium on
"MicroRNAs and Noncoding RNAs in Cancer." Nearly two decades after the discovery
of the first miRNA, the role of noncoding RNAs in developmental processes and the
mechanisms behind their dysregulation in cancer has been steadily elucidated.
Excitingly, miRNAs have begun making their way into the clinic to combat diseases
such as hepatitis C and various forms of cancer. Therefore, at this Keystone
meeting, novel findings were presented that enhance our view on how small and
long noncoding RNAs control developmental timing and oncogenic processes.
Recurring themes included (i) how miRNAs can be differentially processed,
degraded, and regulated by ribonucleoprotein complexes, (ii) how particular miRNA
genetic networks that control developmental process, when disrupted, can result
in cancer disease, (iii) the technologies available to therapeutically deliver
RNA to combat diseases such as cancer, and (iv) the elucidation of the mechanism
of actions for long noncoding RNAs, currently a poorly understood class of
noncoding RNA. During the meeting, there was an emphasis on presenting
unpublished findings, and the breadth of topics covered reflected how inescapable
the influence of noncoding RNAs is in development and cancer.
PMID- 26567138
TI - Immunotargeting of Antigen xCT Attenuates Stem-like Cell Behavior and Metastatic
Progression in Breast Cancer.
AB - Resistance to therapy and lack of curative treatments for metastatic breast
cancer suggest that current therapies may be missing the subpopulation of
chemoresistant and radioresistant cancer stem cells (CSC). The ultimate success
of any treatment may well rest on CSC eradication, but specific anti-CSC
therapies are still limited. A comparison of the transcriptional profiles of
murine Her2(+) breast tumor TUBO cells and their derived CSC-enriched
tumorspheres has identified xCT, the functional subunit of the cystine/glutamate
antiporter system xc(-), as a surface protein that is upregulated specifically in
tumorspheres. We validated this finding by cytofluorimetric analysis and
immunofluorescence in TUBO-derived tumorspheres and in a panel of mouse and human
triple negative breast cancer cell-derived tumorspheres. We further show that
downregulation of xCT impaired tumorsphere generation and altered CSC
intracellular redox balance in vitro, suggesting that xCT plays a functional role
in CSC biology. DNA vaccination based immunotargeting of xCT in mice challenged
with syngeneic tumorsphere-derived cells delayed established subcutaneous tumor
growth and strongly impaired pulmonary metastasis formation by generating anti
xCT antibodies able to alter CSC self-renewal and redox balance. Finally, anti
xCT vaccination increased CSC chemosensitivity to doxorubicin in vivo, indicating
that xCT immunotargeting may be an effective adjuvant to chemotherapy.
PMID- 26567140
TI - PIK3CA(H1047R) Accelerates and Enhances KRAS(G12D)-Driven Lung Tumorigenesis.
AB - KRAS-activating mutations drive human non-small cell lung cancer and initiate
lung tumorigenesis in genetically engineered mouse (GEM) models. However, in a
GEM model of KRAS(G12D)-induced lung cancer, tumors arise stochastically
following a latency period, suggesting that additional events are required to
promote early-stage tumorigenic expansion of KRAS(G12D)-mutated cells. PI3Kalpha
(PIK3CA) is a direct effector of KRAS, but additional activation of PI3'-lipid
signaling may be required to potentiate KRAS-driven lung tumorigenesis. Using GEM
models, we tested whether PI3'-lipid signaling was limiting for the promotion of
KRAS(G12D)-driven lung tumors by inducing the expression of KRAS(G12D) in the
absence and presence of the activating PIK3CA(H1047R) mutation. PIK3CA(H1047R)
expression alone failed to promote tumor formation, but dramatically enhanced
tumorigenesis initiated by KRAS(G12D). We further observed that oncogenic
cooperation between KRAS(G12D) and PIK3CA(H1047R) was accompanied by PI3Kalpha
mediated regulation of c-MYC, GSK3beta, p27(KIP1), survivin, and components of
the RB pathway, resulting in accelerated cell division of human or mouse lung
cancer-derived cell lines. These data suggest that, although KRAS(G12D) may
activate PI3Kalpha by direct biochemical mechanisms, PI3'-lipid signaling remains
rate-limiting for the cell-cycle progression and expansion of early-stage
KRAS(G12D)-initiated lung cells. Therefore, we provide a potential mechanistic
rationale for the selection of KRAS and PIK3CA coactivating mutations in a number
of human malignancies, with implications for the clinical deployment of PI3'
kinase-targeted therapies.
PMID- 26567139
TI - PRC2 Epigenetically Silences Th1-Type Chemokines to Suppress Effector T-Cell
Trafficking in Colon Cancer.
AB - Infiltration of tumors with effector T cells is positively associated with
therapeutic efficacy and patient survival. However, the mechanisms underlying
effector T-cell trafficking to the tumor microenvironment remain poorly
understood in patients with colon cancer. The polycomb repressive complex 2
(PRC2) is involved in cancer progression, but the regulation of tumor immunity by
epigenetic mechanisms has yet to be investigated. In this study, we examined the
relationship between the repressive PRC2 machinery and effector T-cell
trafficking. We found that PRC2 components and demethylase JMJD3-mediated histone
H3 lysine 27 trimethylation (H3K27me3) repress the expression and subsequent
production of Th1-type chemokines CXCL9 and CXCL10, mediators of effector T-cell
trafficking. Moreover, the expression levels of PRC2 components, including EZH2,
SUZ12, and EED, were inversely associated with those of CD4, CD8, and Th1-type
chemokines in human colon cancer tissue, and this expression pattern was
significantly associated with patient survival. Collectively, our findings reveal
that PRC2-mediated epigenetic silencing is not only a crucial oncogenic
mechanism, but also a key circuit controlling tumor immunosuppression. Therefore,
targeting epigenetic programs may have significant implications for improving the
efficacy of current cancer immunotherapies relying on effective T-cell-mediated
immunity at the tumor site.
PMID- 26567142
TI - To Our Readers.
PMID- 26567141
TI - PD-1 Blunts the Function of Ovarian Tumor-Infiltrating Dendritic Cells by
Inactivating NF-kappaB.
AB - The PD-1:PD-L1 immune signaling axis mediates suppression of T-cell-dependent
tumor immunity. PD-1 expression was recently found to be upregulated on tumor
infiltrating murine (CD11c(+)CD11b(+)CD8(-)CD209a(+)) and human (CD1c(+)CD19(-))
myeloid dendritic cells (TIDC), an innate immune cell type also implicated in
immune escape. However, there is little knowledge concerning how PD-1 regulates
innate immune cells. In this study, we examined the role of PD-1 in TIDCs derived
from mice bearing ovarian tumors. Similar to lymphocytes, TIDC expression of PD-1
was associated with expression of the adapter protein SHP-2, which signals to NF
kappaB; however, in contrast to its role in lymphocytes, we found that expression
of PD-1 in TIDC tonically paralyzed NF-kappaB activation. Further mechanistic
investigations showed that PD-1 blocked NF-kappaB-dependent cytokine release in a
SHP-2-dependent manner. Conversely, inhibition of NF-kappaB-mediated antigen
presentation by PD-1 occurred independently of SHP-2. Collectively, our findings
revealed that PD-1 acts in a distinct manner in innate immune cells compared with
adaptive immune cells, prompting further investigations of the signaling pathways
controlled by this central mediator of immune escape in cancer.
PMID- 26567143
TI - Increasing Throughput: Results from a 42-Hospital Collaborative to Improve
Emergency Department Flow.
AB - BACKGROUND: An 18-month collaborative in 42 hospitals across 16 communities in
the United States to improve emergency department (ED) flow was conducted from
October 2010 through March 2012. METHODS: Hospitals were invited to participate
through the Aligning Forces for Quality (AF4Q) program. Each participating
hospital identified one or more interventions to improve ED flow and submitted
data on four measures of ED flow: discharged length of stay (LOS), admitted LOS,
boarding time, and left without being seen (LWBS) rates. Participating hospitals
also provided quarterly progress reports on challenges encountered and lessons
learned. Univariate linear regression was used to assess the effectiveness of
interventions at the hospital level, where an improvement was defined as a
negative slope in one or more of the throughput indicators. Challenges and
lessons learned were tabulated and described. RESULTS: A total of 172
interventions were implemented across the 42 hospitals. Two thirds (n = 28)
demonstrated improvement on at least one measure of ED flow. Among hospitals
demonstrating improvement, the average reduction in discharged LOS was 26 minutes
(95% confidence interval [CI] 11 to 41); admitted LOS, 36.5 minutes (95% CI 20 to
53), boarding time, 20.9 minutes (95% CI 12 to 30), and LWBS seen rates decreased
by 1.4 absolute percentage points (95% CI 0.2 to 2.7). Teams were frequently
challenged by issues related to leadership, staff buy-in, and resource
constraints. CONCLUSION: The majority of hospitals in this collaborative improved
on one or more ED flow measures. Many challenges were shared across hospitals,
demonstrating that successful approaches to ED flow improvement require certain
fundamental elements, including engaged leadership and staff, and sufficient
resources.
PMID- 26567144
TI - An Interdepartmental Care Model to Expedite Admission from the Emergency
Department to the Medical ICU.
AB - BACKGROUND: Early evidence suggests that multidisciplinary programs designed to
expedite transfer from the emergency department (ED) may decrease boarding times.
However, few models exist that provide effective ways to improve the ED- to-ICU
transition process. In 2012 Christiana Care Health System (Newark, Delaware)
created and implemented an interdepartmental program designed to expedite the
transition of care from the ED to the medical ICU (MICU). METHODS: This quasi
experimental study compared ED length of stay (LOS), MICU LOS, and overall
hospital LOS before and after the MICU Alert Team (MAT) intervention program. The
MAT consisted of a MICU nurse and physician assistant, with oversight by a MICU
attending physician. The ED triggered the MAT after patients were stabilized and
determined to require MICU admission. Following bedside face-to-face hand off,
the MAT providers then assumed responsibly of a patient's care. If no MICU bed
was available, the MAT cared for patients in the ED until they were transferred
to the MICU. RESULTS: ED LOS was reduced by 30% (2.6 hours) from baseline (p <
.001). There were no significant differences in MICU LOS (p = .26), overall
hospital LOS (p = .43), or mortality (p = .59). ED LOS was shortened (p < .001)
at each increasing level of MICU bed availability (31% when 0 MICU beds
available; 26% when 1 or more MICU beds available). Time series analysis
identified a 1.5-hour drop in ED LOS (p = .02) for patients transferred from the
MICU immediately following intervention implementation and was sustained over
time. CONCLUSION: Early outcomes demonstrate that the MAT intervention can reduce
ED LOS for critically ill patients. Additional studies should determine optimal
approaches to improve clinical outcomes.
PMID- 26567145
TI - The Well-Defined Pediatric ICU: Active Surveillance Using Nonmedical Personnel to
Capture Less Serious Safety Events.
AB - BACKGROUND: Adverse events, diverse and often costly, commonly occur in pediatric
intensive care units (PICUs). Serious safety events (SSEs) are captured through
well-developed systems, typically by voluntary reporting. Less serious safety
events (LSSEs), including close calls, however, occur at a higher frequency than
those that result in immediate harm or death but are underestimated by standard
reporting systems. LSSEs can reveal system defects and precede serious events
resulting in patient or provider harm. METHODS: A unique active surveillance
program was created at Children's Hospitals and Clinics of Minnesota to quantify
and categorize, and, ultimately reduce, LSSEs, in PICUs. Premedical college
graduates without formal health care training daily canvassed the PICUs and
facilitated reporting of LSSEs at the point of care. Events were recorded on a
Web application and stored in a relational database management system. Events
were enumerated and categorized according to distinctive characteristics (Theme
Index) and real or potential harm (Harm Index). RESULTS: Some 1,980 PICU
patients, representing 10,766 PICU patient-days in a 15-month period (June 1,
2013- August 31, 2014) experienced 2,465 LSSEs-5.4 LSSEs/ day or 0.23
LSSEs/patient-day. Such events resulted in a patient intervention 38% of the
time. Some 158 quality/safety improvement projects were initiated during the
observation period, 74 of which have been completed. Quality/safety information
was broadcasted to providers, local leadership, and hospital management.
CONCLUSIONS: LSSEs occur frequently in our PICUs. Non-health care providers can
cost-effectively facilitate reporting by actively canvassing PICU providers on a
daily basis and can contribute to quality/safety improvement projects and local
safety culture. Reported events can serve as a focus for quality/safety
improvement projects. A Web application and mobile tablet interfaces are
efficient tools to record events.
PMID- 26567146
TI - Percent Time in Range with Warfarin as a Performance Measure: How Long a Sampling
Frame Is Needed?
AB - BACKGROUND: Warfarin is received by millions of patients in the United States and
elsewhere and will remain the most commonly used anticoagulant for the
foreseeable future. Percent time in therapeutic range (TTR) with warfarin is
increasingly used as a performance measure. However, stakeholders have expressed
concern that TTR lags behind changes in performance. Work in a larger study
focused on the impact of shortening the conventional measurement period for TTR.
METHODS: Some 124 sites within the Department of Veterans Affairs (VA) were
examined during a seven-year period (fiscal years [FYs] 2008-2014 (April 1, 2007
September 30, 2014). The duration of time segments (2, 3, 4, 6 months) used to
calculate TTR were varied, and these four durations were compared in terms of the
number of patients retained per site, mean and median site TTR, and site
performance rankings. RESULTS: Data were obtained on 295,237 unique patients who
received anticoagulation. As the calculation window shortened, patients with
better control (that is, higher TTR) were selectively excluded from the
measurement because their laboratory values were more widely spaced. Site mean
TTR was highest when the most patients were included (6 months: 950 patients; TTR
65.2%) and lowest when the fewest patients were included (2 months: 567 patients;
TTR 60.0%). However, the 3-, 4-, and 6-month segments achieved similar results,
each of which included more than 800 patients per site, with mean TTR across a
narrow range (64.9%-65.2%). Site rankings were less highly correlated between the
2-month period and longer periods (r = 0.7- 0.8) but were otherwise 0.95 or
higher, with a nearly perfect correlation (0.985) between the 4- and 6-month
periods. CONCLUSIONS: When TTR is used to measure site-level performance,
comparable results can be achieved using a 4- or a 6-month measurement period. On
the basis of these results, the use of a 4-month period for future measurement
efforts is recommended.
PMID- 26567147
TI - Creating a Statewide Bed Tracker and Patient Registry to Communicate Bed Need and
Supply in Emergency Psychiatry: The Maryland Experience.
PMID- 26567148
TI - Variation in Hospital Thromboprophylaxis Practices for Abdominal Cancer Surgery.
AB - INTRODUCTION: Venous thromboembolism remains a prominent cause of morbidity and
mortality following cancer surgery. Although evidence-based guidelines recommend
major cancer surgery thromboprophylaxis starts before incision and continues at
least 7-10 days postoperatively, the extent to which the guidelines are followed
is unknown. We assessed variation in thromboprophylaxis practices for abdominal
cancer surgery in a regional surgical collaborative. METHODS: We studied
abdominal resections for primary gastrointestinal, hepatopancreatobiliary (HPB),
and neuroendocrine malignancies in the Michigan Surgical Quality Collaborative
from July 2012 to September 2013 (N = 2967 patients in 52 hospitals). We obtained
detailed perioperative and postoperative pharmacologic and mechanical
thromboprophylaxis information for patients without documented exemptions (e.g.,
active bleeding, allergy), and compared differences in procedure mix and
operative complexity across hospitals based on their perioperative
thromboprophylaxis rates. Additionally, we surveyed hospitals to identify
variations in perioperative practice and barriers to prophylaxis administration.
RESULTS: Overall, 40.4 % of eligible patients had perioperative pharmacologic
thromboprophylaxis for abdominal cancer surgery, and 25.3 % of the highest-risk
patients had evidence of inadequate postoperative prophylaxis (under-prophylaxis,
either by dose or duration). Hospital perioperative thromboprophylaxis rates
ranged from 0 to 96.1 %, and postoperative thromboprophylaxis rates ranged from
73.9 to 100 %. Epidural use was not independently associated with hospital
pharmacologic thromboprophylaxis rates. CONCLUSIONS: Fewer than half of patients
undergoing abdominal cancer surgery receive perioperative thromboprophylaxis, and
there is wide variation in hospital thromboprophylaxis utilization despite strong
evidence-based guidelines supporting its use.
PMID- 26567149
TI - Distant Metastasis in Colorectal Cancer is a Risk Factor for Anastomotic Leakage.
AB - PURPOSE: The aim of this study was to investigate whether metastatic colorectal
cancer (Union for International Cancer Control stage IV disease) represents a
risk factor for anastomotic leakage after colorectal surgery without major
hepatic resection. METHODS: This retrospective cohort study was based on an
existing prospective colorectal database of all consecutive colorectal resections
undertaken at the authors' institution from July 2002 to July 2012 (n = 2104).
All patients with colorectal resection and primary anastomosis for colorectal
cancer were identified (n = 500). A temporary loop ileostomy was constructed in
low rectal anastomosis up to 6 cm from the anal verge (n = 128 cases, 26%). A
routine contrast enema was undertaken at the occasion of other prospective
studies in 254 patients. UICC stage IV disease was present in 94 patients (19%),
while 406 patients (81%) had UICC stage I-III disease. RESULTS: The overall
anastomotic leak rate was 2.6% (13/500), 2.2% (11/500) for both clinical and
radiological leaks, and 0.8% (2/254) for radiological leaks only. Four were
managed conservatively and nine (1.8%) required revision laparotomy. In the case
of UICC stage IV disease, the anastomotic leak rate was 6.3% (6/94), while in the
case of UICC stage I-III disease the leak rate was 1.7% (7/406). UICC stage IV
disease [odds ratio (OR) 4.4, 95% confidence interval (CI) 1.3-14.4; p = 0.015]
and diabetes (OR 5.7, 95% CI 1.7-18.7; p = 0.004) were independent risk factors
for anastomotic leakage after colorectal surgery. CONCLUSIONS: Patients with
stage IV colorectal cancer have an increased anastomotic leak rate after
colorectal surgery. Whether this is due to an impaired immune system remains
speculative.
PMID- 26567150
TI - Land sparing, land sharing, and the fate of Africa's lions.
PMID- 26567151
TI - Transcription through Polycomb response elements does not induce a switch from
repression to activation.
PMID- 26567152
TI - Predictive model of 3D domain formation via CTCF-mediated extrusion.
PMID- 26567154
TI - Insights into the life of an oxygenic phototroph.
PMID- 26567153
TI - Breaking evolutionary constraint with a tradeoff ratchet.
AB - Epistatic interactions can frustrate and shape evolutionary change. Indeed,
phenotypes may fail to evolve when essential mutations are only accessible
through positive selection if they are fixed simultaneously. How environmental
variability affects such constraints is poorly understood. Here, we studied
genetic constraints in fixed and fluctuating environments using the Escherichia
coli lac operon as a model system for genotype-environment interactions. We found
that, in different fixed environments, all trajectories that were reconstructed
by applying point mutations within the transcription factor-operator interface
became trapped at suboptima, where no additional improvements were possible.
Paradoxically, repeated switching between these same environments allows
unconstrained adaptation by continuous improvements. This evolutionary mode is
explained by pervasive cross-environmental tradeoffs that reposition the peaks in
such a way that trapped genotypes can repeatedly climb ascending slopes and
hence, escape adaptive stasis. Using a Markov approach, we developed a
mathematical framework to quantify the landscape-crossing rates and show that
this ratchet-like adaptive mechanism is robust in a wide spectrum of fluctuating
environments. Overall, this study shows that genetic constraints can be overcome
by environmental change and that cross-environmental tradeoffs do not necessarily
impede but also, can facilitate adaptive evolution. Because tradeoffs and
environmental variability are ubiquitous in nature, we speculate this
evolutionary mode to be of general relevance.
PMID- 26567155
TI - Research funding goes to rich clubs.
PMID- 26567156
TI - Cladribine added to daunorubicin-cytarabine induction prolongs survival of FLT3
ITD+ normal karyotype AML patients.
PMID- 26567157
TI - Bioluminescent reporters to monitor killer cell-mediated delivery of granzymes
inside target cells.
PMID- 26567158
TI - Haploinsufficient loss of multiple 5q genes may fine-tune Wnt signaling in
del(5q) therapy-related myeloid neoplasms.
PMID- 26567159
TI - Baseline characteristics and hospitalizations in patients with schizophrenia
receiving olanzapine long-acting injection: an interim analysis from a non
interventional, prospective observational safety study.
AB - BACKGROUND: Depot antipsychotics are a treatment option for medication
nonadherence in patients with schizophrenia. Nonadherence can lead to increased
relapse and hospitalization rates. This article reports hospitalization data
before and after initiation of olanzapine long-acting injection (LAI), a depot
antipsychotic. METHODS: Data were assessed from an ongoing, multinational,
prospective, observational post-authorisation safety study being conducted to
evaluate post-injection delirium/sedation syndrome (PDSS), an adverse reaction
that can occur following injection of olanzapine LAI. Eligible patients were aged
>=18 years, diagnosed with schizophrenia, were prescribed olanzapine LAI, and
lived outside the United States. Psychiatric hospitalization and medication data
were collected retrospectively for the 6-month period before study entry and
prospectively throughout the study. Paired t-tests and McNemar's tests were used
to assess changes in hospitalization incidence and duration. Stepwise Cox
proportional hazards models assessed factors associated with hospitalizations.
Analyses were based on data from the first 3 years of the continuously enrolling
study (N = 668). RESULTS: The average duration of olanzapine LAI exposure for all
patients was 0.768 years. Of the 529 patients who received at least 1 injection
of olanzapine LAI and were not hospitalized at study entry, 8.1% had at least 1
subsequent psychiatric hospitalization with a mean duration of 2.0 days. Of the
288 patients who had a >6-month follow-up, 8.3% had at least 1 post-baseline
psychiatric hospitalization with a mean duration of 2.3 days. The incidence of
hospitalizations in the 6-month period after treatment was significantly lower
than that in the 6-month period prior to treatment (8.3 vs 32.6%, respectively; P
< 0.001). Furthermore, mean hospitalization duration decreased from 11.5 days in
the 6-month period before treatment to 2.3 days in the 6-month period after
treatment (P < 0.001). Psychiatric hospitalization in the prior 12 months (P <
0.0001) and recreational drug use within 24 h of baseline visit (P = 0.015) were
identified as potential predictors of time to first psychiatric hospitalization
after beginning to take olanzapine LAI. At the time of interim analysis, 5 PDSS
events had occurred, which was too few for a full analysis of those events.
CONCLUSIONS: Results indicate a significant reduction in the incidence and days
of hospitalization from the 6-month period before to the 6-month period after
olanzapine LAI initiation, which suggests reduced relapse and hospitalization
during treatment. Results should be interpreted with caution due to the
observational nature of the study and use of retrospective baseline data.
PMID- 26567161
TI - Laparoscopic Management of Gallstone Ileus.
PMID- 26567160
TI - Trying to trust: Brain activity during interpersonal social attitude change.
AB - Interpersonal trust and distrust are important components of human social
interaction. Although several studies have shown that brain function is
associated with either trusting or distrusting others, very little is known
regarding brain function during the control of social attitudes, including trust
and distrust. This study was designed to investigate the neural mechanisms
involved when people attempt to control their attitudes of trust or distrust
toward another person. We used a novel control-of-attitudes fMRI task, which
involved explicit instructions to control attitudes of interpersonal trust and
distrust. Control of trust or distrust was operationally defined as changes in
trustworthiness evaluations of neutral faces before and after the control-of
attitudes fMRI task. Overall, participants (n = 60) evaluated faces paired with
the distrust instruction as being less trustworthy than faces paired with the
trust instruction following the control-of-distrust task. Within the brain, both
the control-of-trust and control-of-distrust conditions were associated with
increased temporoparietal junction, precuneus (PrC), inferior frontal gyrus
(IFG), and medial prefrontal cortex activity. Individual differences in the
control of trust were associated with PrC activity, and individual differences in
the control of distrust were associated with IFG activity. Together, these
findings identify a brain network involved in the explicit control of distrust
and trust and indicate that the PrC and IFG may serve to consolidate
interpersonal social attitudes.
PMID- 26567162
TI - Role of complement in IgA nephropathy.
AB - Immunoglobulin A nephropathy (IgAN) is characterized by the deposition of IgA in
the mesangium of glomeruli. This mesangial IgA has been found to consist mainly
of polymeric IgA1 which drives the activation of the mesangial cells and results
in excessive production of several inflammatory mediators. The activation of
mesangial cells is amplified by the ability of IgA to activate the complement
system, originally thought to occur mainly via the alternative pathway of
complement. However more recent studies indicate that lectin pathway involvement
has a strong association with progression of renal disease. In this review we
summarize the contribution of complement to the IgA- mediated inflammatory
process.
PMID- 26567163
TI - Impact of consensus contours from multiple PET segmentation methods on the
accuracy of functional volume delineation.
AB - PURPOSE: The aim of this study was to evaluate the impact of consensus algorithms
on segmentation results when applied to clinical PET images. In particular,
whether the use of the majority vote or STAPLE algorithm could improve the
accuracy and reproducibility of the segmentation provided by the combination of
three semiautomatic segmentation algorithms was investigated. METHODS: Three
published segmentation methods (contrast-oriented, possibility theory and
adaptive thresholding) and two consensus algorithms (majority vote and STAPLE)
were implemented in a single software platform (Artiview(r)). Four clinical
datasets including different locations (thorax, breast, abdomen) or pathologies
(primary NSCLC tumours, metastasis, lymphoma) were used to evaluate accuracy and
reproducibility of the consensus approach in comparison with pathology as the
ground truth or CT as a ground truth surrogate. RESULTS: Variability in the
performance of the individual segmentation algorithms for lesions of different
tumour entities reflected the variability in PET images in terms of resolution,
contrast and noise. Independent of location and pathology of the lesion, however,
the consensus method resulted in improved accuracy in volume segmentation
compared with the worst-performing individual method in the majority of cases and
was close to the best-performing method in many cases. In addition, the
implementation revealed high reproducibility in the segmentation results with
small changes in the respective starting conditions. There were no significant
differences in the results with the STAPLE algorithm and the majority vote
algorithm. CONCLUSION: This study showed that combining different PET
segmentation methods by the use of a consensus algorithm offers robustness
against the variable performance of individual segmentation methods and this
approach would therefore be useful in radiation oncology. It might also be
relevant for other scenarios such as the merging of expert recommendations in
clinical routine and trials or the multiobserver generation of contours for
standardization of automatic contouring.
PMID- 26567165
TI - Retraction note to: Isotopic analysis of N and O in NO3- by selective bacterial
reduction to N2O for groundwater pollution.
PMID- 26567164
TI - Monocyte unresponsiveness and impaired IL1beta, TNFalpha and IL7 production are
associated with a poor outcome in Malawian adults with pulmonary tuberculosis.
AB - BACKGROUND: Early death during TB treatment is associated with depressed TNFalpha
response to antigenic stimulation and propensity to superadded bacterial
infection. Hypothesising the role of monocyte unresponsiveness, we further
compared the immunological profile between patients who died or suffered a life
threatening deterioration ('poor outcome') during the intensive phase of TB
treatment with patients who had an uneventful clinical course ('good outcome')
who had been recruited as part of a larger prospective cohort study of Malawian
TB patients. METHODS: Using Luminex, IL1beta, IL2, IL4, IL5, IL6, IL7, IL8, IL10,
IL12, IL13, IL17, GCSF, GMCSF, MCP1, MIP1b, IFNgamma and TNFalpha were measured
in whole blood assay supernatants (stimulated with Mycobacterium tuberculosis
H37Rv and LPS) and serum from 44 Malawian adult TB patients (22 of each outcome)
immediately prior to commencing treatment, after 7 days and on day 56 of TB
treatment. Monocyte surface expression of CD14, CD16, TLR2, TLR4, CD86 and HLADR,
and intracellular TNFalpha were measured by flow cytometry as was intracellular
TNFalpha response to purified TLR ligands. RESULTS: Lower TB antigen-induced
IL1beta (p = 0.006), TNFalpha (p = 0.02) and IL7 (p = 0.009) were produced in the
poor outcome group. TNFalpha was produced by 'classical' CD14(hi)CD16(lo)
monocytes, with no correlation between this response and expression of monocyte
surface markers. Response to TB antigens correlated with responses to the
purified TLR 2, 3 and 4 ligands. CONCLUSIONS: Dysregulated monocyte cytokine
production was identified in TB patients with poor outcome. Lower TNFalpha
responses to H37Rv paralleled lower responses to a panel of TLR ligands,
suggesting an underlying perturbation in common TLR signalling pathways. Future
work should explore the role of TLR polymorphisms in immune response and clinical
outcome in TB patients.
PMID- 26567166
TI - Lateral subvastus approach with tibial tubercle osteotomy for primary total knee
arthroplasty: clinical outcome and complications compared to medial parapatellar
approach.
AB - PURPOSE: The lateral subvastus approach (LSVA) with tibial tubercle osteotomy
(TTO) is an alternative approach for total knee arthroplasty (TKA) in selected
patients. The aim of this study was to compare clinical outcomes between LSV and
medial parapatellar approaches for primary TKA and to investigate incidence of
complications related to TTO. METHODS: A total of 580 patients with primary TKA,
meeting the inclusion criteria, were treated at our hospital from February 2006
until February 2013. All patients' data were included in the local arthroplasty
register and were followed up 12 months postoperatively. The data set contains:
demographic data, the WOMAC score, the KSS as well as knee flexion and
complications related to tibial tubercle osteotomy. RESULTS: The clinical outcome
after TKA using the LSVA combined with TTO was comparable with those using the
medial standard approach 1 year postoperatively. Four patients (3.8 %) needed a
revision due to complications related to tubercle osteotomy. CONCLUSIONS: The
LSVA is thus a viable alternative in cases of primary TKA if technical
difficulties with the medial approach are anticipated. Applying precise surgical
technique, the LSVA seems to be a safe and reproducible procedure.
PMID- 26567167
TI - Splenic tuberculosis in an immunocompetent patient can be managed conservatively:
a case report.
AB - Tuberculosis is a significant health problem in developing countries. Splenic
tuberculosis usually occurs as a part of miliary tuberculosis, and ranks third
after lung and liver involvement, respectively. Splenic involvement is more
common in immunocompromised patients and is very rarely found in immunocompetent
patients. Here we report a case of splenic tuberculosis in an immunocompetent
patient, which was managed successfully with conservative treatment.
PMID- 26567168
TI - Radiographic Recurrence of Deformity After Hallux Valgus Surgery in Patients With
Metatarsus Adductus.
AB - BACKGROUND: Metatarsus adductus (MA) is a congenital condition that may lead to
the development of hallux valgus (HV). The associated anatomic deformities may
lead to recurrence of the HV in patients with MA. The goals of the study were to
identify radiographic rates of recurrence of HV following surgery for HV in
patients with MA. METHODS: Between 2002 and 2013, 587 patients who underwent HV
surgery were retrospectively identified. The radiographic parameters recorded
included the hallux valgus angle (HVA), the intermetatarsal angle (IMA), and the
metatarsus adductus angle (MAA) obtained from initial radiographs and at final
follow-up. The MAA was considered abnormal if the value was greater than 20
degrees. Radiographic recurrence was defined as HV deformity >20 degrees.
RESULTS: The rate of radiographic recurrence of HV was 15% in patients without MA
and 29.6% in patients with MA (P < .05). In the group with MA, rate of deformity
recurrence did not differ among the operative procedures performed (Lapidus,
28.5%; distal first metatarsal osteotomy, 29.4%; proximal first metatarsal
osteotomy, 28.9%). Patients with severe MA (MAA > 31 degrees) were found to have
a recurrence rate of 18%, whereas those with less severe MA (MAA < 31 degrees)
were found to have a recurrence rate of 82%. Of the patients with severe MA who
did not have radiographic recurrence of HV, 60% had undergone a Lapidus
arthrodesis and realignment arthrodesis of the second/third tarsometatarsal
joints. CONCLUSION: The rate of radiographic recurrence for patients with MA
undergoing HV correction was ~30%. This finding was consistent with our
hypothesis that MA increases the risk of radiographic recurrence of HV deformity
irrespective of the procedure performed. We believe the lower rate of recurrence
of HV among patients with severe MA deformities is suggestive that more complete
management of the deformity is warranted. LEVEL OF EVIDENCE: Level III,
retrospective comparative series.
PMID- 26567169
TI - Misprocessed tRNA response targets piRNA clusters.
PMID- 26567170
TI - Putting chromatin in its place: the pioneer factor NeuroD1 modulates chromatin
state to drive cell fate decisions.
AB - Cell fate decisions require the deployment of distinct transcriptional programmes
- how this is controlled and orchestrated is a key question from basic
developmental biology to regenerative medicine. In this issue of The EMBO
Journal, Pataskar and Jung et al (Pataskar et al, 2015) demonstrate how the
transcription factor NeuroD1 acts genome-wide to elicit a specific neurogenic
programme, including differentiation and migration. Much of that activity is due
to NeuroD1 acting as a pioneer factor. NeuroD1 is able to bind its targets within
repressive chromatin and can induce a more open chromatin state amenable to cell
type-specific regulation.
PMID- 26567171
TI - Approach-Avoidance Training Effects Are Moderated by Awareness of Stimulus-Action
Contingencies.
AB - Prior research suggests that repeatedly approaching or avoiding a stimulus
changes the liking of that stimulus. In two experiments, we investigated the
relationship between, on one hand, effects of approach-avoidance (AA) training on
implicit and explicit evaluations of novel faces and, on the other hand,
contingency awareness as indexed by participants' memory for the relation between
stimulus and action. We observed stronger effects for faces that were classified
as contingency aware and found no evidence that AA training caused changes in
stimulus evaluations in the absence of contingency awareness. These findings
challenge the standard view that AA training effects are (exclusively) the
product of implicit learning processes, such as the automatic formation of
associations in memory.
PMID- 26567173
TI - A suspicious subcutaneous tumor.
PMID- 26567174
TI - Oral delivery of Bifidobacterium longum expressing alpha-melanocyte-stimulating
hormone to combat ulcerative colitis.
AB - alpha-Melanocyte-stimulating hormone (alpha-MSH) is a tridecapeptide derived from
pro-opiomelanocortin that exhibits potent anti-inflammatory properties by
regulating the production of inflammatory mediators. This peptide has been well
established in several inflammatory models, including inflammatory bowel disease
(IBD). However, its extremely short duration in vivo limits its clinical
application. To address this limitation, Bifidobacterium was used here as a
carrier to deliver alpha-MSH. We utilized alpha-MSH-engineered Bifidobacterium
against IBD, which is closely linked to immune and intestinal microbiota
dysfunction. First, we constructed a Bifidobacterium longum secreting alpha-MSH
(B. longum-alpha-MSH). We then tested the recombinant alpha-MSH expression and
determined its bioactivity in HT-29 cells. To assess its effectiveness, B. longum
alpha-MSH was used against an ulcerative colitis (UC) model in rats induced by
dextran sulfate sodium. The data showed that alpha-MSH expression in B. longum
alpha-MSH was effective, and its biological activity was similar to the
synthesized one. This UC model experiment indicated that B. longum-alpha-MSH
successfully colonized the intestinal gut, expressed bioactive alpha-MSH and had
a significant anti-inflammatory effect. The results demonstrate the feasibility
of preventing IBD by using B. longum-alpha-MSH.
PMID- 26567176
TI - Effect of Clostridium perfringens infection and antibiotic administration on
microbiota in the small intestine of broiler chickens.
AB - The etiological agent of necrotic enteritis (NE) is Clostridium perfringens (CP),
which is an economically significant problem for broiler chicken producers
worldwide. Traditional use of in-feed antibiotic growth promoters to control NE
disease have resulted in the emergence of antibiotic resistance in CP strains.
Identification of probiotic bacteria strains as an alternative to antibiotics for
the control of intestinal CP colonization is crucial. Two experiments were
conducted to determine changes in intestinal bacterial assemblages in response to
CP infection and in-feed bacitracin methylene disalicylate (BMD) in broiler
chickens. In each experiment conducted in battery-cage or floor-pen housing,
chicks were randomly assigned to the following treatment groups: 1) BMD
supplemented diet with no CP challenge (CM), 2) BMD-free control diet with no CP
challenge (CX), 3) BMD-supplemented diet with CP challenge (PCM), or 4) BMD-free
control diet with CP challenge (PCX). The establishment of CP infection was
confirmed, with the treatment groups exposed to CP having a 1.5- to 2-fold higher
CP levels (P < 0.05) compared to the non-exposed groups. Next-generation
sequencing of PCR amplified 16S rRNA genes, was used to perform intestinal
bacterial diversity analyses pre-challenge, and at 1, 7, and 21 d post-challenge.
The results indicated that the intestinal bacterial assemblage was dominated by
members of the phylum Firmicutes in all treatments before and after CP challenge,
especially the Lactobacillaceae and Clostridiales families. In addition, we
observed post-challenge emergence of members of the Enterobacteriaceae and
Streptococcaceae in the non-medicated PCX treatment, and emergence of the
Enterococcaceae in the medicated PCM treatment. This study highlights the
bacterial interactions that could be important in suppressing or eliminating CP
infection within the chicken intestine. Future studies should explore the
potential to use commensal strains of unknown Clostridiales, Lactobacillaceae,
Enterobacteriaceae, Streptococcaceae, and Enterococcaceae in effective probiotic
formulations for the control of CP and NE disease.
PMID- 26567177
TI - Antidote reverses anticoagulant effects of factor Xa inhibitors in minutes,
studies show.
PMID- 26567178
TI - Sofosbuvir plus ribavirin for hepatitis C virus-associated cryoglobulinaemia
vasculitis: VASCUVALDIC study.
AB - BACKGROUND: Hepatitis C virus (HCV) is the aetiological agent for most cases of
cryoglobulinaemia vasculitis. Interferon-containing regimens are associated with
important side effects and may exacerbate the vasculitis. OBJECTIVE: To evaluate
safety and efficacy of an oral interferon-free regimen, sofosbuvir plus
ribavirin, in HCV-cryoglobulinaemia vasculitis. PATIENTS AND METHODS: We enrolled
24 consecutive patients (median age of 56.5 years and 46% of women) with HCV
cryoglobulinaemia vasculitis. Sofosbuvir (400 mg/day) was associated with
ribavirin (200-1400 mg/day), for 24 weeks. The primary efficacy end point was a
complete clinical response of the vasculitis at the end of treatment (week 24).
RESULTS: Main features of HCV-cryoglobulinaemia vasculitis included purpura and
peripheral neuropathy (67%), arthralgia (58%), glomerulonephritis (21%) and skin
ulcers (12%). Twenty-one patients (87.5%) were complete clinical response at week
24. Complete clinical response was achieved in six (25%) patients at week 4, four
(16.6%) at week 8, seven (29.2%) at week 12, three (12.5%) at week 16 and one
(4.2%) at week 20. The cryoglobulin level decreased from 0.35 (0.16-0.83) at
baseline to 0.15 (0.05-0.45) g/L at week 24. The C4 serum level increased from
0.10 (0.07-0.19) to 0.17 (0.09-0.23) g/L at week 24. Seventy-four per cent of
patients had a sustained virological response at week 12 post treatment. The most
common side effects were fatigue, insomnia and anaemia. Two serious adverse
events were observed. CONCLUSIONS: Sofosbuvir plus ribavirin combination was
associated with a high rate of complete clinical response and a low rate of
serious adverse events in HCV-cryoglobulinaemia vasculitis.
PMID- 26567179
TI - Sensitivity and specificity of optical spectral transmission imaging in detecting
joint inflammation in rheumatoid arthritis.
PMID- 26567180
TI - Nucleosome in patients with systemic sclerosis: possible association with
immunological abnormalities via abnormal activation of T and B cells.
AB - OBJECTIVE: To determine the serum levels of nucleosome in patients with systemic
sclerosis (SSc) and relate the results to the clinical features of SSc. METHODS:
Serum nucleosome levels in 91 patients with SSc were examined by ELISA. The
expression of Toll-like receptor (TLR) 9 in T and B cells was quantified by flow
cytometric intracellular protein analysis. The effects of nucleosomes on
lymphocytes were also analysed. Moreover, we assessed the effects of nucleosomes
on fibrosis, using wild type and CD19-deficient bleomycin-treated mice, an
experimental model for human SSc. RESULTS: Serum nucleosome levels were elevated
in SSc compared with healthy controls and correlated positively with the extent
of skin and pulmonary fibrosis and immunological abnormalities. The retrospective
longitudinal analysis showed the serum nucleosome levels to be attenuated during
the follow-up period. TLR9, which can be stimulated by nucleosome expression was
upregulated in the affected T and B cells of patients with SSc. Moreover,
nucleosome stimulation strongly increased interleukin (IL)-4 and IL-17 expression
of T cells, B-cell IgG production and proliferation of lymphocytes in SSc
compared with those in healthy controls. In bleomycin-induced SSc model mice,
serum nucleosome levels were elevated compared with control mice. Furthermore,
nucleosomes increased IgG production and proliferation of mouse B cells. Although
TLR9 expression was similar between wild type and CD19-deficient splenic B cells,
CD19 deficiency reduced these nucleosome effects. CONCLUSION: These results
suggest that nucleosomes and its signalling in B and T cells contribute to
disease development in SSc via TLR9.
PMID- 26567181
TI - Impact of treatment with biologic DMARDs on the risk of sepsis or mortality after
serious infection in patients with rheumatoid arthritis.
AB - OBJECTIVE: This observational cohort study investigated the impact of biological
(b) disease-modifying antirheumatic drugs (DMARDs) on the outcomes of serious
infections (SIs) in patients with rheumatoid arthritis. METHODS: We investigated
outcomes of SIs observed in 947 patients enrolled in the German biologics
register RABBIT(Rheumatoid arthritis: observation of biologic therapy). Outcomes
were (1) recovery without complication, (2) sepsis following SI (<=30 days), and
(3) death after SI without known sepsis (<=90 days). We applied a multinomial
generalised estimating equation model for longitudinal data to evaluate the risks
of sepsis and death simultaneously. RESULTS: Sepsis within 30 days after SI was
reported in 135 out of 947 patients, 85 of these had a fatal outcome. Fifty-three
patients died within 90 days after SI without known sepsis. The adjusted risk of
developing sepsis increased with age and was higher in patients with chronic
renal disease. Compared with conventional synthetic (cs)DMARDs, the risk was
significantly lower when patients were exposed to bDMARDs at the time of SI (OR:
0.56, 95% CI 0.38 to 0.81). Risk factors of fatal SI were higher age, use of
glucocorticoids at higher doses and heart failure. Patients treated with bDMARDs
and those with better physical function had a significantly lower mortality risk.
CONCLUSIONS: These results suggest a beneficial effect of bDMARDs on the risk of
sepsis after SI and the risk of a fatal outcome. Successful immunosuppression may
prevent an unregulated host response to SI, that is, the escalation to sepsis.
Further investigation is needed to validate these results.
PMID- 26567183
TI - The Relationship Between Adverse Childhood Experiences and Recidivism in a Sample
of Juvenile Offenders in Community-Based Treatment.
AB - Adverse childhood experiences (ACEs) have been identified as a key risk factor
for a range of negative life outcomes, including delinquency. Much less is known
about how exposure to negative experiences relates to continued offending among
juvenile offenders. In this study, we examine the effect of ACEs on recidivism in
a large sample of previously referred youth from the State of Florida who were
followed for 1 year after participation in community-based treatment. Results
from a series of Cox hazard models suggest that ACEs increase the risk of
subsequent arrest, with a higher prevalence of ACEs leading to a shorter time to
recidivism. The relationship between ACEs and recidivism held quite well in
demographic-specific analyses. Implications for empirical research on the long
term effects of traumatic childhood events and juvenile justice policy are
discussed.
PMID- 26567184
TI - Hospital admission and readmission for acute exacerbation of COPD. A tough nut to
crack.
PMID- 26567185
TI - DNA methylation profiling of non-small cell lung cancer reveals a COPD-driven
immune-related signature.
PMID- 26567186
TI - Correction.
PMID- 26567182
TI - Functional Conservation of the Glide/Gcm Regulatory Network Controlling Glia,
Hemocyte, and Tendon Cell Differentiation in Drosophila.
AB - High-throughput screens allow us to understand how transcription factors trigger
developmental processes, including cell specification. A major challenge is
identification of their binding sites because feedback loops and homeostatic
interactions may mask the direct impact of those factors in transcriptome
analyses. Moreover, this approach dissects the downstream signaling cascades and
facilitates identification of conserved transcriptional programs. Here we show
the results and the validation of a DNA adenine methyltransferase identification
(DamID) genome-wide screen that identifies the direct targets of Glide/Gcm, a
potent transcription factor that controls glia, hemocyte, and tendon cell
differentiation in Drosophila. The screen identifies many genes that had not been
previously associated with Glide/Gcm and highlights three major signaling
pathways interacting with Glide/Gcm: Notch, Hedgehog, and JAK/STAT, which all
involve feedback loops. Furthermore, the screen identifies effector molecules
that are necessary for cell-cell interactions during late developmental processes
and/or in ontogeny. Typically, immunoglobulin (Ig) domain-containing proteins
control cell adhesion and axonal navigation. This shows that early and
transiently expressed fate determinants not only control other transcription
factors that, in turn, implement a specific developmental program but also
directly affect late developmental events and cell function. Finally, while the
mammalian genome contains two orthologous Gcm genes, their function has been
demonstrated in vertebrate-specific tissues, placenta, and parathyroid glands,
begging questions on the evolutionary conservation of the Gcm cascade in higher
organisms. Here we provide the first evidence for the conservation of Gcm direct
targets in humans. In sum, this work uncovers novel aspects of cell specification
and sets the basis for further understanding of the role of conserved Gcm gene
regulatory cascades.
PMID- 26567188
TI - Current food classifications in epidemiological studies do not enable solid
nutritional recommendations for preventing diet-related chronic diseases: the
impact of food processing.
AB - To date, observational studies in nutrition have categorized foods into groups
such as dairy, cereals, fruits, and vegetables. However, the strength of the
association between food groups and chronic diseases is far from convincing. In
most international expert surveys, risks are most commonly scored as probable,
limited, or insufficient rather than convincing. In this position paper, we
hypothesize that current food classifications based on botanical or animal
origins can be improved to yield solid recommendations. We propose using a food
classification that employs food processes to rank foods in epidemiological
studies. Indeed, food health potential results from both nutrient density and
food structure (i.e., the matrix effect), both of which can potentially be
positively or negatively modified by processing. For example, cereal-based foods
may be more or less refined, fractionated, and recombined with added salt,
sugars, and fats, yielding a panoply of products with very different nutritional
values. The same is true for other food groups. Finally, we propose that from a
nutritional perspective, food processing will be an important issue to consider
in the coming years, particularly in terms of strengthening the links between
food and health and for proposing improved nutritional recommendations or
actions.
PMID- 26567189
TI - Educating and training a workforce for nutrition in a post-2015 world.
AB - Nearly all countries in the world today are burdened with malnutrition,
manifesting as undernutrition, micronutrient deficiencies, and/or overweight and
obesity. Despite some progress, efforts to alleviate malnutrition are hampered by
a shortage in number, skills, and geographic coverage, of a workforce for
nutrition. Here, we report the findings of the Castel Gandolfo workshop, a
convening of experts from diverse fields in March 2014 to consider how to develop
the capacity of a global cadre of nutrition professionals for the post-2015
development era. Workshop participants identified several requirements for
developing a workforce for nutrition, including an ability to work as part of a
multisectoral team; communication, advocacy, and leadership skills to engage
decision makers; and a set of technical skills to address future challenges for
nutrition. Other opportunities were highlighted that could immediately contribute
to capacity development, including the creation of a consortium to link global
North and South universities, online training modules for middle managers, and
practical, hands-on experiences for frontline nutrition workers. Institutional
and organizational support is needed to enable workshop recommendations on
education and training to be effectively implemented and sustained. The findings
from the Castel Gandolfo workshop can contribute to the delivery of successful
nutrition-relevant actions in the face of mounting external pressures and
informing and attaining the forthcoming Sustainable Development Goals.
PMID- 26567190
TI - Short sleep duration and dietary intake: epidemiologic evidence, mechanisms, and
health implications.
AB - Links between short sleep duration and obesity, type 2 diabetes, hypertension,
and cardiovascular disease may be mediated through changes in dietary intake.
This review provides an overview of recent epidemiologic studies on the relations
between habitual short sleep duration and dietary intake in adults from 16 cross
sectional studies. The studies have observed consistent associations between
short sleep duration and higher total energy intake and higher total fat intake,
and limited evidence for lower fruit intake, and lower quality diets. Evidence
also suggests that short sleepers may have irregular eating behavior deviating
from the traditional 3 meals/d to fewer main meals and more frequent, smaller,
energy-dense, and highly palatable snacks at night. Although the impact of short
sleep duration on dietary intake tends to be small, if chronic, it may contribute
to an increased risk of obesity and related chronic disease. Mechanisms mediating
the associations between sleep duration and dietary intake are likely to be
multifactorial and include differences in the appetite-related hormones leptin
and ghrelin, hedonic pathways, extended hours for intake, and altered time of
intake. Taking into account these epidemiologic relations and the evidence for
causal relations between sleep loss and metabolism and cardiovascular function,
health promotion strategies should emphasize improved sleep as an additional
factor in health and weight management. Moreover, future sleep interventions in
controlled studies and sleep extension trials in chronic short sleepers are
imperative for establishing whether there is a causal relation between short
sleep duration and changes in dietary intake.
PMID- 26567191
TI - Increase in adipose tissue linoleic acid of US adults in the last half century.
AB - Linoleic acid (LA) is a bioactive fatty acid with diverse effects on human
physiology and pathophysiology. LA is a major dietary fatty acid, and also one of
the most abundant fatty acids in adipose tissue, where its concentration reflects
dietary intake. Over the last half century in the United States, dietary LA
intake has greatly increased as dietary fat sources have shifted toward
polyunsaturated seed oils such as soybean oil. We have conducted a systematic
literature review of studies reporting the concentration of LA in subcutaneous
adipose tissue of US cohorts. Our results indicate that adipose tissue LA has
increased by 136% over the last half century and that this increase is highly
correlated with an increase in dietary LA intake over the same period of time.
PMID- 26567192
TI - Prevention of type 2 diabetes through lifestyle modification: is there a role for
higher-protein diets?
AB - Type 2 diabetes (T2D) incidence is increasing worldwide, driven by a rapidly
changing environment and lifestyle and increasing rates of overweight and
obesity. Prevention of diabetes is key and is most likely achieved through
prevention of weight gain and/or successful long-term weight loss maintenance.
Weight loss is readily achievable but there is considerable challenge in
maintaining that weight loss over the long term. Lower-fat carbohydrate-based
diets are widely used for T2D prevention. This is supported primarily by 3
successful long-term interventions, the US Diabetes Prevention Program, the
Finnish Diabetes Prevention Study, and the Chinese Da Qing Study, but evidence is
building in support of novel higher-protein (>20% of energy) diets for successful
weight loss maintenance and prevention of T2D. Higher-protein diets have the
advantage of having relatively low energy density, aiding longer-term appetite
suppression, and preserving lean body mass, all central to successful weight loss
and prevention of weight regain. Here, we review the carbohydrate-based
intervention trials and present mechanistic evidence in support of increased
dietary protein for weight loss maintenance and a possible novel role in
prevention of dysglycemia and T2D.
PMID- 26567193
TI - A systematic review of high-oleic vegetable oil substitutions for other fats and
oils on cardiovascular disease risk factors: implications for novel high-oleic
soybean oils.
AB - High-oleic acid soybean oil (H-OSBO) is a trait-enhanced vegetable oil containing
>70% oleic acid. Developed as an alternative for trans-FA (TFA)-containing
vegetable oils, H-OSBO is predicted to replace large amounts of soybean oil in
the US diet. However, there is little evidence concerning the effects of H-OSBO
on coronary heart disease (CHD)(6) risk factors and CHD risk. We examined and
quantified the effects of substituting high-oleic acid (HO) oils for fats and
oils rich in saturated FAs (SFAs), TFAs, or n-6 (omega-6) polyunsaturated FAs
(PUFAs) on blood lipids in controlled clinical trials. Searches of online
databases through June 2014 were used to select studies that defined subject
characteristics; described control and intervention diets; substituted HO oils
compositionally similar to H-OSBO (i.e., >=70% oleic acid) for equivalent amounts
of oils high in SFAs, TFAs, or n-6 PUFAs for >=3 wk; and reported changes in
blood lipids. Studies that replaced saturated fats or oils with HO oils showed
significant reductions in total cholesterol (TC), LDL cholesterol, and
apolipoprotein B (apoB) (P < 0.05; mean percentage of change: -8.0%, -10.9%,
7.9%, respectively), whereas most showed no changes in HDL cholesterol,
triglycerides (TGs), the ratio of TC to HDL cholesterol (TC:HDL cholesterol), and
apolipoprotein A-1 (apoA-1). Replacing TFA-containing oil sources with HO oils
showed significant reductions in TC, LDL cholesterol, apoB, TGs, TC:HDL
cholesterol and increased HDL cholesterol and apoA-1 (mean percentage of change:
5.7%, -9.2%, -7.3%, -11.7%, -12.1%, 5.6%, 3.7%, respectively; P < 0.05). In most
studies that replaced oils high in n-6 PUFAs with equivalent amounts of HO oils,
TC, LDL cholesterol, TGs, HDL cholesterol, apoA-1, and TC:HDL cholesterol did not
change. These findings suggest that replacing fats and oils high in SFAs or TFAs
with either H-OSBO or oils high in n-6 PUFAs would have favorable and comparable
effects on plasma lipid risk factors and overall CHD risk.
PMID- 26567194
TI - Potential for dietary omega-3 fatty acids to prevent nonalcoholic fatty liver
disease and reduce the risk of primary liver cancer.
AB - Nonalcoholic fatty liver disease (NAFLD) has increased in parallel with central
obesity, and its prevalence is anticipated to increase as the obesity epidemic
remains unabated. NAFLD is now the most common cause of chronic liver disease in
developed countries and is defined as excessive lipid accumulation in the liver,
that is, hepatosteatosis. NAFLD ranges in severity from benign fatty liver to
nonalcoholic steatohepatitis (NASH), and NASH is characterized by hepatic injury,
inflammation, oxidative stress, and fibrosis. NASH can progress to cirrhosis, and
cirrhosis is a risk factor for primary hepatocellular carcinoma (HCC). The
prevention of NASH will lower the risk of cirrhosis and NASH-associated HCC. Our
studies have focused on NASH prevention. We developed a model of NASH by using
mice with the LDL cholesterol receptor gene ablated fed the Western diet (WD).
The WD induces a NASH phenotype in these mice that is similar to that seen in
humans and includes robust induction of hepatic steatosis, inflammation,
oxidative stress, and fibrosis. With the use of transcriptomic, lipidomic, and
metabolomic approaches, we examined the capacity of 2 dietary omega-3 (n-3)
polyunsaturated fatty acids, eicosapentaenoic acid (20:5omega-3; EPA) and
docosahexaenoic acid (22:6omega-3; DHA), to prevent WD-induced NASH. Dietary DHA
was superior to EPA at attenuating WD-induced changes in plasma lipids and
hepatic injury and at reversing WD effects on hepatic metabolism, oxidative
stress, and fibrosis. The outcome of these studies suggests that DHA may be
useful in preventing NASH and reducing the risk of HCC.
PMID- 26567195
TI - Impact of nutrients and food components on dyslipidemias: what is the evidence?
AB - Dyslipidemias have been shown to bear a close association with an increased risk
of cardiovascular diseases, atherosclerosis in particular. As efforts are being
made to find alternative therapies and ways to prevent disease, there is a
corresponding rise in public interest in food and/or active food components that
contribute to an improved lipid profile and, thus, to better health. Besides
supplying the basic nutrients necessary for well-being, some foods add further
physiologic benefits. In fact, specific foods and bioactive components could be
beneficial in controlling dyslipidemias. From a review of the literature on foods
and bioactive compounds, their recommended quantities, and expected effects, we
found that the following nutrients and food components could positively impact
the lipid profile: monounsaturated and polyunsaturated fatty acids, soluble
fiber, vegetable proteins, phytosterols, and polyphenols. Therefore,
incorporating these components into the regular diets of individuals is
justified, because they contribute additional positive effects. This suggests
that they also be recommended in clinical practice.
PMID- 26567196
TI - Plant protein and animal proteins: do they differentially affect cardiovascular
disease risk?
AB - Proteins from plant-based compared with animal-based food sources may have
different effects on cardiovascular disease (CVD) risk factors. Numerous
epidemiologic and intervention studies have evaluated their respective health
benefits; however, it is difficult to isolate the role of plant or animal protein
on CVD risk. This review evaluates the current evidence from observational and
intervention studies, focusing on the specific protein-providing foods and
populations studied. Dietary protein is derived from many food sources, and each
provides a different composite of nonprotein compounds that can also affect CVD
risk factors. Increasing the consumption of protein-rich foods also typically
results in lower intakes of other nutrients, which may simultaneously influence
outcomes. Given these complexities, blanket statements about plant or animal
protein may be too general, and greater consideration of the specific protein
food sources and the background diet is required. The potential mechanisms
responsible for any specific effects of plant and animal protein are similarly
multifaceted and include the amino acid content of particular foods,
contributions from other nonprotein compounds provided concomitantly by the whole
food, and interactions with the gut microbiome. Evidence to date is inconclusive,
and additional studies are needed to further advance our understanding of the
complexity of plant protein vs. animal protein comparisons. Nonetheless, current
evidence supports the idea that CVD risk can be reduced by a dietary pattern that
provides more plant sources of protein compared with the typical American diet
and also includes animal-based protein foods that are unprocessed and low in
saturated fat.
PMID- 26567197
TI - Health implications of high-fructose intake and current research.
AB - Although fructose consumption has dramatically increased and is suspected to be
causally linked to metabolic abnormalities, the mechanisms involved are still
only partially understood. We discuss the available data and investigate the
effects of dietary fructose on risk factors associated with metabolic disorders.
The evidence suggests that fructose may be a predisposing cause in the
development of insulin resistance in association with the induction of
hypertriglyceridemia. Experiments in animals have shown this relation when they
are fed diets very high in fructose or sucrose, and human studies also show this
relation, although with conflicting results due to the heterogeneity of the
studies. The link between increased fructose consumption and increases in uric
acid also has been confirmed as a potential risk factor for metabolic syndrome,
and insulin resistance/hyperinsulinemia may be causally related to the
development of hypertension. Collectively, these results suggest a link between
high fructose intake and insulin resistance, although future studies must be of
reasonable duration, use defined populations, and improve comparisons regarding
the effects of relevant doses of nutrients on specific endpoints to fully
understand the effect of fructose intake in the absence of potential confounding
factors.
PMID- 26567198
TI - Effect of nutritional status and dietary patterns on human serum C-reactive
protein and interleukin-6 concentrations.
AB - The inflammatory process plays an important role in the pathogenesis of many
chronic diseases, such as cardiovascular diseases, diabetes mellitus type 2, and
metabolic syndrome. Serum C-reactive protein (CRP) and interleukin-6 (IL-6) are
widely tested inflammatory markers involved in the development of these diseases.
Several studies indicate a relation between nutritional status and the
concentrations of human high-sensitivity CRP and IL-6. Similarly, the role of
diet in reducing inflammation and thereby modulating the risk of non-communicable
diseases is supported by numerous studies. This review focuses on the effects of
the selected nutrition models in humans on the concentrations of CRP and IL-6. It
seems that the Mediterranean diet model is most effective in inhibiting
inflammation. The Dietary Approaches to Stop Hypertension model and the plant
nutrition model also have proven to be beneficial. The data on low-fat and low
carbohydrate diets are inconclusive. Comprehensive studies are necessary, taking
into account the cumulative effect of dietary and other factors on the
inflammatory process.
PMID- 26567199
TI - Retinol binding protein 4 in relation to diet, inflammation, immunity, and
cardiovascular diseases.
AB - Retinol binding protein 4 (RBP4), previously called retinol binding protein
(RBP), is considered a specific carrier of retinol in the blood. It is also an
adipokine that has been implicated in the pathophysiology of insulin resistance.
RBP4 seems to be correlated with cardiometabolic markers in inflammatory chronic
diseases, including obesity, type 2 diabetes, metabolic syndrome, and
cardiovascular diseases (CVDs). It has recently been suggested that inflammation
produced by RBP4 induces insulin resistance and CVD. The clinical relevance of
this hypothesis is discussed in this review. Knowledge concerning the association
of RBP4 with inflammation markers, oxidative stress, and CVDs as well as
concerning the role of diet and antioxidants in decreasing RBP4 concentrations
are discussed. Special attention is given to methodologies used in previously
published studies and covariates that should be controlled when planning new
studies on this adipokine.
PMID- 26567200
TI - Index-based dietary patterns and colorectal cancer risk: a systematic review.
AB - Colorectal cancer (CRC) is the third most common cancer in both men and women in
the United States. Various a priori dietary patterns that take into account diet
complexity have been associated with CRC risk. This systematic review augments
the evidence for an association between CRC risk and the Mediterranean Diet Score
(MDS) and the Healthy Eating Index (HEI), and provides new evidence for a novel
Dietary Inflammatory Index (DII). Human studies published in English after 31
December 2008 were reviewed. Five case-control studies and 7 prospective cohort
studies conducted in the United States and Europe were identified. Five of the
studies examined the MDS, 4 examined the HEI, and 4 examined the DII. Comparing
highest to lowest score groups, higher MDSs were associated with an 8-54% lower
CRC risk, and higher HEI scores were associated with a 20-56% lower CRC risk.
More proinflammatory diet scores were associated with a 12-65% higher CRC risk
compared with more anti-inflammatory diets in studies that used the DII. The
results reported by sex suggested similar associations for men and women. This
review builds upon the evidence supporting the association between higher overall
diet quality and lower risk of CRC. Increasing scores of MDS and HEI and anti
inflammatory DII scores are characterized by high intake of plant-based foods and
low intake of animal products. Future studies in more diverse populations and
with consistent scoring calculations are recommended.
PMID- 26567201
TI - Vitamins in pancreatic cancer: a review of underlying mechanisms and future
applications.
AB - Although there is increasing evidence that vitamins influence pancreatic
adenocarcinoma biology and carcinogenesis, a comprehensive review is lacking. In
this study, we performed a PubMed literature search to review the anticancer
mechanisms and the preclinical and clinical studies that support the development
of the bioactive vitamins A, C, D, E, and K in pancreatic cancer intervention.
Preclinical studies have shown promising results for vitamin A in pancreatic
cancer prevention, with clinical trials showing intriguing responses in
combination with immunotherapy. For vitamin C, preclinical studies have shown
slower tumor growth rates and/or increased survival when used alone or in
combination with gemcitabine, with clinical trials with this combination
revealing decreased primary tumor sizes and improved performance status.
Preclinical studies with vitamin D analogues have shown potent antiproliferative
effects and repression of migration and invasion of pancreatic cancer cells, with
a clinical trial showing increased time to progression when calciferol was added
to docetaxel. For vitamin E, preclinical studies have shown that delta
tocotrienol and gamma-tocotrienol inhibited tumor cell growth and survival and
augmented gemcitabine activity. Early-phase clinical trials with delta
tocotrienol are ongoing. Vitamin K demonstrates activation of apoptosis and
inhibition of cellular growth in pancreatic tumor cells; however, there are no
clinical studies available for further evaluation. Although preclinical and
clinical studies are encouraging, randomized controlled trials with endpoints
based on insights gained from mechanistic and preclinical studies and early-phase
clinical trials are required to determine the efficacy of bioactive vitamin
interventions in pancreatic cancer.
PMID- 26567203
TI - Low-residue and low-fiber diets in gastrointestinal disease management.
AB - Recently, low-residue diets were removed from the American Academy of Nutrition
and Dietetics' Nutrition Care Manual due to the lack of a scientifically accepted
quantitative definition and the unavailability of a method to estimate the amount
of food residue produced. This narrative review focuses on defining the
similarities and/or discrepancies between low-residue and low-fiber diets and on
the diagnostic and therapeutic values of these diets in gastrointestinal disease
management. Diagnostically, a low-fiber/low-residue diet is used in bowel
preparation. A bowel preparation is a cleansing of the intestines of fecal matter
and secretions conducted before a diagnostic procedure. Therapeutically, a low
fiber/low-residue diet is part of the treatment of acute relapses in different
bowel diseases. The available evidence on low-residue and low-fiber diets is
summarized. The main findings showed that within human disease research, the
terms "low residue" and "low fiber" are used interchangeably, and information
related to the quantity of residue in the diet usually refers to the amount of
fiber. Low-fiber/low-residue diets are further explored in both diagnostic and
therapeutic situations. On the basis of this literature review, the authors
suggest redefining a low-residue diet as a low-fiber diet and to quantitatively
define a low-fiber diet as a diet with a maximum of 10 g fiber/d. A low-fiber
diet instead of a low-residue diet is recommended as a diagnostic value or as
specific therapy for gastrointestinal conditions.
PMID- 26567202
TI - Racial and ethnic disparities in the impact of obesity on breast cancer risk and
survival: a global perspective.
AB - Obesity is a global concern, affecting both developed and developing countries.
Although there are large variations in obesity and breast cancer rates worldwide
and across racial/ethnic groups, most studies evaluating the impact of obesity on
breast cancer risk and survival have been conducted in non-Hispanic white women
in the United States or Europe. Given the known racial/ethnic differences in
tumor hormone receptor subtype distribution, obesity prevalence, and risk factor
profiles, we reviewed published data for women of African, Hispanic, and Asian
ancestry in the United States and their countries of origin. Although the data
are limited, current evidence suggests a stronger adverse effect of obesity on
breast cancer risk and survival in women of Asian ancestry. For African Americans
and Hispanics, the strength of the associations appears to be more comparable to
that of non-Hispanic whites, particularly when accounting for subtype and
menopausal status. Central obesity seems to have a stronger impact in African
American women than general adiposity as measured by body mass index.
International data from countries undergoing economic transition offer a unique
opportunity to evaluate the impact of rapid weight gain on breast cancer. Such
studies should take into account genetic ancestry, which may help elucidate
differences in associations between ethnically admixed populations. Overall,
additional large studies that use a variety of adiposity measures are needed,
because the current evidence is based on few studies, most with limited
statistical power. Future investigations of obesity biomarkers will be useful to
understand possible racial/ethnic biological differences underlying the complex
association between obesity and breast cancer development and progression.
PMID- 26567205
TI - Consumer perception of genetically modified organisms and sources of information.
AB - Genetically modified organisms (GMOs) have been available for commercial purchase
since the 1990s, allowing producers to increase crop yields through
bioengineering that creates herbicide-resistant and insect-resistant varieties.
However, consumer knowledge about GMOs has not increased at the same rate as the
adoption of GMO crops. Consumers worldwide are displaying limited understanding,
misconceptions, and even unfamiliarity with GMO food products. Many consumers
report that they receive information about GMO food products from the media,
Internet, and other news sources. These sources may be less reliable than
scientific experts whom consumers trust more to present the facts. Although many
in the United States support mandatory GMO labeling (similar to current European
standards), consumer awareness of current GMO labeling is low. A distinction must
also be made between GMO familiarity and scientific understanding, because those
who are more familiar with it tend to be more resistant to bioengineering,
whereas those with higher scientific knowledge scores tend to have less negative
attitudes toward GMOs. This brings to question the relation between scientific
literacy, sources of information, and overall consumer knowledge and perception
of GMO foods.
PMID- 26567206
TI - Phosphorus.
PMID- 26567204
TI - Anthropometric measurements and periodontal diseases in children and adolescents:
a systematic review and meta-analysis.
AB - The aim of this systematic review was to identify and summarize evidence of the
association between anthropometric measurements and periodontal status in
children and adolescents. We searched PubMed, Institute for Scientific
Information Web of Knowledge, Cochrane Library, and 7 additional databases,
following the guidance of Preferred Reporting Items for Systematic Reviews and
Meta-Analyses, up to December 2014. Observational studies reporting data on the
association between anthropometric measurements and periodontal diseases in 2-18
y-old participants were included. An initial search identified 4191 papers; 278
potentially effective studies (k = 0.82) and 16 effective studies (k = 0.83) were
included after screening. The mean quality of evidence among the studies was
20.3, according to the Strengthening the Reporting of Observational studies in
Epidemiology checklist (k = 0.79). Meta-analyses showed that obesity (measured by
body mass index) was significantly associated with visible plaque index (OR:
4.75; 95% CI: 2.42, 9.34), bleeding on probing (OR: 5.41; 95% CI: 2.75, 10.63),
subgingival calculus (OR: 3.07; 95% CI: 1.10, 8.62), probing depth (OR: 14.15;
95% CI: 5.10, 39.25) and flow rate of salivary secretion (standardized mean
difference: -0.89; 95% CI: -1.18, -0.61). However, various results were reported
in the effective studies that were not included in meta-analyses. In conclusion,
obesity is associated with some signs of periodontal disease in children and
adolescents. Further studies with a comprehensive prospective cohort design and
more potential variables are recommended.
PMID- 26567207
TI - Institute of Medicine. Review of WIC food packages: an evaluation of white
potatoes in the cash value voucher: letter report. Washington (DC): The National
Academies Press, 2015.
PMID- 26567208
TI - Nutritional status and autism spectrum disorders.
PMID- 26567209
TI - Reply to Goldschmidt.
PMID- 26567210
TI - Erratum for Ingenbleek and Bernstein. Plasma transthyretin as a biomarker of lean
body mass and catabolic states. Adv Nutr 2015;6:572-80.
PMID- 26567211
TI - Complaints about adult social care rose by 18% last year.
PMID- 26567212
TI - Molecular mechanisms of foliar water uptake in a desert tree.
AB - Water deficits severely affect growth, particularly for the plants in arid and
semiarid regions of the world. In addition to precipitation, other subsidiary
water, such as dew, fog, clouds and small rain showers, may also be absorbed by
leaves in a process known as foliar water uptake. With the severe scarcity of
water in desert regions, this process is increasingly becoming a necessity.
Studies have reported on physical and physiological processes of foliar water
uptake. However, the molecular mechanisms remain less understood. As major
channels for water regulation and transport, aquaporins (AQPs) are involved in
this process. However, due to the regulatory complexity and functional diversity
of AQPs, their molecular mechanism for foliar water uptake remains unclear. In
this study, Tamarix ramosissima, a tree species widely distributed in desert
regions, was investigated for gene expression patterns of AQPs and for sap flow
velocity. Our results suggest that the foliar water uptake of T. ramosissima
occurs in natural fields at night when the humidity is over a threshold of 85 %.
The diurnal gene expression pattern of AQPs suggests that most AQP gene
expressions display a circadian rhythm, and this could affect both photosynthesis
and transpiration. At night, the PIP2-1 gene is also upregulated with increased
relative air humidity. This gene expression pattern may allow desert plants to
regulate foliar water uptake to adapt to extreme drought. This study suggests a
molecular basis of foliar water uptake in desert plants.
PMID- 26567213
TI - Activated microglia cause reversible apoptosis of pheochromocytoma cells,
inducing their cell death by phagocytosis.
AB - Some apoptotic processes, such as phosphatidylserine exposure, are potentially
reversible and do not necessarily lead to cell death. However, phosphatidylserine
exposure can induce phagocytosis of a cell, resulting in cell death by
phagocytosis: phagoptosis. Phagoptosis of neurons by microglia might contribute
to neuropathology, whereas phagoptosis of tumour cells by macrophages might limit
cancer. Here, we examined the mechanisms by which BV-2 microglia killed co
cultured pheochromocytoma (PC12) cells that were either undifferentiated or
differentiated into neuronal cells. We found that microglia activated by
lipopolysaccharide rapidly phagocytosed PC12 cells. Activated microglia caused
reversible phosphatidylserine exposure on and reversible caspase activation in
PC12 cells, and caspase inhibition prevented phosphatidylserine exposur and
decreased subsequent phagocytosis. Nitric oxide was necessary and sufficient to
induce the reversible phosphatidylserine exposure and phagocytosis. The PC12
cells were not dead at the time they were phagocytised, and inhibition of their
phagocytosis left viable cells. Cell loss was inhibited by blocking phagocytosis
mediated by phosphatidylserine, MFG-E8, vitronectin receptors or P2Y6 receptors.
Thus, activated microglia can induce reversible apoptosis of target cells, which
is insufficient to cause apoptotic cell death, but sufficient to induce their
phagocytosis and therefore cell death by phagoptosis.
PMID- 26567214
TI - Reciprocal regulation of alternative lineages by Rgs18 and its transcriptional
repressor Gfi1b.
AB - Appropriate diversification of cellular lineages from multi-potent progenitors is
essential for normal development and homeostasis. The specification of erythroid
and megakaryocytic lineages represents an especially vital developmental event
whose molecular regulation remains incompletely defined. We now demonstrate the
role of Rgs18, a GTPase-activating protein and transcriptional target of the
repressor Gfi1b, in regulating these processes in mouse and human cells. Gfi1b
stringently represses Rgs18 expression in erythroid cells, whereas, during
megakaryocytic differentiation, declining Gfi1b levels facilitate a robust
induction of Rgs18. Concordantly, alterations in Rgs18 expression produce
disparate outcomes by augmenting megakaryocytic and potently suppressing
erythroid differentiation and vice versa. These phenotypes reflect the
differential impact of Rgs18 on signaling through p38 MAPK family proteins, and
ERK1 and ERK2 (also known as MAPK3 and MAPK1, respectively) in the two lineages,
which in turn alter the balance between the mutually antagonistic transcription
factors Fli1 and Klf1. Overall, these results identify Rgs18 as a new and crucial
effector of Gfi1b that regulates downstream signaling and gene expression
programs to orchestrate erythro-megakaryocytic lineage choices. This dual role of
Rgs18 in reciprocally regulating divergent lineages could exemplify generic
mechanisms characteristic of multiple family members in different contexts.
PMID- 26567215
TI - The Ccl1-Kin28 kinase complex regulates autophagy under nitrogen starvation.
AB - Starvation triggers global alterations in the synthesis and turnover of proteins.
Under such conditions, the recycling of essential nutrients by using autophagy is
indispensable for survival. By screening known kinases in the yeast genome, we
newly identified a regulator of autophagy, the Ccl1-Kin28 kinase complex (the
equivalent of the mammalian cyclin-H-Cdk7 complex), which is known to play key
roles in RNA-polymerase-II-mediated transcription. We show that inactivation of
Ccl1 caused complete block of autophagy. Interestingly, Ccl1 itself was subject
to proteasomal degradation, limiting the level of autophagy during prolonged
starvation. We present further evidence that the Ccl1-Kin28 complex regulates the
expression of Atg29 and Atg31, which is crucial in the assembly of the Atg1
kinase complex. The identification of this previously unknown regulatory pathway
sheds new light on the complex signaling network that governs autophagy activity.
PMID- 26567216
TI - Drosophila Rabex-5 restricts Notch activity in hematopoietic cells and maintains
hematopoietic homeostasis.
AB - Hematopoietic homeostasis requires the maintenance of a reservoir of
undifferentiated blood cell progenitors and the ability to replace or expand
differentiated blood cell lineages when necessary. Multiple signaling pathways
function in these processes, but how their spatiotemporal control is established
and their activity is coordinated in the context of the entire hematopoietic
network are still poorly understood. We report here that loss of the gene Rabex-5
in Drosophila causes several hematopoietic abnormalities, including blood cell
(hemocyte) overproliferation, increased size of the hematopoietic organ (the
lymph gland), lamellocyte differentiation and melanotic mass formation. Hemocyte
specific Rabex-5 knockdown was sufficient to increase hemocyte populations,
increase lymph gland size and induce melanotic masses. Rabex-5 negatively
regulates Ras, and we show that Ras activity is responsible for specific Rabex-5
hematopoietic phenotypes. Surprisingly, Ras-independent Notch protein
accumulation and transcriptional activity in the lymph gland underlie multiple
distinct hematopoietic phenotypes of Rabex-5 loss. Thus, Rabex-5 plays an
important role in Drosophila hematopoiesis and might serve as an axis
coordinating Ras and Notch signaling in the lymph gland.
PMID- 26567217
TI - Impaired mitochondrial Fe-S cluster biogenesis activates the DNA damage response
through different signaling mediators.
AB - Fe-S cluster biogenesis machinery is required for multiple DNA metabolism
processes. In this work, we show that, in Saccharomyces cerevisiae, defects at
different stages of the mitochondrial Fe-S cluster assembly machinery (ISC)
result in increased spontaneous mutation rate and hyper-recombination,
accompanied by an increment in Rad52-associated DNA repair foci and a higher
phosphorylated state of gammaH2A histone, altogether supporting the presence of
constitutive DNA lesions. Furthermore, ISC assembly machinery deficiency elicits
a DNA damage response that upregulates ribonucleotide reductase activity by
promoting the reduction of Sml1 levels and the cytosolic redistribution of Rnr2
and Rnr4 enzyme subunits. Depending on the impaired stage of the ISC machinery,
different signaling pathway mediators contribute to such a response, converging
on Dun1. Thus, cells lacking the glutaredoxin Grx5, which are compromised at the
core ISC system, show Mec1- and Rad53-independent Dun1 activation, whereas both
Mec1 and Chk1 are required when the non-core ISC member Iba57 is absent. Grx5
null cells exhibit a strong dependence on the error-free post-replication repair
and the homologous recombination pathways, demonstrating that a DNA damage
response needs to be activated upon ISC impairment to preserve cell viability.
PMID- 26567218
TI - ATM and ATR signaling at a glance.
AB - ATM and ATR signaling pathways are well conserved throughout evolution and are
central to the maintenance of genome integrity. Although the role of both ATM and
ATR in DNA repair, cell cycle regulation and apoptosis have been well studied,
both still remain in the focus of current research activities owing to their role
in cancer. Recent advances in the field suggest that these proteins have an
additional function in maintaining cellular homeostasis under both stressed and
non-stressed conditions. In this Cell Science at a Glance article and the
accompanying poster, we present an overview of recent advances in ATR and ATM
research with emphasis on that into the modes of ATM and ATR activation, the
different signaling pathways they participate in - including those that do not
involve DNA damage - and highlight their relevance in cancer.
PMID- 26567219
TI - Structure and function of longin SNAREs.
AB - Soluble N-ethylmaleimide-sensitive factor attachment protein receptor (SNARE)
proteins constitute the core membrane fusion machinery of intracellular transport
and intercellular communication. A little more than ten years ago, it was
proposed that the long N-terminal domain of a subset of SNAREs, henceforth called
the longin domain, could be a crucial regulator with multiple functions in
membrane trafficking. Structural, biochemical and cell biology studies have now
produced a large set of data that support this hypothesis and indicate a role for
the longin domain in regulating the sorting and activity of SNAREs. Here, we
review the first decade of structure-function data on the three prototypical
longin SNAREs: Ykt6, VAMP7 and Sec22b. We will, in particular, highlight the
conserved molecular mechanisms that allow longin domains to fold back onto the
fusion-inducing SNARE coiled-coil domain, thereby inhibiting membrane fusion, and
describe the interactions of longin SNAREs with proteins that regulate their
intracellular sorting. This dual function of the longin domain in regulating both
the membrane localization and membrane fusion activity of SNAREs points to its
role as a key regulatory module of intracellular trafficking.
PMID- 26567220
TI - The NF-kappaB-modulated microRNAs miR-195 and miR-497 inhibit myoblast
proliferation by targeting Igf1r, Insr and cyclin genes.
AB - MicroRNAs (miRNAs) play important roles in the development of skeletal muscle. In
our previous study, expression of miR-195 and miR-497 were shown to be
upregulated during muscle development in pigs. In this study, we investigated the
roles of these two miRNAs in myogenesis and analyzed their transcriptional
regulation. Our results showed that miR-195 and miR-497 were upregulated during
muscle development and myoblast differentiation. Moreover, miR-195 and miR-497
inhibited proliferation but not differentiation in C2C12 cells. Further
investigation revealed that Igf1r, Insr, Ccnd2 and Ccne1 were directly targeted
by miR-195 and miR-497 in myoblasts. In addition, we confirmed that miR-195 and
miR-497, which shared the similar expression profiling, were negatively regulated
by nuclear factor kappaB (NF-kappaB) in both myoblasts and skeletal muscle
tissue. Our data illustrate that the signaling pathway NF-kappaB-miR-195/497
Igf1r/Insr-Ccnd2/Ccne1 plays important roles in myogenesis. Our study provides
novel evidence for the roles of miR-195 and miR-497 in muscle development.
PMID- 26567221
TI - N-linked glycosylation plays a crucial role in the secretion of HMGB1.
AB - HMGB1 protein is a delayed mediator of sepsis that is secreted to the
extracellular milieu in response to various stimulants, inducing a pro
inflammatory response. HMGB1 is devoid of an endoplasmic reticulum (ER)-targeting
signal peptide; hence, the mechanism of extracellular secretion is not completely
understood, although HMGB1 is secreted after being subjected to post
translational modifications. Here, we identified the role of N-glycosylation of
HMGB1 in extracellular secretion. We found two consensus (N37 and N134) and one
non-consensus (N135) residues that were N-glycosylated in HMGB1 by performing
liquid chromatography tandem mass spectrometry (LC-MS/MS) and analyzing for N
glycan composition and structure. Inhibition of N-glycosylation with tunicamycin
resulted in a molecular shift of HMGB1 as assessed by gel electrophoresis. Non
glycosylated double mutant (N->Q) HMGB1 proteins (HMGB1(N37Q/N134Q) and
HMGB1(N37Q/N135Q)) showed localization to the nuclei, strong binding to DNA, weak
binding to the nuclear export protein CRM1 and rapid degradation by
ubiquitylation. These mutant proteins had reduced secretion even after
acetylation, phosphorylation, oxidation and exposure to pro-inflammatory stimuli.
Taken together, we propose that HMGB1 is N-glycosylated, and that this is
important for its DNA interaction and is a prerequisite for its nucleocytoplasmic
transport and extracellular secretion.
PMID- 26567223
TI - Prevalence of virulence factors and phylogenetic characterization of
uropathogenic Escherichia coli causing urinary tract infection in patients with
and without diabetes mellitus.
AB - BACKGROUND: We aimed to determine the virulence factor profile and phylogenetic
grouping of uropathogenic Escherichia coli (UPEC) causing urinary tract infection
(UTI) in patients with and without diabetes mellitus (DM). METHODS: A total of
280 UPEC were collected from the urine samples of patients with DM (n=126) and
patients suffering from non-DM UTIs (n=154). All isolates were subjected to
phenotypic and genotypic virulence factor profile and phylogenetic grouping.
RESULTS: There was no significant difference in phenotypic virulence factors of
UPEC causing UTI from patients with and without DM; alpha-haemolysin (DM=52,
41.2%; non-DM=69, 44.8%), mannose resistant haemagglutination (DM=6, 36.2%; non
DM=64, 41.5%), biofilm production (DM=33.3%; non-DM=25.9%), serum resistance
(DM=27, 21.4%; non-DM=46, 29.8%), cell surface hydrophobicity (DM=22, 17.1%; non
DM=18, 11.6%) and mannose sensitive hemagglutnation (DM=18, 14.2%; non-DM=29,
18.8%). Among the genotypic virulence markers, papC gene was most prevalent in
both patients with DM (n=65, 51.6%) and without DM (n=88, 57.1%), followed by
hlyD gene (DM=36, 28.6%; non-DM=52, 33.8%). Only cnf-1 gene was observed to be
significantly associated (p<0.05) with the non-DM status (n=35, 22.7%) than DM
(n=15, 11.9%). Irrespective of the diabetic status, majority of the UPEC isolates
(approximately 50%) belonged to phylogenetic group B2 predominantly harboring the
virulence genes papC, hlyD and cnf-1. CONCLUSIONS: This study demonstrates that
there may not be a differential selection of virulence properties of UPEC causing
UTI in patients with DM and in the general population.
PMID- 26567222
TI - BAR-SH3 sorting nexins are conserved interacting proteins of Nervous wreck that
organize synapses and promote neurotransmission.
AB - Nervous wreck (Nwk) is a conserved F-BAR protein that attenuates synaptic growth
and promotes synaptic function in Drosophila. In an effort to understand how Nwk
carries out its dual roles, we isolated interacting proteins using mass
spectrometry. We report a conserved interaction between Nwk proteins and BAR-SH3
sorting nexins, a family of membrane-binding proteins implicated in diverse
intracellular trafficking processes. In mammalian cells, BAR-SH3 sorting nexins
induce plasma membrane tubules that localize NWK2, consistent with a possible
functional interaction during the early stages of endocytic trafficking. To study
the role of BAR-SH3 sorting nexins in vivo, we took advantage of the lack of
genetic redundancy in Drosophila and employed CRISPR-based genome engineering to
generate null and endogenously tagged alleles of SH3PX1. SH3PX1 localizes to
neuromuscular junctions where it regulates synaptic ultrastructure, but not
synapse number. Consistently, neurotransmitter release was significantly
diminished in SH3PX1 mutants. Double-mutant and tissue-specific-rescue
experiments indicate that SH3PX1 promotes neurotransmitter release
presynaptically, at least in part through functional interactions with Nwk, and
might act to distinguish the roles of Nwk in regulating synaptic growth and
function.
PMID- 26567224
TI - Barriers and Facilitators to Retaining and Reengaging HIV Clients in Care: A Case
Study of North Carolina.
AB - Retention in HIV care is critical to decrease disease-related mortality and
morbidity and achieve national benchmarks. However, a myriad of barriers and
facilitators impact retention in care; these can be understood within the social
ecological model. To elucidate the unique factors that impact consistent HIV care
engagement, a qualitative case study was conducted in North Carolina to examine
the barriers and facilitators to retain and reengage HIV clients in care. HIV
professionals (n = 21) from a variety of health care settings across the state
participated in interviews that were transcribed and analyzed for emergent
themes. Respondents described barriers to care at all levels within the HIV
prevention and care system including intrapersonal, interpersonal, institutional,
community, and public policy. Participants also described recent statewide
initiatives with the potential to improve care engagement. Results from this
study may assist other states with similar challenges to identify needed programs
and priorities to optimize client retention in HIV care.
PMID- 26567225
TI - The Modalities of Nonadherence to Highly Active Antiretroviral Therapy and the
Associated Factors Related to Patients' Sociodemographic Characteristics and
Their Caregiving Perceptions in Ouagadougou (Burkina Faso).
AB - BACKGROUND: The authors studied the modalities of nonadherence to highly active
antiretroviral therapy (HAART) and its sociodemographic associated factors and
those in relation to caregiving perception in Ouagadougou. METHODS: A cross
sectional study was performed from December 2013 to February 2014 in 2 health
centers. Adults receiving HAART for at least 3 months were included. Adherence
was studied according to the quantitative, qualitative, and global criteria.
Factors associated with nonadherence were analyzed with chi-square and Fisher
tests. A logistic regression model was applied for multivariate analysis.
RESULTS: The authors studied 152 patients: mean age 40.7 +/- 7.8 years and sex
ratio 0.34. Frequencies were 7.2% for self-reported quantitative, 20.4% for
calculated quantitative, 31.6% for qualitative, and 38.2% for global
nonadherence. Married status (P = .02), patient's dissatisfaction regarding
clinical monitoring (P = .01), and therapeutic education (P = .03) were
associated with nonadherence. In multivariate analysis, married status remains
associated (odds ratio = 7.00, 95% confidence interval = 1.89-25.8, P = .0004).
CONCLUSION: Nonadherence to HAART needs to be correctly managed during HIV/AIDS
monitoring.
PMID- 26567226
TI - Rate of CD4 Decline and Factors Associated with Rapid CD4 Decline in Asymptomatic
HIV-Infected Patients.
AB - The accurate marker to assess the risk of disease progression in HIV disease is
CD4 count. CD4 decline to <200 cells/mm3 prompts the patients to have risk of
opportunistic infections. A retrospective cohort study was conducted in
asymptomatic HIV-infected patients who had CD4 count>200 cell/mm3, were
antiretroviral naive, and had >=1-year follow-up. Eighty patients, with mean age
of 36.4 (standard deviation [SD]=9.1) years and 58.8% females, were analyzed. The
mean (SD) baseline CD4 count was 423 (119) cells/mm3. During the median (IQR)
time of 29.0 (14.1-49.6) months, 26.3% had CD4 declined to <200 cells/mm3. From
Cox proportional hazard model, only baseline CD4 count<350 cells/mm3 was
significantly associated with rapid decline in CD4 count (HR 4.208; 95%CI, 1.428
12.397; P=.009). Age, gender, comorbid disease, risk of HIV infection, duration
of HIV diagnosis, and body weight were not associated with rapid CD4 decline.
This indicates that asymptomatic patients with CD4 count<350 cells/mm3 are at
priority for antiretroviral therapy in resource-limited settings.
PMID- 26567227
TI - Conservative vs. Surgical Management of Post-Traumatic Epidural Hematoma: A Case
and Review of Literature.
AB - BACKGROUND: Trauma is the leading cause of death in people younger than 45 years
and head injury is the main cause of trauma mortality. Although epidural
hematomas are relatively uncommon (less than 1% of all patients with head
injuries and fewer than 10% of those who are comatose), they should always be
considered in evaluation of a serious head injury. Patients with epidural
hematomas who meet surgical criteria and receive prompt surgical intervention can
have an excellent prognosis, presumably owing to limited underlying primary brain
damage from the traumatic event. The decision to perform a surgery in a patient
with a traumatic extraaxial hematoma is dependent on several factors
(neurological status, size of hematoma, age of patients, CT findings) but also
may depend on the judgement of the treating neurosurgeon. CASE REPORT: A 30-year
old man arrived at our Emergency Department after a traumatic brain injury.
General examination revealed severe headache, no motor or sensory disturbances,
and no clinical signs of intracranial hypertension. A CT scan documented a
significant left fronto-parietal epidural hematoma, which was considered suitable
for surgical evacuation. The patient refused surgery. Following CT scan revealed
a minimal increase in the size of the hematoma and of midline shift. The
neurologic examination maintained stable and the patient continued to refuse the
surgical treatment. Next follow up CT scans demonstrated a progressive resorption
of hematoma. CONCLUSIONS: We report an unusual case of a remarkable epidural
hematoma managed conservatively with a favorable clinical outcome. This case
report is intended to rather add to the growing knowledge regarding the best
management for this serious and acute pathology.
PMID- 26567229
TI - Dabigatran and apolipoprotein B.
PMID- 26567228
TI - Evidence of microvascular dysfunction in heart failure with preserved ejection
fraction.
AB - OBJECTIVE: While vascular dysfunction is well defined in patients with heart
failure (HF) with reduced ejection fraction (HFrEF), disease-related alterations
in the peripheral vasculature of patients with HF with preserved ejection
fraction (HFpEF) are not well characterised. Thus, we sought to test the
hypothesis that patients with HFpEF would demonstrate reduced vascular function,
at the conduit artery and microvascular levels, compared with controls. METHODS:
We examined conduit artery function via brachial artery flow-mediated dilation
(FMD) and microvascular function via reactive hyperaemia (RH) following 5 min of
ischaemia in 24 patients with Class II-IV HFpEF and 24 healthy controls matched
for age, sex and brachial artery diameter. RESULTS: FMD was reduced in patients
with HFpEF compared with controls (HFpEF: 3.1+/-0.7%; CONTROLS: 5.1+/-0.5%,
p=0.03). However, shear rate at time of peak brachial artery dilation was lower
in patients with HFpEF compared with controls (HFpEF: 42 070+/-4018/s; CONTROLS:
69 018+/-9509/s, p=0.01), and when brachial artery FMD was normalised for the
shear stimulus, cumulative area-under-the-curve (AUC) at peak dilation, the
between-group differences were eliminated (HFpEF: 0.11+/-0.03%/AUC; CONTROLS:
0.09+/-0.01%/AUC, p=0.58). RH, assessed as AUC, was lower in patients with HFpEF
(HFpEF: 454+/-35 mL; CONTROLS: 660+/-63 mL, p<0.01). CONCLUSIONS: Collectively,
these data suggest that maladaptations at the microvascular level contribute to
the pathophysiology of HFpEF, while conduit artery vascular function is not
diminished beyond that which occurs with healthy aging.
PMID- 26567230
TI - Role of imaging in the evaluation of single ventricle with the Fontan palliation.
AB - The Fontan operation for single ventricle palliation consists of the creation of
a complete cavopulmonary connection, usually by incorporating inferior vena caval
flow into a pulmonary arterial circulation already receiving flow from the
superior vena cava. In single ventricle palliated in this way, the anatomy is
complex, and the pathophysiological complications are frequent; so, cardiac
imaging is a key aspect of clinical surveillance. Common problems that
echocardiography and MRI may disclose and characterise in the Fontan palliation
of single ventricle include obstruction of systemic venous and pulmonary arterial
flow, atrioventricular and semilunar valve dysfunction, unintended collateral
flow patterns, ventricular dysfunction, aortic arch obstruction, interatrial
obstruction, fenestration flow and patch leaks. Despite the broad scope of these
modalities for detection of such problems, often no single imaging method is
comprehensive in any given patient. Therefore, physicians must recognise the
limitations of each modality, and circumvent these by application of suitable
alternatives. New imaging tools are becoming available, which may ultimately
prove to be of value in the Fontan circulation. Proper application of diverse new
technologies such as four dimensional flow, computational fluid dynamics and
three-dimensional printing will require critical evaluation in the single
ventricle population.
PMID- 26567231
TI - Hypereosinophilic syndrome: cardiac diagnosis and management.
AB - Hypereosinophilic syndrome (HES) is a heterogeneous group of conditions that is
defined at its core by hypereosinophilia (HE) (blood eosinophil count of
>1.5*10(9)/L) and organ damage directly attributable to the HE. Cardiac
dysfunction occurs frequently in all forms of HES and is a major cause of
morbidity and mortality. Once a significantly elevated eosinophil count is
identified, it must be confirmed on repeat testing and the aetiology for the HE
must be rigorously sought out with a focus on identifying whether organ
dysfunction is occurring. Echocardiography is routinely performed to assess for
cardiac involvement, looking for evidence of left ventricular and/or right
ventricular apical obliteration or thrombi or a restrictive cardiomyopathy.
Cardiac magnetic resonance imaging and CT are often useful adjuncts to establish
the diagnosis but endomyocardial biopsy remains the gold standard. To decrease
the degree of eosinophilia, treatment can include corticosteroids and/or imatinib
based on the aetiology. Anticoagulation, standard heart failure therapy for a
restrictive cardiomyopathy and finally cardiac transplantation may be indicated
in the treatment algorithm.
PMID- 26567232
TI - Weather and cardiovascular mortality.
PMID- 26567233
TI - Cardiovascular mortality risk attributable to ambient temperature in China.
AB - OBJECTIVE: To examine cardiovascular disease (CVD) mortality burden attributable
to ambient temperature; to estimate effect modification of this burden by gender,
age and education level. METHODS: We obtained daily data on temperature and CVD
mortality from 15 Chinese megacities during 2007-2013, including 1,936,116 CVD
deaths. A quasi-Poisson regression combined with a distributed lag non-linear
model was used to estimate the temperature-mortality association for each city.
Then, a multivariate meta-analysis was used to derive the overall effect
estimates of temperature at the national level. Attributable fraction of deaths
were calculated for cold and heat (ie, temperature below and above minimum
mortality temperatures, MMTs), respectively. The MMT was defined as the specific
temperature associated to the lowest mortality risk. RESULTS: The MMT varied from
the 70th percentile to the 99th percentile of temperature in 15 cities, centring
at 78 at the national level. In total, 17.1% (95% empirical CI 14.4% to 19.1%) of
CVD mortality (330,352 deaths) was attributable to ambient temperature, with
substantial differences among cities, from 10.1% in Shanghai to 23.7% in
Guangzhou. Most of the attributable deaths were due to cold, with a fraction of
15.8% (13.1% to 17.9%) corresponding to 305,902 deaths, compared with 1.3% (1.0%
to 1.6%) and 24,450 deaths for heat. CONCLUSIONS: This study emphasises how cold
weather is responsible for most part of the temperature-related CVD death burden.
Our results may have important implications for the development of policies to
reduce CVD mortality from extreme temperatures.
PMID- 26567234
TI - Effective components of nurse-coordinated care to prevent recurrent coronary
events: a systematic review and meta-analysis.
AB - Current guidelines on secondary prevention of cardiovascular disease recommend
nurse-coordinated care (NCC) as an effective intervention. However, NCC
programmes differ widely and the efficacy of NCC components has not been studied.
To investigate the efficacy of NCC and its components in secondary prevention of
coronary heart disease by means of a systematic review and meta-analysis of
randomised controlled trials. 18 randomised trials (11 195 patients in total)
using 15 components of NCC met the predefined inclusion criteria. These
components were placed into three main intervention strategies: (1) risk factor
management (13 studies); (2) multidisciplinary consultation (11 studies) and (3)
shared decision making (10 studies). Six trials combined NCC components from all
three strategies. In total, 30 outcomes were observed. We summarised observed
outcomes in four outcome categories: (1) risk factor levels (16 studies); (2)
clinical events (7 studies); (3) patient-perceived health (7 studies) and (4)
guideline adherence (3 studies). Compared with usual care, NCC lowered systolic
blood pressure (weighted mean difference (WMD) 2.96 mm Hg; 95% CI 1.53 to 4.40 mm
Hg) and low-density lipoprotein cholesterol (WMD 0.23 mmol/L; 95% CI 0.10 to 0.36
mmol/L). NCC also improved smoking cessation rates by 25% (risk ratio 1.25; 95%
CI 1.08 to 1.43). NCC demonstrated to have an effect on a small number of
outcomes. NCC that incorporated blood pressure monitoring, cholesterol control
and smoking cessation has an impact on the improvement of secondary prevention.
Additionally, NCC is a heterogeneous concept. A shared definition of NCC may
facilitate better comparisons of NCC content and outcomes.
PMID- 26567235
TI - MicroRNA Regulatory Network Revealing the Mechanism of Inflammation in Atrial
Fibrillation.
AB - BACKGROUND: Atrial fibrillation (AF) is a highly prevalent condition associated
with high morbidity and mortality that can cause or exacerbate heart failure and
is an important risk factor for stroke. AF is the disorganized propagation of
electrical activity in the atrium, which prevents organized contractions.
However, the effect of microRNAs and the patterns of the regulatory network of AF
remain vague. MATERIAL AND METHODS: The mRNA expression data of atrial tissue
splices from 3 conditions - permanent atrial fibrillation (AF), sinus rhythm
(SR), and human left ventricular non-failing myocardium (LV) - were downloaded
from GSE2240 and the differentially expressed genes (DEGs) between the 3 kinds of
samples were calculated. Then we constructed 3 miRNA-DEGs networks and these
networks were integrated to construct the final merged AF-related microRNA
regulatory network. Finally, we constructed the miRNA-inflammation networks to
detect the roles of miRNAs in inflammation development of AF. RESULTS: This
network included 108 DEGs, and 27 microRNAs and DEGs are regulated by both
microRNAs. We found that a sub-network composed by miR-124, miR-183, miR-215, miR
192, and a DEG of EGR1 were all represents in these 3 networks. Based on
functional enrichment analysis, some biological process, such as energy and
glucan metabolic process and heart and blood vessel development, were found to be
regulated by miRNAs in AF. Some miRNAs, such as miR-26b and miR-355p, were
involved in inflammation in AF. CONCLUSIONS: In conclusion, the microRNA
regulatory network sheds new light on the molecular mechanism of AF with this non
coding regulated model.
PMID- 26567236
TI - Pipeline embolization device thrombosis induced peri-construct collateral
channels.
AB - More than half a decade of experience and follow-up has now been accumulated with
regard to flow diversion as a treatment for intracranial aneurysms; however, many
uncertainties, such as the nature of aneurysmal remnants and the meaning of non
regressed, non-perfused aneurysmal masses, are still unknown. Here we discuss a
22-year-old man who presented with a grade 1 subarachnoid hemorrhage secondary to
a dissecting right anterior cerebral artery aneurysm who was subsequently treated
with a Pipeline Embolization Device construct. After ceasing dual antiplatelet
therapy himself, he was found on MRI to have an area of increased aneurysmal
remnant reperfusion. This was found to connect to multiple channels which
reconstituted flow within the distal construct on angiography. The central area
of construct thrombosis could not be visualized on MRI. The finding suggests that
non-opacified aneurysmal remnants that have not regressed are biologically active
and raises concerns regarding using MRI for long term follow-up.
PMID- 26567237
TI - Hookworm-related cutaneous larva migrans acquired in the UK.
AB - Hookworm-related cutaneous larva migrans (HrCLM) is a skin disease caused by
infection with the larvae of animal hookworms. With conditions for infection more
favourable in tropical climates, HrCLM in the UK is classically diagnosed in the
returning traveller. We present two cases of clinically diagnosed UK-acquired
HrCLM from a district general hospital in the south of England. A 68-year-old
woman presented with a pruritic serpiginous tract on the right hand. She was a
keen gardener and had been handling compost. A 50-year-old man, a long distance
runner, presented with a similar lesion on the dorsum of his foot. Both patients
were treated with a single dose of albendazole. These cases may represent an
emerging infection in the UK. In the absence of a suggestive travel history,
early recognition followed by efficient access to therapy is vital for treating
HrCLM transmitted in the UK.
PMID- 26567238
TI - Tuberculosis of the cavum revealed by acute facial pain.
AB - An 85-year-old woman presented for assessment of recurring episodes of intense
hemifacial pain, mimicking trigeminal neuralgia, associated with tinnitus. A
necrotic tumour of the cavum with compression of the left Eustachian tube and
skull-base invasion was discovered on brain MRI. Although the tumour was
initially thought to be malignant, the histopathological findings on the biopsy
were compatible with tuberculosis, later confirmed by the cultures. F-18
fluorodeoxyglucose positron emission tomography (PET)/CT showed an intense signal
of the cavum, cervical and mediastinal lymph nodes, and also of two small nodules
of the apex of each lung. Currently, after 9 months of combined antituberculosis
antibiotics, the initial lesion has almost disappeared from both PET scan and
MRI. This case highlights the importance of systematically screening for
tuberculosis in the assessment of nasopharyngeal tumours.
PMID- 26567239
TI - Opioid-induced constipation reversal in response to placebo in a patient with a
history of IBS receiving methadone maintenance therapy.
AB - Opioid-induced constipation (OIC) is one of the major side effects in patients
receiving methadone maintenance treatment (MMT). Quite often, constipation
becomes a factor significantly affecting therapeutic options and choices.
Currently used approaches are symptomatic and in many cases ineffective. At the
same time, it is well known that the gastrointestinal system is a subject for
psychosomatic influences. In this case report, we describe an unexpected outcome
of placebo administration in a patient suffering from OIC since her participation
in MMT. The patient participated in a triple-blind randomised placebo-controlled
trial of naloxone for treatment of OIC. As part of the study crossover design,
the patient received 1 week of placebo followed by 1 week of naloxone, and had
significant improvement in her bowel functioning when receiving placebo, then
returned to baseline during the second week of the study.
PMID- 26567240
TI - Breast filariasis or inflammatory breast carcinoma? Reaching a diagnosis.
AB - Lymphatic filariasis is a neglected tropical disease caused by the parasite
Wuchereria bancrofti. Involvement of the breast is relatively uncommon and may
affect only 10% of individuals with lymphatic filariasis. We present a case of an
elderly woman with breast pathology. The condition clinically appeared as a
malignancy. Routine diagnostic tests including tissue histopathological studies
failed to clinch a diagnosis. However, a serological test helped in identifying
the pathology, which turned out to be a manifestation of breast filariasis, and
aided in instituting appropriate therapy for this condition.
PMID- 26567241
TI - Jejunal angiodysplasia causing recurrent gastrointestinal bleeding presenting as
severe anaemia and melena.
AB - Angiodysplasia of the gastrointestinal (GI) tract consists of ectasia of the
submucosal vessels of the bowel. The evaluation of such patients needs
proctoscopy, colonoscopy, small bowel enema, enteroscopy, capsule enteroscopy and
angiography. Capsule enteroscopy has come up as an alternative to GI enteroscopy
and colonoscopy in patients with occult GI bleeding; up to 52% cases of small
bowel angiodysplasia in patients with occult GI bleed with negative upper GI and
colonoscopy have been reported. The use of capsule enteroscopy potentially limits
the hazard of radiation exposure from angiography and is less invasive than
double balloon endoscopy. The treatment options for angiodysplasias include intra
arterial vasopressin injection, selective gel foam embolisation, endoscopic
electrocoagulation and injection of sclerosants, with each of these being
technically demanding, and requiring centres with good access to enteroscopy
technology and trained gastroenterologists. Operative intervention has been
indicated for refractory bleeding or lesions in sites not accessible to
endoscopic interventions.
PMID- 26567242
TI - Cathepsin S Cleavage of Protease-Activated Receptor-2 on Endothelial Cells
Promotes Microvascular Diabetes Complications.
AB - Endothelial dysfunction is a central pathomechanism in diabetes-associated
complications. We hypothesized a pathogenic role in this dysfunction of cathepsin
S (Cat-S), a cysteine protease that degrades elastic fibers and activates the
protease-activated receptor-2 (PAR2) on endothelial cells. We found that
injection of mice with recombinant Cat-S induced albuminuria and glomerular
endothelial cell injury in a PAR2-dependent manner. In vivo microscopy confirmed
a role for intrinsic Cat-S/PAR2 in ischemia-induced microvascular permeability.
In vitro transcriptome analysis and experiments using siRNA or specific Cat-S and
PAR2 antagonists revealed that Cat-S specifically impaired the integrity and
barrier function of glomerular endothelial cells selectively through PAR2. In
human and mouse type 2 diabetic nephropathy, only CD68(+) intrarenal monocytes
expressed Cat-S mRNA, whereas Cat-S protein was present along endothelial cells
and inside proximal tubular epithelial cells also. In contrast, the cysteine
protease inhibitor cystatin C was expressed only in tubules. Delayed treatment of
type 2 diabetic db/db mice with Cat-S or PAR2 inhibitors attenuated albuminuria
and glomerulosclerosis (indicators of diabetic nephropathy) and attenuated
albumin leakage into the retina and other structural markers of diabetic
retinopathy. These data identify Cat-S as a monocyte/macrophage-derived
circulating PAR2 agonist and mediator of endothelial dysfunction-related
microvascular diabetes complications. Thus, Cat-S or PAR2 inhibition might be a
novel strategy to prevent microvascular disease in diabetes and other diseases.
PMID- 26567243
TI - Mayo Clinic/Renal Pathology Society Consensus Report on Pathologic
Classification, Diagnosis, and Reporting of GN.
AB - Renal pathologists and nephrologists met on February 20, 2015 to establish an
etiology/pathogenesis-based system for classification and diagnosis of GN, with a
major aim of standardizing the kidney biopsy report of GN. On the basis of
etiology/pathogenesis, GN is classified into the following five pathogenic types,
each with specific disease entities: immune-complex GN, pauci-immune GN,
antiglomerular basement membrane GN, monoclonal Ig GN, and C3 glomerulopathy. The
pathogenesis-based classification forms the basis of the kidney biopsy report. To
standardize the report, the diagnosis consists of a primary diagnosis and a
secondary diagnosis. The primary diagnosis should include the disease
entity/pathogenic type (if disease entity is not known) followed in order by
pattern of injury (mixed patterns may be present); score/grade/class for disease
entities, such as IgA nephropathy, lupus nephritis, and ANCA GN; and additional
features as detailed herein. A pattern diagnosis as the sole primary diagnosis is
not recommended. Secondary diagnoses should be reported separately and include
coexisting lesions that do not form the primary diagnosis. Guidelines for the
report format, light microscopy, immunofluorescence microscopy, electron
microscopy, and ancillary studies are also provided. In summary, this consensus
report emphasizes a pathogenesis-based classification of GN and provides
guidelines for the standardized reporting of GN.
PMID- 26567244
TI - B Cell Reconstitution after Rituximab Treatment in Idiopathic Nephrotic Syndrome.
AB - The pathogenesis of nephrotic syndrome is unclear. However, the efficacy of
rituximab, a B cell-depleting antibody, in nephrotic syndrome suggests a
pathogenic role of B cells. In this retrospective study, we determined by flow
cytometry levels of B and T cell subpopulations before and after rituximab
infusion in 28 pediatric patients with frequently relapsing or steroid-dependent
nephrotic syndrome. At baseline, patients had lower median percentages of
transitional and mature B cells than age-matched healthy controls (P<0.001).
Rituximab induced full depletion of B cells (<1% of lymphocytes). At 1 year, most
patients exhibited complete total and mature B cell recovery, whereas memory B
cell subsets remained significantly depleted. Total T cell concentration did not
change with rituximab, whereas the CD4(+)/CD8(+) T cell ratio tended to increase.
Fourteen patients relapsed within 24 months, with a median follow-up of 11.2
months (interquartile range, 8-17.7 months). We observed no difference at
baseline between nonrelapsing and relapsing patients in several clinical
parameters and cell subset concentrations. Reconstitution of all memory B cell
subpopulations, number of immunosuppressive drugs, and dose of tacrolimus during
the last 4 months of follow-up were predictive of relapse in univariate Cox
regression analysis. However, only delayed reconstitution of switched memory B
cells, independent of immunosuppressive treatment, was protective against relapse
in multivariate (P<0.01) and receiver operator characteristic (P<0.01 for
percentage of lymphocytes; P=0.02 for absolute count) analyses. Evaluation of
switched memory B cell recovery after rituximab may be useful for predicting
relapse in patients with nephrotic syndrome.
PMID- 26567245
TI - Inflammation Modifies the Paradoxical Association between Body Mass Index and
Mortality in Hemodialysis Patients.
AB - High body mass index (BMI) is paradoxically associated with better outcome in
hemodialysis (HD) patients. Persistent inflammation commonly features in clinical
conditions where the obesity paradox is described. We examined the relationship
between BMI and mortality in HD patients, accounting for inflammation, in a
historic cohort study of 5904 incident HD patients enrolled in 2007-2009 (312
facilities; 15 European countries) with >=3 months of follow-up. Patients were
classified by presence (n=3231) or absence (n=2673) of inflammation (C-reactive
protein >=10 mg/l and/or albumin <=35 g/l). Patients were divided into quintiles
by BMI (Q1-Q5: <21.5, 21.5-24.0, >24.0-26.4, >26.4-29.8, and >29.8 kg/m(2),
respectively). Noninflamed patients in BMI Q5 formed the reference group. During
a median follow-up period of 36.7 months, 1929 deaths occurred (822
cardiovascular), with 655 patients censored for renal transplantation and 1183
for loss to follow-up. Greater mortality was observed in inflamed patients
(P<0.001). In fully adjusted time-dependent analyses, the all-cause mortality
risk in noninflamed patients was higher only in the lowest BMI quintile (hazard
ratio [HR, 1.80; 95% confidence interval [95% CI], 1.26 to 2.56). No protective
effect was associated with higher BMI quintiles in noninflamed patients.
Conversely, higher BMI associated with lower all-cause mortality risk in inflamed
patients (HR [95% CI] for Q1: 5.63 [4.25 to 7.46]; Q2: 3.88 [2.91 to 5.17]; Q3:
2.89 [2.16 to 3.89]; Q4: 2.14 [1.59 to 2.90]; and Q5: 1.77 [1.30 to 2.40]). Thus,
whereas a protective effect of high BMI was observed in inflamed patients, this
effect was mitigated in noninflamed patients.
PMID- 26567246
TI - Epitope Spreading of Autoantibody Response to PLA2R Associates with Poor
Prognosis in Membranous Nephropathy.
AB - The phospholipase A2 receptor (PLA2R1) is the major autoantigen in idiopathic
membranous nephropathy. However, the value of anti-PLA2R1 antibody titers in
predicting patient outcomes is unknown. Here, we screened serum samples from 50
patients positive for PLA2R1 for immunoreactivity against a series of PLA2R1
deletion mutants covering the extracellular domains. We identified reactive
epitopes in the cysteine-rich (CysR), C-type lectin domain 1 (CTLD1), and C-type
lectin domain 7 (CTLD7) domains and confirmed the reactivity with soluble forms
of each domain. We then used ELISAs to stratify 69 patients positive for PLA2R1
by serum reactivity to one or more of these domains: CysR (n=23), CysRC1 (n=14),
and CysRC1C7 (n=32). Median ELISA titers measured using the full-length PLA2R1
antigens were not statistically different between subgroups. Patients with anti
CysR-restricted activity were younger (P=0.008), had less nephrotic range
proteinuria (P=0.02), and exhibited a higher rate of spontaneous remission
(P=0.03) and lower rates of renal failure progression (P=0.002) and ESRD (P=0.01)
during follow-up. Overall, 31 of 69 patients had poor renal prognosis (urinary
protein/creatinine ratio >4 g/g or eGFR<45 ml/min per 1.73 m(2) at end of follow
up). High anti-PLA2R1 activity and epitope spreading beyond the CysR epitope were
independent risk factors of poor renal prognosis in multivariable Cox regression
analysis. Epitope spreading during follow-up associated with disease worsening
(n=3), whereas reverse spreading from a CysRC1C7 profile back to a CysR profile
associated with favorable outcome (n=1). We conclude that analysis of the PLA2R1
epitope profile and spreading is a powerful tool for monitoring disease severity
and stratifying patients by renal prognosis.
PMID- 26567247
TI - Ursolic acid improves podocyte injury caused by high glucose.
AB - Background: Autophagy plays an important role in the maintenance of podocyte
homeostasis. Reduced autophagy may result in limited renal cell function during
exposure to high glucose conditions. In this study we investigated the effects of
ursolic acid (UA) on autophagy and podocyte injury, which were induced by high
glucose. Methods: Conditionally immortalized murine podocytes were cultured in
media supplemented with high glucose and the effects of the PI3K inhibitor
LY294002 and UA on protein expression were determined. miR-21 expression was
detected by real-time RT-PCR. Activation of the PTEN-PI3K/Akt/mTOR pathway,
expression of autophagy-related proteins and expression of podocyte marker
proteins were determined by western blot. Immunofluorescence was used to monitor
the accumulation of LC3 puncta. Autophagosomes were also observed by transmission
electron microscopy. Results: During exposure to high glucose conditions, the
normal level of autophagy was reduced in podocytes, and this defective autophagy
induced podocyte injury. Increased miR-21 expression, decreased PTEN expression
and abnormal activation of the PI3K/Akt/mTOR pathway were observed in cells that
were cultured in high glucose conditions. UA and LY294002 reduced podocyte injury
through the restoration of defective autophagy. Our data suggest that UA inhibits
miR-21 expression and increases PTEN expression, which in turn inhibits Akt and
mTOR and restores normal levels of autophagy. Conclusions: Our data suggest that
podocyte injury is associated with reduced levels of autophagy during exposure to
high glucose conditions, UA attenuated podocyte injury via an increase in
autophagy through miR-21 inhibition and PTEN expression, which inhibit the
abnormal activation of the PI3K/Akt/mTOR pathway.
PMID- 26567248
TI - Oleanolic acid and N-acetylcysteine ameliorate diabetic nephropathy through
reduction of oxidative stress and endoplasmic reticulum stress in a type 2
diabetic rat model.
AB - BACKGROUND: Hyperglycemia-induced endoplasmic reticulum (ER) stress and oxidative
stress could be causes of renal fibrosis in diabetes. Oleanolic acid (OA)
naturally occurs in fruits and vegetables. It has anti-inflammatory,
antihyperlipidemic and antioxidant effects. N-acetylcysteine (NAC) is a precursor
of glutathione, which has a strong antioxidant effect in the body. In this study,
we investigated the therapeutic effects of OA and NAC in diabetic nephropathy
(DN). METHODS: Otsuka Long-Evans Tokushima Fatty rats were treated with OA (100
mg/kg/day) or NAC (300 mg/kg/day) for 20 weeks by oral gavage. RESULTS: The OA or
NAC administration increased blood insulin secretion and superoxide dismutase
levels, and decreased triglycerides and urinary albumin/creatinine levels. In the
kidney, the damaged renal structure recovered with OA or NAC administration,
through an increase in nephrin and endothelial selective adhesion molecules and a
decrease in transforming growth factor-beta/p-smad2/3 and ER stress. Reactive
oxygen species and ER stress were increased by high glucose and ER stress
inducers in cultured mesangial cells, and these levels recovered with OA (5.0
MUM) or NAC (2.5 mM) treatment. CONCLUSION: The findings in this study suggest
that OA and NAC have therapeutic effects for DN through an antioxidant effect and
ER stress reduction.
PMID- 26567249
TI - Does pre-emptive transplantation versus post start of dialysis transplantation
with a kidney from a living donor improve outcomes after transplantation? A
systematic literature review and position statement by the Descartes Working
Group and ERBP.
AB - This position statement brings up guidance on pre-emptive kidney transplantation
from living donors. The provided guidance is based on a systematic review of the
literature.
PMID- 26567250
TI - Randomized controlled trials for everything?
PMID- 26567251
TI - Potential economic consequences of a cardioprotective agent for patients with
myocardial infarction: modelling study.
AB - OBJECTIVE: To investigate the cost-effectiveness of a hypothetical
cardioprotective agent used to reduce infarct size in patients undergoing
percutaneous coronary intervention (PCI) after anterior ST-elevation myocardial
infarction. METHODS: DESIGN: A cost-utility analysis using a Markov model.
SETTING: The National Health Service in the UK. PATIENTS: Patients undergoing PCI
after anterior ST-elevation myocardial infarction. INTERVENTIONS: A
cardioprotective agent given at the time of reperfusion compared to no
cardioprotection. We assumed the cardioprotective agent (given at the time of
reperfusion) would reduce the risk and severity of heart failure (HF) after PCI
and the risk of mortality after PCI (with a relative risk ranging from 0.6 to 1).
The costs of the cardioprotective agent were assumed to be in the range L1000
4000. MAIN OUTCOME MEASURES: The incremental costs per quality-adjusted life-year
(QALY) gained, using 95% CIs from 1000 simulations. RESULTS: Incremental costs
ranged from L933 to L3820 and incremental QALYs from 0.04 to 0.38. The
incremental cost-effectiveness ratio (ICER) ranged from L3311 to L63 480 per QALY
gained. The results were highly dependent on the costs of a cardioprotective
agent, patient age, and the relative risk of HF after PCI. The ICER was below the
willingness-to-pay threshold of L20 000 per QALY gained in 71% of the
simulations. CONCLUSIONS: A cardioprotective agent that can reduce the risk of HF
and mortality after PCI has a high chance of being cost-effective. This chance
depends on the price of the agent, the age of the patient and the relative risk
of HF after PCI.
PMID- 26567252
TI - Gonadotropin-releasing hormone antagonists versus standard androgen suppression
therapy for advanced prostate cancer A systematic review with meta-analysis.
AB - OBJECTIVES: To evaluate efficacy and safety of gonadotropin-releasing hormone
(GnRH) antagonists compared to standard androgen suppression therapy for advanced
prostate cancer. SETTING: The international review team included methodologists
of the German Cochrane Centre and clinical experts. PARTICIPANTS: We searched
CENTRAL, MEDLINE, Web of Science, EMBASE, trial registries and conference books
for randomised controlled trials (RCT) for effectiveness data analysis, and
randomised or non-randomised controlled studies (non-RCT) for safety data
analysis (March 2015). Two authors independently screened identified articles,
extracted data, evaluated risk of bias and rated quality of evidence according to
GRADE. RESULTS: 13 studies (10 RCTs, 3 non-RCTs) were included. No study reported
cancer-specific survival or clinical progression. There were no differences in
overall mortality (RR 1.35, 95% CI 0.63 to 2.93), treatment failure (RR 0.91, 95%
CI 0.70 to 1.17) or prostate-specific antigen progression (RR 0.83, 95% CI 0.64
to 1.06). While there was no difference in quality of life related to urinary
symptoms, improved quality of life regarding prostate symptoms, measured with the
International Prostate Symptom Score (IPSS), with the use of GnRH antagonists
compared with the use of standard androgen suppression therapy (mean score
difference -0.40, 95% CI -0.94 to 0.14, and -1.84, 95% CI -3.00 to -0.69,
respectively) was found. Quality of evidence for all assessed outcomes was rated
low according to GRADE. The risk for injection-site events was increased, but
cardiovascular events may occur less often by using GnRH antagonist. Available
evidence is hampered by risk of bias, selective reporting and limited follow-up.
CONCLUSIONS: There is currently insufficient evidence to make firm conclusive
statements on the efficacy of GnRH antagonist compared to standard androgen
suppression therapy for advanced prostate cancer. There is need for further high
quality research on GnRH antagonists with long-term follow-up. TRIAL REGISTRATION
NUMBER: CRD42012002751.
PMID- 26567253
TI - Perspectives on financial incentives to health service providers for increasing
breast feeding and smoking quit rates during pregnancy: a mixed methods study.
AB - OBJECTIVE: To explore the acceptability, mechanisms and consequences of provider
incentives for smoking cessation and breast feeding as part of the Benefits of
Incentives for Breastfeeding and Smoking cessation in pregnancy (BIBS) study.
DESIGN: Cross-sectional survey and qualitative interviews. SETTING: Scotland and
North West England. PARTICIPANTS: Early years professionals: 497 survey
respondents included 156 doctors; 197 health visitors/maternity staff; 144 other
health staff. Qualitative interviews or focus groups were conducted with 68
pregnant/postnatal women/family members; 32 service providers; 22
experts/decision-makers; 63 conference attendees. METHODS: Early years
professionals were surveyed via email about the acceptability of payments to
local health services for reaching smoking cessation in pregnancy and
breastfeeding targets. Agreement was measured on a 5-point scale using
multivariable ordered logit models. A framework approach was used to analyse free
text survey responses and qualitative data. RESULTS: Health professional net
agreement for provider incentives for smoking cessation targets was 52.9%
(263/497); net disagreement was 28.6% (142/497). Health visitors/maternity staff
were more likely than doctors to agree: OR 2.35 (95% CI 1.51 to 3.64; p<0.001).
Net agreement for provider incentives for breastfeeding targets was 44.1%
(219/497) and net disagreement was 38.6% (192/497). Agreement was more likely for
women (compared with men): OR 1.81 (1.09 to 3.00; p=0.023) and health
visitors/maternity staff (compared with doctors): OR 2.54 (95% CI 1.65 to 3.91;
p<0.001). Key emergent themes were 'moral tensions around acceptability', 'need
for incentives', 'goals', 'collective or divisive action' and 'monitoring and
proof'. While provider incentives can focus action and resources, tensions around
the impact on relationships raised concerns. Pressure, burden of proof, gaming,
box-ticking bureaucracies and health inequalities were counterbalances to
potential benefits. CONCLUSIONS: Provider incentives are favoured by non-medical
staff. Solutions which increase trust and collaboration towards shared goals,
without negatively impacting on relationships or increasing bureaucracy are
required.
PMID- 26567254
TI - Factors contributing to the rapid rise of caesarean section: a prospective study
of primiparous Chinese women in Shanghai.
AB - OBJECTIVE: To identify factors contributing to the rapid rise of caesarean
section in Shanghai through the prospective observation of changes in the
preferred mode of delivery in pregnancy among primiparous Chinese women. DESIGN:
Prospective study. SETTING: Two general hospitals in Shanghai. PARTICIPANTS: A
cohort of 832 low-risk primiparous women participated in the investigation from
2010-2012 three consecutive times, from their second to third trimester and,
finally, 1-2 days post partum. METHODS: Participants were interviewed, using
standard questionnaires, for information on demographic characteristics, maternal
childbirth self-efficacy, their preference of delivery mode before childbirth and
on the people most influential to them when making decisions on delivery mode.
Caesarean section indications in the medical records were extracted by the
investigators and assessed against clinical guidelines. Caesarean sections were
categorised into three groups: guideline-defined indications, doctor-defined
indications and maternal request. MAIN OUTCOME MEASURES: Preferred mode of
delivery; indications for caesarean section; actual mode of delivery;
determinants of caesarean section. RESULTS: Of 832 pregnant women enrolled, 13.2%
preferred caesarean section in the second trimester. This figure rose to 17.0% in
the third trimester among 599 followed women. Of 523 women completing all three
interviews, 58.1% underwent caesarean section. However, 34.9% of women undergoing
caesarean section did not have any indications listed in the clinical guidelines
nor based on maternal request. Multinomial regression analysis showed that
doctors' influence was one of the significant risk factors of undergoing
caesarean section, with doctor-defined indications. Participants with low
maternal childbirth self-efficacy were more likely to request caesarean sections
themselves. CONCLUSIONS: When deciding to deliver via caesarean section without
justified clinical indications in the guideline, Chinese doctors played an
important role in decision-making. Among primiparous Chinese women, decisions to
use caesarean sections were often made during the third trimester or during the
process of labour.
PMID- 26567255
TI - Systematic critical review of previous economic evaluations of smoking cessation
during pregnancy.
AB - OBJECTIVE: To identify and critically assess previous economic evaluations of
smoking cessation interventions delivered during pregnancy. DESIGN: Qualitative
review of studies with primary data collection or hypothetical modelling. Quality
assessed using the Quality of Health Economic Studies checklist. DATA SOURCES:
Electronic search of 13 databases including Medline, Econlit, Embase, and PubMed,
and manual search of the UK's National Institute of Health and Care Excellence
guidelines and US Surgeon General. ELIGIBILITY CRITERIA FOR SELECTING STUDIES:
All study designs considered if they were published in English, evaluated a
cessation intervention delivered to pregnant women during pregnancy, and reported
any relevant economic evaluation metric (eg, cost per quitter, incremental cost
per quality adjusted life year). RESULTS: 18 studies were included. 18
evaluations were conducted alongside clinical trials, four were part of
observational studies, five were hypothetical decision-analytic models and one
combined modelling with within-trial analysis. Analyses conducted were cost
offset (nine studies), cost-effectiveness (five studies), cost-utility (two
studies), and combined cost-effectiveness and cost-utility (two studies). Six
studies each were identified as high, fair and poor quality, respectively. All
interventions were demonstrated to be cost-effective except motivational
interviewing which was dominated by usual care (one study). Areas where the
current literature was limited were the robust investigation of uncertainty,
including time horizons that included outcomes beyond the end of pregnancy,
including major morbidities for the mother and her infant, and incorporating
better estimates of postpartum relapse. CONCLUSIONS: There are relatively few
high quality economic evaluations of cessation interventions during pregnancy.
The majority of the literature suggests that such interventions offer value for
money; however, there are methodological issues that require addressing,
including investigating uncertainty more robustly, utilising better estimates for
postpartum relapse, extending beyond a within-pregnancy time horizon, and
including major morbidities for the mother and her infant for within-pregnancy
and beyond.
PMID- 26567257
TI - Association between breastfeeding support and breastfeeding rates in the UK: a
comparison of late preterm and term infants.
AB - OBJECTIVE: To explore the association between breastfeeding support and
breastfeeding among late preterm (gestation 34-36 weeks) and term (gestation >=37
weeks) infants. METHODS: Secondary analysis of the UK 2010 Infant Feeding Survey.
Logistic regression was used to determine the association of breastfeeding
support with breastfeeding at 10 days and 6 weeks in late preterm and term
infants. RESULTS: The study included 14,525 term and 579 late preterm infants. A
total of 11,729 infants initiated breastfeeding (11,292 (81.1%) term, 437 (79.4%)
late preterm infants, p=0.425). Of these, 9230 (84.3%) term and 365 (85.6%) late
preterm infants were breastfeeding at 10 days (p=0.586); of these 7547 (82.0%)
term and 281 (75.4%) late preterm infants were still breastfeeding at 6 weeks
(p=0.012). Mothers who reported receiving contact details for breastfeeding
support groups had a higher likelihood of breastfeeding late preterm (adjusted
ORs, aOR 3.14, 95% CI 1.40 to 7.04) and term infants (aOR 2.24, 95% CI 1.86 to
2.68) at 10 days and term infants at 6 weeks (aOR 1.83, 95% CI 1.51 to 2.22).
Those who reported that they did not receive enough help with breastfeeding in
hospital had a lower likelihood of breastfeeding late preterm at 10 days and term
infants at 10 days and 6 weeks, compared to those who reported having enough
help. CONCLUSIONS: Receiving sufficient help with breastfeeding in hospital and
the contact details for breastfeeding support groups is associated with
breastfeeding term infants up to 6 weeks and late preterm infants at 10 days.
PMID- 26567258
TI - Waist circumference values equivalent to body mass index points for predicting
absolute cardiovascular disease risks among adults in an Aboriginal community: a
prospective cohort study.
AB - OBJECTIVE: There have been suggestions that currently recommended waist
circumference (WC) cut-off points for Australians of European origin may not be
applicable to Aboriginal people who have different body habitus profiles. We
aimed to generate equivalent WC values that correspond to body mass index (BMI)
points for identifying absolute cardiovascular disease (CVD) risks. DESIGN:
Prospective cohort study. SETTING: An Aboriginal community in Australia's
Northern Territory. PARTICIPANTS: From 1992 to 1998, 920 adults without CVD, with
age, WC and BMI measurements were followed-up for up to 20 years. OUTCOME
MEASURES: Incident CVD, coronary artery disease (CAD) and heart failure (HF)
events during the follow-up period ascertained from hospitalisation data. We
generated WC values with 10-year absolute risks equivalent for the development of
CVD as BMI values (20-34 kg/m(2)) using the Weibull accelerated time-failure
model. RESULTS: There were 211 incident cases of CVD over 13,669 person-years of
follow-up. At the average age of 35 years, WC values with absolute CVD, CAD and
HF risks equivalent to BMI of 25 kg/m(2) were 91.5, 91.8 and 91.7 cm,
respectively, for males, and corresponding WC values were 92.5, 92.7 and 93 cm
for females. WC values with equal absolute CVD, CAD and HF risks to BMI of 30
kg/m(2) were 101.7, 103.1 and 102.6 cm, respectively, for males, and
corresponding values were 99.2, 101.6 and 101.5 cm for females. Association
between WC and CVD did not depend on gender (p=0.54). CONCLUSIONS: WC ranging
from 91 to 93 cm was equivalent to BMI 25 kg/m(2) for overweight, and 99 to 103
cm was equivalent to BMI of 30 kg/m(2) for obesity in terms of predicting 10-year
absolute CVD risk. Replicating the absolute risk method in other Aboriginal
communities will further validate the WC values generated for future development
of WC cut-off points for Aboriginal people.
PMID- 26567256
TI - Informed shared decision-making programme on the prevention of myocardial
infarction in type 2 diabetes: a randomised controlled trial.
AB - OBJECTIVE: To evaluate an informed shared decision-making programme (ISDM-P) for
people with type 2 diabetes under high fidelity conditions. DESIGN: Randomised,
single-blinded trial with sham control intervention and follow-up of 6 months.
SETTING: Single-centre diabetes clinic providing care according to the national
disease management programme in Germany. PARTICIPANTS: 154 people with type 2
diabetes without diagnosis of ischaemic heart disease or stroke. INTERVENTIONS:
The ISDM-P is executed by diabetes educators. Core component is a patient
decision aid on the prevention of myocardial infarction supplemented by a 90 min
group teaching session. The structurally equivalent control intervention
addresses stress issues. MAIN OUTCOME MEASURES: Primary outcome was risk
comprehension, including realistic expectations about benefits and harms of
interventions. It was assessed by a 12-item questionnaire after the teaching
session when patients set and prioritise their treatment goals. Key secondary
outcome was adherence to treatment goals, operationalised as achievement of
individual goals and medication uptake. ISDM-P teaching sessions were video-taped
to monitor intervention fidelity. RESULTS: 72 of 77 ISDM-P and 71 of 77 control
patients completed the questionnaire (score 0-12). ISDM-P patients achieved
higher levels of risk comprehension, mean score 8.25 vs 2.62, difference 5.63
(95% CI 4.82 to 6.44), and realistic expectations (score 0-6), 4.51 vs 0.85, 3.67
(3.23 to 4.11). More ISDM-P patients wished to take statins, 59.2% vs 30.4%,
28.7% (12.9% to 44.5%); more prioritised blood pressure control, 51.4% vs 25.7%,
and fewer intensive glucose control, 33.3% vs 60%, p=0.002. More ISDM-P patients
achieved their glycated haemoglobin goals, 95.8% vs 85.7%, 10.1% (0.6% to 19.5%).
Achievement of prioritised goals and medication uptake were comparable between
groups. CONCLUSIONS: The ISDM-P on preventive measures in type 2 diabetes was
effective under high fidelity conditions. Involvement of diabetes educators may
facilitate implementation of the informed shared decision-making. TRIAL
REGISTRATION NUMBER: ISRCTN84636255.
PMID- 26567259
TI - The NeuroAiD Safe Treatment (NeST) Registry: a protocol.
AB - INTRODUCTION: NeuroAiD (MLC601, MLC901), a combination of natural products, has
been shown to be safe and to aid neurological recovery after brain injuries. The
NeuroAiD Safe Treatment (NeST) Registry aims to assess its use and safety in the
real-world setting. METHODS AND ANALYSIS: The NeST Registry is designed as a
product registry that would provide information on the use and safety of NeuroAiD
in clinical practice. An online NeST Registry was set up to allow easy entry and
retrieval of essential information including demographics, medical conditions,
clinical assessments of neurological, functional and cognitive state, compliance,
concomitant medications, and side effects, if any, among patients on NeuroAiD.
Patients who are taking or have been prescribed NeuroAiD may be included.
Participation is voluntary. Data collected are similar to information obtained
during standard care and are prospectively entered by the participating
physicians at baseline (before initialisation of NeuroAiD) and during subsequent
visits. The primary outcome assessed is safety (ie, non-serious and serious
adverse event), while compliance and neurological status over time are secondary
outcomes. The in-person follow-up assessments are timed with clinical
appointments. Anonymised data will be extracted and collectively analysed.
Initial target sample size for the registry is 2000. Analysis will be performed
after every 500 participants entered with completed follow-up information. ETHICS
AND DISSEMINATION: Doctors who prescribe NeuroAiD will be introduced to the
registry by local partners. The central coordinator of the registry will discuss
the protocol and requirements for implementation with doctors who show interest.
Currently, the registry has been approved by the Ethics Committees of Universiti
Kebangsaan Malaysia (Malaysia) and National Brain Center (Indonesia). In
addition, for other countries, Ethics Committee approval will be obtained in
accordance with local requirements. TRIAL REGISTRATION NUMBER: NCT02536079.
PMID- 26567260
TI - Metabolic and Sensory Influences on Odor Sensitivity in Humans.
AB - Our olfactory sense plays an important role in eating behavior by modulating our
food preferences and intake. However, hunger or satiety may also influence how we
perceive odors. Albeit speculative, contradictory results found in the past may
have resulted from confounding by type of meal that participants ate to induce
satiety. We aimed to investigate the influence of hunger state on olfactory
sensitivity, comparing hunger to satiety using 2 different types of lunch to
control for sensory-specific satiety. Odor detection thresholds were measured in
2 groups of participants (39 per group, 18-40 years), under 3 conditions: when
hungry (twice), after a sweet lunch, and after a savory lunch. One group had
their detection thresholds tested for a sweet odor, whereas in the other group,
sensitivity to a savory odor was measured. Differences in olfactory sensitivity
conditions were analyzed using linear mixed models. Participants had higher
scores on the odor sensitivity task in a hungry versus satiated state (P =
0.001). Within the satiated condition, there was no effect of type of lunch on
odor sensitivity. In conclusion, hunger slightly enhances sensitivity to food
odors, but did not significantly depend on the type of food participants ate,
suggesting no clear influence of sensory-specific satiety.
PMID- 26567262
TI - Erratum.
AB - Simkins, J, Aragon, L, Fan, J, Frederick, C, Camargo, J. F. Intravenous
Fosfomycin Treatment for Carbapenem-Resistant Klebsiella pneumoniae in the United
States. Ann Pharmacother. 2015;49:1177-1178 (Original doi:
10.1177/1060028015598326).On page 1177, Dr. Jose F. Camargo is listed as the
3(rd) author. However, he should be the last author in the sequence.
PMID- 26567261
TI - The habenula: an under-recognised area of importance in frontotemporal dementia?
PMID- 26567263
TI - Continuous Retention and Viral Suppression Provide Further Insights Into the HIV
Care Continuum Compared to the Cross-sectional HIV Care Cascade.
AB - BACKGROUND: The human immunodeficiency virus (HIV) care continuum has become an
important tool for evaluating HIV care. Current depictions of the care continuum
are often cross-sectional and evaluate retention and viral suppression (VS) in a
single year, yet the National HIV/AIDS Strategy calls for programs with long
lasting outcomes. METHODS: Retrospective chart review of HIV-infected patients
enrolled in a large, urban clinic in 2010 followed longitudinally for 36 months.
McNemar comparisons and logistic regression analyses were conducted to evaluate
covariate association with continuous retention and VS. Generalized estimating
equation log-linear models were used to integrate time into the model. RESULTS:
Among 655 patients (77% male, 83% black, 54% men who have sex with men (MSM), 78%
uninsured) continuous retention/VS at 12 months (84%/64%), 24 months (60%/48%),
and 36 months (49%/39%) showed significant attrition (P < .0001) over time.
Continuous retention was associated with prevalent VS at the end of 36 months
(adjusted prevalence ratio 3.12; 95% confidence interval [CI], 2.40, 4.07). 12
month retention for black (84%) and nonblack (85%) patients was equivalent, yet
fewer blacks (46%) than nonblacks (63%) achieved 36-month continuous retention
due to a significant interaction between race and time (aOR 0.75, 95% CI, .59,
.95). CONCLUSIONS: Continuous retention is a critically important measure of long
term success in HIV treatment and the crucial component of successful treatment
as-prevention but is infrequently evaluated. Single cross-sections may
overestimate successful retention and virologic outcomes. A longitudinal HIV care
continuum provides greater insight into long-term outcomes and exposes
disparities not evident with traditional cross-sectional care continua.
PMID- 26567264
TI - Social network analysis of children with autism spectrum disorder: Predictors of
fragmentation and connectivity in elementary school classrooms.
AB - Although children with autism spectrum disorder are frequently included in
mainstream classrooms, it is not known how their social networks change compared
to typically developing children and whether the factors predictive of this
change may be unique. This study identified and compared predictors of social
connectivity of children with and without autism spectrum disorder using a social
network analysis. Participants included 182 children with autism spectrum
disorder and 152 children without autism spectrum disorder, aged 5-12 years in
152 general education K-5 classrooms. General linear models were used to compare
how age, classroom size, gender, baseline connectivity, diagnosis, and
intelligence quotient predicted changes in social connectivity (closeness).
Gender and classroom size had a unique interaction in predicting final social
connectivity and the change in connectivity for children with autism spectrum
disorder; boys who were placed in larger classrooms showed increased social
network fragmentation. This increased fragmentation for boys when placed in
larger classrooms was not seen in typically developing boys. These results have
implications regarding placement, intervention objectives, and ongoing school
support that aimed to increase the social success of children with autism
spectrum disorder in public schools.
PMID- 26567266
TI - Oogonial Precursor Cell-Derived Autologous Mitochondria Injection to Improve
Outcomes in Women With Multiple IVF Failures Due to Low Oocyte Quality: A
Clinical Translation.
AB - BACKGROUND: Mitochondrial dysfunction has been suggested as a major cause of age
induced decline in oocyte quality. In the past, donor oocyte cytoplasmic transfer
showed some success but was abandoned due to the concerns with heteroplasmy.
Recent studies indicated presence of oogonial precursor cells (OPCs) in the human
ovary, which could be an autologous source of "healthy mitochondria." We sought
to investigate the clinical efficacy of OPC-derived autologous mitochondrial
injection (AMI) to improve oocyte quality in women with multiple in vitro
fertilization (IVF) failures. METHODS: The OPCs were isolated from
laparoscopically obtained ovarian cortical pieces by cell sorting using a
monoclonal anti-vasa homolog (anti-DDX) antibody. They were then disrupted and
mitochondria were isolated. Reconstituted mitochondria were injected into each
oocyte during intracytoplasmic sperm injection. Paired comparisons were made
between the first failed cycles and the post-AMI cycles. RESULTS: Of the 15 women
undergoing ovarian stimulation, 2 were canceled and 3 decided to pool oocytes for
later AMI. In remaining 10 (mean age 34.7 +/- 4.1), AMI significantly improved
fertilization rates (49.7 +/- 31.3 vs 78.3 +/- 18.9; P = .03) with a trend for
better embryo grades (2.3 +/- 0.3 vs 3.1 +/- 0.7; P = .08). Four of 10 women
conceived after single frozen embryo transfer and 3 after confirmation of
diploidy via array comparative genomic hybridization (aCGH) (clinical
pregnancy/embryo transfer = 4/10). CONCLUSION: These data show encouraging
results for AMI in comparison to previous failed IVF cycles.
PMID- 26567267
TI - Cerebral hyperperfusion on arterial spin labeling MRI during CADASIL migrainous
encephalopathy.
PMID- 26567268
TI - Herpes simplex virus 1 encephalitis associated with voltage-gated calcium channel
autoimmunity.
PMID- 26567269
TI - Thalamic amnesia after infarct: The role of the mammillothalamic tract and
mediodorsal nucleus.
AB - OBJECTIVE: To improve current understanding of the mechanisms behind thalamic
amnesia, as it is unclear whether it is directly related to damage to specific
nuclei, in particular to the anterior or mediodorsal nuclei, or indirectly
related to lesions of the mammillothalamic tract (MTT). METHODS: We recruited 12
patients with a left thalamic infarction and 25 healthy matched controls. All
underwent a comprehensive neuropsychological assessment of verbal and visual
memory, executive functions, language, and affect, and a high-resolution
structural volumetric MRI scan. Thalamic lesions were manually segmented and
automatically localized with a computerized thalamic atlas. As well as comparing
patients with controls, we divided patients into subgroups with intact or damaged
MTT. RESULTS: Only one patient had a small lesion of the anterior nucleus. Most
of the lesions included the mediodorsal (n = 11) and intralaminar nuclei (n =
12). Patients performed worse than controls on the verbal memory tasks, but the 5
patients with intact MTT who showed isolated lesions of the mediodorsal nucleus
(MD) only displayed moderate memory impairment. The 7 patients with a damaged MTT
performed worse on the verbal memory tasks than those whose MTT was intact.
CONCLUSIONS: Lesions in the MTT and in the MD result in memory impairment,
severely in the case of MTT and to a lesser extent in the case of MD, thus
highlighting the roles played by these 2 structures in memory circuits.
PMID- 26567270
TI - The Wernicke area: Modern evidence and a reinterpretation.
AB - The term "Wernicke's area" is most often used as an anatomical label for the gyri
forming the lower posterior left sylvian fissure. Although traditionally this
region was held to support language comprehension, modern imaging and
neuropsychological studies converge on the conclusion that this region plays a
much larger role in speech production. This evidence is briefly reviewed, and a
simple schematic model of posterior cortical language processing is described.
PMID- 26567271
TI - Evaluation of the Effectiveness of Fluorescent Visualization of Bile Ducts Using
Fluorescein and Ultraviolet A at Laparoscopic Cholecystectomy.
AB - Background This work studied the diagnostic effectiveness of a new technology and
device to augment visualization of bile ducts at laparoscopic cholecystectomy. It
depends on excitation of fluorescein in bile by ultraviolet light to get green
fluorescent light emanating from these ducts. Methods Forty laparoscopic
cholecystectomy patients received fluorescein sodium injections either in the
gallbladder or intravenously, followed by exposure of the expected bile ducts
area to ultraviolet light that was delivered by a specially designed device.
Neutral observing surgeons were asked to judge whether or not they could see
fluorescent bile ducts early in the operation before they were displayed by
dissection. Accordingly, specificity, sensitivity, likelihood ratios, and
predictive values of the technique were calculated. Results Fluorescent bile
ducts were seen at an earlier stage than their detection by dissection in 33 out
of 40 operations. The technique had 100% specificity, 82.5% sensitivity, 0.18
negative likelihood ratio, 100% positive predictive value, and 85.11% negative
predictive value. There were no complications related to the technique.
Conclusions The developing ultraviolet/fluorescein technique is helpful in early
localization of bile ducts at laparoscopic cholecystectomy. When fluorescence is
detected in the field, the technique can be completely relied on to denote the
position of bile ducts. In a few cases fluorescence is not detected. Here further
development of the device is the need to improve its sensitivity. Otherwise, the
technique is quite simple and safe.
PMID- 26567272
TI - Division of labour: how does folate metabolism partition between one-carbon
metabolism and amino acid oxidation?
AB - One-carbon metabolism is usually represented as having three canonical functions:
purine synthesis, thymidylate synthesis and methylation reactions. There is
however a fourth major function: the metabolism of some amino acids (serine,
glycine, tryptophan and histidine), as well as choline. These substrates can
provide cells with more one-carbon groups than they need for these three
canonical functions. Therefore, there must be mechanisms for the disposal of
these one-carbon groups (when in excess) which maintain the complement of these
groups required for the canonical functions. The key enzyme for these mechanisms
is 10-formyl-THF (tetrahydrofolate) dehydrogenase (both mitochondrial and
cytoplasmic isoforms) which oxidizes the formyl group to CO2 with the attendant
reduction of NADP(+) to NADPH and release of THF. In addition to oxidizing the
excess of these compounds, this process can reduce substantial quantities of
NADP(+) to NADPH.
PMID- 26567273
TI - Use of a mutant OGA for detecting O-GlcNAc modified proteins.
AB - In the previous issue of Biochemical Journal Mariappa et al. [(2015) Biochem J.
470,: 255-262] demonstrate a new method for visualizing O-linked N
acetylglucosamine (O-GlcNAc) modified proteins by making use of a catalytically
dead version of the enzyme that normally removes this modification. They show
their approach has broader specificity than current antibody-based techniques and
higher specificity than lectin and chemical biology-based labelling approaches.
This commentary discusses methods for O-GlcNAc detection and the significance of
this work for characterizing this common, but currently poorly understood
regulatory modification.
PMID- 26567274
TI - Adolescent Smoking Cessation With Bupropion: The Role of Adherence.
AB - INTRODUCTION: While many medications can be effective aids to quitting tobacco,
real world adherence to smoking cessation medications may render a potentially
effective medication ineffective. The present study investigated the role of
adherence on treatment outcomes in a bupropion dose-response study among
adolescent smokers trying to quit smoking. METHODS: Three hundred twelve
adolescent boys (n = 143) and girls (n = 169) between the ages of 14-17 were
enrolled in the study, and were randomly assigned to use either 300 mg, 150 mg or
placebo bupropion to quit smoking. Among the eligibility criterion, participants
had to smoke at least six cigarettes per day, be motivated to quit smoking (self
report), have an exhaled carbon monoxide level greater than or equal to 10 ppm,
and report at least two previous quit attempts. Adherence to medication was
determined by both self-report and actual counts of unused medication and empty
medication packaging. Smoking status was determined by a combination of self
report and biochemical verification (breath carbon monoxide and urine cotinine).
RESULTS: Cotinine-confirmed quit rates were significantly higher as a function of
high adherence (20.69%) relative to low adherence (0.00%) in the 300-mg Bupropion
Sustained Release group. Overall adherence in all study conditions in this highly
controlled study was high (74%), but was significantly lower in non-white
participants. CONCLUSIONS: Effectiveness of bupropion for adolescent smoking
cessation is contingent on achieving high rates of medication adherence, but
considerable variations in adherence impacted outcomes. IMPLICATIONS: Few studies
have assessed the safety and efficacy of medications to help adolescent smokers
quit, and we conducted one such study assessing bupropion. In this analysis of
that original study, we assess the role of adherence in use of medication and
quit rates. We found that adherence was related to outcomes, particularly in the
300-mg dose of bupropion.
PMID- 26567275
TI - A randomised controlled trial of two supervised exercise regimens and their
impact on inflammatory burden in patients with intermittent claudication.
AB - OBJECTIVE: This study assesses the impact of treadmill-based SET alone or in
combination with resistance training on systemic inflammatory response, in
patients with intermittent claudication (IC). METHODS: Thirty-five patients with
IC were randomised to 12 weeks of treadmill-only SET (Group 1) or a combination
of treadmill and lower-limb resistance SET (Group 2). A panel of pro- and anti
inflammatory markers were assessed before, during and after the SET. RESULTS:
Over the duration of SET, homocysteine increased within Group 1 (12.0-15.5
umol/L, p = 0.003) but not Group 2, (13.7-14.7 umol/) while neutrophil elastase
(NE) increased within Group 2 (174.5-238.2 ng/mL, p = 0.007) but not Group 1
(300.8-312.0 ng/mL). In both groups NE increased following acute exercise at the
start of the SET. Differences in cytokine expression was evident between the two
groups (in Group 1, pro-inflammatory cytokines interleukin-12 and interferon
gamma decreased following an acute bout of exercise at the end of SET, where as
in Group 2 pro-inflammatory cytokines interleukin-6 and 8 were seen to increase
after an acute bout of exercise at the end of SET). CONCLUSION: SET in patients
with IC influences the complex immune-modulatory state of atherosclerosis through
inflammatory pathways that induce both pro-inflammatory and immunosuppressive
responses.
PMID- 26567276
TI - Development of New Strategies for Echinocandins: Progress in Translational
Research.
AB - Echinocandins are N-acyl-substituted cyclic hexapeptides with potent in vitro and
in vivo activity against Candida species that are used for primary treatment and
prevention of candidemia and invasive candidiasis. Recent progress in the
translational research of echinocandins has led to new approaches for treatment
of central venous catheter Candida biofilms. Other studies have laid the
experimental and clinical foundation for use of extended dosing intervals for
administration of echinocandins in treatment and prevention of candidemia and
invasive candidiasis.
PMID- 26567277
TI - Echinocandins: The Expanding Antifungal Armamentarium.
AB - The echinocandins are large lipopeptide molecules that, since their discovery
approximately 41 years ago, have emerged as important additions to the expanding
armamentarium against invasive fungal diseases. Echinocandins exert in vitro and
in vivo fungicidal action against most Candida species and fungistatic action
against Aspergillus species. However, the population of patients at risk for
developing invasive fungal infections continues to increase. New therapeutic
strategies using echinocandins are needed to improve clinical outcomes in
patients with invasive fungal disease.
PMID- 26567279
TI - The Role of Echinocandins in Candida Biofilm-Related Vascular Catheter
Infections: In Vitro and In Vivo Model Systems.
AB - Candida biofilm-associated infections of central venous catheters are a
challenging therapeutic problem. Recent in vitro and in vivo studies of the
structure, formation, pathogenesis, and treatment establish a rationale for new
approaches to management of these tenacious infections.
PMID- 26567278
TI - Echinocandin Resistance in Candida.
AB - Invasive fungal infections are an important infection concern for patients with
underlying immunosuppression. Antifungal therapy is a critical component of
patient care, but therapeutic choices are limited due to few drug classes.
Antifungal resistance, especially among Candida species, aggravates the problem.
The echinocandin drugs (micafungin, anidulafungin, and caspofungin) are the
preferred choice to treat a range of candidiasis. They target the fungal-specific
enzyme glucan synthase, which is responsible for the biosynthesis of a major cell
wall polymer. Therapeutic failure involves acquisition of resistance, although it
is a rare event among most Candida species. However, in some settings, higher
level resistance has been reported among Candida glabrata, which is also
frequently resistant to azole drugs, resulting in difficult-to-treat multidrug
resistant strains. The mechanism of echinocandin resistance involves amino acid
changes in "hot spot" regions of FKS-encoded subunits of glucan synthase, which
decreases the sensitivity of enzyme to drug, resulting in higher minimum
inhibitory concentration values. The cellular processes promoting the formation
of resistant FKS strains involve complex stress response pathways that yield a
variety of adaptive compensatory genetic responses. Standardized broth
microdilution techniques can be used to distinguish FKS mutant strains from wild
type, but testing C. glabrata with caspofungin should be approached cautiously.
Finally, clinical factors that promote echinocandin resistance include
prophylaxis, host reservoirs including biofilms in the gastrointestinal tract,
and intra-abdominal infections. An understanding of clinical and molecular
factors that promote echinocandin resistance is critical to develop better
diagnostic tools and therapeutic strategies to overcome resistance.
PMID- 26567280
TI - Role of Echinocandins in Fungal Biofilm-Related Disease: Vascular Catheter
Related Infections, Immunomodulation, and Mucosal Surfaces.
AB - Biofilm-related infections have become an increasingly important clinical
problem. Many of these infections occur in patients with multiple comorbidities
or with impaired immunity. Echinocandins (caspofungin, micafungin, and
anidulafungin) exert their fungicidal activity by inhibition of the synthesis of
the (1->3)-beta-d-glucan. They are active among in vitro and in vivo model
systems against a number of Candida species and filamentous fungi in their
planktonic and biofilm phenotype. Their superior activity against biofilms poses
them in an advantageous position among the antifungal armamentarium. However,
additional studies are warranted to expand our knowledge on the role of
echinocandins against biofilm-related infections.
PMID- 26567281
TI - Characteristics, Clinical Relevance, and the Role of Echinocandins in Fungal
Bacterial Interactions.
AB - Fungal-bacterial interactions are common in the environment. The interactions
between invasive fungi (eg, Candida species and Aspergillus species) and
pathogenic bacteria can be particularly significant in the outcome of human
infections. Study of these interactions in vivo using murine or invertebrate
models, such as Caenorhabditis elegans or Galleria mellonella, has been very
helpful in increasing our understanding of the pathogenesis of mixed infections
and in identifying ways to use this between-kingdom interplay to our advantage.
Based on their effect against fungal biofilms and their immunomodulatory
properties, the newer class of antifungal agents, known as echinocandins, has the
potential to be useful in polymicrobial infections and in high-risk complex
infections such as ventilator-associated pneumonia or sepsis where colonization
by fungi can lead to worse outcomes.
PMID- 26567282
TI - Single or 2-Dose Micafungin Regimen for Treatment of Invasive Candidiasis:
Therapia Sterilisans Magna!
AB - The time the earth takes to rotate its axis (the day) has dictated how often
pharmaceutical compounds are dosed. The scientific link between the 2 events is
materia medica arcana. As an example, in the treatment of invasive candidiasis,
antifungal therapy with intravenous micafungin is dosed daily. A literature
review revealed population pharmacokinetic analyses, in vivo
pharmacokinetics/pharmacodynamics studies, and maximum-tolerated-dose studies of
micafungin that examined optimal micafungin dosing strategies. The half-life of
micafungin in patient blood was 14 hours in several studies, but was even longer
in different organs, so that the concentration will persist above minimum
inhibitory concentrations of Candida species for several days. Studies in mice
and rabbits with persistent neutropenia and disseminated candidiasis, otherwise
fatal, demonstrated that a single large dose of micafungin could clear
disseminated candidiasis, even though the micafungin half-life in such animals is
shorter than in humans. Human pharmacokinetics/pharmacodynamics studies confirmed
this link between micafungin efficacy and the ratio of the area under the
concentration-time curve, and the optimal exposures initially identified in
neutropenic animals. Maximum tolerated dose studies have demonstrated safety of
900 mg administered daily for several weeks, whereas case reports demonstrate
efficacy and safety of single 1400-mg doses. Thus, a single dose of micafungin,
or 2 such doses within a few days of each other, is not only logical, but might
even lead to faster clearance of Candida.
PMID- 26567283
TI - Intermittent Dosing of Micafungin Is Effective for Treatment of Experimental
Disseminated Candidiasis in Persistently Neutropenic Rabbits.
AB - BACKGROUND: The current standard of treatment of invasive candidiasis with
echinocandins requires once-daily therapy. To improve quality of life, reduce
costs, and improve outcome, we studied the pharmacokinetics (PK), efficacy, and
safety of alternate dosing regimens of micafungin (MFG) for the treatment of
experimental subacute disseminated candidiasis. METHODS: MFG was administered for
12 days starting 24 hours after intravenous inoculation of 1 * 10(3) Candida
albicans blastoconidia. Study groups consisted of MFG at 1 mg/kg every 24 hours
(MFG1), 2 mg/kg every 48 hours (MFG2), and 3 mg/kg every 72 hours (MFG3), and
untreated controls. PK of MFG were determined on day 7 by high-performance liquid
chromatography and modeled using nonparametric adaptive grid program. A 2
compartment PK model with volume of the central compartment (Vc), clearance
(SCL), and the intercompartmental rate constants Kcp and Kpc was used. The fungal
burden in 7 tissues was determined 312 hours after the initiation of therapy.
RESULTS: PK of MFG were linear and the parameter means +/- SD were Vc = 0.41 +/-
0.18 L, Kcp = 2.80 +/- 1.55/hour, Kpc = 1.71 +/- 0.93/hour, and SCL = 0.16 +/-
0.003 L/hour (r(2) = 0.99). The area under the plasma drug concentration - time
curve for MFG1, MFG2, and MFG3 was 198.7 +/- 19.8, 166.3 +/- 36.7, and 192.8 +/-
46.2 mg * hour/L, respectively (P = .24). All treatment groups showed significant
and comparable resolution of (1->3)-beta-D-glucan levels and clearance of C.
albicans from liver, spleen, kidney, brain, lung, vitreous humor, and vena cava
in comparison to untreated controls (P <= .05). There were no differences in
hepatic or renal function among study groups. CONCLUSIONS: Less fractionated MFG
regimens of every 48 and 72 hours are safe and as effective in experimental
disseminated candidiasis as once-daily therapy in neutropenic hosts.
PMID- 26567284
TI - Safety and Efficacy of Intermittent Intravenous Administration of High-Dose
Micafungin.
AB - BACKGROUND: The use of mold-active azoles for antifungal prophylaxis after
allogeneic stem cell transplantation (SCT) is hindered by adverse events and drug
drug interactions. Higher doses of echinocandins administered intermittently may
be an alternative in this setting. METHODS: This was a single-center,
observational 5-year study to characterize the safety and efficacy of
intermittent administration of high-dose intravenous micafungin (>=5 doses of
>=300 mg micafungin 2-3 times weekly) in patients with acute leukemia and
allogeneic SCT recipients. RESULTS: A total of 104 patients (84 allogeneic SCT
recipients and 20 patients with leukemia) received intermittent high-dose
intravenous micafungin, 83 (79.8%) as prophylaxis. Large variability in the
micafungin dosing regimen was observed; 78 (75%) patients received >75% of their
course as 300 mg micafungin 3 times weekly. Liver function tests decreased from
baseline to end of treatment (EOT; P < .001). Patients with normal baseline liver
function (n = 55 [52%]) maintained similar enzyme levels throughout the study.
For patients with abnormal baseline liver function (n = 49 [47%]), liver function
tests significantly improved from baseline to EOT (P <= .005). Duration and/or
micafungin dosing algorithms were not associated with liver toxicity at EOT.
There were no significant changes in renal function, and infusion-related
reactions or deaths were not observed. Five of 83 (6.0%) patients in the
prophylaxis group developed a breakthrough fungal infection. CONCLUSIONS: In this
largest cohort of patients to date, intermittent administration of high-dose
micafungin was well tolerated, without any associated liver or renal function
abnormalities, and may be considered an alternative antifungal prophylactic
strategy. Prospective studies are needed to further validate these findings.
PMID- 26567285
TI - Antifungal-Associated Drug-Induced Cardiac Disease.
AB - The etiology of cardiomyopathies are classified into 4 main groupings (dilated,
hypertrophic, restrictive, and idiopathic) and can be mechanistically caused by
myocarditis, conduction abnormalities, focal direct injury, or nutritional
deficiency. Based on our review of this topic, evidence suggests that
echinocandin-related cardiac dysfunction is a mitochondrial drug-induced disease
caused by focal direct myocyte injury. With caspofungin or anidulafungin
administration into the heart via central line, exposure is likely extreme enough
to induce the acute toxicity. Chronic or low-dose exposure may lead to
hypertrophic cardiomyopathy; however, only acute exposures have been explored to
date.
PMID- 26567286
TI - Potential Microbiological Effects of Higher Dosing of Echinocandins.
AB - The antifungal "paradoxical effect" has been described as the reversal of growth
inhibition at high doses of echinocandins, most usually caspofungin. This
microbiological effect appears to be a cellular compensatory response to cell
wall damage, resulting in alteration of cell wall content and structure as well
as fungal morphology and growth. In vitro studies demonstrate this reproducible
effect in a certain percentage of fungal isolates, but animal model and clinical
studies are less consistent. The calcineurin and Hsp90 cell signaling pathways
appear to play a major role in regulating these cellular and structural changes.
Regardless of the clinical relevance of this paradoxical growth effect,
understanding the specific actions of echinocandins is paramount to optimizing
their use at either standard or higher dosing schemes, as well as developing
future improvements in our antifungal arsenal.
PMID- 26567287
TI - The Regulatory Pathway for Antifungal Drugs: A US Perspective.
AB - Although there was a flurry of new antifungal drugs approved in the early part of
the last decade, the growing need for newer agents to treat systemic fungal
infections has escalated due to increasing resistance to the 2 main classes of
drugs developed to date and shifts in the etiology of these diseases. In addition
to this microbial shift, there are more at-risk patients who are being managed in
increasingly heroic ways and are thus highly susceptible to these more common
resistant fungi and yeasts. However, as we acknowledge the need for new drugs to
treat these desperately ill patients, there is a basic problem facing the
pharmaceutical industry as it tries to balance the conundrum of antifungal
development. Globally there is a relatively low, but growing, number of systemic
fungal infections, which creates significant hurdles in conducting clinical
trials in a timely and economical manner. In the United States, there have been
some significant moves to easing these hurdles and, potentially, to bringing new
drugs to the clinic more quickly and efficiently. We will discuss the current
unmet clinical need and the current US regulatory positions to encourage further
investment in this critical field.
PMID- 26567288
TI - Unemployment risk among individuals undergoing medical treatment for chronic
diseases.
AB - BACKGROUND: Chronic diseases increase the risk of unemployment even in non
disaster settings; therefore, in post-disaster settings, special attention needs
to be paid to the employment status of those suffering from chronic diseases.
AIMS: To examine the association between chronic disease and the risk of
unemployment in a disaster area. METHODS: This cross-sectional study was
conducted in Shichigahama Town, Miyagi, north-eastern Japan, where had been
severely inundated by the 2011 tsunami. Logistic regression analyses were used to
evaluate the association between undergoing medical treatment for a combination
of chronic diseases (stroke, cancer, myocardial infarction and angina) and
unemployment risk. Confounders such as psychological distress and levels of daily
life activity were considered. RESULTS: Among the 2588 individuals studied, there
was a statistically significant association between undergoing medical treatment
for chronic disease and the risk of unemployment [odds ratio (OR) = 1.7, 95%
confidence interval (CI) 1.02-2.7, P < 0.05]. In participants with a lower degree
of psychological distress and better levels of daily life activity (n = 1967), no
significant associations were observed (OR = 1.1, 95% CI 0.6-2.1). Conversely, in
536 participants with a higher degree of psychological distress and/or poorer
levels of daily life activity, statistically significant associations were found
(OR = 2.6, 95% CI 1.01-6.6, P < 0.05). CONCLUSIONS: The association between
undergoing medical treatment for chronic disease and unemployment risk was
observed only in participants with a higher degree of psychological distress
and/or poorer levels of daily life activity.
PMID- 26567289
TI - TLR7 and TLR9 ligands regulate antigen presentation by macrophages.
AB - The toll-like receptors (TLRs) are important innate receptors recognizing
potentially pathogenic material. However, they also play a significant role in
the development of Alzheimer's disease, cancer, autoimmunity and the
susceptibility to viral infections. Macrophages are essential for an effective
immune response to foreign material and the resolution of inflammation. In these
studies, we examined the impact of different TLR ligands on macrophage cell
function. We demonstrate that stimulation of all TLRs tested increases the
phagocytosis of apoptotic cells by macrophages. TLR7 and TLR9 ligation decreased
the levels of the surface co-expression molecules CD86 and MHCII, which was
associated with a concomitant reduction in antigen presentation and proliferation
of T cells. This down-regulation in macrophage function was not due to an
increase in cell death. In fact, exposure to TLR7 or TLR9 ligands promoted cell
viability for up to 9 days, in contrast to TLR3 or TLR4. Additionally,
macrophages exposed to TLR7/TLR9 ligands had a significantly lower ratio of Il
12/Il-10 mRNA expression compared with those treated with the TLR4 ligand, LPS.
Taken together, these data demonstrate that TLR7/TLR9 ligands push the macrophage
into a phagocytic long-lived cell, with a decreased capacity of antigen
presentation and reminiscent of the M2 polarized state.
PMID- 26567290
TI - Leptin deficiency down-regulates IL-23 production in glomerular podocytes
resulting in an attenuated immune response in nephrotoxic serum nephritis.
AB - Leptin, one of the typical adipokines, is reported to promote Th17 cell responses
and to enhance production of proinflammatory cytokines. To clarify the role of
leptin in the regulation of the IL-23/IL-17 axis and the development of kidney
disease, we used a murine model of nephrotoxic serum (NTS) nephritis (NTN). Sheep
NTS was administered in wild-type C57BL/6J mice and food-restricted, leptin
deficient C57BL/6J-ob/ob(FR-ob/ob) mice after preimmunization with sheep IgG. The
profile of mRNA expression relevant to T helper lymphocytes in the kidneys was
analyzed by quantitative real-time PCR (qRT-PCR). Cultured murine glomerular
podocytes and peritoneal exudate macrophages (PEMs) were used to investigate the
direct effect of leptin on IL-23 or MCP-1 production by qRT-PCR. Kidney injury
and macrophage infiltration were significantly attenuated in FR-ob/obmice 7 days
after NTS injection. The Th17-dependent secondary immune response against
deposited NTS in the glomeruli was totally impaired in FR-ob/obmice because of
deteriorated IL-17 and proinflammatory cytokine production including IL-23 and
MCP-1 in the kidney. IL-23 was produced in glomerular podocytes in NTN mice and
cultured murine glomerular podocytes produced IL-23 under leptin stimulation. MCP
1 production in PEMs was also promoted by leptin. Induction of MCP-1 expression
was observed in PEMs regardless of Ob-Rb, and the leptin signal was transduced
without STAT3 phosphorylation in PEMs. Leptin deficiency impairs the secondary
immune response against NTS and down-regulates IL-23 production and Th17
responses in the NTN kidney, which is accompanied by decreased MCP-1 production
and macrophage infiltration in the NTN kidney.
PMID- 26567293
TI - The Experience of Resilience for Adult Female Survivors of Intimate Partner
Violence: A Phenomenological Inquiry.
AB - While resilience research in the context of intimate partner violence (IPV) is
increasing, there remains little known about women's lived experience of
resilience. Using a phenomenological approach, this study examined the experience
of resilience for adult female survivors of IPV. Sixteen women who were currently
experiencing or had previously experienced abuse by an intimate partner
participated in semi-structured interviews. Resilience was experienced as
multiple cognitive, emotional, and behavioral shifts across three theme areas:
toward resistance, in the experience of control, and toward positivity. The
results of this study suggest a number of applications for clinical practice and
intervention.
PMID- 26567294
TI - Domestic Violence and Private Family Court Proceedings: Promoting Child Welfare
or Promoting Contact?
AB - Despite improved understanding regarding domestic violence, child welfare and
child contact, and related policy developments, problems persist regarding how
the family courts deal with fathers' violence in contested contact/residence
cases. In the study reported here, analysis was undertaken of welfare reports
prepared for the courts in such cases to investigate how and to what extent
issues of domestic violence and children's perspectives on these issues were
taken into account when making recommendations to the courts. Analysis found that
despite evidence of domestic violence and child welfare concerns, contact with
fathers was viewed as desirable and inevitable in the vast majority of cases.
PMID- 26567295
TI - Enhancing Police Responses to Domestic Violence Incidents: Reports From Client
Advocates in New South Wales.
AB - In an online survey about experiences with the police complaint system, 239
client advocates described a recent incident in which a client with grounds to
lodge a complaint declined to do so. Almost one third of those incidents involved
domestic violence. Thematic analysis of case descriptions revealed that many
police did not take domestic violence reports seriously. A typology of
problematic police conduct was developed. Many officers failed to observe current
procedures and appeared to lack knowledge of relevant laws. Citizens feared
retaliatory victimization by police and/or perceived that complaining was futile.
Implications of these findings are reviewed in light of procedural justice
theory.
PMID- 26567296
TI - Neutralizing interleukin 1beta (IL-1beta) induces beta-cell survival by
maintaining PDX1 protein nuclear localization.
PMID- 26567297
TI - Some Changes in Submission and Handling Policies at the JBC.
PMID- 26567291
TI - Novel Genetic Loci Associated With Retinal Microvascular Diameter.
AB - BACKGROUND: There is increasing evidence that retinal microvascular diameters are
associated with cardiovascular and cerebrovascular conditions. The shared genetic
effects of these associations are currently unknown. The aim of this study was to
increase our understanding of the genetic factors that mediate retinal vessel
size. METHODS AND RESULTS: This study extends previous genome-wide association
study results using 24 000+ multiethnic participants from 7 discovery cohorts and
5000+ subjects of European ancestry from 2 replication cohorts. Using the
Illumina HumanExome BeadChip, we investigate the association of single-nucleotide
polymorphisms and variants collectively across genes with summary measures of
retinal vessel diameters, referred to as the central retinal venule equivalent
and the central retinal arteriole equivalent. We report 4 new loci associated
with central retinal venule equivalent, one of which is also associated with
central retinal arteriole equivalent. The 4 single-nucleotide polymorphisms are
rs7926971 in TEAD1 (P=3.1*10(-) (11); minor allele frequency=0.43), rs201259422
in TSPAN10 (P=4.4*10(-9); minor allele frequency=0.27), rs5442 in GNB3 (P=7.0*10(
10); minor allele frequency=0.05), and rs1800407 in OCA2 (P=3.4*10(-8); minor
allele frequency=0.05). The latter single-nucleotide polymorphism, rs1800407, was
also associated with central retinal arteriole equivalent (P=6.5*10(-12)).
Results from the gene-based burden tests were null. In phenotype look-ups, single
nucleotide polymorphism rs201255422 was associated with both systolic (P=0.001)
and diastolic blood pressures (P=8.3*10(-04)). CONCLUSIONS: Our study expands the
understanding of genetic factors influencing the size of the retinal
microvasculature. These findings may also provide insight into the relationship
between retinal and systemic microvascular disease.
PMID- 26567299
TI - Identification of Putative Coffee Rust Mycoparasites via Single-Molecule DNA
Sequencing of Infected Pustules.
AB - The interaction of crop pests with their natural enemies is a fundament to their
control. Natural enemies of fungal pathogens of crops are poorly known relative
to those of insect pests, despite the diversity of fungal pathogens and their
economic importance. Currently, many regions across Latin America are
experiencing unprecedented epidemics of coffee rust (Hemileia vastatrix).
Identification of natural enemies of coffee rust could aid in developing
management strategies or in pinpointing species that could be used for
biocontrol. In the present study, we characterized fungal communities associated
with coffee rust lesions by single-molecule DNA sequencing of fungal rRNA gene
bar codes from leaf discs (~28 mm(2)) containing rust lesions and control discs
with no rust lesions. The leaf disc communities were hyperdiverse in terms of
fungi, with up to 69 operational taxonomic units (putative species) per control
disc, and the diversity was only slightly reduced in rust-infected discs, with up
to 63 putative species. However, geography had a greater influence on the fungal
community than whether the disc was infected by coffee rust. Through comparisons
between control and rust-infected leaf discs, as well as taxonomic criteria, we
identified 15 putative mycoparasitic fungi. These fungi are concentrated in the
fungal family Cordycipitaceae and the order Tremellales. These data emphasize the
complexity of diverse fungi of unknown ecological function within a leaf that
might influence plant disease epidemics or lead to the development of species for
biocontrol of fungal disease.
PMID- 26567298
TI - Targeted Amplicon Sequencing for Single-Nucleotide-Polymorphism Genotyping of
Attaching and Effacing Escherichia coli O26:H11 Cattle Strains via a High
Throughput Library Preparation Technique.
AB - Enterohemorrhagic Escherichia coli (EHEC) O26:H11, a serotype within Shiga toxin
producing E. coli (STEC) that causes severe human disease, has been considered to
have evolved from attaching and effacing E. coli (AEEC) O26:H11 through the
acquisition of a Shiga toxin-encoding gene. Targeted amplicon sequencing using
next-generation sequencing technology of 48 phylogenetically informative single
nucleotide polymorphisms (SNPs) and three SNPs differentiating Shiga toxin
positive (stx-positive) strains from Shiga toxin-negative (stx-negative) strains
were used to infer the phylogenetic relationships of 178 E. coli O26:H11 strains
(6 stx-positive strains and 172 stx-negative AEEC strains) from cattle feces to 7
publically available genomes of human clinical strains. The AEEC cattle strains
displayed synonymous SNP genotypes with stx2-positive sequence type 29 (ST29)
human O26:H11 strains, while stx1 ST21 human and cattle strains clustered
separately, demonstrating the close phylogenetic relatedness of these Shiga toxin
negative AEEC cattle strains and human clinical strains. With the exception of
seven stx-negative strains, five of which contained espK, three stx-related SNPs
differentiated the STEC strains from non-STEC strains, supporting the hypothesis
that these AEEC cattle strains could serve as a potential reservoir for new or
existing pathogenic human strains. Our results support the idea that targeted
amplicon sequencing for SNP genotyping expedites strain identification and
genetic characterization of E. coli O26:H11, which is important for food safety
and public health.
PMID- 26567300
TI - Microbial Mat Communities along an Oxygen Gradient in a Perennially Ice-Covered
Antarctic Lake.
AB - Lake Fryxell is a perennially ice-covered lake in the McMurdo Dry Valleys,
Antarctica, with a sharp oxycline in a water column that is density stabilized by
a gradient in salt concentration. Dissolved oxygen falls from 20 mg liter(-1) to
undetectable over one vertical meter from 8.9- to 9.9-m depth. We provide the
first description of the benthic mat community that falls within this oxygen
gradient on the sloping floor of the lake, using a combination of micro- and
macroscopic morphological descriptions, pigment analysis, and 16S rRNA gene
bacterial community analysis. Our work focused on three macroscopic mat
morphologies that were associated with different parts of the oxygen gradient:
(i) "cuspate pinnacles" in the upper hyperoxic zone, which displayed complex
topography and were dominated by phycoerythrin-rich cyanobacteria attributable to
the genus Leptolyngbya and a diverse but sparse assemblage of pennate diatoms;
(ii) a less topographically complex "ridge-pit" mat located immediately above the
oxic-anoxic transition containing Leptolyngbya and an increasing abundance of
diatoms; and (iii) flat prostrate mats in the upper anoxic zone, dominated by a
green cyanobacterium phylogenetically identified as Phormidium pseudopriestleyi
and a single diatom, Diadesmis contenta. Zonation of bacteria was by lake depth
and by depth into individual mats. Deeper mats had higher abundances of
bacteriochlorophylls and anoxygenic phototrophs, including Chlorobi and
Chloroflexi. This suggests that microbial communities form assemblages specific
to niche-like locations. Mat morphologies, underpinned by cyanobacterial and
diatom composition, are the result of local habitat conditions likely defined by
irradiance and oxygen and sulfide concentrations.
PMID- 26567301
TI - Whole-Genome Sequencing in Microbial Forensic Analysis of Gamma-Irradiated
Microbial Materials.
AB - Effective microbial forensic analysis of materials used in a potential biological
attack requires robust methods of morphological and genetic characterization of
the attack materials in order to enable the attribution of the materials to
potential sources and to exclude other potential sources. The genetic homogeneity
and potential intersample variability of many of the category A to C bioterrorism
agents offer a particular challenge to the generation of attributive signatures,
potentially requiring whole-genome or proteomic approaches to be utilized.
Currently, irradiation of mail is standard practice at several government
facilities judged to be at particularly high risk. Thus, initial forensic
signatures would need to be recovered from inactivated (nonviable) material. In
the study described in this report, we determined the effects of high-dose gamma
irradiation on forensic markers of bacterial biothreat agent surrogate organisms
with a particular emphasis on the suitability of genomic DNA (gDNA) recovered
from such sources as a template for whole-genome analysis. While irradiation of
spores and vegetative cells affected the retention of Gram and spore stains and
sheared gDNA into small fragments, we found that irradiated material could be
utilized to generate accurate whole-genome sequence data on the Illumina and
Roche 454 sequencing platforms.
PMID- 26567302
TI - Regulation of the Alkane Hydroxylase CYP153 Gene in a Gram-Positive Alkane
Degrading Bacterium, Dietzia sp. Strain DQ12-45-1b.
AB - CYP153, one of the most common medium-chain n-alkane hydroxylases belonging to
the cytochrome P450 superfamily, is widely expressed in n-alkane-degrading
bacteria. CYP153 is also thought to cooperate with AlkB in degrading various n
alkanes. However, the mechanisms regulating the expression of the protein remain
largely unknown. In this paper, we studied CYP153 gene transcription regulation
by the potential AraC family regulator (CypR) located upstream of the CYP153 gene
cluster in a broad-spectrum n-alkane-degrading Gram-positive bacterium, Dietzia
sp. strain DQ12-45-1b. We first identified the transcriptional start site and the
promoter of the CYP153 gene cluster. Sequence alignment of upstream regions of
CYP153 gene clusters revealed high conservation in the -10 and -35 regions in
Actinobacteria. Further analysis of the beta-galactosidase activity in the CYP153
gene promoter-lacZ fusion cell indicated that the CYP153 gene promoter was
induced by n-alkanes comprised of 8 to 14 carbon atoms, but not by derived
decanol and decanic acid. Moreover, we constructed a cypR mutant strain and found
that the CYP153 gene promoter activities and CYP153 gene transcriptional levels
in the mutant strain were depressed compared with those in the wild-type strain
in the presence of n-alkanes, suggesting that CypR served as an activator for the
CYP153 gene promoter. By comparing CYP153 gene arrangements in Actinobacteria and
Proteobacteria, we found that the AraC family regulator is ubiquitously located
upstream of the CYP153 gene, suggesting its universal regulatory role in CYP153
gene transcription. We further hypothesize that the observed mode of CYP153 gene
regulation is shared by many Actinobacteria.
PMID- 26567303
TI - "Candidatus Finniella" (Rickettsiales, Alphaproteobacteria), Novel Endosymbionts
of Viridiraptorid Amoeboflagellates (Cercozoa, Rhizaria).
AB - The Rickettsiales (Alphaproteobacteria) are obligate intracellular bacteria that
colonize a wide range of eukaryotic hosts, including diverse metazoa and
protists. Here, we characterize rickettsial endosymbionts discovered in the
cytoplasm of the algivorous amoeboflagellates Viridiraptor invadens and
Orciraptor agilis (Viridiraptoridae, Cercozoa, Rhizaria), supplying evidence of
free-living, phagotrophic members of the Cercozoa serving as hosts for
Rickettsiales. According to 16S rRNA gene phylogenies, the bacteria represent two
closely related but distinct genotypes within a deep-branching rickettsial clade,
which contains the genera "Candidatus Odyssella," "Candidatus Paracaedibacter,"
and "Candidatus Captivus." Using the full-cycle rRNA approach, we detected the
novel bacteria in four of nine viridiraptorid strains tested. Furthermore, two
specific oligonucleotide probes with a single-nucleotide-difference discriminated
both bacterial genotypes by fluorescence in situ hybridization (FISH). We
establish the candidate species "Candidatus Finniella inopinata" (found in
Viridiraptor invadens) and "Candidatus Finniella lucida" (found in Orciraptor
agilis) for the novel bacteria and propose a new, provisional family of
Rickettsiales, "Candidatus Paracaedibacteraceae."
PMID- 26567304
TI - A Two-Component para-Nitrophenol Monooxygenase Initiates a Novel 2-Chloro-4
Nitrophenol Catabolism Pathway in Rhodococcus imtechensis RKJ300.
AB - Rhodococcus imtechensis RKJ300 (DSM 45091) grows on 2-chloro-4-nitrophenol
(2C4NP) and para-nitrophenol (PNP) as the sole carbon and nitrogen sources. In
this study, by genetic and biochemical analyses, a novel 2C4NP catabolic pathway
different from those of all other 2C4NP utilizers was identified with
hydroxyquinol (hydroxy-1,4-hydroquinone or 1,2,4-benzenetriol [BT]) as the ring
cleavage substrate. Real-time quantitative PCR analysis indicated that the pnp
cluster located in three operons is likely involved in the catabolism of both
2C4NP and PNP. The oxygenase component (PnpA1) and reductase component (PnpA2) of
the two-component PNP monooxygenase were expressed and purified to homogeneity,
respectively. The identification of chlorohydroquinone (CHQ) and BT during 2C4NP
degradation catalyzed by PnpA1A2 indicated that PnpA1A2 catalyzes the sequential
denitration and dechlorination of 2C4NP to BT and catalyzes the conversion of PNP
to BT. Genetic analyses revealed that pnpA1 plays an essential role in both 2C4NP
and PNP degradations by gene knockout and complementation. In addition to
catalyzing the oxidation of CHQ to BT, PnpA1A2 was also found to be able to
catalyze the hydroxylation of hydroquinone (HQ) to BT, revealing the probable
fate of HQ that remains unclear in PNP catabolism by Gram-positive bacteria. This
study fills a gap in our knowledge of the 2C4NP degradation mechanism in Gram
positive bacteria and also enhances our understanding of the genetic and
biochemical diversity of 2C4NP catabolism.
PMID- 26567305
TI - Formation of In Vitro Mixed-Species Biofilms by Lactobacillus pentosus and Yeasts
Isolated from Spanish-Style Green Table Olive Fermentations.
AB - The present work details the in vitro interactions between Lactobacillus pentosus
and yeast strains isolated from table olive processing to form mixed biofilms.
Among the different pairs assayed, the strongest biofilms were obtained from L.
pentosus and Candida boidinii strain cocultures. However, biofilm formation was
inhibited in the presence of d-(+)-mannose. In addition, biofilm formation by C.
boidinii monoculture was stimulated in the absence of cell-cell contact with L.
pentosus. Scanning electron microscopy revealed that a sort of "sticky" material
formed by the yeasts contributed to substrate adherence. Hence, the data obtained
in this work suggest that yeast-lactobacilli biofilms may be favored by the
presence of a specific mate of yeast and L. pentosus, and that more than one
mechanism might be implicated in the biofilm formation. This knowledge will help
in the design of appropriate mixed starter cultures of L. pentosus-yeast species
pairs that are able to improve the quality and safety of Spanish-style green
table olive processing.
PMID- 26567306
TI - Host Genetic Control of the Microbiota Mediates the Drosophila Nutritional
Phenotype.
AB - A wealth of studies has demonstrated that resident microorganisms (microbiota)
influence the pattern of nutrient allocation to animal protein and energy stores,
but it is unclear how the effects of the microbiota interact with other
determinants of animal nutrition, including animal genetic factors and diet.
Here, we demonstrate that members of the gut microbiota in Drosophila
melanogaster mediate the effect of certain animal genetic determinants on an
important nutritional trait, triglyceride (lipid) content. Parallel analysis of
the taxonomic composition of the associated bacterial community and host
nutritional indices (glucose, glycogen, triglyceride, and protein contents) in
multiple Drosophila genotypes revealed significant associations between the
abundance of certain microbial taxa, especially Acetobacteraceae and
Xanthamonadaceae, and host nutritional phenotype. By a genome-wide association
study of Drosophila lines colonized with a defined microbiota, multiple host
genes were statistically associated with the abundance of one bacterium,
Acetobacter tropicalis. Experiments using mutant Drosophila validated the genetic
association evidence and reveal that host genetic control of microbiota abundance
affects the nutritional status of the flies. These data indicate that the
abundance of the resident microbiota is influenced by host genotype, with
consequent effects on nutrient allocation patterns, demonstrating that host
genetic control of the microbiome contributes to the genotype-phenotype
relationship of the animal host.
PMID- 26567307
TI - Intraspecific Variation in Microbial Symbiont Communities of the Sun Sponge,
Hymeniacidon heliophila, from Intertidal and Subtidal Habitats.
AB - Sponges host diverse and complex communities of microbial symbionts that display
a high degree of host specificity. The microbiomes of conspecific sponges are
relatively constant, even across distant locations, yet few studies have directly
examined the influence of abiotic factors on intraspecific variation in sponge
microbial community structure. The contrast between intertidal and subtidal
environments is an ideal system to assess the effect of environmental variation
on sponge-microbe symbioses, producing two drastically different environments on
a small spatial scale. Here, we characterized the microbial communities of
individual intertidal and subtidal Hymeniacidon heliophila sponges, ambient
seawater, and sediment from a North Carolina oyster reef habitat by partial
(Illumina sequencing) and nearly full-length (clone libraries) 16S rRNA gene
sequence analyses. Clone library sequences were compared to H. heliophila
symbiont communities from the Gulf of Mexico and Brazil, revealing strong host
specificity of dominant symbiont taxa across expansive geographic distances.
Sediment and seawater samples yielded clearly distinct microbial communities from
those found in H. heliophila. Despite the close proximity of the sponges sampled,
significant differences between subtidal and intertidal sponges in the diversity,
structure, and composition of their microbial communities were detected.
Differences were driven by changes in the relative abundance of a few dominant
microbial symbiont taxa, as well as the presence or absence of numerous rare
microbial taxa. These findings suggest that extreme abiotic fluctuations, such as
periodic air exposure in intertidal habitats, can drive intraspecific differences
in complex host-microbe symbioses.
PMID- 26567308
TI - Bromochloromethane, a Methane Analogue, Affects the Microbiota and Metabolic
Profiles of the Rat Gastrointestinal Tract.
AB - Bromochloromethane (BCM), an inhibitor of methanogenesis, has been used in animal
production. However, little is known about its impact on the intestinal
microbiota and metabolic patterns. The present study aimed to investigate the
effect of BCM on the colonic bacterial community and metabolism by establishing a
Wistar rat model. Twenty male Wistar rats were randomly divided into two groups
(control and treated with BCM) and raised for 6 weeks. Bacterial fermentation
products in the cecum were determined, and colonic methanogens and sulfate
reducing bacteria (SRB) were quantified. The colonic microbiota was analyzed by
pyrosequencing of the 16S rRNA genes, and metabolites were profiled by gas
chromatography and mass spectrometry. The results showed that BCM did not affect
body weight and feed intake, but it did significantly change the intestinal
metabolic profiles. Cecal protein fermentation was enhanced by BCM, as
methylamine, putrescine, phenylethylamine, tyramine, and skatole were
significantly increased. Colonic fatty acid and carbohydrate concentrations were
significantly decreased, indicating the perturbation of lipid and carbohydrate
metabolism by BCM. BCM treatment decreased the abundance of methanogen
populations, while SRB were increased in the colon. BCM did not affect the total
colonic bacterial counts but significantly altered the bacterial community
composition by decreasing the abundance of actinobacteria, acidobacteria, and
proteobacteria. The results demonstrated that BCM treatment significantly altered
the microbiotic and metabolite profiles in the intestines, which may provide
further information on the use of BCM in animal production.
PMID- 26567309
TI - Survival and Transfer of Murine Norovirus within a Hydroponic System during Kale
and Mustard Microgreen Harvesting.
AB - Hydroponically grown microgreens are gaining in popularity, but there is a lack
of information pertaining to their microbiological safety. The potential risks
associated with virus contamination of crops within a hydroponic system have not
been studied to date. Here a human norovirus (huNoV) surrogate (murine norovirus
[MNV]) was evaluated for its ability to become internalized from roots to edible
tissues of microgreens. Subsequently, virus survival in recirculated water
without adequate disinfection was assessed. Kale and mustard seeds were grown on
hydroponic pads (for 7 days with harvest at days 8 to 12), edible tissues (10 g)
were cut 1 cm above the pads, and corresponding pieces (4 cm by 4 cm) of pads
containing only roots were collected separately. Samples were collected from a
newly contaminated system (recirculated water inoculated with ~3 log PFU/ml MNV
on day 8) and from a previously contaminated system. (A contaminated system
without adequate disinfection or further inoculation was used for production of
another set of microgreens.) Viral titers and RNA copies were quantified by
plaque assay and real-time reverse transcription (RT)-PCR. The behaviors of MNV
in kale and mustard microgreens were similar (P > 0.05). MNV was detected in
edible tissues and roots after 2 h postinoculation, and the levels were generally
stable during the first 12 h. Relatively low levels (~2.5 to ~1.5 log PFU/sample
of both edible tissues and roots) of infectious viruses were found with a
decreasing trend over time from harvest days 8 to 12. However, the levels of
viral RNA present were higher and consistently stable (~4.0 to ~5.5 log
copies/sample). Recirculated water maintained relatively high levels of
infectious MNV over the period of harvest, from 3.54 to 2.73 log PFU/ml.
Importantly, cross-contamination occurred easily; MNV remained infectious in
previously contaminated hydroponic systems for up to 12 days (2.26 to 1.00
PFU/ml), and MNV was detected in both edible tissues and roots. Here we see that
viruses can be recirculated in water, even after an initial contamination event
is removed, taken up through the roots of microgreens, and transferred to edible
tissues. The ease of product contamination shown here reinforces the need for
proper sanitation.
PMID- 26567310
TI - Pectin and Xyloglucan Influence the Attachment of Salmonella enterica and
Listeria monocytogenes to Bacterial Cellulose-Derived Plant Cell Wall Models.
AB - Minimally processed fresh produce has been implicated as a major source of
foodborne microbial pathogens globally. These pathogens must attach to the
produce in order to be transmitted. Cut surfaces of produce that expose cell
walls are particularly vulnerable. Little is known about the roles that different
structural components (cellulose, pectin, and xyloglucan) of plant cell walls
play in the attachment of foodborne bacterial pathogens. Using bacterial
cellulose-derived plant cell wall models, we showed that the presence of pectin
alone or xyloglucan alone affected the attachment of three Salmonella enterica
strains (Salmonella enterica subsp. enterica serovar Enteritidis ATCC 13076,
Salmonella enterica subsp. enterica serovar Typhimurium ATCC 14028, and
Salmonella enterica subsp. indica M4) and Listeria monocytogenes ATCC 7644. In
addition, we showed that this effect was modulated in the presence of both
polysaccharides. Assays using pairwise combinations of S. Typhimurium ATCC 14028
and L. monocytogenes ATCC 7644 showed that bacterial attachment to all plant cell
wall models was dependent on the characteristics of the individual bacterial
strains and was not directly proportional to the initial concentration of the
bacterial inoculum. This work showed that bacterial attachment was not determined
directly by the plant cell wall model or bacterial physicochemical properties. We
suggest that attachment of the Salmonella strains may be influenced by the
effects of these polysaccharides on physical and structural properties of the
plant cell wall model. Our findings improve the understanding of how Salmonella
enterica and Listeria monocytogenes attach to plant cell walls, which may
facilitate the development of better ways to prevent the attachment of these
pathogens to such surfaces.
PMID- 26567311
TI - HipH Catalyzes the Hydroxylation of 4-Hydroxyisophthalate to Protocatechuate in
2,4-Xylenol Catabolism by Pseudomonas putida NCIMB 9866.
AB - In addition to growing on p-cresol, Pseudomonas putida NCIMB 9866 is the only
reported strain capable of aerobically growing on 2,4-xylenol, which is listed as
a priority pollutant by the U.S. Environmental Protection Agency. Several enzymes
involved in the oxidation of the para-methyl group, as well as the corresponding
genes, have previously been reported. The enzyme catalyzing oxidation of the
catabolic intermediate 4-hydroxyisophthalate to the ring cleavage substrate
protocatechuate was also purified from strain NCIMB 9866, but its genetic
determinant is still unavailable. In this study, the gene hipH, encoding 4
hydroxyisophthalate hydroxylase, from strain NCIMB 9866 was cloned by transposon
mutagenesis. Purified recombinant HipH-His6 was found to be a dimer protein with
a molecular mass of approximately 110 kDa. HipH-His6 catalyzed the hydroxylation
of 4-hydroxyisophthalate to protocatechuate with a specific activity of 1.54 U
mg(-1) and showed apparent Km values of 11.40 +/- 3.05 MUM for 4
hydroxyisophthalate with NADPH and 11.23 +/- 2.43 MUM with NADH and similar Km
values for NADPH and NADH (64.31 +/- 13.16 and 72.76 +/- 12.06 MUM,
respectively). The identity of protocatechuate generated from 4
hydroxyisophthalate hydroxylation by HipH-His6 has also been confirmed by high
performance liquid chromatography and mass spectrometry. Gene transcriptional
analysis, gene knockout, and complementation indicated that hipH is essential for
2,4-xylenol catabolism but not for p-cresol catabolism in this strain. This fills
a gap in our understanding of the gene that encodes a critical step in 2,4
xylenol catabolism and also provides another example of biochemical and genetic
diversity of microbial catabolism of structurally similar compounds.
PMID- 26567312
TI - Characterization of the Vibrio fischeri Fatty Acid Chemoreceptors, VfcB and
VfcB2.
AB - Bacteria use a wide variety of methyl-accepting chemotaxis proteins (MCPs) to
mediate their attraction to or repulsion from different chemical signals in their
environment. The bioluminescent marine bacterium Vibrio fischeri is the
monospecific symbiont of the Hawaiian bobtail squid, Euprymna scolopes, and
encodes a large repertoire of MCPs that are hypothesized to be used during
different parts of its complex, multistage lifestyle. Here, we report the initial
characterization of two such MCPs from V. fischeri that are responsible for
mediating migration toward short- and medium-chain aliphatic (or fatty) acids.
These receptors appear to be distributed among only members of the family
Vibrionaceae and are likely descended from a receptor that has been lost by the
majority of the members of this family. While chemotaxis greatly enhances the
efficiency of host colonization by V. fischeri, fatty acids do not appear to be
used as a chemical cue during this stage of the symbiosis. This study presents an
example of straight-chain fatty acid chemoattraction and contributes to the
growing body of characterized MCP-ligand interactions.
PMID- 26567313
TI - A systematic review and meta-analysis of ambient temperature and diarrhoeal
diseases.
AB - BACKGROUND: Global climate change is expected to increase the risk of diarrhoeal
diseases, a leading cause of childhood mortality. However, there is considerable
uncertainty about the magnitude of these effects and which populations bear the
greatest risks. METHODS: We conducted a systematic review using defined search
terms across four major databases and, additionally, examined the references of
54 review articles captured by the search. We evaluated sources of heterogeneity
by pathogen taxon, exposure measure, study quality, country income level and
regional climate, and estimated pooled effect estimates for the subgroups
identified in the heterogeneity analysis, using meta-analysis methods. RESULTS:
We identified 26 studies with 49 estimates. Pathogen taxa were a source of
heterogeneity. There was a positive association between ambient temperature and
all-cause diarrhoea (incidence rate ratio (IRR) 1.07; 95% confidence interval
(CI) 1.03, 1.10) and bacterial diarrhoea (IRR 1.07; 95% CI 1.04, 1.10), but not
viral diarrhoea (IRR 0.96; 95% CI 0.82, 1.11). These associations were observed
in low-, middle- and high-income countries. Only one study of protozoan diarrhoea
was identified. CONCLUSIONS: Changes in temperature due to global climate change
can and may already be affecting diarrhoeal disease incidence. The vulnerability
of populations may depend, in part, on local pathogen distribution. However,
evidence of publication bias and the uneven geographical distribution of studies
limit the precision and generalizability of the pooled estimates.
PMID- 26567315
TI - Adenylylation of small RNA sequencing adapters using the TS2126 RNA ligase I.
AB - Many high-throughput small RNA next-generation sequencing protocols use 5'
preadenylylated DNA oligonucleotide adapters during cDNA library preparation.
Preadenylylation of the DNA adapter's 5' end frees from ATP-dependence the
ligation of the adapter to RNA collections, thereby avoiding ATP-dependent side
reactions. However, preadenylylation of the DNA adapters can be costly and
difficult. The currently available method for chemical adenylylation of DNA
adapters is inefficient and uses techniques not typically practiced in
laboratories profiling cellular RNA expression. An alternative enzymatic method
using a commercial RNA ligase was recently introduced, but this enzyme works best
as a stoichiometric adenylylating reagent rather than a catalyst and can
therefore prove costly when several variant adapters are needed or during scale
up or high-throughput adenylylation procedures. Here, we describe a simple,
scalable, and highly efficient method for the 5' adenylylation of DNA
oligonucleotides using the thermostable RNA ligase 1 from bacteriophage TS2126.
Adapters with 3' blocking groups are adenylylated at >95% yield at catalytic
enzyme-to-adapter ratios and need not be gel purified before ligation to RNA
acceptors. Experimental conditions are also reported that enable DNA adapters
with free 3' ends to be 5' adenylylated at >90% efficiency.
PMID- 26567316
TI - Executive Functioning, Barriers to Adherence, and Nonadherence in Adolescent and
Young Adult Transplant Recipients.
AB - OBJECTIVE : To evaluate levels of executive functioning in a sample of adolescent
and young adult (AYA) transplant recipients, and to examine executive functioning
in association with barriers to adherence and medication nonadherence. METHOD :
In all, 41 caregivers and 39 AYAs were administered self- and proxy-report
measures. RESULTS : AYA transplant recipients have significant impairments in
executive functioning abilities. Greater dysfunction in specific domains of
executive functioning was significantly associated with more barriers to
adherence and greater medication nonadherence. CONCLUSION : AYA transplant
recipients are at increased risk for executive dysfunction. The assessment of
executive functioning abilities may guide intervention efforts designed to
decrease barriers to adherence and promote developmentally appropriate levels of
treatment responsibility.
PMID- 26567314
TI - An active site rearrangement within the Tetrahymena group I ribozyme releases
nonproductive interactions and allows formation of catalytic interactions.
AB - Biological catalysis hinges on the precise structural integrity of an active site
that binds and transforms its substrates and meeting this requirement presents a
unique challenge for RNA enzymes. Functional RNAs, including ribozymes, fold into
their active conformations within rugged energy landscapes that often contain
misfolded conformers. Here we uncover and characterize one such "off-pathway"
species within an active site after overall folding of the ribozyme is complete.
The Tetrahymena group I ribozyme (E) catalyzes cleavage of an oligonucleotide
substrate (S) by an exogenous guanosine (G) cofactor. We tested whether specific
catalytic interactions with G are present in the preceding E*S*G and E*G ground
state complexes. We monitored interactions with G via the effects of 2'- and 3'
deoxy (-H) and -amino (-NH(2)) substitutions on G binding. These and prior
results reveal that G is bound in an inactive configuration within E*G, with the
nucleophilic 3'-OH making a nonproductive interaction with an active site metal
ion termed MA and with the adjacent 2'-OH making no interaction. Upon S binding,
a rearrangement occurs that allows both -OH groups to contact a different active
site metal ion, termed M(C), to make what are likely to be their catalytic
interactions. The reactive phosphoryl group on S promotes this change, presumably
by repositioning the metal ions with respect to G. This conformational transition
demonstrates local rearrangements within an otherwise folded RNA, underscoring
RNA's difficulty in specifying a unique conformation and highlighting Nature's
potential to use local transitions of RNA in complex function.
PMID- 26567317
TI - Decreased argyrophilic nucleolar organiser region (AgNOR) expression in Purkinje
cells: first signal of neuronal damage in sudden fetal and infant death.
AB - AIMS: The nucleolus is an important cellular component involved in the biogenesis
of the ribosome. This study was performed in order to validate the introduction
of the argyrophilic nucleolar organiser region (AgNOR) stain technique, specific
for the nucleoli detection, in neuropathological studies on sudden fetal and
infant death. METHODS: In a wide set of fetuses and infants, aged from 27
gestational weeks to eight postnatal months and dead from both known and unknown
causes, an in-depth neuropathological study usually applied at the Lino Rossi
Research Center of the Milan University was implemented by the AgNOR method.
RESULTS: Peculiar abnormalities of the nucleoli, as partial or total disruption
above all in Purkinje cells (PCs), were exclusively found in victims of sudden
fetal and infant death, and not in controls. The observed nucleolar alterations
were frequently related to nicotine absorption in pregnancy. CONCLUSIONS: We
conclude that these findings represent early hallmarks of PC degeneration,
contributing to the pathophysiology of sudden perinatal death.
PMID- 26567318
TI - Drug sensitivity testing platforms for gastric cancer diagnostics.
AB - Gastric cancer diagnostics has traditionally been histomorphological and
primarily the domain of surgical pathologists. Although there is an increasing
usage of molecular and genomic techniques for clinical diagnostics, there is an
emerging field of personalised drug sensitivity testing. In this review, we
describe the various personalised drug sensitivity testing platforms and discuss
the challenges facing clinical adoption of these assays for gastric cancer.
PMID- 26567319
TI - Notoginsenoside Ft1 Promotes Fibroblast Proliferation via PI3K/Akt/mTOR Signaling
Pathway and Benefits Wound Healing in Genetically Diabetic Mice.
AB - Wound healing requires the essential participation of fibroblasts, which is
impaired in diabetic foot ulcers (DFU). Notoginsenoside Ft1 (Ft1), a saponin from
Panax notoginseng, can enhance platelet aggregation by activating signaling
network mediated through P2Y12 and induce proliferation, migration, and tube
formation in cultured human umbilical vein endothelial cells. However, whether it
can accelerate fibroblast proliferation and benefit wound healing, especially
DFU, has not been elucidated. In the present study on human dermal fibroblast HDF
a, Ft1 increased cell proliferation and collagen production via PI3K/Akt/mTOR
signaling pathway. On the excisional wound splinting model established on db/db
diabetic mouse, topical application of Ft1 significantly shortened the wound
closure time by 5.1 days in contrast with phosphate-buffered saline (PBS)
treatment (15.8 versus 20.9 days). Meanwhile, Ft1 increased the rate of re
epithelialization and the amount of granulation tissue at day 7 and day 14. The
molecule also enhanced mRNA expressions of COL1A1, COL3A1, transforming growth
factor (TGF)-beta1 and TGF-beta3 and fibronectin, the genes that contributed to
collagen expression, fibroblast proliferation, and consequent scar formation.
Moreover, Ft1 facilitated the neovascularization accompanied with elevated
vascular endothelial growth factor, platelet-derived growth factor, and
fibroblast growth factor at either mRNA or protein levels and alleviated the
inflammation of infiltrated monocytes indicated by reduced tumor necrosis factor
alpha and interleukin-6 mRNA expressions in the diabetic wounds. Altogether,
these results indicated that Ft1 might accelerate diabetic wound healing by
orchestrating multiple processes, including promoting fibroblast proliferation,
enhancing angiogenesis, and attenuating inflammatory response, which provided a
great potential application of it in clinics for patients with DFU.
PMID- 26567320
TI - Contribution of health behaviours and clinical factors to socioeconomic
differences in frailty among older adults.
AB - BACKGROUND: To examine the association between socioeconomic status (SES) and
risk of frailty, and to assess whether behavioural and clinical factors (BCF)
mediate this association. METHODS: Cohort of 1857 non-institutionalised
individuals aged >= 60 years recruited in 2008-2010 and followed through 2012.
Education, occupation, and BCF were ascertained at baseline, and incident frailty
was assessed at follow-up with the Fried frailty criteria. RESULTS: Men showed no
differences in frailty risk by education or occupation. Compared with women with
university education, the adjusted OR (aOR) adjusted for age and the number of
frailty criteria at baseline for incident frailty in women with primary or lower
education was 3.02 (95% CI 1.25 to 7.30); once fully adjusted for BCF, the OR was
2.00 (95% CI 0.76 to 5.23). No alcohol intake (vs light-moderate), longer time
spent watching TV, less time spent reading, and a higher frequency of obesity,
depression and musculoskeletal disease in those with primary or lower education
accounted for most of the decline in OR. BCF explained 50.5% of the excess
frailty risk associated with lower education. The aOR of frailty incidence for
manual versus non-manual occupation was 2.24 (95% CI 1.41 to 3.56) versus a fully
aOR of 2.05 (95% CI 1.24 to 3.37). BCF explained 15.3% of the association, with
individual mediators being similar to those for education-related differences.
CONCLUSIONS: A lower education or a manual occupation was associated with higher
frailty risk in older women. These associations were partly explained by lower
alcohol consumption, higher sedentariness, and higher obesity and chronic disease
rates in women with lower SES.
PMID- 26567321
TI - Factors associated with low unit cohesion in Australian Defence Force members who
deployed to the Middle East (2001-2009).
AB - INTRODUCTION: Unit cohesion has been shown to bolster the mental health of
military personnel; hence, it is important to identify the characteristics that
are associated with low unit cohesion, so that interventions to improve unit
cohesion can be targeted and implemented. Little is known about the factors
associated with low unit cohesion. This research aims to identify demographic,
military service and deployment factors associated with low unit cohesion.
METHODS: Data from a self-reported cross-sectional study of 11 411 current or ex
serving Australian military personnel deployed to Iraq or Afghanistan between
2001 and 2009 were used. Multivariable logistic regression was used to
investigate the research aims. RESULTS: Being female (adjusted OR (aOR) (95% CI)
1.35 (1.21 to 1.51)), non-commissioned officer (aOR (95% CI) 1.50 (1.39 to
1.62)), lower ranked (aOR (95% CI) 1.74 (1.51 to 2.01)) or having left military
service (aOR (95% CI) 1.71 (1.46 to 2.02)) was associated with reporting low unit
cohesion. Potentially modifiable factors such as performing logistic roles on
deployment (aOR (95% CI) 1.13 (1.01 to 1.27)), dissatisfaction with work
experience on deployment such as working with colleagues who did not do what was
expected of them (aOR (95% CI) 4.09 (3.61 to 4.64)), and major problems at home
while deployed (aOR (95% CI) 1.50 (1.38 to 1.63)) were also associated with
reporting low unit cohesion. CONCLUSIONS: This is the first study to identify
demographic, military service and deployment factors associated with low unit
cohesion. The modifiable nature of unit cohesion means that military leaders
could use this information to identify subgroups for targeted resilience
interventions that may reduce vulnerabilities to mental health problems and
improve the job satisfaction, preparedness and deployment experiences of serving
members.
PMID- 26567322
TI - AUTOMATIC SEGMENTATION OF PELVIS FOR BRACHYTHERAPY OF PROSTATE.
AB - Advanced model-based iterative reconstruction algorithms in quantitative computed
tomography (CT) perform automatic segmentation of tissues to estimate material
properties of the imaged object. Compared with conventional methods, these
algorithms may improve quality of reconstructed images and accuracy of radiation
treatment planning. Automatic segmentation of tissues is, however, a difficult
task. The aim of this work was to develop and evaluate an algorithm that
automatically segments tissues in CT images of the male pelvis. The newly
developed algorithm (MK2014) combines histogram matching, thresholding, region
growing, deformable model and atlas-based registration techniques for the
segmentation of bones, adipose tissue, prostate and muscles in CT images. Visual
inspection of segmented images showed that the algorithm performed well for the
five analysed images. The tissues were identified and outlined with accuracy
sufficient for the dual-energy iterative reconstruction algorithm whose aim is to
improve the accuracy of radiation treatment planning in brachytherapy of the
prostate.
PMID- 26567323
TI - CIEMAT EXTERNAL DOSIMETRY SERVICE: ISO/IEC 17025 ACCREDITATION AND 3 Y OF
OPERATIONAL EXPERIENCE AS AN ACCREDITED LABORATORY.
AB - In 2008, the CIEMAT Radiation Dosimetry Service decided to implement a quality
management system, in accordance with established requirements, in order to
achieve ISO/IEC 17025 accreditation. Although the Service comprises the approved
individual monitoring services of both external and internal radiation, this
paper is specific to the actions taken by the External Dosimetry Service,
including personal and environmental dosimetry laboratories, to gain
accreditation and the reflections of 3 y of operational experience as an
accredited laboratory.
PMID- 26567324
TI - OVERVIEW, PRACTICAL TIPS AND POTENTIAL PITFALLS OF USING AUTOMATIC EXPOSURE
CONTROL IN CT: SIEMENS CARE DOSE 4D.
AB - Today, computed tomography (CT) systems routinely use automatic exposure control
(AEC), which modulates the tube current. However, for optimal use, there are
several aspects of an AEC system that need to be considered. The purpose of this
study was to provide an overview of the Siemens CARE Dose 4D AEC system, discuss
practical tips and demonstrate potential pitfalls. Two adult anthropomorphic
phantoms were examined using two different Siemens CT systems. When optimising
the CT radiation dose and image quality, the projection angle of the localiser,
patient centring, protocol selection, scanning direction and the use of
protective devices requires special attention.
PMID- 26567325
TI - A multi-institution evaluation of clinical profile anonymization.
AB - BACKGROUND AND OBJECTIVE: There is an increasing desire to share de-identified
electronic health records (EHRs) for secondary uses, but there are concerns that
clinical terms can be exploited to compromise patient identities. Anonymization
algorithms mitigate such threats while enabling novel discoveries, but their
evaluation has been limited to single institutions. Here, we study how an
existing clinical profile anonymization fares at multiple medical centers.
METHODS: We apply a state-of-the-artk-anonymization algorithm, withkset to the
standard value 5, to the International Classification of Disease, ninth edition
codes for patients in a hypothyroidism association study at three medical
centers: Marshfield Clinic, Northwestern University, and Vanderbilt University.
We assess utility when anonymizing at three population levels: all patients in 1)
the EHR system; 2) the biorepository; and 3) a hypothyroidism study. We evaluate
utility using 1) changes to the number included in the dataset, 2) number of
codes included, and 3) regions generalization and suppression were required.
RESULTS: Our findings yield several notable results. First, we show that
anonymizing in the context of the entire EHR yields a significantly greater
quantity of data by reducing the amount of generalized regions from ~15% to
~0.5%. Second, ~70% of codes that needed generalization only generalized two or
three codes in the largest anonymization. CONCLUSIONS: Sharing large volumes of
clinical data in support of phenome-wide association studies is possible while
safeguarding privacy to the underlying individuals.
PMID- 26567327
TI - Meaningful use care coordination criteria: Perceived barriers and benefits among
primary care providers.
AB - BACKGROUND: Stage 2 and proposed Stage 3 meaningful use criteria ask providers to
support patient care coordination by electronically generating, exchanging, and
reconciling key information during patient care transitions. METHODS: A
stratified random sample of primary care practices in Michigan (n = 328) that had
already met Stage 1 meaningful use criteria was surveyed, in order to identify
the anticipated barriers to meeting these criteria as well as the expected impact
on patient care coordination from doing so. RESULTS: The top three barriers, as
identified by >65% of the primary care providers surveyed, were difficulty
sending and receiving patient information electronically, a lack of provider and
practice staff time, and the complex workflow changes required. Despite these
barriers, primary care providers expressed strong agreement that meeting the
proposed Stage 3 care coordination criteria would improve their patients'
treatment and ensure they know about their patients' visits to other providers.
CONCLUSION: The survey results suggest the need to enhance policy approaches and
organizational strategies to address the key barriers identified by providers and
practices in order to realize important care coordination benefits.
PMID- 26567326
TI - Experience with decision support system and comfort with topic predict
clinicians' responses to alerts and reminders.
AB - OBJECTIVE: Clinicians at our institution typically respond to about half of the
prompts they are given by the clinic's computer decision support system (CDSS).
We sought to examine factors associated with clinician response to CDSS prompts
as part of a larger, ongoing quality improvement effort to optimize CDSS use.
METHODS: We examined patient, prompt, and clinician characteristics associated
with clinician response to decision support prompts from the Child Health
Improvement through Computer Automation (CHICA) system. We asked pediatricians
who were nonusers of CHICA to rate decision support topics as "easy" or "not
easy" to discuss with patients and their guardians. We analyzed these ratings and
data, from July 1, 2009 to January 29, 2013, utilizing a hierarchical regression
model, to determine whether factors such as comfort with the prompt topic and the
length of the user's experience with CHICA contribute to user response rates.
RESULTS: We examined 414 653 prompts from 22 260 patients. The length of time a
clinician had been using CHICA was associated with an increase in their prompt
response rate. Clinicians were more likely to respond to topics rated as "easy"
to discuss. The position of the prompt on the page, clinician gender, and the
patient's age, race/ethnicity, and preferred language were also predictive of
prompt response rate. CONCLUSION: This study highlights several factors
associated with clinician prompt response rates that could be generalized to
other health information technology applications, including the clinician's
length of exposure to the CDSS, the prompt's position on the page, and the
clinician's comfort with the prompt topic. Incorporating continuous quality
improvement efforts when designing and implementing health information technology
may ensure that its use is optimized.
PMID- 26567328
TI - An information model for automated assessment of concordance between advance care
preferences and care delivered near the end of life.
AB - OBJECTIVE: To develop an information model for automating evaluation of
concordance between patient preferences and end-of-life care. METHODS: We modeled
and validated 15 end-of-life care preference option domains, to which we mapped
preferences recorded in standardized advance care planning documents and 232 end
of-life care events defined by procedure and medication codes. Patient
preferences and end-of-life care events were available in electronic health
records. Data from Kaiser Permanente Southern California modeling and testing
populations were evaluated for concordance between patients' preferences and the
end-of-life care events they experienced. RESULTS: The information model
successfully assessed concordance between patient preferences and end-of-life
care events. Among 388 expired patients in the modeling population, 4164 care
events occurred, 4100 (98%) of which were preference-concordant, and 64 (2%) of
which were preference-discordant. Including end-of-life care events that did not
occur increased the number of observations to 6029; 99% were preference
concordant. At the level of individuals, 72% (278) of patients experienced only
preference-concordant care events, 13% (50) experienced at least one preference
discordant care event, and 15% (60) experienced no preference-related care
events. DISCUSSION: Model limitations pertain to assumptions that are required to
match advance care planning documents with patient preference options and
exclusion of preferred care that did not occur. Further research is required to
apply the model to larger populations and to investigate the need for additional
preference options. CONCLUSION: An information model for automating the
assessment of the concordance between patients' advance care planning preferences
and the end-of-life care they received was effective in a small population and
has the potential to assess population-level preference-concordance on an ongoing
basis.
PMID- 26567329
TI - Classification of radiology reports for falls in an HIV study cohort.
AB - OBJECTIVE: To identify patients in a human immunodeficiency virus (HIV) study
cohort who have fallen by applying supervised machine learning methods to
radiology reports of the cohort. METHODS: We used the Veterans Aging Cohort Study
Virtual Cohort (VACS-VC), an electronic health record-based cohort of 146 530
veterans for whom radiology reports were available (N=2 977 739). We created a
reference standard of radiology reports, represented each report by a feature set
of words and Unified Medical Language System concepts, and then developed several
support vector machine (SVM) classifiers for falls. We compared mutual
information (MI) ranking and embedded feature selection approaches. The SVM
classifier with MI feature selection was chosen to classify all radiology reports
in VACS-VC. RESULTS: Our SVM classifier with MI feature selection achieved an
area under the curve score of 97.04 on the test set. When applied to all the
radiology reports in VACS-VC, 80 416 of these reports were classified as positive
for a fall. Of these, 11 484 were associated with a fall-related external cause
of injury code (E-code) and 68 932 were not, corresponding to 29 280 patients
with potential fall-related injuries who could not have been found using E-codes.
DISCUSSION: Feature selection was crucial to improving the classifier's
performance. Feature selection with MI allowed us to select the number of
discriminative features to use for classification, in contrast to the embedded
feature selection method, in which the number of features is chosen
automatically. CONCLUSION: Machine learning is an effective method of identifying
patients who have suffered a fall. The development of this classifier supplements
the clinical researcher's toolkit and reduces dependence on under-coded
structured electronic health record data.
PMID- 26567330
TI - Condom deserts: geographical disparities in condom availability and their
relationship with rates of sexually transmitted infections.
AB - BACKGROUND: Identifying predictors that contribute to geographical disparities in
sexually transmitted infections (STIs) is necessary. This study assesses the
spatial relationship between condom availability to locations of STIs in order to
better understand these geographical disparities. OBJECTIVES: We conducted a
condom availability audit among potential condom-selling establishments. New
gonorrhoea and chlamydia cases in 2011 (n=6034) and HIV infection cases from 2006
to 2011 (n=565) were collected by census tract in St Louis, Missouri. 829
potential condom-selling establishments participated in the condom availability
audit in St Louis City; 242 of which sold condoms. RESULTS: A negative linear
relationship exists between condom vendors and cases of gonorrhoea and chlamydia,
after adjusting for concentrated disadvantage and free condom locations. Higher
concentrated disadvantage, higher proportions of convenience vendors and free
locations were associated with higher rates of HIV. CONCLUSIONS: This study was
conducted to provide evidence that lack of condom availability is associated with
STI rates, and likely is an integral component to influencing the subjective
norms surrounding condom use and STI rates. Condom distribution interventions may
be addressing availability needs and social norms, yet are more likely to be
effective when placed in locations with the highest STI rates.
PMID- 26567331
TI - Was an epidemic of gonorrhoea among heterosexuals attending an Adelaide sexual
health services associated with variations in sex work policing policy?
AB - BACKGROUND: A review of historical trends in gonococcal diagnoses made at the
Adelaide Sexual Health Clinic (ASHC), South Australia, identified a substantial
rise in diagnoses among heterosexuals between 2006 and 2010. Sex work is illegal
in South Australia, regulated in Victoria and legal in New South Wales. This and
other factors that could have influenced the epidemic were explored in this
analysis. METHODS: Retrospective analyses of gonorrhoea diagnoses made by sexual
health services between 1990 and 2012 in three Australian state capitals,
Melbourne (Victoria) and Sydney (New South Wales) were undertaken. RESULTS: At
the ASHC the proportion of gonorrhoea diagnoses was higher between 2006 and 2010
among heterosexual men (5.34% vs 0.84%, p<0.001), non-sex worker women (0.64% vs
0.28%, p<0.001) and female sex workers (FSWs) (1.75% vs 0.24%, p<0.001) compared
with other years. This relationship was not seen at the Melbourne Sexual Health
Clinic and corresponding data from the Sydney Sexual Health Centre showed that
FSWs were less likely to have gonorrhoea between 2006 and 2010 than the other
groups (p=0.746, p=0.522, p=0.024, respectively). At ASHC FSWs were significantly
more likely to be diagnosed between 2006 and 2010 (OR 2.8, 95% CI 1.48 to 5.27,
p=0.002). Charges against sex workers peaked in 2007/2008. CONCLUSIONS: A
substantial, self-limiting rise in diagnoses of heterosexual gonorrhoea was seen
in Adelaide FSWs between 2006 and 2010. Removing barriers to condom use is vital
to the prevention of HIV and STI transmission.
PMID- 26567332
TI - Control of the Tomato Leafminer, Tuta absoluta (Lepidoptera: Gelechiidae), in
Open-Field Tomatoes by Indigenous Natural Enemies Occurring in Israel.
AB - The tomato leafminer, Tuta absoluta (Meyrick), had established in Israel by 2010,
attacking both open-field tomatoes and greenhouse crops.We searched for its
natural enemies in open-field tomatoes, and tried to determine their potential
for controlling this pest. We surveyed the local natural enemies in open tomato
fields and measured their impact on pest populations in an unsprayed field. We
assessed the suppressive ability of the dominant hemipteran predator,
Nesidiocoris tenuis Reuter, against T. absoluta under controlled laboratory
conditions and evaluated the impact of its augmentation on T. absoluta control in
open-field tomatoes. We found five natural enemy species:the predator, N. tenuis,
two braconids, and two eulophids. Predation accounted for 64.5+/-9.2% (mean +/-
SE) of T. absoluta larval mortality, whereas parasitism accounted for 20.96+/
7.5%. Together, they eliminated the pest population at tomato harvest time. Under
controlled conditions, predation by N. tenuis rose from 58 to 72% with increased
density of T. absoluta, suggesting positive density dependence. The reduction of
T. absoluta (83%) by N. tenuis was higher than that of Bemisia tabaci (32%),
suggesting a preference of N. tenuis for T. absoluta. Augmentation of N.tenuis
was as effective as conventional treatment insecticide treatment, and plant
damage was low and did not seem to affect yield. Results indicate that reduced
pesticide use enables indigenous natural enemies, particularly N.tenuis, to
successfully control T. absoluta and prevent crop damage in open-field tomatoes.
PMID- 26567333
TI - Overwintering, Oviposition, and Larval Survival of Hunting Billbugs (Coleoptera:
Curculionidae) and Implications for Adult Damage in North Carolina Turfgrass.
AB - The hunting billbug, Sphenophorus venatus vestitus Chittenden, is one of the most
widely recognized billbug turfgrass pests. Since 2000, damage to warm-season
turfgrass caused by hunting bill bugs has increased and a need for information on
hunting billbug biology is necessary for the development of management plans.
Field and laboratory studies were conducted to collect data on overwintering,
oviposition behavior, larval survival at various levels of soil moisture, and
adult damage. Turfgrass samples from 'Tifway 419' bermudagrass(Cynodon dactylon
(L.) Pers x Cynodon transvaalensis Burtt Davy) on golf courses were collected to
determine overwintering behavior, and 10 female adult billbugs were collected
weekly to determine oviposition behavior.Survival of medium-sized larvae (head
capsule width: 1.0 and 1.7 mm) was evaluated in containers with 20, 40,60, or 80%
of the total pore space occupied by water. Zero, two, four, or six adult billbugs
were placed in bermudagrass, zoysiagrass, or tall fescue containers and images
were collected for 4 weeks to determine adult damage. We observed that hunting
billbugs overwinter as adults and all larval sizes. Adults became active in March
and began to oviposit, which continued through October. Larval mortality was
lowest with 20% of the total pores pace occupied by water, while increases in
moisture caused significant mortality. Adults caused a greater reduction in warm
season turfgrass cover than cool-season turfgrass cover. This research builds on
the existing biological information for the hunting billbug biology in transition
zones and will be pivotal in developing practical and sustainable management
plans.
PMID- 26567334
TI - Laboratory Rearing of Thitarodes armoricanus and Thitarodes jianchuanensis
(Lepidoptera: Hepialidae), Hosts of the Chinese Medicinal Fungus Ophiocordyceps
sinensis (Hypocreales: Ophiocordycipitaceae).
AB - Ophiocordyceps sinensis (Ophiocordycipitaceae) is an entomopathogenic fungus
endemic to the Tibetan Plateau, at elevations ranging between 3,000 and 5,000 m.
The fungus-insect complex is useful in healthcare but limited in the field, so
there is an urgent need to develop an artificial rearing system of both the
fungus and its insect hosts. Large-scale artificial rearing of the Thitarodes
insect hosts is crucial. This paper reports results of the artificial cultivation
and complete life tables of two host species of O. sinensis, Thitarodes
armoricanus and Thitarodes jianchuanensis (Lepidoptera: Hepialidae), under low
altitude laboratory conditions. The larvae were reared on carrots in plastic
containers at 9-13 degrees C and 50-80% RH. Both experimental insect species had
long and unusual life cycle; it took 263-494 and 443-780 d for T. jianchuanensis
and T. armoricanus, respectively, to complete a developmental cycle, including
egg, larval instars L1-L9, pupa, and adult. The larvae did develop into pupae
from the L7, L8, or L9 instar larvae. Although the total survival rates of both
insect species were low (12.0% for T. jianchuanensis and 1.6% for T.
armoricanus), the experimental populations successfully developed into the next
generation owing to high egg production by fertilized females (averages of 703
and 355 eggs per female in the Yunnan and Sichuan species, respectively).
Successful artificial rearing of host insect species for O. sinensis under low
temperature conditions will allow the cultivation of this important fungus-insect
complex to ensure its protection as a bio-resource and for commercial supply.
PMID- 26567335
TI - Human Type IV P-type ATPases That Work as Plasma Membrane Phospholipid Flippases
and Their Regulation by Caspase and Calcium.
AB - In plasma membranes, flippases translocate aminophospholipids such as
phosphatidylserine and phosphatidylethanolamine from the extracellular to the
cytoplasmic leaflet. Mammalian ATP11C, a type IV P-type ATPase, acts as a
flippase at the plasma membrane. Here, by expressing 12 human type IV P-type
ATPases in ATP11C-deficient cells, we determined that ATP8A2 and ATP11A can also
act as plasma membrane flippases. As with ATP11C, ATP8A2 and ATP11A localized to
the plasma membrane in a CDC50A-dependent manner. ATP11A was cleaved by caspases
during apoptosis, and a caspase-resistant ATP11A blocked apoptotic PtdSer
exposure. In contrast, ATP8A2 was not cleaved by caspase, and cells expressing
ATP8A2 did not expose PtdSer during apoptosis. Similarly, high Ca(2+)
concentrations inhibited the ATP11A and ATP11C PtdSer flippase activity, but
ATP8A2 flippase activity was relatively resistant to Ca(2+). ATP11A and ATP11C
were ubiquitously expressed in human and mouse adult tissues. In contrast, ATP8A2
was expressed in specific tissues, such as the brain and testis. Thus, ATP8A2 may
play a specific role in translocating PtdSer in these tissues.
PMID- 26567336
TI - Covalent Label Transfer between Peroxisomal Importomer Components Reveals Export
driven Import Interactions.
AB - Peroxisomes are vital metabolic organelles found in almost all eukaryotic
organisms, and they rely exclusively on import of their matrix protein content
from the cytosol. In vitro import of proteins into isolated peroxisomal fractions
has provided a wealth of knowledge on the import process. However, the common
method of protease protection garnered no information on the import of an N
terminally truncated PEX5 (PEX5C) receptor construct or peroxisomal malate
dehydrogenase 1 (pMDH1) cargo protein into sunflower peroxisomes because of high
degrees of protease susceptibility or resistance, respectively. Here we present a
means for analysis of in vitro import through a covalent biotin label transfer
and employ this method to the import of PEX5C. Label transfer demonstrates that
the PEX5C construct is monomeric under the conditions of the import assay. This
technique was capable of identifying the PEX5-PEX14 interaction as the first
interaction of the import process through competition experiments. Labeling of
the peroxisomal protein import machinery by PEX5C demonstrated that this
interaction was independent of added cargo protein, and, strikingly, the
interaction between PEX5C and the import machinery was shown to be ATP-dependent.
These important mechanistic insights highlight the power of label transfer in
studying interactions, rather than proteins, of interest and demonstrate that
this technique should be applied to future studies of peroxisomal in vitro
import.
PMID- 26567337
TI - Developmental Stage-dependent Regulation of Prolyl 3-Hydroxylation in Tendon Type
I Collagen.
AB - 3-Hydroxyproline (3-Hyp), which is unique to collagen, is a fairly rare post
translational modification. Recent studies have suggested a function of prolyl 3
hydroxylation in fibril assembly and its relationships with certain disorders,
including recessive osteogenesis imperfecta and high myopia. However, no direct
evidence for the physiological and pathological roles of 3-Hyp has been
presented. In this study, we first estimated the overall alterations in prolyl
hydroxylation in collagens purified from skin, bone, and tail tendon of 0.5-18
month-old rats by LC-MS analysis with stable isotope-labeled collagen, which was
recently developed as an internal standard for highly accurate collagen analyses.
3-Hyp was found to significantly increase in tendon collagen until 3 months after
birth and then remain constant, whereas increased prolyl 3-hydroxylation was not
observed in skin and bone collagen. Site-specific analysis further revealed that
3-Hyp was increased in tendon type I collagen in a specific sequence region,
including a previously known modification site at Pro(707) and newly identified
sites at Pro(716) and Pro(719), at the early ages. The site-specific alterations
in prolyl 3-hydroxylation with aging were also observed in bovine Achilles
tendon. We postulate that significant increases in 3-Hyp at the consecutive
modification sites are correlated with tissue development in tendon. The present
findings suggest that prolyl 3-hydroxylation incrementally regulates collagen
fibril diameter in tendon.
PMID- 26567338
TI - Activation of Exogenous Fatty Acids to Acyl-Acyl Carrier Protein Cannot Bypass
FabI Inhibition in Neisseria.
AB - Neisseria is a Gram-negative pathogen with phospholipids composed of straight
chain saturated and monounsaturated fatty acids, the ability to incorporate
exogenous fatty acids, and lipopolysaccharides that are not essential. The FabI
inhibitor, AFN-1252, was deployed as a chemical biology tool to determine whether
Neisseria can bypass the inhibition of fatty acid synthesis by incorporating
exogenous fatty acids. Neisseria encodes a functional FabI that was potently
inhibited by AFN-1252. AFN-1252 caused a dose-dependent inhibition of fatty acid
synthesis in growing Neisseria, a delayed inhibition of growth phenotype, and
minimal inhibition of DNA, RNA, and protein synthesis, showing that its mode of
action is through inhibiting fatty acid synthesis. Isotopic fatty acid labeling
experiments showed that Neisseria encodes the ability to incorporate exogenous
fatty acids into its phospholipids by an acyl-acyl carrier protein-dependent
pathway. However, AFN-1252 remained an effective antibacterial when Neisseria
were supplemented with exogenous fatty acids. These results demonstrate that
extracellular fatty acids are activated by an acyl-acyl carrier protein
synthetase (AasN) and validate type II fatty acid synthesis (FabI) as a
therapeutic target against Neisseria.
PMID- 26567340
TI - Genome-wide Screening of Regulators of Catalase Expression: ROLE OF A
TRANSCRIPTION COMPLEX AND HISTONE AND tRNA MODIFICATION COMPLEXES ON ADAPTATION
TO STRESS.
AB - In response to environmental cues, the mitogen-activated protein kinase Sty1
driven signaling cascade activates hundreds of genes to induce a robust anti
stress cellular response in fission yeast. Thus, upon stress imposition Sty1
transiently accumulates in the nucleus where it up-regulates transcription
through the Atf1 transcription factor. Several regulators of transcription and
translation have been identified as important to mount an integral response to
oxidative stress, such as the Spt-Ada-Gcn5-acetyl transferase or Elongator
complexes, respectively. With the aim of identifying new regulators of this
massive gene expression program, we have used a GFP-based protein reporter and
screened a fission yeast deletion collection using flow cytometry. We find that
the levels of catalase fused to GFP, both before and after a threat of peroxides,
are altered in hundreds of strains lacking components of chromatin modifiers,
transcription complexes, and modulators of translation. Thus, the transcription
elongation complex Paf1, the histone methylase Set1-COMPASS, and the translation
related Trm112 dimers are all involved in full expression of Ctt1-GFP and in wild
type tolerance to peroxides.
PMID- 26567341
TI - Osmoregulation and salinity-induced oxidative stress: is oxidative adaptation
determined by gill function?
AB - Osmoregulating decapods such as the Mediterranean green crab Carcinus aestuarii
possess two groups of spatially segregated gills: anterior gills serve mainly
respiratory purposes, while posterior gills contain osmoregulatory structures.
The co-existence of similar tissues serving different functions allows the study
of differential adaptation, in terms of free radical metabolism, upon salinity
change. Crabs were immersed for 2 weeks in seawater (SW, 37 ppt), diluted SW
(dSW, 10 ppt) and concentrated SW (cSW, 45 ppt). Exposure to dSW was the most
challenging condition, elevating respiration rates of whole animals and free
radical formation in hemolymph (assessed fluorometrically using C-H2DFFDA).
Further analyses considered anterior and posterior gills separately, and the
results showed that posterior gills are the main tissues fueling osmoregulatory
related processes because their respiration rates in dSW were 3.2-fold higher
than those of anterior gills, and this was accompanied by an increase in
mitochondrial density (citrate synthase activity) and increased levels of
reactive oxygen species (ROS) formation (1.4-fold greater, measured through
electron paramagnetic resonance). Paradoxically, these posterior gills showed
undisturbed caspase 3/7 activity, used here as a marker for apoptosis. This may
only be due to the high antioxidant protection that posterior gills benefit from
[superoxide dismutase (SOD) in posterior gills was over 6 times higher than in
anterior gills]. In conclusion, osmoregulating posterior gills are better adapted
to dSW exposure than respiratory anterior gills because they are capable of
controlling the deleterious effects of the ROS production resulting from this
salinity-induced stress.
PMID- 26567339
TI - Identification of Critical Paraoxonase 1 Residues Involved in High Density
Lipoprotein Interaction.
AB - Paraoxonase 1 (PON1) is a high density lipoprotein (HDL)-associated protein with
atherosclerosis-protective and systemic anti-oxidant functions. We recently
showed that PON1, myeloperoxidase, and HDL bind to one another in vivo forming a
functional ternary complex (Huang, Y., Wu, Z., Riwanto, M., Gao, S., Levison, B.
S., Gu, X., Fu, X., Wagner, M. A., Besler, C., Gerstenecker, G., Zhang, R., Li,
X. M., Didonato, A. J., Gogonea, V., Tang, W. H., et al. (2013) J. Clin. Invest.
123, 3815-3828). However, specific residues on PON1 involved in the HDL-PON1
interaction remain unclear. Unambiguous identification of protein residues
involved in docking interactions to lipid surfaces poses considerable
methodological challenges. Here we describe a new strategy that uses a novel
synthetic photoactivatable and click chemistry-taggable phospholipid probe,
which, when incorporated into HDL, was used to identify amino acid residues on
PON1 that directly interact with the lipoprotein phospholipid surface. Several
specific PON1 residues (Leu-9, Tyr-185, and Tyr-293) were identified through
covalent cross-links with the lipid probes using affinity isolation coupled to
liquid chromatography with on-line tandem mass spectrometry. Based upon the
crystal structure for PON1, the identified residues are all localized in
relatively close proximity on the surface of PON1, defining a domain that binds
to the HDL lipid surface. Site-specific mutagenesis of the identified PON1
residues (Leu-9, Tyr-185, and Tyr-293), coupled with functional studies, reveals
their importance in PON1 binding to HDL and both PON1 catalytic activity and
stability. Specifically, the residues identified on PON1 provide important
structural insights into the PON1-HDL interaction. More generally, the new
photoactivatable and affinity-tagged lipid probe developed herein should prove to
be a valuable tool for identifying contact sites supporting protein interactions
with lipid interfaces such as found on cell membranes or lipoproteins.
PMID- 26567342
TI - Walking patterns induced by learned odors in the honeybee, Apis mellifera L.
AB - The odor localization strategy induced by odors learned via differential
conditioning of the proboscis extension response was investigated in honeybees.
In response to reward-associated but not non-reward-associated odors, learners
walked longer paths than non-learners and control bees. When orange odor reward
association was learned, the path length and the body turn angles were small
during odor stimulation and greatly increased after stimulation ceased. In
response to orange odor, bees walked locally with alternate left and right turns
during odor stimulation to search for the reward-associated odor source. After
odor stimulation, bees walked long paths with large turn angles to explore the
odor plume. For clove odor, learning-related modulations of locomotion were less
pronounced, presumably due to a spontaneous preference for orange in the tested
population of bees. This study is the first to describe how an odor-reward
association modulates odor-induced walking in bees.
PMID- 26567343
TI - Sex- and melanism-specific variations in the oxidative status of adult tawny owls
in response to manipulated reproductive effort.
AB - Oxidative stress, determined by the balance between the production of damaging
reactive oxygen species (ROS) and antioxidant defences, is hypothesized to play
an important role in shaping the cost of reproduction and life history trade
offs. To test this hypothesis, we manipulated reproductive effort in 94 breeding
pairs of tawny owls (Strix aluco) to investigate the sex- and melanism-specific
effects on markers of oxidative stress in red blood cells (RBCs). This colour
polymorphic bird species shows sex-specific division of labour and melanism
specific history strategies. Brood sizes at hatching were experimentally enlarged
or reduced to increase or decrease reproductive effort, respectively. We obtained
an integrative measure of the oxidative balance by measuring ROS production by
RBCs, intracellular antioxidant glutathione levels and membrane resistance to
ROS. We found that light melanic males (the sex undertaking offspring food
provisioning) produced more ROS than darker conspecifics, but only when rearing
an enlarged brood. In both sexes, light melanic individuals had also a larger
pool of intracellular antioxidant glutathione than darker owls under relaxed
reproductive conditions (i.e. reduced brood), but not when investing substantial
effort in current reproduction (enlarged brood). Finally, resistance to oxidative
stress was differently affected by the brood size manipulation experiment in
males and females independently of their plumage coloration. Altogether, our
results support the hypothesis that reproductive effort can alter the oxidative
balance in a sex- and colour-specific way. This further emphasizes the close link
between melanin-based coloration and life history strategies.
PMID- 26567344
TI - Juvenile hormone downregulates vitellogenin production in Ectatomma tuberculatum
(Hymenoptera: Formicidae) sterile workers.
AB - In the ant Ectatomma tuberculatum (Olivier 1792), workers have active ovaries and
lay trophic eggs that are eaten by the queen and larvae. Vitellogenins are the
main proteins found in the eggs of insects and are the source of nutrients for
the embryo in the fertilized eggs and for adults in the trophic eggs. In social
insects, vitellogenin titres vary between castes and affect reproductive social
status, nursing, foraging, longevity, somatic maintenance, and immunity. In most
insects, vitellogenin synthesis is mainly regulated by juvenile hormone. However,
in non-reproductive worker ants, this relationship is poorly characterized. This
study determined the effects of juvenile hormone on vitellogenin synthesis in non
reproductive E. tuberculatum workers. Juvenile hormone was topically applied onto
workers, and the effect on vitellogenin synthesis in the fat body and
vitellogenin titres in the haemolymph were analysed by ELISA and qPCR. Juvenile
hormone downregulated protein synthesis and reduced vitellogenin titres in the
haemolymph, suggesting that in workers of E. tuberculatum, juvenile hormone loses
its gonadotrophic function.
PMID- 26567345
TI - Dietary phosphate affects food selection, post-ingestive phosphorus fate, and
performance of a polyphagous herbivore.
AB - Comparisons of the carbon, nitrogen and phosphorus (P) content of plants and
insect herbivores suggests that P limitation and herbivore foraging to balance P
intake could be common. However, the lack of synthetic diets for testing the
effects of lower ranges of dietary P has been a major impediment to experimental
assessment of the ecological importance of, and physiological responses to, P
limitation for terrestrial herbivores. We manipulated dietary P content (%P) over
its observed range in terrestrial foliage using artificial diets containing near
optimal content of other nutrients for the grasshopper Schistocerca americana.
Over much of the ecologically relevant range, when consuming single diets over a
lifetime, higher P stimulated growth rates and increased survival, with an
optimal dietary %P of 0.25-0.50% when measured throughout development. Excessive
dietary P (1%) reduced growth and survival. However, with only short-term (3 day)
confinement to single diets, dietary P had no effect on food consumption or
growth rates. During these short exposures, fifth (but not third) instar hoppers
increased the proportion of P excreted relative to P assimilated as dietary P
increased. Target experiments demonstrated that, when given a choice,
grasshoppers select among foods to attain a P intake target of 0.6%. These data
suggest that P limitation could be common for terrestrial insect herbivores and
that they can exhibit ingestive and post-ingestive mechanisms to attain
sufficient but not excessive P.
PMID- 26567346
TI - Multimodal integration in the chicken.
AB - In everyday life we constantly perceive and discriminate between a large variety
of sensory inputs, the vast majority of which consist of more than one modality.
We performed two experiments to investigate whether chickens use the information
present in multimodal signals. To test whether audiovisual stimuli are better
detected than visual or acoustic stimuli alone, we first measured the detection
threshold with a staircase paradigm. We found that chickens were able to detect
weaker stimuli using audiovisual stimuli. Next, we tested whether the multimodal
nature of a stimulus also increases the discrimination between two stimuli by
measuring the smallest difference that the animals could still distinguish from
each other. We found that chickens can discriminate smaller differences using
audiovisual stimuli in comparison to visual stimuli alone, but not in comparison
to acoustic stimuli alone. Thus, even in a relatively unspecialized species such
as the chicken, the benefits of multimodal integration are exploited for sensory
processing.
PMID- 26567347
TI - Differences in electrosensory anatomy and social behavior in an area of sympatry
between two species of mormyrid electric fishes.
AB - Sensory systems play a key role in social behavior by mediating the detection and
analysis of communication signals. In mormyrid fishes, electric signals are
processed within a dedicated sensory pathway, providing a unique opportunity to
relate sensory biology to social behavior. Evolutionary changes within this
pathway led to new perceptual abilities that have been linked to increased rates
of signal evolution and species diversification in a lineage called 'clade A'.
Previous field observations suggest that clade-A species tend to be solitary and
territorial, whereas non-clade-A species tend to be clustered in high densities
suggestive of schooling or shoaling. To explore behavioral differences between
species in these lineages in greater detail, I studied population densities,
social interactions, and electric signaling in two mormyrid species, Gnathonemus
victoriae (clade A) and Petrocephalus degeni (non-clade A), from Lwamunda Swamp,
Uganda. Petrocephalus degeni was found at higher population densities, but
intraspecific diversity in electric signal waveform was greater in G. victoriae.
In the laboratory, G. victoriae exhibited strong shelter-seeking behavior and
competition for shelter, whereas P. degeni were more likely to abandon shelter in
the presence of conspecifics as well as electric mimics of signaling
conspecifics. In other words, P. degeni exhibited social affiliation whereas G.
victoriae exhibited social competition. Further, P. degeni showed correlated
electric signaling behavior whereas G. victoriae showed anti-correlated signaling
behavior. These findings extend previous reports of social spacing,
territoriality, and habitat preference among mormyrid species, suggesting that
evolutionary divergence in electrosensory processing relates to differences in
social behavior.
PMID- 26567348
TI - Morphology does not predict performance: jaw curvature and prey crushing in
durophagous stingrays.
AB - All stingrays in the family Myliobatidae are durophagous, consuming bivalves and
gastropods, as well as decapod crustaceans. Durophagous rays have rigid jaws,
flat teeth that interlock to form pavement-like tooth plates, and large muscles
that generate bite forces capable of fracturing stiff biological composites (e.g.
mollusk shell). The relative proportion of different prey types in the diet of
durophagous rays varies between genera, with some stingray species specializing
on particular mollusk taxa, while others are generalists. The tooth plate module
provides a curved occlusal surface on which prey is crushed, and this curvature
differs significantly among myliobatids. We measured the effect of jaw curvature
on prey-crushing success in durophagous stingrays. We milled aluminum replica
jaws rendered from computed tomography scans, and crushed live mollusks, three
dimensionally printed gastropod shells, and ceramic tubes with these fabricated
jaws. Our analysis of prey items indicate that gastropods were consistently more
difficult to crush than bivalves (i.e. were stiffer), but that mussels require
the greatest work-to-fracture. We found that replica shells can provide an
important proxy for investigations of failure mechanics. We also found little
difference in crushing performance between jaw shapes, suggesting that disparate
jaws are equally suited for processing different types of shelled prey. Thus,
durophagous stingrays exhibit a many-to-one mapping of jaw morphology to mollusk
crushing performance.
PMID- 26567349
TI - Fear is the mother of invention: anuran embryos exposed to predator cues alter
life-history traits, post-hatching behaviour and neuronal activity patterns.
AB - Neurophysiological modifications associated to phenotypic plasticity in response
to predators are largely unexplored, and there is a gap of knowledge on how the
information encoded in predator cues is processed by prey sensory systems. To
explore these issues, we exposed Rana dalmatina embryos to dragonfly chemical
cues (kairomones) up to hatching. At different times after hatching (up to 40
days), we recorded morphology and anti-predator behaviour of tadpoles from
control and kairomone-treated embryo groups as well as their neural olfactory
responses, by recording the activity of their mitral neurons before and after
exposure to a kairomone solution. Treated embryos hatched later and hatchlings
were smaller than control siblings. In addition, the tadpoles from the treated
group showed a stronger anti-predator response than controls at 10 days (but not
at 30 days) post-hatching, though the intensity of the contextual response to the
kairomone stimulus did not differ between the two groups. Baseline neuronal
activity at 30 days post-hatching, as assessed by the frequency of spontaneous
excitatory postsynaptic events and by the firing rate of mitral cells, was higher
among tadpoles from the treated versus the control embryo groups. At the same
time, neuronal activity showed a stronger increase among tadpoles from the
treated versus the control group after a local kairomone perfusion. Hence, a
different contextual plasticity between treatments at the neuronal level was not
mirrored by the anti-predator behavioural response. In conclusion, our
experiments demonstrate ontogenetic plasticity in tadpole neuronal activity after
embryonic exposure to predator cues, corroborating the evidence that early-life
experience contributes to shaping the phenotype at later life stages.
PMID- 26567350
TI - Spatial release from masking in insects: contribution of peripheral
directionality and central inhibition.
AB - The detection, identification and discrimination of sound signals in a large and
noisy group of signalers are problems shared by many animals equipped with ears.
While the signaling behavior of the sender may present several solutions, various
properties of the sensory system in receivers may also reduce the amount of
signal masking. We studied the effect of spatial release from masking, which
refers to the fact that the spatial separation between the signaler and the
masker can contribute to signal detection and discrimination. Except in a limited
number of cases, the contribution of peripheral directionality or central nervous
processing for spatial unmasking is not clear. We report the results of a study
using a neurophysiological approach in two species of acoustic insects, whereby
the activity of identified interneurons that either receive contralateral
inhibitory input (crickets) or inhibit one another reciprocally in a bilateral
pair (katydids) was examined. The analysis of the responses of a pair of omega
neurons in katydids with reciprocal inhibition revealed that spatial separation
of the masker from the signal facilitated signal detection by 19-20 dB with
intact binaural hearing, but only by 2.5-7 dB in the monaural system, depending
on the kind of analysis performed. The corresponding values for a behaviorally
important interneuron of a field cricket (ascending neuron 1) were only 7.5 and
2.5 dB, respectively. We compare these values with those reported for hearing in
vertebrates, and discuss the contribution of spatial release from masking to
signal detection under real-world chorus conditions.
PMID- 26567351
TI - UV-B exposure reduces locomotor performance by impairing muscle function but not
mitochondrial ATP production.
AB - Ultraviolet B radiation (UV-B) can reduce swimming performance by increasing
reactive oxygen species (ROS) formation. High concentrations of ROS can damage
mitochondria, resulting in reduced ATP production. ROS can also damage muscle
proteins, thereby leading to impaired muscle contractile function. We have shown
previously that UV-B exposure reduces locomotor performance in mosquitofish
(Gambusia holbrooki) without affecting metabolic scope. Our aim was therefore to
test whether UV-B influences swimming performance of mosquitofish by ROS-induced
damage to muscle proteins without affecting mitochondrial function. In a fully
factorial design, we exposed mosquitofish to UV-B and no-UV-B controls in
combination with exposure to N-acetylcysteine (NAC) plus no-NAC controls. We used
NAC, a precursor of glutathione, as an antioxidant to test whether any effects of
UV-B on swimming performance were at least partly due to UV-B-induced ROS. UV-B
significantly reduced critical sustained swimming performance and tail beat
frequencies, and it increased ROS-induced damage (protein carbonyl concentrations
and lipid peroxidation) in muscle. However, UV-B did not affect the activity of
sarco-endoplasmic reticulum ATPase (SERCA), an enzyme associated with muscle
calcium cycling and muscle relaxation. UV-B did not affect ADP phosphorylation
(state 3) rates of mitochondrial respiration, and it did not alter the amount of
ATP produced per atom of oxygen consumed (P:O ratio). However, UV-B reduced the
mitochondrial respiratory control ratio. Under UV-B exposure, fish treated with
NAC showed greater swimming performance and tail beat frequencies, higher
glutathione concentrations, and lower protein carbonyl concentrations and lipid
peroxidation than untreated fish. Tail beat amplitude was not affected by any
treatment. Our results showed, firstly, that the effects of UV-B on locomotor
performance were mediated by ROS and, secondly, that reduced swimming performance
was not caused by impaired mitochondrial ATP production. Instead, reduced tail
beat frequencies indicate that muscle of UV-B exposed fish were slower, which was
likely to have been caused by slower contraction rates, because SERCA activities
remained unaffected.
PMID- 26567352
TI - Feast or flee: bioelectrical regulation of feeding and predator evasion behaviors
in the planktonic alveolate Favella sp. (Spirotrichia).
AB - Alveolate (ciliates and dinoflagellates) grazers are integral components of the
marine food web and must therefore be able to sense a range of mechanical and
chemical signals produced by prey and predators, integrating them via signal
transduction mechanisms to respond with effective prey capture and predator
evasion behaviors. However, the sensory biology of alveolate grazers is poorly
understood. Using novel techniques that combine electrophysiological measurements
and high-speed videomicroscopy, we investigated the sensory biology of Favella
sp., a model alveolate grazer, in the context of its trophic ecology. Favella sp.
produced frequent rhythmic depolarizations (~500 ms long) that caused backward
swimming and are responsible for endogenous swimming patterns relevant to
foraging. Contact of both prey cells and non-prey polystyrene microspheres at the
cilia produced immediate mechanostimulated depolarizations (~500 ms long) that
caused backward swimming, and likely underlie aggregative swimming patterns of
Favella sp. in response to patches of prey. Contact of particles at the
peristomal cavity that were not suitable for ingestion resulted in
depolarizations after a lag of ~600 ms, allowing time for particles to be
processed before rejection. Ingestion of preferred prey particles was accompanied
by transient hyperpolarizations (~1 s) that likely regulate this step of the
feeding process. Predation attempts by the copepod Acartia tonsa elicited fast
(~20 ms) animal-like action potentials accompanied by rapid contraction of the
cell to avoid predation. We have shown that the sensory mechanisms of Favella sp.
are finely tuned to the type, location, and intensity of stimuli from prey and
predators.
PMID- 26567353
TI - Diet and endocrine effects on behavioral maturation-related gene expression in
the pars intercerebralis of the honey bee brain.
AB - Nervous and neuroendocrine systems mediate environmental conditions to control a
variety of life history traits. Our goal was to provide mechanistic insights as
to how neurosecretory signals mediate division of labor in the honey bee (Apis
mellifera). Worker division of labor is based on a process of behavioral
maturation by individual bees, which involves performing in-hive tasks early in
adulthood, then transitioning to foraging for food outside the hive. Social and
nutritional cues converge on endocrine factors to regulate behavioral maturation,
but whether neurosecretory systems are central to this process is not known. To
explore this, we performed transcriptomic profiling of a neurosecretory region of
the brain, the pars intercerebralis (PI). We first compared PI transcriptional
profiles for bees performing in-hive tasks and bees engaged in foraging. Using
these results as a baseline, we then performed manipulative experiments to test
whether the PI is responsive to dietary changes and/or changes in juvenile
hormone (JH) levels. Results reveal a robust molecular signature of behavioral
maturation in the PI, with a subset of gene expression changes consistent with
changes elicited by JH treatment. In contrast, dietary changes did not induce
transcriptomic changes in the PI consistent with behavioral maturation or JH
treatment. Based on these results, we propose a new verbal model of the
regulation of division of labor in honey bees in which the relationship between
diet and nutritional physiology is attenuated, and in its place is a relationship
between social signals and nutritional physiology that is mediated by JH.
PMID- 26567354
TI - On doing two things at once: dolphin brain and nose coordinate sonar clicks,
buzzes and emotional squeals with social sounds during fish capture.
AB - Dolphins fishing alone in open waters may whistle without interrupting their
sonar clicks as they find and eat or reject fish. Our study is the first to match
sound and video from the dolphin with sound and video from near the fish. During
search and capture of fish, free-swimming dolphins carried cameras to record
video and sound. A hydrophone in the far field near the fish also recorded sound.
From these two perspectives, we studied the time course of dolphin sound
production during fish capture. Our observations identify the instant of fish
capture. There are three consistent acoustic phases: sonar clicks locate the
fish; about 0.4 s before capture, the dolphin clicks become more rapid to form a
second phase, the terminal buzz; at or just before capture, the buzz turns to an
emotional squeal (the victory squeal), which may last 0.2 to 20 s after capture.
The squeals are pulse bursts that vary in duration, peak frequency and amplitude.
The victory squeal may be a reflection of emotion triggered by brain dopamine
release. It may also affect prey to ease capture and/or it may be a way to
communicate the presence of food to other dolphins. Dolphins also use whistles as
communication or social sounds. Whistling during sonar clicking suggests that
dolphins may be adept at doing two things at once. We know that dolphin brain
hemispheres may sleep independently. Our results suggest that the two dolphin
brain hemispheres may also act independently in communication.
PMID- 26567355
TI - Integrated mapping and characterization of the gene underlying the okra leaf
trait in Gossypium hirsutum L.
AB - Diverse leaf morphology has been observed among accessions of Gossypium hirsutum,
including okra leaf, which has advantages and disadvantages in cotton production.
The okra leaf locus has been mapped to chromosome 15 of the Dt subgenome, but the
underlying gene has yet to be identified. In this study, we used a combination of
targeted association analysis, F2 population-based fine mapping, and comparative
sequencing of orthologues to identify a candidate gene underlying the okra leaf
trait in G. hirsutum. The okra leaf gene identified, GhOKRA, encoded a
homeodomain leucine-zipper class I protein, whose closely related genes in
several other plant species have been shown to be involved in regulating leaf
morphology. The transcript levels of GhOKRA in shoot apices were positively
correlated with the phenotypic expression of the okra leaf trait. Of the multiple
sequence variations observed in the coding region among GrOKRA of Gossypium
raimondii and GhOKRA-Dt of normal and okra/superokra leaf G. hirsutum accessions,
a non-synonymous substitution near the N terminus and the variable protein
sequences at the C terminus may be related to the leaf shape difference. Our
results suggest that both transcription and protein activity of GhOKRA may be
involved in regulating leaf shape. Furthermore, we found that non-reciprocal
homoeologous recombination, or gene conversion, may have played a role in the
origin of the okra leaf allele. Our results provided tools for further
investigating and understanding the fundamental biological processes that are
responsible for the cotton leaf shape variation and will help in the design of
cotton plants with an ideal leaf shape for enhanced cotton production.
PMID- 26567357
TI - CCR 20th Anniversary Commentary: Immune-Related Response Criteria--Capturing
Clinical Activity in Immuno-Oncology.
AB - To evaluate antitumor responses to chemotherapeutic agents, investigators would
typically rely upon Response Evaluation Criteria in Solid Tumors (RECIST) or
modified WHO criteria, which do not comprehensively capture responses with
immunotherapeutic agents. In the December 1, 2009, issue of Clinical Cancer
Research, Wolchok and colleagues reported their development of novel criteria,
designated "Immune-related Response Criteria" (irRC), designed to better capture
the response patterns observed with immunotherapies. Broad use of the irRC since
then has allowed for a more comprehensive evaluation of immunotherapies in
clinical trials, indicating that their concepts can be used in conjunction with
either RECIST or WHO, and has shown irRC to be a powerful tool for improved
clinical investigation. See related article by Wolchok et al., Clin Cancer Res
2009;15(23) December 1, 2009;7412-20.
PMID- 26567358
TI - CCR 20th Anniversary Commentary: Circulating Tumor Cells in Prostate Cancer.
AB - Circulating tumor cells (CTC) have substantial promise for multipurpose biomarker
studies in prostate cancer. The IMMC-38 trial conducted by de Bono and
colleagues, which was published in the October 1, 2008, issue of Clinical Cancer
Research, demonstrated for the first time that CTCs are the most accurate and
independent predictor of overall survival in metastatic prostate cancer. Since
the publication of prospective trials demonstrating prognostic utility, CTCs have
been utilized for nucleic acid analyses, for protein analyses, and in
intermediate endpoint studies. CTC studies are also now facilitating the analysis
of intrapatient heterogeneity. See related article by de Bono et al., Clin Cancer
Res 2008;14(19) October 1, 2008;6302-9.
PMID- 26567359
TI - How Do Cancer Cells Die?
PMID- 26567360
TI - Cell Death and Cancer Therapy: Don't Forget to Kill the Cancer Cell!
AB - In our current age of targeted therapies, there is understandably considerable
attention paid to the specific molecular targets of pharmaceutical intervention.
For a targeted drug to work, it must bind to a target selectively and impair its
function. Monitoring biomarkers of the impaired target function can provide vital
in vivo pharmacodynamic information. Moreover, genetic changes to the target are
often the source of resistance to targeted agents. However, for the treatment of
cancer, it is necessary that the therapy not only provide efficient binding and
inhibition of the target, but also that this intervention reliably kills the
cancer cell. In this CCR Focus section, four articles make the connection between
therapies that target T-cell activation, autophagy, IAP proteins, and BCL-2 and
the commitment of cancer cells to cell death. Before addressing those exciting
classes of targeted therapies, however, an overview is provided to discuss cell
death induced by what is arguably still the most successful set of drugs in the
history of medical oncology, conventional chemotherapy. See all articles in this
CCR Focus section, "Cell Death and Cancer Therapy."
PMID- 26567361
TI - BCL-2 Antagonism to Target the Intrinsic Mitochondrial Pathway of Apoptosis.
AB - Despite significant improvements in treatment, cure rates for many cancers remain
suboptimal. The rise of cytotoxic chemotherapy has led to curative therapy for a
subset of cancers, though intrinsic treatment resistance is difficult to predict
for individual patients. The recent wave of molecularly targeted therapies has
focused on druggable-activating mutations, and is thus limited to specific
subsets of patients. The lessons learned from these two disparate approaches
suggest the need for therapies that borrow aspects of both, targeting biologic
properties of cancer that are at once distinct from normal cells and yet common
enough to make the drugs widely applicable across a range of cancer subtypes. The
intrinsic mitochondrial pathway of apoptosis represents one such promising target
for new therapies, and successfully targeting this pathway has the potential to
alter the therapeutic landscape of therapy for a variety of cancers. Here, we
discuss the biology of the intrinsic pathway of apoptosis, an assay known as BH3
profiling that can interrogate this pathway, early attempts to target BCL-2
clinically, and the recent promising results with the BCL-2 antagonist venetoclax
(ABT-199) in clinical trials in hematologic malignancies. See all articles in
this CCR Focus section, "Cell Death and Cancer Therapy."
PMID- 26567362
TI - Promises and Challenges of Smac Mimetics as Cancer Therapeutics.
AB - Inhibitor of Apoptosis (IAP) proteins block programmed cell death and are
expressed at high levels in various human cancers, thus making them attractive
targets for cancer drug development. Second mitochondrial activator of caspases
(Smac) mimetics are small-molecule inhibitors that mimic Smac, an endogenous
antagonist of IAP proteins. Preclinical studies have shown that Smac mimetics can
directly trigger cancer cell death or, even more importantly, sensitize tumor
cells for various cytotoxic therapies, including conventional chemotherapy,
radiotherapy, or novel agents. Currently, several Smac mimetics are under
evaluation in early clinical trials as monotherapy or in rational combinations
(i.e., GDC-0917/CUDC-427, LCL161, AT-406/Debio1143, HGS1029, and
TL32711/birinapant). This review discusses the promise as well as some challenges
at the translational interface of exploiting Smac mimetics as cancer
therapeutics.
PMID- 26567364
TI - How Do Cytotoxic Lymphocytes Kill Cancer Cells?
AB - In the past few years, cancer immunotherapy has emerged as a safe and effective
alternative for treatment of cancers that do not respond to classical treatments,
including those types with high aggressiveness. New immune modulators, such as
cytokines, blockers of CTLA-4 (cytotoxic T-lymphocyte-associated protein 4) and
PD-1(programmed cell death protein 1)/PD-L1 (programmed death-ligand 1), and
interaction or adoptive cell therapy, have been developed and approved to treat
solid and hematologic carcinomas. In these scenarios, cytotoxic lymphocytes (CL),
mainly cytotoxic T cells (Tc) and natural killer (NK) cells, are ultimately
responsible for killing the cancer cells and eradicating the tumor. Extensive
studies have been conducted to assess how Tc and NK cells get activated and
recognize the cancer cell. In contrast, few studies have focused on the effector
molecules used by CLs to kill cancer cells during cancer immunosurveillance and
immunotherapy. In this article, the two main pathways involved in CL-mediated
tumor cell death, granule exocytosis (perforin and granzymes) and death ligands,
are briefly introduced, followed by a critical discussion of the molecules
involved in cell death during cancer immunosurveillance and immunotherapy. This
discussion also covers unexpected consequences of proinflammatory and survival
effects of granzymes and death ligands and recent experimental evidence
indicating that perforin and granzymes of CLs can activate nonapoptotic pathways
of cell death, overcoming apoptosis defects and chemoresistance. The consequences
of apoptosis versus other modalities of cell death for an effective treatment of
cancer by modulating the patient immune system are also briefly discussed. See
all articles in this CCR Focus section, "Cell Death and Cancer Therapy."
PMID- 26567363
TI - Autophagy, Metabolism, and Cancer.
AB - Macroautophagy (autophagy hereafter) captures intracellular proteins and
organelles and degrades them in lysosomes. The degradation breakdown products are
released from lysosomes and recycled into metabolic and biosynthetic pathways.
Basal autophagy provides protein and organelle quality control by eliminating
damaged cellular components. Starvation-induced autophagy recycles intracellular
components into metabolic pathways to sustain mitochondrial metabolic function
and energy homeostasis. Recycling by autophagy is essential for yeast and mammals
to survive starvation through intracellular nutrient scavenging. Autophagy
suppresses degenerative diseases and has a context-dependent role in cancer. In
some models, cancer initiation is suppressed by autophagy. By preventing the
toxic accumulation of damaged protein and organelles, particularly mitochondria,
autophagy limits oxidative stress, chronic tissue damage, and oncogenic
signaling, which suppresses cancer initiation. This suggests a role for autophagy
stimulation in cancer prevention, although the role of autophagy in the
suppression of human cancer is unclear. In contrast, some cancers induce
autophagy and are dependent on autophagy for survival. Much in the way that
autophagy promotes survival in starvation, cancers can use autophagy-mediated
recycling to maintain mitochondrial function and energy homeostasis to meet the
elevated metabolic demand of growth and proliferation. Thus, autophagy inhibition
may be beneficial for cancer therapy. Moreover, tumors are more autophagy
dependent than normal tissues, suggesting that there is a therapeutic window.
Despite these insights, many important unanswered questions remain about the
exact mechanisms of autophagy-mediated cancer suppression and promotion, how
relevant these observations are to humans, and whether the autophagy pathway can
be modulated therapeutically in cancer. See all articles in this CCR Focus
section, "Cell Death and Cancer Therapy."
PMID- 26567365
TI - Impact of the 2010 Consensus Recommendations of the Clinical Trial Design Task
Force of the NCI Investigational Drug Steering Committee.
AB - Oncology phase III trials have a high failure rate, leading to high development
costs. The Clinical Trials Design Task Force of the Investigational Drug Steering
Committee of the NCI Cancer Therapy and Evaluation Program developed
Recommendations regarding the design of phase II trials. We report here on the
results of a Concordance Group review charged with documenting whether
concordance rates improved after the publication of the Recommendations. One
hundred and fifty-five trials were reviewed. Letter of Intents (LOI) from the
post-Recommendation period were more likely to be randomized (44% vs. 34%) and
biomarker selected (19% vs. 10%). Single-arm studies using time-to-event
endpoints (benchmarked against historical data) were similar, as was the type of
tumor. There was a significant improvement in the rate of concordance, with 74%
of LOIs scored as concordant compared with 58% before the Recommendations (P =
0.042). This included a marked decrease in the use of single-arm designs to
evaluate the activity of drug combinations (19% vs. 5%, P = 0.009). There were
areas for which clarification was warranted, including the need for protocols to
include further development plans, the use of realistic benchmarks, the careful
evaluation of historical controls, and the use of a standard treatment option as
a control. Ongoing critical evaluation of current trial design methodology and
the development of new Guidelines when appropriate will continue to improve drug
development ensuring that safe and effective cancer therapeutics are made
available to our patients as quickly and efficiently as possible.
PMID- 26567366
TI - Correction: Aurora A Inhibitor (MLN8237) plus Vincristine plus Rituximab Is
Synthetic Lethal and a Potential Curative Therapy in Aggressive B-cell Non
Hodgkin Lymphoma.
PMID- 26567371
TI - Infections Requiring Hospitalization in Patients on Hemodialysis.
PMID- 26567370
TI - Risk Factors for Infection-Related Hospitalization in In-Center Hemodialysis.
AB - BACKGROUND AND OBJECTIVES: Infection-related hospitalizations have increased
dramatically over the last 10 years in patients receiving in-center hemodialysis.
Patient and dialysis facility characteristics associated with the rate of
infection-related hospitalization were examined, with consideration of the region
of care, rural-urban residence, and socioeconomic status. DESIGN, SETTING,
PARTICIPANTS, & MEASUREMENTS: The US Renal Data System linked to the American
Community Survey and Rural-Urban Commuting Area codes was used to examine factors
associated with hospitalization for infection among Medicare beneficiaries
starting in-center hemodialysis between 2005 and 2008. A Poisson mixed effects
model was used to examine the associations among patient and dialysis facility
characteristics and the rate of infection-related hospitalization. RESULTS: Among
135,545 Medicare beneficiaries, 38,475 (28%) had at least one infection-related
hospitalization. The overall rate of infection-related hospitalization was 40.2
per 100 person-years. Age >= 85 years old, cancer, chronic obstructive pulmonary
disease, inability to ambulate or transfer, drug dependence, residence in a care
facility, serum albumin <3.5 g/dl at dialysis initiation, and dialysis initiation
with an access other than a fistula were associated with a >= 20% increase in the
rate of infection-related hospitalization. Patients residing in isolated small
rural compared with urban areas had lower rates of hospitalization for infection
(rate ratio, 0.91; 95% confidence interval, 0.86 to 0.97), and rates of
hospitalization for infection varied across the ESRD networks. Measures of
socioeconomic status (at the zip code level), total facility staffing, and the
composition of staff (percentage of nurses) were not associated with the rate of
hospitalization for infection. CONCLUSIONS: Patient and facility factors
associated with higher rates of infection-related hospitalization were
identified. The findings from this study can be used to identify patients at
higher risk for infection and inform the design of infection prevention
strategies.
PMID- 26567372
TI - Prognostic Value of Flow-Mediated Vasodilation in Brachial Artery and Fingertip
Artery for Cardiovascular Events: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Endothelial dysfunction plays a pivotal role in cardiovascular
disease progression, and is associated with adverse events. The purpose of this
systematic review and meta-analysis was to investigate the prognostic magnitude
of noninvasive peripheral endothelial function tests, brachial artery flow
mediated dilation (FMD), and reactive hyperemia--peripheral arterial tonometry
(RH-PAT) for future cardiovascular events. METHODS AND RESULTS: Databases of
MEDLINE, EMBASE, and the Cochrane Library were systematically searched. Clinical
studies reporting the predictive value of FMD or RH-PAT for cardiovascular events
were identified. Two authors selected studies and extracted data independently.
Pooled effects were calculated as risk ratio (RR) for continuous value of FMD and
natural logarithm of RH-PAT index (Ln_RHI) using random-effects models. Thirty
five FMD studies of 17 280 participants and 6 RH-PAT studies of 1602 participants
were included in the meta-analysis. Both endothelial function tests significantly
predicted cardiovascular events (adjusted relative risk [95% CI]: 1% increase in
FMD 0.88 [0.84-0.91], P<0.001, 0.1 increase in Ln_RHI 0.79 [0.71-0.87], P<0.001).
There was significant heterogeneity in the magnitude of the association across
studies. The magnitude of the prognostic value in cardiovascular disease subjects
was comparable between these 2 methods; a 1 SD worsening in endothelial function
was associated with doubled cardiovascular risk. CONCLUSIONS: Noninvasive
peripheral endothelial function tests, FMD and RH-PAT, significantly predicted
cardiovascular events, with similar prognostic magnitude. Further research is
required to determine whether the prognostic values of these 2 methods are
independent of each other and whether an endothelial function-guided strategy can
provide benefit in improving cardiovascular outcomes.
PMID- 26567373
TI - Impact of Obstructive Sleep Apnea Syndrome on Endothelial Function, Arterial
Stiffening, and Serum Inflammatory Markers: An Updated Meta-analysis and
Metaregression of 18 Studies.
AB - BACKGROUND: Obstructive sleep apnea syndrome (OSAS) has been indicated to
contribute to the development of cardiovascular disease; however, the underlying
mechanism remains unclear. This study aimed to test the hypothesis that OSAS may
be associated with cardiovascular disease by elevating serum levels of
inflammatory markers and causing arterial stiffening and endothelial dysfunction.
METHODS AND RESULTS: Related scientific reports published from January 1, 2006,
to June 30, 2015, were searched in the following electronic literature databases:
PubMed, Excerpta Medica Database, ISI Web of Science, Directory of Open Access
Journals, and the Cochrane Library. The association of OSAS with serum levels of
inflammatory markers, endothelial dysfunction, and arterial stiffening were
investigated. Overall, 18 eligible articles containing 736 patients with OSAS and
424 healthy persons were included in this meta-analysis. Flow-mediated dilation
in patients with moderate-severe OSAS was significantly lower than that in
controls (standardized mean difference -1.02, 95% CI -1.31 to -0.73, P<0.0001).
Carotid-femoral pulse wave velocity (standardized mean difference 0.45, 95% CI
0.21-0.69, P<0.0001), augmentation index (standardized mean difference 0.57, 95%
CI 0.25-0.90, P<0.0001), and serum levels of high-sensitivity C-reactive protein
and C-reactive protein (standardized mean difference 0.58, 95% CI 0.42-0.73,
P<0.0001) were significantly higher in patients with OSAS than in controls.
CONCLUSION: OSAS, particularly moderate-severe OSAS, appeared to reduce
endothelial function, increase arterial stiffness, and cause chronic
inflammation, leading to the development of cardiovascular disease.
PMID- 26567376
TI - In the Name of Population Well-Being: The Case for Public Health Surveillance.
AB - Surveillance is the radar of public health. Without tracking, often by name, the
incidence and prevalence of both infectious and chronic disease, health officials
would be unable to understand where and how to potentially intervene or what
resources might be required to protect populations. Surveillance without
individual informed consent has been challenged in the name of both bioethics and
human rights. In this article we contend that a robust conception of public
health not only justifies surveillance but, without disregarding the need to
respect individuals, provides an affirmative duty to engage in surveillance.
There may be social and political circumstances in which the names of those
reported cannot be protected from unwarranted disclosure and misuse for ends that
have little to do with protecting the public's health. But while the potential
for misuse requires an ongoing, searching scrutiny of disease surveillance,
remote or hypothetical threats should not serve to undermine this vital public
health activity.
PMID- 26567374
TI - Identification of a Novel Heart-Liver Axis: Matrix Metalloproteinase-2 Negatively
Regulates Cardiac Secreted Phospholipase A2 to Modulate Lipid Metabolism and
Inflammation in the Liver.
AB - BACKGROUND: Endocrine functions of the heart have been well established. We
investigated the hypothesis that cardiac secretion of a unique phospholipase A2
recently identified by our laboratory (cardiac secreted phospholipase A2 [sPLA2])
establishes a heart-liver endocrine axis that is negatively regulated by matrix
metalloproteinase-2 (MMP-2). METHODS AND RESULTS: In Mmp2(-/-) mice, cardiac (but
not hepatic) sPLA2 was elevated, leading to hepatic inflammation, immune cell
infiltration, dysregulation of the sterol regulatory element binding protein-2
and liver X receptor-alpha pathways, abnormal transcriptional responses to
dietary cholesterol, and elevated triglycerides in very low-density lipoprotein
and in the liver. Expression of monocyte chemoattractant protein-3, a known MMP-2
substrate, was elevated at both mRNA and protein levels in the heart. Functional
studies including in vivo antibody neutralization identified cardiac monocyte
chemoattractant protein 3 as a possible agonist of cardiac sPLA2 secretion.
Conversely, systemic sPLA2 inhibition almost fully normalized the cardiohepatic
phenotype without affecting monocyte chemoattractant protein-3. Finally, wild
type mice that received high-performance liquid chromatography-isolated cardiac
sPLA2 from Mmp2(-/-) donors developed a cardiohepatic gene expression profile
similar to that of Mmp2(-/-) mice. CONCLUSIONS: These findings identified the
novel MMP-2/cardiac sPLA2 pathway that endows the heart with important endocrine
functions, including regulation of inflammation and lipid metabolism in the
liver. Our findings could also help explain how MMP2 deficiency leads to cardiac
problems, inflammation, and metabolic dysregulation in patients.
PMID- 26567377
TI - Public Health Surveillance and Human Rights.
PMID- 26567375
TI - Role of Titin Missense Variants in Dilated Cardiomyopathy.
AB - BACKGROUND: The titin gene (TTN) encodes the largest human protein, which plays a
central role in sarcomere organization and passive myocyte stiffness. TTN
truncating mutations cause dilated cardiomyopathy (DCM); however, the role of TTN
missense variants in DCM has been difficult to elucidate because of the presence
of background TTN variation. METHODS AND RESULTS: A cohort of 147 DCM index
subjects underwent DNA sequencing for 313 TTN exons covering the N2B and N2BA
cardiac isoforms of TTN. Of the 348 missense variants, we identified 44 "severe"
rare variants by using a bioinformatic filtering process in 37 probands. Of
these, 5 probands were double heterozygotes (additional variant in another DCM
gene) and 7 were compound heterozygotes (2 TTN "severe" variants). Segregation
analysis allowed the classification of the "severe" variants into 5 "likely"
(cosegregating), 5 "unlikely" (noncosegregating), and 34 "possibly" (where family
structure precluded segregation analysis) disease-causing variants. Patients with
DCM carrying "likely" or "possibly" pathogenic TTN "severe" variants did not show
a different outcome compared with "unlikely" and noncarriers of a "severe" TTN
variant. However, the "likely" and "possibly" disease-causing variants were
overrepresented in the C-zone of the A-band region of the sarcomere. CONCLUSIONS:
TTN missense variants are common and present a challenge for bioinformatic
classification, especially when informative families are not available. Although
DCM patients carrying bioinformatically "severe" TTN variants do not appear to
have a worse clinical course than noncarriers, the nonrandom distribution of
"likely" and "possibly" disease-causing variants suggests a potential biological
role for some TTN missense variants.
PMID- 26567378
TI - "Mind the Gap": Researchers Ignore Politics at Their Own Risk.
AB - No matter how distasteful researchers find policy politics, effective policy
requires that they engage. Drawing on her career bridging the research/politics
gap in health care policy, the author makes a case for why and how researchers
can do just that.
PMID- 26567379
TI - Institutional Knots: A Comparative Analysis of Cord Blood Policy in Canada and
the United States.
AB - Umbilical cord blood is a rich source of blood stem cells, which are of critical
clinical importance in the treatment of a variety of malignant and genetic
conditions requiring stem cell transplantation. Many countries have established
national public cord blood banks; such banks often coexist with a panoply of
private options for cord blood banking. Until recently, Canada was the only G8
country without a national cord blood bank. This differs markedly from the United
States, which years ago established a national cord blood bank policy and
inventory. This article investigates potential reasons for this discrepancy
through a comparative analysis of the evolution of programs and policies on
national cord blood banking in Canada and the United States. My analysis suggests
that cross-national discrepancies in policy on public cord blood banking were
determined primarily by institutional factors, principal among them formal
governmental structure and the legacy of past policies. Institutional
entrepreneurialism in the health sector played a constitutive role in the earlier
evolution of national cord blood policy in the United States as compared to
Canada.
PMID- 26567380
TI - The Politics of Native American Health Care and the Affordable Care Act.
AB - This article examines an important but largely overlooked dimension of the
Patient Protection and Affordable Care Act (ACA), namely, its significance for
Native American health care. The author maintains that reading the ACA against
the politics of Native American health care policy shows that, depending on their
regional needs and particular contexts, many Native Americans are well-placed to
benefit from recent Obama-era reforms. At the same time, the kinds of options
made available by the ACA constitute a departure from the service-based (as
opposed to insurance-based) Indian Health Service (IHS). Accordingly, the author
argues that ACA reforms--private marketplaces, Medicaid expansion, and
accommodations for Native Americans--are best read as potential "supplements" to
an underfunded IHS. Whether or not Native Americans opt to explore options under
the ACA will depend in the long run on the quality of the IHS in the post-ACA
era. Beyond understanding the ACA in relation to IHS funding, the author explores
how Native American politics interacts with the key tenets of Obama-era health
care reform--especially "affordability"--which is critical for understanding what
is required from and appropriate to future Native American health care policy
making.
PMID- 26567381
TI - Common Sense or Gun Control? Political Communication and News Media Framing of
Firearm Sale Background Checks after Newtown.
AB - Gun violence is a critical public health problem in the United States, but it is
rarely at the top of the public policy agenda. The 2012 mass shooting in Newtown,
Connecticut, opened a rare window of opportunity to strengthen firearm policies
in the United States. In this study, we examine the American public's exposure to
competing arguments for and against federal- and state-level universal background
check laws, which would require a background check prior to every firearm sale,
in a large sample of national and regional news stories (n = 486) published in
the year following the Newtown shooting. Competing messages about background
check laws could influence the outcome of policy debates by shifting support and
political engagement among key constituencies such as gun owners and
conservatives. We found that news media messages in support of universal
background checks were fact-based and used rational arguments, and opposing
messages often used rights-based frames designed to activate the core values of
politically engaged gun owners. Reframing supportive messages about background
check policies to align with gun owners' and conservatives' core values could be
a promising strategy to increase these groups' willingness to vocalize their
support for expanding background checks for firearm sales.
PMID- 26567382
TI - What Health Care Reform Means for Immigrants: Comparing the Affordable Care Act
and Massachusetts Health Reforms.
AB - The 2010 Patient Protection and Affordable Care Act (ACA) was passed to provide
more affordable health coverage to Americans beginning in 2014. Modeled after the
2006 Massachusetts health care reform, the ACA includes an individual mandate,
Medicaid expansion, and health exchanges through which middle-income individuals
can purchase coverage from private insurance companies. However, while the ACA
provisions exclude all undocumented and some documented immigrants, Massachusetts
uses state and hospital funds to extend coverage to these groups. This article
examines the ACA reform using the Massachusetts reform as a comparative case
study to outline how citizenship status influences individuals' coverage options
under both policies. The article then briefly discusses other states that provide
coverage to ACA-ineligible immigrants and the implications of uneven ACA
implementation for immigrants and citizens nationwide.
PMID- 26567385
TI - Celebrating 35 Years of the AJNR: November 1980 edition.
PMID- 26567383
TI - (Public) Health and Human Rights in Practice.
AB - Public health's reliance on law to define and carry out public activities makes
it impossible to define a set of ethical principles unique to public health.
Public health ethics must be encompassed within--and consistent with--a broader
set of principles that define the power and limits of governmental institutions.
These include human rights, health law, and even medical ethics. The human right
to health requires governments not only to respect individual human rights and
personal freedoms, but also, importantly, to protect people from harm from
external sources and third parties, and to fulfill the health needs of the
population. Even if human rights are the natural language for public health, not
all public health professionals are comfortable with the language of human
rights. Some argue that individual human rights--such as autonomy and privacy-
unfairly limit the permissible means to achieve the goal of health protection. We
argue that public health should welcome and promote the human rights framework.
In almost every instance, this will make public health more effective in the long
run, because the goals of public health and human rights are the same: to promote
human flourishing.
PMID- 26567386
TI - [Current topics of regenerative medicine: joint-synovium mesenchymal stem cells
for cartilage regeneration].
PMID- 26567387
TI - [Practice guideline for eosinophilic rhinosinusitis].
PMID- 26567388
TI - [Prevention of complication after ESS procedure].
PMID- 26567389
TI - [Endoscopic surgical anatomy of temporal bone].
PMID- 26567390
TI - [Diagnosis and treatment on pharyngeal cancer].
PMID- 26567391
TI - [Current topics of wound healing].
PMID- 26567392
TI - [Application and future of regenerative medicine from Japan].
PMID- 26567393
TI - [Treatment of pediatric nose disease].
PMID- 26567394
TI - [Diagnostic imaging of temporal bone].
PMID- 26567395
TI - [History and future of treatment for head and neck cancer using IMRT].
PMID- 26567396
TI - [Hearing aid implantation].
PMID- 26567397
TI - [Diagnosis and treatment of dizziness caused by mental disorder].
PMID- 26567398
TI - Global routine vaccination coverage, 2014.
PMID- 26567399
TI - Progress towards regional measles elimination, worldwide, 2000-2014.
PMID- 26567400
TI - Edmund D. Pellegrino, MD 1920-2013.
PMID- 26567401
TI - Gerard N. Burrow, MD 1933-2013.
PMID- 26567402
TI - Nicholas Pierson Christy, MD 1923-2014.
PMID- 26567403
TI - James A. Clifton, III, MD 1923-2014.
PMID- 26567404
TI - David S. Greer, MD 1925-2014.
PMID- 26567405
TI - Thomas Russell Hendrix, M.D. 1920-2013.
PMID- 26567406
TI - Ernest L. Mazzaferri, SR., MD 1936-2013.
PMID- 26567407
TI - Is your statin not getting your LDL levels to your target? Research suggests you
may have more blocked arteries than previously thought. But a new medication
poised for approval could provide some help.
PMID- 26567408
TI - Study finds motorized cycling enhances stroke recovery. Cleveland Clinic study
suggest that stroke patients who ride a motorized stationary bike do better in
improving motor control and regaining independence.
PMID- 26567409
TI - Eating peanuts may extend your life.
PMID- 26567410
TI - Why do women fall?
PMID- 26567411
TI - Trouble falling asleep linked to high blood pressure.
PMID- 26567412
TI - Heart disease risks common in people with eczema.
PMID- 26567413
TI - Bird flu watch.
PMID- 26567414
TI - A boost for prostate cancer survivors.
PMID- 26567415
TI - Which cardiac tests are right for you and which ones should you avoid? Many
tests are appropriate only if you're having symptoms or are at high risk for a
heart condition. But in some cases, follow-up tests make sense, especially after
a procedure.
PMID- 26567416
TI - When is the best time to exercise? Whenever you can. Regular exercise is vital
for a healthy heart. And the right time to work out is when it best fits your
schedule.
PMID- 26567417
TI - Gain more weight, get more GERD.
PMID- 26567419
TI - Both work and parenting influence risk of early death.
PMID- 26567418
TI - Adding folate to blood pressure medication reduces stroke.
PMID- 26567420
TI - Vocabulary improves with age, research shows.
PMID- 26567421
TI - Pay attention to heat wave warnings this summer.
PMID- 26567422
TI - More evidence that exercise protects mobility.
PMID- 26567423
TI - Researchers deliver ART with subdermal implant.
PMID- 26567424
TI - Prevention. Perceptions of gender equality affect condom use, education.
PMID- 26567425
TI - Study: exercising with an ICD keeps you fit without raising shock risk.
Research suggest that not only can regular physical activity improve
cardiovascular health without increasing the number of shocks, it may also help
you live longer.
PMID- 26567426
TI - Research: catheter ablation best for heart failure patients with Afib. Ablation
is often better than medication for treating the irregular heartbeat in
individuals with heart failure.
PMID- 26567427
TI - Co-infection. Survival increased in TB infected given early ART.
PMID- 26567428
TI - Prevention. Syringe exchange programs can avert future HIV treatment costs.
PMID- 26567429
TI - Rapid stress-relief techniques lessen fear of cancer treatment. Conversations,
relaxation exercises, massage, and aromatherapy help patients achieve a calmer
state.
PMID- 26567430
TI - Eat more oats: they're really good for you! Oats provide substantial health
benefits, ranging from weight control to reduced LDL cholesterol to gut health.
PMID- 26567431
TI - New ART formulation available to children.
PMID- 26567432
TI - Perceived socioeconomic status, homophobia negatively affect MSM.
PMID- 26567433
TI - If I heat food in a microwave, does it destroy the nutrients in the food?
PMID- 26567434
TI - What causes sciatic nerve pain, and what are some treatments for the condition?
PMID- 26567436
TI - Height and heart disease: a genetic connection.
PMID- 26567435
TI - Divorce linked to higher risk of heart attack.
PMID- 26567437
TI - Schedules of Controlled Substances: Placement of Eluxadoline Into Schedule IV.
Final rule.
AB - With the issuance of this final rule, the Administrator of the Drug Enforcement
Administration places the substance 5-[[[(2S)-2-amino-3-[4-aminocarbonyl)-2,6
dimethylphenyl]-1-oxopropyl][(1S)-1-(4-phenyl-1H-imidazol-2
yl)ethyl]amino]methyl]-2-methoxybenzoic acid (eluxadoline), including its salts,
isomers, and salts of isomers, into schedule IV of the Controlled Substances Act.
This scheduling action is pursuant to the Controlled Substances Act which
requires that such actions be made on the record after opportunity for a hearing
through formal rulemaking. This action imposes the regulatory controls and
administrative, civil, and criminal sanctions applicable to schedule IV
controlled substances on persons who handle (manufacture, distribute, dispense,
import, export, engage in research, conduct instructional activities, or possess)
or propose to handle eluxadoline.
PMID- 26567438
TI - Medicare Program: Hospital Outpatient Prospective Payment and Ambulatory
Surgical Center Payment Systems and Quality Reporting Programs; Short Inpatient
Hospital Stays; Transition for Certain Medicare-Dependent, Small Rural
Hospitals Under the Hospital Inpatient Prospective Payment System; Provider
Administrative Appeals and Judicial Review. Final rule with comment period; final
rule.
AB - This final rule with comment period revises the Medicare hospital outpatient
prospective payment system (OPPS) and the Medicare ambulatory surgical center
(ASC) payment system for CY 2016 to implement applicable statutory requirements
and changes arising from our continuing experience with these systems. In this
final rule with comment period, we describe the changes to the amounts and
factors used to determine the payment rates for Medicare services paid under the
OPPS and those paid under the ASC payment system. In addition, this final rule
with comment period updates and refines the requirements for the Hospital
Outpatient Quality Reporting (OQR) Program and the ASC Quality Reporting (ASCQR)
Program. Further, this document includes certain finalized policies relating to
the hospital inpatient prospective payment system: Changes to the 2-midnight rule
under the short inpatient hospital stay policy; and a payment transition for
hospitals that lost their status as a Medicare-dependent, small rural hospital
(MDH) because they are no longer in a rural area due to the implementation of the
new Office of Management and Budget delineations in FY 2015 and have not
reclassified from urban to rural before January 1, 2016. In addition, this
document contains a final rule that finalizes certain 2015 proposals, and
addresses public comments received, relating to the changes in the Medicare
regulations governing provider administrative appeals and judicial review
relating to appropriate claims in provider cost reports.
PMID- 26567439
TI - Schedules of Controlled Substances: Extension of Temporary Placement of Three
Synthetic Phenethylamines in Schedule I. Final order.
AB - The Administrator of the Drug Enforcement Administration is issuing this final
order extending the temporary schedule I status for three synthetic
phenethylamines into the Controlled Substances Act pursuant to the temporary
scheduling provisions of the Act. The substances are: 2-(4-iodo-2,5
dimethoxyphenyl)-N-(2-methoxybenzyl)ethanamine (25I-NBOMe; 2C-I-NBOMe; 25I; Cimbi
5), 2-(4-chloro-2,5-dimethoxyphenyl)-N-(2-methoxybenzyl)ethanamine (25C-NBOMe; 2C
C-NBOMe; 25C; Cimbi-82), and 2-(4-bromo-2,5-dimethoxyphenyl)-N-(2
methoxybenzyl)ethanamine (25B-NBOMe; 2C-B-NBOMe; 25B; Cimbi-36) [hereinafter 25I
NBOMe, 2C-NBOMe, and 25-NBOMe, respectively]. The initial temporary scheduling
was based on a finding by the Deputy Administrator that the placement of these
synthetic phenethylamines and their optical, positional, and geometric isomers,
salts, and salts of isomers into schedule I of the Controlled Substances Act is
necessary to avoid an imminent hazard to the public safety. The current final
order temporarily placing 25I-NBOMe, 25C-NBOMe, and 25B-NBOMe in schedule I is
due to expire on November 14, 2015. This final order will extend the temporary
scheduling of 25I-NBOMe, 25C-NBOMe, and 25B-NBOMe for one year, or until the
permanent scheduling action for these three substances is completed, whichever
occurs first. As a result of this order, the full effect of the Controlled
Substances Act and its implementing regulations, including criminal, civil and
administrative penalties, sanctions, and regulatory controls of schedule I
substances will be imposed on the manufacture, distribution, possession,
importation, and exportation of these synthetic phenethylamines.
PMID- 26567440
TI - Is 'Expired' Food Safe to Eat? Confusion over date labels leads us to toss
groceries that are still good.
PMID- 26567441
TI - Therapeutic management of cerebral arteriovenous malformations: a review.
AB - The therapeutic management of cerebral arteriovenous malformations has undergone
significant change over the past 40 years. Embolization, radiosurgery, advanced
imaging modalities, neuropsychological testing and advances in surgical technique
has both significantly improved our ability to treat patients, as well as
confounding the landscape as to what constitutes best medical practice.
Variability in natural history provides additional challenges in that it is
challenging to determine an accurate estimate of the risk of hemorrhage,
morbidity and mortality. It is clear that the complexity of the treatment of
these lesions demands a multidisciplinary approach. The need for a team of
neurosurgeons, interventional and diagnostic neuroradiologists, neurologists,
radiation oncologists and neuropsychologists will improve outcomes and aid in
determining best therapy for patients.
PMID- 26567442
TI - Sticky platelet syndrome: an important cause of life-threatening thrombotic
complications.
AB - Sticky platelet syndrome (SPS) is a prothrombotic thrombocytopathy with familial
occurrence, characterized by hyperaggregability of platelets in response to
adenosine diphosphate (ADP), epinephrine (EPI) or both. The syndrome has been
identified in approximately 21% of unexplained arterial thrombotic episodes,
regarded to be the most common thrombophilia in arterial thrombosis and 13.2% of
unexplained venous thromboembolism (VTE). The relatively young age at the first
manifestation, relation to fertility and pregnancy, seriousness of the symptoms,
easy and effective management of the disorder indicate to the necessity to take
it into account in the differential diagnosis of the underlying cause of the
thrombotic event. As the various localizations of the thrombosis in SPS have been
reported, its management often requires a multidisciplinary approach. This review
deals with the clinical aspects of thrombophilia, its etiopathogenesis, diagnosis
as well as novel advances in the treatment and outlines the challenges for the
further research.
PMID- 26567443
TI - Auto-Tandem Palladium Catalysis: From Isoxazole to 2-Azafluorenone.
AB - An auto-tandem palladium catalysis from halogen-substituted isoxazoles and
Michael acceptors is described. It involves two mechanistically distinct
palladium-catalyzed reactions, a Heck reaction and a rearrangement, leading to 2
azafluorenones. It is the first example of palladium-catalyzed ring opening of
isoxazoles and rearrangement of the beta-imino ketone ring-opening product.
PMID- 26567444
TI - miRNAs and ovarian cancer: a miRiad of mechanisms to induce cisplatin drug
resistance.
AB - Ovarian cancer is the most aggressive gynecological cancer. One reason for the
low 5-year survival rate of under 40% is that ovarian tumors usually acquire
resistance to the platinum-based compounds used to treat them. Resistance to one
such compound, cisplatin, can arise via numerous mechanisms that can be
categorized as pre-, post-, on- or off-target. Pre-target mechanisms prevent
accumulation of cisplatin in the cell, on-target mechanisms allow DNA damage to
be repaired more efficiently, post-target mechanisms prevent the damage from
inducing apoptosis and off-target mechanisms increase resistance via unrelated
compensatory mechanisms. miRNAs are short non-coding RNAs that influence cellular
function by repressing gene expression. Here we describe how miRNAs can induce
cisplatin resistance in ovarian cancer cells via pre-, post-, on- and off-target
mechanisms. A better understanding of how miRNAs feed into the mechanisms of drug
resistance will inform the rational design of combination therapies for ovarian
cancer.
PMID- 26567445
TI - Inflammation: The Root of Our Chronic Diseases.
PMID- 26567446
TI - The Integrative Health and Wellness Program: Development and Use of a
Complementary and Alternative Medicine Clinic for Veterans.
AB - CONTEXT: A movement exists within the Veterans Health Administration (VHA) toward
incorporating complementary and alternative medicine (CAM) as an integrative
complement to care for veterans. The Integrative Health and Wellness (IHW)
Program is a comprehensive CAM clinic offering services such as integrative
restoration (iRest) yoga nidra, individual acupuncture, group auricular
acupuncture, chair yoga, qigong, and integrative health education. OBJECTIVES:
The current study intended to detail the development of the CAM program, its use,
and the characteristics of the program's participants. DESIGN: Using a
prospective cohort design, this pilot study tracked service use and aspects of
physical and mental health for veterans enrolled in the program. PARTICIPANTS:
During the first year, the IHW Program received 740 consults from hospital
clinics; 325 veterans enrolled in the program; and 226 veterans consented to
participate in the pilot study. OUTCOME MEASURES: Outcome measures included data
from self-report questionnaires and electronic medical records. RESULTS: Veterans
enrolled in the program reported clinically significant depression, stress,
insomnia, and pain-related interference in daily activities and deficits in
health-related quality of life. Regarding use of the program services, individual
acupuncture showed the greatest participation by veterans, followed by group
auricular acupuncture and iRest yoga nidra. Of the 226 veterans who enrolled in
the program and consented to participate in this study, 165 (73.01%) participated
in >1 services in the first year of programming. Broadly speaking, enrollment in
services appeared to be associated with gender and service branch but not with
age or symptom severity. CONCLUSIONS: Results have assisted with a strategic
planning process for the IHW Program and have implications for expansion of CAM
services within the VHA.
PMID- 26567447
TI - Improvement in Vision Parameters for Participants Treated With Alternative
Therapies in a 3-day Program.
AB - CONTEXT: Eye conditions that are considered progressive and degenerative and for
which the causation is generally poorly understood or not understood within
conventional medicine can respond to natural therapeutic interventions that
result in arrest and/or improvement of morbidity, with enhanced functional
results. Because many of the treated conditions are age related, a delay of
disease progression for 5 or even 10 y can mean an additional decade of
independence for seniors. The 11 included ocular conditions are ordinarily
considered incurable by any method except surgery and, even with surgery, the
outcomes can be variable and/or transient. OBJECTIVE: The research intended to
demonstrate the effectiveness of alternative modalities-intravenous (IV)
nutrition, oxidative therapy, microcurrent stimulation, and syntonic light
therapy-in improving vision in chronic eye conditions, even when administered for
a short period. DESIGN: The study was a retrospective, open-label, single-group
design. All participants in the 3-d conference during the period covered were
selected. SETTING: The setting was ophthalmologist Edward Kondrot's Healing the
Eye and Wellness Center near Tampa, FL, USA. PARTICIPANTS: The participants in
this study were all patients attending 1 of 11 CAM treatment events at the
author's center within 2 y. Each session lasted 3 d and the number of
participants in each session ranged from 5-15 (mean = 13). The cohort numbered
152 patients who were diagnosed with >=1 of 11 types of eye disease. Seventy
eight percent of the patients had either age-related macular degeneration (ARMD)
or glaucoma, which, taken together, are the leading cause of blindness in persons
>65 y. INTERVENTION: Each of 4 alternative modalities was provided at least once
to each participant: (1) IV nutrition, (2) oxidative therapy, (3) microcurrent
stimulation, and (4) syntonic light therapy. On the first day, a detailed
treatment plan for each participant was developed. Each day consisted of 2
therapeutic eye programs, a stress reduction program, and a detoxification
program. Also included were daily lectures and instructions on the methods and
use of the equipment. OUTCOME MEASURES: To measure outcomes, changes from
baseline were documented through comparison with postprogram results. Pre- and
postprogram testing included the following measures: (1) Early Treatment Diabetic
Retinopathy Study (ETDRS) eye chart; (2) Lighthouse Letter Contrast Sensitivity
test; (3) campimetry; (4) pursuits, saccade, and fixation tests; (5) pupillary
examination; (6) external examination; (7) examination of the anterior segment;
(8) intraocular-pressure test; and (9) dilated examination. Additional tests, if
necessary, included (1) ocular coherence tomography, (2) infrared thermography,
(3) 6-hour urine collection for heavy-metal toxicity, and (4) nocturnal oximetry.
RESULTS: All participants remained in the study for the duration of the program.
Following the administration of the protocol, significant improvement in acuity,
contrast, and visual field resulted in the majority of participants. None of the
interventions was toxic or painful, and all likely contributed to an improved,
overall health status for participants. CONCLUSIONS: These treatment protocols
should be considered part of a treatment program for all ocular disease
processes. Eye health needs to be repositioned within an assessment of general
health with the understanding that, with the exception of congenital disorders or
accidents, vision decline represents a general diminishment in overall health and
results directly from toxicity from both external sources such as air and water,
and the internal accumulation of toxic metals; poor nutrition; and other life
exposures and habits. Long-term follow-up studies are now in process.
PMID- 26567448
TI - Brain-derived Neurotrophic Factor Signaling Pathway: Modulation by Acupuncture in
Telomerase Knockout Mice.
AB - CONTEXT: Telomerase is a critical enzyme that is involved in aging and cancer and
that is thought to be a part of multiple neurological diseases. OBJECTIVE: To
investigate the telomerase response in the brain to acupuncture, the study
examined the levels of expression of brain-derived neurotrophic factor (BDNF) and
its downstream signaling molecules, including tyrosine kinase receptor Beta
(TrkB), p75 neurotrophin receptor (p75NTR), protein kinase B (Akt), extracellular
signal-regulated protein kinase (ERK1/2), and nuclear factor kappaBeta (NF
kappaBeta). DESIGN: Both telomerase-deficient (Terc-/-) mice (Terc-/- group) and
normal, wild-type (WT) mice (WT group) were randomly assigned to 1 of 3
subgroups, 1 receiving acupuncture (acupuncture subgroup), 1 receiving sham
acupuncture therapy (sham subgroup), and 1 receiving no treatment (control
subgroup). SETTING: The study occurred at the University of South Florida Health
Byrd Alzheimer's Institute (Tampa, FL, USA). INTERVENTION: The 2 acupuncture
subgroups received acupuncture at the stomach 36 (ST-36) position for 30 min/d
for 4 d. For the 2 sham groups, the sham point was set at a location
approximately 3 mm to the lateral side of the tail on the gluteus muscle
following the same schedule. OUTCOME MEASURES: After 4 d, the mice were
sacrificed, and the brain tissues were collected. The protein levels in the
hippocampus and dentate gyrus (DG) of each mouse were determined by western
blotting and immunostaining assays. RESULTS: The Terc-/- group showed
downregulated hippocampal BDNF expression compared with the WT mice. Acupuncture
at ST-36 for 4 d upregulated BDNF, TrkB, p75NTR, Akt, and ERK1/2 in the DG and
hippocampus of the telomerase-deficient mice, but that result was not seen in the
WT mice with normally functioning telomerase. CONCLUSIONS: The use of acupuncture
in pathologies associated with telomerase deficiencies, such as Alzheimer's
disease (AD) and Parkinson's disease (PD), may provide some benefit in terms of
eliciting better clinical responses. The research team believes that result
occurs through the activation of BDNF and its downstream signaling pathways in
populations of patients who exhibit low telomerase activity.
PMID- 26567449
TI - Compound Formulas of Traditional Chinese Medicine for the Common Cold: Systematic
Review of Randomized, Placebo-controlled Trials.
AB - CONTEXT: The common cold is one of the most frequent acute illnesses of the
respiratory tract, affecting all age groups. The compound formulas of traditional
Chinese medicine (TCM) are frequently used to treat the common cold in China and
other parts of the world. Until now, however, the efficacy and safety of compound
formulas of TCM for the common cold, studied in comparison with placebos, have
not been systematically reviewed. OBJECTIVES: This literature review intended to
assess existing evidence of the effectiveness and safety of compound formulas of
TCM for the common cold. DESIGN: Randomized, controlled trials (RCTs) comparing
compound formulas of TCM with placebos in treating the common cold were included,
regardless of publication status. The research team searched the Cochrane
Library, PubMed, Embase, the Chinese Biomedical Literature Database, the Chinese
Scientific and Technological Periodical Database, the Chinese National Knowledge
Infrastructure and the Wangfang Database from their inceptions to December 2013.
The team also searched Web sites listing ongoing trials and contacted experts in
the field and relevant pharmaceutical companies to locate unpublished materials.
OUTCOME MEASURES: Two review authors independently extracted data and assessed
the methodological quality of included studies, using the Cochrane risk of bias
tool. MAIN RESULTS: A total of 6 randomized, double-blind, placebo-controlled
trials involving 1502 participants were included. Most trials had a low risk of
bias. Five were conducted in mainland China and 1 in Hong Kong; 5 were
multicenter clinical trials and 1 was a single-center trial; 4 were published in
Chinese and 2 were published in English. Compound formulas of TCM were superior
to placebos in reducing disease symptoms, inducing recovery from a TCM syndrome,
and increasing quality of life. In addition, the formulas were superior in
shortening the duration of the main symptoms, the amount of time for a decline in
temperature of at least 0.5 degrees C to occur, and the duration of any fever.
The team did not perform a summary meta-analysis due to clinical heterogeneity.
No serious adverse event (AE) occurred in either the treatment or the control
groups. CONCLUSIONS: This systematic review indicated that compound formulas of
TCM, compared with placebo, can provide benefits to patients with the common
cold, with no serious side effects having been identified in the included trials.
However, due to the small number of included studies and of participants and the
unclear risk of some biases in the included studies, more high-quality, large
scale RCTs are still warranted to clarify fully the effectiveness and safety of
compound formulas of TCM in treating the common cold.
PMID- 26567450
TI - Protein Analysis by Ambient Ionization Mass Spectrometry Using Trypsin
Immobilized Organosiloxane Polymer Surfaces.
AB - In the growing field of proteomic research, rapid and simple protein analysis is
a crucial component of protein identification. We report the use of immobilized
trypsin on hybrid organic-inorganic organosiloxane (T-OSX) polymers for the on
surface, in situ digestion of four model proteins: melittin, cytochrome c,
myoglobin, and bovine serum albumin. Tryptic digestion products were sampled,
detected, and identified using desorption electrospray ionization mass
spectrometry (DESI-MS) and nanoDESI-MS. These novel, reusable T-OSX arrays on
glass slides allow for protein digestion in methanol:water solvents (1:1, v/v)
and analysis directly from the same polymer surface without the need for sample
preparation, high temperature, and pH conditions typically required for in
solution trypsin digestions. Digestion reactions were conducted with 2 MUL
protein sample droplets (0.35 mM) at incubation temperatures of 4, 25, 37, and 65
degrees C and digestion reaction times between 2 and 24 h. Sequence coverages
were dependent on the hydrophobicity of the OSX polymer support and varied by
temperature and digestion time. Under the best conditions, the sequence
coverages, determined by DESI-MS, were 100% for melittin, 100% for cytochrome c,
90% for myoglobin, and 65% for bovine serum albumin.
PMID- 26567451
TI - Association of Length of Stay With Contamination of Multidrug-Resistant Organisms
in the Environment and Colonization in the Rectum of Intensive Care Unit Patients
in China.
PMID- 26567452
TI - Protective effects of HGF gene-expressing human mesenchymal stem cells in
acetaminophen-treated hepatocytes.
AB - Mesenchymal stem cells (MSC) secrete a great variety of cytokines that have
beneficial paracrine actions. Hepatocyte growth factor (HGF) promotes
proliferation in several cell types. The aim of the present study was to
investigate the protective effect of HGF gene-transfected MSC (HGF-MSC) in
acetaminophen (AAP)-treated hepatocytes. We transfected the HGF gene into MSCs
and confirmed HGF expression by RT-PCR and western blot. The concentration of HGF
in HGF-MSC conditioned media (HGFCM) was upregulated compared with that in
control MSCCM samples. Cell viability was increased in HGFCM-treated hepatocytes.
Expression of Mcl-1, an anti-apoptosis protein, was increased and expression of
pro-apoptosis proteins (Bad, Bik and Bid) was decreased in HGFCM-treated
hepatocytes. HGF-MSC had protective effects on AAP-induced hepatocyte damage by
enhancing proliferation. These results suggest that HGF-expressing MSCs may
provide regenerative potential for liver cell damage.
PMID- 26567453
TI - Seven-Year Clinical Outcomes of Successful Versus Failed Revascularization Using
Drug-Eluting Stents for the Treatment of Coronary Chronic Total Occlusion.
AB - OBJECTIVES: The aim of our study is to investigate the long-term, 7-year clinical
outcomes of patients who received successful or failed revascularization using a
drug-eluting stent (DES) for the treatment of chronic total occlusion (CTO).
BACKGROUND: The benefits of successful CTO revascularization remain unclear.
METHODS: In this prospective cohort study, a total of 377 consecutive CTO
patients were divided according to successful (n = 253) or failed (n = 124) DES
revascularization. We compared a composite index that consisted of death,
myocardial infarction (MI), stroke, and target-vessel revascularization (TVR) at
7 years using propensity matching and inverse probability of treatment weighted
(IPTW) analyses. RESULTS: After a median follow-up period of 2561 days
(interquartile range, 1533-2996 days), the successful-revascularization group
demonstrated numerically lower incidence of the composite endpoint than the
failed-revascularization group (26.5% vs 34.3%, respectively; log-rank P=.27).
After IPTW adjustment, the risk of clinical outcomes (hazard ratio, 1.00; 95%
confidence interval, 0.58-1.74; P=.99) was not statistically different between
the groups. Propensity-score matching analysis (91 matched pairs) revealed
similar outcomes (hazard ratio, 1.09; 95% confidence interval, 0.62-1.90; P=.77).
CONCLUSIONS: Successful CTO revascularization does not demonstrate beneficial
long-term clinical outcomes over 7 years compared with failed revascularization.
PMID- 26567454
TI - Twelve-Month Outcomes With a Bioresorbable Everolimus-Eluting Scaffold: Results
of the ESHC-BVS Registry at Two Australian Centers.
AB - BACKGROUND: The Absorb bioresorbable vascular scaffold (BVS; Abbott Vascular) is
a relatively new type of coronary stent designed to provide temporary vessel
scaffolding following percutaneous coronary intervention. International use of
the device has grown despite a relative paucity of clinical data regarding the
performance of the device and the optimal strategy for its use. We report 12
month clinical data on the Absorb BVS from a real-world registry in order to
contribute to the overall understanding of the BVS device. METHODS AND RESULTS:
Absorb BVS implantation was attempted in 152 lesions in 100 patients at two
Sydney hospitals, as part of the prospective ESHC-BVS registry. Patients selected
harbored a range of complex lesions as encountered in real-world practice. Type-C
lesions made up 37% of all lesions treated, with 64% of these being long lesions
(>20 mm). Device success was achieved in 98.8% of cases. Predilation was
performed in all scaffolds and postdilation was performed in 95% of scaffolds to
a mean of 19.6 +/- 4.6 atm. Twelve-month follow-up data were available for 99% of
patients. At 12 months, the cumulative incidence of target-lesion
revascularization was 4%, while the incidence of myocardial infarction was 2% and
the incidence of scaffold thrombosis was 1%. There were no deaths in the follow
up period. CONCLUSION: In a cohort including complex lesions encountered in real
world practice, the Absorb BVS was associated with low rates of target-lesion
revascularization, myocardial infarction, and scaffold thrombosis at 12 months
when used with a strategy of meticulous lesion preparation, routine postdilation,
and 12 months of dual-antiplatelet therapy.
PMID- 26567455
TI - Venous Access Closure Using the Double-ProGlide Preclose Technique After
MitraClip Implantation: Long-Term Clinical and Duplex Ultrasound Outcomes.
AB - OBJECTIVE: This study evaluates the safety and efficacy of the double-ProGlide
preclose technique to secure hemostasis in patients with 24 Fr venous access.
METHODS: Consecutive patients with severe mitral regurgitation undergoing the
MitraClip procedure were included. All patients underwent the double-ProGlide
preclose technique for closure of the femoral vein access site. Duplex ultrasound
was performed at 1 month to 1 year after the procedure. The primary study outcome
was a composite of major vascular complications, including: (1) the presence of
>50% stenosis of the femoral vein; (2) presence of proximal deep vein thrombosis
(DVT); and (3) significant venous bleeding as evidenced by >1 unit packed red
blood cell transfusion within 48 hours of the procedure. RESULTS: A total of 42
patients (age, 72.5 +/- 9.8 years; 26 males) were included. The device was
successfully deployed in all cases with successful hemostasis. No transfusions
were required and no major vascular complications were noted. Thirty-five
patients (83%) underwent duplex ultrasound. No femoral vein stenosis was noted on
duplex ultrasound. CONCLUSIONS: Off-label use of the double-ProGlide preclose
technique after MitraClip therapy for closure of large-caliber femoral vein sites
is both safe and efficacious.
PMID- 26567456
TI - Efficacy of Magnetic Resonance urography in detecting crossing renal vessels in
children with ureteropelvic junction obstruction.
AB - INTRODUCTION: An aberrant or accessory crossing renal vessel (CV) leading to the
lower pole of the kidney is the most common extrinsic cause of uretero pelvic
obstruction(UPJ) obstruction in a child and young adolescent.There is still
controversy regarding there functional significance in obstruction Preoperative
identification of such vessels may influence surgical management. OBJECTIVE:
First aim is to determine the value of magnetic resonance (MR) urography in
detecting crossing vessels in children with UPJ obstruction,comparing the data
with postoperative findings and the second one is to evaluate morphologic and
functional parameters in these hydronephrotic kidneys. MATERIALS AND METHODS:
Between June 2009 and December 2012 we retrospectively reviewed MR urography
records of one hundred and nine children with unilateral hydronephrosis at the
University Children's Hospital. 68 (62.4%) were male and 41 (37.6%) were
female,median age was 6.5 +/- 5.7. Of the total number of patients, 30 (27%)
underwent pyeloplasty, while 79 (72.5%) did not. The age at surgery ranged from
0.3 to 18 years (median 6.6 years). The indication for surgery was based on
standard criteria (obstructed renal transit time with or without altered renal
function of vDRF < 40%), and did not depend on the presence or absence of a
crossing vessel.Consensus reviewes of the MR urography studies were compared with
surgical findings. RESULTS: A crossing vessel (CV) was found upon surgery in 9
(33%) of 30 kidneys.On MRU, there was no crossing vessel in 21 kidneys, confirmed
at surgery in 18. A crossing vessel was detected with MRU in 9 kidneys and
confirmed at surgery in 6. Thus, the sensitivity of MRU was 66.7%, the
specificity was 85.7%, the positive predictive value was 66.7%, the negative
predictive value was 85.7% and the accuracy was 80%. There was no statistically
significant difference in the detection of crossing vessels between MR urography
and surgery (p = 0.004 and p < 0.01 respectively). Overall, MRU and surgery
showed good agreement (kappa = 0.524). CONCLUSION: Based on our results we
suggest that MR urography is a reliable and safe diagnostic tool to determine
crossing vessels in selected children with UPJ obstruction. Thus, MRU can
substitute for other imaging modalities and provide detailed information about
the morphology and function of the affected kidney KEY WORDS: Children, Crossing
renal vessels, Hydronephrosis, Ureteropelvic function obstruction.
PMID- 26567457
TI - Acute mesenteric ischemia after cardiac surgery. Role of the abdominal
compartment syndrome treatment.
AB - BACKGROUND: The management of abdominal compartment syndrome (ACS) has been
included as a standard of care in our therapeutic algorithm after diagnosis of
acute mesentheric ischemia (AMI), following cardiac surgery. This report is an
updated review of our results compared to previous experience. MATERIALS AND
METHODS: A retrospective, observational, cohort study on a series of 26 patients
(20 males, 6 females, mean age 75.2 years, min 64, max 83) who developed AMI, out
of 7.719 patients undergoing cardiac operations (january 2005 - December 2014).
The initial treatment consisted of laparotomy with abdominal decompression and
temporary abdominal closure, performing visceral resections just in case of
gangrenous tracts and providing for a "secondlook" during the variable period of
resuscitation and vacuum assisted dressing. RESULTS: A non-occlusive mesentheric
ischemia with diffuse visceral underperfusion was confirmed in every case.
Temporary abdominal closure was applied to treat ACS in every case, 13 patients
required associated resection of gangrenous tracts (50%). Seventeen patients died
following first operation as a consequence of multiple organ failure (65.4%).
Nine patients survived (34.6%) and underwent re-establishment of intestinal
continuity and definitive closure of abdominal wall within 30 DAYS. DISCUSSION
AND CONCLUSIONS: AMI occuring after cardiac surgery is associated with an
increase of intra-abdominal pressure and subsequent ACS. Basing on this case
series, an early ACS treatment using open abdomen techniques may be results in a
better outcome of critically injured cardiac patients. These results compared
favourably with literature experiences (mortality rate > 85%). KEY WORDS:
Abdominal compartment syndrome, Acute mesentheric ischemia, Cardiac surgery.
PMID- 26567458
TI - Impacts of the ENSO Modoki and other Tropical Indo-Pacific Climate-Drivers on
African Rainfall.
AB - The study diagnoses the relative impacts of the four known tropical Indo-Pacific
drivers, namely, El Nino Southern Oscillation (ENSO), ENSO Modoki, Indian Ocean
Dipole (IOD), and Indian Ocean Basin-wide mode (IOBM) on African seasonal
rainfall variability. The canonical El Nino and El Nino Modoki are in general
associated with anomalous reduction (enhancement) of rainfall in southern
(northern) hemispheric regions during March-May season. However, both the El Nino
flavours anomalously reduce the northern hemispheric rainfall during June
September. Interestingly, during boreal spring and summer, in many regions, the
Indian Ocean drivers have influences opposite to those from tropical Pacific
drivers. On the other hand, during the October-December season, the canonical El
Nino and/or positive IOD are associated with an anomalous enhancement of rainfall
in the Eastern Africa, while the El Nino Modoki events are associated with an
opposite impact. In addition to the Walker circulation changes, the Indo-Pacific
drivers influence the African rainfall through modulating jet streams. During
boreal summer, the El Nino Modoki and canonical El Nino (positive IOD) tend to
weaken (strengthen) the tropical easterly jet, and result in strengthening
(weakening) and southward shift of African easterly jet. This anomalously reduces
(enhances) rainfall in the tropical north, including Sahelian Africa.
PMID- 26567459
TI - Pre-operative counselling for laryngectomy patients: a systematic review.
AB - OBJECTIVES: This study aimed to undertake a systematic review of the literature
about pre-operative counselling for laryngectomy patients, identify its practice
and patient and (where possible) carer perceptions. METHODS: A search strategy
was formulated using a concept map and a Population, Intervention, Comparative
Interaction and Outcomes ('PICO') schema. All publications from 1975 to 2015
reporting pre-operative counselling of laryngectomy patients were included.
Papers were retrieved and critiqued, and those included were assigned a level of
evidence (according to the Joanna Briggs Institute schema). RESULTS: Of the 56
papers retrieved, 21 were included in the review. The literature is limited:
studies demonstrate bias and are of poor methodological quality. There are clear,
persistent reports by patients and carers of shortfalls in clinical practice.
CONCLUSION: Studies on pre-operative counselling for laryngectomees are flawed in
design and represent weak levels of evidence. Pre-operative counselling has not
been operationalised, resulting in differing paradigms being examined.
Aggregation of data and/or results is not possible and the veracity of many
studies is questioned.
PMID- 26567460
TI - Large-scale production of bioactive recombinant human acidic fibroblast growth
factor in transgenic silkworm cocoons.
AB - With an increasing clinical demand for functional therapeutic proteins every
year, there is an increasing requirement for the massive production of bioactive
recombinant human acidic fibroblast growth factor (r-haFGF). In this present
study, we delicately explore a strategy for the mass production of r-haFGF
protein with biological activity in the transgenic silkworm cocoons. The sequence
optimized haFGF was inserted into an enhanced sericin-1 expression system to
generate the original transgenic silkworm strain, which was then further crossed
with a PIG jumpstarter strain to achieve the remobilization of the expression
cassette to a "safe harbor" locus in the genome for the efficient expression of r
haFGF. In consequence, the expression of r-haFGF protein in the mutant line
achieved a 5.6-fold increase compared to the original strain. The high content of
r-haFGF facilitated its purification and large-scald yields. Furthermore, the r
haFGF protein bioactively promoted the growth, proliferation and migration of
NIH/3T3 cells, suggesting the r-haFGF protein possessed native mitogenic activity
and the potential for wound healing. These results show that the silk gland of
silkworm could be an efficient bioreactor strategy for recombinant production of
bioactive haFGF in silkworm cocoons.
PMID- 26567461
TI - A Novel Approach to Making the Gas-Filled Liposome Real: Based on the Interaction
of Lipid with Free Nanobubble within the Solution.
AB - Nanobubbles with a size less than 1 MUm could make a promising application in
ultrasound molecular imaging and drug delivery. However, the fabrication of
stable gas encapsulation nanobubbles is still challenging. In this study, a novel
method for preparation of lipid- encapsulated nanobubbles was reported. The
dispersed phospholipid molecules in the prefabricated free nanobubbles solution
can be assembled to form controllable stable lipid encapsulation gas-filled
ultrasound-sensitive liposome (GU-Liposome). The optimized preparation parameters
and formation mechanism of GU-Liposome were investigated in detail. Results
showed that this type of GU-Liposome had mean diameter of 194.4 +/- 6.6 nm and
zeta potential of -25.2 +/- 1.9 mV with layer by layer self-assembled lipid
structure. The acoustic imaging analysis in vitro indicated that ultrasound
imaging enhancement could be acquired by both perfusion imaging and accumulation
imaging. The imaging enhancement level and duration time was related with the
ratios of lipid to gas in the GU-Liposome structure. All in all, by this novel
and controllable nanobubble construction technique, it will broaden the future
theranostic applications of nanobubbles.
PMID- 26567462
TI - Progressive Hemodialysis: Is It The Future?
AB - Progressive hemodialysis is based on the simple idea of adjusting its dose
according to residual renal function (RRF). The progressive, infrequent paradigm
is slowly gaining a foothold among nephrologists, despite a lot of skepticism in
the scientific world. Given the importance of RRF preservation in conservative
therapy, it seems a contradiction to ignore the contribution of RRF when patients
initiate hemodialysis (HD), especially when it is routinely considered with
peritoneal dialysis. While a three-times-weekly HD regimen is broadly considered
the standard starting regimen for new patients, twice-weekly HD has been used in
selected patients and is currently a common practice in South-East Asia. Small
studies indicate that a once-weekly HD regimen may be a viable starting option as
well. Progressive hemodialysis still requires validation, yet it is promising. We
share the belief that a randomized clinical trial to investigate progressive
hemodialysis is much needed, but we also strongly recommend including a once
weekly HD starting dose as part of any such investigation.
PMID- 26567463
TI - An unexpected large capacity of ultrafine manganese oxide as a sodium-ion battery
anode.
AB - MnO2 is shown for the first time to be electrochemically active as a conversion
anode for Na-ion batteries (NIBs). Space-confined ultrafine (UF)-MnO2, with an
average crystal size of 4 nm, synthesized using a porous silicon dioxide
templated hydrothermal process exhibits a high reversible sodiation capacity of
567 mA h g(-1), in contrast to the negligible activity shown by the aggregates of
larger (14 nm) MnO2 nanocrystallites. The remarkably enhanced sodiation activity
of the UF-MnO2 is attributable to its greatly reduced crystal size, which
facilitates diffusion of Na ions, along with high surface energy arising from
extensive heterogeneous interfacial bonding with the SiO2 surrounding. The UF
MnO2 anode exhibits an exceptional rate and cycle performance, exhibiting >70%
capacity retention after 500 cycles. In operando synchrotron X-ray absorption
near-edge structural analysis reveals combined charge-storage mechanisms
involving conversion reaction between Mn(III) and Mn(II) oxides, Mn(III)-O1.5 +
Na(+) + e(-)- <-> 1/2Na2O + Mn(II)-O, and non-Mn-centered redox reactions. The
finding suggests a new strategy for "activating" the potential electrochemical
electrode materials that appear inactive in the bulk form.
PMID- 26567464
TI - Direct heptafluoroisopropylation of arylboronic acids via hexafluoropropene
(HFP).
AB - A novel and straightforward strategy for heptafluoroisopropylation of arylboronic
acids at room temperature has been developed. This method, directly using
commercial available hexafluoropropene (HFP) as the starting material, provides a
new way to synthesize a variety of synthetically useful heptafluoroisopropylated
arenes.
PMID- 26567465
TI - Offering a forage crop at pasture did not adversely affect voluntary cow traffic
or milking visits in a pasture-based automatic milking system.
AB - Feed is a strong incentive for encouraging cows in automatic milking systems
(AMS) to voluntarily move around the farm and achieve milkings distributed across
the 24 h day. It has been reported that cows show preferences for some forages
over others, and it is possible that offering preferred forages may increase cow
traffic. A preliminary investigation was conducted to determine the effect of
offering a forage crop for grazing on premilking voluntary waiting times in a
pasture-based robotic rotary system. Cows were offered one of two treatments
(SOYBEAN or GRASS) in a cross-over design. A restricted maximum likelihood
procedure was used to model voluntary waiting times. Mean voluntary waiting time
was 45.5+/-6.0 min, with no difference detected between treatments. High and mid
production cows spent 55 min/milking for low-production cows, whereas waiting
time increased as queue length increased. Voluntary waiting time was 23% and 80%
longer when cows were fetched from the paddock or had a period of forced waiting
before volunteering for milking, respectively. The time it took cows to return to
the dairy since last exiting was not affected by treatment, with a mean return
time of 13.7+/-0.6 h. Although offering SOYBEAN did not encourage cows to traffic
more readily through the premilking yard, the concept of incorporating forage
crops in AMS still remains encouraging if the aim is to increase the volume or
quantity of home-grown feed rather than improving cow traffic.
PMID- 26567466
TI - Routing of Fatty Acids from Fresh Grass to Milk Restricts the Validation of
Feeding Information Obtained by Measuring (13)C in Milk.
AB - Dairy production systems vary widely in their feeding and livestock-keeping
regimens. Both are well-known to affect milk quality and consumer perceptions.
Stable isotope analysis has been suggested as an easy-to-apply tool to validate a
claimed feeding regimen. Although it is unambiguous that feeding influences the
carbon isotope composition (delta(13)C) in milk, it is not clear whether a
reported feeding regimen can be verified by measuring delta(13)C in milk without
sampling and analyzing the feed. We obtained 671 milk samples from 40 farms
distributed over Central Europe to measure delta(13)C and fatty acid composition.
Feeding protocols by the farmers in combination with a model based on delta(13)C
feed values from the literature were used to predict delta(13)C in feed and
subsequently in milk. The model considered dietary contributions of C3 and C4
plants, contribution of concentrates, altitude, seasonal variation in (12/13)CO2,
Suess's effect, and diet-milk discrimination. Predicted and measured delta(13)C
in milk correlated closely (r(2) = 0.93). Analyzing milk for delta(13)C allowed
validation of a reported C4 component with an error of <8% in 95% of all cases.
This included the error of the method (measurement and prediction) and the error
of the feeding information. However, the error was not random but varied
seasonally and correlated with the seasonal variation in long-chain fatty acids.
This indicated a bypass of long-chain fatty acids from fresh grass to milk.
PMID- 26567467
TI - A validated web-based tool to display individualised Crohn's disease predicted
outcomes based on clinical, serologic and genetic variables.
AB - BACKGROUND: Early treatment for Crohn's disease (CD) with immunomodulators and/or
anti-TNF agents improves outcomes in comparison to a slower 'step up' algorithm.
However, there remains a limited ability to identify those who would benefit most
from early intensive therapy. AIM: To develop a validated, individualised, web
based tool for patients and clinicians to visualise individualised risks for
developing Crohn's disease complications. METHODS: A well-characterised cohort of
adult patients with CD was analysed. Available data included: demographics;
clinical characteristics; serologic immune responses; NOD2 status; time from
diagnosis to complication; and medication exposure. Cox proportional analyses
were performed to model the probability of developing a CD complication over
time. The Cox model was validated externally in two independent CD cohorts. Using
system dynamics analysis (SDA), these results were transformed into a simple
graphical web-based display to show patients their individualised probability of
developing a complication over a 3-year period. RESULTS: Two hundered and forty
three CD patients were included in the final model of which 142 experienced a
complication. Significant variables in the multivariate Cox model included small
bowel disease (HR 2.12, CI 1.05-4.29), left colonic disease (HR 0.73, CI 0.49
1.09), perianal disease (HR 4.12, CI 1.01-16.88), ASCA (HR 1.35, CI 1.16-1.58),
Cbir (HR 1.29, CI 1.07-1.55), ANCA (HR 0.77, CI 0.62-0.95), and the NOD2
frameshift mutation/SNP13 (HR 2.13, CI 1.33-3.40). The Harrell's C (concordance
index for predictive accuracy of the model) = 0.73. When applied to the two
external validation cohorts (adult n = 109, pediatric n = 392), the concordance
index was 0.73 and 0.75, respectively, for adult and pediatric patients.
CONCLUSIONS: A validated, web-based tool has been developed to display an
individualised predicted outcome for adult patients with Crohn's disease based on
clinical, serologic and genetic variables. This tool can be used to help
providers and patients make personalised decisions about treatment options.
PMID- 26567468
TI - Adult Oral Health Programs in Japanese Municipalities: Factors Associated with
Self-Rated Effectiveness.
AB - Health Japan 21 plan establishes specific targets for aspects of health including
oral health for 2010, in an effort to increase health expectancy. Despite this,
there has been insufficient improvement in oral health status in adults. The
objective of this cross-sectional study was to determine the factors associated
with effective oral health programs for adults in Japanese municipalities.
Questionnaires were mailed to all 1,472 municipalities in Japan and responses
were obtained from 862 municipalities (response rate: 58.6%). After excluding 71
municipalities with "unknown" answer, no answer, or lack of relevant information,
we analyzed the data from the remaining 791 municipalities with or without oral
health programs for adults self-reported as effective within three years.
Multilevel Poisson regression models were used to examine the associations of
effective programs with oral health personnel, contact with related agencies, the
establishment of Health Japan 21 goals, financial status, the density of dentists
and population density at the municipality level, and having oral health
personnel at the prefecture level. Three hundred and fifty-four municipalities
reported having effective programs. In the fully adjusted model, having dental
hygienists in the municipal office (P < 0.05) and a high number of contacts with
related agencies (P < 0.05) were significantly associated with having effective
programs. These results suggest that having dental hygienists and contact with
related agencies such as residents, local dental associations, companies,
community general support centers, or medical, nursing or welfare facilities are
promoting factors for effective adult oral health programs in Japanese
municipalities.
PMID- 26567469
TI - Survey of outcomes in a faculty development program on simulation pedagogy.
AB - Although many nursing programs use simulation as a teaching-learning modality,
there are few systematic approaches to help nursing educators learn this
pedagogy. This study evaluates the effects of a simulation pedagogy nursing
faculty development program on participants' learning perceptions using a
retrospective pre-course and post-course design. Sixteen Thai participants
completed a two-day nursing faculty development program on simulation pedagogy.
Thirteen questionnaires were used in the final analysis. The participants' self
perceived learning about simulation teaching showed significant post-course
improvement. On a five-point Likert scale, the composite mean attitude,
subjective norm, and perceived behavioral control scores, as well as intention to
use a simulator, showed a significant post-course increase. A faculty development
program on simulation pedagogy induced favorable learning and attitudes. Further
studies must test how faculty performance affects the cognitive, emotional, and
social dimensions of learning in a simulation-based learning domain.
PMID- 26567470
TI - A systematic review of adverse events arising from the use of synthetic
cannabinoids and their associated treatment.
AB - CONTEXT: Synthetic cannabinoids (SCs) such as "Spice", "K2", etc. are widely
available via the internet despite increasing legal restrictions. Currently, the
prevalence of use is typically low in the general community (<1%) although it is
higher among students and some niche groups subject to drug testing. Early
evidence suggests that adverse outcomes associated with the use of SCs may be
more prevalent and severe than those arising from cannabis consumption.
OBJECTIVES: To identify systematically the scientific reports of adverse events
associated with the consumption of SCs in the medical literature and poison
centre data. METHOD: We searched online databases (Medline, PsycInfo, Embase,
Google Scholar and Pubmed) and manually searched reference lists up to December
2014. To be eligible for inclusion, data had to be from hospital, emergency
department, drug rehabilitation services or poison centre records of adverse
events involving SCs and included both self-reported and/or analytically
confirmed consumption. RESULTS: From 256 reports, we identified 106 eligible
studies including 37 conference abstracts on about 4000 cases involving at least
26 deaths. Major complications include cardiovascular events (myocardial
infarction, ischemic stroke and emboli), acute kidney injury (AKI), generalized
tonic-clonic seizures, psychiatric presentations (including first episode
psychosis, paranoia, self-harm/suicide ideation) and hyperemesis. However, most
presentations were not serious, typically involved young males with tachycardia
(~ 37-77%), agitation (~ 16-41%) and nausea (~ 13-94%) requiring only symptomatic
care with a length of stay of less than 8 hours. CONCLUSIONS: SCs most frequently
result in tachycardia, agitation and nausea. These symptoms typically resolve
with symptomatic care, including intravenous fluids, benzodiazepines and anti
emetics, and may not require inpatient care. Severe adverse events (stroke,
seizure, myocardial infarction, rhabdomyolysis, AKI, psychosis and hyperemesis)
and associated deaths manifest less commonly. Precise estimates of their
incidence are difficult to calculate due to the lack of widely available, rapid
laboratory confirmation, the variety of SC compounds and the unknown number of
exposed individuals. Long-term consequences of SCs use are currently unknown.
PMID- 26567471
TI - Factors associated with Allergic Rhinitis in Colombian subpopulations aged 1 to
17 and 18 to 59.
AB - BACKGROUND: Several studies have shown variations in the prevalence of allergic
rhinitis (AR) around the world, and different potential predisposing factors.
More studies are needed on risk factors, specifically in developing countries.
This study explored the association of several factors and AR among urban
residents in six cities of Colombia. METHODOLOGY: A cross-sectional study and a
nested case-control study were carried out between 2009 and 2010 involving two
Colombian subpopulations: children/adolescents and adults. Cases were affirmative
respondents to "In the past 12 months, have you (or your child) had a problem
with sneezing or a running or blocked nose, when you (or your child) did not have
a cold or the flu?" "Controls" were subjects who never had been diagnosed with
asthma, AR or atopic eczema by a physician, and whom did not report any symptoms
in the past twelve months. Weighted logistic regression was used to assess the
association of different factors with case/control status. RESULTS: Factors
associated with AR in children/adolescents were family history of AR,
acetaminophen consumption and high socioeconomic status. Among adults, family
history of asthma, AR and atopic eczema, and cetaminophen consumption were
associated with AR. Consumption of cereals among children/adolescents and eating
eggs among adults showed protective associations. CONCLUSIONS: Our findings
suggest the presence of previously unknown cultural, environmental and family
factors associated with the presence of AR in Colombia.
PMID- 26567474
TI - Taxonomic characterization and the bio-potential of bacteria isolated from
glacier ice cores in the High Arctic.
AB - Glacier ice and firn cores have ecological and biotechnological importance. The
present study is aimed at characterizing bacteria in crustal ice cores from
Svalbard, the Arctic. Counts of viable isolates ranged from 10 to 7000 CFU/ml
(mean 803 CFU/ml) while the total bacterial numbers ranged from 7.20 * 10(4) to
2.59 * 10(7) cells ml(-1) (mean 3.12 * 10(6) cells ml(-1) ). Based on 16S rDNA
sequence data, the identified species belonged to seven species, namely Bacillus
barbaricus, Pseudomonas orientalis, Pseudomonas oryzihabitans, Pseudomonas
fluorescens, Pseudomonas syncyanea, Sphingomonas dokdonensis, and Sphingomonas
phyllosphaerae, with a sequence similarity ranging between 93.5 and 99.9% with
taxa present in the database. The isolates exhibited unique phenotypic
properties, and three isolates (MLB-2, MLB-5, and MLB-9) are novel species, yet
to be described. To the best of our knowledge, this is the first report on
characterization of cultured bacterial communities from Svalbard ice cores. We
conclude that high lipase, protease, cellulase, amylase, and urease activities
expressed by most of the isolates provide a clue to the potential industrial
applications of these organisms. These microbes, producing cold-adapted enzymes
may provide an opportunity for biotechnological research.
PMID- 26567472
TI - Hydrogel Pore-Size Modulation for Enhanced Single-Cell Western Blotting.
AB - Pore-gradient microgel arrays enable thousands of parallel high-resolution single
cell protein electrophoresis separations for targets accross a wide molecular
mass (25-289 kDa), yet within 1 mm separation distances. Dual crosslinked
hydrogels facilitate gel-pore expansion after electrophoresis for efficient and
uniform immunoprobing. The photopatterned, light-activated, and acid-expandable
hydrogel underpins single-cell protein analysis, here for oncoprotein-related
signaling in human breast biopsy.
PMID- 26567475
TI - ROR1-based immunomagnetic protocol allows efficient separation of CLL and healthy
B cells.
PMID- 26567476
TI - The Ability of SD-OCT to Differentiate Early Glaucoma With High Myopia From
Highly Myopic Controls and Nonhighly Myopic Controls.
AB - PURPOSE: Optical coherence tomography (OCT) instruments do not embed a normative
database from highly myopic normal (HMN) eyes. The abilities of three OCT
instruments to detect early glaucoma with high myopia were compared using the two
controls with or without high myopia. METHODS: A total of 52 early glaucomatous
eyes (mean deviation > -6.0 dB) with high myopia (spherical equivalent <= -6.0
diopters [HMG]), 54 HMN eyes, and 90 nonhighly myopic normal (NHMN) eyes were
enrolled. Each participant was imaged using Cirrus, RTVue, and Topcon 3D OCT to
evaluate the thicknesses of the circumpapillary retinal nerve fiber layer
(cpRNFL), the macular retinal nerve fiber layer (mRNFL), ganglion cell layer +
inner plexiform layer (GCL/IPL), and mRNFL + GCL/IPL (GCC). The covariate
adjusted areas under the receiver operating characteristic curves (AUCs) for
detecting HMG were compared among the instruments and between the two normal
groups (HMN or NHMN). RESULTS: Highly myopic normal eyes showed higher AUCs for
the temporal quadrant cpRNFL thickness but lower AUCs for the superior and
inferior RNFL thicknesses compared with NHMN. We found the AUCs for the GCC
thickness showed no significant difference between the two control groups, but
the GCL/IPL and mRNFL thicknesses had differences. CONCLUSIONS: The abilities of
the three OCT instruments to detect early glaucomatous eyes with high myopia were
different if the normal eyes were associated with high myopia or not. A normative
database that includes data from patients with high myopia should be established
for accurate diagnosis of glaucoma with high myopia. (www.umin.ac.jp/ctr number,
UMIN000006900.).
PMID- 26567477
TI - Reflections on maternal health care within the Victorian Maternal and Child
Health Service.
AB - Women suffer significant morbidity following childbirth and there is a lack of
focussed, primary maternal health care to support them. Victorian Maternal and
Child Health (MCH) nurses are ideally suited to provide additional care for women
when caring for the family with a new baby. With additional training and support,
MCH nurses could better fill this health demand and practice gap. This discussion
paper reviews what we know about maternal morbidity, current postnatal services
for women and the maternal healthcare gap, and makes recommendations for
enhancing MCH nursing practice to address this deficit.
PMID- 26567478
TI - The Quantitative-MFG Test: A Linear Mixed Effect Model to Detect Maternal
Offspring Gene Interactions.
AB - Maternal-offspring gene interactions, aka maternal-fetal genotype (MFG)
incompatibilities, are neglected in complex diseases and quantitative trait
studies. They are implicated in birth to adult onset diseases but there are
limited ways to investigate their influence on quantitative traits. We present
the quantitative-MFG (QMFG) test, a linear mixed model where maternal and
offspring genotypes are fixed effects and residual correlations between family
members are random effects. The QMFG handles families of any size, common or
general scenarios of MFG incompatibility, and additional covariates. We develop
likelihood ratio tests (LRTs) and rapid score tests and show they provide correct
inference. In addition, the LRT's alternative model provides unbiased parameter
estimates. We show that testing the association of SNPs by fitting a standard
model, which only considers the offspring genotypes, has very low power or can
lead to incorrect conclusions. We also show that offspring genetic effects are
missed if the MFG modeling assumptions are too restrictive. With genome-wide
association study data from the San Antonio Family Heart Study, we demonstrate
that the QMFG score test is an effective and rapid screening tool. The QMFG test
therefore has important potential to identify pathways of complex diseases for
which the genetic etiology remains to be discovered.
PMID- 26567479
TI - Vitamin D, phosphate, and vasculotoxicity.
AB - Vascular calcification is a complex process that results in the ectopic
deposition of calcium-phosphate hydroxyapatite. Medial and intimal vascular
calcification is frequently present in patients with diabetes mellitus and
chronic kidney disease (CKD), and markedly increases the morbidity and mortality
of these patients. Increased serum levels of calcium and phosphate, along with
the use of active vitamin D metabolites, are commonly implicated in the
evolvement of vascular wall mineralization in CKD patients. Because CKD patients
have lower serum levels of vitamin D, they are routinely prescribed vitamin D
supplements that exert a dualistic role that is both healthful and harmful in
these patients, perhaps protecting bone health, but at the expense of promoting
vascular pathology. This review briefly explains how reducing the phosphate
burden in CKD patients could minimize vitamin-D-associated vascular wall
calcification.
PMID- 26567480
TI - Preface. The pharmacology of vitamins and beyond. Part 2.
PMID- 26567481
TI - Mast cells in asthma--state of the art.
AB - Mast cells (MCs) play a central role in tissue homoeostasis, sensing the local
environment through numerous innate cell surface receptors. This enables them to
respond rapidly to perceived tissue insults with a view to initiating a co
ordinated programme of inflammation and repair. However, when the tissue insult
is chronic, the ongoing release of multiple pro-inflammatory mediators,
proteases, cytokines and chemokines leads to tissue damage and remodelling. In
asthma, there is strong evidence of ongoing MC activation, and their mediators
and cell-cell signals are capable of regulating many facets of asthma
pathophysiology. This article reviews the evidence behind this.
PMID- 26567482
TI - Exploiting developments in nanotechnology for the preferential delivery of
platinum-based anti-cancer agents to tumours: targeting some of the hallmarks of
cancer.
AB - Platinum drugs as anti-cancer therapeutics are held in extremely high regard.
Despite their success, there are drawbacks associated with their use; their dose
limiting toxicity, their limited activity against an array of common cancers and
patient resistance to Pt-based therapeutic regimes. Current investigations in
medicinal inorganic chemistry strive to offset these shortcomings through
selective targeting of Pt drugs and/or the development of Pt drugs with new or
multiple modes of action. A comprehensive overview showcasing how liposomes,
nanocapsules, polymers, dendrimers, nanoparticles and nanotubes may be employed
as vehicles to selectively deliver cytotoxic Pt payloads to tumour cells is
provided.
PMID- 26567483
TI - Durability of Mitral Valve Repair Performed Before the Age of 5 Years.
AB - BACKGROUND: Intricate repairs performed for adult mitral valve disease may not be
feasible in young children because of their small annulus, future growth and also
fragile tissue. METHODS AND RESULTS: Mitral valve repair was performed in 51
patients (1980-2011) aged younger than 5 years. The median follow-up was 3.0
(maximum, 24.2) years. Commissural annuloplasty technique was performed solely in
19 of 37 patients with coexisting cardiac disease. In 2 patients, Alfieri's edge
to-edge technique was used. Repairs for the remaining 30 patients used one of the
following procedures: commissural closure (8), closure of the accessory cleft or
hole (7), sliding leaflet technique (6), artificial chordal placement (6) and
chordal shortening technique (3). There were 3 deaths. The postoperative degree
of mitral regurgitation was mild or less in 41 patients (80.4%); 9 patients
required reoperation for mitral regurgitation or stenosis. Freedom from
reoperation for patients with isolated mitral regurgitation and those with other
congenital heart disease at 10 years was 91.7+/-68.0% and 68.4+/-9.4%,
respectively. Actuarial survival was 97.0+/-3.0% and 85.1+/-9.7%, respectively.
CONCLUSIONS: Excellent survival rates were achieved after mitral valve repair in
patients younger than 5 years. The incidence of both reoperation and significant
regurgitation was acceptable.
PMID- 26567484
TI - Treat and Repair Strategy in Patients With Atrial Septal Defect and Significant
Pulmonary Arterial Hypertension.
AB - BACKGROUND: A therapeutic strategy in patients with atrial septal defect (ASD)
and significant pulmonary arterial hypertension (PAH) remains controversial. This
study aimed to assess the effect of PAH-specific medications and subsequent
transcatheter shunt closure (ie, a treat and repair strategy) in these patients.
METHODS AND RESULTS: Among 646 patients with ASD, 22 patients (mean age of 56+/
20 years) who had PAH [mean pulmonary artery pressure >=25 mmHg and pulmonary
vascular resistance (PVR) >=3 Wood units] underwent successful transcatheter ASD
closure. Prior to the procedure, 8 patients received PAH-specific medications
(PHM group) and 14 patients did not (non-PHM group). Initially, the PHM group had
higher PVR compared with non-PHM group (9.6+/-3.8 vs. 4.2+/-1.0 Wood units,
P<0.01). After treatment with PAH-specific medications, PVR in this group
decreased to 4.0+/-0.8 Wood units (P<0.01). No adverse events were observed in
either the PHM or non-PHM group during or after the transcatheter procedure. In
the PHM group, during a treatment period of 52+/-48 months, the World Health
Organization Functional Classification significantly improved (3.0+/-0.5 to 2.0+/
0.0, P<0.01), as well as in the non-PHM group (2.1+/-0.6 to 1.5+/-0.5, P<0.01).
CONCLUSIONS: Treat and repair strategy provided substantial improvement and no
worsening of the WHO-FC, even in patients with ASD and significant PAH. Long-term
hemodynamic follow-up is mandatory to evaluate the ultimate efficacy and safety
of this new strategy.
PMID- 26567485
TI - Characteristics and Risk Factors for Type 2 Endoleak in an East Asian Population
From a Japanese Multicenter Database.
AB - BACKGROUND: Clinically distinct differences exist between East Asian and
Caucasian subjects, but data for type 2 endoleak (T2EL) are limited in the East
Asian population. The aim of this study was to analyze the characteristics of
East Asian T2EL using a Japanese multicenter database. METHODS AND RESULTS:
Retrospective analysis of 832 endovascular aneurysm repairs performed from 2008
to 2014 were conducted. T2EL was observed in 234 cases (28.1%), and in 32 cases
(3.8%) it led to sac expansion >5 mm caused by isolated T2EL (median follow-up,
35.6 months). On univariate and multivariate analysis, non-smoker status (odds
ratio [OR], 2.216; P<0.001), Excluder stent graft (OR, 2.027; P<0.001), and T2EL
at final angiogram (OR, 2.080; P<0.001) were risk factors for T2EL. On
multivariate analysis for isolated T2EL with sac expansion, only non-smoker
status remained (OR, 2.671; P<0.001). Other than T1EL, isolated T2EL was the most
significant risk factor for sac expansion (OR, 18.486; P<0.001). Furthermore, out
of 11 transarterial embolization procedures initiated, 4 led to rupture during
follow-up. CONCLUSIONS: East Asian T2EL had a strong relationship with non-smoker
status. Also, T2EL was a significant risk factor for sac expansion, which
sometimes led to rupture even after intervention. Along with the high prevalence
of T2EL observed, East Asian T2EL may not always be benign.
PMID- 26567486
TI - Unprecedented Strong Panchromic Absorption from Proton-Switchable Iridium(III)
Azoimidazolate Complexes.
AB - Two new heteroleptic iridium(III) complexes bearing an aryldiazoimidazole ligand
are reported. These complexes differ structurally with respect to the protonation
state of the imidazole ring, but can be independently accessed by varying the
synthetic conditions. Their structures have been unequivocally confirmed by X-ray
crystal structure analysis, with surprising differences in the structural
parameters of the two complexes. The strongly absorbing nature of the free
diazoimidazole ligand is enhanced in these iridium complexes, with the protonated
cationic complex demonstrating extraordinarily strong panchromic absorption up to
700 nm. The absorption profile of the deprotonated neutral complex is blueshifted
by about 100 nm and thus the interconversion between the two complexes as a
function of the acidity/basicity of the environment can be readily monitored by
absorption spectroscopy. Theoretical calculations revealed the origins of these
markedly different absorption properties. Finally, the protonated analogue has
been targeted as an acceptor material for organic photovoltaic (OPV)
applications, and preliminary results are reported.
PMID- 26567487
TI - Interface engineering: broadband light and low temperature gas detection
abilities using a nano-heterojunction device.
AB - Herein, we have designed a nano-heterojunction device using interface defects and
band bending effects, which can have broadband light detection (from 365-940 nm)
and low operating temperature (50 degrees C) gas detection abilities. The
broadband light detection mechanism occurs because of the defects and band
bending between the heterojunction interface. We have demonstrated this mechanism
using CoSi2/SnO2, CoSi2/TiO2, Ge/SnO2 and Ge/TiO2 nano-heterojunction devices,
and all these devices show broadband light detection ability. Furthermore, the
nano-heterojunction of the nano-device has a local Joule-heating effect. For gas
detection, the results show that the nano-heterojunction device presents a high
detection ability. The reset time and sensitivity of the nano-heterojunction
device are an order faster and larger than Schottky-contacted devices (previous
works), which is due to the local Joule-heating effect between the interface of
the nano-heterojunction. Based on the abovementioned idea, we can design diverse
nano-devices for widespread use.
PMID- 26567488
TI - Heart Failure: A Primer.
AB - Heart failure is a complex and multisystem clinical syndrome that results from
impaired ventricular contractility and/or relaxation. Hypertension, diabetes
mellitus, and coronary artery disease are common antecedents to heart failure.
The main pathogenic mechanisms involved in heart failure include sympathetic
nervous and renin-angiotensin-aldosterone system activation, as well as
inflammation. A detailed history and physical examination and additional
diagnostic tests may be needed to diagnose heart failure. Most treatment
strategies target neurohormonal systems. Nonpharmacologic interventions and
effective engagement in self-care are also important in overall heart failure
management. Therapeutic strategies are geared toward prolonging life and
optimizing quality of life.
PMID- 26567489
TI - Heart Failure and Atrial Fibrillation.
AB - Atrial fibrillation is commonly experienced by patients with heart failure, and
as the heart failure progresses and worsens, the more likely the patient is to
develop atrial fibrillation. Several factors play into this, including common
risk factors, such as advanced age, hypertension, and ischemic or valvular heart
disease. Treatment is aimed at anticoagulation, to prevent thromboembolic stroke,
and rate control to prevent further hemodynamic compromise. Rhythm control may be
beneficial for many patients and this can be accomplished through the use of
antiarrhythmic medications, cardioversion, and/or ablation.
PMID- 26567490
TI - Hypertensive Crisis: A Review of Pathophysiology and Treatment.
AB - Hypertensive crisis presents as hypertensive urgency or hypertensive emergency,
the differences being the presence or absence of target organ damage (TOD) and
the type of treatment the patient will receive. Patients with hypertensive
urgency do not express TOD, which is seen only in hypertensive emergencies and
can involve the heart, kidneys, or brain. Recognition of hypertensive crisis at
initial assessment is crucial. An important first step is to obtain a full
medical and medication history to be used as a guide for treatment. Proper and
effective treatment of hypertensive urgency or emergency involves appropriate use
of specific agents based on knowledge of any comorbid disease state.
PMID- 26567491
TI - Hemodynamics of Acute Right Heart Failure in Mechanically Ventilated Patients
with Acute Respiratory Distress Syndrome.
AB - In critically ill patients with circulatory shock, the role of the left ventricle
has long been appreciated and the object of measurement and therapeutic
targeting. The right ventricle is often under appreciated and dysfunction may be
overlooked. Generally, the right ventricle operates passively to support the
ejection of the left ventricular diastolic volume. A loss of right ventricular
wall compliance secondary to pulmonary pressures may result in an alteration in
the normal pressure-volume relationship, ultimately affecting the stroke volume
and cardiac output. Traditional right heart filling indices may increase because
of decreasing compliance, further complicating the picture. The pathophysiology
of pulmonary vascular dysfunction in acute respiratory distress syndrome combined
with the effects of a mean airway pressure strategy may create an acute cor
pulmonale.
PMID- 26567492
TI - Mechanisms of Cardiotoxicity and the Development of Heart Failure.
AB - Cardiotoxicity is a broad term that refers to the negative effects of toxic
substances on the heart. Cancer drugs can cause cardiotoxicity by effects on
heart cells, thromboembolic events, and/or hypertension that can lead to heart
failure. Rheumatoid arthritis biologics may interfere with ischemic
preconditioning and cause/worsen heart failure. Long-term and heavy alcohol use
can result in oxidative stress, apoptosis, and decreased contractile protein
function. Cocaine use results in sympathetic nervous system stimulation of heart
and smooth muscle cells and leads to cardiotoxicity and evolution of heart
failure. The definition of cardiotoxicity is likely to evolve along with
knowledge about detecting subclinical myocardial injury.
PMID- 26567493
TI - Characteristics, Complications, and Treatment of Acute Pericarditis.
AB - Acute pericarditis occurs most frequently after a viral attack. Other causes are
autoimmune conditions, infection, chest trauma, cardiac surgery, or cardiac
procedure. The presenting symptom is retrosternal chest pain. A pericardial rub
is characteristic. Diffuse upward sloping ST segments are found with
electrocardiogram. Pericardial effusions may be demonstrated with an
echocardiogram. High-dose nonsteroidal antiinflammatory medications are the
primary treatment. Adding colchicine reduces recurrence. It responds well to
pharmacologic therapy within 1 to 2 weeks. Monitoring for complications is
essential. The most serious complication is cardiac tamponade. For this, prompt
diagnosis and treatment can be life-saving.
PMID- 26567494
TI - High-Output Heart Failure Caused by Thyrotoxicosis and Beriberi.
AB - High-output heart failure is not seen as commonly as low-output heart failure and
some of the typical guideline recommendations may not benefit patients with high
output failure. High-output failure is caused by several diseases, including
thyrotoxicosis and beriberi, highlighted in this article. Thyrotoxicosis, caused
by excessive thyroid hormone production, has profound hemodynamic effects. Wet
beriberi, affecting predominately the cardiovascular system, is caused by severe
thiamine deficiency, most commonly seen in patients with chronic alcoholism or
poor nutrition from other causes. Prompt recognition of these infrequently seen
syndromes is essential. This article outlines the medical treatment and nursing
care needed to return these patients to a normal state.
PMID- 26567495
TI - Sleep and Heart Failure.
AB - Sleep deprivation occurs for many reasons but, when chronic in nature, has many
consequences for optimal health and performance. Despite its high prevalence,
sleep-disordered breathing is underrecognized and undertreated. This is
especially true in the setting of heart failure, where sleep-disordered breathing
affects more than 50% of patients. Although the optimal strategy to best identify
patients is currently unknown, concerted and consistent efforts to support early
recognition, diagnosis, and subsequent treatment should be encouraged.
Optimization of guideline-directed medical therapy and concurrent treatment of
sleep-disordered breathing are necessary to improve outcomes in this complex high
risk population.
PMID- 26567496
TI - Patient Safety Coalition: A Focus on Heart Failure.
AB - Indianapolis Coalition for Patient Safety, Inc engaged a citywide effort to
reduce hospital readmissions of patients diagnosed with heart failure within 30
days of discharge. An innovative collaboration among interdisciplinary
representatives of hospitals, skilled nursing facilities, and home care agencies
resulted in reduction in readmissions for patients with heart failure.
PMID- 26567497
TI - The Role of the Nurse Navigator in the Management of the Heart Failure Patient.
AB - Today's health care systems are faced with challenges to transform health care
delivery and provide quality and valued services for the heart failure
population. These challenges require collaboration and the development of
strategic processes that will redefine best practices. Implementing a
multidimensional nurse navigator transition program is one approach to
facilitating cross-continuum of care. Such a program has been proven to
significantly reduce 30-day all-cause hospital readmissions, enhanced self
management skills, and improved follow-up compliance. This transitional care
model can be used to address the needs of all patients with chronic conditions.
PMID- 26567498
TI - Ventricular Assist Device and Destination Therapy Candidates from Preoperative
Selection Through End of Hospitalization.
AB - Mechanical circulatory support (MCS) devices offer advanced heart failure
patients a potential long-term solution. MCS devices implantation is increasing
related to the increased volume of heart failure patients, the shortfall of
suitable donors, and the advanced technology and smaller size of the devices. To
ensure a successful outcome, some key elements must be taken into consideration
and managed: patient selection, preoperative preparation, intraoperative care,
postoperative care, and posthospital education. The ultimate success of an MCS
implantation relies on a multidisciplinary approach and excellent
patient/caregiver education in each phase of hospitalization.
PMID- 26567499
TI - Cardiac Transplantation: Considerations for the Intensive Care Unit Nurse.
AB - Heart transplantation is a recommended and curative treatment option for patients
with advanced heart failure symptoms despite receiving optimal medical and device
therapy. The availability of donor organs limits the number of patients able to
receive a heart transplant. The overall outcome of patients able to receive a
heart transplant is determined by the successful delivery of essential nursing
care. Understanding the specific interventions and therapies unique to this
patient population is critical to their care. This article reviews considerations
for the intensive care unit clinician in the management of heart transplant
patients in this setting.
PMID- 26567500
TI - Palliative Care in Heart Failure.
AB - The number of patients with heart failure is growing; the associated morbidity
and mortality remains dismal. Advance care planning, end-of-life conversations,
and palliative care referrals are appropriate, but do not occur regularly.
Palliative care focuses on patients and families from diagnosis, to hospice,
death, and bereavement. It is delivered as basic palliative care by all providers
and by specialty-certified palliative care specialists. Nurses are well
positioned to provide basic. Nurses are also instrumental in initiating referrals
to the specialized palliative care team as the patient's needs become too complex
or the disease progresses and the patient approaches the end of life.
PMID- 26567501
TI - Heart Failure.
PMID- 26567502
TI - The Origin of a Killer Revealed by Bronze Age Yersinia Genomes.
AB - Bubonic plaque is caused by Yersinia pestis, a deadly pathogen that left deep
scars in human history. Rasmussen et al. (2015) have now retrieved Y. pestis
genomes from 2,800- to 5,000-year-old human teeth, shedding new light on origins
of the strain that brought Black Death to Europe 670 years ago.
PMID- 26567503
TI - Attacking the Supply Lines: HIV-1 Restricts Alanine Uptake to Prevent T Cell
Activation.
AB - HIV commonly escapes host antiviral immunity by downregulating cell-surface
immunoreceptors. In a recent issue of Cell Host & Microbe, Matheson et al. (2015)
systematically examined how HIV-1 infection remodels the T cell surface and
identified serine carriers SERINC3/5 and alanine transporter SNAT1 as targets of
HIV-1 Nef and Vpu, respectively.
PMID- 26567504
TI - Salmonella Manipulates Autophagy to "Serve and Protect".
AB - Many intracellular pathogens, including Salmonella typhimurium, trigger autophagy
in host cells, which is widely thought to restrict intracellular growth and
survival. In this issue of Cell Host & Microbe, Kreibich et al. (2015)
demonstrate a role for the autophagic machinery in the repair of damaged
Salmonella-containing vacuoles (SCVs).
PMID- 26567505
TI - Antibiotic Education: Not Just Another Brick in the Cell Wall.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) are resistant to beta-lactam
antibiotics, which inhibit bacterial cell wall synthesis. In this issue of Cell
Host & Microbe, Muller et al. (2015) show that beta-lactam treatment of MRSA
leads to synthesis of an altered cell wall that increases inflammasome activation
and immunopathology during skin infection.
PMID- 26567506
TI - Asthma Prevention: Right Bugs, Right Time?
AB - Asthma is an increasingly prevalent chronic respiratory disease particularly
affecting children. Microbial colonization during early life has emerged as a
central factor influencing asthma susceptibility. A recently published
prospective study links the reduction in relative abundance of 4 bacterial genera
at 3 months of age to the development of asthma.
PMID- 26567507
TI - Autophagy Proteins Promote Repair of Endosomal Membranes Damaged by the
Salmonella Type Three Secretion System 1.
AB - Salmonella Typhimurium (S.Tm) is an enteropathogen requiring multiple virulence
factors, including two type three secretion systems (T1 and T2). T1 triggers
epithelium invasion in which the bacteria are taken up into endosomes that mature
into Salmonella-containing vacuoles (SCV) and trigger T2 induction upon
acidification. Mechanisms controlling endosome membrane integrity or pathogen
egress into the cytosol are incompletely understood. We screened for host factors
affecting invasion and SCV maturation and identified a role for autophagy in
sealing endosomal membranes damaged by T1 during host cell invasion. S.Tm
infected autophagy-deficient (atg5(-/-)) cells exhibit reduced SCV dye retention
and lower T2 expression but no effects on steps preceding SCV maturation.
However, in the absence of T1, autophagy is dispensable for T2 induction. These
findings establish a role of autophagy at early stages of S.Tm infection and
suggest that autophagy-mediated membrane repair might be generally important for
invasive pathogens and endosomal membrane function.
PMID- 26567508
TI - Filamentous Bacteriophage Promote Biofilm Assembly and Function.
AB - Biofilms-communities of bacteria encased in a polymer-rich matrix-confer bacteria
with the ability to persist in pathologic host contexts, such as the cystic
fibrosis (CF) airways. How bacteria assemble polymers into biofilms is largely
unknown. We find that the extracellular matrix produced by Pseudomonas aeruginosa
self-assembles into a liquid crystal through entropic interactions between
polymers and filamentous Pf bacteriophages, which are long, negatively charged
filaments. This liquid crystalline structure enhances biofilm function by
increasing adhesion and tolerance to desiccation and antibiotics. Pf
bacteriophages are prevalent among P. aeruginosa clinical isolates and were
detected in CF sputum. The addition of Pf bacteriophage to sputum polymers or
serum was sufficient to drive their rapid assembly into viscous liquid crystals.
Fd, a related bacteriophage of Escherichia coli, has similar biofilm-building
capabilities. Targeting filamentous bacteriophage or the liquid crystalline
organization of the biofilm matrix may represent antibacterial strategies.
PMID- 26567509
TI - CDK11 in TREX/THOC Regulates HIV mRNA 3' End Processing.
AB - Transcriptional cyclin-dependent kinases play important roles in eukaryotic gene
expression. CDK7, CDK9 (P-TEFb), and CDK13 are also critical for HIV replication.
However, the function of CDK11 remained enigmatic. In this report, we determined
that CDK11 regulates the cleavage and polyadenylation (CPA) of all viral
transcripts. CDK11 was found associated with the TREX/THOC, which recruited this
kinase to DNA. Once at the viral genome, CDK11 phosphorylated serines at position
2 in the CTD of RNAPII, which increased levels of CPA factors at the HIV 3' end.
In its absence, cleavage of viral transcripts was greatly attenuated. In
contrast, higher levels of CDK11 increased the length of HIV poly(A) tails and
the stability of mature viral transcripts. We conclude that CDK11 plays a
critical role for the cotranscriptional processing of all HIV mRNA species.
PMID- 26567510
TI - Microbiota-Dependent Priming of Antiviral Intestinal Immunity in Drosophila.
AB - Enteric pathogens must overcome intestinal defenses to establish infection. In
Drosophila, the ERK signaling pathway inhibits enteric virus infection. The
intestinal microflora also impacts immunity but its role in enteric viral
infection is unknown. Here we show that two signals are required to activate
antiviral ERK signaling in the intestinal epithelium. One signal depends on
recognition of peptidoglycan from the microbiota, particularly from the commensal
Acetobacter pomorum, which primes the NF-kB-dependent induction of a secreted
factor, Pvf2. However, the microbiota is not sufficient to induce this pathway; a
second virus-initiated signaling event involving release of transcriptional
paused genes mediated by the kinase Cdk9 is also required for Pvf2 production.
Pvf2 stimulates antiviral immunity by binding to the receptor tyrosine kinase
PVR, which is necessary and sufficient for intestinal ERK responses. These
findings demonstrate that sensing of specific commensals primes inflammatory
signaling required for epithelial responses that restrict enteric viral
infections.
PMID- 26567511
TI - Poorly Cross-Linked Peptidoglycan in MRSA Due to mecA Induction Activates the
Inflammasome and Exacerbates Immunopathology.
AB - Methicillin-resistant S. aureus (MRSA) is a leading health problem. Compared to
methicillin-sensitive S. aureus, MRSA infections are associated with greater
morbidity and mortality, but the mechanisms underlying MRSA pathogenicity are
unclear. Here we show that the protein conferring beta-lactam antibiotic
resistance, penicillin-binding protein 2A (encoded by the mecA gene), directly
contributes to pathogenicity during MRSA infection. MecA induction leads to a
reduction in peptidoglycan cross-linking that allows for enhanced degradation and
detection by phagocytes, resulting in robust IL-1beta production. Peptidoglycan
isolated from beta-lactam-challenged MRSA strongly induces the NLRP3 inflammasome
in macrophages, but these effects are lost upon peptidoglycan solubilization.
Mutant MRSA bacteria with naturally occurring reduced peptidoglycan cross-links
induce high IL-1beta levels in vitro and cause increased pathology in vivo. beta
lactam treatment of MRSA skin infection exacerbates immunopathology, which is IL
1 dependent. Thus, antibiotic-induced expression of mecA during MRSA skin
infection contributes to immunopathology by altering peptidoglycan structure.
PMID- 26567512
TI - Individual Members of the Microbiota Disproportionately Modulate Host Innate
Immune Responses.
AB - Predicting host health status based on microbial community structure is a major
goal of microbiome research. An implicit assumption of microbiome profiling for
diagnostic purposes is that the proportional representation of different taxa
determine host phenotypes. To test this assumption, we colonized gnotobiotic
zebrafish with zebrafish-derived bacterial isolates and measured bacterial
abundance and host neutrophil responses. Surprisingly, combinations of bacteria
elicited immune responses that do not reflect the numerically dominant species.
These data are consistent with a quantitative model in which the host responses
to commensal species are additive but where various species have different per
capita immunostimulatory effects. For example, one species has a high per capita
immunosuppression that is mediated through a potent secreted factor. We conclude
that the proportional representation of bacteria in a community does not
necessarily predict its functional capacities; however, characterizing specific
properties of individual species offers predictive insights into multi-species
community function.
PMID- 26567515
TI - The relationship between player losses and gambling-related harm: evidence from
nationally representative cross-sectional surveys in four countries.
AB - BACKGROUND AND AIMS: Flaws in previous studies mean that findings of J-shaped
risk curves for gambling should be disregarded. The current study aims to
estimate the shape of risk curves for gambling losses and risk of gambling
related harm (a) for total gambling losses and (b) disaggregated by gambling
activity. DESIGN: Four cross-sectional surveys. SETTING: Nationally
representative surveys of adults in Australia (1999), Canada (2000), Finland
(2011) and Norway (2002). PARTICIPANTS: A total of 10 632 Australian adults, 3120
Canadian adults, 4484 people aged 15-74 years in Finland and 5235 people aged 15
74 years in Norway. MEASUREMENTS: Problem gambling risk was measured using the
modified South Oaks Gambling Screen, the NORC DSM Screen for Gambling Problems
and the Problem Gambling Severity Index. FINDINGS: Risk curves for total gambling
losses were estimated to be r-shaped in Australia {beta losses = 4.7 [95%
confidence interval (CI) = 3.8, 6.5], beta losses(2 =) -7.6 (95% CI = -17.5,
4.5)}, Canada [beta losses = 2.0 (95% CI = 1.3, 3.9), beta losses(2 =) -3.9 (95%
CI = -15.4, -2.2)] and Finland [beta losses = 3.6 (95% CI = 2.5, 7.5), beta
losses(2 =) -4.4 (95% CI = -34.9, -2.4)] and linear in Norway [beta losses = 1.6
(95% CI = 0.6, 3.1), beta losses(2 =) -2.6 (95% CI = -12.6, 1.4)]. Risk curves
for different gambling activities showed either linear, r-shaped or non
significant relationships. CONCLUSIONS: Player loss-risk curves for total
gambling losses and for different gambling activities are likely to be linear or
r-shaped. For total losses and electronic gaming machines, there is no evidence
of a threshold below which increasing losses does not increase the risk of harm.
PMID- 26567514
TI - Selective Mitochondrial Targeting Exerts Anxiolytic Effects In Vivo.
AB - Current treatment strategies for anxiety disorders are predominantly symptom
based. However, a third of anxiety patients remain unresponsive to anxiolytics
highlighting the need for more effective, mechanism-based therapeutic approaches.
We have previously compared high vs low anxiety mice and identified changes in
mitochondrial pathways, including oxidative phosphorylation and oxidative stress.
In this work, we show that selective pharmacological targeting of these
mitochondrial pathways exerts anxiolytic effects in vivo. We treated high anxiety
related behavior (HAB) mice with MitoQ, an antioxidant that selectively targets
mitochondria. MitoQ administration resulted in decreased anxiety-related behavior
in HAB mice. This anxiolytic effect was specific for high anxiety as MitoQ
treatment did not affect the anxiety phenotype of C57BL/6N and DBA/2J mouse
strains. We furthermore investigated the molecular underpinnings of the MitoQ
driven anxiolytic effect and found that MitoQ treatment alters the brain
metabolome and that the response to MitoQ treatment is characterized by distinct
molecular signatures. These results indicate that a mechanism-driven approach
based on selective mitochondrial targeting has the potential to attenuate the
high anxiety phenotype in vivo, thus paving the way for translational
implementation as long-term MitoQ administration is well-tolerated with no
reported side effects in mice and humans.
PMID- 26567516
TI - Drugs for the treatment of peripheral neuropathies.
AB - Peripheral neuropathies are frequent in association with systemic diseases as
well as isolated disorders. Recent advances in the therapy of specific
neuropathies led to the approval of new drugs/treatments. This review selected
those peripheral neuropathies where the most recent approvals were provided and
revised the potential future developments in diabetic and toxic-induced
neuropathies, although they do not have a currently available causal therapy in
view of their epidemiological and social relevance. Data have been extracted from
the most important published trials and from clinical experience. In addition,
data from the Food and Drug Administration and European Medicine Agency
indications on the treatment of the selected peripheral neuropathies and from
recently updated international guidelines have also been included. The website of
the U.S. National Institutes of Health www.clinicaltrials.gov registry has been
used as the reference database for phase III clinical trials not yet published or
ongoing. This review gives a general overview of the most recent advances in the
treatment of amyloid, inflammatory, and paraproteinemic peripheral neuropathies.
Moreover, it briefly describes the unmet medical need in disabling and frequent
conditions, such as diabetic and chemotherapy-induced neuropathy, highlighting
the most promising therapeutic approaches to their treatment.
PMID- 26567513
TI - The Ubiquitin Proteome of Toxoplasma gondii Reveals Roles for Protein
Ubiquitination in Cell-Cycle Transitions.
AB - Protein ubiquitination plays key roles in protein turnover, cellular signaling,
and intracellular transport. The genome of Toxoplasma gondii encodes
ubiquitination machinery, but the roles of this posttranslational modification
(PTM) are unknown. To examine the prevalence and function of ubiquitination in T.
gondii, we mapped the ubiquitin proteome of tachyzoites. Over 500 ubiquitin
modified proteins, with almost 1,000 sites, were identified on proteins with
diverse localizations and functions. Enrichment analysis demonstrated that 35% of
ubiquitinated proteins are cell-cycle regulated. Unexpectedly, most classic cell
cycle regulators conserved in T. gondii were not detected in the ubiquitinome.
Furthermore, many ubiquitinated proteins localize to the cytoskeleton and inner
membrane complex, a structure beneath the plasma membrane facilitating division
and host invasion. Comparing the ubiquitinome with other PTM proteomes reveals
waves of PTM enrichment during the cell cycle. Thus, T. gondii PTMs are
implicated as critical regulators of cell division and cell-cycle transitions.
PMID- 26567517
TI - Editorial.
PMID- 26567518
TI - In Vivo Antitumor Activity of Folate-Conjugated Cholic Acid-Polyethylenimine
Micelles for the Codelivery of Doxorubicin and siRNA to Colorectal
Adenocarcinomas.
AB - Multidrug resistance poses a great challenge to cancer treatment. In order to
improve the targeting and codelivery of small interfering RNA (siRNA) and
doxorubicin, and to overcome multidrug resistance, we conjugated a cholic acid
polyethylenimine polymer with folic acid, forming CA-PEI-FA micelles. CA-PEI-FA
exhibited a low critical micelle concentration (80 MUM), small average particle
size (150 nm), and positive zeta potential (+ 12 mV). They showed high entrapment
efficiency for doxorubicin (61.2 +/- 1.7%, w/w), forming D-CA-PEI-FA, and for
siRNA, forming D-CA-PEI-FA-S. X-ray photoelectron spectroscopic analysis revealed
the presence of external FA on D-CA-PEI-FA micelles. About 25% doxorubicin was
released within 24 h at pH 7.4, while more than 30% release was observed at pH 5.
The presence of FA enhanced micelle antitumor activity. The D-CA-PEI-FA and D-CA
PEI-FA-S micelles inhibited tumor growth in vivo. No significant differences
between their in vitro cytotoxic activities or their in vivo antitumor effects
were observed, indicating that the siRNA coloading did not significantly increase
the antitumor activity. Histological analysis revealed that tumor tissues from
mice treated with D-CA-PEI-FA or D-CA-PEI-FA-S showed the lowest cancer cell
density and the highest levels of apoptosis and necrosis. Similarly, the livers
of these mice exhibited the lowest level of dihydropyrimidine dehydrogenase among
all treated groups. The lowest serum vascular endothelial growth factor level
(VEGF) (24.4 pg/mL) was observed in mice treated with D-CA-PEI-FA-S micelles
using siRNA targeting VEGF. These findings indicated that the developed CA-PEI-FA
nanoconjugate has the potential to achieve targeted codelivery of drugs and
siRNA.
PMID- 26567519
TI - Funding Research in Emergency Diagnostic Imaging: Summary of a Panel Discussion
at the 2015 Academic Emergency Medicine Consensus Conference.
AB - As part of the 2015 Academic Emergency Medicine consensus conference "Diagnostic
Imaging in the Emergency Department: A Research Agenda to Optimize Utilization,"
a panel of representatives from the National Institute of Health's Office of
Emergency Care Research, the National Institute of Biomedical Imaging and
Bioengineering, the Agency for Healthcare Research and Quality, and the Patient
Centered Outcomes Research Institute was assembled to discuss future
opportunities for funding research in this particular area of interest.
Representatives from these agencies and organizations discussed their missions
and priorities and how they distribute funding. They also addressed questions on
mechanisms for new and established researchers to secure future funding.
PMID- 26567520
TI - Comparison of hair thyroid hormones between first-episode and recurrent patients
with depression in female Chinese.
AB - OBJECTIVE: The aim of this study was to compare hair thyroid hormone (TH) levels
among first-episode patients with major depressive disorder (F-MDD), recurrent
patients with major depressive disorder (R-MDD) and healthy controls (HC).
METHODS: The Hamilton Depression Scale (HAMD) and Hamilton Anxiety Scale (HAMA)
were used to evaluate the clinical symptoms of patients. Electrochemiluminescence
immunoassay was used to measure the hair TH levels. We compared the differences
in hair TH levels among F-MDD patients, R-MDD patients, and HC. RESULTS: Before
the disease episode, hair T3 levels differed significantly between F-MDD patients
and HC. In the disease episode, hair T3 levels differed significantly between F
MDD or R-MDD patients and HC; and hair T4 levels differed significantly between R
MDD patients and HC. Hair T3 levels significantly negative correlated with HAMD
scores in F-MDD patients. CONCLUSIONS: Our findings suggested that disease
duration of recurring episodes of depression may influence hair TH levels.
PMID- 26567521
TI - A portable optical waveguide resonance light-scattering scanner for microarray
detection.
AB - In the present work, a portable and low-cost planar waveguide based resonance
light scattering (RLS) scanner (termed as: PW-RLS scanner) has been developed for
microarray detection. The PW-RLS scanner employs a 2 * 4 white light emitting
diode array (WLEDA) as the excitation light source, a folded optical path with a
complementary metal oxide semiconductor (CMOS) as the signal/image acquisition
device and stepper motors with gear drives as the mechanical drive system. The
biological binding/recognizing events on the microarray can be detected with an
evanescent waveguide-directed illumination and light-scattering label (e.g.,
nanoparticles) while the microarray slide acts as an evanescent waveguide
substrate. The performance of the as-developed PW-RLS scanner has been evaluated
by analyzing type 2 diabetes mellitus (T2DM) risk genes. Highly selective and
sensitive (less than 1% allele frequency at the attomole-level) T2DM risk gene
detection is achieved using single-stranded DNA functionalized gold nanoparticles
(ssDNA-GNPs) as detection probes. Additionally, the successful simultaneous
analysis of 15 T2DM patient genotypes suggests that the device has great
potential for the realization of a personalized diagnostic test for a given
disease or patient follow-up.
PMID- 26567522
TI - Studying interpregnancy interval effects using observational data: some
cautionary remarks.
PMID- 26567523
TI - Ligand influence on the electronic spectra of monocationic copper-bipyridine
complexes.
AB - We present photodissociation spectroscopy and computational analysis of three
monocationic Cu-bipyridine complexes with one additional ligand of different
interaction strength (N2, H2O and Cl) in the visible and UV. All three complexes
show similar pipi* bands with origins slightly above 4 eV and vibrational band
contours that are due to bipyridine ring deformation modes. Experiments at low
temperature show that excited-state lifetime is the limiting factor for the width
of the vibrational features. In the case of Cl as a ligand, there is a lower
lying bright ligand-to-ligand charge-transfer state around 2.75 eV. The
assignment of the transitions was made based on equation-of-motion coupled
cluster calculations. While the nature of the ligand does not significantly
change the position of the bright pipi* state, it drastically changes the excited
state dynamics.
PMID- 26567524
TI - Identification and characterization of multiple rubisco activases in
chemoautotrophic bacteria.
AB - Ribulose-1,5-bisphosphate carboxylase/oxygenase (rubisco) is responsible for
almost all biological CO2 assimilation, but forms inhibited complexes with its
substrate ribulose-1,5-bisphosphate (RuBP) and other sugar phosphates. The
distantly related AAA+ proteins rubisco activase and CbbX remodel inhibited
rubisco complexes to effect inhibitor release in plants and alpha-proteobacteria,
respectively. Here we characterize a third class of rubisco activase in the
chemolithoautotroph Acidithiobacillus ferrooxidans. Two sets of isoforms of CbbQ
and CbbO form hetero-oligomers that function as specific activases for two
structurally diverse rubisco forms. Mutational analysis supports a model wherein
the AAA+ protein CbbQ functions as motor and CbbO is a substrate adaptor that
binds rubisco via a von Willebrand factor A domain. Understanding the mechanisms
employed by nature to overcome rubisco's shortcomings will increase our toolbox
for engineering photosynthetic carbon dioxide fixation.
PMID- 26567525
TI - Regulation of formation of volatile compounds of tea (Camellia sinensis) leaves
by single light wavelength.
AB - Regulation of plant growth and development by light wavelength has been
extensively studied. Less attention has been paid to effect of light wavelength
on formation of plant metabolites. The objective of this study was to investigate
whether formation of volatiles in preharvest and postharvest tea (Camellia
sinensis) leaves can be regulated by light wavelength. In the present study, in
contrast to the natural light or dark treatment, blue light (470 nm) and red
light (660 nm) significantly increased most endogenous volatiles including
volatile fatty acid derivatives (VFADs), volatile phenylpropanoids/benzenoids
(VPBs), and volatile terpenes (VTs) in the preharvest tea leaves. Furthermore,
blue and red lights significantly up-regulated the expression levels of 9/13
lipoxygenases involved in VFADs formation, phenylalanine ammonialyase involved in
VPBs formation, and terpene synthases involved in VTs formation. Single light
wavelength had less remarkable influences on formation of volatiles in the
postharvest leaves compared with the preharvest leaves. These results suggest
that blue and red lights can be promising technology for remodeling the aroma of
preharvest tea leaves. Furthermore, our study provided evidence that light
wavelength can activate the expression of key genes involved in formation of
plant volatiles for the first time.
PMID- 26567526
TI - Recent advances of pore system construction in zeolite-catalyzed chemical
industry processes.
AB - The kaleidoscopic applications of zeolite catalysts (zeo-catalysts) in
petrochemical processes has been considered as one of the major accomplishments
in recent decades. About twenty types of zeolite have been industrially applied
so far, and their versatile porous architectures have contributed their most
essential features to affect the catalytic efficiency. This review depicts the
evolution of pore models in zeolite catalysts accompanied by the increase in
industrial and environmental demands. The indispensable roles of modulating pore
models are outlined for zeo-catalysts for the enhancement of their catalytic
performances in various industrial processes. The zeolites and related industrial
processes discussed range from the uni-modal micropore system of zeolite Y (12
ring micropore, 12-R) in fluid catalytic cracking (FCC), zeolite ZSM-5 (10-R) in
xylene isomerization and SAPO-34 (8-R) in olefin production to the multi-modal
micropore system of MCM-22 (10-R and 12-R pocket) in aromatic alkylation and the
hierarchical pores in FCC and catalytic cracking of C4 olefins. The rational
construction of pore models, especially hierarchical features, is highlighted
with a careful classification from an industrial perspective accompanied by a
detailed analysis of the theoretical mechanisms.
PMID- 26567527
TI - Involvement of FKBP6 in hepatitis C virus replication.
AB - The chaperone system is known to be exploited by viruses for their replication.
In the present study, we identified the cochaperone FKBP6 as a host factor
required for hepatitis C virus (HCV) replication. FKBP6 is a peptidyl prolyl cis
trans isomerase with three domains of the tetratricopeptide repeat (TPR), but
lacks FK-506 binding ability. FKBP6 interacted with HCV nonstructural protein 5A
(NS5A) and also formed a complex with FKBP6 itself or FKBP8, which is known to be
critical for HCV replication. The Val(121) of NS5A and TPR domains of FKBP6 were
responsible for the interaction between NS5A and FKBP6. FKBP6 was colocalized
with NS5A, FKBP8, and double-stranded RNA in HCV-infected cells. HCV replication
was completely suppressed in FKBP6-knockout hepatoma cell lines, while the
expression of FKBP6 restored HCV replication in FKBP6-knockout cells. A treatment
with the FKBP8 inhibitor N-(N', N'-dimethylcarboxamidomethyl)cycloheximide
impaired the formation of a homo- or hetero-complex consisting of FKBP6 and/or
FKBP8, and suppressed HCV replication. HCV infection promoted the expression of
FKBP6, but not that of FKBP8, in cultured cells and human liver tissue. These
results indicate that FKBP6 is an HCV-induced host factor that supports viral
replication in cooperation with NS5A.
PMID- 26567528
TI - Improved anti-glioblastoma efficacy by IL-13Ralpha2 mediated copolymer
nanoparticles loaded with paclitaxel.
AB - Glioma presents one of the most malignant brain tumors, and the therapeutic
effect is often limited due to the existence of brain tumor barrier. Based on
interleukin-13 receptor alpha2 (IL-13Ralpha2) over-expression on glioma cell, it
was demonstrated to be a potential receptor for glioma targeting. In this study,
Pep-1-conjugated PEGylated nanoparticles loaded with paclitaxel (Pep-NP-PTX) were
developed as a targeting drug delivery system for glioma treatment. The Pep-NP
PTX presented satisfactory size of 95.78 nm with narrow size distribution.
Compared with NP-PTX, Pep-NP-PTX exhibited significantly enhanced cellular uptake
in C6 cells (p < 0.001). The in vitro anti-proliferation evaluation showed that
the IC50 were 146 ng/ml and 349 ng/ml of Pep-NP-PTX and NP-PTX, respectively. The
in vivo fluorescent image results indicated that Pep-NP had higher specificity
and efficiency in intracranial tumor accumulation. Following intravenous
administration, Pep-NP-PTX could enhance the distribution of PTX in vivo glioma
section, 1.98, 1.91 and 1.53-fold over that of NP-PTX group after 0.5, 1 and 4 h,
respectively. Pep-NP-PTX could improve the anti-glioma efficacy with a median
survival time of 32 days, which was significantly longer than that of PTX-NP (23
days) and Taxol((r)) (22 days). In conclusion, Pep-NP-PTX is a potential
targeting drug delivery system for glioma treatment.
PMID- 26567529
TI - Plasmon-Enhanced Surface Photovoltage of ZnO/Ag Nanogratings.
AB - We investigated the surface photovoltage (SPV) behaviors of ZnO/Ag one
dimensional (1D) nanogratings using Kelvin probe force microscopy (KPFM). The
grating structure could couple surface plasmon polaritons (SPPs) with photons,
giving rise to strong light confinement at the ZnO/Ag interface. The larger field
produced more photo-excited carriers and increased the SPV. SPP excitation
influenced the spatial distribution of the photo-excited carriers and their
recombination processes. As a result, the SPV relaxation time clearly depended on
the wavelength and polarization of the incident light. All of these results
suggested that SPV measurement using KPFM should be very useful for studying the
plasmonic effects in nanoscale metal/semiconductor hybrid structures.
PMID- 26567530
TI - A Deep Look Into Erionite Fibres: an Electron Microscopy Investigation of their
Self-Assembly.
AB - The exposure of humans to erionite fibres of appropriate morphology and dimension
has been unambiguously linked to the occurrence of Malignant Mesothelioma. For
this reason, a detailed morpho-structural investigation through Electron
Microscopy techniques has been performed on erionite samples collected at two
different localities, Durkee (ED) and Rome (ER), Oregon, USA. The sample from
Rome has been also investigated after a prolonged leaching with Gamble's solution
(ER4G) in order to evaluate the possible occurrence of morpho-structural
modifications induced by this Simulated-Lung-Fluid (SLF). Here we report how the
micrometric erionite fibres evolve in irregular ribbon- or rod-like bundles as a
function of different nano-structural features. The reasons for the observed
morphological variability have been explained by considering the structural
defects located at ED surface fibrils (bi-dimensional ribbons) and the presence
of nontronite, an iron-bearing clay mineral embedding the ER fibrils (mono
dimensional rods). ER4G shows a decrease in width of the rod-like fibres due to
their partial digestion by SLF leaching, which synchronously dissolves
nontronite. The reported results represent a valuable background toward the full
comprehension of the morphological mechanisms responsible for potentially damage
of lung tissue through the potential relocation of fibers to extrapulmonary
sites, increasing the carcinogenic risk to humans.
PMID- 26567531
TI - No increased risk of acute kidney injury after a single dose of gentamicin in
patients with sepsis.
AB - Background Aminoglycosides are frequently used in the empirical treatment of
sepsis. However, aminoglycosides may induce acute kidney injury (AKI). Data is
lacking on the renal safety of a single dose of aminoglycosides in septic
patients visiting the emergency department (ED). Aim To investigate the incidence
of AKI in septic patients after a single dose of gentamicin (5 mg/kg) and to
evaluate possible risk factors. Methods This study retrospectively followed
patients, aged >= 18 years, visiting the ED and fulfilling sepsis criteria for 1
year. Two groups were analysed: septic patients receiving gentamicin in
combination with beta-lactam antibiotics and a control group with pneumosepsis
patients only without gentamicin. Renal function was determined prior to
admission, at presentation and during the following 2 weeks. AKI was defined
according to the RIFLE criteria. Results In total, 302 patients were included,
179 in the gentamicin and 123 in the control group. Mean gentamicin dose was 4.7
+/- 0.7 mg/kg. At admission, 26.8% of the gentamicin and 16.3% of the control
group had AKI. After admission, AKI occurred in 6.7% of the gentamicin and in
3.3% of the control group (p = 0.30). Occurrence of AKI was not associated with
gentamicin administration, but with septic shock (31.2% in patients with AKI vs
9.8% without AKI after admission, p = 0.02). Conclusion This study showed no
increased risk of AKI after a single dose of gentamicin to patients with sepsis
in the ED, suggesting that a single dose of gentamicin can, with regard to renal
function, be safely administered to septic patients.
PMID- 26567532
TI - Effects of 6-meals-a-day feeding and 6-meals-a-day feeding combined with
adrenalectomy on daily gene expression rhythms in rat epididymal white adipose
tissue.
AB - The master clock in the hypothalamic suprachiasmatic nucleus (SCN) is assumed to
synchronize the tissue-specific rhythms of the peripheral clocks with the
environmental day/night changes via neural, humoral and/or behavioral
connections. The feeding rhythm is considered an important Zeitgeber for
peripheral clocks, as daytime feeding reverses (clock) gene rhythms in the
periphery, but not in the SCN. In this study, we investigated the necessity of a
daily feeding rhythm for maintaining gene expression rhythms in epididymal white
adipose tissue (eWAT). We showed that 7 of 9 rhythmic metabolic/adipokine genes,
but not clock genes, lost their rhythmicity upon exposure to 6-meals-a-day
feeding. Previously, we showed comparable effects of adrenalectomy on eWAT;
therefore, subsequently we investigated the effect of simultaneous disruption of
these humoral and behavioral signaling pathways, by exposing adrenalectomized
animals to 6-meals-a-day feeding. Interestingly, under these conditions, all the
clock genes and 10 of 11 rhythmic metabolic/adipokine genes lost their
rhythmicity. These data indicate that adrenal hormones and feeding rhythm are
indispensable for maintaining daily rhythms in metabolic/adipokine gene, but not
clock gene, expression in eWAT. In contrast, at least one of these two signals
should be present in order for eWAT clock gene rhythms to be maintained.
PMID- 26567533
TI - Impact of COPD and emphysema on survival of patients with lung cancer: A meta
analysis of observational studies.
AB - Both COPD and emphysema are associated with an increased incidence of lung
cancer, but the impacts of these comorbidities on lung cancer prognosis are still
unclear. Herein, we conducted a meta-analysis to clarify whether the presence of
these comorbidities indicates poor survival in patients with lung cancer. A
comprehensive search was conducted using PubMed, Embase, Web of Science, ASCO
Abstracts and Cochrane library for articles published before 1 June 2015. Papers
referenced by the obtained articles were also reviewed. Main outcomes were
overall survival (OS) and disease-free survival (DFS) in patients with lung
cancer. Pooled hazard ratio (HR) and 95% confidence intervals (CIs) were
calculated using random-effects models. Subgroup and sensitivity analyses were
also conducted. Of 58 full texts reviewed, 26 met our inclusion criteria that
were derived from 21 and seven studies examining the impacts of COPD and
emphysema on survival of lung cancer, respectively. Meta-analyses revealed that
concomitant COPD was associated with poorer OS (HR, 1.17; 95% CI: 1.10-1.25, n =
20), which was independent of tumour staging, diagnostic criteria of COPD or
location, and DFS (HR, 1.52; 95% CI: 1.04-2.23, n = 6) with high heterogeneity
(I(2) = 78%). The presence of emphysema in patients with lung cancer predicted
worse OS (HR, 1.66; 95% CI: 1.25-2.22, n = 7), but not poorer DFS. The presence
of COPD and emphysema are robust predictors of poor survival in patients with
lung cancer. Early detection of these diseases should be taken into account for
lung cancer surveillance and management.
PMID- 26567534
TI - Scalable amplification of strand subsets from chip-synthesized oligonucleotide
libraries.
AB - Synthetic oligonucleotides are the main cost factor for studies in DNA
nanotechnology, genetics and synthetic biology, which all require thousands of
these at high quality. Inexpensive chip-synthesized oligonucleotide libraries can
contain hundreds of thousands of distinct sequences, however only at sub
femtomole quantities per strand. Here we present a selective oligonucleotide
amplification method, based on three rounds of rolling-circle amplification, that
produces nanomole amounts of single-stranded oligonucleotides per millilitre
reaction. In a multistep one-pot procedure, subsets of hundreds or thousands of
single-stranded DNAs with different lengths can selectively be amplified and
purified together. These oligonucleotides are used to fold several DNA
nanostructures and as primary fluorescence in situ hybridization probes. The
amplification cost is lower than other reported methods (typically around US$ 20
per nanomole total oligonucleotides produced) and is dominated by the use of
commercial enzymes.
PMID- 26567535
TI - Integrated III-V Photonic Crystal--Si waveguide platform with tailored
optomechanical coupling.
AB - Optomechanical systems, in which the vibrations of a mechanical resonator are
coupled to an electromagnetic radiation, have permitted the investigation of a
wealth of novel physical effects. To fully exploit these phenomena in realistic
circuits and to achieve different functionalities on a single chip, the
integration of optomechanical resonators is mandatory. Here, we propose a novel
approach to heterogeneously integrate arrays of two-dimensional photonic crystal
defect cavities on top of silicon-on-insulator waveguides. The optomechanical
response of these devices is investigated and evidences an optomechanical
coupling involving both dispersive and dissipative mechanisms. By controlling the
optical coupling between the waveguide and the photonic crystal, we were able to
vary and understand the relative strength of these couplings. This scalable
platform allows for an unprecedented control on the optomechanical coupling
mechanisms, with a potential benefit in cooling experiments, and for the
development of multi-element optomechanical circuits in the framework of
optomechanically-driven signal-processing applications.
PMID- 26567537
TI - Temperature-dependent photoluminescence of cadmium-free Cu-Zn-In-S quantum dot
thin films as temperature probes.
AB - We reported temperature-dependent photoluminescence (PL) studies on Cu-Zn-In-S
quantum dot (QD) thin films. In this paper, cadmium-free and luminescent Cu-Zn-In
S quantum dot thin films were in situ formed by thermal decomposition of
molecular-based precursors in the open air, without need of the complicated
quantum dot synthesis. Molecular-based precursor solutions were prepared by
dissolving Cu2O, ZnO, and In(OH)3 in the ethanol solution of butylamine and
carbon disulfide. The effects of sintering temperature, sintering time, and the
concentration of capping agents on the photoluminescence properties of Cu-Zn-In-S
QD thin films have been systematically investigated. It was found that alkali
metal ions play an important role in enhancing the PL quantum yield of quantum
dot thin films. The as-prepared QD thin films show composition-tunable emission
in the range of 535 nm to 677 nm, and the absolute PL quantum yields can reach as
high as 22.1%. All of the as-deposited QD thin films show a single-exponential
decay to temperature, indicating that these cadmium-free QD thin films have high
potential as temperature probes.
PMID- 26567536
TI - High-power graphene mode-locked Tm/Ho co-doped fiber laser with evanescent field
interaction.
AB - Mid-infrared ultrafast fiber lasers are valuable for various applications,
including chemical and biomedical sensing, material processing and military
applications. Here, we report all-fiber high-power graphene mode-locked Tm/Ho co
doped fiber laser at long wavelength with evanescent field interaction. Ultrafast
pulses up to 7.8 MHz are generated at a center wavelength of 1879.4 nm, with a
pulse width of 4.7 ps. A graphene absorber integrated with a side-polished fiber
can increase the damage threshold significantly. Harmonics mode-locking can be
obtained till to the 21(th) harmonics at a pump power of above 500 mW. By using
one stage amplifier in the anomalous dispersion regime, the laser can be
amplified up to 450 mW and the narrowest pulse duration of 1.4 ps can be obtained
simultaneously. Our work paves the way to graphene Tm/Ho co-doped mode-locked all
fiber master oscillator power amplifiers as potentially efficient and economic
laser sources for high-power laser applications, such as special material
processing and nonlinear optical studies.
PMID- 26567538
TI - Highly sensitive and selective bioluminescence based ozone probes and their
applications to detect ambient ozone.
AB - Highly selective and sensitive bioluminescence based probes, which respond to
ozone but not to other ROS, have been developed. These probes were used to
determine ozone concentrations in environmental samples.
PMID- 26567539
TI - Probiotics for prevention of necrotizing enterocolitis in preterm infants:
systematic review and meta-analysis.
AB - Necrotizing enterocolitis (NEC) affects predominantly preterm infants, who have
specific risk factors leading to intestinal dysbiosis. Manipulations of gut
microbiota through probiotics have the potential to prevent NEC.The aim of this
systematic review and meta-analysis was to evaluate the effect of probiotics for
NEC prevention in preterm infants, with a focus on specific strains,
microbiological strength of currently available studies, and high-risk
populations. PubMed and the Cochrane Library were searched for trials published
within 4th February 2015. Randomized-controlled trials reporting on NEC and
involving preterm infants who were given probiotics in the first month of life
were included in the systematic review.Twenty-six studies were suitable for
inclusion in the meta-analysis.Data about study design, population, intervention
and outcome were extracted and summarized independently by two observers. Study
quality and quality of evidence were also evaluated.Fixed-effects models were
used and random-effects models where significant heterogeneity was present.
Subgroup analyses were performed to explore sources of heterogeneity among
studies. Results were expresses as risk ratio (RR) with 95 % confidence interval
(CI). The main outcome was incidence of NEC stage >=2 according to Bell's
criteria. Probiotics prevented NEC in preterm infants (RR 0.47 [95 % CI 0.36
0.60], p < 0.00001). Strain-specific sub-meta-analyses showed a significant
effect for Bifidobacteria (RR 0.24 [95 % CI 0.10-0.54], p = 0.0006) and for
probiotic mixtures (RR 0.39 [95 % CI 0.27-0.56], p < 0.00001). Probiotics
prevented NEC in very-low-birth-weight infants (RR 0.48 [95 % CI 0.37-0.62], p <
0.00001); there were insufficient data for extremely-low-birth-weight infants.
The majority of studies presented severe or moderate microbiological
flaws.Probiotics had an overall preventive effect on NEC in preterm infants.
However, there are still insufficient data on the specific probiotic strain to be
used and on the effect of probiotics in high-risk populations such as extremely
low-birth-weight infants, before a widespread use of these products can be
recommended.
PMID- 26567540
TI - Identification of putative adhesins of Actinobacillus suis and their homologues
in other members of the family Pasteurellaceae.
AB - BACKGROUND: Actinobacillus suis disease has been reported in a wide range of
vertebrate species, but is most commonly found in swine. A. suis is a commensal
of the tonsils of the soft palate of swine, but in the presence of unknown
stimuli it can invade the bloodstream, causing septicaemia and sequelae such as
meningitis, arthritis, and death. It is genotypically and phenotypically similar
to A. pleuropneumoniae, the causative agent of pleuropneumonia, and to other
members of the family Pasteurellaceae that colonise tonsils. At present, very
little is known about the genes involved in attachment, colonisation, and
invasion by A. suis (or related members of the tonsil microbiota). RESULTS:
Bioinformatic analyses of the A. suis H91-0380 genome were done using BASys and
blastx in GenBank. Forty-seven putative adhesin-associated genes predicted to
encode 24 putative adhesins were discovered. Among these are 6 autotransporters,
25 fimbriae-associated genes (encoding 3 adhesins), 12 outer membrane proteins,
and 4 additional genes (encoding 3 adhesins). With the exception of 2
autotransporter-encoding genes (aidA and ycgV), both with described roles in
virulence in other species, all of the putative adhesin-associated genes had
homologues in A. pleuropneumoniae. However, the majority of the closest
homologues of the A. suis adhesins are found in A. ureae and A. capsulatus-
species not known to infect swine, but both of which can cause systemic
infections. CONCLUSIONS: A. suis and A. pleuropneumoniae share many of the same
putative adhesins, suggesting that the different diseases, tissue tropism, and
host range of these pathogens are due to subtle genetic differences, or perhaps
differential expression of virulence factors during infection. However, many of
the putative adhesins of A. suis share even greater homology with those of other
pathogens within the family Pasteurellaceae. Similar to A. suis, these pathogens
(A. capsulatus and A. ureae) cause systemic infections and it is tempting to
speculate that they employ similar strategies to invade the host, but more work
is needed before that assertion can be made. This work begins to examine adhesin
associated factors that allow some members of the family Pasteurellaceae to
invade the bloodstream while others cause a more localised infection.
PMID- 26567541
TI - Searching for a cure for cystic fibrosis. A 25-year quest in a nutshell.
AB - After 25 years of intensive search, there is not yet a cure for cystic fibrosis
(CF). However, the quest has led to major breakthroughs in understanding the
basic disease defect and defining strategies to correct it. The first cystic
fibrosis transmembrane conductance regulator (CFTR) modulators have been
introduced in clinic. Some show an impressive clinical benefit, like the
potentiator ivacaftor for the 4% of patients with a class III defect. Others
offer at present only a limited benefit, like the combination corrector
lumacaftor plus potentiator ivacaftor for subjects homozygous for F508del. These
findings prove that the basic defect in CF can be modified and hold the promise
that one day CF will no longer be a life-shortening disease. CONCLUSION: This
review updates the clinician on recent achievements as well as on the CF research
pipeline. WHAT IS KNOWN: Cystic fibrosis (CF) is a common and life-shortening
disease that currently cannot be cured. However, for each of the six CF mutation
classes, disease-modifying drugs are under way. WHAT IS NEW: This review is a
concise update for the clinician on new drugs that reached the CF clinical
pipeline. The research strategies in CF have become a paradigm for clinical
trials in other inherited diseases.
PMID- 26567542
TI - Differences in uptake of immunisations and health examinations among refugee
children compared to Danish-born children: a cohort study.
AB - Refugee children and their families constitute a vulnerable group regarding
health and access to care. In a register-based cohort design, we examined
differences in uptake of immunisations and child health examinations between
refugee children and Danish-born children, including predictors of uptake among
refugee children. Refugee children (n = 16,701) who, between January 1993 and
December 2010, obtained residency permits in Denmark were included and matched in
a 1:6 ratio on age and sex with Danish-born children (n = 100,206). Personal
identification numbers were cross-linked to the National Danish Health Service
Register, identifying all contacts for immunisation and child health
examinations. We estimated hazard ratios (HR) of uptake. Refugee children had a
lower uptake of all immunisations compared to Danish-born children. The lowest
uptake was found for immunisation against diphtheria, tetanus, pertussis and
polio (HR = 0.50; 95 % confidence interval (CI) 0.48-0.51). Participation in
child health examinations was also lower among refugee children with the lowest
at the last child health examination at age 5 (HR = 0.48; 95 % CI 0.47-0.50).
Adjusting the analysis for parental income increased the HRs by 10-20 %.
CONCLUSION: This Danish register-based study using nationwide data revealed a
lower uptake of routine immunisations and child health examinations among refugee
children compared to Danish-born children. WHAT IS KNOWN: *Uptake of immunisation
and child health examination is associated with low household income,
unemployment and low educational status among the parents. *Uptake may be even
lower among refugee families as they constitute a vulnerable group regarding
access to healthcare. What is New: *Refugee children had lower uptake of
immunisations and child health examinations compared to Danish-born children.
*Several predictors of uptake were identified including region of origin and
duration of residence.
PMID- 26567543
TI - Human leucocyte antigens and pediatric autoimmune liver disease: diagnosis and
prognosis.
AB - An association of human leukocyte antigen (HLA) class II alleles with autoimmune
conditions is increasingly being used for diagnostic purposes. The aim of our
study was to examine whether HLA class II alleles in pediatric-onset autoimmune
liver disease (pAILD) may serve as diagnostic markers and if they correlate with
clinical outcome parameters. HLA-DRB1 alleles of 76 children with pAILD
(autoimmune hepatitis [AIH], autoimmune sclerosing cholangitis [AISC], primary
sclerosing cholangitis [PSC]) and of 50 healthy blood donors as control group
were analyzed retrospectively. Diagnosis of these patients was confirmed by the
autoimmune hepatitis score including liver histology, which has been re-evaluated
by a blinded liver pathologist, and by bile duct imaging, as appropriate. Our
results showed significant association of HLA-DRB1*03 with AIH1 and AISC with 82
% specificity for AIH. For pAILD (excluding AIH2), HLA-DRB1*03 homozygosity had
specificity of 98 %, whereas sensitivity is low. Remission in HLA-DRB1*03
positive patients appears to be less likely. HLA-DRB1*13 is significantly
associated with PSC and also with AIH1. CONCLUSION: HLA-DRB1 alleles provide
supportive information for diagnostic workup in patients with liver disease, but
they were not suitable for differentiation within pAILD. Their prognostic value
could be helpful but needs to be evaluated further. WHAT IS KNOWN: * HLA-DRB1*03
is NOT associated with pediatric AIH in a previous national study. * In other
studies, HLA-DRB1*03 is associated with AIH1. * HLA-DRB1*13 is associated with
PSC. * HLA-DRB1*04 is described as protective for AILD. What is New: * HLA-DRB1
four-digit typing for all alleles and for all subgroups of pAILD combined with re
assessment of liver histology * HLA-DRB1*03:01 is associated with pediatric AIH1
and AISC. * HLA-DRB1*03:01 appears to be a prognostic marker. * HLA-DRB1*13:01 is
associated with pediatric AIH1 in mixed ethnicity cohort. * HLA-DRB1*04 does not
show any protective effect for pAILD.
PMID- 26567545
TI - [The challenge for healthcare systems in the XXI century: how to incorporate the
focus of social determinants in healthcare?].
AB - During the 20th century, a series of reflections on the role of biomedicine and
social factors in the concept of health and disease processes served as a
necessary prelude to the conceptual and empirical development of what later
became known as the social determinants of health. In relation to this
perspective, the question arises: What is the role of modern health systems from
the perspective of the social determinants of health? This article presents an
alternative view of the role of health systems from the focus of the social
determinants of health, raising its importance and influence on the structural
level, while addressing its implications as an intermediary determinant of health
inequalities.
PMID- 26567544
TI - CANDLE syndrome: chronic atypical neutrophilic dermatosis with lipodystrophy and
elevated temperature-a rare case with a novel mutation.
AB - We described herein a patient with chronic atypical neutrophilic dermatosis with
lipodystrophy and elevated temperature (CANDLE) syndrome and a novel mutation in
PSMB8 gene. This patient had multiple visceral inflammatory involvements,
including rare manifestations, such as Sweet syndrome and pericarditis. A 3-year
old male, Caucasian, was born to consanguineous healthy parents. At the age of 11
months, he presented daily fever (temperature >40 degrees C), irritability,
hepatomegaly, splenomegaly; and tender and itching, erythematous papular and
edematous plaque lesions. Echocardiogram showed mild pericarditis. Skin biopsy
revealed a neutrophil infiltrate without vasculitis suggesting Sweet syndrome.
Mutational screening of PSMB8 gene revealed homozygous c.280G>C, p.A94P mutation.
He responded partially to high doses of oral glucorticoid and intravenous
methylprednisolone. Colchicine, azathioprine, methotrexate, cyclosporine, and
intravenous immunoglobulin were not efficacious. At the age of 3 years and 1
month, tocilizumab was administered resulting in remission of daily fever and
irritability. However, there was no improvement of the skin tenderness and
itching lesions. CONCLUSION: A new mutation in a CANDLE syndrome patient was
reported with pericarditis and mimicking Sweet syndrome. The disease
manifestations were refractory to immunosuppressive agents and partially
responsive to tocilizumab therapy. WHAT IS KNOWN: * Proteasome-associated
autoinflammatory syndromes (PRAAS) include four rare diseases. * Chronic atypical
neutrophilic dermatosis with lipodystrophy and elevated temperature (CANDLE)
syndrome was seldom reported. What is New: * We described a Brazilian patient
with CANDLE syndrome possessing a novel mutation in the PSMB8 gene. * This
patient had multiple visceral inflammatory involvements, including rare
manifestations, such as pericarditis and mimicking Sweet syndrome.
PMID- 26567546
TI - A Validated UPLC-MS-MS Assay for the Rapid Determination of Lorcaserin in Plasma
and Brain Tissue Samples.
AB - Lorcaserin is a novel, potent and highly efficacious 5-HT2C receptor agonist,
recently approved by US Food and Drug Administration for the treatment of
obesity. It has some abuse potential also and is listed as a Schedule IV drug in
the Controlled Substances Act. Herein, a sensitive, selective and reliable UPLC
MS-MS assay was developed and validated for the quantitative analysis of
lorcaserin in rat plasma and brain tissue using carbamazepine as an internal
standard (IS). After the extraction of samples by protein precipitation, both
lorcaserin and IS were separated on an Acquity BEHTM C18 (50 * 2.1 mm, 1.7 um)
column using a mobile phase consisting of acetonitrile-10 mM ammonium acetate
formic acid (85:15:0.1, v/v/v) at a flow rate of 0.25 mL/min. Detection and
quantification were performed on a positive electrospray ionization interface in
the multiple-reaction monitoring (MRM) mode. The MS-MS ion transitions were
monitored at m/z 195.99 > 143.91 for lorcaserin and m/z 237.00 > 178.97 for IS,
respectively. The calibration curves were linear over a concentration range of
1.08-500 ng/mL in plasma and 3.07-500 ng/mL in brain tissue homogenates,
respectively. All the validation parameters results were within the acceptable
range described in guidelines for bioanalytical method validation. The assay was
successfully applied in a pharmacokinetic study of lorcaserin after oral
administration in rats.
PMID- 26567547
TI - Health-related quality of life mediates associations between multi-morbidity and
depressive symptoms in Chinese primary care patients.
AB - BACKGROUND: Qualifying the relationship between multi-morbidity, health-related
quality of life (HRQOL) and depressive symptoms in primary care can help to
inform the development of appropriate interventions and services which can help
to enhance HRQOL in patients with chronic disease. OBJECTIVE: The objective of
this study was to determine whether the physical aspect of HRQOL mediates the
relationship between chronic disease multi-morbidity and depressive symptoms in
Chinese primary care patients. METHODS: A cross-sectional survey was conducted on
patients recruited from the waiting rooms of 59 primary care clinics distributed
across Hong Kong. About 9259 subjects were included for the mediation model
analysis. The primary outcome was level of depressive symptoms as measured by the
Patient Health Questionniare 9. The mediation model was tested using a
bootstrapping method. RESULTS: The prevalence of chronic disease was 50.4%, with
25.4% having two or more co-morbidities. The relationship between multi-morbidity
and depressive symptoms was found to be mediated by the Physical Component
Summary score of the SF-12 v2. Further analysis found the general health (GH) and
role physical domains of the SF-12 were the strongest mediators, followed by
bodily pain and physical functioning (PF). CONCLUSION: To enhance the functional
capacity of primary care patients with co-existing chronic disease and depressive
symptoms, health care interventions should be directed at improving the physical
aspects of HRQOL, in particular enhancing patients' GH perception, role
functioning and PF, and to better manage chronic pain.
PMID- 26567548
TI - Metallic CoS2 nanowire electrodes for high cycling performance supercapacitors.
AB - We report metallic cobalt pyrite (CoS2) nanowires (NWs) prepared directly on
current collecting electrodes, e.g., carbon cloth or graphite disc, for high
performance supercapacitors. These CoS2 NWs have a variety of advantages for
supercapacitor applications. Because the metallic CoS2 NWs are synthesized
directly on the current collector, the good electrical connection enables
efficient charge transfer between the active CoS2 materials and the current
collector. In addition, the open spaces between the sea urchin structure NWs lead
to a large accessible surface area and afford rapid mass transport. Moreover, the
robust CoS2 NW structure results in high stability of the active materials during
long-term operation. Electrochemical characterization reveals that the CoS2 NWs
enable large specific capacitance (828.2 F g(-1) at a scan rate of 0.01 V s(-1))
and excellent long term cycling stability (0-2.5% capacity loss after 4250 cycles
at 5 A g(-1)) for pseudocapacitors. This example of metallic CoS2 NWs for
supercapacitor applications expands the opportunities for transition metal
sulfide-based nanostructures in emerging energy storage applications.
PMID- 26567551
TI - Regional Variations in the Prevalence of Major Congenital Malformations in
Quebec: The Importance of Fetal Growth Environment.
AB - BACKGROUND: Congenital anomalies are the consequence of a complex interaction
between genetic predisposition and fetal environment. Based on the Congenital
Anomalies Surveillance in Canada Report, between 1998 and 2007 the rate of
congenital heart defects in Quebec was significantly higher than the Canadian
average; no data on the overall prevalence of congenital anomalies for Quebec or
data on regional variations in any province are available. OBJECTIVES: To
estimate the prevalence of major congenital malformations (MCMs) in all of the 17
administrative regions of Quebec. METHODS: Using data from the Quebec Pregnancy
Cohort, we included infants if they were born between January 1, 1998 and
December 31, 2008. MCMs were identified within the infant's first year of life
using validated ICD-9 and ICD-10 codes. The rate of MCMs was calculated and
stratified on Quebec's administrative regions. RESULTS: Among 152,353 eligible
infants, the prevalence of MCMs was 36.6 (all rates were reported as per 1,000
live births). The regions with the highest rate of MCMs were Lanaudiere (48.1),
Laval (45.8), and Mauricie (45.1). Regions with the lowest rate were Outaouais
(13.4), Cote-Nord (19.1), Abitibi-Temiscamingue (27.5), Gaspesie-iles-de-la
Madeleine (27.9), and Saguenay-Lac-Saint-Jean (28.9). Congenital heart defects
(10.3) and musculoskeletal anomalies (12.6) were the most common. Laval had the
highest rate of heart defects (16.1), and Lanaudiere had the highest rate of
musculoskeletal anomalies (22.0). CONCLUSIONS: The central regions of Quebec had
high rate of MCMs, whereas the relatively genetically homogenous peripheral
regions of Quebec had lower rate of MCM, suggesting the importance of fetal
growth environment in the etiology of MCMs in Quebec.
PMID- 26567549
TI - GEneSTATION 1.0: a synthetic resource of diverse evolutionary and functional
genomic data for studying the evolution of pregnancy-associated tissues and
phenotypes.
AB - Mammalian gestation and pregnancy are fast evolving processes that involve the
interaction of the fetal, maternal and paternal genomes. Version 1.0 of the
GEneSTATION database (http://genestation.org) integrates diverse types of omics
data across mammals to advance understanding of the genetic basis of gestation
and pregnancy-associated phenotypes and to accelerate the translation of
discoveries from model organisms to humans. GEneSTATION is built using tools from
the Generic Model Organism Database project, including the biology-aware database
CHADO, new tools for rapid data integration, and algorithms that streamline
synthesis and user access. GEneSTATION contains curated life history information
on pregnancy and reproduction from 23 high-quality mammalian genomes. For every
human gene, GEneSTATION contains diverse evolutionary (e.g. gene age, population
genetic and molecular evolutionary statistics), organismal (e.g. tissue-specific
gene and protein expression, differential gene expression, disease phenotype),
and molecular data types (e.g. Gene Ontology Annotation, protein interactions),
as well as links to many general (e.g. Entrez, PubMed) and pregnancy disease
specific (e.g. PTBgene, dbPTB) databases. By facilitating the synthesis of
diverse functional and evolutionary data in pregnancy-associated tissues and
phenotypes and enabling their quick, intuitive, accurate and customized meta
analysis, GEneSTATION provides a novel platform for comprehensive investigation
of the function and evolution of mammalian pregnancy.
PMID- 26567552
TI - Effective conservative treatment of umbilical pilonidal sinus disease: Silver
nitrate? Salt?
AB - OBJECTIVE: The aim of this study was to compare the three different treatment
methods and investigate The effectiveness of the therapeutic effect of common
salt. METHODS: This retrospective study involved patients who were treated in our
clinic for umbilical pilonidal sinus disease between January 2010 and December
2011. The patients were divided to three subgroups according to treatment
methods. Group I: Cases treated with only local debridement and systemic
antibiotic, group II: cases treated with local debridement, systemic antibiotic
and silver nitrate, group 3: cases treated with debridement, systemic antibiotic
and salt. RESULTS: In this study, 63 patients with the diagnosis of UPS were
treated in our clinic. The patients were classified into three groups; group I
included 20 patients, group II included 18 patients and group III included 18
patients. During 16-24 months of follow-up, 4 (20%) recurrences in group1 and 2
(11.1%) recurrences in group 2 were detected. Recurrence rate of group 3 was
significantly different (5.55%) when compared to group 2. The mean period for
returning to daily activities and work was 1 day for the patients. CONCLUSION: In
conclusion, we suggest that pilonidal sinus cases which are not complicated by
abcess and cellulitis can be treated by local removal of umbilical hairs,
debridement and dressing without surgery. We conclude that application of common
salt (table/ cooking salt) to umbilical pilonidal sinus with granuloma is a
simple and highly effective way of treatment without any relapse and
complications. KEY WORDS: Conservative treatment, Local debridement, Umblical
pilonidal sinus.
PMID- 26567553
TI - Approach to lymph node metastases in sporadic medullary thyroid carcinoma An
istitutional experience.
AB - AIM: Analyse the impact of aggressive surgical treatment with accurate
lymphadenectomy in medullary thyroid carcinoma. MATERIALS AND METHODS: We
retrospectively analysed 152 patients affected by medullary thyroid carcinoma,
divided in two groups, considering outcome and surgical complications. RESULTS:
Primary surgical treatment with thyroidectomy plus central and lateral neck
dissection, offers significant reduction in post-operative calcitonin levels,
reduced recurrences and limited complications. DISCUSSION: Accurate
lymphadenectomy, according to the international guidelines and the main results
of clinical studies, is the only treatment combined to total thyroidectomy which
offers improved outcome in medullary thyroid carcinoma since inefficacy of
chemotherapy and radiotherapy. CONCLUSIONS: Surgery is the unique and fundamental
therapy for patients affected by medullary thyroid carcinoma. Extended neck
dissection combined to precocious diagnosis and strict follow-up might be
considered the standard of treatment of medullary thyroid carcinoma. KEY WORDS:
Complications, Lymphadenectomy, Medullary carcinoma, Prognosis.
PMID- 26567554
TI - Pulmonary valve replacement and quality-of-life assessment.
AB - BACKGROUND: Chronic pulmonary regurgitation is common after repair of tetralogy
of Fallot. Despite the deleterious effects of chronic pulmonary regurgitation on
right ventricular function, many patients with repaired tetralogy of Fallot
remain asymptomatic. Health is defined not only by the absence of disease but
also by physical, mental, and social wellbeing. We sought to examine the impact
of pulmonary valve replacement on quality of life in asymptomatic patients with
repaired tetralogy of Fallot and chronic pulmonary regurgitation. METHODS: From
January 2009 to December 2012, 25 (18 male) asymptomatic patients (mean age 23.4
+/- 7.4 years) who underwent pulmonary valve replacement for significant
pulmonary regurgitation were recruited. Cardiac magnetic resonance was performed
pre- and postoperatively. Quality of life was assessed using the Chinese version
of the SF-36v2 evaluation tool. Demographics, clinical data, magnetic resonance
findings, and quality-of-life scores were collected and calculated for
comparison. RESULTS: After surgery, the indexed right ventricular end-diastolic
volume (193 +/- 47.3 vs. 105.6 +/- 29.6 mL m(-2), p < 0.001) and indexed right
ventricular end-systolic volume (108.5 +/- 32.9 vs. 61.1 +/- 23 mL m(-2), p <
0.001) decreased significantly. The response rates for pre- and postoperative
quality-of-life assessments were 100%. Patients demonstrated improvements in all
8 domains of the SF-36v2 assessment. The physical (46.5 +/- 6.6 vs. 49.9 +/- 6.4,
p = 0.012) and mental (43.7 +/- 7.8 vs. 51.9 +/- 7.6, p < 0.001) component
summary scores increased after surgery. CONCLUSION: Pulmonary valve replacement
can improve the quality of life in patients with chronic asymptomatic pulmonary
regurgitation.
PMID- 26567555
TI - Impact of comorbidity index on morbidity and survival in non-small cell lung
cancer.
AB - BACKGROUND: The number of surgeries in older patients with comorbidities is
constantly growing. The present study examined the impact of comorbidity on
postoperative complications and long-term survival in patients with completely
resected non-small cell cancer. METHODS: Between 2004 and 2008, 423 patients with
non-small cell lung cancer underwent complete resection. A retrospective
comparison of perioperative mortality, morbidity, Charlson comorbidity index
(CCI), and postoperative length of hospital stay was performed. RESULTS: The
number of patients with CCI 0, 1-2, and >= 3 was 226, 170 and 27, respectively.
The 5-year overall survival was 88% among patients with CCI 0, and 84% in those
with CCI >= 1 (p = 0.05) in all pathological stages. The CCI 0 group had
significantly better overall survival than CCI 0 group and 30 (15%) in the CCI >=
1 group (p = 0.024). Length of stay was shorter in the CCI 0 group (11 +/- 5
days) than in the CCI >= 1 group (15 +/- 19 days, p = 0.015). CONCLUSIONS: A high
CCI correlated with higher postoperative morbidity and longer length of stay. We
identified better a prognosis in patients with CCI 0 compared to those with CCI 1
2.
PMID- 26567556
TI - Minimally Invasive Treatment for Hard Palate-Invading Maxillary Keratocystic
Odontogenic Tumor.
AB - Keratocystic odontogenic tumor (KCOT) is one of the benign developmental
odontogenic cystic lesions arising from impacted teeth. In comparison to other
odontogenic cysts, such as radicular cysts and dentigerous cysts, KCOT is known
to be more aggressive and is associated with a relatively high recurrence rate.
Traditionally, KCOT has been treated with total resection through sublabial
incision. Marsupialization is advocated to reduce surgical invasion. However in
all the cases, marsupialization was performed in the oral cavity. With the recent
development of appropriate instruments and the endoscopic modified medial
maxillectomy (EMMM) technique, which allows preservation of the inferior
turbinate and nasolacrimal duct, an exclusive endoscopic approach to KCOT becomes
possible. However, when the KCOT invades the hard palate, total resection of the
tumor requires subtotal maxillectomy including hard palate. Consequently, as the
maxillary sinus connects to the oral cavity, life-long use of a prosthesis
becomes mandatory. Here we report a case of a seventeen-year-old female with a
hard palate-invading KCOT who was successfully treated with the EMMM approach.
The KCOT was fenestrated to the nasal cavity, leading to preservation of the hard
palate. The lesion invading the hard palate was found to remain unchanged over
one year upon follow-up. The trans-nasal approach with EMMM is a direct,
minimally invasive method providing a direct field of view for the treatment of
maxillary odontogenic cysts. Marsupialization of the KCOT with the EMMM technique
might be a viable treatment option if the maxillary KCOT invades surrounding
structures.
PMID- 26567557
TI - Potential impact of the human papillomavirus vaccine on the incidence proportion
of genital warts in French women (EFFICAE study): a multicentric prospective
observational study.
AB - Background The objective was to evaluate the effect of a HPV vaccination program
on the incidence proportion of a proxy, genital warts (GW), in women in France.
METHODS: The number of primary GW cases was prospectively recorded over two 4
month periods before (T0: Dec 2008 to March 2009) and after (T1: Dec 2011 to
March 2012) a HPV vaccination program. A total of 160 gynaecologists participated
in T0 and 189 in T1. Primary genital herpes (HSV) infection was used as a
control. RESULTS: During T0, 39190 15- to 26 year-old women were seen, of whom
176 were diagnosed with GW (incidence proportion: 0.45%) and 155 with primary HSV
infection (incidence proportion: 0.39%). During T1, 45628 females were seen [229
with GW (incidence proportion: 0.50%) and 202 with HSV (incidence proportion:
0.44%)]. In the 15-20 years age category, the incidence proportion of primary GW
decreased from 0.41% to 0.30% (P=0.128) between T0 and T1, and the proportion of
women newly diagnosed with primary genital herpes diseases slightly increased
from 0.34% to 0.38% (P=0.620). In the 15-18 years age group, this decrease became
significant (0.34% to 0.18%; P=0.048). CONCLUSIONS: A trend for a non-significant
decreased incidence proportion of GW was observed in young women below 20 years
who are more frequently vaccinated. This may be the result of HPV vaccination and
suggests that a substantial increase in vaccine coverage could lead to a more
pronounced decreased incidence proportion of GW in the future.
PMID- 26567558
TI - Closure of the Left Atrial Appendage During Cardiac Surgery--Why, When and How?
PMID- 26567559
TI - Combination therapy for type 2 diabetes: dapagliflozin plus metformin.
AB - INTRODUCTION: Type 2 diabetes (T2D) is a chronic and multifactorial metabolic
disease, which brings great threats to public health. The morbidity of T2D keeps
growing, and it is estimated that the population with T2D will rise to 552
million throughout the world by 2030. Effective glycemic control in patients is
crucial for the treatment of T2D. However, with progressive deterioration of
disease, most patients are usually unable to achieve glycemic targets receiving
antidiabetic agent monotherapy. In such cases, combination therapy with different
mechanisms of antidiabetic agents is highly desired. In addition, combination
therapy can provide advantages beyond better glycemic improvement such as reduced
incidence of hypoglycemia and cardiovascular events. AREAS COVERED: We reviewed
all the published data regarding the fixed-dose combination therapy of
dapagliflozin combined with metformin, including complementary mechanism of
action, pharmacodynamics, pharmacokinetics, clinical efficacy and safety. EXPERT
OPINION: The fixed-dose combination of dapagliflozin and metformin exerts
synergistic effects based on two antidiabetic agents with complementary
mechanisms of action. Rational co-administration of dapagliflozin and metformin
provides better glycemic control with potential weight loss and the reduced
incidence of hypoglycaemia.
PMID- 26567560
TI - Cannabidiol as potential treatment in refractory pediatric epilepsy.
AB - In recent years there has been great scientific and public interest focused on
the therapeutic potential of compounds derived from cannabis for the treatment of
refractory epilepsy in children. From in vitro and in vivo studies on animal
models, cannabidiol (CBD) appears to be a promising anticonvulsant drug with a
favorable side-effect profile. In humans, CBD efficacy and safety is not
supported by well-designed trials and its use has been described by anecdotal
reports. It will be necessary to investigate CBD safety, pharmacokinetics and
interaction with other anti-epileptic drugs (AEDs) alongside performing double
blinded placebo-controlled trials in order to obtain conclusive data on its
efficacy and safety in children.
PMID- 26567561
TI - Recent advances in squamous non-small cell lung cancer: evidence beyond
predictive biomarkers.
AB - Squamous non-small cell lung cancer (NSCLC) has always been characterized by a
limited number of therapeutic options and by the lack of actionable biomarkers
compared to its non-squamous counterpart. Recent clinical trials have led to the
approval of new anti-neoplastic drugs available to both non-squamous and squamous
NSCLC, consisting in a vascular-disrupting agent and two immune check-point
inhibitors; additionally, a monoclonal antibody targeting the epidermal growth
factor receptor (EGFR) is currently under evaluation by the Food and Drug
Administration (FDA). While predictive molecular biomarkers have not been
identified with consistency and are still highly demanded, these agents proved
themselves noteworthy and can be considered a powerful addition to the available
treatments for squamous NSCLC.
PMID- 26567562
TI - The epithelial-mesenchymal transition in cancer: a potential critical topic for
translational proteomic research.
AB - The epithelial-mesenchymal transition (EMT) is a morphogenetic process that
results in a loss of epithelial characteristics and the acquisition of a
mesenchymal phenotype. First described in embryogenesis, the EMT has been
recently implicated in carcinogenesis and tumor progression. In addition, recent
evidence has shown that stem-like cancer cells present the hallmarks of the EMT.
Some of the molecular mechanisms related to the interrelationships between cancer
pathophysiology and the EMT are well-defined. Nevertheless, the precise molecular
mechanism by which epithelial cancer cells acquire the mesenchymal phenotype
remains largely unknown. This review focuses on various proteomic strategies with
the goal of better understanding the physiological and pathological mechanisms of
the EMT process.
PMID- 26567563
TI - Adjuvant HER2/neu peptide cancer vaccines in breast cancer.
AB - Active cancer immunotherapy remains an exciting and rapidly advancing field in
oncology. Peptide cancer vaccines are an attractive therapeutic option as they
are safe and easily produced and administered. Peptide cancer vaccines may be
most effective in patients with a lower disease burden, when cancer tolerance is
minimized. Our experience with three peptide cancer vaccines, E75, GP2 and AE37,
in clinically disease-free breast cancer patients provides encouraging results
that this method may be effective. Furthermore, the combined results of the
initial trials suggest that the vaccine administered may need to be tailored to
the specific subtype of cancer and tumor antigen expression level to achieve
maximum effectiveness. The results also suggest that combining peptide vaccines
with other immunotherapy may lead to a synergistic effect.
PMID- 26567564
TI - Mechanisms of Stress Resistance and Gene Regulation in the Radioresistant
Bacterium Deinococcus radiodurans.
AB - The bacterium Deinococcus radiodurans reveals extraordinary resistance to
ionizing radiation, oxidative stress, desiccation, and other damaging conditions.
In this review, we consider the main molecular mechanisms underlying such
resistance, including the action of specific DNA repair and antioxidation
systems, and transcription regulation during the anti-stress response.
PMID- 26567565
TI - Molecular Mechanisms of Latent Inflammation in Metabolic Syndrome. Possible Role
of Sirtuins and Peroxisome Proliferator-Activated Receptor Type gamma.
AB - The problem of metabolic syndrome is one of the most important in medicine today.
The main hazard of metabolic syndrome is development of latent inflammation in
adipose tissue, which promotes atherosclerosis, non-alcoholic fatty liver
disease, myocarditis, and a number of other illnesses. Therefore, understanding
of molecular mechanisms of latent inflammation in adipose tissue is very
important for treatment of metabolic syndrome. Three main components that arise
during hypertrophy and hyperplasia of adipocytes underlie such inflammation:
endoplasmic reticulum stress, oxidative stress, and hypoxia. Each of these
components mediates activation in different ways of the key factor of
inflammation - NF-kappaB. For metabolic syndrome therapy, it is suggested to
influence a number of inflammatory signaling components by activating other cell
factors to suppress development of inflammation. Such potential factors are
peroxisome proliferator-activated receptors type gamma that suppress
transcription factor NF-kappaB through direct contact or via kinase of a NF
kappaB inhibitor (IKK), and also the antiinflammatory transcription factor AP-1.
Other possible targets are type 3 NAD+-dependent histone deacetylases (sirtuins).
There are mutually antagonistic relationships between NF-kappaB and sirtuin type
1 that prevent development of inflammation in metabolic syndrome. Moreover,
sirtuin type 1 inhibits the antiinflammatory transcription factor AP-1. Study of
the influence of these factors on the relationship between macrophages and
adipocytes, macrophages, and adipose tissue-derived stromal cells can help to
understand mechanisms of signaling and development of latent inflammation in
metabolic syndrome.
PMID- 26567566
TI - Competitive Agonists and Antagonists of Steroid Nuclear Receptors: Evolution of
the Concept or Its Reversal.
AB - The mechanisms displaying pure and mixed steroid agonist/antagonist activity as
well as principles underlying in vivo action of selective steroid receptor
modulators dependent on tissue or cell type including interaction with various
types of nuclear receptors are analyzed in this work. Mechanisms of in vitro
action for mixed agonist/antagonist steroids are discussed depending on: specific
features of their interaction with receptor hormone-binding pocket; steroid
dependent allosteric modulation of interaction between hormone-receptor complex
and hormone response DNA elements; features of interacting hormone-receptor
complex with protein transcriptional coregulators; level and tissue-specific
composition of transcriptional coregulators. A novel understanding regarding
context-selective modulators replacing the concept of steroid agonists and
antagonists is discussed.
PMID- 26567567
TI - Guidance Receptors in the Nervous and Cardiovascular Systems.
AB - Blood vessels and nervous fibers grow in parallel, for they express similar
receptors for chemokine substances. Recently, much attention is being given to
studying guidance receptors and their ligands besides the growth factors,
cytokines, and chemokines necessary to form structures in the nervous and
vascular systems. Such guidance molecules determine trajectory for growing axons
and vessels. Guidance molecules include Ephrins and their receptors, Neuropilins
and Plexins as receptors for Semaphorins, Robos as receptors for Slit-proteins,
and UNC5B receptors binding Netrins. Apart from these receptors and their
ligands, urokinase and its receptor (uPAR) and T-cadherin are also classified as
guidance molecules. The urokinase system mediates local proteolysis at the
leading edge of cells, thereby providing directed migration. T-cadherin is a
repellent molecule that regulates the direction of growing axons and blood
vessels. Guidance receptors also play an important role in the diseases of the
nervous and cardiovascular systems.
PMID- 26567568
TI - Association of High Light-Inducible HliA/HliB Stress Proteins with Photosystem 1
Trimers and Monomers of the Cyanobacterium Synechocystis PCC 6803.
AB - Hlip (high light-inducible proteins) are important for protection of the
photosynthetic apparatus of cyanobacteria from light stress. However, the
interaction of these proteins with chlorophyll-protein complexes of thylakoids
remains unclear. The association of HliA/HliB stress proteins with photosystem 1
(PS1) complexes of the cyanobacterium Synechocystis PCC 6803 was studied to
understand their function. Western blotting demonstrated that stress-induced
HliA/HliB proteins are associated with PS1 trimers in wild-type cells grown under
moderate light condition (40 umol photons/m(2) per sec). The content of these
proteins increased 1.7-fold after light stress (150 umol photons/m(2) per sec)
for 1 h. In the absence of PS1 trimers (DeltapsaL mutant), the HliA/HliB proteins
are associated with PS1 monomers and the PS2 complex. HliA/HliB proteins are
associated with PS1 monomers but not with PS1 trimers in Synechocystis PS2
deficient mutant grown at 5 umol photons/m(2) per sec; the content of Hli
proteins associated with PS1 monomers increased 1.2-fold after light stress. The
HliA/HliB proteins were not detected in wild-type cells of cyanobacteria grown in
glucose-supplemented medium at 5 umol photons/m(2) per sec, but light stress
induces the synthesis of stress proteins associated with PS1 trimers. Thus, for
the first time, the association of HliA/HliB proteins not only with PS1 trimers,
but also with PS1 monomers is shown, which suggests a universal role of these
proteins in the protection of the photosynthetic apparatus from excess light.
PMID- 26567569
TI - Regulation of cyclooxygenase 2 expression by agonists of PPAR nuclear receptors
in the model of endotoxin tolerance in astrocytes.
AB - Endotoxin tolerance (ET) represents a state of an altered immune response induced
by multiple stimulations of a cell, a tissue, or an organism with
lipopolysaccharide. Characteristics of ET include downregulation of induction of
proinflammatory genes (TNFalpha, IL6, and others) and enhancement of induction of
antiinflammatory genes (IL10, TGFbeta). ET generally has protective functions;
nevertheless, it might result in a state of innate immune deficiency and cause
negative outcomes. A current issue is the search for the mechanisms controlling
the level of inflammation in the course of endotoxin tolerance. In this work, we
investigated the change in cyclooxygenase 2 (Cox2) expression in the model of
endotoxin tolerance in astrocytes and analyzed the possibility of regulating this
process applying nuclear receptor PPAR agonists. Our results indicate that: 1)
endotoxin tolerance can be induced in astrocytes and results in TNFalpha and Cox2
mRNA induction decrease upon secondary stimulation; 2) tolerance is revealed on
the level of TNFalpha release and Cox2 protein expression; 3) PPAR agonists
GW7647, L-165041, and rosiglitazone control Cox2 mRNA expression levels under
conditions of endotoxin tolerance. In particular, rosiglitazone (a PPARgamma
agonist) induces Cox2 mRNA expression, while GW7647 (a PPARalpha agonist) and L
165041 (a PPARbeta agonist) suppress the expression. Our results demonstrate that
Cox2 can be up- and downregulated during endotoxin tolerance in astrocytes, and
PPAR agonists might be effective for controlling this target under conditions of
multiple proinflammatory stimulations of brain tissues with endotoxin.
PMID- 26567570
TI - Purification and Characterization of Recombinant Deinococcus radiodurans RNA
Polymerase.
AB - The radioresistant bacterium Deinococcus radiodurans is one of the most
interesting models for studies of cell stress resistance. Analysis of the
mechanisms of gene expression in D. radiodurans revealed some specific features
of the transcription apparatus that might play a role in cell resistance to DNA
damaging conditions. In particular, RNA polymerase from D. radiodurans forms
unstable promoter complexes and during transcription elongation has a much higher
rate of RNA cleavage than RNA polymerase from Escherichia coli. Analysis of the
structure and functions of D. radiodurans RNA polymerase is complicated due to
the absence of convenient genetic systems for making mutations in the RNA
polymerase genes and difficulties with enzyme purification. In this work, we
developed a system for expression of D. radiodurans RNA polymerase in E. coli
cells. We obtained an expression vector encoding all core RNA polymerase subunits
and defined optimal conditions for the expression and purification of the RNA
polymerase. It was found that D. radiodurans RNA polymerase has much higher rates
of RNA cleavage than E. coli RNA polymerase under a wide range of conditions,
including variations in the concentration of catalytic magnesium ions and pH
values of the reaction buffer. The expression system can be used for further
studies of the RNA cleavage reaction and the mechanisms of transcription
regulation in D. radiodurans, including analysis of mutant RNA polymerase
variants.
PMID- 26567571
TI - Temperature Dependence of Light-Induced Absorbance Changes Associated with
Chlorophyll Photooxidation in Manganese-Depleted Core Complexes of Photosystem
II.
AB - Mid-infrared (4500-1150 cm(-1)) absorbance changes induced by continuous
illumination of Mn-depleted core complexes of photosystem II (PSII) from spinach
in the presence of exogenous electron acceptors (potassium ferricyanide and
silicomolybdate) were studied by FTIR difference spectroscopy in the temperature
range 100-265 K. The FTIR difference spectrum for photooxidation of the
chlorophyll dimer P680 was determined from the set of signals associated with
oxidation of secondary electron donors (beta-carotene, chlorophyll) and reduction
of the primary quinone QA. On the basis of analysis of the temperature dependence
of the P680(+)/P680 FTIR spectrum, it was concluded that frequencies of 13(1)
keto-C=O stretching modes of neutral chlorophyll molecules PD1 and PD2, which
constitute P680, are similar to each other, being located at ~1700 cm(-1). This
together with considerable difference between the stretching mode frequencies of
keto groups of PD1(+) and PD2(+) cations (1724 and 1709 cm(-1), respectively) is
in agreement with a literature model (Okubo et al. (2007) Biochemistry, 46, 4390
4397) suggesting that the positive charge in the P680(+) dimer is mainly
localized on one of the two chlorophyll molecules. A partial delocalization of
the charge between the PD1 and PD2 molecules in P680(+) is supported by the
presence of a characteristic electronic intervalence band at ~3000 cm(-1). It is
shown that a bleaching band at 1680 cm(-1) in the P680(+)/P680 FTIR spectrum does
not belong to P680. A possible origin of this band is discussed, taking into
account the temperature dependence (100-265 K) of light-induced absorbance
changes of PSII core complexes in the visible spectral region from 620 to 720 nm.
PMID- 26567572
TI - Phosphorylation Regulates Interaction of 210-kDa Myosin Light Chain Kinase N
terminal Domain with Actin Cytoskeleton.
AB - High molecular weight myosin light chain kinase (MLCK210) is a multifunctional
protein involved in myosin II activation and integration of cytoskeletal
components in cells. MLCK210 possesses actin-binding regions both in the central
part of the molecule and in its N-terminal tail domain. In HeLa cells, mitotic
protein kinase Aurora B was suggested to phosphorylate MLCK210 N-terminal tail at
serine residues (Dulyaninova, N. G., and Bresnick, A. R. (2004) Exp. Cell Res.,
299, 303-314), but the functional significance of the phosphorylation was not
established. We report here that in vitro, the N-terminal actin-binding domain of
MLCK210 is located within residues 27-157 (N27-157, avian MLCK210 sequence) and
is phosphorylated by cAMP-dependent protein kinase (PKA) and Aurora B at serine
residues 140/149 leading to a decrease in N27-157 binding to actin. The same
residues are phosphorylated in a PKA-dependent manner in transfected HeLa cells.
Further, in transfected cells, phosphomimetic mutants of N27-157 showed reduced
association with the detergent-stable cytoskeleton, whereas in vitro, the single
S149D mutation reduced N27-157 association with F-actin to a similar extent as
that achieved by N27-157 phosphorylation. Altogether, our results indicate that
phosphorylation of MLCK210 at distinct serine residues, mainly at S149,
attenuates the interaction of MLCK210 N-terminus with the actin cytoskeleton and
might serve to regulate MLCK210 microfilament cross-linking activity in cells.
PMID- 26567573
TI - Cytochrome c-Cardiolipin Complex in a Nonpolar Environment.
AB - Programmed cell death (apoptosis) plays an important role in the life of
multicellular organisms and in the development of socially significant human
diseases. Cytochrome c-cardiolipin complex (Cyt-CL) is formed at the very
beginning of a cascade of apoptotic reactions. Nevertheless, the structure of the
complex and the mechanism of its participation in lipid peroxidation in
mitochondrial membranes are not yet understood. In previous work (Vladimirov, Y.
A., et al. (2011) Crystallography, 56, 712-719), it was shown that the Cyt-CL
complex precipitates in concentrated water solution, the sediment containing
orderly nanospheres formed by cytochrome c molecules with changed conformation
and surrounded by a cardiolipin monolayer, and they are essentially hydrophobic.
In this work, we obtained chloroform and hexane solutions of Cyt-CL with
lipid/protein ratio of 77 +/- 11. The conditions are described under which the
solutions were obtained. Study of the properties of Cyt-CL solutions in
hydrophobic media will reveal their structure and the mechanism of their
catalytic activity inside the lipid layer of biological membranes.
PMID- 26567574
TI - Isolation and Purification of Recombinant Serine/Threonine Protein Kinases of the
Strain Bifidobacterium longum B379M and Investigation of Their Activity.
AB - Previously, we identified six serine/threonine protein kinases (STPK) of
Bifidobacterium and named them Pkb1-Pkb6. In the present study, we optimized
methods for isolation of the six STPK catalytic domains proteins of B. longum
B379M: a method for isolation of Pkb3 and Pkb4 in native conditions, a method for
isolation of Pkb5 in denaturing conditions, and a method for isolation of Pkb1,
Pkb2, and Pkb6 from inclusion bodies. The dialysis conditions for the
renaturation of the proteins were optimized. All of the enzymes were isolated in
quantities sufficient for study of the protein activity. The proteins were
homogeneous according to SDS-PAGE. The autophosphorylation ability of Pkb1, Pkb3,
Pkb4, and Pkb6 was investigated for the first time. Autophosphorylation was
detected only for the Pkb3 catalytic domain.
PMID- 26567575
TI - Polyphosphates and Polyphosphatase Activity in the Yeast Saccharomyces cerevisiae
during Overexpression of the DDP1 Gene.
AB - The effects of overexpression of yeast diphosphoinositol polyphosphate
phosphohydrolase (DDP1) having endopolyphosphatase activity on inorganic
polyphosphate metabolism in Saccharomyces cerevisiae were studied. The
endopolyphosphatase activity in the transformed strain significantly increased
compared to the parent strain. This activity was observed with polyphosphates of
different chain length, being suppressed by 2 mM tripolyphosphate or ATP. The
content of acid-soluble and acid-insoluble polyphosphates under DDP1
overexpression decreased by 9 and 28%, respectively. The average chain length of
salt-soluble and alkali-soluble fractions did not change in the overexpressing
strain, and that of acid-soluble polyphosphate increased under phosphate excess.
At the initial stage of polyphosphate recovery after phosphorus starvation, the
chain length of the acid-soluble fraction in transformed cells was lower compared
to the recipient strain. This observation suggests the complex nature of DDP1
involvement in the regulation of polyphosphate content and chain length in
yeasts.
PMID- 26567576
TI - Matrix Metalloproteinases in Primary Culture of Cardiomyocytes.
AB - The highly organized contractile apparatus of cardiomyocytes in heart tissue
allows for their continuous contractility, whereas extracellular matrix
components are synthesized and spatially organized by fibroblasts and endothelial
cells. However, reorganization of the cardiomyocyte contractile apparatus occurs
upon their 2D cultivation, which is accompanied by transient loss of their
contractility and acquired capability of extracellular matrix synthesis (Bildyug,
N. B., and Pinaev, G. P. (2013) Tsitologiya, 55, 713-724). In this study, matrix
metalloproteinases were investigated at different times of cardiomyocyte 2D
cultivation and 3D cultivation in collagen gels. It was found that cardiomyocytes
in 2D culture synthesize matrix metalloproteinases MMP-2 and MMP-9, wherein their
amount varies with the cultivation time. The peak MMP-9 amount is at early
cultivation time, when the reorganization of cardiomyocyte contractile apparatus
occurs, and the MMP-2 peak precedes the recovery of the initial organization of
their contractile apparatus. Upon cardiomyocyte cultivation in 3D collagen gels,
in which case their contractile apparatus does not rearrange, a steady small
amount of MMP-2 and MMP-9 is observed. These data indicate that the cardiomyocyte
contractile apparatus reorganization in culture is associated with synthesis and
spatial organization of their own extracellular matrix.
PMID- 26567577
TI - Formation of New Polysomes on Free mRNAs in a Cell-Free Translation Systems Is
Accompanied by Partial Disassembly of Previously Formed Polysomes.
AB - A method for detection of the fluorescence-labeled mRNA in translating ribosomal
complexes has been developed. It is demonstrated that in the working cell-free
translation system with preformed polysomes, formation of new polysomes on free
mRNA takes place. For the first time, it is shown that the process is accompanied
by partial disassembly of the previously formed polysomes. This result is
interpreted as an indication of the direct relationship between processes of
translation termination of polysomal ribosomes and translation initiation of free
mRNAs.
PMID- 26567579
TI - Overexpression of Selenoprotein SelK in BGC-823 Cells Inhibits Cell Adhesion and
Migration.
AB - Effects of human selenoprotein SelK on the adhesion and migration ability of
human gastric cancer BGC-823 cells using Matrigel adhesion and transwell
migration assays, respectively, were investigated in this study. The Matrigel
adhesion ability of BGC-823 cells that overexpressed SelK declined extremely
significantly (p < 0.01) compared with that of the cells not expressing the
protein. The migration ability of BGC-823 cells that overexpressed SelK also
declined extremely significantly (p < 0.01). On the other hand, the Matrigel
adhesion ability and migration ability of the cells that overexpressed C
terminally truncated SelK did not decline significantly. The Matrigel adhesion
ability and migration ability of human embryonic kidney HEK-293 cells that
overexpressed SelK did not show significant change (p > 0.05) with the cells that
overexpressed the C-terminally truncated protein. In addition to the effect on
Matrigel adhesion and migration, the overexpression of SelK also caused a loss in
cell viability (as measured by 3-(4,5-dimethyl-2-thiazolyl)-2,5-diphenyl-2H
tetrazolium bromide (MTT) colorimetric assay) and induced apoptosis as shown by
confocal microscopy and flow cytometry. The cytosolic free Ca2+ level of these
cells was significantly increased as detected by flow cytometry. But the
overexpression of SelK in HEK-293 cells caused neither significant loss in cell
viability nor apoptosis induction. Only the elevation of cytosolic free Ca2+
level in these cells was significant. Taken together, the results suggest that
the overexpression of SelK can inhibit human cancer cell Matrigel adhesion and
migration and cause both the loss in cell viability and induction of apoptosis.
The release of intracellular Ca2+ from the endoplasmic reticulum might be a
mechanism whereby the protein exerted its impact. Furthermore, only the full
length protein, but not C-terminally truncated form, was capable of producing
such impact. The embryonic cells were not influenced by the elevation of free
Ca2+ level in cytosol, probably due to their much greater tolerance to the
variation.
PMID- 26567578
TI - Truncated Variants of Serratia proteamaculans Oligopeptidase B Having Different
Activities.
AB - Treatment of native psychrophilic oligopeptidase B from Serratia proteamaculans
(PSP, 78 kDa) with chymotrypsin (soluble or immobilized on modified porous glass
MPG-PA) in the presence of 50% glycerol leads to production of a truncated enzyme
form (PSP-Chtr, ~66 kDa), which retains activity toward the low molecular weight
substrate of PSP, BAPNA, but in contrast to PSP, is active toward the protein
substrate azocasein. It has been shown by MALDI-TOF mass-spectrometry that PSP
Chtr lacks the N-terminal region of the molecule that envelops the catalytic
domain of PSP and supposedly prevents hydrolysis of high molecular weight
substrates. It has also been established that the lacking fragment corresponds to
the N-terminal highest rank element of the informational structure of PSP. This
finding confirms the usefulness of the method of informational structure analysis
for protein engineering of enzymes. A similar treatment of PSP with immobilized
trypsin also led to production of a stable truncated enzyme form (PSP-Tr, ~75
kDa) which lacked 22 C-terminal amino acid residues and completely lost enzymatic
activity, presumably because of changes in the nearest environment of His652 of
the catalytic triad.
PMID- 26567580
TI - Seed Storage Globulins: Origin and Evolution of Primary and Higher Order
Structures.
AB - Legumin and vicilin are two-domain seed storage globulins similar in primary and
higher order structures of their domains to single-domain plant germins as well
as to the domains of two-domain and single-domain bacterial oxalate
decarboxylases. Independent evolutionary pathways have been shown for the descent
of the storage globulins and germins from two-domain and single-domain bacterial
oxalate decarboxylases, respectively. As compared to vicilins, the primary and
tertiary structures of legumins were found to most closely reflect the ancient
features characteristic of a common precursor of storage globulins. During the
evolution of the storage globulins, a mechanism specifically controlling their
degradation has been formed. We found that limited proteolysis of soybean legumin
and kidney bean vicilin in germinating seeds and in vitro leads to their regular
changes, which initiate an extensive cleavage of storage globulin molecules by
the one-by-one mechanism. As also shown, limited proteolysis of soybean legumin
loosens the intersubunit interactions in its oligomeric molecule. Based on these
data, we hypothesize that the deep one-by-one degradation of soybean legumin is
triggered by its dissociation, which bares peptide bonds potentially susceptible
to proteolytic attack but are masked in the oligomer.
PMID- 26567581
TI - Evolutionary Divergence of Arabidopsis thaliana Classical Peroxidases.
AB - Polymorphisms of 62 peroxidase genes derived from Arabidopsis thaliana were
investigated to evaluate evolutionary dynamics and divergence of peroxidase
proteins. By comparing divergence of duplicated genes AtPrx53-AtPrx54 and AtPrx36
AtPrx72 and their products, nucleotide and amino acid substitutions were
identified that were apparently targets of positive selection. These
substitutions were detected among paralogs of 461 ecotypes from Arabidopsis
thaliana. Some of these substitutions are conservative and matched paralogous
peroxidases in other Brassicaceae species. These results suggest that after
duplication, peroxidase genes evolved under the pressure of positive selection,
and amino acid substitutions identified during our study provided divergence of
properties and physiological functions in peroxidases. Our predictions regarding
functional significance for amino acid residues identified in variable sites of
peroxidases may allow further experimental assessment of evolution of peroxidases
after gene duplication.
PMID- 26567582
TI - Role of Restriction-Modification Systems in Prokaryotic Evolution and Ecology.
AB - Restriction-modification (R-M) systems are able to methylate or cleave DNA
depending on methylation status of their recognition site. It allows them to
protect bacterial cells from invasion by foreign DNA. Comparative analysis of a
large number of available bacterial genomes and methylomes clearly demonstrates
that the role of R-M systems in bacteria is wider than only defense. R-M systems
maintain heterogeneity of a bacterial population and are involved in adaptation
of bacteria to change in their environmental conditions. R-M systems can be
essential for host colonization by pathogenic bacteria. Phase variation and
intragenomic recombinations are sources of the fast evolution of the specificity
of R-M systems. This review focuses on the influence of R-M systems on evolution
and ecology of prokaryotes.
PMID- 26567583
TI - Level of Blood Cell-Free Circulating Mitochondrial DNA as a Novel Biomarker of
Acute Myocardial Ischemia.
AB - Changes in the level of blood cell-free circulating mitochondrial DNA were
examined during experimental adrenaline-induced myocardial injury in rats. The
amount of mitochondrial DNA in the blood was significantly elevated at 48 and 72
h after subcutaneous injection of adrenaline solution, and it was accompanied by
development of multiple small-focal myocardial ischemia. This suggests that the
measured level of blood cell-free circulating mitochondrial DNA might be used as
a biomarker of acute myocardial ischemia.
PMID- 26567584
TI - Evaluation of platelet distribution width in chronic obstructive pulmonary
disease patients with pulmonary embolism.
AB - BACKGROUND: Platelets play an important role in the pathogenesis of pulmonary
embolism (PE). We aimed to investigate whether there is a correlation between
platelet distribution width (PDW) and chronic obstructive pulmonary disease
(COPD) patients with PE. METHODS: We conducted a retrospective study using 126
COPD patients with PE and 51 COPD patients without PE. Blood biomarkers,
including PDW and d-dimer, were included. Odds ratios (OR) associated with PDW
and interactions with d-dimer, SpO2 were estimated for PE. RESULTS: PDW was
higher in the COPD patients with PE group (p = 0.007). A higher PDW had a
significantly increased risk of PE than a lower PDW (adjusted OR 2.724, 95% CI:
1.290-5.753). CONCLUSION: PDW are elevated in COPD patients with PE and are
associated with the risk of PE.
PMID- 26567586
TI - Expansion at Memory.
PMID- 26567585
TI - Nomogram line crossing after acetaminophen combination product overdose.
AB - BACKGROUND: The Rumack-Matthew nomogram predicts the risk of hepatotoxicity
following acute acetaminophen overdose based on a serum concentration obtained >=
4-hour post-ingestion. Some patients with low-risk concentrations at 4 hours may
have subsequent values indicating increased risk (above the nomogram treatment
line), especially if coingestants that slow gastrointestinal motility are
involved. The treatment line currently used to identify low risk patients in the
United States, Canada, and Australia begins at 150 mcg/mL (993 MUmol/L) and
intersects at 18.75 mcg/mL (124.1 MUmol/L) 16 hours post-ingestion. OBJECTIVE: To
determine the incidence of nomogram line crossing after acute overdose of
acetaminophen combination products containing an opioid or antihistamine.
METHODS: This was a prospective cohort study of hospitalized patients reported to
a regional poison center (RPC) after acute overdose of a combination product
containing an opioid or antihistamine. If a 4-hour acetaminophen concentration
was detectable but below the nomogram treatment line, the RPC recommended repeat
concentrations. Patients were entered into the study if at least one subsequent
concentration was available. During follow-up calls hospital providers were
queried regarding clinical features, treatment, and indicators of liver injury.
RESULTS: Over a 4-year period 76 patients met entry criteria. 5/76 (6.6%) had
measureable acetaminophen concentrations below the treatment line at or close to
4-hour post-ingestion followed by values above the line obtained at 6.5-12.5
hours. Four of the five were treated with acetylcysteine and none developed
hepatotoxicity. Four of the five had clinical features reported to the RPC
suggesting toxicity from the opioid or antihistamine component. CONCLUSION: After
acute overdose of acetaminophen combination products, patients with detectable
but non-toxic 4-hour acetaminophen concentrations should have repeat
concentrations obtained in a time frame that would allow providers to initiate
acetylcysteine treatment, if needed, without undue delay.
PMID- 26567587
TI - Asking for work adjustments or initiating behavioural changes - what makes a
'problematic co-worker' score Brownie points? An experimental study on the
reactions towards colleagues with a personality disorder.
AB - People with mental disorders, especially personality disorders, often face low
acceptance at work. This is particularly problematic when returning to work after
sick leave, because it impedes reintegration into the former workplace. This
study explores colleagues' reactions towards a problematic worker dependent on
the returning person's reintegration strategy: The returning person undertaking
changes in their behaviour is compared with the person requesting adjustments of
the workplace. In an experimental study, 188 employed persons read one of four
vignettes that described a return-to-work-situation of a problematic co-worker.
Across all vignettes, the co-worker was depicted as having previously caused
problems in the work team. In the first vignette, the co-worker did not change
anything (control condition) when she returned to work; in the second, she asked
for workplace adjustments; in the third vignette she initiated efforts to change
her own behaviour; and the fourth vignette combined both workplace adjustments
and behavioural change. Study participants were asked for their reactions towards
the problematic co-worker. Vignettes that included a behavioural change evoked
more positive reactions towards the co-worker than vignettes without any
behavioural change. Asking for workplace adjustments alone did not yield more
positive reactions compared to not initiating any change. When preparing
employees with interactional problems for their return to work, it is not
effective to only instruct them on their statutory entitlement for workplace
adjustments. Instead, it is advisable to encourage them to proactively strive for
behaviour changes.
PMID- 26567588
TI - Cascade Synthesis of 3-Alkylidene Dihydrobenzofuran Derivatives via Rhodium(III)
Catalyzed Redox-Neutral C-H Functionalization/Cyclization.
AB - An efficient rhodium(III)-catalyzed coupling reaction of N-phenoxyacetamides with
propargyl carbonates to yield 3-alkylidene dihydrobenzofuran derivatives via C-H
functionalization/cascade cyclization has been developed. This transformation
represents a redox-neutral process and features the formation of three new bonds
under mild conditions.
PMID- 26567590
TI - Cinnamtannin D1 from Rhododendron formosanum Induces Autophagy via the Inhibition
of Akt/mTOR and Activation of ERK1/2 in Non-Small-Cell Lung Carcinoma Cells.
AB - In our previous study, ursolic acid present in the leaves of Rhododendron
formosanum was found to possess antineoplastic activity. We further isolated and
unveiled a natural product, cinnamtannin D1 (CNT D1), an A-type procyanidin
trimer in R. formosanum also exhibiting anticancer efficacy that induced G1
arrest (83.26 +/- 3.11% for 175 MUM CNT D1 vs 69.28 +/- 1.15% for control, p <
0.01) and autophagy in non-small-cell lung carcinoma (NSCLC) cells. We found that
CNT D1-mediated autophagy was via the noncanonical pathway, being beclin-1
independent but Atg5 (autophagy-related genes 5)-dependent. Inhibition of
autophagy with a specific inhibitor enhanced cell death, suggesting a
cytoprotective function for autophagy in CNT D1-treated NSCLC cells. Moreover,
CNT D1 inhibited the Akt/mammalian target of the rapamycin (mTOR) pathway and
activated the extracellular signal-regulated kinases 1/2 (ERK1/2) pathway,
resulting in induction of autophagy.
PMID- 26567592
TI - New insight of some extracellular matrix molecules in beef muscles. Relationships
with sensory qualities.
AB - The aim of this study was to highlight the relationships between decorin,
tenascin-X and type XIV collagen, three minor molecules of extracellular matrix
(ECM), with some structural parameters of connective tissue and its content in
total collagen, its cross-links (CLs) and its proteoglycans (PGs). In addition,
we have evaluated impact of these minor molecules on beef quality traits. The
relative abundance of these molecules was evaluated by western blot analysis in
Longissimus thoracis (LT) and Biceps femoris (BF) muscles from Aberdeen Angus and
Blond d'Aquitaine beef breeds. Decorin and tenascin-X were more abundant in BF
than in LT (1.8 v. 0.5 arbitrary units (AU), respectively, P<0.001, and 1.0 v.
0.6 AU, P<0.05). There was no muscle effect for collagen XIV content. Decorin and
tenascin-X relative abundance were positively correlated with perimysium and
endomysium areas and with collagen characteristics (total, insoluble and CLs).
Decorin was negatively correlated with total PG content and positively with
tenascin-X. Collagen XIV was correlated with any of parameters measured. To
assess the impact of decorin, tenascin-X and collagen XIV and of their ratios to
total collagen and PGs on shear force and quality traits we realized,
respectively, a multiple-linear regression analysis and a Pearson's correlation
analysis. Decorin and tenascin-X relative abundance were, respectively,
negatively and positively involved in juiciness. Decorin relative abundance was
also negatively involved in abnormal flavour and positively in overall liking.
The ratio of decorin to total collagen and PGs was negatively correlated to
juiciness, together with collagen XIV ratio to total PGs. The ratios of decorin,
tenascin-X and collagen XIV to total PGs were positively correlated to sensory
tenderness, negatively to abnormal beef flavour and positively to overall liking.
The ratio of decorin to total collagen was also negatively correlated to abnormal
flavour and positively to overall liking while its ratio to total PGs was
positively correlated to beef flavour and overall liking. Results of the present
study highlighted for the first time the possible role of minor ECM molecules on
beef quality traits. In addition, variations of meat texture and more generally
of sensory qualities would depend not only to the quantity of total collagen and
of its CLs, but also of components of ECM such as decorin, tenascin-X and
collagen XIV and of their ratios to total collagen and PGs.
PMID- 26567591
TI - In Vivo Formation of Cubic Phase in Situ after Oral Administration of Cubic Phase
Precursor Formulation Provides Long Duration Gastric Retention and Absorption for
Poorly Water-Soluble Drugs.
AB - Lipid-based liquid crystalline systems based on the combination of digestible and
nondigestible lipids have been proposed as potential sustained release delivery
systems for oral delivery of poorly water-soluble drugs. The potential for cubic
phase liquid crystal formation to induce dramatically extended gastric retention
in vivo has been shown previously to strongly influence the resulting
pharmacokinetics of incorporated drug. In vitro studies showing the in situ
formation of cubic phase from a disordered precursor comprising a mixture of
digestible and nondigestible lipids under enzymatic digestion have also recently
been reported. Combining both concepts, here we show the potential for such
systems to form in vivo, increasing gastric retention, and providing a sustained
release effect for a model poorly water-soluble drug cinnarizine. A mixture of
phytantriol and tributyrin at an 85:15 mass ratio, shown previously to form cubic
phase under the influence of digestion, induced a similar pharmacokinetic profile
to that in the absence of tributyrin, but completely different from tributyrin
alone. The gastric retention of the formulation, assessed using micro-X-ray CT
imaging, was also consistent with the pharmacokinetic behavior, where phytantriol
alone and with 15% tributyrin was greater than that of tributyrin in the absence
of phytantriol. Thus, the concept of precursor lipid systems that form cubic
phase in situ during digestion in vivo has been demonstrated and opens new
opportunities for sustained release of poorly water-soluble drugs.
PMID- 26567593
TI - Adjunctive use of interferon gamma inducer for treatment of patients with
schizophrenia.
AB - OBJECTIVE: The present paper is devoted to evaluation of clinical and
immunomodulatory effect of ultra-high dilutions of antibodies to human interferon
gamma, included in the complex therapy of patients with schizophrenia. Materials
and methods The study was carried out at the Mental Health Research Institute,
Tomsk, Russian Federation. This double-blind, placebo-controlled randomised in
parallel-group study enrolled 40 patients. As a part of complex therapy, patients
from the main group (n=20) received anaferon, a drug containing ultra-high
dilutions of affinity-purified antibodies to human interferon gamma as the active
pharmaceutical ingredient; patients from the comparative group (n=20) received
placebo. Duration of the therapy was 30+/-5 days. Assessment of severity of
symptoms and changes in them were made using clinical scales: Positive and
Negative Syndrome Scale, Clinical Global Impression, Abnormal Involuntary
Movements Scale. Spontaneous and phytohemagglutinin-induced production of
interferon gamma by immunocompetent cells in supernatants of 48 h whole blood
culture of patients was measured by enzyme-linked immunosorbent assay (ELISA)
method. RESULTS: The reduction of interferon-producing potential by
immunocompetent cells in comparison with reference normal value was shown in
total group of patients (n=40) before combined therapy. During the treatment,
increase of spontaneous interferon gamma production and favourable changes in
psychopathological symptoms as compared with placebo were shown in subjects
receiving anaferon. It was found that favourable changes in clinical symptoms
assessed using clinical scales with a high degree of confidence correlated with
high level of spontaneous interferon gamma production. CONCLUSION: Anaferon as a
part of complex therapy of patients with schizophrenia contributes to enhancement
of its efficacy acting via mechanism of psychoimmunomodulation.
PMID- 26567594
TI - Knowledge, attitudes, beliefs and practices related to chronic suppurative otitis
media and hearing impairment in Pokhara, Nepal.
AB - BACKGROUND: Nepal has a high prevalence of chronic suppurative otitis media and
hearing impairment. An improved understanding of patients' knowledge, attitudes,
beliefs and practices is therefore important for effective healthcare planning
and intervention. METHOD: Questionnaires designed to explore their current
knowledge, attitudes, beliefs and practices were completed by 153 participants:
71 were affected by a known ear disease and 82 were unaffected. RESULTS: In the
unaffected group, 31.7 per cent considered breast milk to be a risk factor for
ear infection. Home remedies (e.g. leaf paste, oils, and urine and/or bodily
fluids) had been used by 42.3 per cent of the affected group. Most participants
(71.9 per cent) believed that society discriminates against those with hearing
impairment. CONCLUSION: Knowledge deficits and false beliefs were found in both
groups, along with a significant use of home remedies and a perception of
discrimination against people with hearing impairment. These findings are
relevant for healthcare providers and may aid the development of policy,
interventions and public education initiatives.
PMID- 26567589
TI - Microfluidic Sample Preparation for Single Cell Analysis.
PMID- 26567595
TI - Cerebral imaging in infectious endocarditis: A clinical study.
AB - BACKGROUND: Because neurological failure is the most frequent extra-cardiac
complication in Infectious Endocarditis (IE), a brain computerised tomography
(CT) scan is usually performed. The benefits of magnetic resonance imaging (MRI)
have not been clearly established. This study aims to clarify the prevalence and
type of cerebral lesions in IE detected using MRI and to compare them with those
detected using CT scans. METHODS: In the Grenoble University Hospital, patients
diagnosed with definite or possible endocarditis according to Duke's criteria
were screened from 2010-2012. Brain CT and MRI were performed as soon as possible
after diagnosis. RESULTS: Of the 62 patients with IE who underwent at least one
cerebral imaging within 3 weeks of diagnosis, Streptococcus (29) and
Staphylococcus (14) were the main micro-organisms present. Twenty-eight (45%)
patients underwent cardiac surgery. Eight (13%) died before discharge. Twenty
(32%) had neurological symptoms. A brain CT-scan was performed on 53 (85%)
patients and a MRI was performed on 43 (69%) patients. CT was pathological in 26
(49%) patients, whereas 32 (74%) MRI demonstrated abnormalities. The MRI lesions
were classified as follows: ischaemia (48%), microbleeds (34%), haemorrhages
(16%), abscesses (9%) and microbial aneurysms (4%). Of the 37 patients who
underwent both MRI and CT examinations, ischaemia (48% vs 35%) and microbleeds
(34%) demonstrated the difference between the two imaging methods. CONCLUSION:
Through the early diagnosis of cerebral damage, even in asymptomatic cases, MRI
may have a role in the IE management, influence any surgical decision and assist
in prognosis assessment.
PMID- 26567596
TI - Peroxidase-like activity of gold nanoparticles stabilized by hyperbranched
polyglycidol derivatives over a wide pH range.
AB - The aim of this work was to carry out comparative studies on the peroxidase-like
activity of gold nanoparticles (AuNPs) stabilized with low molecular weight
hyperbranched polyglycidol (HBPG-OH) and its derivative modified with maleic acid
residues (HBPG-COOH). The influence of the stabilizer to gold precursor ratio on
the size and morphology of nanoparticles obtained was checked, and prepared
nanoparticles were characterized by means of transmission electron microscopy and
UV-Vis spectroscopy. The results indicated the divergent effect of increasing the
concentration of stabilizers (HBPG-OH or HBPG-COOH) on the size of the
nanostructures obtained. The gold nanoparticles obtained were characterized as
having intrinsic peroxidase-like activity and the mechanism of catalysis in
acidic and alkaline mediums was consistent with the standard Michaelis-Menten
kinetics, revealing a strong affinity of AuNPs with 2, 2'-azino-bis(3
ethylbenzothiazoline-6-sulfonic acid) (ABTS) and 3, 3', 5, 5'
tetramethylbenzidine (TMB), and significantly lower affinity towards phenol. By
comparing the kinetic parameters, a negligible effect of polymeric ligand charge
on activity against various types of substrates (anionic or cationic) was
indicated. The superiority of steric stabilization via the application of tested
low-weight hyperbranched polymers over typical stabilizers in preventing salt
induced aggregation and maintaining high catalytic activity in time was proved.
The applied hyperbranched stabilizers provide a good tool for manufacturing gold
based nanozymes, which are highly stable and active over a wide pH range.
PMID- 26567597
TI - Protective effect of Esculin in adjuvant-induced arthritic (AIA) rats via
attenuating pro-inflammatory cytokines and oxidative stress.
AB - The present study was intended to exemplify the protective effect of Esculin (ES;
6,7-dihydroxycoumarin-6-o-glucoside) on the adjuvant induced arthritis in adult
female Sprague Dawley rats. It has been found that, treatment of ES has
significantly improved the body weight of rats accompanied with a reduction of
paw volume in comparison to arthritic control. In addition, ES exhibit inhibitory
effect on various pro-inflammatory cytokines, for instance, IL-1beta and TNF
alpha. The level of oxidative stress markers, i.e., nitric oxide and peroxide was
also found suppressed after treatment. The treatment of ES prevents the tissue
injury mediated via oxidative stress via up-regulating the level of endogenous
GSH in a dose dependent manner. Thus, it has been corroborated that, ES exerts
potent anti-arthritic activity via attenuating pro-inflammatory cytokines and
oxidative stress.
PMID- 26567598
TI - ROS, MAPK/ERK and PKC play distinct roles in EGF-stimulated human corneal cell
proliferation and migration.
AB - Cornea is at the outermost surface of eye globe, and it easily receives damage
from ultraviolet light exposure, physiology wounding, and infections. It is
essential to understand the mechanisms controlling human corneal epithelial (HCE)
cell proliferation and wound healing. Epidermal growth factor (EGF) could
stimulate cell proliferation and migration in various cell types. Therefore, we
investigated the roles and mechanisms of EGF on HCE cell proliferation and
migration. CCK-8 kit and wound healing experiment were used to investigate HCE
cell proliferation and cell migration, respectively. ROS activity was quantified
by DCFDA and flow cytometry. Western blot and Q-PCR were performed to examine
protein and RNA levels. EGF could promote HCE cell proliferation and migration in
both physiology status and UV irradiation conditions, which is used to mimic the
disease condition in human corneal epithelial cells. Interestingly, the promotion
effect of EGF on HCE cell proliferation is mainly mediated by activated ROS
signaling under disease condition. However, the EGF function is mediated by ROS
and MAPK/ERK pathway in EGF-treated corneal epithelial cells in physiology
status, in which ROS and MAPK/ERK pathway have no mutual influence on the other
signaling pathway in EGF-stimulated corneal epithelial cells. We also revealed
that MAPK/ERK pathway instead of ROS mediates EGF-stimulated HCE cell migration.
Interestingly, we found that PKC proteins were downregulated by EGF in HCE cells
that is partially mediated by ROS signaling, while PKC pathway was not involved
in EGF-stimulated corneal cell proliferation and migration. EGF promotes human
corneal cell proliferation and migration both in physiology and disease
conditions, and ROS, MAPK/ERK and PKC pathways play different roles in these
processes.
PMID- 26567599
TI - Ameliorative effects of vanillin on potassium bromate induces bone and blood
disorders in vivo.
AB - The objective of this study was to investigate the propensity of potassium
bromate (KBrO3) to induce oxidative stress in blood and bone of adult mice and
its possible attenuation by vanillin. Our results demonstrated, after KBrO3
treatment, a decrease of red blood cells and hemoglobin and a significant
increase of white blood cell. A decrease in plasma levels of folic acid, vitamin
B12 and iron was also noted. Interestingly, an increase of lipid peroxidation,
hydroperoxides, hydrogen peroxide, advanced oxidation protein products and
protein carbonyl levels in erythrocytes and bone was observed, while superoxide
dismutase, catalase and glutathione peroxidase activities and glutathione, non
protein thiol and vitamin C levels were decreased. KBrO3 treatment resulted in
blood and bone DNA fragmentation, a hallmark of genotoxicity-KBrO3-induced, with
reduction of DNA levels. Calcium and phosphorus levels showed a decrease in the
bone and an increase in the plasma after KBrO3 treatment. These biochemical
alterations were accompanied by histological changes in the blood smear and bone
tissue. Treatment with vanillin improved the histopathological, hematotoxic and
genotoxic effects induced by KBrO3. The results showed, for the first time, that
the vanillin possesses a potent protective effect against the oxidative stress
and genotoxicity in bone and blood of KBrO3-treated mice.
PMID- 26567600
TI - Some Antioxidants and Malondialdehyde Levels in the Flesh of Rainbow Trout,
(Oncorhynchus mykiss W., 1792) from Various Feeding Habitats.
AB - The present study was aimed to find the effect of feeding habitats on the amounts
of some antioxidants (vitamin A, E, C, beta-carotene and selenium) and
malondialdehyde (MDA) levels in the flesh of rainbow trout (Oncorhynchus mykiss).
For this purpose, vitamins (A, C and E), beta-carotene amounts and
malondialdehyde (MDA) levels were determined by HPLC and selenium amount was
determined by fluorometric method in the flesh of rainbow trout obtained from
various feeding habitats. The highest amounts of vitamins (A, C and E), beta
carotene and selenium were found in the flesh of wild rainbow trout (WRT),
followed by cage reared rainbow trout (CRRT) and pond reared rainbow trout
(PRRT). However, the levels of MDA in the flesh of PRRT were the highest,
followed by CRRT and the lowest in WRT.
PMID- 26567601
TI - Increasing production of matrix metalloproteinases, tumor necrosis factor-alpha,
vascular endothelial growth factor and prostaglandin E2 in rheumatoid arthritis
synovial fibroblasts by different adiponectin isoforms in a concentration
dependent manner.
AB - Adipokines have been known to play a significant role in rheumatic disease via
synovial fibroblasts. However, to date, the concentration effects of adiponectin
isoforms on the pathophysiology of rheumatoid arthritis (RA) have not been
extensively studied. Therefore, the present study examined the different effects
of the adiponectin isoforms on rheumatoid arthritis synovial fibroblasts (RASF)
and investigated the relations between the concentration of individual
adiponectin isoforms and the production of the inflammatory factors of RASF.
Articular synovial tissues were obtained from the patients fulfilled with
diagnostic criteria of RA, and health people. RASF and human
fibroblast—like synoviocytes (HFLS) were isolated and cultured. They were
stimulated with increasing concentrations of 25 μg/ml, 50 μg/ml, and
100μg/ml of different human adiponectin isoforms. The levels of matrix
metalloproteinase (MMP)—3, MMP—10, tumor necrosis factor
(TNF)—α, vascular endothelial growth factor (VEGF), and prostaglandin
E2 (PGE2) in culture supernatants were measured by immunoassays. The results
showed the levels of MMP—3, MMP—10, TNF—α, VEGF and PGE2
were significantly increased in RASF which were treated with individual
adiponectin isoforms compared to untreated RASF (p<0.01), and the increases also
had significances compared to HFLS which were treated with the same conditions
(p<0.05). Moreover, the effect of HMW (high molecular weight)/ MMW (middle
molecular weight) was the strongest among them. In conclusion, all three
adiponectin isoforms may contribute to proinflammatory effect by stimulating the
production of MMP—3, MMP—10, TNF—α, VEGF and PGE2 of RASF
in a concentration—dependent manner. HMW/MMW adiponectin could play an
important role in matrix destroying and synovial vascular creating of the
pathology of RA.
PMID- 26567602
TI - Role of Kruppel-like Factor 2 in Intracranial Aneurysm of the Rabbit Model.
AB - We investigated expression of Kruppel—like factor 2 (KLF2) and its
correlation with basilar artery blood flow rate in the hemodynamically induced
aneurysm model built by different methods. New Zealand rabbits were randomly
divided into sham—operated group, unilateral ligation of common carotid
artery (CCA) group (UL group) and bilateral ligation of CCA group (BL group).
Rabbits were cervix—cut to expose the arteries without ligation (sham
group), with right—side ligation (UL group) and bilateral ligation (BL
group), respectively. Skull Doppler ultrasound was used to measure basilar artery
blood flow rate in each group at week 1, 2, 3, or 4 separately (n=6 for each time
point). The animals were killed after the measurements. At each time point, 6
basilar artery bifurcates from each group were collected and sent for staining
(HE, EVG, Masson and KLF2 immunohistochemistry staining), while another 6 basilar
artery bifurcates were processed with KLF2 Western blotting. Results showed that
the average blood flow rate did not change significantly among the 4 time points
in the Sham group, but it was insignificantly smaller compared to the UL group.
The average blood flow rate in the BL group was significantly higher than that in
the other two groups. Pathological tests showed that according to the aneurysm
evaluation criteria, the basilar arteries in the Sham group had smooth tip
lumina, complete endothelial cells, complete internal elastic membranes, but no
fracture, thinning or aneurysm formation. Only 1 of 24 rabbits in the UL group
had slight bulges in the tip of basilar artery, though not very severe. Twelve
rabbits in the BL group had aneurysmal bulges, significantly different from the
other two groups. KLF2 protein expression was not changed significantly with time
in the Sham group, but increased slightly with time in the UL group. KLF2 protein
expression in the BL group increased significantly only after 1 week and then
maintained a high level, significantly different from the other two groups. KLF2
protein expression was positively correlated with blood flow rate. In conclusion,
the hemodynamic change was most significant after bilateral ligation, which was
most suitable for building animal models for aneurysm research. aaaAnd KLF2
expression change was consistent with blood flow rate variation, which showed
positive correlation, indicating KLF2 expression was regulated by hemodynamic
changes.
PMID- 26567603
TI - Sodium calcium exchanger operates in the reverse mode in metastatic human
melanoma cells.
AB - Cytosolic Ca2+ ([Ca2+]cyt) is important in the regulation of several cellular
functions involved in metastasis. We hypothesize that distinct [Ca2+]cyt
regulation explains the acquisition of a more metastatic phenotype. To test this
hypothesis, we used highly and lowly metastatic human melanoma cells and
[Ca2+]cyt was monitored using Fura—2AM and fluorescence spectroscopy.
Stimulation with ATP elicited a sustained increase in [Ca2+]cyt in highly
metastatic cells, but a transient increase in lowly metastatic cells. Na+
substitution revealed Na+/Ca2+ exchanger (NCX) activity in reverse mode in
highly, but not in lowly metastatic cells. In highly metastatic cells, addition
of Na+ in the plateau phase of [Ca2+]cyt increase elicited with ATP, in the
absence of Na+, resulted in a rapid return to basal, indicating that NCX can
operate in both reverse and forward modes. Inhibition and knockdown of NCX, using
KB—R7943 and siRNA NCX—1 respectively, supported the significance of
NCX in [Ca2+]cyt regulation in highly metastatic cells. Stimulation with UTP
triggered a rapid increase in highly metastatic cells [Ca2+]cyt, but not in lowly
metastatic cells suggesting that highly and lowly metastatic cells exhibit
distinct purinergic receptors. These data indicate that following
agonist—stimulation, NCX operates preferentially in the reverse mode to
enable a sustained [Ca2+]cyt increase in highly metastatic cells. The forward
mode of NCX operation to extrude Ca2+ is preferred in lowly metastatic cells. The
acquisition of a more metastatic phenotype involves a switch in NCX activity from
forward to reverse mode that is favorable to maintain elevated [Ca2+]cyt in
response to agonist stimulation.
PMID- 26567604
TI - Relationship Between Depressive State and Treatment Characteristics of Acute
Cervical Spinal Cord Injury in Japan.
AB - BACKGROUND: Few studies have assessed whether treatment of acute cervical spinal
cord injury (SCI) patients contributes to depression. METHODS: Using an
administrative database, we assessed patients for whom the diagnosis was
unspecified injuries of cervical spinal cord (International Classification of
Diseases and Injuries-10th (ICD-10) code; S14.1). We categorized patients with
codes for depressive episode (ICD-10 code; F32) or recurrent depressive disorder
(F33), or those prescribed antidepressants (tricyclic, tetracyclic, Selective
Serotonin Reuptake Inhibitors, Serotonin Noradrenaline Reuptake Inhibitors,
Trazodone, Sulpiride, or Mirtazapine) as having a depressive state. We compared
the rate of each acute treatment between the depressive state group and the non
depressive state group using chi-square tests, and a multiple logistic regression
model was used to identify the association between the acute treatment and
depressive state. RESULTS: There were 151 patients who were judged to be in a
depressive state, and the other 2115 patients were categorized into the non
depressive state group. Intervention of intravenous anesthesia, tracheostomy,
artificial respiration, and gastrostomy had a significant positive correlation
with depressive state. Multiple logistic regression analysis showed that
tracheostomy (odds ratio [OR] 2.18; 95% confidence interval [CI], 1.09-4.38) and
artificial respiration (OR 2.28; 95% CI, 1.32-3.93) were significantly associated
with depressive state, and men had a 36% reduction in the risk of depressive
state compared with women (OR 0.64; 95% CI, 0.44-0.94), whereas age, wound
treatment, all of the orthopedic procedures, intravenous anesthesia, and
gastrostomy were not associated with depressive state. CONCLUSIONS: These
findings suggest that tracheostomy, artificial respiration and female gender in
the acute phase after cervical SCI might be associated with the development of
depression.
PMID- 26567605
TI - Using a Common Form for Consistent Collection and Reporting of FASD Data from
Across Canada: A Feasibility Study.
AB - BACKGROUND: This study was undertaken to determine the feasibility of collecting
information on individuals newly diagnosed with Fetal Alcohol Spectrum Disorder
(FASD) in multi-disciplinary diagnostic programs across Canada. OBJECTIVE: To
determine the frequencies of specific diagnoses within the spectrum, the
frequencies and patterns of specific functional deficits, and the range of
recommendations made for intervention and management for children and adults.
METHODS: All qualifying clinics in Canada were invited to join this project and
complete questionnaires on the patients that were seen during the research
period. RESULTS: Over half of all clinics participated (25/45) and submitted the
information requested on 307 individuals, ranging in age from 1 to 42 years. Two
hundred and eighty-nine individuals had a diagnosis of FASD and were analysed
further. The percent of individuals with Fetal Alcohol Syndrome was 2.1% of those
with FASD diagnoses, which was lower than expected based on the literature. The
level of disability among the entire FASD was always significant with at least 3
domains measured as severely impaired via the criteria for diagnosis but almost
one-quarter were extremely disabled with 6 of a possible 9 brain domains measured
significantly impaired. No specific patterns of functional disability were found
to represent any significant subgroup of the patients. An average of 13 new
recommendations for intervention and management were made for each patient in
health, mental health, social services, and education. CONCLUSION: Although this
was a pilot study with a relatively small sample, it is the largest collection of
cases of FASD from multiple sites in one country ever published to our knowledge.
It illustrates that important patient information can be collected across
clinical programs considering the diagnosis of FASD but only with financial
support for time and personnel. Using the methodology of a common data form,
consistent data collection can be achieved and patterns and trends can be
identified that can help with assuring consistency in diagnosis and with planning
for improved patient outcomes.
PMID- 26567606
TI - Treatment of recto-vesical fistula by transanal endoscopic microsurgery approach.
AB - INTRODUCTION: Rectovesical Fistula (RVF) is a rare major surgery complication.
Despite different techniques have been proposed as yet there is still no standard
treatment. Transanal Endoscopic Microsurgery provides a magnified three
dimensional vision and it is less invasive than the traditional surgical
procedure used in RVF treatment. MATERIALS AND METHODS: A 62 years-old man, who
developed a rectovesical fistula after laparoscopic radical prostatectomy,
underwent TEM-assisted RVF repair by full-thickness excision and both bladder and
rectal wall suture. The patients had a temporary ileostomy RESULTS: The patient
could ambulate on day one, was fed on day three and was discharged on day 10 with
the indwelling bladder catheter left in place. The ileostomy was taken down and
the catheter removed three months later when colonoscopy and cystoscopy showed no
rvf recurrence. DISCUSSION AND CONCLUSIONS: From 2004, only ten cases of TEM
assisted treatment of RVF are reported with three recurrences and good results
even in patients who had already undergone previous surgical attempts. TEM is
safe and effective. It provides a tension free suture line on healthy tissue with
adequate hemostasis and it may be a good alternative in the treatment of
rectovesical fistula. KEY WORDS: Endoscopic Surgery, Microsurgery, Recto-vesical
Fistula, Transanal Endoscopic.
PMID- 26567607
TI - The malignant colonic polyp Review of biological, clinical parameters and
treatment.
AB - We know the significance of adenomas about the risk of neoplastic transformation
defined as adenoma-carcinoma sequence. Although the majority of adenomas removed
are small, it is well recognized that the risk of malignant transformation
increases with an increased adenoma size. The term "malignant polyp" refers to an
adenoma that macroscopically appears benign, but in which there is an invasion of
malignant neoplastic cells within the submucosa through the muscularis mucosae.
Malignant Polyps are substantially adenocarcinomas at an early stage; it is
estimated that they represent the 0.75-5.6% of all adenomas removed during
endoscopic exams. The management of a malignant polyp, diagnosed after an
endoscopic removal, is complicated because the presence of residual malignant
cells is a possibility. Also the presence of regional lymph nodes metastasis is
different in literature and related to different prognostic factors. In this
review we will analyze the incidence, the most appropriate methods of diagnosis,
the biological parameters that characterize the various classes of risk of
malignant polyps, in order to choice a correct treatment. The goal should be the
improvement of the survival rate, decreasing the likelihood of residual disease
evaluating the risk of overtreatment. KEY WORDS: Adenoma, Adenoma-carcinoma,
"Malignant polyp".
PMID- 26567608
TI - The impact of a chlamydia education program on practice nurse's knowledge and
attitudes in relation to chlamydia testing: a cross-sectional survey.
AB - Background We aimed to determine the impact of a chlamydia (Chlamydia
trachomatis) education program on the knowledge of and attitudes towards
chlamydia testing of practice nurses (PNs). METHODS: A cross-sectional survey was
conducted at baseline and 6-12 months following recruitment with PNs in the
Australian Chlamydia Control Effectiveness Pilot. Likert scales were analysed as
continuous variables (scores), and t-tests were used to assess changes in mean
scores between survey rounds and groups. RESULTS: Of the 72 PNs who completed
both surveys, 42 received education. Epidemiology knowledge scores increased
significantly between surveys in the education group (P<0.01), with change in
knowledge being greater in the education group compared with the non-education
group (P<0.01). Knowledge of recommended testing scenarios (P=0.01) and retesting
following treatment (P<0.01) increased in the education group. Attitudes to
testing scores improved over time in the education group (P=0.03), with PNs more
likely to want increased involvement in chlamydia testing (P<0.01). Change in
overall attitude scores towards testing between surveys was higher in the
education group (P=0.05). Barriers to chlamydia testing scores also increased in
the education group (P=0.03), with change in barriers greater in the education vs
the non-education group (P=0.03). CONCLUSION: The education program led to
improved knowledge and attitudes to chlamydia, and could be made available to PNs
working in general practice. Future analyses will determine if the education
program plus other initiatives can increase testing rates.
PMID- 26567609
TI - ERRATA: Intracranial Hemorrhage and Tortuosity of Veins Detected on
Susceptibility-weighted Imaging of a Child with a Type IV Collagen alpha1
Mutation and Schizencephaly.
AB - Reason for correction: There is an error of the year of publication.Details: We
have to correct the issue year from 2014 to 2015.
PMID- 26567610
TI - What can be done for cerebral embolic protection in TAVI? Analysis in the light
of 10 years' experience with protected carotid artery stenting.
AB - In the last 30 years, development of minimally invasive percutaneous procedures
to treat cardiovascular defects has been thriving. Although these techniques
present obvious advantages, like avoiding cardiopulmonary bypass, the passage of
catheter systems and the deployment of devices in the blood circulation can cause
particle embolization that may result in stroke. In carotid artery stenting,
cerebral embolic protection devices (CEPD) such as filtering membranes have been
available for already 10 years. In transcatheter aortic valve implantation
(TAVI), the development of CEPD is starting and three membrane-based devices are
in clinical trials. There are controversial discussions about the efficacy of
CEPD in TAVI. The experience with CEPD in carotid artery stenting can help to
understand some of the technical issues and shortcomings of current devices and
thereby ultimately reduce cerebral complication risks during TAVI procedures.
PMID- 26567612
TI - The possible use of the L-type calcium channel antagonist verapamil in drug
resistant epilepsy.
AB - Multidrug transporters (MDTs) are likely to play a role in the pathogenesis of
drug resistance in epilepsy, acting at the level of the blood-brain barrier by
returning antiepileptic drugs to the blood vessels and lowering brain penetration
and concentration (e.g. the so-called multidrug transporter hypothesis). In the
last ten years experimental studies on both animal models and human brain tissues
have highlighted a potential role of the P-glycoprotein-one of the multidrug
transporters of the blood-brain barrier-in the pathophysiology of drug-resistant
epilepsies. At the same time, verapamil has been administered to patients with
drug-resistant epilepsy (e.g., Dravet syndrome, Lennox-Gastaut syndrome, focal
epilepsies) or status epilepticus with promising results. In this drug profile
paper the authors review current knowledge and main published studies regarding
the role of the L-type calcium channel antagonist verapamil in drug-resistant
epilepsy.
PMID- 26567613
TI - Selexipag for the treatment of pulmonary arterial hypertension.
AB - The endothelin (ET), nitric oxide (NO) and prostacyclin (PGI2) pathways are
involved in pulmonary arterial hypertension (PAH) pathogenesis. While ET and NO
are targeted early in the disease process, limitations of current
pharmacotherapies that target the PGI2 pathway (PGI2 or PGI2 analogues) result in
them not being used or delayed. Selexipag is a novel oral, selective agonist of
the PGI2 (IP) receptor. Activation of the IP receptor induces vasodilation in the
pulmonary circulation and inhibits the proliferation of vascular smooth muscle
cells, key factors in PAH pathogenesis. By combining oral dosing with improved
receptor selectivity, selexipag may enable earlier combination therapy targeting
the three-molecular pathways of PAH with anticipated improvements in daily- and
long-term clinical function and outcome in PAH.
PMID- 26567611
TI - Current status and future perspectives of fluorescence-guided surgery for cancer.
AB - Curative cancer surgery is dependent on the removal of all primary tumor and
metastatic cancer cells. Preoperative imaging, intraoperative inspection and
palpation, as well as pathological margin confirmation aid the surgeon, but these
methods are lacking in sensitivity and can be highly subjective. Techniques in
fluorescence-guided surgery (FGS) are emerging that selectively illuminate cancer
cells, enhancing the distinction between tumors and surrounding tissues with the
potential for single-cell sensitivity. FGS enhances tumor detection, surgical
navigation, margin confirmation, and in some cases can be combined with
therapeutic techniques to eliminate microscopic disease. In this review, we
describe the preclinical developments and currently-used techniques for FGS.
PMID- 26567615
TI - Serum Tumor Markers in Stage I-II Breast Cancer.
AB - The prognosis of breast cancer is strongly influenced by the stage of the
disease; therefore, it is essential that breast cancer lesions be diagnosed at
the earliest stages. There is an urgent need to identify different biomarkers
with a high accuracy for the early detection of this cancer to facilitate
clinical management of the disease. A wide number of substances named serum tumor
markers can be detected in the serum of patients with breast cancer, including
tumor-associated proteins, cytokines, stimulating or inhibiting factors,
autoantibodies to antigen tumor-associated substances and miRNAs. Despite ASCO
and NACB recommendations, the routine use of breast cancer tumor markers by a
significant proportion of oncologists is common, particularly after primary
treatment of early tumors. The new promising circulating markers are HER2/neu,
Trx 1, CSF1, autoantibodies against these tumor-associated antigens, and miRNAs,
which are non-coding RNA molecules that regulate the translation of mRNA and
control a number of biological processes, including oncogenic cells
proliferation. The expression of single miRNA results in a miRNA signature, and
is considered a potential biomarker for early breast cancer. However, additional
studies are needed to identify its real usefulness.
PMID- 26567616
TI - Adjuvant Treatment of Early Breast Cancer in the Elderly.
AB - Breast cancer is common in the elderly, as more than 50% of these tumors are
diagnosed in patients aged 65 years or older. Elderly women may also delay
reporting or underreport to their physician suspicious symptoms and lesions, so
that breast cancer is more likely to be diagnosed at a more advanced stage, with
putatively inferior outcomes. Adjuvant hormonal therapy has clear benefits for
all women with hormone receptor-positive early breast cancer, despite the fact
that it is still under-prescribed in elderly women, but the benefits of tamoxifen
are more evident than that observed in younger patients. Aromatase inhibitors
significantly prolong disease-free survival, reducing the risk of metastases and
contralateral cancer compared with tamoxifen, and these benefits are greater in
women aged >=65 years. However, in case of a history of pathological fractures,
arthritis or chronic musculoskeletal pain syndromes, tamoxifen still represents
the preferred adjuvant option. In patients with a high risk of recurrence with
hormonal therapy alone, the cardiac toxicity of nonanthracycline regimens should
be taken into account. Trastuzumab-based therapy should be offered to most
patients with HER2-overexpressing tumors. Older patients have an increased risk
of disease recurrence and cancer-related mortality, because they are usually
undertreated due to their age and longevity. Thus, a multidisciplinary geriatric
approach is required, but the optimal management of these patients is still not
well defined.
PMID- 26567617
TI - Sentinel Node Biopsy in Early Breast Cancer.
AB - The approach to the axilla is an evolving paradigm, and recognition of the
complexity of breast cancer (BC) biology is changing treatment options. The
sentinel lymph node biopsy (SLNB) technique is based on the excision and
histological examination of the axillary lymph nodes(s), which is assumed to be
the first one draining from the primary tumor. SLNB can accurately stage the
axilla, and several trials have shown that there are no significant differences
in local recurrence and overall survival between patients treated with or without
axillary node dissection (ALND) after a negative SLNB. Surgical morbidity was
significantly reduced in terms of rates of lymphedema and neuropathy, with
reduced hospital stay and better quality of life after the SLNB procedure. ALND
can safely be omitted in patients with >=2 positive nodes who received
conservative surgery and radiotherapy, while ALND is still recommended in
clinically N1 BCs, in case of >=3 positive nodes, and when the number of positive
nodes would be crucial for the choice of chemotherapy. Micrometastatic disease
can be safely managed with SLNB alone, and additional identification of
micrometastases with immunohistochemistry does not affect disease-free survival
or overall survival. An appropriate management of the axilla is crucial for the
outcome of patients with early BC, and SLNB introduction into the clinical
practice dramatically changed the surgical treatment, reducing morbidity without
decreasing survival. A tailored approach should be suggested in each patient with
BC, considering the biology of the tumor rather than nodal involvement.
PMID- 26567618
TI - Advances in the Treatment of Triple-negative Early Breast Cancer.
AB - Triple-negative breast cancer represents approximately 10-20% of all breast
cancers and is associated with worse prognosis than other subtypes, with a higher
risk of recurrence and death than other breast cancer types. This cancer is
considered a heterogeneous disease comprising a spectrum of cancers with distinct
activated biological pathways, various levels of chemosensitivity and different
propensity for metastasis. Currently, chemotherapy represents the mainstay of
medical treatment of these patients, because of the absence of well-defined
molecular target agent, and we cannot use investigational classifications to
determine appropriate systemic therapy outside of clinical trials. The specific
adjuvant chemotherapy that may be most effective is still being determined but
there is general consensus that regimens containing anthracyclines and taxanes
are the standard approach for patient after surgery. Unfortunately, although some
patients respond to treatment, other women have a high degree of intrinsic
resistance to the same therapy. Moreover, in some studies, the pathological
complete response was significantly higher in women treated with platinum-based
regimen with respect to those treated with other chemotherapy regimen. The
systematic evaluation of the predictive value of genomic alterations is
critically important for a better comprehension of this entity and to develop new
effective therapeutic strategies. In the future, a personalized therapeutic
approach based on biology-oriented characteristics and molecular profiling may be
effective for the patients.
PMID- 26567614
TI - Emerging targets in cancer immunotherapy: beyond CTLA-4 and PD-1.
AB - Manipulation of co-stimulatory or co-inhibitory checkpoint proteins allows for
the reversal of tumor-induced T-cell anergy observed in cancer. The field has
gained credence given success with CTLA-4 and PD-1 inhibitors. These molecules
include immunoglobulin family members and the B7 subfamily as well as the TNF
receptor family members. PD-L1 inhibitors and LAG-3 inhibitors have progressed
through clinical trials. Other B7 family members have shown promise in
preclinical models. TNFR superfamily members have shown variable success in
preclinical and clinical studies. As clinical investigation in tumor immunology
gains momentum, the next stage becomes learning how to combine checkpoint
inhibitors and agonists with each other as well as with traditional
chemotherapeutic agents.
PMID- 26567619
TI - Synthesis of 4-piperidone Based Curcuminoids with Anti-inflammatory and Anti
Proliferation Potential in Human Cancer Cell Lines.
AB - A series of 4-piperidone based curcuminoids were synthesized and anticancer
potential of these compounds was evaluated against human myeloid leukemia (KBM5)
and colon cancer (HCT116) cell lines. Their anti-inflammatory potential was
determined through the down-regulation of tumor necrosis factor (TNF)-alpha
induced nuclear factor (NF)-kappaB. All compounds, except one, were found to
exhibit better cytotoxicity than curcumin at 5 MUM. Furthermore, many compounds
have shown good potential to inhibit the TNF-alpha-induced NF-kappaB activation.
Docking study of the compounds with NF-kappaB revealed that the binding affinity
of the compounds ranged from -9.0 to -6.5 kcal/mol with 0-8 H-bonds. It was also
observed that amido-ether based mono-carbonyl compounds bound around the same
region of NF-kappaB where polynucleotides are known to bind to exhibit their
activity.
PMID- 26567620
TI - Current Status of Epigenetics and Anticancer Drug Discovery.
AB - In recent years, there has been an expansion of the understanding of how
epigenetic dysregulation plays a role in tumorigenesis, progression, metastasis
and treatment resistance. Evidence has focused on two common and well-studied
"epigenetic codes", i.e., DNA methylation and histone posttranslational
modification, which regulate the transcriptional status in various types of
cancer and the corresponding target agents. Aside from "writers" and "erasers",
which refer to enzymes that catalyze and remove posttranslational modifications,
respectively, "readers" bind to target proteins and recruit "writers" and
"erasers" for regulating gene expression. A number of selective and potent
anticancer compounds have been reported, some of which are in preclinical or
clinical trials that have shown promising results, primarily against malignant
neoplasms such as hematologic malignancies, with the subsequent emerging
development of both monotherapy and co-administration with traditional cytotoxic
medicines against solid tumors. Second-generation epigenetic agents such as EZH2
and BET inhibitors have greatly progressed. Epigenetic dysregulation has also
provided feasibility for the diagnosis and treatment of cancer. In this review,
we summarize the progress in epigenetics and drug discovery for cancer and
certain clinical trials that may provide a perspective for future development.
PMID- 26567621
TI - Long Noncoding RNA C21orF96 Promotes the Migration, Invasion and Lymph Node
Metastasis in Gastric Cancer.
AB - Lymphatic metastasis is a primary cause of gastric cancer-related death, yet
factors governing tumor cell lymphatic metastasis have not been fully elucidated.
Little is known about the contributions of long noncoding RNAs (lncRNAs) to
lymphatic metastasis in gastric cancer. Differentially expressional lncRNAs
between metastatic lymph node tissues and normal lymph node tissues were
identified and validated by microarray and quantitative real-time polymerase
chain reaction (qRT-PCR), respectively. Our results found that the expression
level of C21orF96 was over-expressed in positive lymph node tissues and gastric
cancer tissues. We evaluated the altered expressions of C21orF96 in gastric
cancer tissues comparing to adjacent normal specimens, and their association with
clinicopathological factors. We showed that the expression levels of C21orF96
were associated with gross appearance, lymphatic metastasis and distal
metastasis. The effect of C21orF96 was assessed by over-expressing the lncRNA. We
also found that C21orF96 promoted the tubular formation, migration and invasion.
Together, our results suggest that C21orF96 is an oncogenic lncRNA that promotes
tumor progression and plays a pivotal role in the development of gastric cancer.
PMID- 26567622
TI - Determination of the Antiproliferative Activity of New Theobromine Derivatives
and Evaluation of Their In Vitro Hepatotoxic Effects.
AB - A new series of N-substituted 1-benzyltheobromine-8-thioacetamides were designed
and synthesized. Their anti-proliferative activity against human chronic
myelocytic leukemia cell K562, human T-cell leukemia cell SKW-3 and human acute
myeloid leukemia HL-60 was evaluated. For the tested compounds a
concentrationdependent cytotoxic activity was observed, with 7g outlined as the
most active compound within the series. The targed compounds were obtained in
yields of 56 to 85% and their structures were elucidated by FTIR, (1)H NMR, (13)C
NMR and microanalyses. The compounds purity was proven by elemental analysis and
spectral data. In general, the compounds showed low hepatotoxicity on sub
cellular and cellular level. On isolated rat microsomes only 7d showed toxic
effect while theobromine, 1-benzyl-theobromine-thioacetic acid (BTTA) and the
other new theobromine derivatives were devoid of toxicity. In isolated rat
hepatocytes, when compared to theobromine and BTTA, 7f showed lower cytotoxic
effects, and 7d exerted higher cytotoxicity. The results indicate 7g as a
promising structure for the design of future compounds with low hepatotoxicity
and good antiproliferative activity.
PMID- 26567623
TI - Chemical Structure Characteristics and Bioactivity of Small Molecule FAK
Inhibitors.
AB - Focal adhesion kinase (FAK) is a non-receptor tryosine kinase that plays a vital
role in tumor cell survival, proliferation and migration. It has been shown that
inhibiting the activity of FAK may result in impeding tumor cells invasion and
growth. There are several small molecule FAK inhibitors which have been developed
with outstanding FAK inhibitory activity. And most of the small molecules are
nitrogen-containing aromatic compounds. This review describes the chemical
structure characteristics and activity of current small molecule FAK inhibitors
that aims to serve as a future reference for the development of potential FAK
inhibitors.
PMID- 26567624
TI - Nanoliposome is a Promising Carrier of Protein and Peptide Biomolecule for the
Treatment of Cancer.
AB - Nano-liposomes are the newly developed delivery systems for cancer therapy that
are finding a position particularly suitable as peptide and protein carriers.
These are three-layered self-assembled structures with nanoparticulate carrier
systems. The overall pharmacological properties of commonly used protein and
peptide in cancer therapy can be improved by the incorporation of protein and
peptide into the nano-liposome. The surface modifications can be made liposomes
to make compatible with targeting ligands has made these nanocarriers for
targeted delivery. This review discusses the method of preparation and
characterization of liposome based protein peptide delivery for the treatment of
cancer. This review also explores latest work intended for targeted treatment of
cancer by nano-liposomal protein and peptide delivery system. This type of
delivery is targeting protein and peptide to tumor site by avoiding the
reticuloendothelial system. Methods of nano-liposome delivery containing protein
and peptide are also highlighted.
PMID- 26567625
TI - Synthesis and Pharmacological Evaluation of Maleopimaric N-arylimides:
Identification of Novel Proapoptotic Agents.
AB - Several N-aryl maleopimaric acid diimides (3a-3d, 4a-4g) were synthesized and
evaluated their topoisomerase I inhibitory activities along with cytotoxicities
against NCI, MGC-803, Bel-7404 and Hct-116 cell lines. The pharmacological dates
revealed that most of structure analogs exhibited moderate to high levels of
anticancer activities against the tested cancer cell lines. Compound 4g with
phenylalanine substituent exhibited significant cytotoxicity against MGC-803 and
Hct-116 cells (IC50 was 9.85+/-1.24 and 8.47+/-0.95 uM, respectively). All the
synthesized compounds exhibited no cytotoxicity against HUVEC cells. In addition,
maleopimaric diimides showed stronger cytotoxicity and topoisomerase I inhibitory
activity compared to that of maleopimaric acid. Structure-activity relationship
study showed that carboxyl and diimide moieties were important to display Topo I
inhibitory activities. Further experiments proved that 4g could induce apoptosis
of MGC-803 cells. In addition, the further mechanisms of compound 4g-induced
apoptosis in MGC-803 cells demonstrated that compound 4g induced the activations
of caspase-4, caspase-8 and caspase-3 for causing cell apoptosis, and altered
antiand pro-apoptotic proteins. Moreover, cell cycle analysis indicated that the
derivative 4g mainly arrested MGC-803 cells in S stage.
PMID- 26567626
TI - Plasmon-Exciton Interactions Probed Using Spatial Coentrapment of Nanoparticles
by Topological Singularities.
AB - We study plasmon-exciton interaction by using topological singularities to
spatially confine, selectively deliver, cotrap and optically probe colloidal
semiconductor and plasmonic nanoparticles. The interaction is monitored in a
single quantum system in the bulk of a liquid crystal medium where nanoparticles
are manipulated and nanoconfined far from dielectric interfaces using laser
tweezers and topological configurations containing singularities. When quantum
dot-in-a-rod particles are spatially colocated with a plasmonic gold nanoburst
particle in a topological singularity core, its fluorescence increases because
blinking is significantly suppressed and the radiative decay rate increases by
nearly an order of magnitude owing to the Purcell effect. We argue that the
blinking suppression is the result of the radiative rate change that mitigates
Auger recombination and quantum dot ionization, consequently reducing
nonradiative recombination. Our work demonstrates that topological singularities
are an effective platform for studying and controlling plasmon-exciton
interactions.
PMID- 26567627
TI - Defining Research Priorities for Nutrition and Mental Health: Insights from
Dietetics Practice.
AB - In 2014, a national initiative aimed at defining a research agenda for nutrition
and mental health among diverse stakeholders was completed and included insights
from more than 300 registered dietitians. This study explores the data from
dietitians based on their years of practice, mental health experiences, and
community of practice in relationship to identified mental health and nutrition
research priorities. Analysis of numerical data (n = 299) and content analysis of
open-ended responses (n = 269) revealed that respondents desired research for
specific mental health conditions (MHCs), emotional eating, food addiction,
populations with special needs, and people encountering major life transitions
(e.g., recovery from abuse, refugees). Findings from the quantitative and textual
data suggested that dietitians want research aimed at addressing the concerns of
those in the community, fostering consumer nutrition knowledge and skill
acquisition, and developing services that will impact quality of life. Subgroup
analysis indicated that dietitians: (i) in early years of practice want
information about specific MHCs; (ii) living in smaller towns and rural areas
want data about the cost benefits of dietetics practice in mental health; and
(iii) who also had additional stakeholder roles (e.g., service provider) selected
priorities that address gaps in mental health services. This study highlights
opportunities to tailor nutrition and mental health research that advance
dietetics practice.
PMID- 26567628
TI - The effect of meta coupling on colour purity, quantum yield, and exciton
utilizing efficiency in deep-blue emitters from phenanthroimidazole isomers.
AB - meta-Coupling isomers usually exhibit bluer emission than do the para-isomers,
but the loss of efficiency with respect to photoluminescence (PL) and
electroluminescence (EL) is an inevitable result in most cases, particularly for
deep blue emitters. In this study, three blue emitting isomers, 4,4'-bis(1-phenyl
phenanthro[9,10-d]imidazol-2-yl)biphenyl (BPPI), 3,4'-bis(1-phenyl
phenanthro[9,10-d]-imidazol-2-yl)biphenyl (L-BPPI) and 3,3'-bis(1-phenyl
phenanthro[9,10-d]-imidazol-2-yl)biphenyl (Z-BPPI), were chosen as model
compounds to investigate the essential reason behind the meta-coupling effect due
to their different coupling forms, viz. para-para, para-meta, and meta-meta,
respectively, in similar dimeric phenanthroimidazole frameworks. A combination of
detailed photophysical data, device performance and DFT calculations for the
excited state provided valuable information. In particular, the relationship
between certain key parameters in calculations as well as PL or EL properties was
confirmed, such as oscillator strength and quantum yield, among others, which
could effectively reduce the issues related to synthesis and characterisation
using prior computer simulations. Good agreement was observed in the results
obtained from calculation and experiments, and it was concluded that meta-tuning
barely realised improvement in EL, unless some special excited states formed or
an exciton conversion channel appeared, as in the case of reverse intersystem
crossing.
PMID- 26567629
TI - Chiral N-heterocyclic carbene/Lewis acid cooperative catalysis of the reaction of
2-aroylvinylcinnamaldehydes: a switch of the reaction pathway by Lewis acid
activation.
AB - The chiral N-heterocyclic carbene/Lewis acid co-catalyzed reaction of 2
aroylvinylcinnamaldehydes produced good yields of indeno[1,2-c]furan-1-one
derivatives with excellent enantioselectivity and diastereoselectivity. A switch
of intramolecular cyclization to intermolecular dimerization was achieved by the
cooperative catalysis of chiral triazole carbene and Ti(OPr-i)4 catalysts.
PMID- 26567631
TI - Understanding the effect of ultrathin AuPd alloy shells of irregularly shaped
Au@AuPd nanoparticles with high-index facets on enhanced performance of ethanol
oxidation.
AB - In this study, irregularly shaped, concave cuboidal Au@AuPd nanoparticles (ISCC
Au@AuPd NPs) with high-index facets were synthesized via Pd overgrowth on pre
formed ISCC-Au NPs with a concentration of Pd precursors as low as 2%. The AuPd
alloy nature of the resulting shells was confirmed by X-ray photoelectron
spectroscopy, cyclic voltammogram analysis, and energy dispersive X-ray
spectroscopy. Among the irregularly shaped NPs obtained, the ISCC
Au97.5@Au0.5Pd2.0 NPs display the largest electrochemically active surface area
(up to 92.11 m(2) g(-1)), as their closed-packed agglomeration was prevented, and
the best long-term stability with respect to ethanol oxidation (0.50 M) in
alkaline media (0.30 KOH) by efficiently removing intermediates. Their mass- and
ECSA-normalized current densities (4.15 A mgPd(-1) and 4.51 mA cm(-2)) are about
20.7 times and 6.9 times higher than those of commercial Pd/C catalysts (0.20 A
mgPd(-1) and 0.65 mA cm(-2)), respectively.
PMID- 26567630
TI - Role of YAP and TAZ in pancreatic ductal adenocarcinoma and in stellate cells
associated with cancer and chronic pancreatitis.
AB - Pancreatic ductal adenocarcinoma (PDAC) is characterized by a fibrotic and
inflammatory microenvironment that is formed primarily by activated,
myofibroblast-like, stellate cells. Although the stellate cells are thought to
contribute to tumorigenesis, metastasis and drug resistance of PDAC, the
signaling events involved in activation of the stellate cells are not well
defined. Functioning as transcription co-factors, Yes-associated protein (YAP)
and its homolog transcriptional co-activator with PDZ-binding motif (TAZ)
modulate the expression of genes involved in various aspects of cellular
functions, such as proliferation and mobility. Using human tissues we show that
YAP and TAZ expression is restricted to the centroacinar and ductal cells of
normal pancreas, but is elevated in cancer cells. In particular, YAP and TAZ are
expressed at high levels in the activated stellate cells of both chronic
pancreatitis and PDAC patients as well as in the islets of Langerhans in chronic
pancreatitis tissues. Of note, YAP is up regulated in both acinar and ductal
cells following induction of acute and chronic pancreatitis in mice. These
findings indicate that YAP and TAZ may play a critical role in modulating
pancreatic tissue regeneration, neoplastic transformation, and stellate cell
functions in both PDAC and pancreatitis.
PMID- 26567632
TI - Preparation and characterization of fast dissolving pullulan films containing BCS
class II drug nanoparticles for bioavailability enhancement.
AB - The aim of this study is to assess pullulan as a novel steric stabilizer during
the wet-stirred media milling (WSMM) of griseofulvin, a model poorly water
soluble drug, and as a film-former in the preparation of strip films via casting
drying the wet-milled drug suspensions for dissolution and bioavailability
enhancement. To this end, pullulan films, with xanthan gum (XG) as thickening
agent and glycerin as plasticizer, were loaded with griseofulvin nanoparticles
prepared by WSMM using pullulan in combination with sodium dodecyl sulfate (SDS)
as an ionic stabilizer. The effects of drug loading and milling time on the
particle size and suspension stability were investigated, as well as XG
concentration and casting thickness on film properties and dissolution rate. The
nanosuspensions prepared with pullulan-SDS combination were relatively stable
over 7 days; hence, this combination was used for the film preparation. All
pullulan-based strip films exhibited excellent content uniformity (most <3% RSD)
despite containing only 0.3-1.3 mg drug, which was ensured by the use of
precursor suspensions with >5000 cP viscosity. USP IV dissolution tests revealed
fast/immediate drug release (t80 < 30 min) from films <120 MUm thick. Thinner
films, films with lower XG loading, or smaller drug particles led to faster drug
dissolution, while drug loading had no discernible effect. Overall, these results
suggest that pullulan may serve as an acceptable stabilizer for media milling in
combination with surfactant as well as a fast-dissolving film former for the fast
release of poorly water-soluble drug nanoparticles.
PMID- 26567633
TI - Kinetic Analysis for the Multistep Profiles of Organic Reactions: Significance of
the Conformational Entropy on the Rate Constants of the Claisen Rearrangement.
AB - The significance of kinetic analysis as a tool for understanding the reactivity
and selectivity of organic reactions has recently been recognized. However,
conventional simulation approaches that solve rate equations numerically are not
amenable to multistep reaction profiles consisting of fast and slow elementary
steps. Herein, we present an efficient and robust approach for evaluating the
overall rate constants of multistep reactions via the recursive contraction of
the rate equations to give the overall rate constants for the products and
byproducts. This new method was applied to the Claisen rearrangement of allyl
vinyl ether, as well as a substituted allyl vinyl ether. Notably, the profiles of
these reactions contained 23 and 84 local minima, and 66 and 278 transition
states, respectively. The overall rate constant for the Claisen rearrangement of
allyl vinyl ether was consistent with the experimental value. The selectivity of
the Claisen rearrangement reaction has also been assessed using a substituted
allyl vinyl ether. The results of this study showed that the conformational
entropy in these flexible chain molecules had a substantial impact on the overall
rate constants. This new method could therefore be used to estimate the overall
rate constants of various other organic reactions involving flexible molecules.
PMID- 26567634
TI - Complexes of ambiphilic ligands: reactivity and catalytic applications.
AB - Since the mid 2000's, the incorporation of Lewis acid moieties in ligands for
transition metals has been studied extensively. So-called ambiphilic ligands were
shown to possess rich and unusual coordination properties and special focus was
given to the coordination of Lewis acids as sigma-acceptor ligands (concept of Z
type ligands). Recent studies have demonstrated that the presence of Lewis acids
at or nearby transition metals can also strongly impact their reactivity. These
results are surveyed in this review. The stoichiometric transformations and
catalytic applications of complexes deriving from ambiphilic ligands are
presented. The different roles the Lewis acid can play are discussed.
PMID- 26567635
TI - Communication: Three-electron coalescence points in two and three dimensions.
AB - The form of the wave function at three-electron coalescence points is examined
for several spin states using an alternative method to the usual Fock expansion.
We find that, in two- and three-dimensional systems, the non-analytical nature of
the wave function is characterized by the appearance of logarithmic terms,
reminiscent of those that appear as both electrons approach the nucleus of the
helium atom. The explicit form of these singularities is given in terms of the
interelectronic distances for a doublet and two quartet states of three electrons
in a harmonic well.
PMID- 26567636
TI - Communication: Slow supramolecular mode in amine and thiol derivatives of 2-ethyl
1-hexanol revealed by combined dielectric and shear-mechanical studies.
AB - In this paper, we present results of dielectric and shear-mechanical studies for
amine (2-ethyl-1-hexylamine) and thiol (2-ethyl-1-hexanethiol) derivatives of the
monohydroxy alcohol, 2-ethyl-1-hexanol. The amine and thiol can form hydrogen
bonds weaker in strength than those of the alcohol. The combination of dielectric
and shear-mechanical data enables us to reveal the presence of a relaxation mode
slower than the alpha-relaxation. This mode is analogous to the Debye mode seen
in monohydroxy alcohols and demonstrates that supramolecular structures are
present for systems with lower hydrogen bonding strength. We report some key
features accompanying the decrease in the strength of the hydrogen bonding
interactions on the relaxation dynamics close to the glass-transition. This
includes changes (i) in the amplitude of the Debye and alpha-relaxations and (ii)
the separation between primary and secondary modes.
PMID- 26567637
TI - Communication: X-ray absorption spectra and core-ionization potentials within a
core-valence separated coupled cluster framework.
AB - We present a simple scheme to compute X-ray absorption spectra (e.g., near-edge
absorption fine structure) and core ionisation energies within coupled cluster
linear response theory. The approach exploits the so-called core-valence
separation to effectively reduce the excitation space to processes involving at
least one core orbital, and it can be easily implemented within any pre-existing
coupled cluster code for low energy states. We further develop a perturbation
correction that incorporates the effect of the excluded part of the excitation
space. The correction is shown to be highly accurate. Test results are presented
for a set of molecular systems for which well converged results in full space
could be generated at the coupled cluster singles and doubles level of theory
only, but the scheme is straightforwardly generalizable to all members of the
coupled cluster hierarchy of approximations, including CC3.
PMID- 26567638
TI - Communication: Fragment-based Hamiltonian model of electronic charge-excitation
gaps and gap closure.
AB - Capturing key electronic properties such as charge excitation gaps within models
at or above the atomic scale presents an ongoing challenge to understanding
molecular, nanoscale, and condensed phase systems. One strategy is to describe
the system in terms of properties of interacting material fragments, but it is
unclear how to accomplish this for charge-excitation and charge-transfer
phenomena. Hamiltonian models such as the Hubbard model provide formal frameworks
for analyzing gap properties but are couched purely in terms of states of
electrons, rather than the states of the fragments at the scale of interest. The
recently introduced Fragment Hamiltonian (FH) model uses fragments in different
charge states as its building blocks, enabling a uniform, quantum-mechanical
treatment that captures the charge-excitation gap. These gaps are preserved in
terms of inter-fragment charge-transfer hopping integrals T and on-fragment
parameters U((FH)). The FH model generalizes the standard Hubbard model (a single
intra-band hopping integral t and on-site repulsion U) from quantum states for
electrons to quantum states for fragments. We demonstrate that even for simple
two-fragment and multi-fragment systems, gap closure is enabled once T exceeds
the threshold set by U((FH)), thus providing new insight into the nature of metal
insulator transitions. This result is in contrast to the standard Hubbard model
for 1d rings, for which Lieb and Wu proved that gap closure was impossible,
regardless of the choices for t and U.
PMID- 26567639
TI - Communication: Dynamical density functional theory for dense suspensions of
colloidal hard spheres.
AB - We study structural relaxation of colloidal hard spheres undergoing Brownian
motion using dynamical density functional theory. Contrary to the partial
linearization route [D. Stopper et al., Phys. Rev. E 92, 022151 (2015)] which
amounts to using different free energy functionals for the self and distinct part
of the van Hove function G(r, t), we put forward a unified description employing
a single functional for both components. To this end, interactions within the
self part are removed via the zero-dimensional limit of the functional with a
quenched self component. In addition, we make use of a theoretical result for the
long-time mobility in hard-sphere suspensions, which we adapt to the
inhomogeneous fluid. Our results for G(r, t) are in excellent agreement with
numerical simulations even in the dense liquid phase. In particular, our theory
accurately yields the crossover from free diffusion at short times to the slower
long-time diffusion in a crowded environment.
PMID- 26567640
TI - Crystal structure optimisation using an auxiliary equation of state.
AB - Standard procedures for local crystal-structure optimisation involve numerous
energy and force calculations. It is common to calculate an energy-volume curve,
fitting an equation of state around the equilibrium cell volume. This is a
computationally intensive process, in particular, for low-symmetry crystal
structures where each isochoric optimisation involves energy minimisation over
many degrees of freedom. Such procedures can be prohibitive for non-local
exchange-correlation functionals or other "beyond" density functional theory
electronic structure techniques, particularly where analytical gradients are not
available. We present a simple approach for efficient optimisation of crystal
structures based on a known equation of state. The equilibrium volume can be
predicted from one single-point calculation and refined with successive
calculations if required. The approach is validated for PbS, PbTe, ZnS, and ZnTe
using nine density functionals and applied to the quaternary semiconductor
Cu2ZnSnS4 and the magnetic metal-organic framework HKUST-1.
PMID- 26567641
TI - On a simple way to calculate electronic resonances for polyatomic molecules.
AB - We propose a simple method for calculation of low-lying shape electronic
resonances of polyatomic molecules. The method introduces a perturbation
potential and requires only routine bound-state type calculations in the real
domain of energies. Such a calculation is accessible by most of the free or
commercial quantum chemistry software. The presented method is based on the
analytical continuation in a coupling constant model, but unlike its previous
variants, we experience a very stable and robust behavior for higher-order
extrapolation functions. Moreover, the present approach is independent of the
correlation treatment used in quantum many-electron computations and therefore we
are able to apply Coupled Clusters (CCSD-T) level of the correlation model. We
demonstrate these properties on determination of the resonance position and width
of the (2)Piu temporary negative ion state of diacetylene using CCSD-T level of
theory.
PMID- 26567642
TI - Dissipation in noisy chemical networks: The role of deficiency.
AB - We study the effect of intrinsic noise on the thermodynamic balance of complex
chemical networks subtending cellular metabolism and gene regulation. A
topological network property called deficiency, known to determine the
possibility of complex behavior such as multistability and oscillations, is shown
to also characterize the entropic balance. In particular, when deficiency is zero
the average stochastic dissipation rate equals that of the corresponding
deterministic model, where correlations are disregarded. In fact, dissipation can
be reduced by the effect of noise, as occurs in a toy model of metabolism that we
employ to illustrate our findings. This phenomenon highlights that there is a
close interplay between deficiency and the activation of new dissipative pathways
at low molecule numbers.
PMID- 26567643
TI - A chain-of-states acceleration method for the efficient location of minimum
energy paths.
AB - We describe a robust and efficient chain-of-states method for computing Minimum
Energy Paths (MEPs) associated to barrier-crossing events in poly-atomic systems,
which we call the acceleration method. The path is parametrized in terms of a
continuous variable t ? [0, 1] that plays the role of time. In contrast to
previous chain-of-states algorithms such as the nudged elastic band or string
methods, where the positions of the states in the chain are taken as variational
parameters in the search for the MEP, our strategy is to formulate the problem in
terms of the second derivatives of the coordinates with respect to t, i.e., the
state accelerations. We show this to result in a very simple and efficient method
for determining the MEP. We describe the application of the method to a series of
test cases, including two low-dimensional problems and the Stone-Wales
transformation in C60.
PMID- 26567644
TI - A fast exact simulation method for a class of Markov jump processes.
AB - A new method of the stochastic simulation algorithm (SSA), named the Hashing
Leaping method (HLM), for exact simulations of a class of Markov jump processes,
is presented in this paper. The HLM has a conditional constant computational cost
per event, which is independent of the number of exponential clocks in the Markov
process. The main idea of the HLM is to repeatedly implement a hash-table-like
bucket sort algorithm for all times of occurrence covered by a time step with
length tau. This paper serves as an introduction to this new SSA method. We
introduce the method, demonstrate its implementation, analyze its properties, and
compare its performance with three other commonly used SSA methods in four
examples. Our performance tests and CPU operation statistics show certain
advantages of the HLM for large scale problems.
PMID- 26567645
TI - Ground-state densities from the Rayleigh-Ritz variation principle and from
density-functional theory.
AB - The relationship between the densities of ground-state wave functions (i.e., the
minimizers of the Rayleigh-Ritz variation principle) and the ground-state
densities in density-functional theory (i.e., the minimizers of the Hohenberg
Kohn variation principle) is studied within the framework of convex conjugation,
in a generic setting covering molecular systems, solid-state systems, and more.
Having introduced admissible density functionals as functionals that produce the
exact ground-state energy for a given external potential by minimizing over
densities in the Hohenberg-Kohn variation principle, necessary and sufficient
conditions on such functionals are established to ensure that the Rayleigh-Ritz
ground-state densities and the Hohenberg-Kohn ground-state densities are
identical. We apply the results to molecular systems in the Born-Oppenheimer
approximation. For any given potential v ? L(3/2)(R(3)) + L(infinity)(R(3)), we
establish a one-to-one correspondence between the mixed ground-state densities of
the Rayleigh-Ritz variation principle and the mixed ground-state densities of the
Hohenberg-Kohn variation principle when the Lieb density-matrix constrained
search universal density functional is taken as the admissible functional. A
similar one-to-one correspondence is established between the pure ground-state
densities of the Rayleigh-Ritz variation principle and the pure ground-state
densities obtained using the Hohenberg-Kohn variation principle with the Levy
Lieb pure-state constrained-search functional. In other words, all physical
ground-state densities (pure or mixed) are recovered with these functionals and
no false densities (i.e., minimizing densities that are not physical) exist. The
importance of topology (i.e., choice of Banach space of densities and potentials)
is emphasized and illustrated. The relevance of these results for current-density
functional theory is examined.
PMID- 26567646
TI - Implementation and benchmark of a long-range corrected functional in the density
functional based tight-binding method.
AB - Bridging the gap between first principles methods and empirical schemes, the
density functional based tight-binding method (DFTB) has become a versatile tool
in predictive atomistic simulations over the past years. One of the major
restrictions of this method is the limitation to local or gradient corrected
exchange-correlation functionals. This excludes the important class of hybrid or
long-range corrected functionals, which are advantageous in thermochemistry, as
well as in the computation of vibrational, photoelectron, and optical spectra.
The present work provides a detailed account of the implementation of DFTB for a
long-range corrected functional in generalized Kohn-Sham theory. We apply the
method to a set of organic molecules and compare ionization potentials and
electron affinities with the original DFTB method and higher level theory. The
new scheme cures the significant overpolarization in electric fields found for
local DFTB, which parallels the functional dependence in first principles density
functional theory (DFT). At the same time, the computational savings with respect
to full DFT calculations are not compromised as evidenced by numerical benchmark
data.
PMID- 26567647
TI - Nonparametric variational optimization of reaction coordinates.
AB - State of the art realistic simulations of complex atomic processes commonly
produce trajectories of large size, making the development of automated analysis
tools very important. A popular approach aimed at extracting dynamical
information consists of projecting these trajectories into optimally selected
reaction coordinates or collective variables. For equilibrium dynamics between
any two boundary states, the committor function also known as the folding
probability in protein folding studies is often considered as the optimal
coordinate. To determine it, one selects a functional form with many parameters
and trains it on the trajectories using various criteria. A major problem with
such an approach is that a poor initial choice of the functional form may lead to
sub-optimal results. Here, we describe an approach which allows one to optimize
the reaction coordinate without selecting its functional form and thus avoiding
this source of error.
PMID- 26567648
TI - Dynamical effects in electron spectroscopy.
AB - One of the big challenges of theoretical condensed-matter physics is the
description, understanding, and prediction of the effects of the Coulomb
interaction on materials properties. In electronic spectra, the Coulomb
interaction causes a renormalization of energies and change of spectral weight.
Most importantly, it can lead to new structures, often called satellites. These
can be linked to the coupling of excitations, also termed dynamical effects.
State-of-the-art methods in the framework of many-body perturbation theory, in
particular, the widely used GW approximation, often fail to describe satellite
spectra. Instead, approaches based on a picture of electron-boson coupling such
as the cumulant expansion are promising for the description of plasmon
satellites. In this work, we give a unified derivation of the GW approximation
and the cumulant expansion for the one-body Green's function. Using the example
of bulk sodium, we compare the resulting spectral functions both in the valence
and in the core region, and we discuss the dispersion of quasi-particles and
satellites. We show that self-consistency is crucial to obtain meaningful
results, in particular, at large binding energies. Very good agreement with
experiment is obtained when the intrinsic spectral function is corrected for
extrinsic and interference effects. Finally, we sketch how one can approach the
problem in the case of the two-body Green's function, and we discuss the
cancellation of various dynamical effects that occur in that case.
PMID- 26567649
TI - A parallel algorithm for implicit depletant simulations.
AB - We present an algorithm to simulate the many-body depletion interaction between
anisotropic colloids in an implicit way, integrating out the degrees of freedom
of the depletants, which we treat as an ideal gas. Because the depletant
particles are statistically independent and the depletion interaction is short
ranged, depletants are randomly inserted in parallel into the excluded volume
surrounding a single translated and/or rotated colloid. A configurational bias
scheme is used to enhance the acceptance rate. The method is validated and
benchmarked both on multi-core processors and graphics processing units for the
case of hard spheres, hemispheres, and discoids. With depletants, we report novel
cluster phases in which hemispheres first assemble into spheres, which then form
ordered hcp/fcc lattices. The method is significantly faster than any method
without cluster moves and that tracks depletants explicitly, for systems of
colloid packing fraction phic < 0.50, and additionally enables simulation of the
fluid-solid transition.
PMID- 26567650
TI - Quantum mechanical calculation of electric fields and vibrational Stark shifts at
active site of human aldose reductase.
AB - Recent advance in biophysics has made it possible to directly measure site
specific electric field at internal sites of proteins using molecular probes with
C = O or C=N groups in the context of vibrational Stark effect. These
measurements directly probe changes of electric field at specific protein sites
due to, e.g., mutation and are very useful in protein design. Computational
simulation of the Stark effect based on force fields such as AMBER and OPLS,
while providing good insight, shows large errors in comparison to experimental
measurement due to inherent difficulties associated with point charge based
representation of force fields. In this study, quantum mechanical calculation of
protein's internal electrostatic properties and vibrational Stark shifts was
carried out by using electrostatically embedded generalized molecular
fractionation with conjugate caps method. Quantum calculated change of mutation
induced electric field and vibrational Stark shift is reported at the internal
probing site of enzyme human aldose reductase. The quantum result is in much
better agreement with experimental data than those predicted by force fields,
underscoring the deficiency of traditional point charge models describing intra
protein electrostatic properties.
PMID- 26567651
TI - Counterintuitive electron localisation from density-functional theory with
polarisable solvent models.
AB - Exploration of the solvated electron phenomena using density-functional theory
(DFT) generally results in prediction of a localised electron within an induced
solvent cavity. However, it is well known that DFT favours highly delocalised
charges, rendering the localisation of a solvated electron unexpected. We explore
the origins of this counterintuitive behaviour using a model Kevan-structure
system. When a polarisable-continuum solvent model is included, it forces
electron localisation by introducing a strong energetic bias that favours integer
charges. This results in the formation of a large energetic barrier for charge
hopping and can cause the self-consistent field to become trapped in local minima
thus converging to stable solutions that are higher in energy than the ground
electronic state. Finally, since the bias towards integer charges is caused by
the polarisable continuum, these findings will also apply to other classical
polarisation corrections, as in combined quantum mechanics and molecular
mechanics (QM/MM) methods. The implications for systems beyond the solvated
electron, including cationic DNA bases, are discussed.
PMID- 26567652
TI - A test on reactive force fields for the study of silica dimerization reactions.
AB - We studied silica dimerization reactions in the gas and aqueous phase by density
functional theory (DFT) and reactive force fields based on two parameterizations
of ReaxFF. For each method (both ReaxFF force fields and DFT), we performed
constrained geometry optimizations, which were subsequently evaluated in single
point energy calculations using the other two methods. Standard fitting
procedures typically compare the force field energies and geometries with those
from quantum mechanical data after a geometry optimization. The initial
configurations for the force field optimization are usually the minimum energy
structures of the ab initio database. Hence, the ab initio method dictates which
structures are being examined and force field parameters are being adjusted in
order to minimize the differences with the ab initio data. As a result, this
approach will not exclude the possibility that the force field predicts stable
geometries or low transition states which are realistically very high in energy
and, therefore, never considered by the ab initio method. Our analysis reveals
the existence of such unphysical geometries even at unreactive conditions where
the distance between the reactants is large. To test the effect of these
discrepancies, we launched molecular dynamics simulations using DFT and ReaxFF
and observed spurious reactions for both ReaxFF force fields. Our results suggest
that the standard procedures for parameter fitting need to be improved by a
mutual comparative method.
PMID- 26567653
TI - Linearly scaling and almost Hamiltonian dielectric continuum molecular dynamics
simulations through fast multipole expansions.
AB - Hamiltonian Dielectric Solvent (HADES) is a recent method [S. Bauer et al., J.
Chem. Phys. 140, 104103 (2014)] which enables atomistic Hamiltonian molecular
dynamics (MD) simulations of peptides and proteins in dielectric solvent
continua. Such simulations become rapidly impractical for large proteins, because
the computational effort of HADES scales quadratically with the number N of
atoms. If one tries to achieve linear scaling by applying a fast multipole method
(FMM) to the computation of the HADES electrostatics, the Hamiltonian character
(conservation of total energy, linear, and angular momenta) may get lost. Here,
we show that the Hamiltonian character of HADES can be almost completely
preserved, if the structure-adapted fast multipole method (SAMM) as recently
redesigned by Lorenzen et al. [J. Chem. Theory Comput. 10, 3244-3259 (2014)] is
suitably extended and is chosen as the FMM module. By this extension, the
HADES/SAMM forces become exact gradients of the HADES/SAMM energy. Their
translational and rotational invariance then guarantees (within the limits of
numerical accuracy) the exact conservation of the linear and angular momenta.
Also, the total energy is essentially conserved-up to residual algorithmic noise,
which is caused by the periodically repeated SAMM interaction list updates. These
updates entail very small temporal discontinuities of the force description,
because the employed SAMM approximations represent deliberately balanced
compromises between accuracy and efficiency. The energy-gradient corrected
version of SAMM can also be applied, of course, to MD simulations of all-atom
solvent-solute systems enclosed by periodic boundary conditions. However, as we
demonstrate in passing, this choice does not offer any serious advantages.
PMID- 26567654
TI - A network of discrete events for the representation and analysis of diffusion
dynamics.
AB - We developed a coarse-grained description of the phenomenology of diffusive
processes, in terms of a space of discrete events and its representation as a
network. Once a proper classification of the discrete events underlying the
diffusive process is carried out, their transition matrix is calculated on the
basis of molecular dynamics data. This matrix can be represented as a directed,
weighted network where nodes represent discrete events, and the weight of edges
is given by the probability that one follows the other. The structure of this
network reflects dynamical properties of the process of interest in such features
as its modularity and the entropy rate of nodes. As an example of the
applicability of this conceptual framework, we discuss here the physics of
diffusion of small non-polar molecules in a microporous material, in terms of the
structure of the corresponding network of events, and explain on this basis the
diffusivity trends observed. A quantitative account of these trends is obtained
by considering the contribution of the various events to the displacement
autocorrelation function.
PMID- 26567655
TI - Solvation free-energy pressure corrections in the three dimensional reference
interaction site model.
AB - Solvation free energies are efficiently predicted by molecular density functional
theory if one corrects the overpressure introduced by the usual homogeneous
reference fluid approximation. Sergiievskyi et al. [J. Phys. Chem. Lett. 5, 1935
1942 (2014)] recently derived the rigorous compensation of this excess of
pressure (referred as "pressure correction" or PC) and proposed an empirical
"ideal gas" supplementary correction (referred as "advanced pressure correction"
or PC+) that further enhances the calculated solvation free energies. In a recent
paper [M. Misin, M. V. Fedorov, and D. S. Palmer, J. Chem. Phys. 142, 091105
(2015)], those corrections were applied to solvation free energy calculations
using the three-dimensional reference interaction site model (3D-RISM). As for
classical DFT, PC and PC+ improve greatly the predictions of 3D-RISM, but PC+ is
described as decreasing the accuracy. In this article, we derive rigorously the
expression of the pressure in 3D-RISM as well as the associated PC and PC+. This
provides a consistent way to correct the solvation free-energies calculated by 3D
RISM method.
PMID- 26567656
TI - Energetics, structure, and rovibrational spectroscopic properties of the
sulfurous anions SNO(-) and OSN(.).
AB - The SNO(-) and OSN(-) anions are shown in this work to be very stable negatively
charged species in line with other recent work [T. Trabelsi et al., J. Chem.
Phys. 143, 164301 (2015)]. Utilizing established quartic force field techniques,
the structural and rovibrational data for these anions are produced. The SNO(-)
anion is less linear and has weaker bonds than the corresponding neutral radical
giving much smaller rotational constants. OSN(-) is largely unchanged in these
regards with inclusion of the additional electron. The S-N bond is actually
stronger, and the rotational constants of OSN(-) versus OSN are similar. The
vibrational frequencies of SNO(-) are red-shifted from the radical while those in
OSN(-) are mixed. OSN(-) has mixing of the stretching modes while the S-N and N-S
stretches of SNO(-) are largely independent of one another. The omega3 stretches
are much brighter in these anions than they are in the radicals, but the omega1
stretches are still the brightest.
PMID- 26567657
TI - Photodissociation dynamics of HOD via the B ((1)A1) electronic state.
AB - Photodissociation dynamics of HOD from the B state has been studied using H/D
atom Rydberg "tagging" time-of-flight technique. Both the OD + H and OH + D
channels have been investigated. Product kinetic energy distributions, internal
state distributions of the OD/OH product, as well as the OD/OH quantum state
specific angular anisotropy parameters have been determined. Overall, the
photodissociation dynamics of HOD via the B state is qualitatively similar to
that of the H2O and D2O, with quantitative differences arising probably from the
change in masses. At different photolysis energies, similar rovibrational
distributions and state-resolved angular distributions have been observed for the
OH/OD(X) product, while remarkable differences have been observed in the
rovibrational distributions and state-resolved angular distributions of the
OH/OD(A) product.
PMID- 26567658
TI - Scattering of NH3 and ND3 with rare gas atoms at low collision energy.
AB - We present a theoretical study of elastic and rotationally inelastic collisions
of NH3 and ND3 with rare gas atoms (He, Ne, Ar, Kr, Xe) at low energy. Quantum
close-coupling calculations have been performed for energies between 0.001 and
300 cm(-1). We focus on collisions in which NH3 is initially in the upper state
of the inversion doublet with j = 1, k = 1, which is the most relevant in an
experimental context as it can be trapped electrostatically and Stark
decelerated. We discuss the presence of resonances in the elastic and inelastic
cross sections, as well as the trends in the inelastic cross sections along the
rare gas series and the differences between NH3 and ND3 as a colliding partner.
We also demonstrate the importance of explicitly taking into account the umbrella
(inversion) motion of NH3 in order to obtain accurate scattering cross sections
at low collision energy. Finally, we investigate the possibility of sympathetic
cooling of ammonia using cold or ultracold rare gas atoms. We show that some
systems exhibit a large ratio of elastic to inelastic cross sections in the cold
regime, which is promising for sympathetic cooling experiments. The close
coupling calculations are based on previously reported ab initio potential energy
surfaces for NH3-He and NH3-Ar, as well as on new, four-dimensional, potential
energy surfaces for the interaction of ammonia with Ne, Kr, and Xe, which were
computed using the coupled-cluster method and large basis sets. We compare the
properties of the potential energy surfaces corresponding to the interaction of
ammonia with the various rare gas atoms.
PMID- 26567659
TI - Metallic nanoparticles meet metadynamics.
AB - Metadynamics coupled with classical molecular dynamics has been successfully
applied to sample the configuration space of metallic and bimetallic
nanoclusters. We implement a new set of collective variables related to the pair
distance distribution function of the nanoparticle to achieve an exhaustive
isomer sampling. As paradigmatic examples, we apply our methodology to Ag147,
Pt147, and their alloy Ag(shell)Pt(core) at 2:1 and 1:1 chemical compositions.
The proposed scheme is able to reproduce the known solid-solid structural
transformation pathways, based on the Lipscomb's diamond-square-diamond
mechanisms, both in mono and bimetallic nanoparticles. A discussion of the free
energy barriers involved in these processes is provided.
PMID- 26567660
TI - Origin of the Hadzi ABC structure: An ab initio study.
AB - Medium and strong hydrogen bonds are well known to give rise to broad features in
the vibrational spectrum often spanning several hundred wavenumbers. In some
cases, these features can span over 1000 cm(-1) and even contain multiple broad
peaks. One class of strongly hydrogen-bonded dimers that includes many different
phosphinic, phosphoric, sulfinic, and selenic acid homodimers exhibits a three
peaked structure over 1500 cm(-1) broad. This unusual feature is often referred
to as the Hadzi ABC structure. The origin of this feature has been debated since
its discovery in the 1950s. Only a couple of theoretical studies have attempted
to interpret the origin of this feature; however, no previous study has been able
to reproduce this feature from first principles. Here, we present the first ab
initio calculation of the Hadzi ABC structure. Using a reduced dimensionality
calculation that includes four vibrational modes, we are able to reproduce the
three-peak structure and much of the broadness of the feature. Our results
indicate that Fermi resonances of the in-plane bend, out-of-plane bend, and
combination of these bends play significant roles in explaining this feature.
Much of the broadness of the feature and the ability of the OH stretch mode to
couple with many overtone bending modes are captured by including an
adiabatically separated dimer stretch mode in the model. This mode modulates the
distance between the monomer units and accordingly the strength of the hydrogen
bonds causing the OH stretch frequency to shift from 2000 to 3000 cm(-1). Using
this model, we were also able to reproduce the vibrational spectrum of the
deuterated isotopologue which consists of a single 500 cm(-1) broad feature.
Whereas previous empirical studies have asserted that Fermi resonances contribute
very little to this feature, our study indicates that while not appearing as a
separate peak, a Fermi resonance of the in-plane bend contributes substantially
to the feature.
PMID- 26567661
TI - Electronic spectrum of the propargyl cation (H2C3H(+)) tagged with Ne and N2.
AB - The A(1)A1 <- X(1)A1 band system of the propargyl cation (H2C3H(+)) is measured
over the 230-270 nm range by photodissociation of mass-selected H2C3H(+)-Ne and
H2C3H(+)-N2 complexes in a tandem mass spectrometer. The band origin occurs at 37
618 cm(-1) for H2C3H(+)-Ne and 37 703 cm(-1) for H2C3H(+)-N2. Ground and excited
state ab initio calculations for H2C3H(+) using the MCSCF and coupled-cluster
(CC) response methods show that the ion has C2v symmetry in the ground X(1)A1 and
excited A(1)A1 states and that the strong vibronic progression with a spacing of
630 cm(-1) is due to the C-C stretch vibrational mode, nu 5.
PMID- 26567662
TI - Photoelectron spectroscopy of hexachloroplatinate-nucleobase complexes:
Nucleobase excited state decay observed via delayed electron emission.
AB - We report low-temperature photoelectron spectra of isolated gas-phase complexes
of the hexachloroplatinate dianion bound to the nucleobases uracil, thymine,
cytosine, and adenine. The spectra display well-resolved, distinct peaks that are
consistent with complexes where the hexachloroplatinate dianion is largely
intact. Adiabatic electron detachment energies for the hexachloroplatinate
nucleobase complexes are measured as 2.26-2.36 eV. The magnitudes of the
repulsive Coulomb barriers (RCBs) of the complexes are all ~1.7 eV, values that
are lower than the RCB of the uncomplexed PtCl6 (2-) dianion as a result of
charge solvation by the nucleobases. In addition to the resolved spectral
features, broad featureless bands indicative of delayed electron detachment are
observed in the 193 nm photoelectron spectra of the four clusters. The 266 nm
spectra of the PtCl6 (2-) ? thymine and PtCl6 (2-) ? adenine complexes also
display very prominent delayed electron emission bands. These results mirror
recent results on the related Pt(CN)4 (2-) ? nucleobase complexes [A. Sen et al.,
J. Phys. Chem. B 119, 11626 (2015)]. The observation of delayed electron emission
bands in the PtCl6 (2-) ? nucleobase spectra obtained in this work, as for the
previously studied Pt(CN)4 (2-) ? nucleobase complexes, is attributed to one
photon excitation of nucleobase-centred excited states that can effectively
couple to the electron detachment continuum, producing strong electron
detachment. Moreover, the selective, strong excitation of the delayed emission
bands in the 266 nm spectra is linked to fundamental differences in the
individual nucleobase photophysics at this excitation energy. This strongly
supports our previous suggestion that the dianion within these clusters can be
viewed as a "dynamic tag" which has the propensity to emit electrons when the
attached nucleobase decays over a time scale long enough to allow autodetachment.
PMID- 26567663
TI - Multireference configuration interaction calculations of the first six ionization
potentials of the uranium atom.
AB - The first 6 ionization potentials (IPs) of the uranium atom have been calculated
using multireference configuration interaction (MRCI+Q) with extrapolations to
the complete basis set limit using new all-electron correlation consistent basis
sets. The latter was carried out with the third-order Douglas-Kroll-Hess
Hamiltonian. Correlation down through the 5s5p5d electrons has been taken into
account, as well as contributions to the IPs due to the Lamb shift. Spin-orbit
coupling contributions calculated at the 4-component Kramers restricted
configuration interaction level, as well as the Gaunt term computed at the Dirac
Hartree-Fock level, were added to the best scalar relativistic results. The final
ionization potentials are expected to be accurate to at least 5 kcal/mol (0.2 eV)
and thus more reliable than the current experimental values of IP3 through IP6.
PMID- 26567664
TI - Nucleophilic substitution with two reactive centers: The CN(-) + CH3I case.
AB - The nucleophilic substitution reaction CN(-) + CH3I allows for two possible
reactive approaches of the reactant ion onto the methyl halide, which lead to two
different product isomers. Stationary point calculations predict a similar shape
of the potential and a dominant collinear approach for both attacks. In addition,
an H-bonded pre-reaction complex is identified as a possible intermediate
structure. Submerged potential energy barriers hint at a statistical formation
process of both CNCH3 and NCCH3 isomers at the experimental collision energies.
Experimental angle- and energy differential cross sections show dominant direct
rebound dynamics and high internal excitation of the neutral product. No distinct
bimodal distributions can be extracted from the velocity images, which impedes
the indication of a specific preference towards any of the product isomers. A
forward scattering simulation based on the experimental parameters describes
accurately the experimental outcome and shows how the possibility to discriminate
between the two isomers is mainly hindered by the large product internal
excitation.
PMID- 26567665
TI - Electron- and photon-impact ionization of furfural.
AB - The He(i) photoelectron spectrum of furfural has been investigated, with its
vibrational structure assigned for the first time. The ground and excited ionized
states are assigned through ab initio calculations performed at the outer-valence
Green's function level. Triple differential cross sections (TDCSs) for electron
impact ionization of the unresolved combination of the 4a" + 21a' highest and
next-highest occupied molecular orbitals have also been obtained. Experimental
TDCSs are recorded in a combination of asymmetric coplanar and doubly symmetric
coplanar kinematics. The experimental TDCSs are compared to theoretical
calculations, obtained within a molecular 3-body distorted wave framework that
employed either an orientation average or proper TDCS average. The proper average
calculations suggest that they may resolve some of the discrepancies regarding
the angular distributions of the TDCS, when compared to calculations employing
the orbital average.
PMID- 26567666
TI - Collisional excitation of NH(X(3)Sigma(-)) by Ne: Potential energy surface,
scattering calculations, and comparison with experiments.
AB - We present a new three-dimensional potential energy surface (PES) for the
NH(X(3)Sigma(-))-Ne van der Waals system, which explicitly takes into account the
NH vibrational motion. Ab initio calculations of the NH-Ne PES were carried out
using the open-shell single- and double-excitation coupled cluster approach with
non-iterative perturbational treatment of triple excitations [RCCSD(T)]. The
augmented correlation-consistent quadruple zeta (aug-cc-pVQZ) basis set was
employed. Mid-bond functions were also included in order to improve the accuracy
in the van der Waals well. Using this new PES, we have studied the collisional
excitation of NH(X(3)Sigma(-)) by Ne. Close-coupling calculations of the
collisional excitation cross sections of the fine-structure levels of NH by Ne
are performed for energies up to 3000 cm(-1), which yield, after thermal average,
rate coefficients up to 350 K. The propensity rules between fine-structure levels
are reported, and it is found that F-conserving cross sections are larger than F
changing cross sections even if the propensity rules are not as strong as for the
NH-He system. The calculated rate coefficients are compared with available
experimental measurements at room temperature and a fairly good agreement is
found between experimental and theoretical data, confirming the good quality of
the scattering calculations and also the accuracy of the potential energy surface
used in this work.
PMID- 26567667
TI - Size and structure effects of PtN (N = 12 - 13) clusters for the oxygen reduction
reaction: First-principles calculations.
AB - Size and structure effects on the oxygen reduction reaction on PtN clusters with
N = 12-13 atoms have been investigated using periodic density functional theory
calculations with the generalized gradient approximation. To describe the
catalytic activity, we calculated the O and OH adsorption energies on the cluster
surface. The oxygen binding on the 3-fold hollow sites on stable Pt12-13 cluster
models resulted more favorable for the reaction with O, compared with the
Pt13(Ih) and Pt55(Ih) icosahedral particles, in which O binds strongly. However,
the rate-limiting step resulted in the removal of the OH species due to strong
adsorptions on the vertex sites, reducing the utility of the catalyst surface. On
the other hand, the active sites of Pt12-13 clusters have been localized on the
edge sites. In particular, the OH adsorption on a bilayer Pt12 cluster is the
closest to the optimal target; with 0.0-0.2 eV weaker than the Pt(111) surface.
However, more progress is necessary to activate the vertex sites of the clusters.
The d-band center of PtN clusters shows that the structural dependence plays a
decisive factor in the cluster reactivity.
PMID- 26567668
TI - Theoretical spectroscopy study of the low-lying electronic states of UX and
UX(+), X = F and Cl.
AB - Spectroscopic constants (Te, re, B0, omegae, and omegaexe) have been calculated
for the low-lying electronic states of UF, UF(+), UCl, and UCl(+) using complete
active space 2nd-order perturbation theory (CASPT2), with a series of correlation
consistent basis sets. The latter included those based on both pseudopotential
(PP) and all-electron Douglas-Kroll-Hess Hamiltonians for the U atom. Spin orbit
(SO) effects were included a posteriori using the state interacting method using
both PP and Breit Pauli (BP) operators, as well as from exact two-component
methods for U(+) and UF(+). Complete basis set (CBS) limits were obtained by
extrapolation where possible and the PP and BP calculations were compared at
their respective CBS limits. The PP-based method was shown to be reliable in
calculating spectroscopic constants, in particular when using the state
interacting method with CASPT2 energies (SO-CASPT2). The two component
calculations were limited by computational resources and could not include
electron correlation from the nominally closed shell 6s and 6p orbitals of U. UF
and UCl were both calculated to have Omega = 9/2 ground states. The first excited
state of UCl was calculated to be an Omega = 7/2 state at 78 cm(-1) as opposed to
the same state at 435 cm(-1) in UF, and the other low-lying states of UCl showed
a similar compression relative to UF. Likewise, UF(+) and UCl(+) both have Omega
= 4 ground states and the manifold of low-lying excited Omega = 3, 2, 1, 0 states
was energetically closer together in UCl(+) than in UF(+), ranging up to 776 cm(
1) in UF(+) and only 438 cm(-1) in UCl(+). As in previous studies, the final PP
based SO-CASPT2 results for UF(+) and UF agree well with experiment and are
expected to be predictive for UCl and UCl(+), which are reported here for the
first time.
PMID- 26567669
TI - Accurate structural and spectroscopic characterization of prebiotic molecules:
The neutral and cationic acetyl cyanide and their related species.
AB - In an effort to provide an accurate structural and spectroscopic characterization
of acetyl cyanide, its two enolic isomers and the corresponding cationic species,
state-of-the-art computational methods, and approaches have been employed. The
coupled-cluster theory including single and double excitations together with a
perturbative treatment of triples has been used as starting point in composite
schemes accounting for extrapolation to the complete basis-set limit as well as
core-valence correlation effects to determine highly accurate molecular
structures, fundamental vibrational frequencies, and rotational parameters. The
available experimental data for acetyl cyanide allowed us to assess the
reliability of our computations: structural, energetic, and spectroscopic
properties have been obtained with an overall accuracy of about, or better than,
0.001 A, 2 kcal/mol, 1-10 MHz, and 11 cm(-1) for bond distances, adiabatic
ionization potentials, rotational constants, and fundamental vibrational
frequencies, respectively. We are therefore confident that the highly accurate
spectroscopic data provided herein can be useful for guiding future experimental
investigations and/or astronomical observations.
PMID- 26567670
TI - Carbon dioxide is tightly bound in the [Co(Pyridine)(CO2)](-) anionic complex.
AB - The [Co(Pyridine)(CO2)](-) anionic complex was studied through the combination of
photoelectron spectroscopy and density functional theory calculations. This
complex was envisioned as a primitive model system for studying CO2 binding to
negatively charged sites in metal organic frameworks. The vertical detachment
energy (VDE) measured via the photoelectron spectrum is 2.7 eV. Our calculations
imply a structure for [Co(Pyridine)(CO2)](-) in which a central cobalt atom is
bound to pyridine and CO2 moieties on either sides. This structure was validated
by acceptable agreement between the calculated and measured VDE values. Based on
our calculations, we found CO2 to be bound within the anionic complex by 1.4 eV.
PMID- 26567671
TI - Tracer diffusion of hard-sphere binary mixtures under nano-confinement.
AB - The physics of diffusion phenomena in nano- and microchannels has attracted a lot
of attention in recent years, due to its close connection with many
technological, medical, and industrial applications. In the present paper, we
employ a kinetic approach to investigate how the confinement in nanostructured
geometries affects the diffusive properties of fluid mixtures and leads to the
appearance of properties different from those of bulk systems. In particular, we
derive an expression for the friction tensor in the case of a bulk fluid mixture
confined to a narrow slit having undulated walls. The boundary roughness leads to
a new mechanism for transverse diffusion and can even lead to an effective
diffusion along the channel larger than the one corresponding to a planar channel
of equivalent section. Finally, we discuss a reduction of the previous equation
to a one dimensional effective diffusion equation in which an entropic term
encapsulates the geometrical information on the channel shape.
PMID- 26567672
TI - Beyond packing of hard spheres: The effects of core softness, non-additivity,
intermediate-range repulsion, and many-body interactions on the glass-forming
ability of bulk metallic glasses.
AB - When a liquid is cooled well below its melting temperature at a rate that exceeds
the critical cooling rate Rc, the crystalline state is bypassed and a metastable,
amorphous glassy state forms instead. Rc (or the corresponding critical casting
thickness dc) characterizes the glass-forming ability (GFA) of each material.
While silica is an excellent glass-former with small Rc < 10(-2) K/s, pure metals
and most alloys are typically poor glass-formers with large Rc > 10(10) K/s. Only
in the past thirty years have bulk metallic glasses (BMGs) been identified with
Rc approaching that for silica. Recent simulations have shown that simple, hard
sphere models are able to identify the atomic size ratio and number fraction
regime where BMGs exist with critical cooling rates more than 13 orders of
magnitude smaller than those for pure metals. However, there are a number of
other features of interatomic potentials beyond hard-core interactions. How do
these other features affect the glass-forming ability of BMGs? In this
manuscript, we perform molecular dynamics simulations to determine how variations
in the softness and non-additivity of the repulsive core and form of the
interatomic pair potential at intermediate distances affect the GFA of binary
alloys. These variations in the interatomic pair potential allow us to introduce
geometric frustration and change the crystal phases that compete with glass
formation. We also investigate the effect of tuning the strength of the many-body
interactions from zero to the full embedded atom model on the GFA for pure
metals. We then employ the full embedded atom model for binary BMGs and show that
hard-core interactions play the dominant role in setting the GFA of alloys, while
other features of the interatomic potential only change the GFA by one to two
orders of magnitude. Despite their perturbative effect, understanding the
detailed form of the intermetallic potential is important for designing BMGs with
cm or greater casting thickness.
PMID- 26567673
TI - The entropic forces and dynamic integrity of single file water in hydrophobic
nanotube confinements.
AB - Water in nanotube exhibits remarkably different properties from the bulk phase,
which can be exploited in various nanoconfinement based technologies. The
properties of water within nanotube can be further tuned by varying the nanotube
electrostatics and functionalization of nanotube ends. Here, therefore, we
investigate the effect of quantum partial charges and carbon nanotube (CNT)
termination in terms of associated entropic forces. An attempt has been made to
correlate the entropic forces with various dynamical and structural properties.
The simulated structural features are consistent with general theoretical
aspects, in which the interfacial water molecules at H terminated CNT are found
to be distributed in a different way as compared to other CNTs. The rotational
entropy components for different cases of CNTs are well corroborated by the decay
time of hydrogen bond (HB) correlation functions. A part of this event has been
explained in terms of orientation of water molecules in the chain, i.e., the
change in direction of dipole moment of water molecules in the chain and it has
been revealed that the HBs of CNT confined water molecules show long preserving
correlation if their rotations inside CNT are restricted. Furthermore, the
translational entropy components are rationally integrated with the differing
degree of translational constraints, added by the CNTs. To the best of our
information, perhaps this is the first study where the thermodynamic effects
introduced by H-termination and induced dipole of CNT have been investigated.
Additionally, we present a bridge relation between "translational diffusivity and
configurational entropy" for water transport from bulk phase to inside CNTs.
PMID- 26567674
TI - Traveling interface modulations and anisotropic front propagation in ammonia
oxidation over Rh(110).
AB - The bistable NH3 + O2 reaction over a Rh(110) surface was explored in the
pressure range 10(-6)-10(-3) mbar and in the temperature range 300-900 K using
photoemission electron microscopy and low energy electron microscopy as spatially
resolving methods. We observed a history dependent anisotropy in front
propagation, traveling interface modulations, transitions with secondary reaction
fronts, and stationary island structures.
PMID- 26567675
TI - The behavior of cyclohexane confined in slit carbon nanopore.
AB - It is well known that confining a liquid into a pore strongly alters the liquid
behavior. Investigations of the effect of confinement are of great importance for
many scientific and technological applications. Here we present a molecular
dynamics study of the behavior of cyclohexane confined in carbon slit pores. The
local structure and orientational ordering of cyclohexane molecules are
investigated. It is shown that the system freezes with decreasing the pore width,
and the freezing temperature of nanoconfined cyclohexane is higher than the bulk
one.
PMID- 26567676
TI - Molecular and electronic structure of osmium complexes confined to Au(111)
surfaces using a self-assembled molecular bridge.
AB - The molecular and electronic structure of Os(II) complexes covalently bonded to
self-assembled monolayers (SAMs) on Au(111) surfaces was studied by means of
polarization modulation infrared reflection absorption spectroscopy,
photoelectron spectroscopies, scanning tunneling microscopy, scanning tunneling
spectroscopy, and density functional theory calculations. Attachment of the Os
complex to the SAM proceeds via an amide covalent bond with the SAM alkyl chain
40 degrees tilted with respect to the surface normal and a total thickness of 26
A. The highest occupied molecular orbital of the Os complex is mainly based on
the Os(II) center located 2.2 eV below the Fermi edge and the LUMO molecular
orbital is mainly based on the bipyridine ligands located 1.5 eV above the Fermi
edge.
PMID- 26567677
TI - Tuning molecule-substrate coupling via deposition of metal adatoms.
AB - Organic-inorganic hybrids constitute an important class of functional materials.
The fundamentals at the molecular levels are, however, relatively unexplored.
PTCDA (perylene-3,4,9,10-tetracarboxylic dianhydride) is a colorant and
extensively applied in organic-based optoelectronic devices. PTCDA/Cu(111) and Fe
PTCDA/Cu(111) metal-organic hybrid monolayers are studied by low temperature
scanning tunneling microscopy and spectroscopy (STS) and density functional
theory (DFT). The former exhibits Moire pattern-modulated molecular density of
states while the latter adapts a commensurate adlattice. Both imaging and
spectroscopic results suggest a strong hybridization between PTCDA molecules and
Cu(111) substrate. Weak PTCDA-Cu(111) coupling can be obtained by the
introduction of Fe adatoms. Compared to PTCDA/Cu(111), STS spectra of Fe
PTCDA/Cu(111) exhibit a higher energy and sharper features of the frontier
orbitals. Together with the DFT results, we found that the PTCDA-Cu(111) coupling
is attenuated by the presence of Fe adatoms and Fe-PTCDA coordination.
PMID- 26567678
TI - Driven microswimmers on a 2D substrate: A stochastic towed sled model.
AB - We investigate, both numerically and analytically, the diffusion properties of a
stochastic sled sliding on a substrate, subject to a constant towing force. The
problem is motivated by the growing interest in controlling transport of
artificial microswimmers in 2D geometries at low Reynolds numbers. We simulated
both symmetric and asymmetric towed sleds. Remarkable properties of their
mobilities and diffusion constants include sidewise drifts and excess diffusion
peaks. We interpret our numerical findings by making use of stochastic
approximation techniques.
PMID- 26567679
TI - Unfolding of globular polymers by external force.
AB - We examine the problem of a polymer chain, folded into a globule in poor solvent,
subjected to a constant tensile force. Such a situation represents a Gibbs
thermodynamic ensemble and is useful for analysing force-clamp atomic force
microscopy measurements, now very common in molecular biophysics. Using a basic
Flory mean-field theory, we account for surface interactions of monomers with
solvent. Under an increasing tensile force, a first-order phase transition occurs
from a compact globule to a fully extended chain, in an "all-or-nothing"
unfolding event. This contrasts with the regime of imposed extension, first
studied by Halperin and Zhulina [Europhys. Lett. 15, 417 (1991)], where there is
a regime of coexistence of a partial globule with an extended chain segment. We
relate the transition forces in this problem to the solvent quality and degree of
polymerisation, and also find analytical expressions for the energy barriers
present in the problem. Using these expressions, we analyse the kinetic problem
of a force-ramp experiment and show that the force at which a globule ruptures
depends on the rate of loading.
PMID- 26567680
TI - On the order-disorder transition of compressible diblock copolymer melts.
AB - We performed both the fast off-lattice Monte Carlo simulations of symmetric
diblock copolymers (DBC) in an isothermal-isobaric ensemble and the self
consistent field calculations of asymmetric DBC to properly determine the order
disorder transition (ODT) of a model system of compressible DBC melts used in the
literature when it is a first-order phase transition, and studied for the first
time the co-existence of the two phases at ODT. We found that the co-existing
region is quite small and decreases as the system becomes less compressible,
which justifies the previous ODT results obtained by equating the Helmholtz free
energy per chain of the two phases. We also found that for the most compressible
system where there is no repulsion between the same type of segments, the self
consistent field theory predicts that ODT is a second-order phase transition even
for asymmetric DBC melts due to its mean-field approximation.
PMID- 26567682
TI - Rubber elasticity for percolation network consisting of Gaussian chains.
AB - A theory describing the elastic modulus for percolation networks of Gaussian
chains on general lattices such as square and cubic lattices is proposed and its
validity is examined with simulation and mechanical experiments on well-defined
polymer networks. The theory was developed by generalizing the effective medium
approximation (EMA) for Hookian spring network to Gaussian chain networks. From
EMA theory, we found that the ratio of the elastic modulus at p, G to that at p =
1, G0, must be equal to G/G0 = (p - 2/f)/(1 - 2/f) if the position of sites can
be determined so as to meet the force balance, where p is the degree of cross
linking reaction. However, the EMA prediction cannot be applicable near its
percolation threshold because EMA is a mean field theory. Thus, we combine real
space renormalization and EMA and propose a theory called real-space renormalized
EMA, i.e., REMA. The elastic modulus predicted by REMA is in excellent agreement
with the results of simulations and experiments of near-ideal diamond lattice
gels.
PMID- 26567681
TI - Crossover between activated reptation and arm retraction mechanisms in entangled
rod-coil block copolymers.
AB - Using a coarse-grained slip-spring model, the dynamics of rod-coil block
copolymers is explored over a wide parameter space to fully capture the crossover
between the short rod (activated reptation) and long rod (arm retraction) limits.
An analytical, closed-form expression for curvilinear diffusion by activated
reptation was derived by separating the drag into individual components for the
rod and coil block. Curvilinear diffusion in the intermediate rod regime, where
both mechanisms are important, was then found to be faster than predicted when
both mechanisms are independently combined. The discrepancy in the crossover
regime arises because the rod-coil copolymer's exploration of space is not
accurately described by either a coil homopolymer (assumed by activated
reptation) or a rod homopolymer (assumed by arm retraction). This effect is
explored by tracking the rod orientation as the polymer reptates, confirming that
the polymer reptates along a path that becomes more rodlike as the rod fraction
is increased. Thus, activated reptation under-predicts diffusion because the rod
can choose reptation paths that are more extended than the coil homopolymer by
renewal of the entanglement tube from the ends. Arm retraction under-predicts
diffusion because minor rotations of the rod allow some motion before full
retractions of the coil block. Finally, more familiar 3-dimensional center-of
mass diffusion measurements are related to the curvilinear diffusion analysis
because the ratio of these two quantities varies smoothly between the coil and
rod homopolymer limits as the reptation path becomes more extended.
PMID- 26567683
TI - Nanoconfined catalytic Angstrom-size motors.
AB - Self-propelled chemically powered synthetic micron and nano-scale motors are
being intensively studied because of the wide range of potential applications
that exploit their directed motion. This paper considers even smaller Angstrom
size synthetic motors. Such very small motors in bulk solution display effects
arising from their self-propulsion. Recent experiments have shown that small
molecule catalysts and single enzyme molecules exhibit properties that have been
attributed to their chemical activity. Molecular dynamics is used to investigate
the properties of very small Angstrom-size synthetic chemically powered sphere
dimer motors in a simple atomic-like solvent confined between walls separated by
distances of tens of nanometers. Evidence for strong structural ordering of the
motors between the walls, which reflects the finite size of solvent molecules and
depends on solvent depletion forces, is provided. Dynamical properties, such as
average motor velocity, orientational relaxation, and mean square displacement,
are anisotropic and depend on the distance from the walls. This research provides
information needed for potential applications that use molecular-scale motors in
the complex confined geometries encountered in biology and the laboratory.
PMID- 26567684
TI - Controlling electroosmotic flows by polymer coatings: A joint experimental
theoretical investigation.
AB - We analyze the electroosmotic flow (EOF) of an electrolytic solution in a polymer
coated capillary electrophoresis tube. The polymeric density, charge, thickness,
and the capillary tube charge vary as a function of pH and produce a non-trivial
modulation of the EOF, including a flow reversal at acid pH conditions. By means
of a theoretical argument and numerical simulations, we recover the experimental
curve for the EOF, providing a firm approach for predictive analysis of
electroosmosis under different polymeric coating conditions. A proposed
application of the approach is to determine the near-wall charge of the coating
to be used for further quantitative analysis of the electroosmotic flow and
mobility.
PMID- 26567686
TI - Comparison of different moment-closure approximations for stochastic chemical
kinetics.
AB - In recent years, moment-closure approximations (MAs) of the chemical master
equation have become a popular method for the study of stochastic effects in
chemical reaction systems. Several different MA methods have been proposed and
applied in the literature, but it remains unclear how they perform with respect
to each other. In this paper, we study the normal, Poisson, log-normal, and
central-moment-neglect MAs by applying them to understand the stochastic
properties of chemical systems whose deterministic rate equations show the
properties of bistability, ultrasensitivity, and oscillatory behaviour. Our
results suggest that the normal MA is favourable over the other studied MAs. In
particular, we found that (i) the size of the region of parameter space where a
closure gives physically meaningful results, e.g., positive mean and variance, is
considerably larger for the normal closure than for the other three closures,
(ii) the accuracy of the predictions of the four closures (relative to
simulations using the stochastic simulation algorithm) is comparable in those
regions of parameter space where all closures give physically meaningful results,
and (iii) the Poisson and log-normal MAs are not uniquely defined for systems
involving conservation laws in molecule numbers. We also describe the new
software package MOCA which enables the automated numerical analysis of various
MA methods in a graphical user interface and which was used to perform the
comparative analysis presented in this paper. MOCA allows the user to develop
novel closure methods and can treat polynomial, non-polynomial, as well as time
dependent propensity functions, thus being applicable to virtually any chemical
reaction system.
PMID- 26567685
TI - Fluctuating bottleneck model studies on kinetics of DNA escape from alpha
hemolysin nanopores.
AB - We have proposed a fluctuation bottleneck (FB) model to investigate the non
exponential kinetics of DNA escape from nanometer-scale pores. The basic idea is
that the escape rate is proportional to the fluctuating cross-sectional area of
DNA escape channel, the radius r of which undergoes a subdiffusion dynamics
subjected to fractional Gaussian noise with power-law memory kernel. Such a FB
model facilitates us to obtain the analytical result of the averaged survival
probability as a function of time, which can be directly compared to experimental
results. Particularly, we have applied our theory to address the escape kinetics
of DNA through alpha-hemolysin nanopores. We find that our theoretical framework
can reproduce the experimental results very well in the whole time range with
quite reasonable estimation for the intrinsic parameters of the kinetics
processes. We believe that FB model has caught some key features regarding the
long time kinetics of DNA escape through a nanopore and it might provide a sound
starting point to study much wider problems involving anomalous dynamics in
confined fluctuating channels.
PMID- 26567687
TI - Structural dynamics of N-ethylpropionamide clusters examined by nonlinear
infrared spectroscopy.
AB - In this work, the structural dynamics of N-ethylpropionamide (NEPA), a model
molecule of beta-peptides, in four typical solvents (DMSO, CH3CN, CHCl3, and
CCl4), were examined using the N-H stretching vibration (or the amide-A mode) as
a structural probe. Steady-state and transient infrared spectroscopic methods in
combination with quantum chemical computations and molecular dynamics simulations
were used. It was found that in these solvents, NEPA exists in different
aggregation forms, including monomer, dimer, and oligomers. Hydrogen-bonding
interaction and local-solvent environment both affect the amide-A absorption
profile and its vibrational relaxation dynamics and also affect the structural
dynamics of NEPA. In particular, a correlation between the red-shifted frequency
for the NEPA monomer from nonpolar to polar solvent and the vibrational
excitation relaxation rate of the N-H stretching mode was observed.
PMID- 26567688
TI - Comment on "Doubly hybrid density functional xDH-PBE0 from a parameter-free
global hybrid model PBE0" [J. Chem. Phys. 136, 174103 (2012)].
PMID- 26567690
TI - Erratum: "Density-matrix based determination of low-energy model Hamiltonians
from ab initio wavefunctions" [J. Chem. Phys. 143, 102814 (2015)].
PMID- 26567691
TI - Erratum: "Surface hopping with a manifold of electronic states. III. Transients,
broadening and the Marcus picture" [J. Chem. Phys. 142, 234106 (2015)].
PMID- 26567692
TI - Erratum: "A new equation of state of a flexible-chain polyelectrolyte solution:
Phase equilibria and osmotic pressure in the salt-free case" [J. Chem. Phys. 142,
174901 (2015)].
PMID- 26567693
TI - Publisher's Note: "Orientational ordering and phase behaviour of binary mixtures
of hard spheres and hard spherocylinders" [J. Chem. Phys. 143, 044906 (2015)].
PMID- 26567694
TI - Cancer biomarker discovery using DNA aptamers.
AB - Biomarkers are signature molecules able to indicate specific physiological states
of cells. Identification of reliable biomarkers is essential for early diagnosis
and adaptive treatment of diseases, especially cancer. Aptamers are single
stranded oligonucleotides generated by an in vitro screening method called
Systematic Evolution of Ligands by Exponential Enrichment (SELEX). They can
recognize their cognate targets with selectivity and affinity comparable to
protein antibodies. In addition, aptamers have superiorities including easy
synthesis, high chemical stability, convenient modification and flexible design.
As such, these DNA molecules show great promise as powerful molecular probes for
biomarker discovery and biomarker-based clinical applications. Using complex
samples as targets, a panel of aptamers can be systematically generated for
comprehensive recognition of disease-specific proteins, which can potentially
serve as biomarkers. This review describes the current methods for biomarker
discovery using aptamers.
PMID- 26567696
TI - High-resolution complementary chemical imaging of bio-elements in Caenorhabditis
elegans.
AB - Here, we present a sub-MUm multimodal approach to image essential elements in
Caenorhabditis elegans. A combination of chemical imaging technologies reveals
total metal concentration, chemical state and the protein to which an element is
associated. This application of distinct yet complementary chemical imaging
techniques provided unique insight into essential and trace elements at the
subcellular level.
PMID- 26567695
TI - Combined LC-MS/MS and Molecular Networking Approach Reveals New Cyanotoxins from
the 2014 Cyanobacterial Bloom in Green Lake, Seattle.
AB - Cyanotoxins obtained from a freshwater cyanobacterial collection at Green Lake,
Seattle during a cyanobacterial harmful algal bloom in the summer of 2014 were
studied using a new approach based on molecular networking analysis of liquid
chromatography tandem mass spectrometry (LC-MS/MS) data. This MS networking
approach is particularly well-suited for the detection of new cyanotoxin variants
and resulted in the discovery of three new cyclic peptides, namely microcystin
MhtyR (6), which comprised about half of the total microcystin content in the
bloom, and ferintoic acids C (12) and D (13). Structure elucidation of 6 was
aided by a new microscale methylation procedure. Metagenomic analysis of the
bloom using the 16S-ITS rRNA region identified Microcystis aeruginosa as the
predominant cyanobacterium in the sample. Fragments of the putative biosynthetic
genes for the new cyanotoxins were also identified, and their sequences
correlated to the structure of the isolated cyanotoxins.
PMID- 26567697
TI - Aqueous Synthesis of PEGylated Quantum Dots with Increased Colloidal Stability
and Reduced Cytotoxicity.
AB - Ligands used on the surface of colloidal nanoparticles (NPs) have a significant
impact on physiochemical properties of NPs and their interaction in biological
environments. In this study, we report a one-pot aqueous synthesis of 3
mercaptopropionic acid (MPA)-functionalized CdTe/CdS/ZnS quantum dots (Qdots) in
the presence of thiol-terminated methoxy polyethylene glycol (mPEG) molecules as
a surface coordinating ligand. The resulting mPEG-Qdots were characterized by
using zeta potential, FTIR, thermogravimetric (TG) analysis, and microscale
thermophoresis (MST) studies. We investigated the effect of mPEG molecules and
their grafting density on the Qdots photophysical properties, colloidal
stability, protein binding affinity, and in vitro cellular toxicity. Moreover,
cellular binding features of the resulting Qdots were examined by using three
dimensional (3D) tumor-like spheroids, and the results were discussed in detail.
Promisingly, mPEG ligands were found to increase colloidal stability of Qdots,
reduce adsorption of proteins to the Qdot surface, and mitigate Qdot-induced side
effects to a great extent. Flow cytometry and confocal microscopy studies
revealed that PEGylated Qdots exhibited distinctive cellular interactions with
respect to their mPEG grafting density. As a result, mPEG molecules demonstrated
a minimal effect on the ZnS shell deposition and the Qdot fluorescence efficiency
at a low mPEG density, whereas they showed pronounced effect on Qdot colloidal
stability, protein binding affinity, cytotoxicity, and nonspecific binding at a
higher mPEG grafting amount.
PMID- 26567698
TI - Miscibility of Itraconazole-Hydroxypropyl Methylcellulose Blends: Insights with
High Resolution Analytical Methodologies.
AB - Drug-polymer miscibility is considered to be a prerequisite to achieve an
optimally performing amorphous solid dispersion (ASD). Unfortunately, it can be
challenging to evaluate drug-polymer miscibility experimentally. The aim of this
study was to investigate the miscibility of ASDs of itraconazole (ITZ) and
hydroxypropyl methylcellulose (HPMC) using a variety of analytical approaches.
The phase behavior of ITZ-HPMC films prepared by solvent evaporation was studied
before and after heating. Conventional methodology for miscibility determination,
that is, differential scanning calorimetry (DSC), was used in conjunction with
emerging analytical techniques, such as fluorescence spectroscopy, fluorescence
imaging, and atomic force microscopy coupled with nanoscale infrared spectroscopy
and nanothermal analysis (AFM-nanoIR-nanoTA). DSC results showed a single glass
transition event for systems with 10% to 50% drug loading, suggesting that the
ASDs were miscible, whereas phase separation was observed for all of the films
based on the other techniques. The AFM-coupled techniques indicated that the
phase separation occurred at the submicron scale. When the films were heated, it
was observed that the ASD components underwent mixing. The results provide new
insights into the phase behavior of itraconazole-HPMC dispersions and suggest
that the emerging analytical techniques discussed herein are promising for the
characterization of miscibility and microstructure in drug-polymer systems. The
observed differences in the phase behavior in films prepared by solvent
evaporation before and after heating also have implications for processing routes
and suggest that spray drying/solvent evaporation and hot melt extrusion/melt
mixing can result in ASDs with varying extent of miscibility between the drug and
the polymer.
PMID- 26567699
TI - Direct observation and imaging of a spin-wave soliton with p-like symmetry.
AB - Spin waves, the collective excitations of spins, can emerge as nonlinear solitons
at the nanoscale when excited by an electrical current from a nanocontact. These
solitons are expected to have essentially cylindrical symmetry (that is, s-like),
but no direct experimental observation exists to confirm this picture. Using a
high-sensitivity time-resolved magnetic X-ray microscopy with 50 ps temporal
resolution and 35 nm spatial resolution, we are able to create a real-space spin
wave movie and observe the emergence of a localized soliton with a nodal line,
that is, with p-like symmetry. Micromagnetic simulations explain the measurements
and reveal that the symmetry of the soliton can be controlled by magnetic fields.
Our results broaden the understanding of spin-wave dynamics at the nanoscale,
with implications for the design of magnetic nanodevices.
PMID- 26567700
TI - Patent watch: Australia's highest court decides isolated nucleic acids are not
patent eligible.
PMID- 26567703
TI - Influence of extreme conditions on the formation and structures of caesium
uranium(VI) arsenates.
AB - Four new uranyl arsenates, Cs2[(UO2)(As2O7)] (1), alpha-Cs[(UO2)(HAs2O7)] (2),
beta-Cs[(UO2)(HAs2O7)] (3), Cs[(UO2)(HAs2O7)].0.17H2O (4), were synthesized by
high-temperature/high pressure (HT/HP) reactions at 900 degrees C and 3 GPa.
These phases were subsequently characterised structurally as well as chemically.
We demonstrated that compound 1 can also be obtained at ambient pressure.
Compounds 1, 2, and 4 are based on two-dimensional (2D) anionic layers with two
different topological types. The layers possess a similar composition,
[(UO2)(As2O7)](2-) in 1 and [(UO2)(HAs2O7)](-) in 2 and 4. However, the presence
of hydrogen in 2 and 4 leads to a change in coordination modes of the
pyroarsenate groups. There are additional 0.17 H2O molecules per formula unit in
4, which cause slight distortions of the layers in 4. All these layers can be
simplified to a common net, which is typical of autunite-like layered compounds.
Compound 3 is a polymorph of compound 2, but the structural arrangements in these
two are significantly different. The structure of 3 is based upon a three
dimensional (3D) framework, in which UO7 is coordinated by arsenate groups in
order to form uranyl anion sheets, and UO6 is located within the interlayers.
Bond valance analysis proved the presence of OH(-) groups in compounds 2, 3, and
4, respectively, and water molecules in 4. The Raman analyses enabled the study
of the local environments of the arsenate and the uranyl groups within the
investigated phases, respectively. It turned out that the applied HT/HP synthesis
method strongly affects the crystal chemistry as well as the observed structural
features of all obtained compounds.
PMID- 26567702
TI - Clinical experiences with systemically administered siRNA-based therapeutics in
cancer.
AB - Small interfering RNA (siRNA)-based therapies are emerging as a promising new
anticancer approach, and a small number of Phase I clinical trials involving
patients with solid tumours have now been completed. Encouraging results from
these pioneering clinical studies show that these new therapeutics can
successfully and safely inhibit targeted gene products in patients with cancer,
and have taught us important lessons regarding appropriate dosages and schedules.
In this Review, we critically assess these Phase I studies and discuss their
implications for future clinical trial design. Key challenges and future
directions in the development of siRNA-containing anticancer therapeutics are
also considered.
PMID- 26567704
TI - Artificially produced rare-earth free cosmic magnet.
AB - Chemically ordered hard magnetic L10-FeNi phase of higher grade than cosmic
meteorites is produced artificially. Present alloy design shortens the formation
time from hundreds of millions of years for natural meteorites to less than 300
hours. Electron diffraction detects four-fold 110 superlattice reflections and a
high chemical order parameter (S 0.8) for the developed L10-FeNi phase. The
magnetic field of more than 3.5 kOe is required for the switching of
magnetization. Experimental results along with computer simulation suggest that
the ordered phase is formed due to three factors related to the amorphous state:
high diffusion rates of the constituent elements at lower temperatures when
crystallizing, a large driving force for precipitation of the L10 phase, and the
possible presence of L10 clusters. Present results can resolve mineral exhaustion
issues in the development of next-generation hard magnetic materials because the
alloys are free from rare-earth elements, and the technique is well suited for
mass production.
PMID- 26567701
TI - Therapeutic potential of the endocrine fibroblast growth factors FGF19, FGF21 and
FGF23.
AB - The endocrine fibroblast growth factors (FGFs), FGF19, FGF21 and FGF23, are
critical for maintaining whole-body homeostasis, with roles in bile acid, glucose
and lipid metabolism, modulation of vitamin D and phosphate homeostasis and
metabolic adaptation during fasting. Given these functions, the endocrine FGFs
have therapeutic potential in a wide array of chronic human diseases, including
obesity, type 2 diabetes, cancer, and kidney and cardiovascular disease. However,
the safety and feasibility of chronic endocrine FGF administration has been
challenged, and FGF analogues and mimetics are now being investigated. Here, we
discuss current knowledge of the complex biology of the endocrine FGFs and assess
how this may be harnessed therapeutically.
PMID- 26567705
TI - A dynamic model for the outbreaks of hand, foot, and mouth disease in Taiwan.
AB - The first large outbreak of hand, foot, and mouth disease (HFMD) with severe
complications primarily caused by enterovirus 71 was reported in Taiwan in 1998.
Surveillance of HFMD to evaluate the spread of HFMD with and without infection
control policy is needed. We developed a new dynamic epidemic Susceptible
Infected-Recovered (SIR) model to fit the surveillance data on containing
valuable information on the severity of HFMD in order to accurately estimate the
basic reproductive number (R 0) of HFMD. After fitting the empirical data, in
conjunction with other relevant parameters extracted from the literature, the
estimated transmission coefficients were close to 5 * 10-7 (per day) and the
proportion of severe HFMD cases ranged between 0 and 0.0036 (per day). Taking
into account the distribution of all parameters considered in our dynamic
epidemic model, the R 0 computed was 1.37 (95% confidence interval 0.24-5.84),
suggesting a higher likelihood of the spread of HFMD if no infection control
policy is provided. The isolation strategy against the spread of HFMD not only
delayed the epidemic peak with the delayed time ranging from 4 weeks for only 20%
isolation to 47 weeks for 100% isolation but also reduced total number of HFMD
cases with the percentage of reduction ranging from 1.3% for only 20% isolation
to 13.3% for 100% isolation. The proposed model can also be flexible for
evaluating the effectiveness of two other possible policies for containing HFMD,
quarantine and vaccination (if the vaccine can be developed).
PMID- 26567706
TI - Connecting mononuclear dysprosium single-molecule magnets to form dinuclear
complexes via in situ ligand oxidation.
AB - A Dy2 complex, exhibiting SMM behaviour, and its Y analogue were prepared via in
situ oxidation of Py2TTA, a pincer type ligand, followed by dimerisation. This
unique metal complexation and subsequent dimerization were followed by solution
NMR studies.
PMID- 26567708
TI - Dephasing-Induced Control of Interference Nature in Three-Level
Electromagnetically Induced Tansparency Systems.
AB - The influence of the dephasing on interference is investigated theoretically and
experimentally in three-level electromagnetically induced transparency systems.
The nature of the interference, constructive, no interference or destructive, can
be controlled by adjusting the dephasing rates. This new phenomenon is
experimentally observed in meta-atoms. The physics behind the dephasing-induced
control of interference nature is the competing between stimulated emission and
spontaneous emission. The random phase fluctuation due to the dephasing will
result in the correlation and anti-correlation between the two dressed states,
which will enhance and reduce the stimulated emission, respectively.
PMID- 26567707
TI - Organ-wide 3D-imaging and topological analysis of the continuous microvascular
network in a murine lymph node.
AB - Understanding of the microvasculature has previously been limited by the lack of
methods capable of capturing and modelling complete vascular networks. We used
novel imaging and computational techniques to establish the topology of the
entire blood vessel network of a murine lymph node, combining 63,706 confocal
images at 2 MUm pixel resolution to cover a volume of 3.88 mm(3). Detailed
measurements including the distribution of vessel diameters, branch counts, and
identification of voids were subsequently re-visualised in 3D revealing regional
specialisation within the network. By focussing on critical immune
microenvironments we quantified differences in their vascular topology. We
further developed a morphology-based approach to identify High Endothelial
Venules, key sites for lymphocyte extravasation. These data represent a
comprehensive and continuous blood vessel network of an entire organ and provide
benchmark measurements that will inform modelling of blood vessel networks as
well as enable comparison of vascular topology in different organs.
PMID- 26567710
TI - Heat Transport Compensation in Atmosphere and Ocean over the Past 22,000 Years.
AB - The Earth's climate has experienced dramatic changes over the past 22,000 years;
however, the total meridional heat transport (MHT) of the climate system remains
stable. A 22,000-year-long simulation using an ocean-atmosphere coupled model
shows that the changes in atmosphere and ocean MHT are significant but tend to be
out of phase in most regions, mitigating the total MHT change, which helps to
maintain the stability of the Earth's overall climate. A simple conceptual model
is used to understand the compensation mechanism. The simple model can reproduce
qualitatively the evolution and compensation features of the MHT over the past
22,000 years. We find that the global energy conservation requires the
compensation changes in the atmosphere and ocean heat transports. The degree of
compensation is mainly determined by the local climate feedback between surface
temperature and net radiation flux at the top of the atmosphere. This study
suggests that an internal mechanism may exist in the climate system, which might
have played a role in constraining the global climate change over the past 22,000
years.
PMID- 26567709
TI - Catestatin attenuates endoplasmic reticulum induced cell apoptosis by activation
type 2 muscarinic acetylcholine receptor in cardiac ischemia/reperfusion.
AB - Catestatin (CST) is a catecholamine secretion inhibiting peptide as non
competitive inhibitor of nicotinic acetylcholine receptor. CST play a protective
role in cardiac ischemia/reperfusion (I/R) but the molecular mechanism remains
unclear. Cardiomyocytes endogenously produced CST and its expression was reduced
after I/R. CST pretreatment decreased apoptosis especially endoplasmic reticulum
(ER) stress response during I/R. The protection of CST was confirmed in H9c2
cardiomyoblasts under Anoxia/reoxygenation (A/R). In contrast, siRNA-mediated
knockdown of CST exaggerated ER stress induced apoptosis. The protective effects
of CST were blocked by extracellular signal-regulated kinases 1/2 (ERK1/2)
inhibitor PD90895 and phosphoinositide 3-kinase (PI3 K) inhibitor wortmannin. CST
also increased ERK1/2 and protein kinase B (Akt) phosphorylation and which was
blocked by atropine and selective type 2 muscarinic acetylcholine (M2) receptor,
but not type 1 muscarinic acetylcholine (M1) receptor antagonist. Receptor
binding assay revealed that CST competitively bound to the M2 receptor with a 50%
inhibitory concentration of 25.7 nM. Accordingly, CST inhibited cellular cAMP
stimulated by isoproterenol or forskolin, and which was blocked by selective M2
receptor antagonist. Our findings revealed that CST binds to M2 receptor, then
activates ERK1/2 and PI3 K/Akt pathway to inhibit ER stress-induced cell
apoptosis resulting in attenuation cardiac I/R injury.
PMID- 26567711
TI - Obliquity of the stapes in otosclerosis: intra-operative observations and
implications in stapes surgery.
AB - OBJECTIVE: To establish the prevalence of stapes obliquity as observed in
otosclerosis patients during stapes surgery by a simple method of intra-operative
measurement. DESIGN: Prospective observational study. RESULTS: Intra-operative
measurements showed that the mean distance (+/- standard deviation) between the
horizontal segment of the facial nerve and stapes crura in 10 cases of
otosclerosis was 0.74 mm (+/- 0.21 mm), whereas in 10 cases of non-otosclerosis
the same distance was 0.20 mm (+/- 0.00). There was no gap (0 mm) between the
stapes crura and inferior border of the oval window niche in otosclerotic ears,
whereas in non-otosclerotic ears the same distance was 0.13 mm (+/- 0.05 mm). The
differences were statistically significant (p < 0.0001). CONCLUSION: Obliquity
and downward displacement of the stapes occurs in otosclerosis. It has diagnostic
value as a new clinical sign in otosclerosis. The findings correlate with late
complications and failures in stapes surgery. Methods to avoid these have been
suggested.
PMID- 26567712
TI - Nice or nerdy? The neural representation of social and competence traits.
AB - This study investigates to what extent social and competence traits are
represented in a similar or different neural trait code. To localize these trait
codes, we used functional magnetic resonance imaging repetition suppression,
which is a rapid reduction of neuronal responses upon repeated presentation of
the same implied trait. Participants had to infer an agent's trait from brief
trait-implying behavioral descriptions. In each trial, the critical target
sentence was preceded by a prime sentence that implied the same trait or a
different competence-related trait which was also opposite in valence. The
results revealed robust repetition suppression from prime to target in the
ventral medial prefrontal cortex (mPFC) given a similar (social) as well as a
dissimilar (competence) prime. The suppression given a similar prime confirms
earlier research demonstrating that a trait code is represented in the ventral
mPFC. The suppression given a dissimilar prime is interpreted as indicating that
participants categorize a combination of competence and social information into
novel subcategories, reflecting nice (but incompetent) or nerdy (but socially
awkward) traits. A multi-voxel pattern analysis broadly confirmed these results,
and pinpointed the inferior parietal cortex, cerebellum, temporo-parietal
junction and mPFC as areas that differentiate between social and competence
traits.
PMID- 26567714
TI - Development of a SIDA-LC-MS/MS Method for the Determination of Phomopsin A in
Legumes.
AB - A novel method for the determination of phomopsin A (1) in lupin flour, pea
flour, and bean flour as well as whole lupin plants was established based on
stable isotope dilution assay (SIDA) LC-MS/MS using (15)N6-1 as an isotopically
labeled internal standard. Artificially infected samples were used to develop an
optimized extraction procedure and sample pretreatment. The limits of detection
were 0.5-1 MUg/kg for all matrices. The limits of quantitation were 2-4 MUg/kg.
The method was used to analyze flour samples generated from selected legume seeds
and lupin plant samples that had been inoculated with Diaporthe toxica and two
further fungal strains. Finally, growing lupin plants infected with D. toxica
were investigated to simulate a naturally in-field mycotoxicosis. Toxin levels of
up to 10.1 MUg/kg of 1 were found in the pods and 7.2 MUg/kg in the stems and
leaves.
PMID- 26567713
TI - miR-34a screened by miRNA profiling negatively regulates Wnt/beta-catenin
signaling pathway in Aflatoxin B1 induced hepatotoxicity.
AB - Aflatoxin-B1 (AFB1), a hepatocarcinogenic mycotoxin, was demonstrated to induce
the high rate of hepatocellular carcinoma (HCC). MicroRNAs (miRNAs) participate
in the regulation of several biological processes in HCC. However, the function
of miRNAs in AFB1-induced HCC has received a little attention. Here, we applied
Illumina deep sequencing technology for high-throughout profiling of microRNAs in
HepG2 cells lines after treatment with AFB1. Analysis of the differential
expression profile of miRNAs in two libraries, we identified 9 known miRNAs and 1
novel miRNA which exhibited abnormal expression. KEGG analysis indicated that
predicted target genes of differentially expressed miRNAs are involved in cancer
related pathways. Down-regulated of Drosha, DGCR8 and Dicer 1 indicated an
impairment of miRNA biogenesis in response to AFB1. miR-34a was up-regulated
significantly, down-regulating the expression of Wnt/beta-catenin signaling
pathway by target gene beta-catenin. Anti-miR-34a can significantly relieved the
down-regulated beta-catenin and its downstream genes, c-myc and Cyclin D1, and
the S-phase arrest in cell cycle induced by AFB1 can also be relieved. These
results suggested that AFB1 might down-regulate Wnt/beta-catenin signaling
pathway in HepG2 cells by up-regulating miR-34a, which may involve in the
mechanism of liver tumorigenesis.
PMID- 26567715
TI - Effects of bovine mammary gland biopsy and increased milking frequency on post
procedure udder health, histology, and milk yield.
AB - Sixteen cows in early lactation were randomly distributed into two groups in
order to evaluate the effects of mammary biopsies and increased milking frequency
on tissue characteristics, post-biopsy udder health and histology. One group was
milked twice a day (2*) starting on the 2nd day after calving, until 28 days in
milk (DIM). The other group was milked four times a day (4*) from two to 21 DIM,
and twice a day (2*) from 22 to 28 DIM. On days 2, 7, 14, 21, and 28 postpartum,
one fragment of secretory tissue was collected from one mammary quarter at a
time. Collections were alternated between the four mammary quarters per
collection day. A total of 80 mammary tissue samples were collected. Qualitative
and quantitative analyses of the tissues were conducted by histologic
examination. Animal health was assessed by observation of feed intake behavior
immediately after biopsy, and weight and body condition score before and one week
after biopsy. Udder health was assessed daily from calving to 60 DIM with
California Mastitis Test (CMT) and by noting alterations in the milk such as
blood, milk clots, blood clots, clinical signs of mastitis. Milk composition and
somatic cell count (SCC) were analyzed before and after the biopsies. Milk
production was evaluated before biopsy, on the day of biopsy, and after the
biopsy. An average of 10 fields at 40* magnification was obtained from each
sample. There were no evident changes in mammary morphology as a result of
milking two or four times/day at any of the evaluated time points. Biopsy wounds
healed rapidly without infection. Intramammary bleeding and CMT alterations were
observed in 96% and 75% of the biopsied mammary quarters, respectively. Clinical
mastitis was diagnosed in 12% of the biopsied quarters. Different milking
frequencies had no effect on the frequency and duration of post-biopsy
alterations. Milk production decreased after biopsies done on days 2 for 2* and
4* groups, but it returned to pre-biopsy values within 1 day. Milk composition
and SCC were affected transiently. Increased milking frequency did not influence
udder health. Post-biopsy recovery was rapid and the procedure proved effective
without damaging the cows' health.
PMID- 26567717
TI - Chronic pain after open inguinal hernia repair.
AB - Following the widespread use of mesh repairs, recurrence rates after inguinal
hernia surgery have become acceptable and focus has shifted from recurrence to
chronic pain. Although pain can be controlled with analgesics, chronic
postsurgical pain is a major clinical problem, which can significantly influence
the patient's quality of life. The rate of chronic pain after inguinal hernia
mesh repair can reach 51.6%. The reasons for posthernioplasty chronic pain are
often unclear. It has been linked to nerve injury and nerve entrapment, but there
is also association between the rate of chronic pain and the type of mesh used
for hernia repair. As there are >160 meshes available in the market, it is
difficult to choose a mesh whose usage would result in the best outcome.
Different mesh characteristics have been studied, among them weight of mesh has
probably gained the most attention. The choice of adequate therapy for chronic
groin pain after inguinal hernia repair is controversial. The European Hernia
Society recommends that a multidisciplinary approach at a pain clinic should be
considered for the treatment of chronic postoperative pain. Although surgical
treatment of chronic posthernioplasty pain is limited because of the lack of
relevant research data, resection of entrapped nerves, mesh removal in the case
of mesh related pain or removal of fixation sutures can be beneficial for the
patient with severe pain after inguinal hernia surgery. One drawback of published
studies is the lack of consensus over definition of chronic pain, which makes it
complicated to compare the results of different studies and to conduct meta
analyses and systematic reviews. Therefore, a uniform definition of chronic pain
and its best assessment methods should be developed in order to conduct top
quality multicenter randomized trials. Further research to develop meshes with
optimal parameters is of vital importance and should be encouraged.
PMID- 26567716
TI - Human proximal tubule epithelial cells cultured on hollow fibers: living
membranes that actively transport organic cations.
AB - The bioartificial kidney (BAK) aims at improving dialysis by developing 'living
membranes' for cells-aided removal of uremic metabolites. Here, unique human
conditionally immortalized proximal tubule epithelial cell (ciPTEC) monolayers
were cultured on biofunctionalized MicroPES (polyethersulfone) hollow fiber
membranes (HFM) and functionally tested using microfluidics. Tight monolayer
formation was demonstrated by abundant zonula occludens-1 (ZO-1) protein
expression along the tight junctions of matured ciPTEC on HFM. A clear barrier
function of the monolayer was confirmed by limited diffusion of FITC-inulin. The
activity of the organic cation transporter 2 (OCT2) in ciPTEC was evaluated in
real-time using a perfusion system by confocal microscopy using 4-(4
(dimethylamino)styryl)-N-methylpyridinium iodide (ASP(+)) as a fluorescent
substrate. Initial ASP(+) uptake was inhibited by a cationic uremic metabolites
mixture and by the histamine H2-receptor antagonist, cimetidine. In conclusion, a
'living membrane' of renal epithelial cells on MicroPES HFM with demonstrated
active organic cation transport was successfully established as a first step in
BAK engineering.
PMID- 26567718
TI - Tension-free primary closure for the treatment of pilonidal disease.
AB - AIM: Pilonidal disease (PD) is a common disorder that usually affects young
population and generally seen in intergluteal region. Conservative and surgical
treatment options have been utilized. Many surgical techniques including primary
closure, marsupialization and flap procedures have been described. The present
study aims to evaluate the optimal surgical method for the treatment of PD.
MATERIAL AND METHODS: A total of 151 patients underwent pilonidal disease surgery
between January 2007 and September 2014 were enrolled in this study. Patients
were compared according to age, sex, operation time, length of RESULTS: A total
of 151 patients with a mean age of 25.18 years (range 14-66) presented with
pilonidal disease were evaluated. Primary closure (PC) and tension-free primary
closure (TFPC) were performed in 105 (69.5%) and 46 (30.5%) patients,
respectively. There was no statistical difference between groups according to
age, sex, operation time and length of hospital stay. Only 9 patients (8.6%) in
PC and 3 patients (6.5%) in TFPC have postoperative recurrent disease. of 17
patients (7.9%) dehiscence was seen, 15 (14.3%) were in PC group and 2 (4.3%)
were in TFPC group. Postoperative seroma or wound infection was seen in 16
patients (10.6%). CONCLUSION: Tension-free primary closure is a method that is
effective as primary closure. KEY WORDS: Modified primary closure, Pilonidal
disease, Primary closure.
PMID- 26567719
TI - Spontaneous membrane-translocating peptides: influence of peptide self
aggregation and cargo polarity.
AB - Peptides that translocate spontaneously across cell membranes could transform the
field of drug delivery by enabling the transport of otherwise membrane-impermeant
molecules into cells. In this regard, a 9-aminoacid-long motif (representative
sequence: PLIYLRLLR, hereafter Translocating Motif 9, TM9) that spontaneously
translocates across membranes while carrying a polar dye was recently identified
by high-throughput screening. Here we investigate its transport properties by a
combination of in cuvette physico-chemical assays, rational mutagenesis, live
cell confocal imaging and fluorescence correlation spectroscopy measurements. We
unveil TM9 ability to self-aggregate in a concentration-dependent manner and
demonstrate that peptide self-aggregation is a necessary--yet not sufficient-
step for effective membrane translocation. Furthermore we show that membrane
crossing can occur with apolar payloads while it is completely inhibited by polar
ones. These findings are discussed and compared to previous reports. The present
results impose a careful rethinking of this class of sequences as direct
translocation vectors suitable for delivery purposes.
PMID- 26567720
TI - Graphene liquid crystal retarded percolation for new high-k materials.
AB - Graphene flakes with giant shape anisotropy are extensively used to establish
connectedness electrical percolation in various heterogeneous systems. However,
the percolation behaviour of graphene flakes has been recently predicted to be
far more complicated than generally anticipated on the basis of excluded volume
arguments. Here we confirm experimentally that graphene flakes self-assemble into
nematic liquid crystals below the onset of percolation. The competition of
percolation and liquid crystal transition provides a new route towards high-k
materials. Indeed, near-percolated liquid-crystalline graphene-based composites
display unprecedented dielectric properties with a dielectric constant improved
by 260-fold increase as compared with the polymer matrix, while maintaining the
loss tangent as low as 0.4. This performance is shown to depend on the structure
of monodomains of graphene liquid-crystalline phases. Insights into how the
liquid crystal phase transition interferes with percolation transition and thus
alters the dielectric constant are discussed.
PMID- 26567721
TI - An engineered coiled-coil polypeptide assembled onto quantum dots for targeted
cell imaging.
AB - Quantum dot (QD)-polypeptide probes have been developed through the specific
metal-affinity interaction between polypeptides appended with N-terminal
polyhistidine sequences and CdSe/ZnS core-shell QDs. The size and charge of a QD
polypeptide can be tuned by using different coiled-coil polypeptides. Compared to
glutathione-capped QDs (QD-GSH), QD-polypeptide probes showed an approximately
two- to three-fold luminescence increase, and the luminescence increase was not
obviously related to the charge of the polypeptide. QD-polypeptide probes with
different charge have a great effect on nonspecific cellular uptake. QD
polypeptide probes with negative charge exhibited lower nonspecific cellular
uptake in comparison to the QD-GSH, while positively charged QD-polypeptide
probes presented higher cellular uptake than the QD-GSH. A targeted QD-ARGD probe
can obviously increase targeted cellular uptake in alpha v beta 3 overexpressing
HeLa cells compared to QD-A. In addition, QD-polypeptide probes showed lower in
vitro cytotoxicity compared to the original QDs. These results demonstrate that
these QD-polypeptide probes with high specific cellular uptake, high fluorescence
intensity and low background noise are expected to have great potential
applications in targeted cell imaging.
PMID- 26567722
TI - The Challenges of Blood Pressure Control in Dialysis Patients.
AB - Hypertension is very prevalent among patients with chronic kidney disease (CKD)
and end-stage kidney disease (ESRD). However, there are still several unsolved
issues pertaining to the definition, variability, diagnosis and management of
hypertension in these patients. This manuscript critically reviews the current
challenges in clinical practice in defining, diagnosing and treating hypertension
in CKD and ESRD patients. Moreover, the manuscript reviews the pharmacokinetics,
pharmacodynamics and safety of most anti-hypertensive drugs used in the
management of these patients.
PMID- 26567723
TI - Adrenal incidentalomas and subclinical Cushing syndrome: indications to surgery
and results in a series of 26 laparoscopic adrenalectomies.
AB - BACKGROUND: Casual detection of an adrenal mass, the so called incidentaloma (AI)
requires an in-depth analysis of imaging phenotype together with hormonal
investigation, in order to evaluate both its potential malignancy and the
occurrence of a preclinical condition of hypercortisolism (Subclinical Cushing
Syndrome, SCS). Aim of the present work is to evaluate surgical indications and
results of surgery in patients harbouring an AI with inapparent hypercortisolism.
METHODS: The study has been carried on in a series of 26 Laparoscopic
Adrenalectomies (LA) performed from January 2009 and January 2015. Indications to
surgery included AI (11 cases), Cushing's syndrome (7 cases), suspected
metastases (5 cases) and Conn's disease (3 cases). Six patients with AI had a SCS
associated with variable forms of a metabolic syndrome: they were evaluated in
detail analysing cortisol secretion and values of Arterial Hypertension, Diabetes
Mellitus and BMI before and after surgery. RESULTS: As far as SCS is concerned,
LA was completed in 5 patients (one case converted). Pathology revealed 5
adenomas and one nodular hyperplasia. Four cases required oral cortisone
administration at the discharge. At a mean follow- up of 33 months cortisol
secretion returned to normal range in all patients; an improvement of metabolic
condition was observed in 60, 25, and 50 per cent of hypertensive, diabetic and
obese patients respectively. CONCLUSION: Indications to LA in case of AI and SCS
is strongly supported by the presence of an associated metabolic syndrome. In
spite of a limited number, our experience confirms the favourable results of
surgery in such patients. KEY WORDS: Adrenal incidentaloma, Laparoscopic
adrenalectomies, Subclinical Cushing syndrome.
PMID- 26567724
TI - Denatonium and 6-n-Propyl-2-thiouracil, Agonists of Bitter Taste Receptor,
Inhibit Contraction of Various Types of Smooth Muscles in the Rat and Mouse.
AB - Recently the global expression of taste 2 receptors (TAS2Rs) on smooth muscle
cells in human airways was demonstrated. Here, the effects of agonists of taste
receptor, type 2, denatonium and 6-n-propyl-2-thiouracil, on smooth-muscle
contraction were examined in the rat and mouse. Contractions induced by carbachol
(CCh), high K(+), and sodium fluoride, but not calyculin-A, were inhibited
significantly in the presence of a TAS2R agonist in the bronchial smooth muscle
of mice. The contraction induced by CCh was inhibited by TAS2R agonists in ileal
smooth muscle. Phenylephrine-induced contraction was also inhibited by TAS2R
agonists in aortic smooth muscle. Gastrointestinal motility and blood pressure
were attenuated by administration of TAS2R agonists in vivo. These findings
suggest that TAS2R may be receptor for endogenous biologically active substances
as well as for bitter tastes on the tongue. TAS2R signaling could be employed in
the development of anti-asthmatic, anti-spasmodic, and anti-hypertensive drugs.
PMID- 26567725
TI - Signal Transduction Mechanism for Serotonin 5-HT2B Receptor-Mediated DNA
Synthesis and Proliferation in Primary Cultures of Adult Rat Hepatocytes.
AB - The involvement of serotonin (5-hydroxytryptamine; 5-HT) and the 5-HT2 receptor
subtypes in the induction of DNA synthesis and proliferation was investigated in
primary cultures of adult rat hepatocytes to elucidate the intracellular signal
transduction mechanisms. Hepatocyte parenchymal cells maintained in a serum-free,
defined medium, synthesized DNA and proliferated in the presence of 5-HT or a
selective 5-HT2B receptor agonist, BW723C86, but not in the presence of 5-HT2A,
or 5-HT2C receptor agonists (TCB-2 and CP809101, respectively), in a time- and
dose-dependent manner. A selective 5-HT2B receptor antagonist, LY272015 (10(-7)
M), and a specific phospholipase C (PLC) inhibitor, U-73122 (10(-6) M), as well
as specific inhibitors of growth-related signal transducers-including AG1478,
LY294002, PD98059, and rapamycin-completely inhibited 5-HT (10(-6) M)- or
BW723C86 (10(-6) M)-induced hepatocyte DNA synthesis and proliferation. Both 5-HT
and BW723C86 were shown to significantly stimulate the phosphorylation of
epidermal growth factor (EGF)/transforming growth factor (TGF)-alpha receptor
tyrosine kinase (p175 kDa) and extracellular signal-regulated kinase (ERK) 2 on
Western blot analysis. These results suggest that the proliferative mechanism of
activating 5-HT is mediated mainly through 5-HT2B receptor-stimulated Gq/PLC and
EGF/TGF-alpha-receptor/phosphatidylinositol 3-kinase (PI3K)/ERK2/mammalian target
of rapamycin (mTOR) signaling pathways in primary cultured hepatocytes.
PMID- 26567726
TI - Brain protection against ischemic stroke using choline as a new molecular bypass
treatment.
AB - AIM: To determine whether administration of choline could attenuate brain injury
in a rat model of ischemic stroke and the underlying mechanisms. METHODS: A rat
model of ischemic stroke was established through permanent middle cerebral artery
occlusion (pMCAO). After the surgery, the rats were treated with choline or
choline plus the specific alpha7 nAChR antagonist methyllycaconitine (MLA), or
with the control drug nimodipine for 10 days. The neurological deficits, brain
infarct volume, pial vessel density and the number of microvessels in the cortex
were assessed. Rat brain microvascular endothelial cells (rBMECs) cultured under
hypoxic conditions were used in in vitro experiments. RESULTS: Oral
administration of choline (100 or 200 mg.kg(-1).d(-1)) or nimodipine (20 mg.kg(
1).d(-1)) significantly improved neurological deficits, and reduced infarct
volume and nerve cell loss in the ischemic cerebral cortices in pMCAO rats.
Furthermore, oral administration of choline, but not nimodipine, promoted the
pial arteriogenesis and cerebral-cortical capillary angiogenesis in the ischemic
regions. Moreover, oral administration of choline significantly augmented pMCAO
induced increases in the expression levels of alpha7 nAChR, HIF-1alpha and VEGF
in the ischemic cerebral cortices as well as in the serum levels of VEGF. Choline
induced protective effects were prevented by co-treatment with MLA (1 mg.kg(
1).d(-1), ip). Treatment of rBMECs cultured under hypoxic conditions in vitro
with choline (1, 10 and 100 MUmol/L) dose-dependently promoted the endothelial
cell proliferation, migration and tube formation, as well as VEGF secretion,
which were prevented by co-treatment with MLA (1 MUmol/L) or by transfection with
HIF-1alpha siRNA. CONCLUSION: Choline effectively attenuates brain ischemic
injury in pMCAO rats, possibly by facilitating pial arteriogenesis and cerebral
cortical capillary angiogenesis via upregulating alpha7 nAChR levels and inducing
the expression of HIF-1alpha and VEGF.
PMID- 26567727
TI - Formation of aversive memories associated with conditioned drug withdrawal
requires BDNF expression in the amygdala in acute morphine-dependent rats.
AB - AIM: Brain-derived neurotrophic factor (BDNF) plays an important role in learning
and memory in multiple brain areas. In the present study, we investigated the
roles of BDNF in aversive memories associated with conditioned drug withdrawal in
acute morphine-dependent rats. METHODS: Conditioned place aversion (CPA) was
induced in male SD rats exposed to a single dose of morphine (10 mg/kg, sc)
followed by naloxone (0.3 mg/kg, sc). In some rats, BDNF receptor antagonist
K252a (8.5 ng per side) or BDNF scavenger TrkB-FC (0.65 MUg per side) was
bilaterally microinjected into amygdala before naloxone injection. BDNF mRNA and
protein expression levels in amygdala were detected after the behavior testing.
RESULTS: CPA behavior was induced in rats by the naloxone-precipitated morphine
withdrawal, which was accompanied by significantly increased levels of BDNF mRNA
and protein in the amygdala. Bilateral microinjection of TrkB-FC or K252a into
the amygdala completely blocked CPA behavior in the rats. CONCLUSION: Formation
of aversive memories associated with conditioned drug withdrawal in acute
morphine-dependent rats requires BDNF expression in the amygdala.
PMID- 26567728
TI - Inverse relationship between serum osteocalcin levels and nonalcoholic fatty
liver disease in postmenopausal Chinese women with normal blood glucose levels.
AB - AIM: Osteocalcin is involved in the progression of nonalcoholic fatty liver
disease (NAFLD) in animal models and humans. In this study we investigated the
relationship between serum osteocalcin levels and NAFLD in postmenopausal Chinese
women. METHODS: A total of 733 postmenopausal women (age range: 41-78 years) with
normal blood glucose levels were enrolled in this cross-sectional study. Women
taking lipid-lowering or anti-hypertensive drugs were excluded. Serum osteocalcin
levels were assessed using an electrochemiluminescence immunoassay. The degree of
NAFLD progression for each subject was assessed through ultrasonography. The
fatty liver index (FLI) of each subject was calculated to quantify the degree of
liver steatosis. RESULTS: The median level of serum osteocalcin for all subjects
enrolled was 21.99 ng/mL (interquartile range: 17.84-26.55 ng/mL). Subjects with
NAFLD had significantly lower serum osteocalcin levels (18.39 ng/mL; range: 16.03
23.64 ng/mL) compared with those without NAFLD (22.31 ng/mL; range: 18.55-27.06
ng/mL; P<0.01). Serum osteocalcin levels decreased with incremental changes in
the FLI value divided by the quartile (P-value for trend<0.01). The serum
osteocalcin levels showed a negative correlation with the FLI values, even after
adjusting for confounding factors (standardized beta=-0.124; P<0.01). Binary
logistic regression analysis identified an individual's serum osteocalcin level
as an independent risk factor for NAFLD (odds ratio: 0.951; 95% confidence
interval: 0.911-0.992; P=0.02). CONCLUSION: Serum osteocalcin levels are
inversely correlated with NAFLD in postmenopausal Chinese women with normal blood
glucose levels.
PMID- 26567729
TI - A new trend to determine biochemical parameters by quantitative FRET assays.
AB - Forster resonance energy transfer (FRET) has been widely used in biological and
biomedical research because it can determine molecule or particle interactions
within a range of 1-10 nm. The sensitivity and efficiency of FRET strongly depend
on the distance between the FRET donor and acceptor. Historically, FRET assays
have been used to quantitatively deduce molecular distances. However, another
major potential application of the FRET assay has not been fully exploited, that
is, the use of FRET signals to quantitatively describe molecular interactive
events. In this review, we discuss the use of quantitative FRET assays for the
determination of biochemical parameters, such as the protein interaction
dissociation constant (K(d)), enzymatic velocity (k(cat)) and K(m). We also
describe fluorescent microscopy-based quantitative FRET assays for protein
interaction affinity determination in cells as well as fluorimeter-based
quantitative FRET assays for protein interaction and enzymatic parameter
determination in solution.
PMID- 26567731
TI - Integration of (18)FDG-PET Metabolic and Functional Connectomes in the Early
Diagnosis and Prognosis of the Alzheimer's Disease.
AB - Alzheimer's Disease (AD) is an invalidating neurodegenerative disorders
frequently affecting the aging population. In view of the increase of elderlies,
not only in western countries, the related growing societal problems urge for
identifying clinical biomarkers in view of potential treatments interfering or
blocking the disease course. Among the plenty of anatomo-functional in vivo
imaging techniques to inspect brain circuits and physiology, the Magnetic
Resonance Imaging (MRI), the functional MRI (fMRI), the Electroencephalography
(EEG) and Magnetoencephalography (MEG), have been extensively used for the study
of AD, with different achievements and limitations. Eventually, the methodologies
summoned by brain connectomics further strengthen the expectations in this field,
as shown by recent results obtained with [18F]2-fluoro-2-deoxyglucose 18FDG-PET
and fMRI in the prediction of the AD in early stages. However, the inherent
complexity of the pathophysiology of the AD suggests that only integrative
approaches combining different techniques and methodologies of brain scanning
could produce significant breakthroughs in the study of AD. This review proposes
a formal framework able to combine brain connectomic data from multimodal
acquisitions by means of different in vivo neuroimaging techniques, briefly
reporting their different advantages and drawbacks. Indeed, a specialized complex
multiplex network, where nodes interact in layers linking the same pair of nodes
and each layer reflects a distinct type of brain acquisition, can model the
plurality of connectomes recommended in this framework.
PMID- 26567730
TI - Isomer-selective distribution of 3-n-butylphthalide (NBP) hydroxylated
metabolites, 3-hydroxy-NBP and 10-hydroxy-NBP, across the rat blood-brain
barrier.
AB - AIM: To investigate the mechanisms underlying the isomer-selective distribution
of 3-n-butylphthalide (NBP) hydroxylated metabolites, 3-hydroxy-NBP (3-OH-NBP)
and 10-hydroxy-NBP (10-OH-NBP), across the blood brain barrier (BBB). METHODS:
After oral administration of NBP (20 mg/kg) to rats, the pharmacokinetics of two
major hydroxylated metabolites, 3-OH-NBP and 10-OH-NBP, in plasma and brains were
investigated. Plasma and brain protein binding of 3-OH-NBP and 10-OH-NBP was also
assessed. To evaluate the influences of major efflux transporters, rats were
pretreated with the P-gp inhibitor tariquidar (10 mg/kg, iv) and BCRP inhibitor
pantoprazole (40 mg/kg, iv), then received 3-OH-NBP (12 mg/kg, iv) or 10-OH-NBP
(3 mg/kg, iv). The metabolic profile of NBP was investigated in rat brain
homogenate. RESULTS: After NBP administration, the plasma exposure of 3-OH-NBP
was 4.64 times that of 10-OH-NBP, whereas the brain exposure of 3-OH-NBP was only
11.8% of 10-OH-NBP. In the rat plasma, 60%+/-5.2% of 10-OH-NBP was unbound to
proteins versus only 22%+/-2.3% of 3-OH-NBP being unbound, whereas in the rat
brain, free fractions of 3-OH-NBP and 10-OH-NBP were 100%+/-9.7% and 49.9%+/
14.1%, respectively. In the rats pretreated with tariquidar and pantoprazole, the
unbound partition coefficient Kp,uu of 3-OH-NBP was significantly increased,
while that of 10-OH-NBP showed a slight but not statistically significant
increase. Incubation of rat brain homogenate with NBP yielded 3-OH-NBP but not 10
OH-NBP. CONCLUSION: The isomer-selective distribution of 10-OH-NBP and 3-OH-NBP
across the BBB of rats is mainly attributed to the differences in plasma and
brain protein binding and the efflux transport of 3-OH-NBP. The abundant 10-OH
NBP is not generated in rat brains.
PMID- 26567732
TI - Non-Pharmacological Approaches to the Prevention and Treatment of Alzheimer's
Disease with Respect to the Rising Treatment Costs.
AB - Alzheimer's disease is a serious degenerative disease which is mainly typical of
the developed countries. The prevalence percentage in Africa is only 2.6 %,
whereas in America it is 6.5 % and in Western Europe 7.2 %. Overall, this disease
affects 44 million people worldwide. With respect to the demographic development,
a number of people suffering from Alzheimer's disease is expected in future. The
key issue is not only the discovery of an effective medication, but also the
early diagnosis, prevention and care about people with AD, as well as the
provision of an equivalent rise of places in health and social institutions.
Since the treatment of Alzheimer's disease (AD) imposes a severe economic and
social burden, the main purpose of this study is to analyze and compare available
non-pharmacological approaches to the prevention and treatment of patients with
AD with special focus on their cognitive competences. In addition, the analysis
also concentrates on the costs of pharmacological care in individual countries
all over world. This is done by using Drummond's methodological approaches to
direct and indirect costs. The analysis of non-pharmacological approaches is
conducted on the basis of literature review of both clinical and review studies
relevant for the research issue in the acknowledged databases and a comparison
and evaluation of their findings.
PMID- 26567733
TI - Statistical Voxel-Based Methods and [18F]FDG PET Brain Imaging: Frontiers for the
Diagnosis of AD.
AB - Recommended guidelines for the diagnosis of dementia due to Alzheimer's Disease
(AD) were revised in recent years, including Positron Emission Tomography (PET)
as an in-vivo diagnostic imaging technique for the diagnosis of
neurodegeneration. In particular PET, using 18Ffluorodeoxiglucouse ([18F]FDG), is
able to detect very early changes of glucose consumption at the synaptic level,
enabling to support both early and differential diagnosis of AD. In standard
clinical practice, interpretation of [18F] FDG-PET images is usually achieved
through qualitative assessment. Visual inspection although only reveals
information visible at human eyes resolution, while information at a higher
resolution is missed. Furthermore, qualitative assessment depends on the degree
of expertise of the clinician, preventing from the definition of accurate and
standardized imaging biomarkers. Automated and computerized image processing
methods have been proposed to support the in-vivo assessment of brain PET
studies. In particular, objective statistical image analyses, enabling the
comparison of one patient's images to a group of control images have been shown
to carry important advantages for detecting significant metabolic changes,
including the availability of more objective, cross-center reliable metrics and
the detectability of brain subtle functional changes, as occurring in prodromal
AD. The purpose of the current review is to provide a systematic overview
encompassing the frontiers recently reached by quantitative approaches for the
statistical analysis of PET brain images in the study of AD, with a particular
focus on Statistical Parametric Mapping. Main achievements, e.g. in terms of
standardized biomarkers of AD as well as of sensitivity and specificity, will be
discussed.
PMID- 26567734
TI - Combining Feature Extraction Methods to Assist the Diagnosis of Alzheimer's
Disease.
AB - Neuroimaging data as (18)F-FDG PET is widely used to assist the diagnosis of
Alzheimer's disease (AD). Looking for regions with hypoperfusion/ hypometabolism,
clinicians may predict or corroborate the diagnosis of the patients. Modern
computer aided diagnosis (CAD) systems based on the statistical analysis of whole
neuroimages are more accurate than classical systems based on quantifying the
uptake of some predefined regions of interests (ROIs). In addition, these new
systems allow determining new ROIs and take advantage of the huge amount of
information comprised in neuroimaging data. A major branch of modern CAD systems
for AD is based on multivariate techniques, which analyse a neuroimage as a
whole, considering not only the voxel intensities but also the relations among
them. In order to deal with the vast dimensionality of the data, a number of
feature extraction methods have been successfully applied. In this work, we
propose a CAD system based on the combination of several feature extraction
techniques. First, some commonly used feature extraction methods based on the
analysis of the variance (as principal component analysis), on the factorization
of the data (as non-negative matrix factorization) and on classical magnitudes
(as Haralick features) were simultaneously applied to the original data. These
feature sets were then combined by means of two different combination approaches:
i) using a single classifier and a multiple kernel learning approach and ii)
using an ensemble of classifier and selecting the final decision by majority
voting. The proposed approach was evaluated using a labelled neuroimaging
database along with a cross validation scheme. As conclusion, the proposed CAD
system performed better than approaches using only one feature extraction
technique. We also provide a fair comparison (using the same database) of the
selected feature extraction methods.
PMID- 26567735
TI - Frontiers for the Early Diagnosis of AD by Means of MRI Brain Imaging and Support
Vector Machines.
AB - The emergence of Alzheimer's Disease (AD) as a consequence of increasing aging
population makes urgent the availability of methods for the early and accurate
diagnosis. Magnetic Resonance Imaging (MRI) could be used as in vivo, non
invasive tool to identify sensitive and specific markers of very early AD
progression. In recent years, multivariate pattern analysis (MVPA) and machine-
learning algorithms have attracted strong interest within the neuroimaging
community, as they allow automatic classification of imaging data with higher
performance than univariate statistical analysis. An exhaustive search of PubMed,
Web of Science and Medline records was performed in this work, in order to
retrieve studies focused on the potential role of MRI in aiding the clinician in
early diagnosis of AD by using Support Vector Machines (SVMs) as MVPA automated
classification method. A total of 30 studies emerged, published from 2008 to
date. This review aims to give a state-of-the-art overview about SVM for the
early and differential diagnosis of AD-related pathologies by means of MRI data,
starting from preliminary steps such as image pre-processing, feature extraction
and feature selection, and ending with classification, validation strategies and
extraction of MRI-related biomarkers. The main advantages and drawbacks of the
different techniques were explored. Results obtained by the reviewed studies were
reported in terms of classification performance and biomarker outcomes, in order
to shed light on the parameters that accompany normal and pathological aging.
Unresolved issues and possible future directions were finally pointed out.
PMID- 26567736
TI - Diagnosis of Neurodegenerative Diseases: The Clinical Approach.
AB - There are a number of clinical questions for which there are no easy answers,
even for well-trained doctors. The diagnostic tool commonly used to assess
cognitive impairment in neurodegenerative diseases is based on established
clinical criteria. However, the differential diagnosis between disorders can be
difficult, especially in early phases or atypical variants. This takes on
particular importance when it is still possible to use an appropriate treatment.
To solve this problem, physicians need to have access to an arsenal of diagnostic
tests, such as neurofunctional imaging, that allow higher specificity in clinical
assessment. However, the reliability of diagnostic tests may vary from one to the
next, so the diagnostic validity of a given investigation must be estimated by
comparing the results obtained from "true" criteria to the "gold standard" or
reference test. While pathological analysis is considered to be the gold standard
in a wide spectrum of diseases, it cannot be applied to neurological processes.
Other approaches could provide solutions, including clinical patient follow-up,
creation of a data bank or use of computer-aided diagnostic algorithms. In this
article, we discuss the development of different methodological procedures
related to analysis of diagnostic validity and present an example from our own
experience based on the use of I-123-ioflupane-SPECT in the study of patients
with movement disorders. The aim of this chapter is to approach the problem of
diagnosis from the point of view of the clinician, taking into account specific
aspects of neurodegenerative disease.
PMID- 26567737
TI - Cortical and Subcortical Changes in Alzheimer's Disease: A Longitudinal and
Quantitative MRI Study.
AB - Quantitative MRI provides important information about tissue properties in brain
both in normal ageing and in degenerative disorders. Although it is well known
that those with Alzheimer's disease (AD) show a specific pattern and faster rate
of atrophy than controls, the precise spatial and temporal patterns of
quantitative MRI in AD are unknown. We aimed to investigate neuroimaging
correlates of AD using serial quantitative MRI. In our study, twenty-one subjects
with AD and thirty-two similar-aged healthy controls underwent two serial MRI
scans at baseline and 12 months. Tissue characteristics were captured using two
quantitative MRI parameters: longitudinal relaxation time (qT1) and transverse
relaxation time (qT2). The two groups (AD and controls) were statistically
compared using a voxel based quantification (VBQ) method based on Matlab and
SPM8. At baseline, subjects with AD showed a significant reduction of qT1 and qT2
compared to controls in bilateral temporal and parietal lobes, hippocampus, and
basal ganglia. This pattern was also observed at follow-up. Longitudinally, in AD
we found a significant increase rather than further reduction of qT1 and qT2 from
the baseline in bilateral hippocampus, thalamus and right caudate nucleus. In
addition, the longitudinal change of qT1 in left hippocampus was negatively
correlated with cognitive decline in AD over the 1-year period, and the general
disease severity significantly predicted the amount of increase of qT1 in
bilateral hippocampus over 12 months. The longitudinal change of qT2 in left
parahippocampus correlated with change in neuropsychiatric features over time. In
summary, quantitative MRI parameters were reduced in AD cross-sectionally, but
increased over time, showing distinct spatiotemporal patterns from the atrophy in
AD. We also showed the clinical relevance of quantitative MRI parameters,
indicating their potential promise as new imaging markers in AD.
PMID- 26567738
TI - Brain local and regional neuroglial alterations in Alzheimer's Disease: cell
types, responses and implications.
AB - From birth to death, neurons are dynamically accompanied by neuroglial cells in a
very close morphological and functional relationship. Three families have been
classically considered within the CNS: astroglia, oligodendroglia and microglia.
Many types/subtypes (including NGR2+ cells), with a wide variety of physiological
and pathological effects on neurons, have been described using morphological and
immunocytochemical criteria. Glio-glial, glio-neuronal and neuro-glial cell
signaling and gliotransmission are phenomena that are essential to support brain
functions. Morphofunctional changes resulting from the plasticity of all the
glial cell types parallel the plastic neuronal changes that optimize the
functionality of neuronal circuits. Moreover, neuroglia possesses the ability to
adopt a reactive status (gliosis) in which, generally, new functions arise to
improve and restore if needed the neural functionality. All these features make
neuroglial cells elements of paramount importance when attempting to explain any
physiological or pathological processes in the CNS, because they are involved in
both, neuroprotection/neurorepair and neurodegeneration. There exist diverse and
profound, regional and local, neuroglial changes in all involutive processes
(physiological and pathological aging; neurodegenerative disorders, including
Alzheimer 's disease -AD-), but today, the exact meaning of such modifications
(the modifications of the different neuroglial types, in time and place), is not
well understood. In this review we consider the different neuroglial cells and
their responses in order to understand the possible role they fulfill in
pathogenesis, diagnosis and treatment (preventive or palliative) of AD. The
existence of differentiated and/or concurrent pathogenic and neuro
protective/neuro-restorative astroglial and microglial responses is highlighted.
PMID- 26567739
TI - Blood-based biomarkers of Alzheimer's disease: diagnostic algorithms and new
technologies.
AB - New concepts about Alzheimer's disease (AD), considered as a clinical-biological
entity, make essential the definition of biomarkers that could be used for the in
vivo diagnosis of the disorder before dementia develops. Different types of
genetic, biochemical and neuroimaging markers have been described, highlighting
some of the changes that occur in the brain during the course of the disease, yet
there is little proof of their pathognomonic and diagnostic value. Furthermore,
many of the assays used are difficult to perform, the equipment/reagents are
expensive or potentially hazardous (e.g.; use of radioactive compounds, CSF
extraction). Thus, there is a need to define more suitable and convenient
approaches, such as the determination of blood parameters that are easy to obtain
and that can be repeated as necessary without contraindications. These data can
be used by algorithms that combine specific and non-specific changes to classify
patients at different stages of AD and/or distinguish AD from other related
diseases with a greater specificity and reliability (> 80%). The blood parameters
considered in this review are varied, including: beta-amyloid, tau,
apolipoproteins and proteins, as well as the metabolic behavior of blood cells,
etc. Among the proteins, cytokines/chemokines and other cell factors related to
both neuro-inflammatory and peripheral-inflammatory processes in AD are of prime
importance. New technologies to detect and quantify these substances, reasonably
priced such as the vibrational spectroscopy, panels of parameters and algorithms
to assess the results, would be fundamental for the early AD diagnosis and to
define new potential therapies.
PMID- 26567740
TI - Calcium signalling toolkits in astrocytes and spatio-temporal progression of
Alzheimer's disease.
AB - Pathological remodelling of astroglia represents an important component of the
pathogenesis of Alzheimer's disease (AD). In AD astrocytes undergo both atrophy
and reactivity; which may be specific for different stages of the disease
evolution. Astroglial reactivity represents the generic defensive mechanism, and
inhibition of astrogliotic response exacerbates b-amyloid pathology associated
with AD. In animal models of AD astroglial reactivity is different in different
brain regions, and the deficits of reactive response observed in entorhinal and
prefrontal cortices may be linked to their vulnerability to AD progression.
Reactive astrogliosis is linked to astroglial Ca(2+) signalling, this latter
being widely regarded as a mechanism of astroglial excitability. The AD pathology
evolving in animal models as well as acute or chronic exposure to beta-amyloid
induce pathological remodelling of Ca(2+) signalling toolkit in astrocytes. This
remodelling modifies astroglial Ca(2+) signalling and may be linked to cellular
mechanisms of AD pathogenesis.
PMID- 26567741
TI - Dysfunction of Glutamate Receptors in Microglia May Cause Neurodegeneration.
AB - Dysregulation of glutamate signalling is important in Alzheimer's disease and
other pathologies. There has been a focus on changes in neuronal glutamate
signalling, but microglia also express glutamate receptors (GluRs), which are
known to modulate their responses to neuropathology. Microglia express both
metabotropic and ionotropic GluRs. Among ionotropic GluRs, microglial AMPA (alpha
amino-hydroxy-5-methyl-isoxazole-4-propionate)-type of GluRs (AMPA-Rs) are Ca2+
impermeable due to the expression of subunit GluA2. Upon activation of microglia,
expression level of surface GluA2 subunits significantly increase, while
expression of GluA1, A3 and A4 subunits on membrane surface significantly
decrease. Owing to the GluA2 subunits-dominant composition, AMPA-Rs in activated
microglia show little response to Glu. On the other hand, microglia lacking GluA2
show higher Ca(2+)-permeability, consequently inducing a significant increase in
the release of the pro-inflammatory cytokine, such as TNF-alpha. It is suggested
that membrane translocation of GluA2-containing AMPA-Rs in activated microglia
has functional importance. Thus, dysfunction or decreased expression of GluA2
reported in patients with neurodegenerative diseases such as Alzheimer's and
Creutzfeldt-Jakob disease may accelerate Glu neurotoxicity via excess release of
proinflammatory cytokines from microglia, causing more neuronal death.
PMID- 26567742
TI - Effects of CX3CR1 and Fractalkine Chemokines in Amyloid Beta Clearance and p-Tau
Accumulation in Alzheimer's Disease (AD) Rodent Models: Is Fractalkine a Systemic
Biomarker for AD?
AB - Microglia and astrocytes are the major source of cytokines in Alzheimer,s disease
(AD). CX3CR1 is a delta chemokine receptor found in microglia and its neuronal
ligand, Fractalkine, has two isoforms: an anchored-membrane isoform, and a
soluble isoform. The reduced soluble fractalkine levels found in the brain
(cortex/hippocampus) of aged rats, may be a consequence of neuronal loss. This
soluble fractalkine maintains microglia in an appropiate state by interacting
with CX3CR1. The ablation of the CX3CR1 gene in mice overexpressing human amyloid
precursor protein (APP/PS-1) increased cytokine levels, enhanced Tau pathology
and worsened behavioural performance in these mice. However, CX3CR1 deficiency
resulted in a gene dose-dependent Abeta clearance in the brain, and induced
microglial activation. In addition, CX3CR1 deficiency can have benefical effects
by preventing neuronal loss in the 3xTg model. In fact, CX3CR1 deficiency
increases microglial phagocytosome activity by inducing selective protofibrillar
amyloid-beta phagocytosis in microglial cells in transgenic AD models. On the
other hand, the fractalkine membrane isoform plays a differential role in amyloid
beta clearance and Tau deposition. This anchored membrane FKN signalling might
increase amyloid pathology while soluble fractalkine levels could prevent
taupathies. However, in human AD, the only published study has reported higher
systemic fractalkine levels in AD patients with cognitive impairment. In mouse
models, inflammatory activation of microglia accelerates Tau pathology. Studies
in transgenic mice with fractalkine null mice suggest that APP/PS-1 mice
deficient for the anchored membrane-fractalkine isoform exhibited enhanced
neuronal MAPT phosphorylation despite their reduced amyloid burden. The soluble
fractalkine overexpression with adenoviral vectors reduced tau pathology and
prevented neurodegeneration in a Tg4510 model of taupathy Finally, animals with
Abeta (1-42) infused by lentivirus (cortex) or mice with the P301L mutation
(frontotemporal dementia) had caspase-3 activation (8-fold) and higher
proinflammatory TNF alpha levels and p-Tau deposits at 4 weeks postinfusion.
Thus, the CX3CR1/Fractalkine axis regulates microglial activation, the clearance
of amyloid plaque and plays a role in p-Tau intraneuronal accumulation in rodent
models of AD.
PMID- 26567743
TI - Decreased Regenerative Capacity of Oligodendrocyte Progenitor Cells (NG2-Glia) in
the Ageing Brain: A Vicious Cycle of Synaptic Dysfunction, Myelin Loss and
Neuronal Disruption?
AB - Oligodendrocytes are specialised glial cells that myelinate CNS axons. Myelinated
axons are bundled together into white matter tracts that interconnect grey matter
areas of the brain and are essential for rapid, integrated neuronal communication
and cognitive function. Life-long generation of oligodendrocytes is required for
myelination of new neuronal connections and repair of myelin lost through natural
'wear and tear'. This is the function of a substantial population of adult
oligodendrocyte progenitors (OPs). Notably, there is white matter shrinkage and
decreased myelination in the ageing brain, which is accelerated in dementia. The
underlying causes of myelin loss in dementia are unresolved, but it implies a
decline in the regenerative capacity of OPs. A feature of OPs is that they form
neuron-glial synapses and respond to glutamate released by neurons via a range of
glutamate receptors. Glutamate neurotransmission onto OPs is proposed to regulate
their proliferation and differentiation into myelinating oligodendrocytes. Here,
we discuss evidence that deregulation of glutamate neurotransmission in dementia
and compromised generation of oligodendrocytes from OPs are key features of
myelin loss and associated cognitive decline.
PMID- 26567744
TI - Eigenanatomy on Fractional Anisotropy Imaging Provides White Matter Anatomical
Features Discriminating Between Alzheimer's Disease and Late Onset Bipolar
Disorder.
AB - BACKGROUND: Late Onset Bipolar Disorder (LOBD) is the arousal of Bipolar Disorder
(BD) at old age (>60) without any previous history of disorders. LOBD is often
difficult to distinguish from degenerative dementias, such as Alzheimer Disease
(AD), due to comorbidities and common cognitive symptoms. Moreover, LOBD
prevalence is increasing due to population aging. Biomarkers extracted from blood
plasma are not discriminant because both pathologies share pathophysiological
features related to neuroinflammation, therefore we look for anatomical features
highly correlated with blood biomarkers that allow accurate diagnosis prediction.
This may shed some light on the basic biological mechanisms leading to one or
another disease. Moreover, accurate diagnosis is needed to select the best
personalized treatment. OBJECTIVE: We look for white matter features which are
correlated with blood plasma biomarkers (inflammatory and neurotrophic)
discriminating LOBD from AD. MATERIALS: A sample of healthy controls (HC) (n=19),
AD patients (n=35), and BD patients (n=24) has been recruited at the Alava
University Hospital. Plasma biomarkers have been obtained at recruitment time.
Diffusion weighted (DWI) magnetic resonance imaging (MRI) are obtained for each
subject. METHODS: DWI is preprocessed to obtain diffusion tensor imaging (DTI)
data, which is reduced to fractional anisotropy (FA) data. In the selection
phase, eigenanatomy finds FA eigenvolumes maximally correlated with plasma
biomarkers by partial sparse canonical correlation analysis (PSCCAN). In the
analysis phase, we take the eigenvolume projection coefficients as the
classification features, carrying out cross-validation of support vector machine
(SVM) to obtain discrimination power of each biomarker effects. The John Hopkins
Universtiy white matter atlas is used to provide anatomical localizations of the
detected feature clusters. RESULTS: Classification results show that one specific
biomarker of oxidative stress (malondialdehyde MDA) gives the best classification
performance ( accuracy 85%, F-score 86%, sensitivity, and specificity 87%, ) in
the discrimination of AD and LOBD. Discriminating features appear to be localized
in the posterior limb of the internal capsule and superior corona radiata.
CONCLUSION: It is feasible to support contrast diagnosis among LOBD and AD by
means of predictive classifiers based on eigenanatomy features computed from FA
imaging correlated to plasma biomarkers. In addition, white matter eigenanatomy
localizations offer some new avenues to assess the differential pathophysiology
of LOBD and AD.
PMID- 26567745
TI - Untangling Alzheimer's Disease Clinicoanatomical Heterogeneity Through Selective
Network Vulnerability - An Effort to Understand a Complex Disease.
AB - Alzheimer's disease (AD) is a clinically, anatomically and biologically
heterogeneous disorder encompassing a wide spectrum of cognitive profiles,
ranging from the typical amnestic syndrome to visuospatial changes in posterior
cortical atrophy, language deficits in primary progressive aphasia and
behavioural/executive dysfunctions in anterior variants. With the emergence of
functional imaging and neural network analysis using graph theory for instance,
some authors have hypothesized that this phenotypic variability is produced by
the differential involvement of large-scale neural networks - a model called
'molecular nexopathy'. At the moment, however, the hypothesized mechanisms
underlying AD's divergent network degeneration remain speculative and mostly
involve selective premorbid network vulnerability. Herein we present an overview
of AD's clinicoanatomical variability, outline functional imaging and graph
theory contributions to our understanding of the disease and discuss ongoing
debates regarding the biological roots of its heterogeneity. We finally discuss
the clinical promises of statistical signal processing disciplines (graph theory
and information theory) in predicting the trajectory of AD variants. This paper
aims to raise awareness about AD clinicoanatomical heterogeneity and outline how
statistical signal processing methods could lead to a better understanding,
diagnosis and treatment of AD variants in the future.
PMID- 26567746
TI - Microglia in Alzheimer's disease: the good, the bad and the ugly.
AB - Traditionally the brain has been viewed as being an immune-privileged organ.
However, endogenous stimuli such as the presence of misfolded or aggregated
proteins, as well as systemic inflammatory events may lead to the activation of
microglial cells, the brain's innate immune system, and, subsequently, to
neuroinflammation. Alzheimer's disease, the leading cause of dementia, is
characterized by amyloid beta deposition and tau hyperphosphorylation.
Neuroinflammation in Alzheimer's disease has been identified as major contributor
to disease pathogenesis. Once activated, microglia release several pro and anti
inflammatory mediators of which several affect the function and structure of the
brain. Modulation of this microglial activation in Alzheimer's disease might open
new therapeutic avenues.
PMID- 26567747
TI - Lymphocytes in Alzheimer's disease pathology: Altered signaling pathways.
AB - Alzheimer's disease (AD) is a neurodegenerative disorder marked by progressive
impairment of cognitive ability. Patients with AD display neuropathological
lesions including plaques, neurofibrillary tangles, and neuronal loss in brain
regions linked to cognitive functions. Despite progress in uncovering many of the
factors that contribute to the etiology of this disease, the cause of neuronal
death is largely unknown. Neuroinflammation seems to play a critical role in the
pathogenesis of AD. Inflammatory processes in the brain are mainly mediated by
the intrinsic innate immune system consisting of astrocytes and microglial cells,
and cytokine, chemokine, and growth factor signaling molecules. However mounting
evidence suggest that the Central Nervous System (CNS) is accessible to
lymphocytes and monocytes from the blood stream, indicating that there is an
intense crosstalk between the immune and the CN systems. On the other hand, some
AD-specific brain-derived proteins or metabolites may enter the plasma through a
deficient blood-brain barrier, and exert some measurable signaling properties in
peripheral cells. The goals of this review are: 1) to explore the evidences of
changes in signaling pathways that could mediate both central and peripheral
manifestations of AD, and 2) to explore whether changes in immune cells,
particularly lymphocytes, could contribute to AD pathogenesis.
PMID- 26567748
TI - Metabolic Control of Glia-Mediated Neuroinflammation.
AB - The central nervous system (CNS) shows dynamic immune and inflammatory responses
to a variety of insults having crucial implications for reactive gliosis. Glial
cells in the CNS serve not only as the source, but also as targets of
proinflammatory mediators. Undoubtedly, these cells efficiently work towards the
disposal of tissue debris and promotion of wound healing as well as tissue
repair. However, these non-neuronal glial cells synthesize and release numerous
inflammatory mediators, which can be detrimental to neurons, axons, myelin, and
the glia themselves. While an acute insult is typically transient and unlikely to
be detrimental to neuronal survival, chronic neuroinflammation is a long-standing
and often self-perpetuating response, which persists even long after the initial
injury or insult. It can serve as a point of origin for diverse neurological
disorders including Alzheimer's disease. Accumulating evidence demonstrates the
contribution of metabolic dysfunction and mitochondrial failure to the
pathogenesis of neuroinflammatory and neurodegenerative diseases.
Neurodegenerative conditions are also characterized by increased oxidative and
endoplasmic reticulum stresses and autophagy defects. Furthermore,
neuroinflammatory conditions are accompanied by an alteration in glial energy
metabolism. Here, we comprehensively review the metabolic hallmarks of glia
mediated neuroinflammation and how the glial metabolic shift orchestrates the
neuroinflammatory response and pathophysiology of diverse neurological disorders.
PMID- 26567749
TI - Exploring the Distribution of Prescription for Sulfonylureas in Patients with
Type 2 Diabetes According to Cardiovascular Risk Factors Within a Canadian
Primary Care Setting.
AB - BACKGROUND: A growing body of evidence generated from observational studies and
meta-analyses has begun to illustrate the potential adverse cardiovascular (CV)
risk profile associated with sulfonylurea (SU) use. Specifically, the use of an
SU has been demonstrated to be associated with increased mortality and a higher
risk of stroke with more CV events associated with SU use having been reported in
subgroups of patients with a history of CV disease, elderly and a higher body
mass index. OBJECTIVE: The objective of the current study was to explore the
distribution of established atherosclerotic CV disease and CV risk factors
amongst patients with diabetes on an SU using a Canadian primary care dataset for
the 2013 calendar year. METHODS: The Canadian Primary Care Sentinel Surveillance
Network (CPCSSN), which is a multi-disease surveillance system based on primary
care electronic medical record data, was utilized for this research study.
Patients with a diagnosis of diabetes and exposure to an SU were identified.
Distribution/prevalence of CV risk profile amongst this sub-cohort was explored.
RESULTS: In analyzing the CPCSSN database for the 2013 calendar year, 6150
patients were identified as having diabetes, at least one visit with their family
doctor, and on an SU. For this sub-cohort, demographic data was as follows: age
[mean (SD)] 65.4(12.8) years-old; 56.4% male and mean BMI 31.3(10.0). Established
atherosclerotic CV disease was observed in 16.8% of the patients with the
following distribution: 13.2% had ischemic heart disease/myocardial infarction or
coronary artery disease; 2.4% had stroke; and 2.3% had peripheral vascular
disease. Regarding the aggregation of CV risk factors, a large proportion (65%)
of patients without established atherosclerotic CV disease presented with 2 or
more CV risk factors including: hypertension (62%), dyslipidemia (33%), active
smoking (13%), and obesity (43%). Almost half of the cohort (45%) were males
older than 55 years of age or females older than 60 years of age with at least
one of the following risk factors: dyslipidemia, hypertension or current smoking,
but without established cardiovascular disease. A large proportion of patients
(19.5%) had a diagnosis of cardiac-specific issues including ischemic heart
disease/myocardial infarction/coronary artery disease, heart failure (not due to
ischemic heart disease/myocardial infarction/coronary artery disease), or
arrhythmia. Almost 82% of patients had either established atherosclerotic CV
disease or 2 or more CV risk factors without established atherosclerotic CV
disease. CONCLUSION: This study illustrated that in this dataset of Canadian
patients with diabetes in a primary care setting, a substantial proportion of
patients treated with an SU in 2013 had established CV disease and/or an
aggregation of multiple CV risk factors. In light of recent data reporting on an
association between SU utilization and CV events and increased mortality,
pharmacovigilance programs should actively monitor SU utilization in patients
with diabetes and a high risk CV profile in real world clinical settings.
PMID- 26567750
TI - Harnessing the alarmin HMGN1 for anticancer therapy.
PMID- 26567751
TI - Circulating microRNAs as diagnostic biomarkers for pancreatic cancer.
AB - There is an urgent need for novel and reliable biomarkers for the diagnosis and
prognostication of pancreatic ductal adenocarcinoma (PDAC). Circulating microRNAs
(miRNAs) have been extensively profiled in PDAC blood samples, but few studies
have performed adequate validation of candidate markers. The evaluated study by
Xu et al. investigated pre-operative plasma miRNAs from PDAC patients over three
phases and three surgical centers. They revealed miR-486-5p and miR-938 were able
to discriminate PDAC patients from healthy controls and those with chronic
pancreatitis. The diagnostic ability of miR-486-5p for identifying PDAC from
healthy controls was comparable to that of CA 19-9. This study provides further
evidence for the use of blood-based miRNAs as diagnostic biomarkers in PDAC.
However, as these have not been identified in previous studies these require
further validation and methodology needs to be standardized if these are ever to
be used in the clinic.
PMID- 26567752
TI - Oxidation pathway and exacerbations in COPD: the role of NAC.
AB - Oxidative stress is an important trait in the pathogenesis of chronic obstructive
pulmonary disease (COPD). Consequently, targeting oxidative stress is likely to
be beneficial as a treatment in COPD. Glutathione (GSH) is an intracellular
antioxidant that protects against a variety of different antioxidant species. The
increase of lung GSH in COPD is an attempt to counter excess oxidant production
but it is inadequate during exacerbations due to the excessive production of ROS.
N-acetyl-l-cysteine (NAC) acts as a precursor for the substrate cysteine in
synthesis of GSH and also as a mucolytic and anti-inflammatory agent. NAC
prevents COPD exacerbations at high dosage (>=1200 mg daily), while a regular
treatment with 600 mg daily is enough in chronic bronchitis. Nonetheless, we must
still establish whether the level of bronchial obstruction may influence its
effects, the effect of high-dose NAC in Caucasian patients with COPD, and the
role of NAC in the escalation and de-escalation of therapy in COPD.
PMID- 26567753
TI - Targeting HER 2 and angiogenesis in gastric cancer.
AB - Gastric cancer is one of the most commonly diagnosed and the second leading cause
of cancer death worldwide. Surgery combined with multimodal therapy remains the
only curative therapy. However, local relapse or distant metastases occur in more
than 50% of radically resected patients. Due to molecular therapies, targeting
HER2 and angiogenesis, major advances in the treatment of gastric cancer could be
achieved. Nevertheless, development of resistance to monoclonal antibodies, such
as trastuzumab, is arising. Currently a number of promising new therapeutic are
under investigation, combining chemotherapy with newly developed agents to
overcome cancer resistance. In this review we report current clinical
applications of targeted therapies and overview ongoing trials, investigating the
use of monoclonal antibodies in (HER2 positive) gastric cancer.
PMID- 26567754
TI - Structural Optimization of Triboelectric Nanogenerator for Harvesting Water Wave
Energy.
AB - Ocean waves are one of the most abundant energy sources on earth, but harvesting
such energy is rather challenging due to various limitations of current
technologies. Recently, networks formed by triboelectric nanogenerator (TENG)
have been proposed as a promising technology for harvesting water wave energy. In
this work, a basic unit for the TENG network was studied and optimized, which has
a box structure composed of walls made of TENG composed of a wavy-structured Cu
Kapton-Cu film and two FEP thin films, with a metal ball enclosed inside. By
combination of the theoretical calculations and experimental studies, the output
performances of the TENG unit were investigated for various structural
parameters, such as the size, mass, or number of the metal balls. From the
viewpoint of theory, the output characteristics of TENG during its collision with
the ball were numerically calculated by the finite element method and
interpolation method, and there exists an optimum ball size or mass to reach
maximized output power and electric energy. Moreover, the theoretical results
were well verified by the experimental tests. The present work could provide
guidance for structural optimization of wavy-structured TENGs for effectively
harvesting water wave energy toward the dream of large-scale blue energy.
PMID- 26567755
TI - Phytochemistry of Daphne oleoides.
AB - Genus Daphne belongs to the Thymelaeaceae family and consists of 70 species. Its
various species exist in Europe, Philippine Islands, temperate and subtropical
Asia, North Africa, Australia and Pacific. In Pakistan, Daphne is represented by
three species. Our focused Daphne oleoides is widely found in diverse climatic
conditions from northern cold to central hot regions which creates a rich
diversity and novelty in biosynthetic levels of its chemical constituents and
hence is a great opportunity. Daphne oeloides is a proven rich source of a
variety of unique and interesting nature-made skeletons with a wide range of
therapeutic properties. D. oleoides possesses effective therapeutic properties,
therefore, has been used in herbal medicines and is still being used to treat
various diseases. The modern research by various groups, including ourselves, has
resulted in the isolation of a number of natural molecules including some novel
tris- and bis- coumarins, daphnane diterpenoids and lignoids. Therefore, due to
novelty and richness of the nature-made molecules, and their therapeutic
potential combined with our significant work on D. oleoides, this report covers
chemical constituents isolated from D. oleoides. The pharmacological activities
of the isolated compounds and use of this species in folk medicine have also been
reviewed.
PMID- 26567756
TI - An up-to-date overview of the most recent transcatheter implantable aortic valve
prostheses.
AB - Over the past decade transcatheter aortic valve implantation (TAVI) has evolved
towards the routine therapy for high-risk patients with severe aortic valve
stenosis. Technical refinements in TAVI are rapidly evolving with a simultaneous
expansion of the number of available devices. This review will present an
overview of the current status of development of TAVI-prostheses; describes the
technical features and applicability of each device and the clinical data
available.
PMID- 26567757
TI - Assessing Temporal Brain Metabolite Changes in Preterm Infants Using Multivoxel
Magnetic Resonance Spectroscopy.
AB - PURPOSE: To investigate temporal changes in brain metabolites during the first
year of life in preterm infants using multivoxel proton magnetic resonance
spectroscopy ((1)H-MRS). METHODS: Seventeen infants born at 29 (25-33)
gestational week (median, range) weighing 1104 (628-1836) g underwent 1.5-T
multivoxel (1)H-MRS at 42 postconceptional week (PCW) and at 3, 6, 9, and 12
months after. We measured N-acetyl aspartate (NAA)/creatine (Cr), choline
(Cho)/Cr, myo-inositol (Ins)/Cr, NAA/Cho, and Ins/Cho ratios in the frontal lobe
(FL) and basal ganglia and thalamus (BG + Th). Linear regression analyses were
performed to identify longitudinal changes in infants showing normal imaging
findings and normal development. We also evaluated ratios of subjects with
abnormal imaging findings and/or development using the 95% confidence intervals
(CIs) of regression equations in normal subjects. RESULTS: In the 13 infants with
normal development, NAA/Cr and NAA/Cho ratios showed significant positive
correlations with PCWs in the FL (r = 0.64 and 0.83, respectively, both P < 0.01)
and BG + Th (r = 0.79 and 0.87, respectively, both P < 0.01), while Cho/Cr and
Ins/Cr ratios revealed significant negative correlations with PCWs in the FL (r =
0.69 and -0.58, respectively, both P < 0.01) and BG + Th (r =-0.74 and -0.72,
respectively, both P < 0.01). Ins/Cho ratios in the FL did not significantly
correlate with PCWs (r =-0.19, P = 0.18), while those in the BG + Th showed
significant negative correlation with PCWs (r =-0.44, P < 0.01). The metrics in
the abnormal group were within the normal group 95% CIs in all periods except a
few exceptions. CONCLUSIONS: Longitudinal multivoxel MRS is able to detect
temporal changes in major brain metabolites during the first year of life in
preterm infants.
PMID- 26567758
TI - Low WSS and High OSI Measured by 3D Cine PC MRI Reflect High Pulmonary Artery
Pressures in Suspected Secondary Pulmonary Arterial Hypertension.
AB - PURPOSE: Institutional Review Board (IRB)-approved prospective study was
conducted to test whether objective and quantitative hemodynamic markers wall
shear stress (WSS) and oscillatory shear index (OSI) measured by three
dimensional (3D) cine phase-contrast (PC) can reflect pulmonary arterial
hypertension (PAH). PATIENTS AND METHODS: Seventeen consecutive patients of
suspected secondary PAH were examined for pulmonary artery pressures (PAPs) with
right heart catheterization (RHC) and three-dimensional (3D) cine PC MR. Based on
the RHC data, patients were subdivided into two groups of 12 non-PAH (median age
of 74.5 years) and 5 PAH (median age of 77 years) patients. Based on 3D cine PC
magnetic resonance (MR), hemodynamic parameters including spatially averaged
systolic WSS (sWSS), diastolic WSS (dWSS), mean WSS (mWSS), OSI and blood vessel
section area (BVSA) at the pulmonary arterial trunk were calculated. Streamline
images in the pulmonary arteries were also assessed. All the parameters were
compared between non-PAH and PAH groups. RESULTS: sWSS (N/m(2)) and mWSS (N/m(2))
of PAH group was lower than that of non-PAH group (0.594 +/- 0.067 vs. 0.961 +/-
0.590, P = 0.001), (0.365 +/- 0.035 vs. 0.489 +/- 0.132, P = 0.027). OSI of PAH
group was higher than that of non-PAH (0.214 +/- 0.026 vs. 0.130 +/- 0.046, P =
0.001). sWSS, mWSS, and dWSS were inversely correlated and OSI was positively
correlated to mean PAP or systolic PAP with r values of -0.638 (P = 0.005),
0.643 (P = 0.005), -0.485 (P = 0.049), and 0.625 (P = 0.007); or -0.622 (P =
0.008), -0.629 (P = 0.007), -0.484 (P = 0.049), and 0.594 (P = 0.012),
respectively. sWSS was also inversely correlated to BVSA with r value of -0.488
(P = 0.049), and OSI was correlated to BVSA with r value of 0.574 (P = 0.016).
Vortex or helical flows were observed more frequently in PAH patients.
CONCLUSIONS: The low sWSS and mWSS as well as high OSI measured with 3D cine PC
MR could be potential hemodynamic markers for the increased PAP in suspected
secondary PAH patients.
PMID- 26567759
TI - Efficacy and safety of salvage therapy using Carfilzomib for relapsed or
refractory multiple myeloma patients: a multicentre retrospective observational
study.
AB - Carfilzomib has been established in previous years as a treatment for patients
with relapsed and/or refractory multiple myeloma (RR-MM). A retrospective
multicentre study to evaluate the clinical use of carfilzomib for RR-MM outside
of a clinical trial setting was conducted by our group. One hundred and thirty
five patients were included. All patients had been previously exposed to
bortezomib and 93% had also been treated with lenalidomide. The vast majority of
patients received carfilzomib as part of a two- or three-drug combination. The
overall response rate was 47.2%. Multivariate analysis revealed bortezomib
resistance, lenalidomide resistance and albumin <35 g/l to negatively impact the
likelihood of achieving response. The median duration of response was 8.4 months,
and was significantly higher in patients receiving three-drug combination and
patients presenting without extramedullary disease. The median progression-free
survival and overall survival for the entire cohort was 4.9 months (95%
confidence interval [CI] 3.8-6.4) and 12.2 months (95% CI 9-not reached),
respectively. Toxicity was manageable, although treatment-related death was seen
in 5% of patients. In the setting of progressive multiple myeloma, carfilzomib in
a combination regimens yields effective results with a manageable toxicity.
PMID- 26567760
TI - Cost-Effective and Highly Photoresponsive Nanophosphor-P3HT Photoconductive
Nanocomposite for Near-Infrared Detection.
AB - The advent of flexible optoelectronic devices has accelerated the development of
semiconducting polymeric materials. We seek to replace conventional expensive
semiconducting photodetector materials with our cost-effective composite system.
We demonstrate in this work the successful fabrication of a photoconductive
composite film of poly(3-hexylthiophene-2,5-diyl) (P3HT) mixed with NaYF4:Yb,Er
nanophosphors that exhibited a ultrahigh photoresponse to infrared radiation. The
high photocurrent measured was enabled by the unique upconversion properties of
NaYF4:Yb,Er nanophosphors, where low photon energy infrared excitations are
converted to high photon energy visible emissions that are later absorbed by
P3HT. Here we report, a significant 1.10 * 10(5) times increment of photocurrent
from our photoconductive composite film upon infrared light exposure, which
indicates high optical-to-electrical conversion efficiency. Our reported work
lays the groundwork for the future development of printable, portable flexible
and functional photonic composites for light sensing and harvesting, photonic
memory devices, and phototransistors.
PMID- 26567761
TI - Site Selective Doping of Ultrathin Metal Dichalcogenides by Laser-Assisted
Reaction.
AB - Laser-assisted phosphorus doping is demonstrated on ultrathin transition-metal
dichalcogenides (TMDCs) including n-type MoS2 and p-type WSe2 . Temporal and
spatial control of the doping is achieved by varying the laser irradiation power
and time, demonstrating wide tunability and high site selectivity with high
stability. The laser-assisted doping method may enable a new avenue for
functionalizing TMDCs for customized nanodevice applications.
PMID- 26567762
TI - Practice-based Research Program Promotes Dietitians' Participation in Research.
AB - Barriers to dietitians' participation in research include lack of time, self
perceived competence, confidence, administrative support, and funding. Providence
Health Care, a multi-site health care organization in Vancouver, British Columbia
implemented the Practice-based Research Challenge (RC), a 1-year research
program, to support interdisciplinary teams of nurses and allied health
professionals to conduct practice-relevant research projects. Funding, mentoring,
and research education were provided to research teams. From 2011 to 2015, 37% of
all dietitians in the organization were involved in the RC in 4 cohorts of the 1
year program. An online survey was conducted to understand these dietitians'
interest and experience in the RC. The survey results indicated that the major
reasons for participating in the program were to increase knowledge, improve
patient care, and to work on a project of interest. Respondents thought they
gained knowledge, enhanced professional development, and improved patient care. A
majority stated they would likely conduct future research. The RC enabled and
supported dietitians' participation in research; infrastructure supports for
research and enabling a culture of research participation are key contributors to
promoting dietitians involvement in research.
PMID- 26567763
TI - Sensitivity analysis for missing data in regulatory submissions.
AB - The National Research Council Panel on Handling Missing Data in Clinical Trials
recommended that sensitivity analyses have to be part of the primary reporting of
findings from clinical trials. Their specific recommendations, however, seem not
to have been taken up rapidly by sponsors of regulatory submissions. The NRC
report's detailed suggestions are along rather different lines than what has been
called sensitivity analysis in the regulatory setting up to now. Furthermore, the
role of sensitivity analysis in regulatory decision-making, although discussed
briefly in the NRC report, remains unclear. This paper will examine previous
ideas of sensitivity analysis with a view to explaining how the NRC panel's
recommendations are different and possibly better suited to coping with present
problems of missing data in the regulatory setting. It will also discuss, in more
detail than the NRC report, the relevance of sensitivity analysis to decision
making, both for applicants and for regulators. Published 2015. This article is a
U.S. Government work and is in the public domain in the USA.
PMID- 26567764
TI - Costs of pleasure and the benefits of pain: self-perceived genital sensation,
anatomy and sexual dysfunction.
AB - Background Research has highlighted the complex association between female sexual
dysfunction (FSD) and distress regarding sexual activity, with decreased physical
pleasure being an important mediator. The current study aims to elucidate the
association between pleasurable and painful genital sensitivity and FSD, and to
further investigate whether FSD may be distressing because it prevents the
experience of sexual pleasure, induces pain or both. METHODS: Sexually active
women (n=256; median, 22 years; range, 18-49 years) completed web-based
questionnaires, including the Self-Assessment of Genital Anatomy and Sexual
Function, the Female Sexual Function Index and the Female Sexual Distress Scale.
RESULTS: Women reported their clitoris to be more sensitive than their vagina in
terms of having more pleasurable responses (P<0.001), but not more painful
responses (P=0.49). In women with FSD (n=36), impaired self-perceived genital
sensation was found: they reported significantly less sexual pleasure and orgasm
intensity, and more orgasm effort and discomfort within the clitoral and vaginal
area than women without FSD (n=220) (P-value<0.05). The odds of having FSD were
significantly greater in women with perceived increased discomfort in the vaginal
area during stimulation (odds ratio=5.59, P=0.009, 95% confidence interval: 1.53
20.39), but not in the clitoral area. CONCLUSIONS: The data provide evidence of
the relevance of self-perceived genital sensitivity to sexual pleasure and
overall sexual experience. Enhancing the pleasurableness of genital sensations,
especially during partnered sex, could decrease the likelihood of experiencing
pain and concomitant FSD.
PMID- 26567766
TI - Correction: Formal [3+2] cycloaddition of Ugi adducts towards pyrrolines.
AB - Correction for 'Formal [3+2] cycloaddition of Ugi adducts towards pyrrolines' by
Abdelbari Ben Abdessalem et al., Chem. Commun., 2015, 51, 1116-1119.
PMID- 26567765
TI - The presence of genomic imbalances is associated with poor outcome in patients
with burkitt lymphoma treated with dose-intensive chemotherapy including
rituximab.
AB - The introduction of Rituximab has improved the outcome and survival rates of
Burkitt lymphoma (BL). However, early relapse and refractoriness are current
limitations of BL treatment and new biological factors affecting the outcome of
these patients have not been explored. This study aimed to identify the presence
of genomic changes that could predict the response to new therapies in BL. Forty
adolescent and adult BL patients treated with the Dose-Intensive Chemotherapy
Including Rituximab (Burkimab) protocol (Spanish Programme for the Study and
Treatment of Haematological Malignancies; PETHEMA) were analysed using array
based comparative genomic hybridization (CGH). In addition, the presence of TP53,
TCF3 (E2A), ID3 and GNA13 mutations was assessed by next-generation sequencing
(NGS). Ninety-seven per cent of the patients harboured genomic imbalances. Losses
on 11q, 13q, 15q or 17p were associated with a poor response to Burkimab therapy
(P = 0.038), shorter progression-free survival (PFS; P = 0.007) and overall
survival (OS; P = 0.009). The integrative analysis of array-CGH and NGS showed
that 26.3% (5/19) and 36.8% (7/19) of patients carried alterations in the TP53
and TCF3 genes, respectively. TP53 alterations were associated with shorter PFS
(P = 0.011) while TCF3 alterations were associated with shorter OS (P = 0.032).
Genetic studies could be used for risk stratification of BL patients treated with
the Burkimab protocol.
PMID- 26567767
TI - A framework for administrative claim data to explore healthcare coordination and
collaboration.
AB - Previous studies have documented the application of electronic health insurance
claim data for health services research purposes. In addition to administrative
and billing details of healthcare services, insurance data reveal important
information regarding professional interactions and/or links that emerge among
healthcare service providers through, for example, informal knowledge sharing. By
using details of such professional interactions and social network analysis
methods, the aim of the present study was to develop a research framework to
explore health care coordination and collaboration. The proposed framework was
used to analyse a patient-centric care coordination network and a physician
collaboration network. The usefulness of this framework and its applications in
exploring collaborative efforts of different healthcare professionals and service
providers is discussed.
PMID- 26567768
TI - Vedolizumab for the treatment of ulcerative colitis.
AB - INTRODUCTION: With a need for a larger armamentarium of therapeutic options for
those suffering from moderate-to-severe ulcerative colitis, vedolizumab, an
alpha4beta7-integrin biologic therapy, has recently received approval in the
United States, Canada and Europe. However, it is unclear where it should be
positioned in relation to current therapies for moderate-to-severe ulcerative
colitis. AREAS COVERED: We systematically reviewed the literature for phase 1,
phase 2 and phase 3 randomized controlled trials assessing the role of
vedolizumab in ulcerative colitis. EXPERT OPINION: Trials assessing vedolizumab
highlight its arrival as an effective and safe therapy for patients suffering
from ulcerative colitis.
PMID- 26567769
TI - Subannular tube insertion: anatomical considerations.
AB - OBJECTIVES: To assess the distance between the bony groove created during
subannular tubes placement and the chorda tympani, and examine the depth of the
hypotympanum and retrotympanum. METHOD: Grooves drilled in cadaver temporal bones
at two levels were imaged to measure: the distance between the chorda tympani
nerve and the tympanic sulcus, and the depth of the hypotympanum and the
retrotympanum relative to the annulus. RESULTS: The chorda tympani was between 0
and 5 mm from the groove cut across the annulus. The hypotympanum average depth
was 2 mm (0.44-6.40 mm) and the retrotympanum average depth was 1 mm (0-2.53 mm).
CONCLUSION: Grooves drilled across the tympanic sulcus should be placed at a
point 20 per cent of the height of the tympanic membrane or lower; this will
ensure least risk of injury to the chorda tympani nerve. The depth of the
hypotympanum and retrotympanum dictates that the posteroinferior part of a
subannular tube flange should be approximately 2 * 1 mm.
PMID- 26567770
TI - Radiological dose reconstruction for birds reconciles outcomes of Fukushima with
knowledge of dose-effect relationships.
AB - We reconstructed the radiological dose for birds observed at 300 census sites in
the 50-km northwest area affected by the accident at the Fukushima Daiichi
nuclear power plant over 2011-2014. Substituting the ambient dose rate measured
at the census points (from 0.16 to 31 MUGy h(-1)) with the dose rate
reconstructed for adult birds of each species (from 0.3 to 97 MUGy h(-1)), we
confirmed that the overall bird abundance at Fukushima decreased with increasing
total doses. This relationship was directly consistent with exposure levels found
in the literature to induce physiological disturbances in birds. Among the 57
species constituting the observed bird community, we found that 90% were likely
chronically exposed at a dose rate that could potentially affect their
reproductive success. We quantified a loss of 22.6% of the total number of
individuals per increment of one unit log10-tansformed total dose (in Gy), over
the four-year post-accident period in the explored area. We estimated that a
total dose of 0.55 Gy reduced by 50% the total number of birds in the study area
over 2011-2014. The data also suggest a significant positive relationship between
total dose and species diversity.
PMID- 26567771
TI - Spiritual or religious struggle in hematopoietic cell transplant survivors.
AB - BACKGROUND: This study describes the prevalence of religious or spiritual (R/S)
struggle in long-term survivors after hematopoietic cell transplantation (HCT),
demographic and medical correlates of R/S struggle, and its associations with
depression and quality of life. METHODS: Data were collected in conjunction with
an annual survey of adult (age >=18 years) survivors of HCT. Study measures
included R/S struggle (negative religious coping, NRC, from Brief RCOPE),
measures of quality of life (subscales from 36-item Short Form Health Survey and
McGill), and the Patient Health Questionnaire 8. R/S struggle was defined as any
non-zero response on the NRC. Factors associated with R/S struggle were
identified using multi-variable logistic regression models. RESULTS: The study
analyzed data from 1449 respondents who ranged from 6 months to 40 years after
HCT. Twenty-seven percent had some R/S struggle. In a multi-variable logistic
regression model, R/S struggle was associated with greater depression and poorer
quality of life. R/S struggle was also associated with younger age, non-White
race, and self-identification as either religious but not spiritual or spiritual
but not religious. R/S struggle was not associated with any medical variables,
including time since transplant. CONCLUSIONS: Religious or spiritual struggle is
common among HCT survivors, even many years after HCT. Survivors should be
screened and, as indicated, referred to a professional with expertise in R/S
struggle. Further study is needed to determine causal relationships, longitudinal
trajectory, impact of struggle intensity, and effects of R/S struggle on health,
mood, and social roles for HCT survivors. Copyright (c) 2015 John Wiley & Sons,
Ltd.
PMID- 26567772
TI - Cloning and expression of trehalose-6-phosphate synthase 1 from Rhizopus oryzae.
AB - Trehalose is a reducing disaccharide acting as a protectant against environmental
stresses in many organisms. In fungi, Trehalose-6-phosphate synthase 1 (TPS1)
plays a key role in the biosynthesis of trehalose. In this study, a full-length
cDNA from Rhizopus oryzae encoding TPS1 (designated as RoTPS1) was isolated. The
RoTPS1 cDNA is composed of 2505 nucleotides and encodes a protein of 834 amino
acids with a molecular mass of 97.8 kDa. The amino acid sequence of RoTPS1 has a
relatively high homology with the TPS1s in several other filamentous fungi.
RoTPS1 was cloned into Saccharomyces cerevisiae and secretively expressed.
PMID- 26567773
TI - Targeting inhibition of extracellular signal-regulated kinase kinase pathway with
AZD6244 (ARRY-142886) suppresses growth and angiogenesis of gastric cancer.
AB - AZD6244 (ARRY-142886), a highly selective MAPK-ERK kinase inhibitor, has shown
excellent clinical efficacy in many tumors. However, the anti-tumor and anti
angiogenesis efficacy of AZD6244 on gastric cancer has not been well
characterized. In this study, high p-ERK expression was associated with advanced
TNM stage, increased lymphovascular invasion and poor survival. For absence of
NRAS, KRAS and BRAF mutation, SGC7901 and BGC823 gastric cancer cells were
relative resistance to AZD6244 in vitro. And such resistance was not attributed
to the insufficient inhibition of ERK phosphorylation. However, tumor growth was
significantly suppressed in SGC7901 xenografts by blockage of angiogenesis. This
result was further supported by suppression of tube formation and migration in
HUVEC cells after treatment with AZD6244. Moreover, the anti-angiogenesis effect
of AZD6244 may predominantly attribute to its modulation on VEGF through p-ERK -
c-Fos - HIF-1alpha integrated signal pathways. In conclusions, High p-ERK
expression was associated with advanced TNM stage, increased lymphovascular
invasion and poor survival. Targeting inhibition of p-ERK by AZD6244 suppress
gastric cancer xenografts by blockage of angiogenesis without systemic toxicity.
The anti-angiogenesis effect afford by AZD6244 may attribute to its modulation on
p-ERK - c-Fos - HIF-1alpha - VEGF integrated signal pathways.
PMID- 26567774
TI - A dual-mode colorimetric and fluorometric "light on" sensor for thiocyanate based
on fluorescent carbon dots and unmodified gold nanoparticles.
AB - A novel, highly sensitive and selective dual-readout (colorimetric and
fluorometric) sensor based on fluorescent carbon dots (CDs) and unmodified gold
nanoparticles (AuNPs) for the detection of thiocyanate (SCN(-)) was proposed.
Amino-functionalized CDs could be readily adsorbed onto the surface of citrate
stabilized AuNPs through Au-N interactions, leading to the aggregation of AuNPs
and the nonfluorescent off-state of CDs arising from potential fluorescence
resonance energy transfer (FRET). However, SCN(-) had a stronger affinity toward
AuNPs and could compete with CDs to bind onto the surface of AuNPs in priority,
which prevented the aggregation of AuNPs and fluorescence quenching of CDs.
Correspondingly, both the colorimetric and fluorometric signals remained "light
on". The color of the sensing solution remained red and the fluorescence remained
unquenched. A distinguishable change in the color was observed at a SCN(-)
concentration of 1 MUM by the naked eye and a detection limit as low as 0.036 MUM
was obtained by virtue of fluorescence spectroscopy. Both colorimetric and
fluorometric sensors exhibited excellent selectivity toward SCN(-) over other
common metallic ions and anions. In addition, such a sensing assay featured
simplicity, rapidity, cost-effectiveness and ease of operation without further
modification. The accuracy and precision were evaluated based on the quantitative
detection of SCN(-) in tap water and saliva samples with satisfactory results.
PMID- 26567775
TI - Screening of peroxynitrite scavengers in Flos Lonicerae by using two new methods,
an HPLC-DAD-CL technique and a peroxynitrite spiking test followed by HPLC-DAD
analysis.
AB - INTRODUCTION: Peroxynitrite is involved in the pathogenesis of a number of
significant diseases. Peroxynitrite scavengers thus have potential application in
understanding and treating these diseases. It is, therefore, important to
establish screening methods able to rapidly identify peroxynitrite scavengers
from herbal plants. OBJECTIVE: To develop effective and easily operable screening
methods for identifying peroxynitrite scavengers in complex matrices, including
Chinese herbal medicines. METHODS: Two simple and efficient screening methods
have been developed for the identification of natural peroxynitrite scavengers in
Flos Lonicerae Japonicae (FLJ). Method I used HPLC-DAD-(luminol-peroxynitrite)-CL
techniques combined with Q-TOF MS/MS analysis, while Method II used pre-column
reaction of the sample with peroxynitrite, followed by HPLC separation and Q-TOF
MS/MS analysis. RESULTS: Five peroxynitrite scavengers (neochlorogenic acid,
chlorogenic acid, 3,4-O-dicaffeoyl quinic acid, 3,5-O-dicaffeoyl quinic acid and
4,5-O-dicaffeoyl quinic acid) were identified in FLJ using Method I. Besides the
compounds identified using Method I, three additional peroxynitrite scavengers
(rutin, isoquercitrin and luteoloside) were identified using Method II.
CONCLUSION: The two new methods proved to be complementary and the use of these
methods should allow rapid detection of peroxynitrite-scavenging natural products
from FLJ and other complex matrices.
PMID- 26567776
TI - Temperature driven changes in the diet preference of omnivorous copepods: no more
meat when it's hot?
AB - Herbivory is more prevalent in the tropics than at higher latitudes. If
differences in ambient temperature are the direct cause for this phenomenon, then
the same pattern should be visible in a seasonal gradient, as well as in
experiments manipulating temperature. Using (15)N stable isotope analyses of
natural populations of the copepod Temora longicornis we indeed observed seasonal
differences in the trophic level of the copepod and a decrease in trophic level
with increasing temperature. In a grazing experiment, with a mixed diet of the
cryptophyte Rhodomonas salina and the heterotrophic dinoflagellate Oxyrrhis
marina, T. longicornis preferred the cryptophyte at higher temperatures, whereas
at lower temperatures it preferred the non-autotrophic prey. We explain these
results by the higher relative carbon content of primary producers compared to
consumers, in combination with the higher demand for metabolic carbon at higher
temperatures. Thus, currently increasing temperatures may cause changes in
dietary preferences of many consumers.
PMID- 26567777
TI - Techniques: Exploring protein-RNA interactions with RNA Tagging.
PMID- 26567778
TI - Chromatin: Moving a TAD closer to unravelling chromosome architecture.
PMID- 26567779
TI - NMR analysis of the binding mode of two fungal endo-beta-1,4-mannanases from GH5
and GH26 families.
AB - The enzymatic digestion of the main components of lignocellulosic biomass,
including plant cell wall mannans, constitutes a fundamental step in the
renewable biofuel production, with great potential benefit in the industrial
field. Despite several reports of X-ray structures of glycoside hydrolases, how
polysaccharides are specifically recognized and accommodated in the enzymes
binding site still remains a pivotal matter of research. Within this frame, NMR
spectroscopic techniques provide key binding information, complementing and/or
enhancing the structural view by X-ray crystallography. Here we provide deep
insights into the binding mode of two endo-beta-1,4 mannanases from the
coprophilous ascomycete Podospora anserina, PaMan26A and PaMan5A, involved in the
hydrolysis of plant cell wall mannans and heteromannans. The investigation at a
molecular level of the interaction between the wild-type enzymes and inactive
mutants with manno-oligosaccharides, revealed a different mode of action among
the two glycoside hydrolases most likely due to the presence of the additional
and peculiar -4 subsite in the PaMan26A binding pocket.
PMID- 26567780
TI - Unsolvated Al(C6F5)3: structural features and electronic interaction with
ferrocene.
AB - Alkyl/aryl ligand exchange between AlEt3 and B(C6F5)3 in hexanes enables the
formation and isolation of the unsolvated Al(C6F5)3 as a crystalline solid, the
structure of which has been determined by single-crystal X-ray diffraction
analysis. Instead of forming the anticipated AlF contacts with the seemingly more
accessible meta- and para-F's of -C6F5 groups, two Al(C6F5)3 molecules form a
dimeric structure with double AlF interactions between the Al center of one
molecule and the ortho-F atom of the -C6F5 group on the other molecule. This mode
of interactions is apparently linked to the thermal and shock sensitivity of the
unsolvated Al(C6F5)3 in the solid state. To compare with the B(C6F5)3/ferrocene
frustrated Lewis pair system, the complexation between Al(C6F5)3 and ferrocene
has also been studied, which affords a stable adduct formed through the eta(1)
coordination of Al to one of the CCp atoms, similar to the alane-toluene or
benzene complex.
PMID- 26567781
TI - Time-Resolved Ultra-High Resolution Optical Coherence Tomography for Real-Time
Monitoring of Selective Retina Therapy.
AB - PURPOSE: Selective retina therapy (SRT) is a novel treatment for retinal
pathologies, solely targeting the RPE. During SRT, the detection of an immediate
tissue reaction is challenging, as tissue effects remain limited to intracellular
RPE photodisruption. Time-resolved ultra-high axial resolution optical coherence
tomography (OCT) is thus evaluated for the monitoring of dynamic optical changes
at and around the RPE during SRT. METHODS: An experimental OCT system with an
ultra-high axial resolution of 1.78 MUm was combined with an SRT system and time
resolved OCT M-scans of the target area were recorded from four patients
undergoing SRT. Optical coherence tomography scans were analyzed and OCT
morphology was correlated with findings in fluorescein angiography, fundus
photography, and cross-sectional OCT. RESULTS: In cases in which the irradiation
caused RPE damage proven by fluorescein angiography, the lesions were well
discernible in time-resolved OCT images but remained invisible in fundus
photography and cross-sectional OCT acquired after treatment. If RPE damage was
introduced, all applied SRT pulses led to detectable signal changes in the time
resolved OCT images. The extent of optical signal variation seen in the OCT data
appeared to scale with the applied SRT pulse energy. CONCLUSIONS: The first
clinical results proved that successful SRT irradiation induces detectable
changes in the OCT M-scan signal while it remains invisible in conventional
ophthalmoscopic imaging. Thus, real-time high-resolution OCT is a promising
modality to monitor and analyze tissue effects introduced by selective retina
therapy and may be used to guide SRT in an automatic feedback mode
(www.swissmedic.ch number, 2011-MD-0006).
PMID- 26567782
TI - Enriched Cultures of Retinal Cells From BJNhem20 Human Embryonic Stem Cell Line
of Indian Origin.
AB - PURPOSE: To test the retinal differentiation potential and to establish an
optimized protocol for enriching retinal cells from an Indian origin, human
embryonic stem cell (hESC) line, BJNhem20. METHODS: The BJNhem20 cells were
cultured and expanded under feeder-free culture conditions. Differentiation was
initiated by embryoid body (EB) formation and were cultured on Matrigel in neural
induction medium (NIM) for 1 week and further maintained in retinal
differentiation medium (RDM). After 1 month, the neuro-retinal progenitor
clusters located at the center of pigmented retinal patches were picked and
cultured as suspended neurospheres in RDM for 3 days and subsequently on Matrigel
in neuro-retinal medium. The mildly pigmented, immature retinal pigmented
epithelial (RPE) cells were picked separately and cultured on Matrigel in RPE
medium (RPEM). After 1 week, the confluent neuro-retinal and RPE cultures were
maintained in RDM for 2 to 3 months and characterized by immunofluorescence and
RT-PCR. RESULTS: The BJNhem20 cells efficiently differentiated into both neuro
retinal and RPE cells. The early retinal progenitors expressed Nestin, GFAP,
Pax6, Rx, MitfA, Chx10, and Otx2. Neuro-retinal cells expressed the neural
markers, Map2, beta-III tubulin, acetylated tubulin and photoreceptor-specific
markers, Crx, rhodopsin, recoverin, calbindin, PKC, NeuroD1, RLBP1, rhodopsin
kinase, PDE6A, and PDE6C. Mature RPE cells developed intense pigmentation within
3 months and showed ZO-1 and Phalloidin staining at cell-cell junctions and
expressed RPE65, tyrosinase, bestrophin1, Mertk, and displayed phagocytic
activity. CONCLUSIONS: This study confirms the retinal differentiation potential
of BJNhem20 cells and describes an optimized protocol to generate enriched
populations of neuro-retinal and RPE cells.
PMID- 26567783
TI - Differentiation of Diabetic Macular Edema From Pseudophakic Cystoid Macular Edema
by Spectral-Domain Optical Coherence Tomography.
AB - PURPOSE: To differentiate diabetic macular edema (DME) from pseudophakic cystoid
macular edema (PCME) based solely on spectral-domain optical coherence tomography
(SD-OCT). METHODS: This cross-sectional study included 134 participants: 49 with
PCME, 60 with DME, and 25 with diabetic retinopathy (DR) and ME after cataract
surgery. First, two unmasked experts classified the 25 DR patients after cataract
surgery as either DME, PCME, or mixed-pattern based on SD-OCT and color-fundus
photography. Then all 134 patients were divided into two datasets and graded by
two masked readers according to a standardized reading-protocol. Accuracy of the
masked readers to differentiate the diseases based on SD-OCT parameters was
tested. Parallel to the masked readers, a computer-based algorithm was
established using support vector machine (SVM) classifiers to automatically
differentiate disease entities. RESULTS: The masked readers assigned 92.5% SD-OCT
images to the correct clinical diagnose. The classifier-accuracy trained and
tested on dataset 1 was 95.8%. The classifier-accuracy trained on dataset 1 and
tested on dataset 2 to differentiate PCME from DME was 90.2%. The classifier
accuracy trained and tested on dataset 2 to differentiate all three diseases was
85.5%. In particular, higher central-retinal thickness/retinal-volume ratio,
absence of an epiretinal-membrane, and solely inner nuclear layer (INL)-cysts
indicated PCME, whereas higher outer nuclear layer (ONL)/INL ratio, the absence
of subretinal fluid, presence of hard exudates, microaneurysms, and ganglion cell
layer and/or retinal nerve fiber layer cysts strongly favored DME in this model.
CONCLUSIONS: Based on the evaluation of SD-OCT, PCME can be differentiated from
DME by masked reader evaluation, and by automated analysis, even in DR patients
with ME after cataract surgery. The automated classifier may help to
independently differentiate these two disease entities and is made publicly
available.
PMID- 26567784
TI - Visualization of Nerve Fiber Orientations in the Human Optic Chiasm Using
Photomicrographic Image Analysis.
AB - PURPOSE: Hemidecussation of fibers entering the optic chiasm from the optic
nerves is well recognized. The reason why bitemporal hemianopia results from
chiasmal compression has not been fully explained. There is still a paucity of
data relating to the precise details of the routes that the nerve fibers take
through the chiasm and, in particular, where and how nerve fibers cross each
other. This information is important to understanding why crossing fibers are
selectively damaged as a result of chiasmal compression. METHODS: An optic chiasm
obtained at postmortem was fixed, stained, and sectioned to allow high-resolution
photomicrographs to be taken. The photomicrographs were integrated to allow
regions of interest across entire sections to be analyzed for fiber direction and
crossing. RESULTS: The results confirmed that fibers from the temporal retina
pass directly backward in the lateral chiasm to the optic tract, whereas fibers
from the nasal retina cross to the contralateral optic tract. Crossings take
place in the paracentral regions of the chiasm rather than in the center of the
chiasm (where the nerve fibers are traveling mostly in parallel). The paracentral
crossing regions are distributed in a largely postero-superior to antero-inferior
arrangement. CONCLUSIONS: These findings clarify the precise locations and
crossing angles of crossing nerve fibers in the chiasm. This information may help
explain the clinical observation of junctional scotoma and will provide a much
better basis for structural modeling of chiasmal compression which, in turn, will
improve our understanding of how and why bitemporal hemianopia occurs.
PMID- 26567785
TI - Two-Photon Fluorescence Microscopy for Determination of the Riboflavin
Concentration in the Anterior Corneal Stroma When Using the Dresden Protocol.
AB - PURPOSE: To determine the riboflavin concentration gradient in the anterior
corneal stroma when using the Dresden protocol with different dextran solutions.
METHODS: Three different groups of porcine corneas, five each, were compared
regarding the riboflavin concentration in the anterior stroma. Before all
experiments, stable hydration conditions were established for the corresponding
solution. All groups were treated with 0.1% riboflavin in different dextran
solutions (15%, 16%, 20%). After imbibition, two-photon microscopy was used to
determine fluorescence intensity. For signal attenuation and concentration
determination corneas were saturated and measured a second time by two-photon
microscopy. Additionally, the distribution was calculated mathematically and
compared to the empiric results. RESULTS: Riboflavin concentration is decreasing
with depth for all dextran solutions. A nearly constant concentration could be
determined over the first 75 MUm. Analysis of the fit functions leads to
diffusion coefficients of D = 2.97 * 10-7 cm2/s for the 15% dextran solution, D =
2.34 * 10-7 cm2/s for the 16% dextran solution, and D = 1.28 * 10-7 cm2/s for the
20% dextran solution. The riboflavin gradients of the 20% dextran group were
statistically significantly different from 15% dextran starting at a depth of 220
MUm and deeper (P = 0.047). The 16% dextran group differed statistically at a
depth of 250 MUm and deeper (P = 0.047). These results show a significant
difference to those published previously. CONCLUSIONS: With correct settings two
photon microscopy is a precise way to determine the concentration of riboflavin
in cornea. The measured gradient is excellently fit by a Gaussian distribution,
which comes out as a solution of Fick's second law.
PMID- 26567786
TI - Expression Profiling of Human Schlemm's Canal Endothelial Cells From Eyes With
and Without Glaucoma.
AB - PURPOSE: Ocular hypertension is a major risk factor for glaucoma and the inner
wall of Schlemm's canal (SC) endothelia participates in the regulation of aqueous
humor outflow resistance. This study aimed to identify differentially expressed
genes in primary cultures of SC cells from glaucoma patients. METHODS: This study
examined SC samples from three glaucoma cases and four controls. Schlemm's canal
cells were isolated from eight different postmortem human eyes. Total RNA was
extracted, labeled, and hybridized to Illumina HumanWG-6 BeadChips containing
probes for approximately 47,000 human transcripts. After extracting the data
using Illumina GenomeStudio software, the data were normalized and analyzed using
the R package limma in Bioconductor. Using Protein ANalysis THrough Evolutionary
Relationships (PANTHER) software, gene ontology analysis of highly expressed
genes was executed in controls and glaucoma groups separately. Pathway analysis
was performed with differentially expressed genes using WebGestalt (WEB-based
GEne SeT AnaLysis Toolkit). Selected genes were validated using droplet digital
PCR (ddPCR). RESULTS: Gene ontology analysis indicated similar functional
categories in cases and controls. Differential analysis identified a total of 113
genes with at least 2-fold expression changes in cases. Pathway analysis
indicated significant enrichment of genes in cell adhesion, heparin binding,
glycosaminoglycan binding, filopodium, and extracellular matrix remodeling.
Eighteen selected genes with differential expression were successfully validated
using ddPCR. CONCLUSIONS: This study represents the first genome-wide expression
study of human primary SC cells from glaucoma patients and provides a potential
list of targets regulating SC cell stiffness and pore formation, eventually the
outflow resistance in glaucoma individuals.
PMID- 26567787
TI - NADPH Oxidase-Mediated ROS Production Determines Insulin's Action on the Retinal
Microvasculature.
AB - PURPOSE: To determine whether insulin induces nitric oxide (NO) formation in
retinal microvessels and to examine the effects of high glucose on the formation
of NO. METHODS: Freshly isolated rat retinal microvessels were incubated in
normal (5.5 mM) or high (20 mM) glucose with or without insulin (100 nM). The
levels of insulin-induced NO and reactive oxygen species (ROS) in the retinal
microvessels were determined semiquantitatively using fluorescent probes, 4,5
diaminofluorescein diacetate, and hydroethidine, respectively, and a laser
scanning confocal microscope. The insulin-induced changes of NO in rat retinal
endothelial cells and pericytes cultured at different glucose concentrations (5.5
and 25 mM) were determined using flow cytometry. Nitric oxide synthase (NOS)
protein levels were determined by Western blot analysis; intracellular levels of
ROS were determined using fluorescence-activated cell sorting (FACS) analysis of
ethidium fluorescence; and nicotinamide adenine dinucleotide phosphate (NADPH)
oxidase RNA expression was quantified using real-time PCR. RESULTS: Exposure of
microvessels to insulin under normal glucose conditions led to a significant
increase in NO levels; however, this increase was significantly suppressed when
the microvessels were incubated under high glucose conditions. Intracellular
levels of ROS were significantly increased in both retinal microvessels and
cultured microvascular cells under high glucose conditions. The expression of NOS
and NADPH oxidase were significantly increased in endothelial cells and pericytes
under high glucose conditions. CONCLUSIONS: The increased formation of NO by
insulin and its suppression by high glucose conditions suggests that ROS
production mediated by NADPH oxidase is important by insulin's effect on the
retinal microvasculature.
PMID- 26567789
TI - Infiltration of Plasma Cells in the Iris of Children With ANA-Positive Anterior
Uveitis.
AB - PURPOSE: We investigated inflammatory cell infiltrates in iris biopsies in
uveitis associated with juvenile idiopathic arthritis (JIA) in comparison with
other pediatric uveitis entities and noninflammatory pediatric controls. METHODS:
Iridectomy specimens were obtained during elective trabeculectomy from 31 eyes of
25 patients: 12 eyes with JIA-associated uveitis, 13 eyes with other uveitis
entities, and 6 eyes with open angle nonuveitic juvenile glaucoma.
Histopathologic and immunohistochemical analyses were performed. A
semiquantitative scoring system was used with a scale ranging from 0 to 4
depending on the number of stained cells. RESULTS: An inflammatory infiltrate was
present in 8/12 (67%) specimens with JIA-associated uveitis. The cellular
infiltrate in JIA specimens was characterized by the presence of CD138+ plasma
cells and CD68+ macrophages, while the presence of CD20+, CD4+, and CD8+ cells
was variable. Presence of plasma cells in the inflammatory infiltrates in
anterior uveitis correlated with antinuclear autoantibody (ANA) positivity
regardless of the diagnosis of JIA. CD4+ and CD8+ T cells were not always
detectable in the iris biopsies of all childhood uveitis patients, although a
slight predominance of CD4+ cells was noted. CONCLUSIONS: Children with ANA
positive anterior uveitis often show an infiltrate of plasma cells, regardless of
the diagnosis of JIA. The iris of JIA-associated uveitis patients is additionally
characterized by the presence of various numbers of macrophages.
PMID- 26567788
TI - Number of People Blind or Visually Impaired by Cataract Worldwide and in World
Regions, 1990 to 2010.
AB - PURPOSE: To estimate prevalence and number of people visually impaired or blind
due to cataract. METHODS: Based on the Global Burden of Diseases Study 2010 and
ongoing literature research, we examined how many people were affected by
moderate to severe vision impairment (MSVI; presenting visual acuity <6/18,
>=3/60) and blindness (presenting visual acuity <3/60) due to cataract. RESULTS:
In 2010, of overall 32.4 million blind and 191 million vision impaired, 10.8
million people were blind and 35.1 million were visually impaired due to
cataract. Cataract caused worldwide 33.4% of all blindness in 2010, and 18.4% of
all MSVI. These figures were lower in the high-income regions (<15%) and higher
(>40%) in South and Southeast Asia and Oceania. From 1990 to 2010, the number of
blind or visually impaired due to cataract decreased by 11.4% and by 20.2%,
respectively; the age-standardized global prevalence of cataract-related
blindness and MSVI reduced by 46% and 50%, respectively, and the worldwide crude
prevalence of cataract-related blindness and MSVI reduced by 32% and 39%,
respectively. The percentage of global blindness and MSVI caused by cataract
decreased from 38.6% to 33.4%, and from 25.6% to 18.4%, respectively. This
decrease took place in almost all world regions, except East Sub-Saharan Africa.
CONCLUSIONS: In 2010, one in three blind people was blind due to cataract, and
one of six visually impaired people was visually impaired due to cataract.
Despite major improvements in terms of reduction of prevalence, cataract remains
a major public health problem.
PMID- 26567790
TI - Light Exposure and Eye Growth in Childhood.
AB - PURPOSE: The purpose of this study was to examine the relationship between
objectively measured ambient light exposure and longitudinal changes in axial eye
growth in childhood. METHODS: A total of 101 children (41 myopes and 60
nonmyopes), 10 to 15 years of age participated in this prospective longitudinal
observational study. Axial eye growth was determined from measurements of ocular
optical biometry collected at four study visits over an 18-month period. Each
child's mean daily light exposure was derived from two periods (each 14 days
long) of objective light exposure measurements from a wrist-worn light sensor.
RESULTS: Over the 18-month study period, a modest but statistically significant
association between greater average daily light exposure and slower axial eye
growth was observed (P = 0.047). Other significant predictors of axial eye growth
in this population included children's refractive error group (P < 0.001), sex (P
< 0.01), and age (P < 0.001). Categorized according to their objectively measured
average daily light exposure and adjusting for potential confounders (age, sex,
baseline axial length, parental myopia, nearwork, and physical activity),
children experiencing low average daily light exposure (mean daily light
exposure: 459 +/- 117 lux, annual eye growth: 0.13 mm/y) exhibited significantly
greater eye growth than children experiencing moderate (842 +/- 109 lux, 0.060
mm/y), and high (1455 +/- 317 lux, 0.065 mm/y) average daily light exposure
levels (P = 0.01). CONCLUSIONS: In this population of children, greater daily
light exposure was associated with less axial eye growth over an 18-month period.
These findings support the role of light exposure in the documented association
between time spent outdoors and childhood myopia.
PMID- 26567791
TI - A Diagnostic Calculator for Detecting Glaucoma on the Basis of Retinal Nerve
Fiber Layer, Optic Disc, and Retinal Ganglion Cell Analysis by Optical Coherence
Tomography.
AB - PURPOSE: The purpose of this study was to develop and validate a multivariate
predictive model to detect glaucoma by using a combination of retinal nerve fiber
layer (RNFL), retinal ganglion cell-inner plexiform (GCIPL), and optic disc
parameters measured using spectral-domain optical coherence tomography (OCT).
METHODS: Five hundred eyes from 500 participants and 187 eyes of another 187
participants were included in the study and validation groups, respectively.
Patients with glaucoma were classified in five groups based on visual field
damage. Sensitivity and specificity of all glaucoma OCT parameters were analyzed.
Receiver operating characteristic curves (ROC) and areas under the ROC (AUC) were
compared. Three predictive multivariate models (quantitative, qualitative, and
combined) that used a combination of the best OCT parameters were constructed. A
diagnostic calculator was created using the combined multivariate model. RESULTS:
The best AUC parameters were: inferior RNFL, average RNFL, vertical cup/disc
ratio, minimal GCIPL, and inferior-temporal GCIPL. Comparisons among the
parameters did not show that the GCIPL parameters were better than those of the
RNFL in early and advanced glaucoma. The highest AUC was in the combined
predictive model (0.937; 95% confidence interval, 0.911-0.957) and was
significantly (P = 0.0001) higher than the other isolated parameters considered
in early and advanced glaucoma. The validation group displayed similar results to
those of the study group. CONCLUSIONS: Best GCIPL, RNFL, and optic disc
parameters showed a similar ability to detect glaucoma. The combined predictive
formula improved the glaucoma detection compared to the best isolated parameters
evaluated. The diagnostic calculator obtained good classification from
participants in both the study and validation groups.
PMID- 26567792
TI - Retinal Blood Flow and Retinal Blood Oxygen Saturation in Mild to Moderate
Diabetic Retinopathy.
AB - PURPOSE: The aim of this study was to evaluate the relationship between retinal
blood flow (RBF) and retinal blood oxygen saturation (SO2) in mild to moderate
nonproliferative diabetic retinopathy (NPDR) and in age-matched controls.
METHODS: One eye of each of 15 healthy subjects (68 +/- 6 years) and 13 subjects
with mild to moderate NPDR (67 +/- 10 years) was dilated. None of the patients
with NPDR had received treatment for their retinopathic changes or had any
evidence of sight-threatening characteristics. Doppler Fourier-domain optical
coherence tomography blood flow was measured using the prototype RTVue system;
six separate measurements each comprising an upper and a lower nasal pupil scan
were acquired. Six hyperspectral retinal measurements were acquired using a
noninvasive hyperspectral retinal camera (prototype H-8.5 HR Camera). RESULTS:
Total RBF was significantly lower in NPDR when compared to controls (42.7 +/- 7.5
vs. 33.0 +/- 9.2 MUL/min; P = 0.004). Mean retinal arterial and venular SO2 were
higher in NPDR than in controls (94.7 +/- 2.4% vs. 92.9 +/- 1.6%, P = 0.02; 62.5
+/- 5.7% vs. 56.3 +/- 4.7%, P = 0.003). This study showed a correlation between
RBF and arteriolar SO2 in both controls (r = 0.58, P = 0.02) and NPDR (r = 0.54,
P = 0.05), but no correlation between venular RBF and venular SO2 in controls (r
= 0.24, P = 0.83) or in NPDR (r = 0.23, P = 0.45). The arteriovenous difference
(AV difference) was lower in the NPDR group when compared to controls (30.6 +/- 6
vs. 36.7 +/- 5.3, P = 0.008). CONCLUSIONS: This study found a lower total RBF and
a lower AV difference in the NPDR group, suggesting a reduced oxygen uptake from
the retina in people with relatively early diabetic retinopathy.
PMID- 26567793
TI - Inferring an Evolutionary Tree of Uveal Melanoma From Genomic Copy Number
Aberrations.
AB - PURPOSE: The purpose of this study is to study the genomic evolution of primary
uveal melanoma. METHODS: Primary uveal melanoma genomic DNA was assayed on the
Illumina Human660W-Quad v1.0 DNA Analysis BeadChip. Raw signal intensity data
were quantile normalized to estimate copy number aberration with the Genome
Alteration Print algorithm. Distance between samples was calculated as the
Manhattan distance between the copy number profiles of the tumors. From the
distance matrix, a phylogenetic network (evolutionary relationship inference) was
estimated using SplitsTree4. RESULTS: Of the 57 tumors, one (1.8%) was discarded
because of a failed assay, and seven (12.3%) were revealed to be mixtures of
several cell populations that could not be resolved. Three clades of tumor were
identified (A [59.2%], B [32.7%], and C [6.1%]), each following a distinct
evolutionary path and each associated with metastatic status (P = 0.01). One
tumor (2.0%) did not fit into any clade. From a normal diploid melanocyte, a few
tumors (clade C) lose a large portion of chromosome 6q, but do not develop any
mutations on 8q. In an alternate path, the vast majority of tumors (clade A and
clade B [91.9%]) gain a copy of the telomeric half of 8q. A majority of these
tumors (clade A) subsequently lose a copy of chromosome 3, as well as gain the
centromeric half of 8q. The other tumors (clade B) gain copies of 6p, as well as
regions on 11p and 22q. CONCLUSIONS: Our data suggest that there is little
overlap in the subtypes of uveal melanoma after divergence (identified as clades
A and B) and that these distinct subtypes are not likely to crossover or
transform from one major clade to another.
PMID- 26567794
TI - Intravitreal Ciliary Neurotrophic Factor Transiently Improves Cone-Mediated
Function in a CNGB3-/- Mouse Model of Achromatopsia.
AB - PURPOSE: Ciliary neurotrophic factor (CNTF) was recently shown to augment cone
function in CNGB3 mutant achromat dogs. However, testing CNTF-releasing implant
in human CNGB3 achromats failed to show benefit. We evaluated the effects of CNTF
protein on the retinal function in an additional achromatopsia model, the CNGB3-/
mouse. METHODS: Fifty-nine CNGB3-/- mice (postnatal day [PD] +/- SD = 30 +/- 7)
received a unilateral intravitreal injection of 1 or 2 MUg CNTF protein, and 15
wild-type (WT) mice (PD = 34 +/- 3) received 1 MUg CNTF. Retinal function was
evaluated by flash ERG and photopic flicker ERG (fERG) at 7 and 14 days after
treatment. RESULTS: Seven days post CNTF, the photopic b-wave Vmax was
significantly increased in CNGB3-/- mice (P < 0.01), whereas it was reduced in WT
mice (P < 0.05). Ciliary neurotrophic factor significantly increased the
amplitude of photopic fERG and the photopic oscillatory potentials (OPs) in CNGB3
/- mice. Ciliary neurotrophic factor did not alter the scotopic a-wave in either
CNGB3-/- or WT mice, but it increased the scotopic b-wave k (P < 0.01) in CNGB3-/
mice, indicating diminished scotopic sensitivity, and reduced the scotopic b
wave Vmax in WT mice (P < 0.05). No difference was found in ERG parameters
between 1 or 2 MUg CNTF. Fourteen days after CNTF injection the ERG changes in
CNGB3-/- mice were lost. CONCLUSIONS: Intravitreal bolus CNTF protein caused a
small and transient improvement of cone-mediated function in CNGB3-/- mice,
whereas it reduced rod-mediated function. The increase in photopic OPs and the
lack of changes in scotopic a-wave suggest a CNTF effect on the inner retina.
PMID- 26567795
TI - Strain-Dependent Anterior Segment Dysgenesis and Progression to Glaucoma in
Col4a1 Mutant Mice.
AB - PURPOSE: Mutations in the gene encoding collagen type IV alpha 1 (COL4A1) cause
multisystem disorders including anterior segment dysgenesis (ASD) and optic nerve
hypoplasia. The penetrance and severity of individual phenotypes depends on
genetic context. Here, we tested the effects of a Col4a1 mutation in two
different genetic backgrounds to compare how genetic context influences ocular
dysgenesis, IOP, and progression to glaucoma. METHODS: Col4a1 mutant mice
maintained on a C57BL/6J background were crossed to either 129S6/SvEvTac or
CAST/EiJ and the F1 progeny were analyzed by slit-lamp biomicroscopy and optical
coherence tomography. We also measured IOPs and compared tissue sections of eyes
and optic nerves. RESULTS: We found that the CAST/EiJ inbred strain has a
relatively uniform and profound suppression on the effects of Col4a1 mutation and
that mutant CASTB6F1 mice were generally only very mildly affected. In contrast,
mutant 129B6F1 mice had more variable and severe ASD and IOP dysregulation that
were associated with glaucomatous signs including lost or damaged retinal
ganglion cell axons and excavation of the optic nerve head. CONCLUSIONS: Ocular
defects in Col4a1 mutant mice model ASD and glaucoma that are observed in a
subset of patients with COL4A1 mutations. We demonstrate that different inbred
strains of mice give graded severities of ASD and we detected elevated IOP and
glaucomatous damage in 129B6F1, but not CASTB6F1 mice that carried a Col4a1
mutation. These data demonstrate that genetic context differences are one factor
that may contribute to the variable penetrance and severity of ASD and glaucoma
in patients with COL4A1 mutations.
PMID- 26567797
TI - Predicting Lens Diameter: Ocular Biometry With High-Resolution MRI.
AB - PURPOSE: The aim of this study was to correlate different biometric dimensions of
the eye as measured from ocular magnetic resonance imaging (MRI) scans to predict
the lens diameter. METHODS: High-resolution ocular MRI scans of 100 eyes of 100
patients were reviewed. Various anatomical variables of the eye such as the axial
length, the globe diameter, and the lens dimensions were measured. Also, the
distances between the ciliary sulcus and angle-to-angle were measured. A partial
least square (PLS) regression model was built to analyze which variables
influence the model regarding the lens dimensions. RESULTS: Sixty-two eyes of 62
patients were included in the final analysis. The lens diameter ratio (horizontal
to vertical) was 0.93 (SD: 0.04; 0.83-1.00). The partial least square regression
showed a significant connection (P < 0.001) between the horizontal and vertical
diameter. The partial least square regression model that included the globe
diameter and the axis length resulted in the best prediction for the horizontal
lens diameter. Similar to the horizontal lens diameter, globe diameter was the
best predictor for the vertical lens diameter followed by the distance of the
ciliary sulcus. White-to-white distance, distance of the ciliary sulcus, and
axial eye length were found to have a high influence on the angle-to-angle
distance. CONCLUSIONS: The introduced models may serve as tools to predict the
capsular bag biometry in a preoperative setting for cataract surgery or lens
refilling procedures.
PMID- 26567796
TI - Neuroprotective Effects of Voluntary Exercise in an Inherited Retinal
Degeneration Mouse Model.
AB - PURPOSE: Our previous investigations showed that involuntary treadmill exercise
is neuroprotective in a light-induced retinal degeneration mouse model, and it
may act through activation of tropomyosin-related kinase B (TrkB) receptors. This
study investigated whether voluntary running wheel exercise can be
neuroprotective in an inheritable model of the retinal degenerative disease
retinitis pigmentosa (RP), rd10 mice. METHODS: Breeding pairs of rd10 and
C57BL/6J mice were given free-spinning (active) or locked (inactive) running
wheels. Pups were weaned into separate cages with their parents' respective wheel
types, and visual function was tested with ERG and a virtual optokinetic system
at 4, 5, and 6 weeks of age. Offspring were killed at 6 weeks of age and retinal
cross-sections were prepared for photoreceptor nuclei counting. Additionally,
separate cohorts of active and inactive rd10 pups were injected daily for 14 days
after eye opening with a selective TrkB receptor antagonist (ANA-12) or vehicle
solution and assessed as described above. RESULTS: Mice in the rd10 active group
exhibited significant preservation of visual acuity, cone nuclei, and total
photoreceptor nuclei number. Injection with ANA-12 precluded the preservation of
visual acuity and photoreceptor nuclei number in rd10 mice. CONCLUSIONS:
Voluntary running partially protected against the retinal degeneration and vision
loss that otherwise occurs in the rd10 mouse model of RP. This protection was
prevented by injection of ANA-12, suggesting that TrkB activation mediates
exercise's preservation of the retina. Exercise may serve as an effective,
clinically translational intervention against retinal degeneration.
PMID- 26567799
TI - PBSC mobilization in patients with autoimmune diseases: what's next.
PMID- 26567798
TI - CYP2E1 in the Human Retinal Pigment Epithelium: Expression, Activity, and
Induction by Ethanol.
AB - PURPOSE: Cytochrome p450 2E1 (CYP2E1) is a detoxifying enzyme with particular
affinity for ethanol (EtOH) expressed in several tissues. Although CYP2E1 has
been identified in human RPE, nothing is known about its metabolic activity.
Expression of CYP2E1 and activity after EtOH exposure have been studied in human
RPE and ARPE-19 cells. METHODS: Ethanol-induced CYP2E1 mRNA expression was
analyzed by RT-PCR and quantitative PCR (qPCR) from human donor RPE as well as
from ARPE-19 cells. Expression of CYP2E1 protein was determined by Western blot.
Cytoplasmic CYP2E1 location also was demonstrated by immunocytochemistry. Cell
viability was studied by the colorimetric assay XTT after EtOH treatment. Diallyl
sulfide (DAS) was used to inhibit CYP2E1 activity. The microsomal CYP2E1 activity
assay was determined by quantification of 4-nitrocatechol (4NC) formation through
HPLC. RESULTS: Relevant CYP2E1 mRNA levels are present in human RPE. Ethanol
augmented the formation of reactive oxygen species (ROS) in ARPE-19 cells.
Expression of CYP2E1 mRNA, CYP2E1 protein activity, and ROS production were
induced by ethanol in a concentration-dependent manner. Interestingly, the
treatment with DAS reduced all the aforementioned increased values. The presence
of CYP2E1 in both hRPE and ARPE-19 cells reinforces the protective role of the
RPE and strongly suggests additional roles for CYP2E1 related to vision.
PMID- 26567800
TI - The biosecurity status and its associations with production and management
characteristics in farrow-to-finish pig herds.
AB - Disease prevention through biosecurity measures is believed to be an important
factor for improvement of the overall health status in animal production. This
study aimed at assessing the levels of implementation of biosecurity measures in
pig production in four European Union (EU) countries and to describe possible
associations between the biosecurity level and farm and production
characteristics. A cross-sectional study was conducted in 232 farrow-to-finish
pig herds in Belgium, France, Germany and Sweden between December 2012 and
December 2013. The biosecurity status in each of these herds was described and
quantified by using the risk-based scoring tool Biocheck.UGentTM
(www.biocheck.ugent.be). Production and management characteristics, obtained from
the herd management system and by interviewing the farmer, were analysed for
their association with the biosecurity level. A causal path was designed to study
statistical associations. The results showed that there was substantial room for
improvement in the biosecurity status on many pig farms. Significant differences
(P<0.01) both in internal and external biosecurity levels were observed between
countries. The external biosecurity status, combining all measures taken to
prevent disease introduction into the herd, was highest in Germany and lowest in
France. The internal biosecurity status, combining all measures taken to prevent
within herd disease transmission, was highest in Sweden and lowest, with a large
variation, in Belgium. External biosecurity scores were in general higher
compared to internal biosecurity scores. The number of pathogens vaccinated
against was significantly associated with internal biosecurity status, suggesting
an overall more preventive approach towards the risk of disease transmission. A
higher external biosecurity was associated with more weaned piglets per sow per
year. Furthermore also the weaning age and the mortality till weaning were highly
associated with the number of weaned piglets per sow per year. The negative
association observed between the biosecurity level and the estimated frequency of
treatment against certain clinical signs of disease as a proxy for disease
incidence is consistent with the hypothesis that a higher biosecurity level
results in healthier animals. These findings promote an improved biosecurity
status at pig farms and are of relevance in the discussion on alternative ways to
keep animals healthy with a reduced necessity of antimicrobials; Prevention is
better than cure!
PMID- 26567801
TI - An epidemiological review of gastrointestinal outbreaks associated with
Clostridium perfringens, North East of England, 2012-2014.
AB - An anecdotal increase in C. perfringens outbreaks was observed in the North East
of England during 2012-2014. We describe findings of investigations in order to
further understanding of the epidemiology of these outbreaks and inform control
measures. All culture-positive (>105 c.f.u./g) outbreaks reported to the North
East Health Protection Team from 1 January 2012 to 31 December 2014 were
included. Epidemiological (attack rate, symptom profile and positive associations
with a suspected vehicle of infection), environmental (deficiencies in food
preparation or hygiene practices and suspected vehicle of infection) and
microbiological investigations are described. Forty-six outbreaks were included
(83% reported from care homes). Enterotoxin (cpe) gene-bearer C. perfringens were
detected by PCR in 20/46 (43%) and enterotoxin (by ELISA) and/or enterotoxigenic
faecal/food isolates with indistinguishable molecular profiles in 12/46 (26%)
outbreaks. Concerns about temperature control of foods were documented in 20/46
(43%) outbreaks. A suspected vehicle of infection was documented in 21/46 (46%)
of outbreaks (meat-containing vehicle in 20/21). In 15/21 (71%) identification of
the suspected vehicle was based on descriptive evidence alone, in 5/21 (24%) with
supporting evidence from an epidemiological study and in 2/21 (10%) with
supporting microbiological evidence. C. perfringens-associated illness is
preventable and although identification of foodborne outbreaks is challenging, a
risk mitigation approach should be taken, particularly in vulnerable populations
such as care homes for the elderly.
PMID- 26567802
TI - Diastereodivergent Asymmetric Michael Addition of Cyclic Azomethine Ylides to
Nitroalkenes: Direct Approach for the Synthesis of 1,7-Diazaspiro[4.4]nonane
Diastereoisomers.
AB - The first highly diastereoselective and enantioselective catalytic asymmetric
Michael addition of cyclic azomethine ylides with nitroalkenes have been
developed to diastereodivergently generate either the syn or anti adducts by
employing N,O-ligand/Cu(OAc)2 and N,P-ligand/Cu(OAc)2 catalytic systems. Both
catalytic systems exhibit broad substrate applicability to afford the
corresponding Michael adducts in good to excellent yields, with excellent levels
of diastereo- (up to 99:1 diastereomeric ratio) and enantioselectivities (up to
>99% enantiomeric excess). Importantly, the chiral 1,7-diazaspiro[4.4]nonane
diastereomer derivatives can be easily obtained in good yields through facile
NaBH4 reduction of the Michael adducts.
PMID- 26567803
TI - Scalable, full-colour and controllable chromotropic plasmonic printing.
AB - Plasmonic colour printing has drawn wide attention as a promising candidate for
the next-generation colour-printing technology. However, an efficient approach to
realize full colour and scalable fabrication is still lacking, which prevents
plasmonic colour printing from practical applications. Here we present a scalable
and full-colour plasmonic printing approach by combining conjugate twin-phase
modulation with a plasmonic broadband absorber. More importantly, our approach
also demonstrates controllable chromotropic capability, that is, the ability of
reversible colour transformations. This chromotropic capability affords enormous
potentials in building functionalized prints for anticounterfeiting, special
label, and high-density data encryption storage. With such excellent performances
in functional colour applications, this colour-printing approach could pave the
way for plasmonic colour printing in real-world commercial utilization.
PMID- 26567804
TI - Role of Context, Resources, and Target Population in the Fidelity of Critical
Time Intervention.
AB - OBJECTIVE: The goal of this study was to understand departures from a model
program, critical time intervention (CTI), when used with a population of men
with mental illness who were leaving prison, a new population for the
intervention. METHODS: A fidelity study was conducted with the CTI Fidelity Scale
Manual, and six program staff participated in semistructured interviews. Thematic
analysis of interviews supplemented information on departures from the model.
RESULTS: The overall fidelity score indicated a well-implemented program, but low
scores on early engagement, early linking with community resources, monitoring
the transfer of services from CTI to community services, and nine-month follow-up
were related to the context of the prison setting, the population of men leaving
prison, and environmental resources. CONCLUSIONS: The setting in which evidence
based practices are applied, the environmental resources available, and the
target population may affect program fidelity.
PMID- 26567805
TI - Comparison of Plasma Polymerization under Collisional and Collision-Less Pressure
Regimes.
AB - While plasma polymerization is used extensively to fabricate functionalized
surfaces, the processes leading to plasma polymer growth are not yet completely
understood. Thus, reproducing processes in different reactors has remained
problematic, which hinders industrial uptake and research progress. Here we
examine the crucial role pressure plays in the physical and chemical processes in
the plasma phase, in interactions at surfaces in contact with the plasma phase,
and how this affects the chemistry of the resulting plasma polymer films using
ethanol as the gas precursor. Visual inspection of the plasma reveals a change
from intense homogeneous plasma at low pressure to lower intensity bulk plasma at
high pressure, but with increased intensity near the walls of the chamber. It is
demonstrated that this occurs at the transition from a collision-less to a
collisional plasma sheath, which in turn increases ion and energy flux to
surfaces at constant RF power. Surface analysis of the resulting plasma polymer
films show that increasing the pressure results in increased incorporation of
oxygen and lower cross-linking, parameters which are critical to film
performance. These results and insights help to explain the considerable
differences in plasma polymer properties observed by different research groups
using nominally similar processes.
PMID- 26567806
TI - Synthesis and Properties Evolution of a Family of Tiara-like
Phenylethanethiolated Palladium Nanoclusters.
AB - Tiara-like thiolated group 10 transition metal (Ni, Pd, Pt) nanoclusters have
attracted extensive interest due to their fundamental scientific significance and
potential application in a number of fields. However, the properties (e.g. the
absorption) evolution with the ring size's increase was not investigated so far
to our best knowledge, due to the challenge of obtaining a series of nanocluster
analogues. Herein, we successfully synthesized, isolated and identified a family
of [Pd(SC2H4Ph)2]n nanoclusters (totally 17 novel clusters, n = 4-20). Their
structures were determined to be tiara-like by single crystal X-ray
crystallography together with theoretical calculation; their formation mechanism
was proposed to be a substitution-polycondensation-ring-closure process based on
experimental observations. All of these clusters are rather robust (anti
reductive and anti-oxidative) owing to their tiara-like structures with large
HOMO-LUMO gaps. Finally, the optical and electrochemical evolution with the
increase of ring size was investigated, and it is found that both optical and
electrochemical gaps have a "turning point" at a size corresponding to n = 8 for
[Pd(SR)2]n nanoclusters.
PMID- 26567807
TI - Recruitment and retention of mental health care providers in rural Nebraska:
perceptions of providers and administrators.
AB - INTRODUCTION: The nationwide shortage of mental health professionals is
especially severe in rural communities in the USA. Consistent with national
workforce statistics, Nebraska's mental health workforce is underrepresented in
rural and frontier parts of the state, with 88 of Nebraska's 93 counties being
designated as federal mental health professional shortage areas. Seventy-eight
counties have no practicing psychiatrists. However, supply statistics alone are
inadequate in understanding workforce behavior. The objective of this study was
to understand mental health recruitment and retention issues from the
perspectives of administrators and mental healthcare professionals in order to
identify potential solutions for increasing the mental health workforce in rural
communities. METHODS: The study used semi-structured focus groups to obtain input
from administrators and mental health providers. Three separate focus groups were
conducted in each of four regions in 2012 and 2013: licensed psychiatrists and
licensed psychologists, licensed (independent) mental health practitioners, and
administrators (including community, hospital, and private practice
administrators and directors) who hire mental health practitioners. The
transcripts were independently reviewed by two reviewers to identify themes.
RESULTS: A total of 21 themes were identified. Participants reported that low
insurance reimbursement negatively affects rural healthcare organizations'
ability to attract and retain psychiatrists and continue programs. Participants
also suggested that enhanced loan repayment programs would provide an incentive
for mental health professionals to practice in rural areas. Longer rural
residency programs were advocated to encourage psychiatrists to establish roots
in a community. Establishment of rural internship programs was identified as a
key factor in attracting and retaining psychologists. To increase the number of
psychologists willing to provide supervision to provisionally licensed
psychologists and mental health practitioners, financial reimbursement for time
spent in this activity was identified as important. CONCLUSIONS: The present
study showed that a comprehensive approach is needed to address workforce
shortage issues for different types of professionals. In addition, systemic
issues related to reimbursement and other financial aspects must be resolved to
strengthen the overall rural mental healthcare delivery system.
PMID- 26567808
TI - BNP and NT-proBNP elevation after running--a systematic review.
AB - OBJECTIVE: A systematic review was carried out to study the pattern of BNP and NT
proBNP release after running. METHODS: Data were collected by searching the
PubMed, ISI Web of Knowledge and Scopus databases. RESULTS: Fifty-three reports
were identified as meeting the pre-specified criteria. Twenty-seven reports,
representing 1,034 participants, presented data comparing post-running BNP or NT
proBNP levels with a pre-specified cut-off. Values exceeding the upper reference
limit were seen in 22.9% and 35.9% of runners, respectively. CONCLUSION: Studies
have shown post-running values exceeding the upper reference limit in up to a
third of runners.
PMID- 26567809
TI - Review of point-of-care testing and biomarkers of cardiovascular diseases in
emergency and prehospital medicine.
AB - Medical reasoning must be constructed on clinical evidence-based biology and
follow a process of a priori assumptions. The introduction of a solution of point
of-care testing must result from any work involving clinicians, biologists, and
administration. Several solutions of point-of-care testing allow the dosage of
cardiac enzymes (CPK, myoglobin, and troponin) or BNP in less than half an hour
time. The point-of-care testing saves time in obtaining the results earlier. It
seems to allow timesaving on the overall care of the patient and the duration of
his stay in the emergency department. By its technique and the relevance of its
results, point-of-care testing is suitable for prehospital use.
PMID- 26567810
TI - The STIB score: a simple clinical test to predict clopidogrel resistance.
AB - BACKGROUND: High platelet reactivity (HPR) to clopidogrel is associated with an
increased risk of ischaemic complications during and after coronary interventions
and concerns up to 50% of patients undergoing PCI. AIM OF THE STUDY: The aim of
the study was to identify patients with HPR to clopidogrel using bedside clinical
information obtained in the Stent Thrombosis In Belgium (STIB) trial. METHODS:
Data on platelet reactivity using the VerifyNow(r) point-of-care assay were
obtained in 844 patients undergoing PCI for stable coronary artery disease 12 to
24 hours after a 600-mg loading dose of clopidogrel was given. Demographic,
clinical and baseline routine biological tests were obtained and compared with
P2Y12 reaction units (PRU). Patients with PRU>230 (HPR) were considered as non
responders to clopidogrel. RESULTS: HPR was observed in 424/844 pts. Age, weight,
body mass index (BMI), HPR to aspirin, diabetes, renal failure (MDRD<60 ml/min),
haemoglobin (Hb), haematocrit, fibrinogen, glycaemia and glycated haemoglobin
were associated with HPR to clopidogrel. In multivariate analysis, only Hb (OR:
0.77), BMI (OR: 1.06) and diabetes (OR: 1.62) emerged as independent risk
factors. Hb<13.9 g/dl, BMI>28 kg/m2 and presence of diabetes were equally
associated to predict HPR and can be added to derive a simple score to predict
clopidogrel resistance. Although 38.5% of patients without a single clinical
predictor still have HPR, 2/3 patients with 2 or 3 risk factors are resistant to
clopidogrel. CONCLUSIONS: STIB HPR score allows identification of patients with a
high probability of resistance to clopidogrel based on diabetes, Hb<13.9 g/dl and
BMI>28 kg/m2. This bedside clinical test could be useful for the identification
of patients in whom another P2Y12 inhibitor should be recommended before and
after PCI.
PMID- 26567811
TI - Active and total myeloperoxidase in coronary artery disease and relation to
clinical instability.
AB - OBJECTIVES: The aim of this study was to evaluate the correlation between serum
total and active myeloperoxidase (MPO) levels and the presence of coronary artery
disease in consecutive patients evaluated by coronary angiography and to
correlate the levels of the enzyme with instability. METHODS AND RESULTS:
Prospective analysis of serum samples of patients before coronary angiography.
Total and active MPO concentrations were assessed by the sandwich Elisa and
SIEFED(r) methods. Stable and unstable patients were separated into two groups.
Differences between groups were analysed using the Student t test, chi square
test or Fisher exact test, as appropriate. The relationship between total and
active MPO was assessed using linear and curvilinear regression. Two hundred and
twenty patients were included (age 66+/-11 years, 67% male) in the study. Among
these, 62% presented significant coronary artery disease. Twenty-four patients
(11%) presented unstable coronary syndrome. Mean active and total MPO levels in
the population were 50.1+/-63.5 and 147.6+/-223.3 ng.mL(-1), respectively. In
stable patients, mean active MPO was 47.1+/-47.9 ng.mL(-1) and in unstable
patients 75.1+/-135.2 ng.mL(-1) (P=0.04). Mean total MPO was 146.3+/-224.7 ng.mL(
1) in the stable patients and 158.2+/-215.8 ng.mL(-1) in the unstable patients
(P=0.8). Unstable patients had a significantly higher level of active MPO than
stable patients but there was no significant difference between unstable and
stable patients regarding total MPO. CONCLUSION: A correlation was observed
between active MPO and clinical instability but not with total MPO. These results
suggest that this marker could be a powerful indicator of instability and could
have a prognostic impact.
PMID- 26567812
TI - Prevalence of seven cardiovascular-related genetic polymorphisms in a Chilean
mestizo healthy population.
AB - OBJECTIVE: Among the genetic factors associated with cardiovascular disease
(CVD), determining polymorphic genotypes could help to understand the appearance
of the illness. Ethnic differences in these polymorphisms could explain
population variability in susceptibility to CVD. The main goal of this research
is to study the presence of more relevant genetic variants of ApoE, CETP, ACE,
PAI-1, MTHFR, FII and FVL of the coagulation cascade, to describe the presence of
cardiovascular-related variants in a mestizo group of the Chilean people. METHODS
AND RESULTS: The studied population comprised 146 unrelated subjects from the
general population, diagnosed as healthy, who were genotyped through conventional
and/or real-time PCR. The allele frequencies for the Chilean population were: Apo
E, epsilon2: 0.036, epsilon3: 0.875 and epsilon4: 0.089; CETP, B1: 0.51 and B2:
0.49; MTHFR, C: 0.52 and T: 0.48; ACE, I: 0.603 and D: 0.397; PAI-1, 4G: 0.381
and 5G: 0.619; FII, G: 0.97 and A: 0.03, and FV Leiden, G: 0.97 and A: 0.03.
CONCLUSIONS: This study contributes to establish a first picture in the Chilean
mestizo population about the frequencies of these variants, which could act as
single or complementary risk factors to trigger CVD. The obtained allele
frequencies show great differences in relation to other South American
populations.
PMID- 26567813
TI - Can a volume challenge pinpoint the limiting factor in a Fontan circulation?
AB - OBJECTIVE: It is difficult to indicate whether the limitation in a failing Fontan
circulation lies within the pulmonary vasculature or the heart. Such
differentiation is crucial to direct adequate therapy. This study was set out to
determine if a volume challenge could identify the limiting factor. METHODS AND
STUDY POPULATION: Thirty-two catheterizations in 28 patients with a Fontan
circulation were included. Pressures and oxygen saturations were measured before
and after volume challenge (NaCl 0.9%; 15 cc/Kg). The changes in data were
grouped based on the location of the major pressure increase. Ventricular
function was measured in the resting state. RESULTS: The majority of the patients
showed an increase in aortic oxygen saturation, mixed venous oxygen saturation,
systolic, pulmonary and systemic venous pressures. The arterio-venous oxygen
gradient decreased, suggesting an increase in cardiac output. Different patterns
in pressure changes were observed. Most (n=17) showed a similar increase of
ventricular end-diastolic pressure and mean venous pressure (MVP); some (n=7)
showed a lower increase of MVP, suggesting pulmonary reserve and recruitment;
others (n=8) showed a significant higher increase in MVP, suggesting increased
pulmonary vascular resistance. All volume challenge was well tolerated.
CONCLUSION: Most patients were preload-responsive. The pressure changes following
volume load showed patterns with a potential of differentiating between patients
with a major pulmonary or cardiac limiting factor.
PMID- 26567814
TI - Correlations between NT-proBNP, outcome and haemodynamics in patients with septic
shock.
AB - AIM: The aim of this study was to determine the role of NT-proBNP in predicting
the outcome of septic patients and to evaluate possible correlations between NT
ProBNP and haemodynamics in sepsis. METHODS: Forty consecutive patients with
severe sepsis were prospectively evaluated. Patients were treated with the gold
standard therapy for sepsis. NT-BNP levels, endotoxin activity (EA), SOFA score
and SAPS II score, cardiac index (CI), mean arterial pressure (MAP) and pulmonary
arterial pressure (PAP) were evaluated at admission and after 72 hours. Survival
was evaluated at 28 days after admission. RESULTS: At 4-week follow-up, 22
patients had died (55%). Survival was not associated with age, gender, baseline
EA and treatment, while it was associated with NT-proBNP levels at admission and
after 72 hours. NT-proBNP>1,000 pg/ml at 72 hours was a robust independent
predictor of survival. The area under the curve (AUC) of NT-proBNP at admission
was 0.73 and 0.99 after 72 hours. At 72 hours, AUC for SOFA score was 0.94, for
SAPS II score 1, for EA 0.73. Levels of NT-proBNP>1,000 pg/ml at 72 hours were
associated with an adverse outcome (sensitivity 95.5%, specificity 94.4%). NT
proBNP at 72 hours correlated with CI, MAP and PAP (P<0.01, <0.01,<0.05
respectively). CONCLUSIONS: Increased NT-proBNP levels at 72 hours could predict
mortality at 28 days in patients with septic shock and are correlated with
haemodynamics.
PMID- 26567815
TI - Cardiovascular outcome in systemic sclerosis.
AB - OBJECTIVES: Cardiovascular involvement is recognized as a poor prognostic factor
in systemic sclerosis (SSc). The aim of this study was to evaluate the usefulness
of nailfold video-capillaroscopy (NVC), brain natriuretic peptide (BNP) blood
level and exercise echocardiography to predict the occurrence of cardiovascular
events in SSc. METHODS: We prospectively enrolled 65 patients with SSc (age 54+/
14 years, 30% female) followed in CHU Sart-Tilman, Liege, Belgium. All patients
underwent graded semi-supine exercise echocardiography. Both baseline resting
pulmonary hypertension (PH) and PH during follow-up (FUPH) were defined as
systolic pulmonary arterial pressure (sPAP)>35 mmHg, and exercise-induced PH
(EIPH) as sPAP>50 mmHg during exercise. RESULTS: EIPH was present in 21 patients.
During FU (27+/-18 months), 13 patients developed FUPH and 9 presented
cardiovascular complications. Patients with cardiovascular events were
significantly older (63+/-14 vs 52+/-13 years; P=0.03), presented more frequently
NVC grade>2 (89 vs 43%; P=0.009), had higher resting and exercise sPAP (30+/-6 vs
24+/-6; P=0.007 and 57+/-13 vs 44+/-13 vs mmHg; P=0.01, respectively), and higher
BNP blood level (112+/-106 vs 26+/-19 pg/ml; P=0.0001). After adjustment for age
and gender, NVC grade>2 (beta=2.4+/-1.1; P=0.03), EIPH (beta=2.30+/-1.13;
P=0.04), FUPH (beta=0.24+/-0.09; P=0.01 and beta=3.52+/-1.16; P=0.002,
respectively;) and BNP (beta=0.08+/-0.04; P=0.02) were independent predictors of
CV events. Beyond age, an incremental value of EIPH, BNP and NVC grade>2 was
predictive of cardiovascular events (P<0.001). CONCLUSION: Cardiovascular
complications are not rare in SSc (18%). NVC, BNP blood level assessment and
exercise echocardiography could be useful tools to identify patients at risk of
SSc.
PMID- 26567816
TI - Heart rate reduction and exercise performance in recent onset heart failure with
reduced ejection fraction: arguments for beta-blocker hypo-response.
AB - OBJECTIVE: Beta blockers reduce all-cause mortality and readmissions in heart
failure with reduced ejection fraction (HFrEF), which may be explained by their
effect on heart rate (HR). This study assessed the impact of HR reduction with
beta blockers on exercise capacity in recent onset HFrEF. METHODS AND RESULTS:
Fifty consecutive patients with recent onset HFrEF (<30 days) performed a
standardized exercise protocol with respiratory gas analysis at baseline as well
as after 6 and 12 months. Patients participated in a quality of care programme
aiming to achieve guideline-recommended target doses for beta-blocker therapy. At
baseline, 6 and 12 months, 36%, 70% and 62% of patients, respectively, had a
resting HR<70 bpm. Beta-blocker dose after 12 months was comparable in patients
with resting HR<70 versus >=70 bpm (P value=0.631). However, with similar dose
uptitration, the former versus the latter had a significantly larger HR reduction
(17+/-22 versus 4+/-15 bpm; P value=0.027). Peak oxygen consumption (VO2max) was
significantly higher when resting HR was <70 versus >=70 bpm (17.5+/-5.5 versus
14.4+/-3.3 mL/min/kg, respectively; P value=0.038). Similar results were observed
after 6 months. Patients in whom resting HR decreased at follow-up compared to
baseline had a 2.0+/-3.2 mL/min/kg increase in VO2max compared to a 1.2+/-7.7
mL/min/kg increase in patients who did not demonstrate a lower resting HR (P
value=0.033). CONCLUSIONS: In recent onset HFrEF, exercise performance was better
when resting HR was controlled <70 bpm with beta-blocker therapy. However,
despite aggressive dose uptitration, many patients did not achieve this target as
they had little HR reduction with beta-blocker therapy.
PMID- 26567817
TI - Baseline HV-interval predicts complete AV-block secondary to transcatheter aortic
valve implantation.
AB - PURPOSE: Development of AV-block is a frequent complication associated with
transcatheter aortic valve implantation (TAVI). To date little is known about the
predictive value of the HV-interval prior to TAVI with respect to the risk of AV
block development. METHODS AND RESULTS: HV-interval was determined in 25
consecutive elderly patients with severe aortic valve stenosis (AS) before and
immediately after TAVI. All patients subsequently underwent TAVI and 8 of these
25 patients (32%) developed complete AV-block during the TAVI procedure requiring
permanent pacemaker implantation. Six of these 8 patients (75%) had marked HV
prolongation (>54 ms). Pre-procedural HV-interval was significantly prolonged in
the subgroup developing complete AV-block (62.1 ms+/-13.0 vs 49.2 ms+/-12.9;
P=0.029). Prolongation of the HV-interval above 54 ms was associated with a
higher rate of complete AV-block (sensitivity 75.0%, specificity 77.8%, P=0.01).
CONCLUSIONS: HV-interval was prolonged in approximately one third of our elderly
patients with aortic valve stenosis and associated with a high rate of complete
AV-block following TAVI. HV-interval is easily obtained during TAVI screening
procedures, thus facilitating identification of patients at risk for complete AV
block due to TAVI and consequently enabling bespoke risk management.
PMID- 26567818
TI - Does implantation technique influence lead failure?
AB - OBJECTIVE: We aimed to analyse whether different lead implantation techniques
influence lead failure based on implant duration. METHODS AND RESULTS: Eighty-two
transvenous leads were extracted due to lead failure. Median implant duration
(MID) of the extracted leads was 68 months. Failed leads were retrospectively
divided into 5 subgroups according to predefined technical procedural aspects,
potentially triggering lead failure: venous approach, lead disorder in the
generator pocket, sharp lead angulation, lead-lead interaction and number of
leads in situ. The subgroups were correlated to the MID and compared. The MID was
81 vs 59 months in medial vs lateral venous puncture (P=0.453). In subgroups
without/with lead disorder the MID was 59 vs 107 months (P=0.006) and 57 vs 84
months (P=0.012) in subgroups without/with sharp lead angulation, respectively.
In subgroups without/with more than 1 lead-lead interaction the MID was 59 vs 81
months (P=0.029) and 65 vs 86 months (P=0.128) without/with more than 2 lead-lead
interactions, respectively. In subgroups without/with more than 1 lead in situ
the MID was 73 vs 67 months (P=0.453), 81 vs 27 months (P=0.296) in subgroups
without/with more than 2 leads in situ and 68 vs 77 months (P=0.264) in subgroups
without/with more than 3 leads in situ, respectively. CONCLUSIONS: Although, we
were able to confirm our hypothesis only partially, technical aspects of lead
implantation technique should be kept in mind as a potential reason causing lead
failure.
PMID- 26567819
TI - Clinical significance of intermediate left ventricular trabeculations in cardiac
magnetic resonance.
AB - OBJECTIVE: Although the clinical importance of left ventricular noncompaction
cardiomyopathy (LVNC) is known, few data exist that describe the prognosis
associated with intermediate levels of LV trabeculations that do not meet
criteria for LVNC. METHODS: Trabeculation/possible LVNC by CMR was
retrospectively observed among 122 consecutive cases. We assessed the end
systolic noncompacted-to-compacted ratios (ESNCCR) along with deaths, embolic
events, congestive heart failure (CHF) readmissions, ventricular arrhythmias,
myocardial thickening (MT), and ejection fraction (EF). ESNCCRs were categorized
as follows: <1, 1<1.5, 1.5<2, >=2. General linear models were used to compare
combined events (death, CHF readmission, embolism, ventricular arrhythmia)
between categories of ESNCCR. There were 3 models used: model 1: unadjusted;
model 2: adjusted for age, race, gender, body surface area, LV ejection fraction,
and trabeculated segments; model 3: model 2+adjustment for myocardial thickening.
RESULTS: In model 1, those with an ESNCCR<1 had a lower association with
composite clinical events than those with a ratio between 1.5<2 and those>=2
(P<0.002 and P<0.001, respectively). In model 2, the lower association continued,
(P=0.009 and P<0.001, respectively), but in model 3, those with a ratio from 1.5
2 only had a trend towards a higher association with composite clinical events
than those with a ratio<1 (P=-0.09). Those with a ratio>=2 continued to have a
higher association (P=-0.001). CONCLUSION: Patients with intermediate
trabeculations not meeting criteria for LVNC had a higher association with
composite clinical events, but it was mediated by decreased myocardial thickening
in the associated compacted layer.
PMID- 26567820
TI - Floating intra-aortic mass as embolic source.
PMID- 26567821
TI - Intracardiac dissection with disrupted mitral-aortic continuity.
PMID- 26567822
TI - Echo Doppler: key to unexpected diagnosis in a sportive lady with leg pain.
PMID- 26567823
TI - Cardiac magnetic resonance with implanted monitor.
PMID- 26567824
TI - Ventricular tachycardia induced by atrial fibrillation.
PMID- 26567825
TI - Coronary ostial dilatation after Kawasaki disease.
PMID- 26567826
TI - Epicarditis constrictiva.
PMID- 26567828
TI - Electrochemical primer extension for the detection of single nucleotide
polymorphisms in the cardiomyopathy associated MYH7 gene.
AB - We report the labelling of dideoxy nucleotides (ddNTPs) for use in
electrochemical array based primer extension for the detection of single
nucleotide polymorphisms (SNPs). The results confirm the extension of the
immobilised primers for each of the four ddNTPs, representing a significant
advance in achieving a cost-effective platform for screening of disease-specific
SNPs.
PMID- 26567830
TI - A Handy Field-Portable ELISA System for Rapid Onsite Diagnosis of Infectious
Diseases.
AB - Enzyme-linked immunosorbent assays (ELISAs) are considered the gold standard for
the detection of various immunological reactions and can be used for the
detection of infectious diseases during outbreaks or in the care of individual
patients. To be useful in the timely implementation of prevention and control
measures against infectious diseases, a diagnostic modality should be rapid,
accurate, and affordable. In the current study, we demonstrate the efficiency
(90% less time and volume consumption compared with those of a standard 96-well
ELISA), detection capability, and ease of operation of a field-portable, battery
operated ELISA system, approximately the size of a cellular phone (12 * 6 * 5.5
cm), in the serological diagnosis of measles and rubella viruses that has the
potential for onsite testing such as during disease outbreaks.
PMID- 26567829
TI - Molecular Characterization of Imipenem-Resistant, Meropenem-Susceptible
Pseudomonas aeruginosa with blaVIM-2 Phenotype: Potential for Dissemination.
PMID- 26567831
TI - Shedding of Rubella Virus among Infants with Congenital Rubella Syndrome Born in
Tokyo, Japan, 2013-2014.
AB - Rubella is usually a mild illness, with febrile rash being its main symptom.
However, serious consequences of rubella infection can result when the infection
occurs during the early stages of pregnancy. After the occurrence of a rubella
outbreak in Japan that was observed from 2012 to 2013, 45 infants were reportedly
born with congenital rubella syndrome (CRS). We prospectively followed the 15 CRS
cases reported in Tokyo to determine the virus shedding periods by using nested
reverse transcriptase-polymerase chain reaction to detect rubella virus genes.
Throast swabs were used for virus detection. The virus shedding period was
measured from birth until the time when the sample last tested positive followed
by 2 consecutive negative samples. Kaplan-Meier method was used to estimate the
proportion of cases remaining positive for rubella virus genes over time. The
proportion of CRS cases shedding virus dropped steadily after birth, dropping to
33.8% at 6 months and 16.9% at 12 months. Our findings also suggested that the
earlier the mother's onset of rubella during pregnancy, the longer the infant
remained positive. Based on our findings, we believe that infants with CRS should
be monitored for rubella virus shedding until 1 year of age.
PMID- 26567832
TI - Retraction: Pneumonia in Vietnamese Children Aged 1 to 15 years Due to Atypical
Pneumonia Causative Bacteria: Hospital-Based Microbiological and Epidemiological
Characteristics.
AB - This article has been retracted by the authors under the agreement between the
Editor-in-Chief, Masayuki Saijo and authors.
PMID- 26567833
TI - Rapid Identification of Echinococcus granulosus and E. canadensis Using High
Resolution Melting (HRM) Analysis by Focusing on a Single Nucleotide
Polymorphism.
AB - High-resolution melting (HRM) is a reliable and sensitive scanning method to
detect variation in DNA sequences. We used this method to better understand the
epidemiology and transmission of Echinococcus granulosus. We tested the use of
HRM to discriminate the genotypes of E. granulosus and E. canadensis. One hundred
forty-one hydatid cysts were collected from slaughtered animals in different
parts of Isfahan-Iran in 2013. After DNA extraction, the mitochondrial cytochrome
c oxidase subunit 1 (cox1) gene was amplified using PCR coupled with the HRM
curve. The result of HRM analysis using partial the sequences of cox1 gene
revealed that 93, 35, and 2 isolates were identified as G1, G3, and G6 genotypes,
respectively. A single nucleotide polymorphism (SNP) was found in locus 9867 of
the cox1 gene. This is a critical locus for the differentiation between the G6
and G7 genotypes. In the phylogenic tree, the sample with a SNP was located
between the G6 and G7 genotypes, which suggest that this isolate has a G6/G7
genotype. The HRM analysis developed in the present study provides a powerful
technique for molecular and epidemiological studies on echinococcosis in humans
and animals.
PMID- 26567834
TI - Cytomegalovirus Retinitis in Three Pediatric Cases with Acute Lymphoblastic
Leukemia: Case Series and Review of the Literature.
AB - Cytomegalovirus (CMV) retinitis is typically diagnosed in patient with AIDS and
those who underwent allogeneic hematopoietic cell transplant. However, it may
develop in patients with acute lymphoblastic leukemia (ALL) who have not
undergone hematopoietic cell transplantation. To increase awareness of CMV
retinitis in this group, we describe 3 patients ages 3, 9, and 12, with ALL who
developed CMV retinitis. The diagnosis of CMV retinitis was made on the basis of
ophthalmological findings suggesting typical retinal lesions. In 2 cases, CMV
DNAemia was present, while in 1 patient CMV DNA was detected only in vitreous
fluid using the PCR technique. All cases were treated with intravenous
ganciclovir for 2 or 3 weeks as induction therapy, followed by oral
valganciclovir prophylaxis. Initially, active retinitis lesions resolved in all
cases; however, in 1 patient CMV retinitis relapsed 3 times during follow-up. In
this case, by using foscarnet therapy, satisfactory responses were achieved and
the progression of CMV retinitis lesions stopped and eventually regressed.
PMID- 26567836
TI - Measles and Rubella: Scale Free Distribution of Local Infection Clusters.
AB - This study examined the size distribution of local infection clusters (referred
to as clusters hereafter) of measles and rubella from 2008-2013 in Japan. When
the logarithm of the cluster sizes were plotted on the x-axis and the logarithm
of their frequencies were plotted on the y-axis, the plots fell on a rightward
descending straight line. The size distribution was observed to follow a power
law. As the size distribution of the clusters could be equated with that of local
secondary infections initiated by 1 patient, the size distribution of the
clusters, in fact, represented the effective reproduction numbers at the local
level. As the power law distribution has no typical sizes, it was suggested that
measles or rubella epidemics in Japan had no typical reproduction number. Higher
the population size and higher the total number of patients, flatter was the
slope of the plots, thus larger was the proportion of larger clusters. An
epidemic of measles or rubella in Japan could be represented more appropriately
by the cluster size frequency distribution rather than by the reproduction
number.
PMID- 26567835
TI - Characterization of an A (H1N1)pdm09 Virus Imported from India in March 2015.
PMID- 26567837
TI - Survey of Francisella tularensis in Wild Animals in Japan in Areas Where
Tularemia is Endemic.
AB - Samples taken from 428 wild animals and 126 ticks, collected from a tularemia
endemic area in Japan between 2005 and 2013, were analyzed for the presence of
Francisella tularensis. F. tularensis was isolated from a Japanese hare carcass
whereas the samples from live animals and ticks were negative for F. tularensis
by real-time PCR. Our results suggest that F. tularensis is still present in
Japan although its prevalence is considerably low even in areas where tularemia
is endemic.
PMID- 26567838
TI - Description of the Pathogenic Features of Streptococcus pyogenes Isolates from
Invasive and Non-Invasive Diseases in Aichi, Japan.
AB - We identified hypervirulent Streptococcus pyogenes in 27 and 420 isolates from
patients with invasive and non-invasive diseases, respectively, in Aichi
Prefecture, Japan, between 2003 and 2012, in an attempt to understand why the
prevalence of streptococcal toxic shock syndrome (STSS) suddenly increased in
this location during 2011. Hypervirulent strains belong to the emm1 genotype,
with a mutation in the covR/S genes that regulate many other genes, encoding
virulence determinants and resulting in the absence of the proteinase
streptococcal exotoxin B and the production of virulence factors such as the
superantigen streptococcal exotoxin A, the nuclease streptococcal DNase, the
cytotoxin NAD-glycohydrolase, and the hemolysin streptolysin O. We found 1 strain
from invasive disease and 1 from non-invasive disease with traits similar to
those of hypervirulent strains, except that the sda1 gene was absent. We also
found 1 non-emm1 strain with phenotypic and genetic traits identical to those of
the emm1 hypervirulent strains except that it did not belong to emm1 genotype,
from non-invasive diseases cases in 2011. These findings suggested that
hypervirulent and hypervirulent-like strains from invasive and non-invasive
disease cases could have at least partially contributed to the sudden increase in
the number of patients with STSS in Aichi during 2011.
PMID- 26567839
TI - Prevalence and Phylogenetic Characterization of Enterovirus D68 in Pediatric
Patients with Acute Respiratory Tract Infection in Thailand.
AB - Enterovirus D68 (EV-D68) is associated with severe lower respiratory tract
infection and neurological abnormalities including acute myelitis and cranial
nerve dysfunction. To determine whether an increased incidence of EV-D68 occurs
in Southeast Asia, we retrospectively tested specimens collected from Thai
pediatric patients who were less than 5 years of age and presented with acute
respiratory tract infections between 2012 and 2014. Reverse transcription
polymerase chain reaction and nucleotide sequencing of the 5'-UTR/VP2 region were
used to identify EV-D68. We also examined the epidemiological pattern of EV-D68
since 2009, when it was first identified in Thailand, and compiled records of
clinical manifestations in children with confirmed EV-D68 infection. From 837
samples, 5 samples (0.6%) tested positive for EV-D68. All patients presented with
viral pneumonia and required hospitalization. Phylogenetic analysis of the
VP4/VP2 regions revealed that EV-D68 strains circulating in Thailand between 2012
and 2014 were closely related to strains reported in Japan, United Kingdom,
China, and France. Continued surveillance of probable EV-D68-associated severe
respiratory tract infection and the development of a rapid diagnostic test for EV
D68 are essential in supporting awareness and facilitating disease prevention and
control.
PMID- 26567840
TI - Possible Involvement of Multidrug-Resistant Hepatitis B Virus sW172* Truncation
Variant in the ER Stress Signaling Pathway during Hepatocarcinogenesis.
AB - We investigated the biological effect of hepatitis B virus (HBV) rtA181T/sW172*
point mutation on HBsAg secretion and the potential mechanisms involved in
hepatocarcinogenesis. Full-length HBV wild type (wt) and HBV rtA181T/sW172*
expression plasmids were transfected into HepG2 cell lines or were injected into
C57BL/6 mice. The extracellular and intracellular expression levels of HBsAg and
HBeAg proteins, in mouse serum and liver tissues were detected by ELISA. The
localization of the truncated protein was characterized in vitro. The mRNA
expression of endoplasmic reticulum (ER) stress gene GRP78 was determined. HBsAg
levels were significantly higher in both supernatant of cells transfected with
HBV wt and serum of mice injected with HBV wt, compared with that of HBV
rtA181T/sW172* mutant. The reversed trend was observed in intracellular cells and
intrahepatic liver cells. Wild type S protein alone could rescue this
dysfunction. HBV rtA181T/sW172* truncated surface proteins showed a more
aggregated cytoplasmic pattern which were also localized to the ER in comparison
with HBV wt. Furthermore, GRP78 mRNA expression was increased 72 h post
transfection in HBV rtA181T/sW172* cells relative to HBV wt cells (P = 0.0154).
The HBV sW172* truncation variant has a defect on HBsAg secretion which can lead
to surface protein retention in the ER, where it may contribute to
hepatocarcinogenesis through activating the ER stress signaling pathway.
PMID- 26567841
TI - Multiple Renal and Splenic Lesions in Cat Scratch Disease.
AB - Cat scratch disease (CSD) is an infectious disease caused by Bartonella henselae.
Atypical clinical presentations of CSD include prolonged fever and multiple
hepatosplenic lesions. Furthermore, multiple renal lesions are extremely rare in
CSD. An 11-year-old Japanese girl presented at our hospital with a prolonged
fever of unknown cause after being scratched and bitten by a kitten. Abdominal
computed tomography (CT) revealed multiple small, round hypodense lesions in both
kidneys and the spleen. Based on her history and the CT results, her diagnosis
was CSD. The diagnosis was confirmed by serological tests, which indicated
antibodies against B. henselae. After treatment with azithromycin, her fever
immediately improved. Careful history taking and imaging are essential for the
diagnosis of atypical CSD. In CT images, not only hepatosplenic lesions but also
renal lesions are important features indicative of a diagnosis of atypical CSD.
Subsequently, a diagnosis of CSD can be confirmed by specific serological tests.
This is the first reported Japanese case of multiple renal and splenic lesions in
a patient with CSD. Although difficult to diagnose, an early diagnosis atypical
CSD and appropriate treatment are important to prevent complications and the need
for invasive examinations.
PMID- 26567842
TI - Time-Series Analysis Comparing the Prevalence of Antibodies against Nine Viral
Species Found in Umbilical Cord Blood in Japan.
AB - In this study, we investigated the prevalence of antibodies against 9 viral
species found in umbilical cord blood from 561 neonates in 2013. Serum IgG
antibodies against the following viruses were measured: herpes simplex virus
(HSV), varicella-zoster virus (VZV), Epstein-Barr virus (EBV), cytomegalovirus
(CMV), human herpesvirus 6 (HHV-6), measles virus (MV), rubella virus (RV), mumps
virus (MuV), and human parvovirus B19 (HPV B19). A survey questionnaire regarding
past medical history and maternal immunization status for the vaccine-preventable
diseases of varicella, measles, rubella, and mumps was simultaneously
administered. The results were compared with previous data collected in 2001-2002
from 378 umbilical cord blood samples. Viral seroprevalence data were: HSV, 54%;
VZV, 96%; EBV, 96%; CMV, 67%; HHV-6, 100%; MV, 95%; RV, 94%; MuV, 64%; and HPV
B19, 55%. The seroprevalence of CMV, MV, and MuV were significantly lower in 2013
than in 2001-2002 (CMV, 76%; MV, 98%; MuV, 93%). Compared with the 2001-2002
data, the mean IgG antibody values of the 4 vaccine-preventable diseases were
significantly lower, and vaccination coverage for those diseases among mothers
was significantly higher. Thus, attention should be paid to antibody levels in
women of childbearing age in the future.
PMID- 26567843
TI - Depression as a Modifying Factor in Sport-Related Concussion: A Critical Review
of the Literature.
AB - Since its third iteration in 2008, the international Concussion in Sport Group
(CISG) has delineated several 'modifying factors' that have the potential to
influence the management of sport-related concussions (SRC). One of these factors
is co- and pre-morbidities, which includes migraines, mental health disorders,
attention-deficit hyperactive disorder (ADHD), learning disability, and sleep
disorders. Mental health disorders, and in particular, depression, have received
some attention in the management of SRC and in this review we summarize the
empirical evidence for its inclusion as a modifying factor. This review is
divided into three main bodies of findings: (1) the incidence and prevalence of
depression and depressive symptoms in non-concussed and concussed athletes, with
comparison made to the general population; (2) managing the post-concussion
athlete and accounting for premorbid depressive symptoms; and (3) depression as a
long-term effect of repetitive head trauma. Overall, it has been reported that
certain subpopulations of athletes have similar or even higher rates of
depressive symptoms when compared to the general population. The challenge of
accounting for these baseline-depressive symptoms while managing the post
concussive athlete is stressed. And lastly, the prevalence of depression and its
relationship to concussion in later-life is discussed.
PMID- 26567844
TI - Fluorescence enhancement on silver nanoplates at the single- and sub-nanoparticle
level.
AB - The fluorescence intensity of a fluorescent molecule can be strongly enhanced
when the molecule is near a metal nanoparticle. Hence, fluorescence enhancement
has a lot of applications in the fields of biology and medical science. It is
necessary to understand the mechanism for such an attractive effect, if we intend
to develop better materials to improve the enhancement. In this paper, we
directly image the diverse patterns of fluorescence enhancement on single Ag
nanoplates by super-resolution microscopy. The research reveals that the edges or
tips of the Ag nanoplate usually show a much higher ability of fluorescence
enhancement than the mid part. The spatial distribution of fluorescence
enhancement strongly depends on the size of the Ag nanoplate as well as the angle
between the Ag nanoplate and the incident light. The experimental results above
are essentially consistent with the simulated electric field by the theory of
localized surface plasmon resonance (LSPR), but some irregularities still exist.
We also find that fluorescence enhancement on small Ag nanoplates is mainly due
to in-plane dipole plasmon resonance, while the enhancement on large Ag
nanoplates is mainly due to in-plane quadrupole plasmon resonance. Furthermore,
in-plane quadrupole resonance of large plates has a higher ability to enhance the
fluorescence signal than the in-plane dipole plasmon resonance. This research
provides many valuable insights into the fluorescence enhancement at the single-
and sub-nanoparticle level, and will be very helpful in developing better
relevant materials.
PMID- 26567846
TI - Ullmann coupling mediated assembly of an electrically driven altitudinal
molecular rotor.
AB - Surface-bound molecular rotation can occur with the rotational axis either
perpendicular (azimuthal) or parallel (altitudinal) to the surface. The majority
of molecular rotor studies involve azimuthal rotors, whereas very few altitudinal
rotors have been reported. In this work, altitudinal rotors are formed by means
of coupling aryl halides through a surface-mediated Ullmann coupling reaction,
producing a reaction state-dependent altitudinal molecular rotor/stator. All
steps in the reaction on a Cu(111) surface are visualized by low-temperature
scanning tunneling microscopy. The intermediate stage of the coupling reaction is
a metal-organic complex consisting of two aryl groups attached to a single copper
atom with the aryl rings angled away from the surface. This conformation leads to
nearly unhindered rotational motion of ethyl groups at the para positions of the
aryl rings. Rotational events of the ethyl group are both induced and quantified
by electron tunneling current versus time measurements and are only observed for
the intermediate structure of the Ullmann coupling reaction, not the starting
material or finished product in which the ethyl groups are static. We perform an
extensive set of inelastic electron tunneling driven rotation experiments that
reveal that torsional motion around the ethyl group is stimulated by tunneling
electrons in a one-electron process with an excitation energy threshold of 45
meV. This chemically tunable system offers an ideal platform for examining many
fundamental aspects of the dynamics of chemically tunable molecular rotor and
motors.
PMID- 26567845
TI - Value-added Synthesis of Graphene: Recycling Industrial Carbon Waste into
Electrodes for High-Performance Electronic Devices.
AB - We have developed a simple, scalable, transfer-free, ecologically sustainable,
value-added method to convert inexpensive coal tar pitch to patterned graphene
films directly on device substrates. The method, which does not require an
additional transfer process, enables direct growth of graphene films on device
substrates in large area. To demonstrate the practical applications of the
graphene films, we used the patterned graphene grown on a dielectric substrate
directly as electrodes of bottom-contact pentacene field-effect transistors (max.
field effect mobility ~0.36 cm(2).V(-1).s(-1)), without using any physical
transfer process. This use of a chemical waste product as a solid carbon source
instead of commonly used explosive hydrocarbon gas sources for graphene synthesis
has the dual benefits of converting the waste to a valuable product, and reducing
pollution.
PMID- 26567847
TI - Validation of Mitochondrial Gene Delivery in Liver and Skeletal Muscle via
Hydrodynamic Injection Using an Artificial Mitochondrial Reporter DNA Vector.
AB - For successful mitochondrial transgene expression, two independent processes,
i.e., developing a mitochondrial gene delivery system and construction of DNA
vector to achieve mitochondrial gene expression, are required. To date, very few
studies dealing with mitochondrial gene delivery have been reported and, in most
cases, transgene expression was not validated, because the construction of a
reporter DNA vector for mitochondrial gene expression is the bottleneck. In this
study, mitochondrial transgene expression by the in vivo mitochondrial gene
delivery of an artificial mitochondrial reporter DNA vector via hydrodynamic
injection is demonstrated. In the procedure, a large volume of naked plasmid DNA
(pDNA) is rapidly injected. We designed and constructed pHSP-mtLuc (CGG) as a
mitochondrial reporter DNA vector that possesses a mitochondrial heavy strand
promoter (HSP) and an artificial mitochondrial genome with the reporter NanoLuc
(Nluc) luciferase gene that records adjustments to the mitochondrial codon
system. We delivered the pDNA into mouse liver mitochondria by hydrodynamic
injection, and detected exogenous mRNA in the liver using reverse transcription
PCR analysis. The hydrodynamic injection of pHSP-mtLuc (CGG) resulted in the
expression of the Nluc luciferase protein in liver and skeletal muscle. Our
mitochondrial transgene expression reporter system would contribute to
mitochondrial gene therapy and further studies directed at mitochondrial
molecular biology.
PMID- 26567848
TI - Radiographic classification and treatment of fibrous dysplasia of the proximal
femur: 227 femurs with a mean follow-up of 6 years.
AB - BACKGROUND: Research into the optimal treatment of fibrous dysplasia has been
limited by the lack of an established classification system for the disease. The
purposes of this study were to develop a radiographic classification for fibrous
dysplasia of the proximal femur and to test this classification's intra- and
interobserver reliability as well as the effectiveness of our treatments.
METHODS: We retrospectively reviewed radiographs and computed tomography (CT) of
227 femurs from 206 patients with fibrous dysplasia. The radiographs were
evaluated in the coronal plane for neck-shaft angle, varus deformity in the
proximal femoral shaft, and distal juxtaarticular valgus deformity. CT was
evaluated in the axial plane for destruction of cortex. Reduction of bone
strength was defined as the thickness of the remaining cortex less than 50 % of
the original on axial CT. Two senior orthopedists evaluated each radiograph and
CT twice at 8-week intervals. Intra- and interobserver reliability testing was
performed using the kappa statistic. Treatments were assessed through mid-term
follow-up. RESULTS: The 227 femurs were classified into five reproducible types:
type 1 (33 %), normal bone strength without angular deformity; type 2 (30 %),
decreased bone strength without angular deformity; type 3 (12 %), isolated coxa
vara with neck-shaft angle <120 degrees ; type 4 (11 %), isolated varus deformity
in the proximal femoral shaft; and type 5 (14 %), coxa vara with varus deformity
in the proximal femoral shaft. Intra- and interobserver kappa values were
excellent, ranging from 0.85 to 0.88. Good clinical outcomes were achieved.
CONCLUSIONS: This radiographic classification of fibrous dysplasia is
reproducible and useful for describing and assessing this disease. The treatments
based on this classification were effective.
PMID- 26567850
TI - Bubbler: A Novel Ultra-High Power Density Energy Harvesting Method Based on
Reverse Electrowetting.
AB - We have proposed and successfully demonstrated a novel approach to direct
conversion of mechanical energy into electrical energy using microfluidics. The
method combines previously demonstrated reverse electrowetting on dielectric
(REWOD) phenomenon with the fast self-oscillating process of bubble growth and
collapse. Fast bubble dynamics, used in conjunction with REWOD, provides a
possibility to increase the generated power density by over an order of
magnitude, as compared to the REWOD alone. This energy conversion approach is
particularly well suited for energy harvesting applications and can enable
effective coupling to a broad array of mechanical systems including such
ubiquitous but difficult to utilize low-frequency energy sources as human and
machine motion. The method can be scaled from a single micro cell with 10(-6) W
output to power cell arrays with a total power output in excess of 10 W. This
makes the fabrication of small light-weight energy harvesting devices capable of
producing a wide range of power outputs feasible.
PMID- 26567849
TI - Comprehensive functional characterization of cancer-testis antigens defines
obligate participation in multiple hallmarks of cancer.
AB - Tumours frequently activate genes whose expression is otherwise biased to the
testis, collectively known as cancer-testis antigens (CTAs). The extent to which
CTA expression represents epiphenomena or confers tumorigenic traits is unknown.
In this study, to address this, we implemented a multidimensional functional
genomics approach that incorporates 7 different phenotypic assays in 11 distinct
disease settings. We identify 26 CTAs that are essential for tumor cell viability
and/or are pathological drivers of HIF, WNT or TGFbeta signalling. In particular,
we discover that Foetal and Adult Testis Expressed 1 (FATE1) is a key survival
factor in multiple oncogenic backgrounds. FATE1 prevents the accumulation of the
stress-sensing BH3-only protein, BCL-2-Interacting Killer (BIK), thereby
permitting viability in the presence of toxic stimuli. Furthermore, ZNF165
promotes TGFbeta signalling by directly suppressing the expression of negative
feedback regulatory pathways. This action is essential for the survival of triple
negative breast cancer cells in vitro and in vivo. Thus, CTAs make significant
direct contributions to tumour biology.
PMID- 26567851
TI - A cross-sectional survey of 5-year-old children with non-syndromic unilateral
cleft lip and palate: the Cleft Care UK study. Part 1: background and
methodology.
AB - OBJECTIVES: We describe the methodology for a major study investigating the
impact of reconfigured cleft care in the United Kingdom (UK) 15 years after an
initial survey, detailed in the Clinical Standards Advisory Group (CSAG) report
in 1998, had informed government recommendations on centralization. SETTING AND
SAMPLE POPULATION: This is a UK multicentre cross-sectional study of 5-year-olds
born with non-syndromic unilateral cleft lip and palate. Children born between 1
April 2005 and 31 March 2007 were seen in cleft centre audit clinics. MATERIALS
AND METHODS: Consent was obtained for the collection of routine clinical measures
(speech recordings, hearing, photographs, models, oral health, psychosocial
factors) and anthropometric measures (height, weight, head circumference). The
methodology for each clinical measure followed those of the earlier survey as
closely as possible. RESULTS: We identified 359 eligible children and recruited
268 (74.7%) to the study. Eleven separate records for each child were collected
at the audit clinics. In total, 2666 (90.4%) were collected from a potential 2948
records. The response rates for the self-reported questionnaires, completed at
home, were 52.6% for the Health and Lifestyle Questionnaire and 52.2% for the
Satisfaction with Service Questionnaire. CONCLUSIONS: Response rates and measures
were similar to those achieved in the previous survey. There are practical,
administrative and methodological challenges in repeating cross-sectional surveys
15 years apart and producing comparable data.
PMID- 26567852
TI - Structural outcomes in the Cleft Care UK study. Part 2: dento-facial outcomes.
AB - OBJECTIVES: To compare facial appearance and dento-alveolar relationship outcomes
from the CSAG (1998) and CCUK (2013) studies. SETTING AND SAMPLE POPULATION: Five
year-olds born with non-syndromic unilateral cleft lip and palate. Those in the
original CSAG were treated in a dispersed model of care with low-volume
operators. Those in CCUK were treated in a more centralized, high-volume operator
model. MATERIALS AND METHODS: We compared facial appearance using frontal view
photographs (252 CCUK, 239 CSAG) and dental relationships using study models (198
CCUK, 223 CSAG). Facial appearance was scored by a panel of six assessors using a
standardized and validated outcome tool. Dento-alveolar relationships were scored
by two assessors using the 5-Year-Olds' Index. Ordinal regression was used to
compare results between surveys. RESULTS: Excellent or good facial appearance was
seen in 36.2% of CCUK compared with 31.9% in CSAG. In CCUK, 21.6% were rated as
having poor or very poor facial appearance compared with 27.6% in CSAG. The
percentage rated as having excellent or good dento-alveolar relationships was
53.0% in CCUK compared with 29.6% in CSAG. In CCUK, 19.2% were rated as having
poor or very poor dento-alveolar relationships compared to 36.3% in CSAG. The
odds ratios for improved outcome in CCUK compared to CSAG were 1.43 (95% CI 1.03,
1.97) for facial appearance and 2.29 (95% CI 1.47, 3.55) for dento-alveolar
relationships. CONCLUSIONS: Facial and dento-alveolar outcomes were better in
CCUK children compared to those in CSAG.
PMID- 26567854
TI - The Cleft Care UK study. Part 4: perceptual speech outcomes.
AB - OBJECTIVES: To describe the perceptual speech outcomes from the Cleft Care UK
(CCUK) study and compare them to the 1998 Clinical Standards Advisory Group
(CSAG) audit. SETTING AND SAMPLE POPULATION: A cross-sectional study of 248
children born with complete unilateral cleft lip and palate, between 1 April 2005
and 31 March 2007 who underwent speech assessment. MATERIALS AND METHODS: Centre
based specialist speech and language therapists (SLT) took speech audio-video
recordings according to nationally agreed guidelines. Two independent listeners
undertook the perceptual analysis using the CAPS-A Audit tool. Intra- and inter
rater reliability were tested. RESULTS: For each speech parameter of
intelligibility/distinctiveness, hypernasality, palatal/palatalization, backed to
velar/uvular, glottal, weak and nasalized consonants, and nasal realizations,
there was strong evidence that speech outcomes were better in the CCUK children
compared to CSAG children. The parameters which did not show improvement were
nasal emission, nasal turbulence, hyponasality and lateral/lateralization.
CONCLUSION: These results suggest that centralization of cleft care into high
volume centres has resulted in improvements in UK speech outcomes in five-year
olds with unilateral cleft lip and palate. This may be associated with the
development of a specialized workforce. Nevertheless, there still remains a group
of children with significant difficulties at school entry.
PMID- 26567853
TI - Functional outcomes in the Cleft Care UK study--Part 3: oral health and
audiology.
AB - OBJECTIVES: To compare oral health and hearing outcomes from the Clinical
Standards Advisory Group (CSAG, 1998) and the Cleft Care UK (CCUK, 2013) studies.
SETTING AND SAMPLE POPULATION: Two UK-based cross-sectional studies of 5-year
olds born with non-syndromic unilateral cleft lip and palate undertaken 15 years
apart. CSAG children were treated in a dispersed model of care with low-volume
operators. CCUK children were treated in a centralized, high volume operator
system. MATERIALS AND METHODS: Oral health data were collected using a
standardized proforma. Hearing was assessed using pure tone audiometry and middle
ear status by otoscopy and tympanometry. ENT and hearing history were collected
from medical notes and parental report. RESULTS: Oral health was assessed in 264
of 268 children (98.5%). The mean dmft was 2.3, 48% were caries free, and 44.7%
had untreated caries. There was no evidence this had changed since the CSAG
survey. Oral hygiene was generally good, 96% were enrolled with a dentist.
Audiology was assessed in 227 of 268 children (84.7%). Forty-three per cent of
children received at least one set of grommets--a 17.6% reduction compared to
CSAG. Abnormal middle ear status was apparent in 50.7% of children. There was no
change in hearing levels, but more children with hearing loss were managed with
hearing aids. CONCLUSIONS: Outcomes for dental caries and hearing were no better
in CCUK than in CSAG, although there was reduced use of grommets and increased
use of hearing aids. The service specifications and recommendations should be
scrutinized and implemented.
PMID- 26567855
TI - Cleft Care UK study. Part 5: child psychosocial outcomes and satisfaction with
cleft services.
AB - OBJECTIVES: To describe the impact of cleft service centralization on parental
perceptions of child outcomes and satisfaction with care from the Cleft Care UK
(CCUK) study and compare them to the Clinical Standards Advisory Group (CSAG)
study that took place 15 years earlier. SETTING AND SAMPLE POPULATION: A subgroup
of respondents from a UK multicentre cross-sectional study (CCUK) of 5-year-olds
born with non-syndromic unilateral cleft lip and palate. MATERIALS AND METHODS:
Data on parents' perceptions of child self-confidence and their satisfaction with
treatment outcomes and service provision were collected via self-report
questionnaires. Data were compared with findings from the 1998 CSAG study.
RESULTS: Fewer parents in the CCUK study perceived their children as having poor
self-confidence than in the 1998 CSAG study (8 and 19%, respectively). At least
81% of parents report satisfaction with the child's facial features after surgery
and 98% report being satisfied with the care received. These results are similar
to those reported in 1998. There is no evidence of an adverse impact on families'
ability to attend appointments at the cleft clinic following centralization.
Levels of reported problems (around 30%) with attendance were similar to those
reported by CSAG. CONCLUSION: Centralization of cleft services appears to have
improved parental perceptions of some child outcomes but has made little
difference to already high levels of parental satisfaction with cleft care
services. Centralization is not associated with an increase in the proportion of
families who find it difficult to attend appointments.
PMID- 26567856
TI - Centralization of cleft care in the UK. Part 6: a tale of two studies.
AB - OBJECTIVES: We summarize and critique the methodology and outcomes from a
substantial study which has investigated the impact of reconfigured cleft care in
the United Kingdom (UK) 15 years after the UK government started to implement the
centralization of cleft care in response to an earlier survey in 1998, the
Clinical Standards Advisory Group (CSAG). SETTING AND SAMPLE POPULATION: A UK
multicentre cross-sectional study of 5-year-olds born with non-syndromic
unilateral cleft lip and palate. Data were collected from children born in the UK
with a unilateral cleft lip and palate between 1 April 2005 and 31 March 2007.
MATERIALS AND METHODS: We discuss and contextualize the outcomes from speech
recordings, hearing, photographs, models, oral health and psychosocial factors in
the current study. We refer to the earlier survey and other relevant studies.
RESULTS: We present arguments for centralization of cleft care in healthcare
systems, and we evidence this with improvements seen over a period of 15 years in
the UK. We also make recommendations on how future audit and research may
configure. CONCLUSIONS: Outcomes for children with a unilateral cleft lip and
palate have improved after the introduction of a centralized multidisciplinary
service, and other countries may benefit from this model. Predictors of early
outcomes are still needed, and repeated cross-sectional studies, larger
longitudinal studies and adequately powered trials are required to create a
research-led evidence-based (centralized) service.
PMID- 26567857
TI - A Novel Role of Salt-Inducible Kinase 1 (SIK1) in the Post-Translational
Regulation of Scavenger Receptor Class B Type 1 Activity.
AB - Salt-inducible kinase 1 (SIK1) is a serine/threonine kinase that belongs to the
stress- and energy-sensing AMPK family of kinases. SIK1 expression is rapidly
induced in Y1 adrenal cells in response to ACTH via the cAMP-PKA signaling
cascade, and it has been suggested that an increased level of SIK1 expression
inhibits adrenal steroidogenesis by repressing the cAMP-dependent transcription
of steroidogenic proteins, CYP11A1 and StAR, by attenuating CREB transcriptional
activity. Here we show that SIK1 stimulates adrenal steroidogenesis by modulating
the selective HDL-CE transport activity of SR-B1. Overexpression of SIK1
increases cAMP-stimulated and SR-B1-mediated selective HDL-BODIPY-CE uptake in
cell lines without impacting SR-B1 protein levels, whereas knockdown of SIK1
attenuated cAMP-stimulated selective HDL-BODIPY-CE uptake. SIK1 forms a complex
with SR-B1 by interacting with its cytoplasmic C-terminal domain, and in vitro
kinase activity measurements indicate that SIK1 can phosphorylate the C-terminal
domain of SR-B1. Among potential phosphorylation sites, SIK1-catalyzed
phosphorylation of Ser496 is critical for SIK1 stimulation of the selective CE
transport activity of SR-B1. Mutational studies further demonstrated that both
the intact catalytic activity of SIK1 and its PKA-catalyzed phosphorylation are
essential for SIK1 stimulation of SR-B1 activity. Finally, overexpression of SIK1
caused time-dependent increases in SR-B1-mediated and HDL-supported steroid
production in Y1 cells; however, these effects were lost with knockdown of SR-B1.
Taken together, these studies establish a role for SIK1 in the positive
regulation of selective HDL-CE transport function of SR-B1 and steroidogenesis
and suggest a potential mechanism for SIK1 signaling in modulating SR-B1-mediated
selective CE uptake and associated steroidogenesis.
PMID- 26567858
TI - Impact of effective versus sham continuous positive airway pressure on liver
injury in obstructive sleep apnoea: Data from randomized trials.
AB - BACKGROUND AND OBJECTIVE: Obstructive sleep apnoea (OSA) could be an independent
risk factor for non-alcoholic fatty liver disease (NAFLD) occurrence and
progression. The impact of continuous positive airway pressure (CPAP) treatment
on non-invasive markers of NAFLD has not been studied. The aim of this study was
to evaluate the effect of 6-12 weeks of effective CPAP on the FibroMax test
(comprising components including the SteatoTest, NashTest and FibroTest) through
three randomized sham controlled studies. METHODS: The FibroMax test was
performed in 103 obstructive sleep apnoea patients (apnoea + hypopnoea index >
15/h) enrolled in a randomized study comparing sham versus effective CPAP.
RESULTS: At baseline, 40.4% of patients in the sham CPAP group and 45.5% in the
CPAP group exhibited liver steatosis. Furthermore, 39.6% of patients in the sham
CPAP group and 58.4% in the CPAP group displayed borderline or possible non
alcoholic steatohepatitis (NASH). Six to twelve weeks of effective CPAP did not
demonstrate any impact on reducing steatosis, NASH or liver fibrosis even after
adjustment for gender, BMI, baseline apnoea + hypopnoea index and severity of
liver injury. CONCLUSION: A number of non-invasive markers of liver damage are
increased in untreated obstructive sleep apnoea patients, potentially
contributing to cardiometabolic risk, but they do not improve after 6-12 weeks of
effective CPAP treatment. CLINICAL TRIAL REGISTRATION: NCT01196845 (ADISAS),
NCT00464659 (MneSAS) and NCT00669695 (StatinflaSAS) at ClinicalTrials.gov.
PMID- 26567859
TI - Cyclometalated Osmium-Amine Electronic Communication through the p-Oligophenylene
Wire.
AB - A series of bis-tridentate cyclometalated osmium complexes with a redox-active
triarylamine substituent have been prepared, where the amine substituent is
separated from the osmium ion by a p-oligophenylene wire of various lengths. X
ray crystallographic data of complexes 3(PF6) and 4(PF6) with three or four
repeating phenyl units between the osmium ion and the amine substituent are
presented. These complexes show two consecutive anodic redox couples between +0.1
and +0.9 V vs Ag/AgCl, with the potential splitting in the range of 300-390 mV. A
combined experimental and theoretical study suggests that, in the one-electron
oxidized state, the odd electron is delocalized for short congeners and localized
on the osmium component for long congeners. The electronic coupling parameter
(Vab) was estimated by the Marcus-Hush analysis. The distance dependence plot of
ln(Vab) versus the osmium-amine geometrical distance (Rab) gives a negative
linear relationship with a decay slope of -0.19 A(-1), which is slightly steeper
with respect to the previously reported ruthenium-amine series with the same
molecular wire. DFT calculations with the long-range-corrected UCAM-B3LYP
functional gave more reasonable results for the osmium complexes with respect to
those with UB3LYP.
PMID- 26567860
TI - The incretin effect in critically ill patients: a case-control study.
AB - INTRODUCTION: Patients admitted to the intensive care unit often develop
hyperglycaemia, but the underlying mechanisms have not been fully described. The
incretin effect is reduced in patients with type 2 diabetes. Type 2 diabetes and
critical illness have phenotypical similarities, such as hyperglycaemia, insulin
resistance and systemic inflammation. Previous studies have shown beneficial
effects of exogenous glucagon-like peptide (GLP)-1 on glycaemia in critically ill
patients, a phenomenon also seen in patients with type 2 diabetes. In this study,
we hypothesised that the incretin effect, which is mediated by the incretin
hormones GLP-1 and glucose-dependent insulinotropic peptide (GIP), is impaired in
critically ill patients. METHODS: The incretin effect (i.e., the relative
difference between the insulin response to oral and intravenous glucose
administration) was investigated in a cross-sectional case-control study. Eight
critically ill patients without diabetes admitted to a mixed intensive care unit
and eight healthy control subjects without diabetes, matched at group level by
age, sex and body mass index, were included in the study. All subjects underwent
an oral glucose tolerance test (OGTT) followed by an intravenous glucose infusion
(IVGI) on the next day to mimic the blood glucose profile from the OGTT. Blood
glucose, serum insulin, serum C-peptide and plasma levels of GLP-1, GIP, glucagon
and proinflammatory cytokines were measured intermittently. The incretin effect
was calculated as the increase in insulin secretion during oral versus
intravenous glucose administration in six patients. The groups were compared
using either Student's t test or a mixed model of repeated measurements. RESULTS:
Blood glucose levels were matched between the OGTT and the IVGI in both groups.
Compared with control subjects, proinflammatory cytokines, tumour necrosis factor
alpha and interleukin 6, were higher in patients than in control subjects. The
endogenous response of GIP and glucagon, but not GLP-1, to the OGTT was greater
in patients. The insulin response to the OGTT did not differ between groups,
whereas the insulin response to the IVGI was higher in patients. Consequently,
the calculated incretin effect was lower in patients (23 vs. 57%, p=0.003).
CONCLUSIONS: In critically ill patients, the incretin effect was reduced. This
resembles previous findings in patients with type 2 diabetes. TRIAL REGISTRATION:
ClinicalTrials.gov identifier: NCT01347801 . Registered on 2 May 2011.
PMID- 26567862
TI - Boron nitride encapsulated copper nanoparticles: a facile one-step synthesis and
their effect on thermal decomposition of ammonium perchlorate.
AB - Reactivity is of great importance for metal nanoparticles used as catalysts,
biomaterials and advanced sensors, but seeking for high reactivity seems to be
conflict with high chemical stability required for metal nanoparticles. There is
a subtle balance between reactivity and stability. This could be reached for
colloidal metal nanoparticles using organic capping reagents, whereas it is
challenging for powder metal nanoparticles. Here, we developed an alternative
approach to encapsulate copper nanoparticles with a chemical inertness material-
hexagonal boron nitride. The wrapped copper nanoparticles not only exhibit high
oxidation resistance under air atmosphere, but also keep excellent promoting
effect on thermal decomposition of ammonium perchlorate. This approach opens the
way to design metal nanoparticles with both high stability and reactivity for
nanocatalysts and their technological application.
PMID- 26567861
TI - Analysis of the Secretome of Apoptotic Peripheral Blood Mononuclear Cells: Impact
of Released Proteins and Exosomes for Tissue Regeneration.
AB - We previously showed that, when peripheral blood mononuclear cells (PBMCs) were
stressed with ionizing radiation, they released paracrine factors that showed
regenerative capacity in vitro and in vivo. This study aimed to characterize the
secretome of PBMCs and to investigate its biologically active components in vitro
and vivo. Bioinformatics analysis revealed that irradiated PBMCs differentially
expressed genes that encoded secreted proteins. These genes were primarily
involved in (a) pro-angiogenic and regenerative pathways and (b) the generation
of oxidized phospholipids with known pro-angiogenic and inflammation-modulating
properties. Subsequently, in vitro assays showed that the exosome and protein
fractions of irradiated and non-irradiated PBMC secretome were the major
biological components that enhanced cell mobility; conversely, secreted lipids
and microparticles had no effects. We tested a viral-cleared PBMC secretome,
prepared according to good manufacturing practice (GMP), in a porcine model of
closed chest, acute myocardial infarction. We found that the potency for
preventing ventricular remodeling was similar with the GMP-compliant and
experimentally-prepared PBMC secretomes. Our results indicate that irradiation
modulates the release of proteins, lipid-mediators and extracellular vesicles
from human PBMCs. In addition our findings implicate the use of secretome
fractions as valuable material for the development of cell-free therapies in
regenerative medicine.
PMID- 26567863
TI - Drug-eluting stents to prevent stent thrombosis and restenosis.
AB - Although first-generation drug-eluting stents (DES) have significantly reduced
the risk of in-stent restenosis, they have also increased the long-term risk of
stent thrombosis. This safety concern directly triggered the development of new
generation DES, with innovations in stent platforms, polymers, and anti
proliferative drugs. Stent platform materials have evolved from stainless steel
to cobalt or platinum-chromium alloys with an improved strut design. Drug
carrying polymers have become biocompatible or biodegradable and even polymer
free DES were introduced. New limus-family drugs (such as everolimus, zotarolimus
or biolimus) were adopted to enhance stent performances. As a result, these new
DES demonstrated superior vascular healing responses on intracoronary imaging
studies and lower stent thrombotic events in actual patients. Recently, fully
bioresorbable stents (scaffolds) have been introduced, and expanding their
applications. In this article, the important concepts and clinical results of new
generation DES and bioresorbable scaffolds are described.
PMID- 26567864
TI - Enhanced sensing performance of supported graphitic carbon nitride nanosheets and
the fabrication of electrochemiluminescent biosensors for IgG.
AB - The key challenge for fabricating electrogenerated chemiluminescence (ECL)
biosensors still lies in the improvement of the sensing performance of ECL
luminophores. Graphite-like carbon nitride (g-C3N4) nanosheets were prepared and
the cathodic ECL performance was investigated. The ECL emission of g-C3N4
nanosheets was greatly enhanced and higher sensing sensitivity towards copper
ions was achieved by a "space effect", the incorporation of g-C3N4 nanosheets
into a top-down prepared nanoporous matrix. Label-free ECL immunosensors were
fabricated based on this simple sensing platform. The unique signal amplification
strategy and simple fabrication procedure guarantee the sensor stability and
production reproducibility. Nanoporous matrix supported ECL probes have potential
as a versatile sensing strategy for the fabrication of ECL biosensors.
PMID- 26567865
TI - Management of blunt splenic injuries Retrospective cohort study of early
experiences in an Acute Care Surgery Service recently established.
AB - AIM: To identify patients with splenic injuries, who should benefit from a
conservative treatment, and to compare inhospital follow-up and hospital length
of stay (LOS), in patients treated by non-operative management (NOM) versus
immediate-splenectomy (IS). MATERIAL OF STUDY: A retrospective cohort study on
consecutive patients, with all grade of splenic injuries, admitted between
November 2010 and December 2014 at the Acute Care Surgery Service of the S. Anna
University Hospital of Ferrara. Patients were offered NOM or IS. RESULTS: Fifty
four patients were enrolled; 29 (53.7%) underwent IS and 25 (46.3%) were offered
NOM. Splenic artery angioembolization was performed in 9 patients (36%) among
this latter group. High-grade splenic injuries (IVV) were more represented in IS
group (65.5% vs 8%), while low grade (I-II) were more represented in NOM group
(64% vs 10.3%). Failure of NOM occurred in 4 patients (16%). Hospital LOS was
longer in IS group (p=0.044), while in-hospital and 30-day mortality were not
statistically significant different between the two groups. CONCLUSIONS:
Hemodynamically stable patients, with grade I to III of splenic injuries, without
other severe abdominal organ injuries, could benefit from a NOM; the in-hospital
follow-up should be done, after a control CECT scan, with US. Observation and
strictly monitoring of splenic injuries treated with NOM do not affect patients'
hospital los. KEY WORDS: Non-operative management, Splenic Rupture, Surgery.
PMID- 26567866
TI - Current molecular genetics strategies for the diagnosis of lysosomal storage
disorders.
AB - Lysosomal storage disorders (LSDs) are a group of almost 50 monogenic diseases
characterized by mutations causing deficiency of lysosomal enzymes or non-enzyme
proteins involved in transport across the lysosomal membrane, protein maturation
or lysosomal biogenesis. Usually, affected patients are normal at birth and have
a progressive and severe disease with high morbidity and reduced life expectancy.
The overall incidence of LSDs is usually estimated as 1:5000, but newborn
screening studies are indicating that it could be much higher. Specific therapies
were already developed for selected LSDs, making the timely and correct diagnosis
very important for successful treatment and also for genetic counseling. In most
LSD cases the biochemical techniques provide a reliable diagnosis. However, the
identification of pathogenic mutations by genetic analysis is being increasingly
recommended to provide additional information. In this paper we discuss the
conventional methods for genetic analysis used in the LSDs [restriction fragment
length polymorphism (RFLP), amplification-refractory mutation system (ARMS),
single strand conformation polymorphism (SSCP), denaturing high performance
liquid chromatography (dHPLC), real-time polymerase chain reaction, high
resolution melting (HRM), multiplex ligation-dependent probe amplification
(MLPA), Sanger sequencing] and also the newer approaches [massive parallel
sequencing, array comparative genomic hybridization (CGH)].
PMID- 26567868
TI - Prenylation and Backbone Structure of Flavonoids and Isoflavonoids from Licorice
and Hop Influence Their Phase I and II Metabolism.
AB - In vitro liver metabolism of 11 prenylated flavonoids and isoflavonoids was
investigated by determining their phase I glucuronyl and sulfate metabolites
using pork liver preparations. One hundred metabolites were annotated using RP
UHPLC-ESI-MS(n). A mass spectrometry-based data interpretation guideline was
proposed for the tentative annotation of the position of hydroxyl groups,
considering its relevance for estrogenic activity. To relate structure to
metabolism, compounds were classified on the basis of three criteria: backbone
structure (isoflavene, isoflavan, or flavanone), number of prenyl groups (0, 1,
or 2), and prenyl configuration (chain or pyran). Glucuronidation was most
extensive for isoflavenes and for unprenylated compounds (yield of 90-100%).
Pyran and chain prenylation gave more complex hydroxylation patterns with 4 or
more than 6 hydroxyl isomers, respectively, as compared to unprenylated compounds
(only 1 hydroxyl isomer). Moreover, the number of hydroxyl isomers also increased
with the number of prenyl groups.
PMID- 26567867
TI - Clinical outcomes for 14 consecutive patients with solid pseudopapillary
neoplasms who underwent laparoscopic distal pancreatectomy.
AB - INTRODUCTION: The postoperative results of laparoscopic distal pancreatectomy for
solid pseudopapillary neoplasm of the pancreas (SPN), including the effects of
spleen-preserving resection, are still to be elucidated. METHODS: Of the 139
patients who underwent laparoscopic pancreatectomy for non-cancerous tumors, 14
consecutive patients (average age, 29.6 years; 1 man, 13 women) with solitary SPN
who underwent laparoscopic distal pancreatectomy between March 2004 and June 2015
were enrolled. The tumors had a mean diameter of 4.8 cm. Laparoscopic spleen
preserving distal pancreatectomy was performed in eight patients (spleen
preserving group), including two cases involving pancreatic tail preservation,
and laparoscopic spleno-distal pancreatectomy was performed in six patients
(standard resection group). RESULTS: The median operating time was 317 min, and
the median blood loss was 50 mL. Postoperatively, grade B pancreatic fistulas
appeared in two patients (14.3%) but resolved with conservative treatment. No
patients had postoperative complications, other than pancreatic fistulas, or
required reoperation. The median postoperative hospital stay was 11 days, and the
postoperative mortality was zero.None of the patients had positive surgical
margins or lymph nodes with metastasis. The median follow-up period did not
significantly differ between the two groups (20 vs 39 months, P = 0.1368). All of
the patients are alive and free from recurrent tumors without major late-phase
complications. CONCLUSION: Laparoscopic distal pancreatectomy might be a suitable
treatment for patients with SPN. A spleen-preserving operation is preferable for
younger patients with SPN, and this study demonstrated the non-inferiority of the
procedure compared to spleno-distal pancreatectomy.
PMID- 26567869
TI - Immunotherapy for breast cancer: is it feasible?
PMID- 26567870
TI - Dislocation scatterings in p-type Si(1-x)Ge(x) under weak electric field.
AB - We present a theoretical model which describes hole mobility degradation by
charged dislocations in p-type Si(1-x)Ge(x). The complete analytical expression
of the dislocation mobility is calculated from the momentum relaxation time of
hole carriers under weak electric field. The obtained dislocation mobility shows
a T(3/2)/lambda relation and is proportional to the germanium density x. We also
suggest a criterion for negating scatterings by dislocations in terms of the
controllable parameters such as acceptor dopant density, dislocation density,
temperature, and Ge density x, etc.
PMID- 26567871
TI - Dasabuvir (ABT333) for the treatment of chronic HCV genotype I: a new face of
cure, an expert review.
AB - Hepatitis C virus (HCV) affects nearly 1.3% of US population and around 2% of
people worldwide. It is associated with serious complication of Cirrhosis and
Hepatocellular carcinoma leading to significant morbidity and mortality. Until
now the only treatment option for this serious disease was interferon based
therapy which had poor tolerance and at best SVR (Sustained virological response)
in only 50% of cases. With the introduction of other direct - acting antiviral
agents the treatment of HCV has been revolutionized with significantly high rates
of cure. Among novel Direct acting antivirals are non-nucleoside inhibitor NS5B
which is highly effective in treatment of HCV genotype 1 a and 1b including those
with compensated cirrhosis achieving high cure rates with SVR more than 97 % in
pooled analysis from six different phase 3 trials. This review will discuss the
DAA - Dasabuvir, a non - nucleoside NS5B inhibitor, its mechanism of action,
efficacy, safety & tolerance, and drug resistance. Dasabuvir is approved by FDA
in combination with other DAA agents called as the 3D(Viekira Pak) in various
interferon free regimens achieving high cure rates (SVR >95%) with low adverse
effects. In Europe, it is approved by European medicines agency for use in
combination with Ombitasvir, Paritaprevir, and ritonavir with or without
ribavirin. The drug is used in treatment naive as well as previously treated
patient with high success rates. It is also approved in patients with compensated
cirrhosis, patients with HIV co-infection and liver transplant recipients which
were in the past were excluded from treatment with interferon based therapy.
Dasabuvir is extensively evaluated in large clinical trials and shown excellent
SVR among HCV genotype1 patient population in combination with other oral DAAs,
with good safety profile and tolerance. Its drawback is its genotype restriction,
need for ribavirin (RBV) for 1a genotype, low resistance barrier and high cost.
It is well tolerated with less than 1 % of patients permanently discontinuing
treatment and 2% of patient experiencing a serious adverse reaction. It is
contraindicated in patients with known hypersensitivity to ritonavir (e.g. Steven
- Johnson syndrome) and strong inducers of CYP3A and CYP2CB.
PMID- 26567872
TI - Mn(2+)-Doped CdSe/CdS Core/Multishell Colloidal Quantum Wells Enabling Tunable
Carrier-Dopant Exchange Interactions.
AB - In this work, we report the manifestations of carrier-dopant exchange
interactions in colloidal Mn(2+)-doped CdSe/CdS core/multishell quantum wells.
The carrier-magnetic ion exchange interaction effects are tunable through wave
function engineering. In our quantum well heterostructures, manganese was
incorporated by growing a Cd0.985Mn0.015S monolayer shell on undoped CdSe
nanoplatelets using the colloidal atomic layer deposition technique. Unlike
previously synthesized Mn(2+)-doped colloidal nanostructures, the location of the
Mn ions was controlled with atomic layer precision in our heterostructures. This
is realized by controlling the spatial overlap between the carrier wave functions
with the manganese ions by adjusting the location, composition, and number of the
CdSe, Cd1-xMnxS, and CdS layers. The photoluminescence quantum yield of our
magnetic heterostructures was found to be as high as 20% at room temperature with
a narrow photoluminescence bandwidth of ~22 nm. Our colloidal quantum wells,
which exhibit magneto-optical properties analogous to those of epitaxially grown
quantum wells, offer new opportunities for solution-processed spin-based
semiconductor devices.
PMID- 26567874
TI - Dual selective iron chelating probes with a potential to monitor mitochondrial
labile iron pools.
AB - Mitochondria-targeted peptides incorporating dual fluorescent and selective iron
chelators have been designed as novel biosensors for the mitochondrial labile
iron pool. The probes were demonstrated to specifically co-localize with
mitochondria and their fluorescence emission was found to be sensitive to the
presence of iron.
PMID- 26567873
TI - The redox sensitive glycogen synthase kinase 3beta suppresses the self-protective
antioxidant response in podocytes upon oxidative glomerular injury.
AB - The redox sensitive glycogen synthase kinase (GSK) 3 has been recently implicated
in the pathogenesis of proteinuric glomerulopathy. However, prior studies are
less conclusive because they relied solely on chemical inhibitors of GSK3, which
provide poor discrimination between the isoforms of GSK3 apart from potential off
target activities. In murine kidneys, the beta rather than the alpha isoform of
GSK3 was predominantly expressed in glomeruli and distributed intensely in
podocytes. By employing the doxycycline-activated Cre-loxP site specific gene
targeting system, GSK3beta was successfully knocked out (KO) selectively in
podocytes in adult mice, resulting in a phenotype no different from control
littermates. Electron microscopy of glomeruli in KO mice demonstrated more
glycogen accumulation in podocytes but otherwise normal ultrastructures. Upon
oxidative glomerular injury induced by protein overload, KO mice excreted
significantly less albuminuria and had much attenuated podocytopathy and
glomerular damage. The anti-proteinuric and glomerular protective effect was
concomitant with diminished accumulation of reactive oxygen species in glomeruli
in KO mice, which was likely secondary to a reinforced Nrf2 antioxidant response
in podocytes. Collectively, our data suggest that GSK3beta is dispensable for
glomerular function and histology under normal circumstances but may serve as a
therapeutic target for protecting from oxidative glomerular injuries.
PMID- 26567875
TI - Parental Health Beliefs, Socio-demographics, and Healthcare Recommendations
Influence Micronutrient Supplementation in Youth with Celiac Disease.
AB - To identify parental influences affecting micronutrient supplementation in
children and adolescents (2-18 years of age) with Celiac Disease (CD), a multi
method (survey, focus groups) study was conducted. A 35-item questionnaire
consisting of open- and closed-ended questions was launched nationally via
Canadian Celiac Association internet sites. Five focus groups were conducted
using a semi-structured interview guide. The survey and semi-structured interview
guide content was vetted for face and content validity. Thematic analyses were
conducted on the focus group content and open-ended survey questions, and chi(2)
and Fischer's exact analysis were performed on closed-ended survey data. Survey
respondents were predominantly mothers (97%) of female children (80 F, 49 M)
between the ages of 9-12 (31%) with CD, residing in western provinces (55%) with
a combined family income >=$100 000/year (63%). Seventy-seven percent of parental
respondent's children or adolescents consumed micronutrient supplements, for 1-5
years (52%), 7 days a week (65%), as both multi-vitamin and single vitamin
preparations (40%). Parental influences on child micronutrient use included
health beliefs and knowledge, parental supplement use, supplement
characteristics, age of child (above or below 13 years), household routines, and
provincial residential status (P < 0.05). Parents relied on health professional
recommendation (69%; MD, RD) and the internet (21%) as sources of information
regarding child micronutrient supplementation. Parental health beliefs and
knowledge, socio-demographic factors, and practitioner recommendation influence
micronutrient supplement use in children and adolescents with CD.
PMID- 26567876
TI - QuBiLs-MAS method in early drug discovery and rational drug identification of
antifungal agents.
AB - The QuBiLs-MAS approach is used for the in silico modelling of the antifungal
activity of organic molecules. To this effect, non-stochastic (NS) and simple
stochastic (SS) atom-based quadratic indices are used to codify chemical
information for a comprehensive dataset of 2478 compounds having a great
structural variability, with 1087 of them being antifungal agents, covering the
broadest antifungal mechanisms of action known so far. The NS and SS index-based
antifungal activity classification models obtained using linear discriminant
analysis (LDA) yield correct classification percentages of 90.73% and 92.47%,
respectively, for the training set. Additionally, these models are able to
correctly classify 92.16% and 87.56% of 706 compounds in an external test set. A
comparison of the statistical parameters of the QuBiLs-MAS LDA-based models with
those for models reported in the literature reveals comparable to superior
performance, although the latter were built over much smaller and less diverse
datasets, representing fewer mechanisms of action. It may therefore be inferred
that the QuBiLs-MAS method constitutes a valuable tool useful in the design
and/or selection of new and broad spectrum agents against life-threatening fungal
infections.
PMID- 26567877
TI - Gastrocolic fistula secondary to adenocarcinoma of the transverse colon: a case
report.
AB - INTRODUCTION: Gastrocolic fistula is a rare complication of adenocarcinoma of the
colon. Despite radical resections, these patients usually have a poor prognosis
with a mean survival of 23 months and long-term survival is rarely reported. CASE
PRESENTATION: A 48-year-old Latino-American man presented with watery diarrhea,
diffuse abdominal pain and weight loss for 3 months. A computed tomography scan
revealed a mass in the splenic flexure that had infiltrated his stomach and
diaphragm. Panendoscopy and colonoscopy confirmed the presence of a fistula
between the distal transverse colon and the stomach, which was secondary to a
colon cancer. His colon, stomach and left diaphragm were resected en bloc. A
histological examination revealed a moderately differentiated adenocarcinoma of
the colon that had infiltrated the full width of the gastric wall with 37
negative lymph nodes and clear surgical margins. Adjuvant chemotherapy with
capecitabine and oxaliplatin was administered after surgery. Our patient is alive
and without any recurrence 5 years after surgery. CONCLUSIONS: En bloc resection
with adjuvant chemotherapy offers the best treatment option for gastrocolic
fistulas. This is one of the patients with greater survival reported in the
medical literature.
PMID- 26567878
TI - Exercise training in idiopathic pulmonary fibrosis.
AB - Idiopathic pulmonary fibrosis (IPF) is a chronic devastating interstitial lung
disease associated with exercise intolerance, dyspnea, hypoxemia, diminished
quality of life and poor prognosis. A growing body of evidence with respect to
short-term effects of exercise training has demonstrated clinical benefits in IPF
patients. A recent systematic review showed significant improvements in 6-min
walking distance, peak aerobic capacity, reduced dyspnea and improved quality of
life. However, aspects of training programs, maintenance and predictors of
improvement and the impact on prognosis need to be further explored. The aim of
this paper was to comprehensively review the existing scientific literature
regarding exercise training in patients with IPF and identify important gaps that
should be studied in the future.
PMID- 26567879
TI - Efficacy of Surgical Airway Plasty for Benign Airway Stenosis.
AB - BACKGROUND: Long-term patency is required during treatment for benign airway
stenosis. This study investigated the effectiveness of surgical airway plasty for
benign airway stenosis. METHODS: Clinical courses of 20 patients, who were
treated with surgical plasty for their benign airway stenosis, were
retrospectively investigated. RESULTS: Causes of stenosis were tracheobronchial
tuberculosis in 12 patients, post-intubation stenosis in five patients, malacia
in two patients, and others in one patient. 28 interventional pulmonology
procedures and 20 surgical plasty were performed. Five patients with post
intubation stenosis and four patients with tuberculous stenosis were treated with
tracheoplasty. Eight patients with tuberculous stenosis were treated with
bronchoplasty, and two patients with malacia were treated with stabilization of
the membranous portion. Anastomotic stenosis was observed in four patients, and
one to four additional treatments were required. Performance status, Hugh-Jones
classification, and ventilatory functions were improved after surgical plasty.
Outcomes were fair in patients with tuberculous stenosis and malacia. However,
efficacy of surgical plasty for post-intubation stenosis was not observed.
CONCLUSION: Surgical airway plasty may be an acceptable treatment for tuberculous
stenosis. Patients with malacia recover well after surgical plasty. There may be
untreated patients with malacia who have the potential to benefit from surgical
plasty.
PMID- 26567880
TI - Surgery of the Ascending Aorta with or without Combined Procedures through an
Upper Ministernotomy: Outcomes of a Series of More Than 100 Patients.
AB - BACKGROUND: Use of a minimally invasive approach for isolated aortic valve
surgery is increasing. However, management of the root and/or ascending aorta
through a mini-invasive incision is not so frequent. The aim of this study is to
report our initial experience with surgery of the ascending aorta through a
ministernotomy approach. METHODS: We retrospectively analyzed 102 patients
treated for ascending aorta disease through a ministernotomy. Several types of
surgeries were performed, including isolated or combined surgical procedures. Pre
operative and operative parameters and in-hospital clinical outcomes were
retrospectively analyzed. RESULTS: Patient mean age was 63.9 +/- 13.6 years
(range 29-85). There were 33 (32.4%) female and 69 (67.6%) male patients.
Preoperative logistic EuroSCORE I was 7.4% +/- 2.1%. Mean cardiopulmonary bypass
and aortic cross-clamp time were 123.7 +/- 36.9 and 100.8 +/- 27.5 min,
respectively. In-hospital mortality was 0%. CONCLUSIONS: Our experience shows
that surgery of the ascending aorta with or without combined procedures can be
safely performed through an upper ministernotomy, without compromising surgical
results. Although our series is not large, we believe that the experience gained
on the isolated aortic valve through a ministernotomy can be safely reproduced in
ascending aorta surgery as a routine practice.
PMID- 26567881
TI - Targeting MDM4 as a Novel Therapeutic Approach for Hematologic Malignancies.
AB - Mouse double minute 4 (MDM4) as a member of MDM family, is an oncogene emerging
as an imperative negative regulator of p53. Tumor suppressor protein p53 plays a
crucial role in cell cycle arrest, apoptosis and homeostasis. It has been
reported that frequent inactivation of p53 was observed in numerous human cancers
including hematologic malignancies. MDM4, the newly discovered modulator of p53
protein, is frequently amplified in various solid tumors such as cutaneous
melanoma, retinoblastoma and hematological malignances such as chronic
lymphocytic leukemia, acute myeloid leukemia and mantle cell lymphoma. Multiple
evidences implicate that over-expression of MDM4 is associated with tumor
progression and poor prognosis which can be reversed by knockdown of MDM4
expression or restoration of p53 function, and support the rationale for the
design of future MDM4-specific therapeutics. This article discusses and focuses
on using MDM4 as a novel biomarker as well as a therapeutic target for
hematologic malignancies.
PMID- 26567882
TI - Role of EGFR Monoclonal Antibodies in the Management of Non-small Cell Lung
Cancer.
AB - Dysregulation of epidermal growth factor receptor (EGFR) signaling due to
receptor overexpression or activating mutation is associated with cancer cell
proliferation, metastasis, and survival. EGFR has become an important therapeutic
target for non-small cell lung cancer (NSCLC), and several EGFR-targeted agents,
such as tyrosine kinase inhibitors (TKIs) and monoclonal antibodies (mAbs), have
been developed. The EGFR-TKIs gefitinib, erlotinib, and afatinib have been
approved for the treatment of advanced NSCLC, and sensitivity to these drugs has
been shown to be associated with the presence of EGFR mutations. Various mAbs to
EGFR have also been evaluated in preclinical and clinical studies. In particular,
phase III trials have shown a clinically significant survival benefit for
addition of the anti-EGFR mAbs cetuximab or necitumumab to a platinum doublet in
chemotherapy-naive patients with advanced NSCLC. We here summarize the results of
completed and ongoing clinical trials of EGFR-targeted mAbs for the treatment of
NSCLC.
PMID- 26567883
TI - Structural Characterization of Alpha-methylacyl-CoA Racemase: Comparative
Structural Modeling, Molecular Docking and Dynamic Simulations Studies.
AB - alpha-Methylacyl-CoA racemase (AMACR) has recently been reported as a vital solid
tumor marker and is an attractive target for designing anti-tumor agents. It is a
mitochondrial and peroxisomal enzyme which plays a central role in the oxidation
of cholesterol metabolites and branched chain fatty acids. The three dimensional
structure of human AMACR is still unknown. In the current study, homology model
using Modeller and different modelling servers based on 1X74A as template is
reported. The three dimensional model generated was validated and evaluated using
various available programs like PROCHECK, ERRAT, ProSA energy plots, etc. In
order to find potent inhibitors of AMACR, a docking study using compounds
reported to be active against this enzyme of other organisms was conducted. Among
the studied inhibitors, 2-methylmyristoyl- CoA effectively binds to and inhibits
the enzyme by means of hydrogen bond interactions with the key residues of
pocket. Moreover, molecular dynamics simulation was carried out to check the
stability of AMACR/2-methylmyristoyl-CoA complex. The results illustrated the
ligand's high binding affinity with enzyme and the stability of hydrogen bond
interactions in dynamic condition. Hence, 2-methylmyristoyl-CoA has been
suggested to be a promising lead compound for the design of new inhibitors
against AMACR.
PMID- 26567884
TI - Rapid Recent Warming of Coral Reefs in the Florida Keys.
AB - Coral reef decline in the Florida Keys has been well-publicized, controversial,
and polarizing owing to debate over the causative agent being climate change
versus overfishing. The recurrence of mass bleaching in 2014, the sixth event
since 1987, prompted a reanalysis of temperature data. The summer and winter of
2014 were the warmest on record. The oldest known in-situ temperature record of
any coral reef is from Hens and Chickens Reef (H&C) in the Florida Keys, which
showed significant warming from 1975-2014. The average number of days >=31.5 and
32(o)C per year increased 2670% and 2560%, respectively, from the mid-1990 s to
present relative to the previous 20 years. In every year after 1992 and 1994,
maximum daily average temperatures exceeded 30.5 and 31 degrees C, respectively.
From 1975-1994, temperatures were <31 degrees C in 61% of years, and in 44% of
the years prior to 1992 temperatures were <30.5 degrees C. The measured rate of
warming predicts the start of annual bleaching between 2020 and 2034, sooner than
expected from climate models and satellite-based sea temperatures. These data
show that thermal stress is increasing and occurring on a near-annual basis on
Florida Keys reefs due to ocean warming from climate change.
PMID- 26567885
TI - Clinical Decision Rules for Diagnostic Imaging in the Emergency Department: A
Research Agenda.
AB - BACKGROUND: Major gaps persist in the development, validation, and implementation
of clinical decision rules (CDRs) for diagnostic imaging. OBJECTIVES: The
objective of this working group and article was to generate a consensus-based
research agenda for the development and implementation of CDRs for diagnostic
imaging in the emergency department (ED). METHODS: The authors followed consensus
methodology, as outlined by the journal Academic Emergency Medicine (AEM),
combining literature review, electronic surveys, telephonic communications, and a
modified nominal group technique. Final discussions occurred in person at the
2015 AEM consensus conference. RESULTS: A research agenda was developed,
prioritizing the following questions: 1) what are the optimal methods to justify
the derivation and validation of diagnostic imaging CDRs, 2) what level of
evidence is required before disseminating CDRs for widespread implementation, 3)
what defines a successful CDR, 4) how should investigators best compare CDRs to
clinical judgment, and 5) what disease states are amenable (and highest priority)
to development of CDRs for diagnostic imaging in the ED? CONCLUSIONS: The
concepts discussed herein demonstrate the need for further research on CDR
development and implementation regarding diagnostic imaging in the ED. Addressing
this research agenda should have direct applicability to patients, clinicians,
and health care systems.
PMID- 26567887
TI - Iron(III) carboxylate/aminoalcohol coordination clusters with propeller-shaped
Fe8 cores: approaching reasonable exchange energies.
AB - A series of new octanuclear propeller-like aminoalcohol-supported Fe(III)
oxocarboxylate coordination clusters, [Fe8O3(O2CCHMe2)9(tea)(teaH)3].MeCN.2(H2O)
(1), [Fe8O3(O2CCHMe2)6(N3)3(tea)(teaH)3] (2),
[Fe8O3(O2CCMe3)6(N3)3(tea)(teaH)3].0.5(EtOH) (3), and
[Fe8O3(O2CCHMe2)6(N3)3(mdea)3(MeO)3] (4) (where teaH3 = triethanolamine; mdeaH2 =
N-methyldiethanolamine) has been isolated and magnetochemically analyzed
combining the programs wxJFinder and CONDON in an approach to avoid
overparameterization issues that are common to larger spin polytopes. Dominant
antiferromagnetic exchange interactions exist in all clusters along the edges of
the propellers, while moderate ferromagnetic interactions are found along the
propeller axes in their {Fe8O3} metallic cores.
PMID- 26567886
TI - Transcription factor Batf3 is important for development of CD8+ T-cell response
against a phagosomal bacterium regardless of the location of antigen.
AB - Salmonella enterica serovar Typhimurium (ST) is a virulent intracellular
bacterium that conceals itself in the phagosomes of infected cells. Although
CD8(+) T cells promote protection against various intracellular pathogens, the
role of CD8(+) T cells against virulent ST has been unclear due to early fatality
of susceptible (B6) mice. Herein, we generated MHC I-deficient mice on the
resistant (129SvJ) and susceptible (Nramp1 transgenic B6) background to evaluate
the role of CD8(+) T cells against virulent ST. Our results indicate that CD8(+)
T cells have a critical protective role in host survival during infection with
virulent ST. As antigen presentation and CD8(+) T-cell activation against
phagosomal antigens are considered to operate through the cross-presentation
pathway, we have evaluated CD8(+) T-cell response against ST in Batf3-deficient
mice that lack CD8alpha dendritic cells (DCs). Using a recombinant of ST that
expresses antigen (ST-OVA) mainly in the phagosomes of infected cells, we show
that CD8(+) T-cell response is compromised throughout the duration of infection
in Batf3-deficient mice. In contrast, when ST delivers antigen to the cytosol of
infected cells (ST-OVA-C), CD8(+) T-cell response against the cytosolic antigen
was compromised only in the short term in the absence of CD8alpha DCs, with wild
type and Batf3-deficient mice generating similar CD8(+) T-cell response in the
long term. Thus, Batf3 has an important role in CD8(+) T-cell priming regardless
of antigenic location; however, its role is redundant at later time intervals
against cytosolic antigen.
PMID- 26567888
TI - Small molecule screen identifies differentiation-promoting compounds targeting
genetically diverse acute myeloid leukaemia.
PMID- 26567889
TI - Effects of various kinetic rates of FtsZ filaments on bacterial cytokinesis.
AB - Cell morphodynamics during bacterial cytokinesis are theoretically explored by a
combination of phase field model for rod-shaped cells and a kinetic description
for FtsZ ring maintenance. The division times and cell shapes have been generally
decided by the competition between the constriction forces generated by FtsZ
rings and the curvature elastic energy for cells. The dependences of cell
morphodynamics during bacterial cytokinesis on various kinetic rates of FtsZ
filaments are focused in the present study. It is found that the obtained results
with the experimental parameters are well comparable to the observed results
physiologically. Likewise, the quasi-steady states for FtsZ rings are found to be
well consistent with the theoretical results derived from the kinetic description
of FtsZ rings. In addition, morphological phase diagram is presented as functions
of the membrane associate rate for both short FtsZ filaments and free FtsZ
monomers, and the depolymerization rate of GDP-bound FtsZ monomers at the tip of
filaments within the ring. Our results would provide a better understanding of
the details of in vivo kinetics, including the kinetic rates within FtsZ rings.
PMID- 26567890
TI - ELANE mutant-specific activation of different UPR pathways in congenital
neutropenia.
AB - A number of studies have demonstrated induction of the unfolded protein response
(UPR) in patients with severe congenital neutropenia (CN) harbouring mutations of
ELANE, encoding neutrophil elastase. Why UPR is not activated in patients with
cyclic neutropenia (CyN) carrying the same ELANE mutations is unclear. We
evaluated the effects of ELANE mutants on UPR induction in myeloid cells from CN
and CyN patients, and analysed whether additional CN-specific defects contribute
to the differences in UPR induction between CN and CyN patients harbouring
identical ELANE mutations. We investigated CN-specific p.C71R and p.V174_C181del
(NP_001963.1) and CN/CyN-shared p.S126L (NP_001963.1) ELANE mutants. We found
that transduction of haematopoietic cells with p.C71R, but not with
p.V174_C181del or p.S126L ELANE mutants induced expression of ATF6, and the ATF6
target genes PPP1R15A, DDIT3 and HSPA5. Recently, we found that levels of
secretory leucocyte protease inhibitor (SLPI), a natural ELANE inhibitor, are
diminished in myeloid cells from CN patients, but not CyN patients. Combined
knockdown of SLPI by shRNA and transduction of ELANE p.S126L in myeloid cells led
to elevated levels of ATF6, PPP1R15A and HSPA5 RNA, suggesting that normal levels
of SLPI in CyN patients might protect them from the UPR induced by mutant ELANE.
In summary, different ELANE mutants have different effects on UPR activation, and
SLPI regulates the extent of ELANE-triggered UPR.
PMID- 26567891
TI - A Bayesian hierarchical model with novel prior specifications for estimating HIV
testing rates.
AB - Human immunodeficiency virus (HIV) infection is a severe infectious disease
actively spreading globally, and acquired immunodeficiency syndrome (AIDS) is an
advanced stage of HIV infection. The HIV testing rate, that is, the probability
that an AIDS-free HIV infected person seeks a test for HIV during a particular
time interval, given no previous positive test has been obtained prior to the
start of the time, is an important parameter for public health. In this paper, we
propose a Bayesian hierarchical model with two levels of hierarchy to estimate
the HIV testing rate using annual AIDS and AIDS-free HIV diagnoses data. At level
one, we model the latent number of HIV infections for each year using a Poisson
distribution with the intensity parameter representing the HIV incidence rate. At
level two, the annual numbers of AIDS and AIDS-free HIV diagnosed cases and all
undiagnosed cases stratified by the HIV infections at different years are modeled
using a multinomial distribution with parameters including the HIV testing rate.
We propose a new class of priors for the HIV incidence rate and HIV testing rate
taking into account the temporal dependence of these parameters to improve the
estimation accuracy. We develop an efficient posterior computation algorithm
based on the adaptive rejection metropolis sampling technique. We demonstrate our
model using simulation studies and the analysis of the national HIV surveillance
data in the USA.
PMID- 26567892
TI - A Near-Infrared Laser-Activated "Nanobomb" for Breaking the Barriers to MicroRNA
Delivery.
AB - A near-infrared laser-activated "nanobomb" is synthesized using lipid and
multiple polymers to break the extra-cellular and intracellular barriers to
cytosolic delivery of microRNAs. The nanobomb can be used to effectively destroy
tumors and cancer stem-like cells in vitro and in vivo with minimal side effects.
PMID- 26567893
TI - The relationship between brain behavioral systems and the characteristics of the
five factor model of personality with aggression among Iranian students.
AB - BACKGROUND: Aggression is one of the negative components of emotion and it is
usually considered to be the outcome of the activity of the Behavioral Inhibition
and the Behavioral Activation System (BIS/BAS): components which can be
considered as predisposing factors for personality differences. Therefore, the
purpose of this study was to investigate the relationship between brain
behavioral systems and the characteristics of the five factor model of
personality with aggression among students. METHODS: The present study has a
correlation descriptive design. The research population included all of the Razi
University students in the academic year of 2012-2013. The sampling was carried
out with a random stratified method and 360 people (308 female and 52 male) were
studied according to a table of Morgan. The study instruments were Buss and Perry
Aggression Questionnaire, NEO Personality Inventory (Short Form), and Carver and
White scale for BAS/BIS. Finally, SPSS20 was utilized to analyze the data using
Pearson correlation, regression analysis, and canonical correlation. RESULTS: The
data showed a significant positive relationship between the neurosis and
agreeableness personality factors with aggression; but there is a significant
negative relationship between the extroversion, openness, and conscientiousness
personality factors with aggression. Furthermore, there is a significant positive
relationship between all the components of brain behavioral systems (impulsivity,
novelty seeking, sensitivity, tender) and aggression. The results of regression
analysis indicated the personality characteristics and the brain behavioral
systems which can predict 29 percent of the changes to aggression,
simultaneously. CONCLUSIONS: According to a predictable level of aggressiveness
by the personality characteristics and brain behavioral systems, it is possible
to identify the personality characteristics and template patterns of brain
behavioral systems for the students which be presented to them as a necessary
training in order to control and manage of anger and aggression.
PMID- 26567894
TI - Structure-based drug design targeting the cell membrane receptor GPBAR1:
exploiting the bile acid scaffold towards selective agonism.
AB - Bile acids can regulate nutrient metabolism through the activation of the cell
membrane receptor GPBAR1 and the nuclear receptor FXR. Developing an exogenous
control over these receptors represents an attractive strategy for the treatment
of enterohepatic and metabolic disorders. A number of dual GPBAR1/FXR agonists
are known, however their therapeutic use is limited by multiple unwanted effects
due to activation of the diverse downstream signals controlled by the two
receptors. On the other hand, designing selective GPBAR1 and FXR agonists is
challenging since the two proteins share similar structural requisites for ligand
binding. Here, taking advantage of our knowledge of the two targets, we have
identified through a rational drug design study a series of amine lithocholic
acid derivatives as selective GPBAR1 agonists. The presence of the 3alpha-NH2
group on the steroidal scaffold is responsible for the selectivity over FXR
unveiling unprecedented structural insights into bile acid receptors activity
modulation.
PMID- 26567895
TI - Advance care planning in Australia: what does the law say?
AB - Advance care planning (ACP) assists people to plan for their future health and
personal care. ACP encourages a person to legally appoint a substitute decision
maker (SDM) and to document any specific wishes regarding their future health
care in an advance care directive (ACD). Formal documentation of wishes increases
the chances that a person's wishes will be known and followed. However, one of
the biggest impediments for doctors following the person's wishes is uncertainty
surrounding the law, which is complicated and varies between the states and
territories of Australia. SDM legislation varies regarding who can be appointed,
how they are appointed, the powers that an SDM can be given and the decision
making principles that the SDM needs to follow. In circumstances where an SDM has
not been appointed, the hierarchy for determining the default SDM for a person
also varies between states. Although many states have legislated ACD forms
allowing for documentation of a person's health care wishes, these forms allow
for different things to be documented and have different requirements to be
valid. The Australian population is mobile, with patients frequently moving
between states. The status of ACP documentation created in a state other than the
state in which a patient requires treatment also varies, with some states
recognising interstate ACDs whereas others do not. This article outlines the
legal status of ACDs, within Australian jurisdictions, including the legal
validity of interstate ACDs, and argues that uniform laws and documents would
assist with awareness and understanding of, and compliance with, ACDs.
PMID- 26567896
TI - Technosphere insulin: inhaled prandial insulin.
AB - INTRODUCTION: Insulin therapy is a mainstay for treatment of diabetes mellitus;
however, many barriers to insulin exist. Insulin human inhalation powder
(technosphere insulin) is a new FDA-approved alternative to subcutaneous bolus
insulin. AREAS COVERED: This is an overview of technosphere insulin (TI).
Pharmacokinetics, clinical efficacy, safety and tolerability are discussed.
EXPERT OPINION: TI is more quickly absorbed than subcutaneous insulin therapies
and has a shorter duration of action. It appears to be noninferior compared with
subcutaneous insulin regimens, and is associated with less hypoglycemia. Thus, it
may serve as an alternative insulin agent in patients reluctant to administer
multiple subcutaneous injections of insulin daily or in patients who experience
late postprandial hypoglycemia with subcutaneous insulin. Cough is the most
common side effect, but tends to be mild and transient. A small decrease in the
forced expiratory volume has been demonstrated, but does not appear to progress
and is reversible. Patients should have periodic pulmonary function tests. TI is
contraindicated in patients with chronic lung disease. The long-term risk of lung
cancer is being monitored but at this point does not appear to be higher than the
expected incidence of lung cancer in this population.
PMID- 26567897
TI - Impact of disclosure of diagnosis and patient autonomy on quality of life and
illness perceptions in Chinese patients with liver cancer.
AB - OBJECTIVE: This prospective cohort study explored the impact of disclosure of
diagnosis and patient autonomy on the health-related quality of life (HRQOL) and
illness perceptions in Chinese patients with hepatocellular carcinoma (HCC).
METHODS: Patients with HCC who were admitted for potentially curative treatments
in a teaching hospital were recruited from August 2013 to July 2014. Patients
were interviewed at admission regarding their HRQOL and their attitude towards
disclosure of diagnosis. They were interviewed again regarding HRQOL and illness
perceptions at discharge. RESULTS: There were 218 patients recruited; 57.8% of
them were aware of their cancer diagnosis (disclosed group). For 63.8% of the
participants, their desire for disclosure or nondisclosure was satisfied
(autonomy-satisfied group). When comparing the patients in the disclosed group
with the patients who were uninformed, the patients in the disclosed group had
higher scores for global HRQOL at discharge (p = 0.013) and higher scores on
understanding of their illness regarding illness perceptions (p = 0.022). When
comparing the patients in the 'autonomy-satisfied' group with the patients whose
desire for disclosure was not satisfied, the patients in the autonomy-satisfied
group had better emotional functioning and better global HRQOL at discharge (p <
0.001 and p = 0.001, respectively). Additionally, the patients in the autonomy
satisfied group had higher scores for personal control (p = 0.009) and lower
scores for emotional reaction (p = 0.007) regarding illness perceptions, even
after controlling for other confounding factors. CONCLUSIONS: Our findings
suggest that for patients with HCC who have undergone potentially curative
treatment, physicians should satisfy patients' desires for autonomy regarding the
disclosure of their diagnosis. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26567898
TI - Obesity-associated cancer: an immunological perspective.
AB - Epidemiological studies have established an association between obesity, insulin
resistance, type 2 diabetes and a number of cancer types. Research has focused
predominantly on altered endocrine factors, growth factors and signalling
pathways, with little known in man about the immune involvement in the relevant
pathophysiological processes. Moreover, in an era of exciting new breakthroughs
in cancer immunotherapy, there is also a need to study the safety and efficacy of
immunotherapeutics in the complex setting of inflammatory-driven obesity
associated cancer. This review addresses key immune cell subsets underpinning
obesity-associated inflammation and describes how such immune compartments might
be targeted to prevent and treat obesity-associated cancer. We propose that the
modulation, metabolism, migration and abundance of pro- and anti-inflammatory
cells and tumour-specific T cells might be therapeutically altered to both
restore immune balance, alleviating pathological inflammation, and to improve
anti-tumour immune responses in obesity-associated cancer.
PMID- 26567899
TI - IgG4-related spinal pachymeningitis.
AB - The aim of this study is to study the clinical, laboratory, imaging pathology,
and prognosis features of IgG4-related spinal pachymeningitis. We worked with a
55-year-old man suffering from IgG4-related spinal pachymeningitis who had the
most widespread lesion in his dura mater. We also review previous related studies
and discuss the clinical characteristics of this rare disease. In total, eight
IgG4-related spinal pachymeningitis patients have been reported in the literature
since 2009. They were mostly male patients, 51.7 +/- 11.9 years old on average.
Cervical and thoracic vertebrae were the most common sites for lesions. The most
prominent symptom was varying numbness and weakness of the limbs and/or body
associated with spinal cord compression. There was one patient (1/5) with
elevated serum IgG4 levels and three patients (3/3) with increased cerebrospinal
fluid (CSF) IgG4 index. Positive histopathologic findings are the strongest basis
for a diagnosis. All the patients with IgG4-related spinal pachymeningitis
responded well to glucocorticoid therapy. IgG4-related spinal pachymeningitis is
an orphan disease that mainly occurs in cervical and thoracic vertebrae. Older
males are the most susceptible group. Serum IgG4 levels were consistently normal
in these cases, so analysis of CSF for IgG4 production (IgG4 index) could become
a useful tool. Pathological findings remain the gold standard for diagnosis. Most
patients responded favorably to glucocorticoid treatment.
PMID- 26567900
TI - The role of the unfolded protein response in axial spondyloarthritis.
AB - Susceptibility to ankylosing spondylitis is highly genetic, with a heritability
greater than 90 %. Presence of the HLA-B27 MHC class I allele remains the
greatest genetic risk factor identified to date. Beyond its nominal role in
antigen presentation, HLA-B27 displays interesting and possibly unique
biochemical characteristics which may contribute to disease pathogenesis. During
its biosynthesis in the endoplasmic reticulum (ER), HLA-B27 folds very slowly and
misfolds, inducing ER stress. Herein, we describe a major outcome of ER stress,
the unfolded protein response (UPR), as well as consequences of the UPR for
inflammation and autophagy. The ability of the UPR to augment inflammatory
cytokine production is particularly intriguing given the centrality of cytokines
in spondyloarthritis. Evidence for the relevance of an HLA-B27-related UPR to
spondyloarthritis pathogenesis in animal models and human subjects will be
reviewed. As greater pharmacologic capacity to modulate ER stress becomes
available, improved understanding of the role of the UPR in spondyloarthritis may
yield new therapeutic targets.
PMID- 26567901
TI - Molecular diversity and multifarious plant growth promoting attributes of Bacilli
associated with wheat (Triticum aestivum L.) rhizosphere from six diverse agro
ecological zones of India.
AB - The diversity of culturable Bacilli was investigated in six wheat cultivating
agro-ecological zones of India viz: northern hills, north western plains, north
eastern plains, central, peninsular, and southern hills. These agro-ecological
regions are based on the climatic conditions such as pH, salinity, drought, and
temperature. A total of 395 Bacilli were isolated by heat enrichment and
different growth media. Amplified ribosomal DNA restriction analysis using three
restriction enzymes AluI, MspI, and HaeIII led to the clustering of these
isolates into 19-27 clusters in the different zones at >70% similarity index,
adding up to 137 groups. Phylogenetic analysis based on 16S rRNA gene sequencing
led to the identification of 55 distinct Bacilli that could be grouped in five
families, Bacillaceae (68%), Paenibacillaceae (15%), Planococcaceae (8%),
Staphylococcaceae (7%), and Bacillales incertae sedis (2%), which included eight
genera namely Bacillus, Exiguobacterium, Lysinibacillus, Paenibacillus,
Planococcus, Planomicrobium, Sporosarcina, and Staphylococcus. All 395 isolated
Bacilli were screened for their plant growth promoting attributes, which included
direct-plant growth promoting (solubilization of phosphorus, potassium, and zinc;
production of phytohormones; 1-aminocyclopropane-1-carboxylate deaminase activity
and nitrogen fixation), and indirect-plant growth promotion (antagonistic,
production of lytic enzymes, siderophore, hydrogen cyanide, and ammonia). To our
knowledge, this is the first report for the presence of Bacillus endophyticus,
Paenibacillus xylanexedens, Planococcus citreus, Planomicrobium okeanokoites,
Sporosarcina sp., and Staphylococcus succinus in wheat rhizosphere and exhibit
multifunctional PGP attributes. These niche-specific and multifarious PGP Bacilli
may serve as inoculants for crops growing in respective climatic conditions.
PMID- 26567903
TI - Protozoal nodular dermatitis and panniculitis in a Rottweiler puppy caused by
Caryospora bigenetica.
AB - BACKGROUND: Caryospora bigenetica is an intracellular protozoan parasite in
snakes and raptors (primary hosts) and rodents (secondary host). Experimental
infection has been documented in mice, pigs and goats; natural infection in dogs
is rare. OBJECTIVES: To describe the clinical presentation, histological
features, treatment and outcome of a case of protozoal nodular dermatitis and
panniculitis in a Rottweiler puppy caused by C. bigenetica. RESULTS: The puppy
presented with generalized subcutaneous nodules measuring up to 2 cm in diameter.
Histopathology revealed marked suppurative to pyogranulomatous dermatitis and
panniculitis with intralesional protozoal organism. PCR and DNA sequencing
confirmed infection with C. bigenetica. Treatment with a combination of oral
trimethoprim-sulfamethoxazole (TMS), pyrimethamine and high-dose clindamycin (20
mg/kg twice daily) resulted in resolution of lesions in 6 weeks. Discontinuation
of the treatment 2 weeks later was followed by a rapid relapse of skin lesions.
Clindamycin and TMS were restarted and all lesions resolved within 2 weeks; TMS
was discontinued 4 weeks later due to adverse effects. The lesions remained in
remission for 2 months while the puppy received clindamycin monotherapy before a
second relapse of skin lesions occurred. CONCLUSION AND CLINICAL IMPORTANCE: To
the best of the authors' knowledge, this is the first documentation of the
treatment and outcome of C. bigenetica cutaneous infection in a dog. Although
remission of clinical signs can be achieved with combination therapy of
clindamycin and TMS, long-term management is challenging and relapses should be
anticipated.
PMID- 26567904
TI - The Emergence of Relationship-based Cooperation.
AB - This paper investigates the emergence of relationship-based cooperation by
coupling two simple mechanisms into the model: tie strength based investment
preference and homophily assumption. We construct the model by categorizing game
participants into four types: prosocialists (players who prefers to invest in
their intimate friends), antisocialists (players who prefer to invest in
strangers), egoists (players who never cooperate) and altruists (players who
cooperate indifferently with anyone). We show that the relationship-based
cooperation (prosocialists) is favored throughout the evolution if we assume
players of the same type have stronger ties than different ones. Moreover, we
discover that strengthening the internal bonds within the strategic clusters
further promotes the competitiveness of prosocialists and therefore facilitates
the emergence of relationship-based cooperation in our proposed scenarios. The
robustness of the model is also tested under different strategy updating rules
and network structures. The results show that this argument is robust against the
variations of initial conditions and therefore can be considered as a fundamental
theoretical framework to study relationship-based cooperation in reality.
PMID- 26567905
TI - Cu(2+)-mediated fluorescence switching of gold nanoclusters for the selective
detection of clioquinol.
AB - It is of great significance to sense clioquinol (CQ) in a simple and fast way
because of its potential application in the treatment of neurodegenerative
diseases. In this contribution, we proposed a Cu(2+)-mediated fluorescence
switchable strategy to detect CQ by taking bovine serum albumin (BSA) protected
gold nanoclusters (AuNCs) as probes. It was found that the strong red
fluorescence of BSA-protected AuNCs at 610 nm could be effectively quenched by
Cu(2+) (off state) and reversibly recovered by CQ (on state) owing to the
specific coordination of CQ and Cu(2+). Under the optimal conditions, there was a
good linear relationship between the off-on efficiency (Eoff-on) and the amount
of CQ in the range of 1-12 MUM (R(2) = 0.9902), with a detection limit of 0.63
MUM (3sigma). The "turn off-on" mode and the fast and unique complexation of CQ
and Cu(2+) endow AuNCs with high specificity for CQ sensing. The proposed
strategy is label-free, fast and selective, which is applicable to the analysis
of CQ in cream with satisfactory results.
PMID- 26567906
TI - In vitro synergistic effects of fisetin and norfloxacin against aquatic isolates
of Serratia marcescens.
AB - Serratia marcescens is a common pathogenic bacterium that can cause infections in
both humans and animals. It can cause a range of diseases, from slight wound
infections to life-threatening bacteraemia and pneumonia. The emergence of
antimicrobial resistance has limited the treatment of the diseases caused by the
bacterium to a great extent. Consequently, there is an urgent need to develop
novel antimicrobial strategies against this pathogen. Synergistic strategy is a
new approach to treat the infections caused by drug-resistant bacteria. In this
paper, we isolated and identified the first multi-resistant pathogenic Serratia
marcescens strain from diseased soft-shelled turtles (Pelodiscus sinensis) in
China. We then performed a checkerboard assay; the results showed that out of 10
tested natural products fisetin had synergistic effects against S. marcescens
when combined with norfloxacin. The time-kill curve assay further confirmed the
results of the checkerboard assay. We found that this novel synergistic effect
could significantly reduce the dosage of norfloxacin against S. marcescens.
PMID- 26567907
TI - Colonization and release processes of viruses and prokaryotes on artificial
marine macroaggregates.
AB - Marine organic aggregates are sites of high of viral accumulation; however, still
little is known about their colonization processes and interactions with their
local bacterial hosts. By taking advantage of a novel approach (paramagnetic
functionalized microsphere method) to create and incubate artificial
macroaggregates, we examined the small-scale movements of viruses and bacteria
between such marine snow particles and the surrounding water. The examination of
the codynamics of both free-living and attached viral and bacterial abundance,
over 12 hours of incubation in virus-free water, suggests that aggregates are
rather comparable to viral factories than to viral traps where a significant part
of the virions production might be locally diverted to the water column. Also,
the near-zero proportion of lysogenized cells measured in aggregates after
mitomycin-C induction seems to indicate that lysogeny is not a prominent viral
reproduction pathway in organic aggregates where most viruses might rather be
virulent. Finally, we hypothesize that, contrary to bacteria, which can use both
strong attachment and detachment from aggregates (two-way motion of bacteria),
the adsorption of planktonic viruses appears to be numerically negligible
compared to their massive export from the aggregates into the water column (one
way motion of viruses).
PMID- 26567908
TI - On the association of neutral and cationic
tris(tetrathiafulvaleno)dodecadehydro[18]annulenes.
AB - Here, we report the first X-ray crystal structure of a tetrathiafulvalene-fused
dehydroannulene with peripheral ethylthio substituents. In addition, we have
subjected this compound to electrochemical and UV-Vis-NIR/ESR
spectroelectrochemical studies to elucidate the degree to which the oxidised
species associate.
PMID- 26567909
TI - Nurturing the benefits of pre-emptive kidney transplantation.
PMID- 26567910
TI - Effect of renal impairment on atherosclerosis: only partially mediated by
homocysteine.
AB - BACKGROUND: Cardiovascular risk and plasma total homocysteine (tHcy) are high in
patients with renal failure. High tHcy may account for a substantial part of the
increased risk. We assessed mediation by tHcy of the association of estimated
glomerular filtration rate (eGFR CKD/EPI) with carotid total plaque area (TPA)
and carotid stenosis. METHODS: TPA and carotid stenosis were measured by
ultrasound. Multiple linear regression was used to assess the effects of eGFR
and/or tHcy after adjustment for age, sex, systolic blood pressure (SBP),
smoking, LDL, HDL and weight. RESULTS: Complete data were available for 1967
patients. eGFR decreased, and TPA and total stenosis increased linearly with age.
After adjustment [age, sex, SBP, smoking (in pack years), low-density lipoprotein
(LDL), high-density lipoprotein (HDL) and weight], eGFR and tHcy were
independently associated with TPA (P < 0.01), but when both were added to the
model, their significance was attenuated (P = 0.06 for eGFR, 0.03 for tHcy).
Mediation analysis showed that tHcy seems to contribute to a significant
mediation of the association of eGFR with TPA but not stenosis; after adjustment
for the set of risk factors listed above, tHcy still demonstrated significant
mediation on TPA (P = 0.03), but not on stenosis (P = 0.16). CONCLUSIONS: tHcy
accounts for a significant part, but not all of the effect of renal impairment on
atherosclerosis. Other uremic toxins including metabolic products of the
intestinal microbiome may explain residual effects of renal failure on
atherosclerosis. Therapeutic approaches arising from that hypothesis are
discussed.
PMID- 26567911
TI - Structure and Properties of a Non-processive, Salt-requiring, and Acidophilic
Pectin Methylesterase from Aspergillus niger Provide Insights into the Key
Determinants of Processivity Control.
AB - Many pectin methylesterases (PMEs) are expressed in plants to modify plant cell
wall pectins for various physiological roles. These pectins are also attacked by
PMEs from phytopathogens and phytophagous insects. The de-methylesterification by
PMEs of the O6-methyl ester groups of the homogalacturonan component of pectin,
exposing galacturonic acids, can occur processively or non-processively,
respectively, describing sequential versus single de-methylesterification events
occurring before enzyme-substrate dissociation. The high resolution x-ray
structures of a PME from Aspergillus niger in deglycosylated and Asn-linked N
acetylglucosamine-stub forms reveal a 102/3-turn parallel beta-helix (similar to
but with less extensive loops than bacterial, plant, and insect PMEs). Capillary
electrophoresis shows that this PME is non-processive, halophilic, and
acidophilic. Molecular dynamics simulations and electrostatic potential
calculations reveal very different behavior and properties compared with
processive PMEs. Specifically, uncorrelated rotations are observed about the
glycosidic bonds of a partially de-methyl-esterified decasaccharide model
substrate, in sharp contrast to the correlated rotations of processive PMEs, and
the substrate-binding groove is negatively not positively charged.
PMID- 26567912
TI - Down-regulation of MicroRNAs (MiRs) 203, 887, 3619 and 182 Prevents Vimentin
triggered, Phospholipase D (PLD)-mediated Cancer Cell Invasion.
AB - Breast cancer is a leading cause of morbidity and mortality among women.
Metastasis is initiated after epithelial-mesenchymal-transition (EMT). We have
found a connection between EMT markers and the expression of four microRNAs
(miRs) mediated by the signaling enzyme phospholipase D (PLD). Low aggressive MCF
7 breast cancer cells have low endogenous PLD enzymatic activity and cell
invasion, concomitant with high expression of miR-203, -887, and -3619 (that
decrease PLD2 translation and a luciferase reporter) and miR-182 (targeting PLD1)
that are, therefore, "tumor-suppressor-like" miRs. The combination miR-887+miR
3619 abolished >90% of PLD enzymatic activity. Conversely, post-EMT MDA-MB-231
cells have low miR expression, high levels of PLD1/2, and high aggressiveness.
The latter was reversed by ectopically transfecting the miRs, which was negated
by silencing miRs with specific siRNAs. We determined that the molecular
mechanism is that E-cadherin triggers expression of the miRs in pre-EMT cells,
whereas vimentin dampens expression of the miRs in post-EMT invasive cells. This
novel work identifies for the first time a set of miRs that are activated by a
major pre-EMT marker and deactivated by a post-EMT marker, boosting the
transition from low invasion to high invasion, as mediated by the key
phospholipid metabolism enzyme PLD.
PMID- 26567913
TI - Screening Prisoners for Intellectual Disabilities in Three English Prisons.
AB - BACKGROUND: Prisoners with intellectual disabilities are known to be
disadvantaged in prisons and to be more susceptible to bullying, segregation,
depression and anxiety than other prisoners. METHOD: In this study, nearly 3000
new prisoners entering three English prisons were offered screening for
intellectual disabilities, using the LDSQ. RESULTS: On average, 75% of all new
prisoners entering prison were offered screening, and only 14% refused screening.
Overall, just less than 7% were screened positive on the LDSQ and prisons made
some reasonable adjustments as a result. CONCLUSIONS: It is argued that it is
feasible to screen for intellectual disabilities in prisons and, given the
inequalities to which prisoners with intellectual disabilities are subject in
prison, it is time for such screening to be rolled out to all prisons.
PMID- 26567914
TI - The glutathione peroxidase-mediated reactive oxygen species resistance, fungicide
sensitivity and cell wall construction in the citrus fungal pathogen Alternaria
alternata.
AB - The ability to detoxify reactive oxygen species (ROS) is critical for
pathogenicity in the necrotrophic fungus Alternaria alternata. We report a
glutathione peroxidase 3 (AaGPx3) involved in the complex signalling network that
is essential for the detoxification of cellular stresses induced by ROS and for
A. alternata pathogenesis in citrus. AaGPx3 deletion mutants displayed increased
sensitivity to H2 O2 and many ROS-generating compounds. AaGPx3 is required for
correct fungal development as the AaGPx3 mutant strains showed a severe reduction
in conidiation. AaGPx3 mutants accumulated higher chitin content than the wild
type and were less sensitive to the cell wall-targeting compounds calcofluor
white and Congo red, as well as the fungicides fludioxonil and vinclozolin,
suggesting a role of the glutathione systems in fungal cell wall construction.
Virulence assays revealed that AaGPx3 is required for full virulence. The
expression of AaGPx3 was downregulated in fungal strains carrying defective NADPH
oxidase (Nox) or the oxidative stress responsive regulators YAP1 and HOG1, all
implicated in ROS resistance. These results further support the important role of
ROS detoxification during A. alternata pathogenesis in citrus. Overall, our study
provides genetic evidence to define the central role of AaGPx3 in the biological
and pathological functions of A. alternata.
PMID- 26567915
TI - Insecticidal decay effects of long-lasting insecticide nets and indoor residual
spraying on Anopheles gambiae and Anopheles arabiensis in Western Kenya.
AB - BACKGROUND: Indoor residual spraying (IRS) and long-lasting insecticidal nets
(LLINs) are the first-line tools for malaria prevention and control in Africa.
Vector resistance to insecticides has been extensively studied, however the
insecticidal effects of the nets and sprayed walls on pyrethroid resistant
mosquitoes has not been studied thoroughly. We evaluated the bioefficacy of LLINs
of different ages and lambda-cyhalothrin (ICON 10cs) on the sprayed mud walls for
a period of time on malaria vector survivorship. METHODS: WHO tube bioassay was
performed using diagnostic doses of lambda-cyhalothrin (0.05%), permethrin
(0.75%) and deltamethrin (0.05%). Cone bioassays were conducted on netting
materials from 0 to 3 years old long-lasting insecticide-impregnated nets. Wall
bioassays were performed monthly on mud slabs sprayed with lambdacyhalothrin over
a period of seven months. All bioassays used An. gambiae mosquitoes collected
from the field and the laboratory susceptible reference Kisumu strain.
Concentration of the insecticides on the netting materials was examined using the
gas chromatography method. Mosquitoes were identified to species level using PCR
and genotyped for the kdr gene mutation frequencies. RESULTS: WHO bioassays
results showed that populations from five sites were highly resistant to the
pyrethroids (mortalities ranged from 52.5 to 75.3%), and two sites were
moderately resistant to these insecticides (80.4 - 87.2%). Homozygote kdr
mutations of L1014S ranged from 73 to 88% in An. gambiae s.s. dominant
populations whereas L1014S mutation frequencies were relatively low (7-31%) in
An. arabiensis dominant populations. There was a significant decrease (P < 0.05)
in mosquito mortality with time after the spray with both lambda-cyhalothrin (75%
mortality after six months) and with the age of LLINs (60% mortality after 24
month). Field collected mosquitoes were able to survive exposure to both IRS and
LLINs even with newly sprayed walls (86.6-93.5% mortality) and new LLINs (77.5
85.0% mortality), Wild mosquitoes collected from the field had significantly
lower mortality rates to LLINs (59.6-85.0%) than laboratory reared susceptible
strain (100%). Insecticide concentration decreased significantly from 0.14 MUg/ml
in the new nets to 0.077 MUg/ml in nets older than 18 months (P < 0.05).
CONCLUSION: This study confirms that insecticide decay and developing levels of
resistance have a negative contribution to reduced efficacy of ITN and IRS in
western Kenya. These factors contribute to decreased efficacy of pyrethroid
insectides in ongoing malaria control programs. In order to mitigate against the
impact of insecticide resistance and decay it is important to follow the WHO
policy to provide the residents with new LLINs every three years of use while
maintaining a high level of LLINs coverage and usage. There is also need for
urgent development and deployment of non-pyrethroid based vector control tools.
PMID- 26567916
TI - Heterotopic ossification following hip arthroplasty: a comparative radiographic
study about its development with the use of three different kinds of implants.
AB - BACKGROUND: Our purpose was to record the incidence of heterotopic ossification
(HO) following hip replacement by different variables to identify patient groups
that are likely to develop HO in the absence of a prophylactic protocol. METHODS:
Radiographically, we studied 651 patients having undergone hip joint replacement,
evaluating three kinds of implants: ceramic-ceramic-coupled total hip replacement
(THR), TriboFit(r) with polycarbonate urethane-ceramic coupling and
endoprosthesis. Each patient was analysed for HO development by age, gender,
diagnosis, presence of previous ossifications, surgical approach and kind of
implant. Within the population that developed HO, data were assessed for
correlation with severity of ossification graded according to Brooker
classification. RESULTS: The overall incidence of HOs was 59.91 %. The factors
increasing their incidence in the univariate analysis were as follows: lower age
of the patients with HO (mean 77.6 years, p = 0.0018) than those subjects who did
not develop HO (mean 80.2 years); male gender (64.4 %, p = 0.1011); diagnosis of
coxarthrosis (72.7 %, p = 0.0001) compared to femur neck fracture (55.9 %, p =
0.0001); presence of previous HO (76.2 %, p = 0.0260); lateral approach (65.5 %)
as opposed to anterior-lateral approach (55.6 %, p = 0.0163); and ceramic-ceramic
THR (68.1 %) and TriboFit(r) (67.0 %) compared to endoprosthesis (51.3 %, p =
0.0001). During multivariate analysis, the presence of HO after previous hip
surgery (p = 0.0324) and the kind of implant (p = 0.0004) showed to be
independent risk factors for the development of HO. Analysing the population that
developed HO, we found that the severity of ossification by Brooker
classification was influenced by gender (p = 0.0478) and kind of implant (p =
0.0093). CONCLUSIONS: In agreement with the literature, our radiographic study
confirms the following risk factors of HO development in absence of any
prophylactic treatment: male gender, diagnosis of coxarthrosis compared to femur
neck fracture, previous HO, surgical approach and kind of implant. In particular,
Hardinge-Bauer and Watson-Jones surgical approaches, characterized by a wide
exposure of the coxofemoral joint, and ceramic-ceramic THR and TriboFit(r)
implants significantly increase the development of HO.
PMID- 26567917
TI - Polymer-Decorated Carbon Nanotubes as Transducers for Label-Free Photonic
Biosensors.
AB - A biosensor taking advantage of the optical properties of sorted carbon nanotubes
has been developed. A polyfluorene polymer bearing azido groups was synthesized
and used for the selective extraction of semi-conducting nanotubes from the bulk
population. The resulting polymer-decorated nanotubes were then conjugated by
click-chemistry to a ligand unit (biotin), and the sensing properties of the
biotinylated nanotubes were investigated by photoluminescence measurements, upon
interaction with the streptavidin target.
PMID- 26567918
TI - Base-stabilized silaimine and its donor-free dimer derived from the reaction of
NHC-supported silylene with SiCl4.
AB - Reaction of the N-heterocyclic carbene (NHC)-stabilized silylene
ArN(SiMe3)Si(IiPr)Cl (1, Ar = 2,6-iPr2C6H3, IiPr = 1,3-diisopropyl-4,5-dimethyl
imidazol-2-ylidene) with SiCl4 resulted in the formation of three different
products NHC-stabilized dichlorosilaimine ArN=Si(IiPr)Cl2 (2),
aminotrichlorosilane ArN(SiMe3)SiCl3 (3) and a silaimine dimer (ArNSiCl2)2 (4)
under different conditions. The products can be controlled by reaction
conditions. Compound 2 is the first example of a less bulky NHC-supported
silaimine via the reaction of a silylene with SiCl4.
PMID- 26567919
TI - Reproductive immunology: Evading immunosurveillance in endometriosis.
PMID- 26567921
TI - Increased plasma serotonin metabolite 5-hydroxyindole acetic acid concentrations
are associated with impaired systolic and late diastolic forward flows during
cardiac cycle and elevated resistive index at popliteal artery and renal
insufficiency in type 2 diabetic patients with microalbuminuria.
AB - Although lower extremity arterial disease is frequently accompanied by diabetes
mellitus, the association of circulating biomarkers with flow components during
the cardiac cycle in lower-leg arteries has yet to be fully elucidated. We
enrolled 165 type 2 diabetic patients with normal ankle-brachial index (ABI 1.0
1.4), comprising 106 normoalbuminuric and 59 microalbuminuric patients, and 40
age-matched nondiabetic subjects consecutively admitted to our hospital. Serum
high sensitivity C-reactive protein (hsCRP) level and plasma von Willebrand
factor ristocetin cofactor activity (VWF) and vasoconstrictor serotonin
metabolite 5-hydroxyindole acetic acid (5-HIAA) concentrations were measured. An
automatic device was used to measure ABI and brachial-ankle pulse wave velocity
(baPWV). Flow components during the cardiac cycle, total flow volume, and
resistive index at popliteal artery were evaluated using gated magnetic resonance
imaging. Although estimated glomerular filtration rate (eGFR), early diastolic
flow reversal, heart rate, and ABI were similar between the groups, diabetic
patients had higher log hsCRP (p<0.001), VWF (p<0.001), 5-HIAA (p=0.002),
resistive index (p<0.001) and baPWV (p<0.001) and lower systolic (p=0.026) and
late diastolic (p<0.001) forward flows and total flow volume (p<0.001) than
nondiabetic subjects. Multivariate analyses demonstrated that 5-HIAA in
microalbuminuric patients showed higher associations with systolic and late
diastolic forward flows during the cardiac cycle, total flow volume and resistive
index at popliteal artery, and eGFR compared to normoalbuminuric patients. In
microalbuminuric patients, 5-HIAA was a significant independent determinant among
these factors. Thus, increased plasma 5-HIAA levels are involved in the
pathogenesis of impaired blood flow in lower extremities and renal insufficiency
in diabetic patients with microalbuminuria.
PMID- 26567922
TI - Usefulness of the octreotide test in Japanese patients for predicting the
presence/absence of somatostatin receptor 2 expression in insulinomas.
AB - We investigated the relationship between the results of the octreotide test and
somatostatin receptor (SSTR) 2 expression in insulinoma patients, to evaluate the
usefulness of this test for predicting SSTR2 expression in insulinomas in
Japanese patients. Five females and one male were included in the study. All
patients underwent the octreotide test before the surgery carried out to resect
the tumor, and histopathological examination of the resected tumor was performed
by a single experienced pathologist. SSTR2 expression was evaluated by the SSTR2
immunohistochemistry scoring system. Insulinoma was clinically diagnosed and
surgically resected in all six patients. In the octreotide test, suppression of
insulin secretion was sufficient after loading in patients 1-4 and 6. In patient
5, however, the suppression of insulin secretion was insufficient, which resulted
in severe hypoglycemia with endogenous relative hyperinsulinemia after the
octreotide loading. The histopathological findings revealed SSTR2 expression in
the insulinomas of patients 1-4 and 6, but not in the insulinoma of patient 5. In
conclusion, improvement of hyperinsulinemic hypoglycemia by octreotide in
Japanese insulinoma patients was associated with SSTR2 expression in the tumor.
Our results suggest that the octreotide test could be useful for predicting SSTR2
expression in the tumor.
PMID- 26567920
TI - IL-15 functions as a danger signal to regulate tissue-resident T cells and tissue
destruction.
AB - In this Opinion article, we discuss the function of tissues as a crucial
checkpoint for the regulation of effector T cell responses, and the notion that
interleukin-15 (IL-15) functions as a danger molecule that communicates to the
immune system that the tissue is under attack and poises it to mediate tissue
destruction. More specifically, we propose that expression of IL-15 in tissues
promotes T helper 1 cell-mediated immunity and provides co-stimulatory signals to
effector cytotoxic T cells to exert their effector functions and drive tissue
destruction. Therefore, we think that IL-15 contributes to tissue protection by
promoting the elimination of infected cells but that when its expression is
chronically dysregulated, it can promote the development of complex T cell
mediated disorders associated with tissue destruction, such as coeliac disease
and type 1 diabetes.
PMID- 26567923
TI - Gastric leiomyoma in a child with Gorlin-Goltz syndrome: First pediatric case.
AB - Gorlin-Goltz syndrome (GGS), also known as nevoid basal cell carcinoma syndrome
(MIM 109 400), is a rare genetic condition with a prevalence between 1/56 000 and
1/256 000. Clinical presentation is usually characterized by multiple basal cell
carcinomas, odontogenic jaw keratocysts, palmar or plantar pitting and skeletal
anomalies. It is furthermore associated with the development of various tumors
beside basal cell carcinoma, among which medulloblastoma is the most frequent.
Increased incidence of other mesenchymal neoplasms, however, is also well known:
recently the first adult case of gastric leiomyoma in GGS was reported, and the
inclusion of "fibromas and leiomyomas of other organs" in the minor criteria for
the diagnosis was suggested. We report the first case of a pediatric patient with
GGS who also developed a gastric leiomyoma: the present case illustrates the need
for this change to the diagnostic criteria to encompass the highly variable
presentations and phenotype in GGS.
PMID- 26567924
TI - Echocardiographic parameters for assessing successful balloon mitral
valvuloplasty in juvenile age groups.
AB - BACKGROUND: Different echocardiographic parameters have been studied and
validated for assessing the severity of mitral stenosis; however, scant data are
available for these markers in the context of balloon mitral valvuloplasty in
juvenile age groups (ages ?20 years). OBJECTIVES: The aim of the present study
was to find out the utility of echocardiographic parameters such as mitral valve
separation index, left atrial volume, right ventricular systolic pressure,
tricuspid annular plane systolic excursion, tricuspid annular systolic velocity,
and right ventricular Tei index in predicting success of balloon mitral
valvuloplasty and their relation to mitral valve area in juvenile mitral
stenosis. METHODS: We carried out a prospective single-centre study involving 52
juvenile mitral stenosis patients undergoing elective valvuloplasty. Success was
defined as an increase in mitral valve area ?50% or ?1.5 cm2. Echocardiographic
measurements were taken before and 24 hours after the procedure and statistical
analyses were carried out. RESULTS: The mean age of the study population was 14.3
years (SD +/-4.55), ranging from 7 to 20 years. Valvuloplasty was successful in
49 out of 52 patients. The mean valve area improved from 0.89 (SD +/-0.16) to
1.73 (SD +/-0.22) cm2/m2 (p<0.01), and the mean mitral valve gradient decreased
from 19.87 (SD +/-7.89) to 7.45 (SD +/-2.07) (p=0.021). All the surrogate
parameters improved favourably after valvuloplasty (p<0.01). The decrease in
right ventricular systolic pressure was a better indicator of the success
followed by the increase in valve separation index (area under the curve 0.81 and
0.76, respectively). CONCLUSIONS: All the surrogate markers studied showed
favourable improvement, and right ventricular systolic pressure reduction and
improved mitral valve separation index were better indicators of successful
valvuloplasty.
PMID- 26567925
TI - Determining optimum age of Holstein dairy calves when adding chopped alfalfa hay
to meal starter diets based on measures of growth and performance.
AB - The present study was conducted to determine the optimum age of Holstein dairy
calves for an effective inclusion of alfalfa hay (AH) in starter feed on
performance, apparent digestibility and feeding behavior. A total of 40 Holstein
dairy calves (20 female and 20 male) were used in a completely randomized design
in which calves were randomly assigned to one of four different dietary
treatments including control (CON) calves fed starter feed without any forage and
three treatments consisting of the same starter feed plus 15% chopped AH fed when
calves were at the 2nd (AH2), 4th (AH4) or 6th (AH6) week of age. Calves were
individually housed and bedded with sand that was replaced every other day. Feed
and water were available ad libitum throughout the experiment. Calves were fed
milk at 10% of birth BW twice daily until d 57. The study concluded when calves
were 73 days old. Starter intake was recorded daily and BW was measured weekly.
Data were analyzed as a complete randomized design by MIXED procedures of SAS.
Results demonstrate that calves receiving AH treatments numerically consumed more
starter feed (0.62 v. 0.78, 0.71 and 0.65 kg/day for CON, AH2, AH4 and AH6,
respectively) and had greater average daily gain (ADG) compared with CON (0.48 v.
0.57, 0.49 and 0.49 kg/day for CON, AH2, AH4 and AH6), although the significant
difference was observed only between AH2 and CON. Among AH treatments, calves in
AH2 had better performance than AH6 in several cases including starter intake,
ADG. No detectable differences were observed, however, in apparent dry matter,
organic matter or CP digestibility among treatments. Ruminal pH and NH3
concentrations, measured on weeks 4, 6, 8 and 10, were lower for calves fed CON
compared with other treatments, with ammonia concentrations decreasing over time.
Calves in the AH treatments spent more time eating and ruminating compared with
CON. Calves fed CON, however, spent more time on laying down compared with other
treatments. Overall, results from the present study illustrated that inclusion of
alfalfa in starter feed for calves at 2 weeks of age may improve feed intake, ADG
and stimulate rumination in young Holstein dairy calves. Results, however, should
be viewed with caution as the number of calves per treatment was small and large
calf-to-calf variation may have affected the results reported.
PMID- 26567926
TI - Effects of schedules of reinforcement on pouched rats' performance in urban
search-and-rescue training.
AB - Standard operating procedures have been developed to train Cricetomys to locate
humans in collapsed structures and return to the release point on command. The
present study demonstrated that the schedule of reinforcement for target location
influences the rats' performance. Rats required more time to locate targets when
no reinforcement was arranged for target location but less time to return to the
release point. These findings suggest that training conditions should be based on
the priority assigned to target location and return in an operational scenario.
PMID- 26567927
TI - Supranormal orientation selectivity of visual neurons in orientation-restricted
animals.
AB - Altered sensory experience in early life often leads to remarkable adaptations so
that humans and animals can make the best use of the available information in a
particular environment. By restricting visual input to a limited range of
orientations in young animals, this investigation shows that stimulus
selectivity, e.g., the sharpness of tuning of single neurons in the primary
visual cortex, is modified to match a particular environment. Specifically,
neurons tuned to an experienced orientation in orientation-restricted animals
show sharper orientation tuning than neurons in normal animals, whereas the
opposite was true for neurons tuned to non-experienced orientations. This
sharpened tuning appears to be due to elongated receptive fields. Our results
demonstrate that restricted sensory experiences can sculpt the supranormal
functions of single neurons tailored for a particular environment. The above
findings, in addition to the minimal population response to orientations close to
the experienced one, agree with the predictions of a sparse coding hypothesis in
which information is represented efficiently by a small number of activated
neurons. This suggests that early brain areas adopt an efficient strategy for
coding information even when animals are raised in a severely limited visual
environment where sensory inputs have an unnatural statistical structure.
PMID- 26567928
TI - Baseline Insurance Status and Risk of Common Mental Disorders: A Propensity-Based
Analysis of a Longitudinal U.S. Sample.
AB - OBJECTIVE: Because of pervasive poor general medical and mental health status
among patients receiving Medicaid, there has been substantial debate about
whether Medicaid, as currently financed and delivered, is better than no
insurance. The study aimed to address whether insurance status is associated with
the subsequent incidence and persistence of common mental disorders. METHODS:
Data came from a nationally representative U.S. population-based longitudinal
survey that assessed mental disorders at two time points three years apart.
Propensity score methods were used to adjust for potential confounding and to
assess the association between three mutually exclusive insurance status groups
(no insurance, private insurance only, and Medicaid only) and the subsequent
incidence and persistence of mood, anxiety, and substance use disorders for
persons ages 18-65 (N=26,410). RESULTS: Compared with private insurance, lack of
insurance was associated with higher odds of both the incidence and persistence
of substance use disorders and with higher odds of persistence of any mood or
anxiety disorder. Compared with having private insurance, having Medicaid
insurance was associated with increased odds of persistent mood and anxiety
disorders during follow-up. Overall, findings did not significantly differ
between the uninsured and Medicaid groups. CONCLUSIONS: The findings do not
support prior reports that U.S. adults with Medicaid have worse mental health
outcomes than uninsured adults. Lacking insurance may put individuals at higher
risk of developing substance use disorders, and uninsured individuals with
preexisting mental conditions were more likely to have mood, anxiety, and
substance use problems that persist over time.
PMID- 26567929
TI - Prevalence of Professional Burnout Among Military Mental Health Service
Providers.
AB - OBJECTIVE: Professional burnout is a well-documented occupational phenomenon,
characterized by the gradual "wearing away" of an individual's physical and
mental well-being, resulting in a variety of adverse job-related outcomes. It has
been suggested that burnout is more common in occupations that require close
interpersonal relationships, such as mental health services. METHODS: This study
surveyed 488 mental health clinicians working with military populations about
work-related outcomes, including level of professional burnout, job satisfaction,
and other work-related domains. RESULTS: Approximately 21% (weighted) of the
sample reported elevated levels of burnout; several domains were found to be
significantly associated with burnout. CONCLUSIONS: Education about professional
burnout symptoms and early intervention are essential to ensure that providers
continue to provide optimal care for service members and veterans.
PMID- 26567930
TI - Experiences With Insurance Plans and Providers Among Persons With Mental Illness.
AB - OBJECTIVE: This study used nationally representative household survey data to
examine the association between mental illness and experiences with usual care
providers and health plans among persons with public or private insurance
(N=25,176). METHODS: Data were from the 2004-2012 Medical Expenditure Panel
Surveys. Mental illness was assessed with symptom scales of serious psychological
distress and depression at two time points, and persons were categorized by
whether mental illness was episodic or persistent over time. Questions about
experiences with providers (four questions) and plans (five questions) were based
on the Consumer Assessment of Healthcare Providers and Systems survey. Rates of
problems with plans and providers were reported for each category of mental
illness, and multivariate regression was used to examine the association of
problems with mental illness. RESULTS: Rates of problems with health plans were
high, specifically for treatment approvals, finding information, and customer
service, and were higher among persons with mental illness. Rates of problems
with providers were lower than problems with plans, but persons with mental
illness were more likely to report problems, specifically that doctors do not
explain treatment options, respect treatment choices, or seek participation in
decisions. CONCLUSIONS: Persons with mental illness reported experiencing more
clinical and administrative problems at their usual source of care, although the
reasons were not clear. Efforts by plans to improve health care before and after
the clinical encounter and by providers to design treatments in line with patient
preferences may improve experiences for all patients and particularly for those
with mental illness.
PMID- 26567931
TI - The Quality of Medication Treatment for Mental Disorders in the Department of
Veterans Affairs and in Private-Sector Plans.
AB - OBJECTIVE: The quality of mental health care provided by the U.S. Department of
Veterans Affairs (VA) was compared with care provided to a comparable population
treated in the private sector. METHODS: Two cohorts of individuals with mental
disorders (schizophrenia, bipolar disorder, posttraumatic stress disorder, major
depression, and substance use disorders) were created with VA administrative data
(N=836,519) and MarketScan data (N=545,484). The authors computed VA and
MarketScan national means for seven process-based quality measures related to
medication evaluation and management and estimated national-level performance by
age and gender. RESULTS: In every case, VA performance was superior to that of
the private sector by more than 30%. Compared with individuals in private plans,
veterans with schizophrenia or major depression were more than twice as likely to
receive appropriate initial medication treatment, and veterans with depression
were more than twice as likely to receive appropriate long-term treatment.
CONCLUSIONS: Findings demonstrate the significant advantages that accrue from an
organized, nationwide system of care. The much higher performance of the VA has
important clinical and policy implications.
PMID- 26567932
TI - Early Predictors of Ten-Year Course in First-Episode Psychosis.
AB - OBJECTIVE: Identifying patients at risk of poor outcome at an early stage of
illness can aid in treatment planning. This study sought to create a best-fit
statistical model of known baseline and early-course risk factors to predict time
in psychosis during a ten-year follow-up period after a first psychotic episode.
METHODS: Between 1997 and 2000, 301 patients with DSM-IV nonorganic, nonaffective
first-episode psychosis were recruited consecutively from catchment area-based
sectors in Norway and Denmark. Specialized mental health personnel evaluated
patients at baseline, three months, and one, two, five, and ten years (N=186 at
ten years). Time in psychosis was defined as time with scores >=4 on any of the
Positive and Negative Syndrome Scale items P1, P3, P5, P6, and G9. Evaluations
were retrospective, based on clinical interviews and all available clinical
information. During the first two years, patients were also evaluated by their
clinicians at least biweekly. Baseline and early-course predictors of long-term
course were identified with linear mixed-model analyses. RESULTS: Four variables
provided significant, additive predictions of longer time in psychosis during the
ten-year follow-up: deterioration in premorbid social functioning, duration of
untreated psychosis (DUP) of >=26 weeks, core schizophrenia spectrum disorder,
and no remission within three months. CONCLUSIONS: First-episode psychosis
patients should be followed carefully after the start of treatment. If symptoms
do not remit within three months with adequate treatment, there is a considerable
risk of a poor long-term outcome, particularly for patients with a deterioration
in premorbid social functioning, a DUP of at least half a year, and a diagnosis
within the core schizophrenia spectrum.
PMID- 26567933
TI - Longer-Term Impacts of Mental Health Courts: Recidivism Two Years After Exit.
AB - OBJECTIVE: This study compared recidivism among mental health court (MHC)
participants and MHC-eligible defendants in traditional criminal court (TCC) two
years after MHC exit or court disposition to investigate longer-term MHC impacts
and effects beyond provision of treatment and services. METHODS: Archival data
from the pretrial services agency and MHC judges were used. Four measures of
recidivism (any rearrest, number of rearrests, any felony arrest, and time to
rearrest) were used to compare 408 MHC participants and 687 MHC-eligible
defendants in TCC in the same judicial district. Both groups were provided
individualized plans from the same package of services and supervision by the
same pretrial services and community agencies. MHC completers and noncompleters
were examined separately. Multivariate logistic analyses controlled for
confounding variables. RESULTS: Reductions in recidivism were observed in all
three groups from two years before the key arrest to two years after court exit
or court disposition. The reduction was greatest among MHC completers: the
proportion rearrested was smallest (25%), and completers had the lowest number of
arrests (.42). The noncompleter group had the largest proportion of those
rearrested (55%) and the highest number of arrests (1.21). When confounding
variables were controlled, MHC completers, not noncompleters, differed
significantly from the comparison group in two-year recidivism. CONCLUSIONS: MHC
participation can reduce recidivism for an extended time after court exit and may
have an impact on individuals who complete the program beyond the provision of
treatment and services. Further study is needed to determine which MHC components
may have this additional effect.
PMID- 26567935
TI - The Impact of Community Treatment on Recidivism Among Mental Health Court
Participants.
AB - OBJECTIVE: A core component of mental health courts (MHCs) is the provision of
community treatment in order to reduce arrests. However, research on the
components of treatment received by MHC participants is rare. This study examined
the impact of community treatment on arrests in an MHC sample (N=357) and a
sample from the traditional criminal justice system (N=384). METHODS: Data were
from the MacArthur MHC Project, which includes objective and subjective
information from four MHCs with comparison samples at each site. Interview data
were collected for six months before and six months after entry into the MHC or
legal system. National data from arrest records over one year were also obtained.
Treatment-related variables were compliance (appointments and medication),
perceptions (motivation and perceived voluntariness), and use of nine types of
community treatment. A fixed-effects regression controlled for selection bias
between groups. RESULTS: The regression model indicated significant increases in
treatment motivation and use of community mental health and substance abuse
services among MHC participants, compared with treatment-as-usual participants;
however, the perceived voluntariness of treatment decreased in the MHC group. For
the treatment-as-usual group, none of the treatment variables were associated
with future arrest. For the MHC group, increased medication compliance and use of
mental health services were associated with a significant decrease in arrests.
CONCLUSIONS: Consistent with the MHC goals, findings indicated increases in
receipt of community treatment among MHC participants. For the MHC sample, but
not the treatment-as-usual sample, increased treatment was associated with
reduced recidivism.
PMID- 26567934
TI - Unpacking Collaborative Care for Depression: Examining Two Essential Tasks for
Implementation.
AB - OBJECTIVE: This study examined how two key process-of-care tasks of the
collaborative care model (CCM) predict patient depression outcomes. METHODS:
Registry data were from a large implementation of the CCM in Washington State and
included 5,439 patient-episodes for patients age 18 or older with a baseline
Patient Health Questionnaire-9 (PHQ-9) score of >=10 and at least one follow-up
contact with the CCM care manager within 24 weeks of initial contact. Key CCM
tasks examined were at least one care manager follow-up contact within four weeks
of initial contact and at least one psychiatric consultation between weeks 8 and
12 for patients not responding to treatment by week 8. Clinically significant
improvement in depression symptoms was defined as achieving a PHQ-9 score of <10
or a 50% or more reduction in PHQ-9 score compared with baseline. Bivariate and
multivariate (logistic and proportional hazard models) analyses were conducted to
examine how fidelity with either task predicted outcomes. All analyses were
conducted with the original sample and with a propensity score-matched sample.
RESULTS: Four-week follow-up was associated with a greater likelihood of
achieving improvement in depression (odds ratio [OR]=1.63, 95% confidence
interval [CI]=1.23-2.17) and a shorter time to improvement (hazard ratio=2.06,
CI=1.67-2.54). Psychiatric consultation was also associated with a greater
likelihood of improvement (OR=1.44, CI=1.13-1.84) but not with a shorter time to
improvement. Propensity score-matched analysis yielded very similar results.
CONCLUSIONS: Findings support efforts to improve fidelity to the two process-of
care tasks and to include these tasks among quality measures for CCM
implementation.
PMID- 26567936
TI - National Trends in Psychiatric Not Otherwise Specified (NOS) Diagnosis and
Medication Use Among Adults in Outpatient Treatment.
AB - OBJECTIVE: This study examined national trends between 1999 and 2010 in not
otherwise specified (NOS) DSM-IV psychiatric diagnoses and in related medication
treatment patterns reported for adults during outpatient physician office visits.
METHODS: Data on physician office visits by adults (ages 18-64) with a
psychiatric diagnosis were from the National Ambulatory Medical Care Survey and
National Hospital Ambulatory Medical Care Survey (1999-2010) (N=52,026). Trends
for visits with full-criteria diagnoses compared with visits with NOS diagnoses
were analyzed for major psychiatric diagnostic groups, physician specialty, and
prescribed medications. Population weighted chi square and logistic regression
analyses were utilized. RESULTS: Between 1999-2002 and 2007-2010, the proportion
of all mental health visits by adults to office-based physicians that involved an
NOS diagnosis increased significantly, from 42% to 50% (p<.001). Significant
proportional increases in NOS diagnoses included bipolar disorders NOS (5% to
55%), anxiety disorders NOS (50% to 62%), and mood disorders NOS (.4% to 1.8%).
In 2007-2010, NOS visits accounted for a greater proportion of visits to
nonpsychiatrists than to psychiatrists (61% and 35%, respectively). Psychotropic
medications prescribed during visits increased over time for both full-criteria
and NOS diagnoses, but the increase was greater for NOS visits, specifically for
antipsychotics, anticonvulsants-mood stabilizers, and lithium. By 2007-2010,
psychotropic monotherapy and multidrug regimens were comparable for full-criteria
and NOS diagnoses. CONCLUSIONS: The proportion of U.S. physician visits with an
NOS psychiatric diagnosis increased to nearly 50% in 2007-2010. The increase
raises concerns about the precision of psychiatric diagnoses in community care
and about the impact on concomitant medication regimens.
PMID- 26567937
TI - Self-Report Instruments for Clinical Monitoring of Psychosis Risk States.
AB - OBJECTIVE: Practice guidelines emphasize frequent clinical monitoring of patients
at high risk for psychosis. No brief instrument assessing attenuated psychotic
symptoms has been validated for this purpose. This study examined use of three
self-report questionnaires, which were developed as psychosis risk screeners, for
monitoring symptom severity in a naturalistic clinical sample of 54 adolescents.
METHODS: Self-report measures (Prime Screen-Revised, Prodromal Questionnaire
Brief Version [PQ-B], and Youth Psychosis At-Risk Questionnaire-Brief) and
clinician assessments (Structured Interview for Psychosis Risk Syndromes) were
administered to participants at baseline and six months. RESULTS: Changes in self
report scores were moderately correlated with changes in clinician ratings. The
PQ-B demonstrated slightly better agreement with changes in clinician ratings
than the other two measures. CONCLUSIONS: Questionnaires developed as psychosis
risk screeners could be used for symptom monitoring. Further validation of tools
to monitor attenuated symptoms will be a valuable step toward developing an
evidence-based approach for treating high-risk youths.
PMID- 26567939
TI - Following AACP Guidelines for Transitions in Care: The Transitional Care Clinic.
AB - The period of transition from hospital to community services is critical for
individuals with serious mental illness. The American Association of Community
Psychiatry developed guidelines for transitional services in behavioral health
care. This column describes ways in which the Transitional Care Clinic (TCC) at
the University of Texas Health Science Center at San Antonio has implemented
these guidelines. The TCC includes active outreach to hospitals and a Web-based
referral system that allows hospital staff to make TCC appointments for patients
for as soon as the day after discharge. The program includes a unique group
intake process that allows rapid development of individualized plans while
maximizing access to services and use of provider time.
PMID- 26567938
TI - Dosing of Selective Serotonin Reuptake Inhibitors Among Children and Adults
Before and After the FDA Black-Box Warning.
AB - OBJECTIVE: Prior research evaluated various effects of the 2004 black-box warning
by the U.S. Food and Drug Administration (FDA) on the risk of suicidality among
children associated with use of antidepressants, but the warning's effect on
dosing of antidepressants has not been evaluated. This study estimated whether
the initial antidepressant dose prescribed decreased and the proportion of
patients who augmented the dose on the second fill increased following the 2004
warning and its 2007 expansion to young adults. METHODS: The study utilized the
LifeLink Health Plan Claims Database. The study cohort consisted of commercially
insured children (ages 5-17), young adults (18-24), and adults (25-64) who
initiated a selective serotonin reuptake inhibitor (SSRI) (citalopram,
fluoxetine, paroxetine, or sertraline) from January 1, 2000, to December 31,
2009. Dose per day was determined by days' supply, strength, and quantity
dispensed. Initiation with a low dose and augmentation of >1 mg/day on the second
prescription before and after the 2004 warning were considered. RESULTS: Of
51,948 children who initiated an SSRI, 15% initiated with a low dose before the
2004 warning compared with 31% after the warning; there was a smaller change
among young adults (6 percentage points) and adults (3 percentage points). The
overall increase in dose augmentations among children and young adults was driven
by the increase in patients initiating with a low dose. CONCLUSIONS: The
proportion of commercially insured children initiating an SSRI with a low dose
was higher after the 2004 FDA warning on the risk of suicidality among children,
suggesting improved prescribing practices surrounding SSRI dosing among children.
PMID- 26567940
TI - Atypical teratoid rhabdoid tumor involving the nasal cavities and anterior skull
base.
AB - Rhabdoid tumors are a spectrum of neoplasias composed of cells which show
rhabdoid morphology but are devoid of skeletal muscle differentiation. These
tumors are characterized by inactivation of the INI1/SMARCB1 gene and they have
been described in virtually every anatomical site, including the central nervous
system (CNS) and sinonasal tract. Rhabdoid tumor of the CNS was named atypical
teratoid rhabdoid tumor (ATRT) and it mainly affects children under the age of 3
years with supra- or infra-tentorial location.Herein we report the first case of
ATRT infiltrating the nasal cavities and skull base in an adolescent. Due to its
unusual location, differential diagnosis was challenging and included several
other entities such as sinonasal carcinoma or meningioma. Awareness that ATRT may
infiltrate the nasal tract and knowledge of its clinico-pathological,
immunohistochemical and biomolecular features are essential for its distinction
from other rhabdoid tumors which more frequently involve this anatomical site and
for appropriate therapeutic management.
PMID- 26567941
TI - Synthesis of branched Pd nanocrystals with tunable structures, their growth
mechanism, and enhanced electrocatalytic properties.
AB - Branched Pd nanocrystals (NCs) with tunable architectures are synthesized in high
yields (>95%) by simply adjusting the concentration of H2PdCl4 in the presence of
fixed amounts of cetyltrimethylammonium bromide (CTAB), L-ascorbic acid (L-AA),
and CuBr2(-) that is produced by reducing CuBr2 with L-AA. The as-synthesized
branched Pd NCs have long, straight branches with thin diameters. At the same
time, the growth process of the branched Pd NCs is monitored, which provides
mechanistic insights for the branching growth of Pd NCs. It is identified that a
high concentration of CTAB combined with an appropriate amount of CuBr2(-)
species, acting as an in situ cooperatively organized template, is a decisive
factor for the anisotropic growth of the branched Pd nanostructures during
aqueous-phase reduction of the Pd precursor, using L-AA as a reducing agent. The
electrocatalytic activities of the branched Pd NCs were tested. The branched Pd
NCs are found to be an excellent electrocatalyst for the methanol oxidation
reaction (MOR) largely due to the size and morphological effects of the branched
structures.
PMID- 26567942
TI - Chemistry and Biology of the Genus Flourensia (Asteraceae).
AB - Flourensia species are dominant plants that are adapted to semidesertic and
desertic regions. It is believed that they are successful plants because they
employ several protection mechanisms, including the formation of a waxy film on
their aerial parts to protect them from dehydration. This waxy film contains
chemical compounds that are capable of inhibiting the growth of other plants and
of acting as allelopathic and herbicidal agents and as germination inhibitors.
These plants also limit herbivory, and they exhibit insecticidal, insect
antifeedant, antibacterial, antifungal, antialgal, and antitermite activities.
Sesquiterpenes, flavonoids, benzofurans, chromenes, coumarins, lupan triterpenes,
aliphatic lactones, and aromatic and acetilenic compounds have all been isolated
from the organic extracts of Flourensia species. Monoterpenes, sesquiterpenes,
and aliphatic hydrocarbons are the main constituents found in their essential
oils. This review is an overview of the chemical constituents and of the
biological activities of Flourensia species.
PMID- 26567943
TI - A Comparative Analysis of the 'Green' Techniques Applied for Polyphenols
Extraction from Bioresources.
AB - From all the valuable biomass extractives, polyphenols are a widespread group of
secondary metabolites found in all plants, representing the most desirable
phytochemicals due to their potential to be used as additives in food industry,
cosmetics, medicine, and others fields. At present, there is an increased
interest to recover them from plant of spontaneous flora, cultivated plant, and
wastes resulted in agricultural and food industry. That is why many efforts have
been made to provide a highly sensitive, efficiently, and eco-friendly methods,
for the extraction of polyphenols, according to the green chemistry and
sustainable development concepts. Many extraction procedures are known with
advantages and disadvantages. From these reasons, the aim of this article is to
provide a comparative analysis regarding technical and economical aspects related
to the most innovative extraction techniques studied in the last time: microwave
assisted extraction (MAE), supercritical fluid extraction (SFE), and ultrasound
assisted extraction (UAE).
PMID- 26567944
TI - The Gastrointestinal Tract Metabolism and Pharmacological Activities of
Grosvenorine, a Major and Characteristic Flavonoid in the Fruits of Siraitia
grosvenorii.
AB - Grosvenorine is the major flavonoid compound of the fruits of Siraitia
grosvenorii (Swingle) C. Jeffrey, a medical plant endemic to China. In the
present study, for the first time, the grosvenorine metabolism in an in vitro
simulated human gastrointestinal tract (including artificial gastric juice,
artificial intestinal juice and intestinal flora), as well as its pharmacological
activities (including anti-complement, antibacterial and antioxidant activities),
was investigated. The results showed that grosvenorine was metabolized by human
intestinal flora; its four metabolites were isolated by semi-preparative HPLC and
identified by NMR as kaempferitrin, afzelin, alpha-rhamnoisorobin, and
kaempferol. Further pharmacological evaluation showed that grosvenorine exhibited
good antibacterial and antioxidant activities, with its metabolites possessing
more potent activities. Although grosvenorine did not present obvious
anticomplement activity, its metabolites showed interesting activities. This
study revealed that intestinal bacteria play an important role in the
gastrointestinal metabolism of grosvenorine and significantly affect its
pharmacological activities.
PMID- 26567945
TI - 4alpha-Acetoxyamijidictyol - A New Antifeeding Dolastane Diterpene from the
Brazilian Brown Alga Canistrocarpus cervicornis.
AB - Chemical investigation of the CH2 Cl2 crude extract from the brown alga
Canistrocarpus cervicornis (Dictyotaceae) led to isolation of one new (1) and
four previously reported dolastane diterpenes (2-5). Their structures were
characterized by 1D- and 2D-NMR spectroscopic techniques, including a full single
crystal X-ray diffraction analysis for 1, 2, and 4. In addition, the new
structure 1 was assayed as chemical defense inhibiting the feeding by the sea
urchin Lytechinus variegatus. This study constitutes an additional report
broadening the known spectrum of action and defensive roles of secondary
metabolites of the C. cervicornis and Dictyotales species.
PMID- 26567946
TI - Antioxidants, Enzyme Inhibitors, and Biogenic Compounds in Grain Extracts of
Barleys.
AB - The content of biogenic compounds and the biological activities of barley
(Hordeum vulgare L.)-grain extracts was evaluated. The sufficiently large and
heterogeneous set of barley genotypes (100 accessions) enabled the selection of
special genotypes interesting for potential industrial, pharmaceutical, and
medicinal applications. Barley genotypes with the highest contents of phenols,
phenolic acids, flavonoids, biogenic thiols, and amines, radical-scavenging
activity, as well as inhibitory activities of trypsin, thrombin, collagenase,
urokinase, and cyclooxygenase were identified.
PMID- 26567947
TI - Application of NMR Spectroscopy in the Assessment of Radiation Dose in Human
Primary Cells.
AB - We employed the primary cell model system as a first step toward establishing a
method to assess the influence of ionizing radiation by using a combination of
common and abundant metabolites. We applied X-ray irradiation amounts of 0, 1,
and 5 Gy to the cells that were harvested 24, 48, or 72 h later, and profiled
metabolites by 2D-NMR spectroscopy to sort out candidate molecules that could be
used to distinguish the samples under different irradiation conditions. We traced
metabolites stemming from the input 13C-glucose, identified twelve of them from
the cell extracts, and applied statistical analysis to find out that all the
metabolites, including glycine, alanine, and gluatamic acid, increased upon
irradiation. The combinatorial use of the selected metabolites showed promising
results where the product of signal intensities of alanine and lactate could
differentiate samples according to the dose of X-ray irradiation. We hope that
this work can form a base for treating radiation-poisoned patients in the future.
PMID- 26567948
TI - Chemical Composition of Juniperus communis L. Cone Essential Oil and Its
Variability among Wild Populations in Kosovo.
AB - Ripe cones of Juniperus communis L. (Cupressaceae) were collected from five wild
populations in Kosovo, with the aim of investigating the chemical composition and
natural variation of essential oils between and within wild populations. Ripe
cones were collected, air dried, crushed, and the essential oils obtained by
hydrodistillation. The essential-oil constituents were identified by GC-FID and
GC/MS analyses. The yield of essential oil differed depending on the population
origins and ranged from 0.4 to 3.8% (v/w, based on the dry weight). In total, 42
compounds were identified in the essential oils of all populations. The principal
components of the cone-essential oils were alpha-pinene, followed by beta
myrcene, sabinene, and D-limonene. Taking into consideration the yield and
chemical composition, the essential oil originating from various collection sites
in Kosovo fulfilled the minimum requirements for J. communis essential oils of
the European Pharmacopoeia. Hierarchical cluster analysis (HCA) and principal
component analysis (PCA) were used to determine the influence of the geographical
variations on the essential-oil composition. These statistical analyses suggested
that the clustering of populations was not related to their geographic location,
but rather appeared to be linked to local selective forces acting on the
chemotype diversity.
PMID- 26567949
TI - alpha-Glucosidase Inhibitors from the Fungus Aspergillus terreus 3.05358.
AB - One new diketopiperazine alkaloid amauromine B (1), along with three known
meroterpenoids, austalide B (2), austalides N and O (3 and 4), and two known
steroids (5 and 6), was isolated and identified from the culture broth of the
fungus Aspergillus terreus 3.05358. Their structures were elucidated by extensive
spectroscopic techniques, including 2D-NMR and MS analysis, the absolute
configuration of 1 was unambiguously established by single crystal X-ray
diffraction analysis. All the isolates were evaluated for their inhibitory
effects on alpha-glucosidase. Amauromine B (1) and austalide N (3) exhibited more
potent alpha-glucosidase inhibitory activities than the positive control
acarbose.
PMID- 26567950
TI - Netamines O-S, Five New Tricyclic Guanidine Alkaloids from the Madagascar Sponge
Biemna laboutei, and Their Antimalarial Activities.
AB - In our continuing program to isolate new compounds from the Madagascar sponge
Biemna laboutei, five new tricyclic guanidine alkaloids, netamines O - S (1-5,
resp.), have been identified together with the known compounds netamine E (6) and
mirabilin J (7). The structures of all new netamines were assigned on the basis
of spectroscopic analyses. Their relative configurations were established by
analysis of ROESY data and comparison with literature data. Netamines O, P, and
Q, which were isolated in sufficient quantities, were tested for their cytotoxic
activities against KB cells and their activities against the malaria parasite
Plasmodium falciparum. Netamines O and Q were found to be moderately cytotoxic.
Netamines O, P, and Q exhibited antiplasmodial activities with IC50 values of
16.99 +/- 4.12, 32.62 +/- 3.44, and 8.37 +/- 1.35 MUM, respectively.
PMID- 26567951
TI - Chemical Composition and Character Impact Odorants in Volatile Oils from Edible
Mushrooms.
AB - The aim of this study was to investigate the chemical composition and the odor
active components of volatile oils from three edible mushrooms, Pleurotus
ostreatus, Pleurotus eryngii, and Pleurotus abalonus, which are well-known edible
mushrooms. The volatile components in these oils were extracted by
hydrodistillation and identified by GC/MS, GC-olfactometry (GC-O), and aroma
extract dilution analysis (AEDA). The oils contained 40, 20, and 53 components,
representing 83.4, 86.0, and 90.8% of the total oils in P. ostreatus, P. eryngii,
and P. abalonus, respectively. Odor evaluation of the volatile oils from the
three edible mushrooms was also carried out using GC-O, AEDA, and odor activity
values, by which 13, eight, and ten aroma-active components were identified in P.
ostreatus, P. eryngii, and P. abalonus, respectively. The most aroma-active
compounds were C8 -aliphatic compounds (oct-1-en-3-ol, octan-3-one, and octanal)
and/or C9 -aliphatic aldehydes (nonanal and (2E)-non-2-enal).
PMID- 26567952
TI - Indole Alkaloids from the Sea Anemone Heteractis aurora and Homarine from Octopus
cyanea.
AB - The two new indole alkaloids 2-amino-1,5-dihydro-5-(1H-indol-3-ylmethyl)-4H
imidazol-4-one (1), 2-amino-5-[(6-bromo-1H-indol-3-yl)methyl]-3,5-dihydro-3
methyl-4H-imidazol-4-one (2), and auramine (3) have been isolated from the sea
anemone Heteractis aurora. Both indole alkaloids were synthesized for the
confirmation of the structures. Homarine (4), along with uracil (5), hypoxanthine
(6), and inosine (7) have been obtained from Octopus cyanea.
PMID- 26567953
TI - Gastroprotective and Antioxidant Effects of Lobaria pulmonaria and Its Metabolite
Rhizonyl Alcohol on Indomethacin-Induced Gastric Ulcer.
AB - Two lichen metabolites, rhizonaldehyde (1) and rhizonyl alcohol (2), were
isolated from the acetone extract of Lobaria pulmonaria by chromatographic
methods, and their chemical structures were determined by UV/VIS, IR, and 1D- and
2D-NMR spectroscopic methods. The gastroprotective and in vivo antioxidant
activities of extracts of L. pulmonaria and its metabolites, 1 and 2, were
investigated in indomethacin-induced ulcer models in rats. The gastric lesions
were significantly reduced by acetone, hexane, and CHCl3 extracts, with 75.3
41.5% inhibition. Rhizonyl alcohol (2) significantly reduced the gastric lesions
with an inhibition rate of 84.6-42.8%, whereas rhizonaldehyde (1) significantly
increased the gastric lesions. Antioxidant parameters and myeloperoxidase
activities were also evaluated in the gastric tissues of the rats. Indomethacin
caused oxidative stress, which resulted in lipid peroxidation in gastric tissues
by decreasing the levels of the antioxidants as compared to healthy rat tissues.
In contrast to indomethacin, all extracts and rhizonyl alcohol (2) caused a
significant decrease in lipid peroxidation levels and an increase in antioxidant
parameters, superoxide dismutase, glutathione peroxidase, and glutathione-S
transferase, and reduced glutathione in gastric tissues. The administration of
rhizonyl alcohol (2) also resulted in a decrease in gastric myeloperoxidase
activity increased by indomethacin. The gastroprotective effect of rhizonyl
alcohol (2) can be attributed to its antioxidant properties and its suppressing
effect on neutrophil infiltration into gastric tissues.
PMID- 26567954
TI - Four New Flavonoids with alpha-Glucosidase Inhibitory Activities from Morus alba
var. tatarica.
AB - Four new flavonoids, mortatarins A-D (1-4, resp.), along with eight known
flavonoids (5-12) were isolated from the root bark of Morus alba var. tatarica.
Their structures were established on the basis of spectroscopic data analysis,
and the absolute configuration of 4 was determined by analysis of its CD
spectrum. All isolates were tested for inhibitory activities against alpha
glucosidase. Compounds 4, 7, and 8 exhibited a significant degree of inhibition
with IC50 values of 5.0 +/- 0.3, 7.5 +/- 0.5, and 5.9 +/- 0.2 MUM, respectively.
PMID- 26567955
TI - Metastatic cardiac tumor from urothelial carcinoma detected by transthoracic
echocardiography: a case report.
AB - INTRODUCTION: Cardiac metastasis of urothelial carcinoma is a very rare but
clinically important complication. Most cardiac metastases are asymptomatic;
symptoms from cardiac metastasis were seen in advanced stage and many of these
cases were reported to have a poor prognosis. So it is important to find
asymptomatic cardiac metastasis and to start chemotherapy early in order to
improve the patient's prognosis. CASE PRESENTATION: A 73-year-old Asian man was
referred to our hospital because of a right ventricular tumor. He had a history
of left ureteral cancer 9 years ago. In screening echocardiography for paroxysmal
atrial fibrillation, a low echogenic tumor was detected in his right ventricular
apex, and characteristic ST segment elevation was detected in
electrocardiography. An (18)F-fluorodeoxyglucose positron emission tomography
revealed abnormal uptake in his right ventricular apex tumor and prostate, and a
biopsy of the prostatic tumor showed urothelial carcinoma cells. He received
systemic gemcitabine, paclitaxel and cisplatin chemotherapy for the urothelial
carcinoma, and the cardiac tumor size was reduced temporarily. Finally, he died
of multiple organ failure 16 months after his first admission, but his survival
period was relatively longer than previous reports. CONCLUSIONS: We experienced a
case of a metastatic cardiac tumor from urothelial carcinoma. We found
asymptomatic cardiac metastasis by screening echocardiography and
electrocardiography. Our patient received systemic chemotherapy and his survival
period was relatively longer than previous reports. Electrocardiography and
echocardiography may be useful to find asymptomatic cardiac metastasis of
neoplasms.
PMID- 26567956
TI - Single-cell analysis of CTCs with diagnostic precision: opportunities and
challenges for personalized medicine.
AB - The generation of variant cancer cells is the major cause of acquired resistance
against systemic therapies and consequently, of our inability to cure advanced
cancer patients. Circulating tumor cells are gaining increasing clinical
attention because they may enable the monitoring cancer progression and
adjustment of treatment. In recent years multiple technologies for enrichment,
isolation as well as molecular and functional analysis of circulating tumor cells
have been developed. Implementation of these technologies in standardized and
automated workflows in clinical diagnostics could provide valuable information
for real-time monitoring of cancer and eventually new therapeutic strategies for
the benefit of patients.
PMID- 26567957
TI - The preventive and therapeutic potential of natural polyphenols on influenza.
AB - Influenza virus belongs to orthomyxoviridae family. This virus is a major public
health problems, with high rates of morbidity and mortality. Despite a wide range
of pharmacotherapeutic choices inhibiting specific sequences of pathological
process of influenza, developing more effective therapeutic options is an
immediate challenge. In this paper, a comprehensively review of natural
polyphenolic products used worldwide for the management of influenza infection is
presented. Cellular and molecular mechanisms of the natural polyphenols on
influenza infection including suppressing virus replication cycle, viral
hemagglutination, viral adhesion and penetration into the host cells, also
intracellular transductional signaling pathways have been discussed in detail.
Based on cellular, animal, and human evidence obtained from several studies, the
current paper demonstrates that natural polyphenolic compounds possess potential
effects on both prevention and treatment of influenza, which can be used as
adjuvant therapy with conventional chemical drugs for the management of influenza
and its complications.
PMID- 26567960
TI - The role of imaging in the diagnosis and management of hypertrophic
cardiomyopathy.
AB - Hypertrophic cardiomyopathy (HCM) is the most common genetic cardiomyopathy,
affecting approximately 1:500 people. As the yield of genetic testing is only
about 35-60%, the diagnosis of HCM is still clinical and based on the
demonstration of unexplained and usually asymmetric left ventricular (LV)
hypertrophy by imaging modalities. In the past, echocardiography was the sole
imaging modality used for the diagnosis and management of HCM. However, in recent
years other imaging modalities such as cardiac magnetic resonance have played a
major role in the diagnosis, management and risk stratification of HCM,
particularly when the location of left ventricular hypertrophy is atypical (apex,
lateral wall) and when the echocardiographic imaging is sub-optimal. However, the
most unique contribution of cardiac magnetic resonance is the quantification of
myocardial fibrosis. Exercise stress echocardiography is the preferred
provocative test for the assessment of LV outflow tract obstruction, which is
detected only on provocation in one-third of the patients.
PMID- 26567959
TI - The Shiga toxin 2 production level in enterohemorrhagic Escherichia coli O157:H7
is correlated with the subtypes of toxin-encoding phage.
AB - Enterohemorrhagic E. coli (EHEC) causes diarrhea and hemorrhagic colitis with
life-threatening complications, such as hemolytic uremic syndrome. Their major
virulence factor is Shiga toxin (Stx), which is encoded by bacteriophages. Of the
two types of Stx, the production of Stx2, particularly that of Stx2a (a subtype
of Stx2), is a major risk factor for severe EHEC infections, but the Stx2
production level is highly variable between strains. Here, we define four major
and two minor subtypes of Stx2a-encoding phages according to their replication
proteins. The subtypes are correlated with Stx2a titers produced by the host O157
strains, suggesting a critical role of the phage subtype in determining the Stx2a
production level. We further show that one of the two subclades in the clade 8, a
proposed hyper-virulent lineage of O157, carries the Stx2 phage subtype that
confers the highest Stx2 production to the host strain. The presence of this
subclade may explain the proposed high virulence potential of clade 8. These
results provide novel insights into the variation in virulence among O157 strains
and highlight the role of phage variation in determining the production level of
the virulence factors that phages encode.
PMID- 26567961
TI - The effect of arm positioning on timing and enhancement of intravenous contrast
media at coronary computed tomography angiography.
AB - BACKGROUND: Adequate intravenous contrast media (CM) enhancement is crucial for
evaluation of the coronary arteries. PURPOSE: To compare the timing and
enhancement of intravenous CM at coronary computed tomography angiography (CCTA)
when positioning the arms in the traditional superior direction with that of
positioning them in the ventral direction during the CM injection. MATERIAL AND
METHODS: One hundred patients were randomized into two groups. Group A (n = 50)
had their arms positioned in the superior direction, resting on a head and arm
support. Group B (n = 50) held their arms resting on the front panel of the
computer tomography (CT) scanner. Scanning delay time was defined by test bolus
technique. A total of 60 mL iodixanol, 320 mg/mL, was followed by a 50 mL saline
flush at 6 mL/s. Cardiac output (CO) and heart rate (HR) were obtained before
scanning. The attenuation was calculated by placing regions of interest (ROI) in
ascending aorta, left atrium, and inferior vena cava. RESULTS: More patients in
group A compared with group B (26 versus 14) showed a higher attenuation of the
left atrium in comparison to the ascending aorta (P < 0.05). Body weight (BW) and
CO were significantly related to the attenuation of ascending aorta (P < 0.01).
CONCLUSION: By placing the arms in a ventral, instead of superior position the
frequency of too early imaging at CCTA can be reduced.
PMID- 26567962
TI - Assessment of female ballet dancers' ankles in the en pointe position using high
field strength magnetic resonance imaging.
AB - BACKGROUND: The en pointe position of the ankle in ballet is extreme. Previously,
magnetic resonance imaging (MRI) of ballet dancers' ankles en pointe was confined
to a low field, open MR device. PURPOSE: To develop a reproducible ankle MRI
protocol for ballet dancers en pointe and to assess the positions of the key
structures in the dancers ankles. MATERIAL AND METHODS: Six female ballet dancers
participated; each was randomly assigned to stand en pointe while one of her feet
and ankles was splinted with wooden rods affixed with straps or to begin with the
ankle in neutral position. She lay in an MR scanner with the ankle inside a knee
coil for en pointe imaging and inside an ankle/foot coil for neutral position
imaging. Proton density weighted images with and without fat suppression and 3D
water excitation gradient recalled echo images were obtained en pointe and in
neutral position in sagittal, axial, and coronal planes. We compared the bones,
cartilage, and soft tissues within and between positions. RESULTS: No
difficulties using the protocol were encountered. En pointe the posterior
articular surface of the tibial plafond was incongruent with the talar dome and
rested on the posterior talus. The posterior edge of the plafond impinged Kager's
fat pad. All participants exhibited one or more small ganglion cysts about the
ankle and proximal foot, as well as fluid accumulation in the flexor and
fibularis tendon sheaths. CONCLUSION: Our MRI protocol allows assessment of
female ballet dancers' ankles in the extreme plantar flexion position in which
the dancers perform. We consistently noted incongruence of the talocrural joint
and convergence of the tibia, talus, and calcaneus posteriorly. This protocol may
be useful for clinicians who evaluate dancers.
PMID- 26567963
TI - Surrogate arterial phase imaging using a long duration (~1.5 min) radial
acquisition T1-weighted sequence: an alternative in patients unable to breath
hold.
AB - BACKGROUND: Pediatric and adult patients unable to suspend respiration generally
undergo magnetic resonance (MR) examinations that lack arterial phase imaging,
which is a phase that provides substantial information on disease processes. An
MR strategy that provides this type of information may be of considerable value.
PURPOSE: To describe and assess the feasibility and enhancement quality of early
phase imaging utilizing long-duration radial 3D-GRE imaging by initiating the
sequence prior to starting contrast injection. MATERIAL AND METHODS: Thirty-three
consecutive patients (10 men, 23 women; 50.7 +/- 25.5 years) underwent free
breathing gadolinium-enhanced radial 3D-GRE, with sequence initiation 30 s prior
to contrast injection. Late hepatic arterial (LHA) phase was chosen for
comparison. Images were evaluated for enhancement and overall image quality.
Organ enhancement was calculated. Sub-group analysis was performed. RESULTS:
Twenty-two examinations of radial 3D-GRE sequences were acquired during the LHA
phase. Organ enhancement scores were of satisfactory to good quality (range, 3.32
3.82). There was a significant trend of superior overall enhancement quality
scores in pediatrics and examinations performed at 3 T (P = 0.0225 and 0.0001,
respectively). CONCLUSION: Arterial phase abdominal MR imaging is feasible using
conventional radial 3D-GRE by adopting this simplistic proposed approach, which
may allow arterial-phase imaging in patients unable to breath-hold.
PMID- 26567964
TI - To assess the association between vertebral marrow fat content and colorectal
adenoma in postmenopausal women using magnetic resonance spectroscopy.
AB - BACKGROUND: Although lower bone mineral density (BMD) is considered to have an
increased risk for colorectal adenoma, no association between marrow fat content
and colorectal adenoma has been elucidated yet. PURPOSE: To evaluate the
relationship between marrow fat fraction (MFF) and the presence of colorectal
adenoma in postmenopausal women using magnetic resonance spectroscopy (MRS).
MATERIAL AND METHODS: We performed a cross-sectional observational study on 152
postmenopausal patients with colorectal adenoma and 100 matched control subjects
who underwent screening colonoscopy, biochemical measurements, dual-energy X-ray
absorptiometry, and MRS. Logistic regression models were performed to assess the
relationships among BMD, MFF, and colorectal adenoma. RESULTS: With univariate
analysis, marrow fat accumulation was higher and BMD values were lower in
patients with colorectal adenoma compared with those in controls. After
adjustment for potential confounders including demographics, health history,
blood lipid levels, indexes of glucose metabolism, and validated measures of diet
and physical activity, MFF was significantly positively associated with
colorectal adenoma (odds ratio [OR], 1.64; 95% confidence interval [CI], 1.10
2.46; P = 0.008). Vertebral BMD, but not total hip and femoral neck BMD, was
inversely related with colorectal adenoma (OR, 0.62; 95% CI, 0.14-0.89; P =
0.027). Additionally, MFF was associated with adenoma number, size, and high-risk
adenoma (all P < 0.01). MFF was found to be an independent risk factor of a high
risk colorectal adenoma (OR, 2.08; 95% CI, 1.24-3.60; P = 0.019). CONCLUSION:
Marrow fat accumulation is highly associated with colorectal adenoma,
particularly high-risk adenoma, in postmenopausal women.
PMID- 26567965
TI - Incorporating the hepatobiliary phase of gadobenate dimeglumine-enhanced MRI in
the diagnosis of hepatocellular carcinoma: increasing the sensitivity without
compromising specificity.
AB - BACKGROUND: Diagnosis of hepatocellular carcinoma (HCC) is centered on wash-in of
contrast during the arterial phase followed by washout during the portal or
delayed venous phase. Nodules showing hypointensity on the hepatobiliary phase
are also likely to represent HCC, however, the role of this phase is not yet
established. PURPOSE: To investigate the role of the hepatobiliary phase on
Gadobenate dimeglumine (Gd-BOPTA) magnetic resonance imaging (MRI) in
characterizing HCCs lacking the typical arterial enhancement and venous washout.
MATERIAL AND METHODS: Ninety-seven cirrhotic patients (78 men, 19 women; mean
age, 58.5 years) who underwent liver transplantation (2004-2012) and Gd-BOPTA
enhanced MRI within 3 months of surgery were retrospectively reviewed. A nodule
by-nodule analysis was performed, followed by liver explant correlation.
Statistical analysis was then performed by a biostatistician using commercially
available software. RESULTS: A total of 193 HCCs were found in 97 liver explants,
of which 24.9% (48/193) were not detectable on imaging. The 145 HCCs seen on
imaging showed the typical wash-in/washout pattern (Pattern A) in 46.9% (68/145),
arterial enhancement without washout (Pattern B) in 37.9% (55/145), and
hypovascularity on arterial and venous sequences (Pattern C) in 15.2% (22/145).
Pattern A was exclusive to HCC. Twenty-three of the 55 HCCs showing Pattern B
were also hypointense on the hepatobiliary phase (Pattern B1). Combining Pattern
B1 with Pattern A raises the sensitivity of HCC characterization from 46.9% to
62.8% (P = 0.007), with no significant compromise on specificity. CONCLUSION:
When coupled with Pattern A, Pattern B1 augments sensitivity of HCC
characterization with no significant compromise on the specificity.
PMID- 26567966
TI - Yolk/shell nanoparticles: classifications, synthesis, properties, and
applications.
AB - Core/shell nanoparticles were first reported in the early 1990s with a simple
spherical core and shell structure, but the area is gradually diversifying in
multiple directions such as different shapes, multishells, yolk/shell etc.,
because of the development of different new properties of the materials, which
are useful for several advanced applications. Among different sub-areas of
core/shell nanoparticles, yolk/shell nanoparticles (YS NPs) have drawn
significant attention in recent years because of their unique properties such as
low density, large surface area, ease of interior core functionalization, a good
molecular loading capacity in the void space, tunable interstitial void space,
and a hollow outer shell. The YS NPs have better properties over simple
core/shell or hollow NPs in various fields including biomedical, catalysis,
sensors, lithium batteries, adsorbents, DSSCs, microwave absorbers etc., mainly
because of the presence of free void space, porous hollow shell, and free core
surface. This review presents an extensive classification of YS NPs based on
their structures and types of materials, along with synthesis strategies,
properties, and applications with which one would be able to draw a complete
picture of this area.
PMID- 26567967
TI - Corrigendum.
PMID- 26567968
TI - Parallel Comparative Studies on Mouse Toxicity of Oxide Nanoparticle- and
Gadolinium-Based T1 MRI Contrast Agents.
AB - Magnetic resonance imaging (MRI) contrast agents with high relaxivity are highly
desirable because they can significantly increase the accuracy of diagnosis.
However, they can be potentially toxic to the patients. In this study, using a
mouse model, we investigate the toxic effects and subsequent tissue damage
induced by three T1 MRI contrast agents: gadopentetate dimeglumine injection
(GDI), a clinically used gadolinium (Gd)-based contrast agent (GBCAs), and oxide
nanoparticle (NP)-based contrast agents, extremely small-sized iron oxide NPs
(ESIONs) and manganese oxide (MnO) NPs. Biodistribution, hematological and
histopathological changes, inflammation, and the endoplasmic reticulum (ER)
stress responses are evaluated for 24 h after intravenous injection. These
thorough assessments of the toxic and stress responses of these agents provide a
panoramic description of safety concerns and underlying mechanisms of the
toxicity of contrast agents in the body. We demonstrate that ESIONs exhibit fewer
adverse effects than the MnO NPs and the clinically used GDI GBCAs, providing
useful information on future applications of ESIONs as potentially safe MRI
contrast agents.
PMID- 26567969
TI - Upper Palaeolithic genomes reveal deep roots of modern Eurasians.
AB - We extend the scope of European palaeogenomics by sequencing the genomes of Late
Upper Palaeolithic (13,300 years old, 1.4-fold coverage) and Mesolithic (9,700
years old, 15.4-fold) males from western Georgia in the Caucasus and a Late Upper
Palaeolithic (13,700 years old, 9.5-fold) male from Switzerland. While we detect
Late Palaeolithic-Mesolithic genomic continuity in both regions, we find that
Caucasus hunter-gatherers (CHG) belong to a distinct ancient clade that split
from western hunter-gatherers ~45 kya, shortly after the expansion of
anatomically modern humans into Europe and from the ancestors of Neolithic
farmers ~25 kya, around the Last Glacial Maximum. CHG genomes significantly
contributed to the Yamnaya steppe herders who migrated into Europe ~3,000 BC,
supporting a formative Caucasus influence on this important Early Bronze age
culture. CHG left their imprint on modern populations from the Caucasus and also
central and south Asia possibly marking the arrival of Indo-Aryan languages.
PMID- 26567971
TI - Epidemiology, Traditional and Novel Risk Factors in Coronary Artery Disease.
AB - Coronary artery disease (CAD) mortality has been declining in the United States
and in regions where health care systems are relatively advanced. Still, CAD
remains the number one cause of death in both men and women in the United States,
and coronary events have increased in women. Many traditional risk factors for
CAD are related to lifestyle, and preventative treatment can be tailored to
modifying specific factors. Novel risk factors also may contribute to CAD.
Finally, as the risk for CAD is largely understood to be inherited, further
genetic testing should play a role in preventative treatment of the disease.
PMID- 26567970
TI - The FDA-approved natural product dihydroergocristine reduces the production of
the Alzheimer's disease amyloid-beta peptides.
AB - Known gamma-secretase inhibitors or modulators display an undesirable
pharmacokinetic profile and toxicity and have therefore not been successful in
clinical trials for Alzheimer's disease (AD). So far, no compounds from natural
products have been identified as direct inhibitors of gamma-secretase. To search
for bioactive molecules that can reduce the amount of amyloid-beta peptides
(Abeta) and that have better pharmacokinetics and an improved safety profile, we
completed a screen of ~400 natural products by using cell-based and cell-free
gamma-secretase activity assays. We identified dihydroergocristine (DHEC), a
component of an FDA- (Food and Drug Administration)-approved drug, to be a direct
inhibitor of gamma-secretase. Micromolar concentrations of DHEC substantially
reduced Abeta levels in different cell types, including a cell line derived from
an AD patient. Structure-activity relationship studies implied that the key
moiety for inhibiting gamma-secretase is the cyclized tripeptide moiety of DHEC.
A Surface Plasmon Resonance assay showed that DHEC binds directly to gamma
secretase and Nicastrin, with equilibrium dissociation constants (Kd) of 25.7 nM
and 9.8 MUM, respectively. This study offers DHEC not only as a new chemical
moiety for selectively modulating the activity of gamma-secretase but also a
candidate for drug repositioning in Alzheimer's disease.
PMID- 26567972
TI - Stable Ischemic Heart Disease.
AB - Classical angina refers to typical substernal discomfort triggered by effort or
emotions, relieved with rest or nitroglycerin. The well-accepted pathogenesis is
an imbalance between oxygen supply and demand. Goals in therapy are improvement
in quality of life by limiting the number and severity of attacks, protection
against future lethal events, and measures to lower the burden of risk factors to
slow disease progression. New pathophysiological data, drugs, as well as
conceptual and technological advances have improved patient care over the past
decade. Behavioral changes to improve diets, increase physical activity, and
encourage adherence to cardiac rehabilitation programs, are difficult to achieve
but are effective.
PMID- 26567973
TI - Acute Coronary Syndromes: Unstable Angina and Non-ST Elevation Myocardial
Infarction.
AB - Non-ST elevation acute coronary syndromes (NSTE-ACS) encompass the clinical
entities of unstable angina and non-ST elevation myocardial infarction. Several
advances have occurred over the past decade, including the emergence of new
antiplatelet and antithrombotic therapies and novel treatment strategies, leading
to marked improvements in mortality. However, there has also been an increased
incidence in NSTE-ACS as a result of the use of high-sensitivity troponins and
the increase in cardiovascular risk factors. This article provides a focused
update on contemporary management strategies pertaining to antiplatelet,
antithrombotic, and anti-ischemic therapies and to revascularization strategies
in patients with ACS.
PMID- 26567974
TI - Current State of ST-Segment Myocardial Infarction: Evidence-based Therapies and
Optimal Patient Outcomes in Advanced Systems of Care.
AB - Advances in reperfusion therapy for ST-segment elevation myocardial infarction
(STEMI) provide optimal patient outcomes. Reperfusion therapies, including
contemporary primary percutaneous coronary intervention, represent decades of
clinical evidence development in large clinical trials and national databases.
However, rapid identification of STEMI and guideline-directed management of
patients across broad populations have been best achieved in advanced systems of
care. Current outcomes in STEMI reflect the evolution of both clinical data and
idealized health care delivery networks.
PMID- 26567975
TI - Noninvasive Stress Testing for Coronary Artery Disease.
AB - Stress testing remains the cornerstone for noninvasive assessment of patients
with possible or known coronary artery disease (CAD). The most important
application of stress testing is risk stratification. Most patients who present
for evaluation of stable CAD are categorized as low risk by stress testing. These
low-risk patients have favorable clinical outcomes and generally do not require
coronary angiography. Standard exercise treadmill testing is the initial
procedure of choice in patients with a normal or near-normal resting
electrocardiogram who are capable of adequate exercise. Stress imaging is
recommended for patients with prior revascularization, uninterpretable
electrocardiograms, or inability to adequately exercise.
PMID- 26567976
TI - Invasive Testing for Coronary Artery Disease: FFR, IVUS, OCT, NIRS.
AB - Coronary angiography is the gold standard for the diagnosis of coronary artery
disease and guides revascularization strategies. The emergence of new diagnostic
modalities has provided clinicians with adjunctive physiologic and image-based
data to help formulate treatment strategies. Fractional flow reserve can predict
whether percutaneous intervention will benefit a patient. Intravascular
ultrasonography and optical coherence tomography are intracoronary imaging
modalities that facilitate the anatomic visualization of the vessel lumen and
characterize plaques. Near-infrared spectroscopy can characterize plaque
composition and potentially provide valuable prognostic information. This article
reviews the indications, basic technology, and supporting clinical studies for
these modalities.
PMID- 26567977
TI - Calcium Scoring and Cardiac Computed Tomography.
AB - Although recent advances in noninvasive imaging technologies have potentially
improved diagnostic efficiency and clinical outcomes of patients with acute chest
pain, controversy remains regarding much of the accumulated evidence. This
article reviews the role of coronary computed tomography (CT) angiography in the
assessment of coronary risk, and its usefulness in the emergency department in
facilitating appropriate disposition decisions. Also discussed is coronary artery
calcification incidentally found on CT scans when done for indications such as
evaluation of pulmonary embolism or lung cancer. The evidence base and clinical
applications for both techniques are described, together with cost-effectiveness
and radiation exposure considerations.
PMID- 26567978
TI - Alternative Therapy for Medically Refractory Angina: Enhanced External
Counterpulsation and Transmyocardial Laser Revascularization.
AB - Medically refractory angina pectoris (RAP) is defined by presence of severe
angina with objective evidence of ischemia and failure to relieve symptoms with
coronary revascularization. Medication and invasive revascularization are the
most common approaches for treating coronary artery disease (CAD). Although
symptoms are eliminated or alleviated by these invasive approaches, the disease
and its causes are present after treatment. New treatment approaches are needed
to prevent the disease from progressing and symptoms from recurring. External
enhanced counterpulsation therapy provides a treatment modality in the management
of CAD and can complement invasive revascularization procedures. Data support
that it should be considered a first-line treatment of RAP.
PMID- 26567979
TI - Coronary Artery Disease and Diabetes Mellitus.
AB - Diabetes mellitus (DM) is a major risk factor for cardiovascular disease. Near
normal glycemic control does not reduce cardiovascular events. For many patients
with 1- or 2-vessel coronary artery disease, there is little benefit from any
revascularization procedure over optimal medical therapy. For multivessel
coronary disease, randomized trials demonstrated the superiority of coronary
artery bypass grafting over multivessel percutaneous coronary intervention in
patients with treated DM. However, selection of the optimal myocardial
revascularization strategy requires a multidisciplinary team approach ('heart
team'). This review summarizes the current evidence regarding the effectiveness
of various medical therapies and revascularization strategies in patients with
DM.
PMID- 26567980
TI - Revascularization Options: Coronary Artery Bypass Surgery and Percutaneous
Coronary Intervention.
AB - Coronary artery bypass grafting (CAGB) is superior to percutaneous coronary
intervention (PCI) in reducing mortality in certain patients and improving the
composite end points of angina, recurrent myocardial infarction, and repeat
revascularization procedures. However, CABG is associated with a higher
perioperative stroke risk. For patients with less complex disease or left main
coronary disease, PCI is an acceptable alternative to CABG. Lesion complexity is
an essential consideration for stenting, whereas patient comorbidity is an
essential consideration for CABG. All patients with complex multivessel coronary
artery disease should be reviewed by a heart team including a cardiac surgeon and
interventional cardiologist.
PMID- 26567981
TI - Cardiac Syndrome X: Update.
AB - Cardiac Syndrome X (CSX), characterized by angina-like chest discomfort, ST
segment depression during exercise, and normal epicardial coronary arteries at
angiography, is highly prevalent in women. CSX is not benign, and linked to
adverse cardiovascular outcomes and a poor quality of life. Coronary
microvascular and endothelial dysfunction and abnormal cardiac nociception have
been implicated in the pathogenesis of CSX. Treatment includes life-style
modification, anti-anginal, anti-atherosclerotic, and anti-ischemic medications.
Non-pharmacological options include cognitive behavioral therapy, enhanced
external counterpulsation, neurostimulation, and stellate ganglionectomy. Studies
have shown the efficacy of individual treatments but guidelines outlining the
best course of therapy are lacking.
PMID- 26567982
TI - Novel synthesis of N-alkyl amines from tandem coupling of either methylamine or
nitroalkane with aldehyde.
AB - A novel tandem strategy for coupling of either methylamine or nitroalkane with
aldehyde has been developed. This is the first demonstration that methylamine or
nitroalkane is used as a methylation reagent, fabricating N-alkyl amines. The
active Pt NWs allow for the selective preparation of a series of N-alkyl amines
with good to excellent yields under mild and environmentally friendly conditions.
PMID- 26567983
TI - Role of metabolic phenotyping in understanding obesity and related conditions in
Gulf Co-operation Council countries.
AB - Obesity is a major health concern in the Middle East and the incidence is rising
in all sections of the population. Efforts to control obesity through diet and
lifestyle interventions, and by surgical means, have had limited effect, and the
gene-environment interactions underpinning the development of obesity and related
pathologies such as metabolic syndrome, cardiovascular disease and certain
cancers are poorly defined. Lifestyle, genetics, inflammation and the interaction
between the intestinal bacteria and host metabolism have all been implicated in
creating an obesogenic environment. We summarize the role of metabolic and
microbial phenotyping in understanding the aetiopathogenesis of obesity and in
characterizing the metabolic responses to surgical and non-surgical
interventions, and explore the potential for clinical translation of this
approach.
PMID- 26567984
TI - Noninvasive Imaging Reveals Stable Transgene Expression in Mouse Airways After
Delivery of a Nonintegrating Recombinant Adeno-Associated Viral Vector.
AB - Gene therapy holds promise to cure a wide range of genetic and acquired diseases.
Recent successes in recombinant adeno-associated viral vector (rAAV)-based gene
therapy in the clinic for hereditary disorders such as Leber's congenital
amaurosis and hemophilia B encouraged us to reexplore an rAAV approach for
pulmonary gene transfer. Only limited clinical successes have been achieved for
airway gene transfer so far, underscoring the need for further preclinical
development of rAAV-based gene therapy for pulmonary disorders. We sought to
determine the preclinical potential of an airway-tropic serotype, rAAV2/5,
encoding reporter genes when delivered to mouse airways. Although several groups
have assessed the stability of gene transfer using a nonintegrating rAAV in mouse
airways, long-term stability for more than a year has not been reported.
Additionally, an extensive quantitative analysis of the specific cell types
targeted by rAAV2/5 using cell-specific markers is lacking. We obtained sustained
gene expression in upper and lower airways up to 15 months after vector
administration, a substantial proportion of the lifespan of a laboratory mouse.
In addition, we demonstrated that readministration of rAAV2/5 to the airways is
feasible and increases gene expression 14 months after primary vector
administration, despite the presence of circulating neutralizing antibodies.
Finally, identification of transduced cell types revealed different
subpopulations being targeted by rAAV2/5, with 64% of beta-galactosidase-positive
cells being ciliated cells, 34% club cells in the conducting airways, and 75%
alveolar type II cells in the alveoli at 1 month postinjection. This underscores
the therapeutic potential of a nonintegrating rAAV vector to develop a gene
therapeutic drug for a variety of pulmonary disorders, such as cystic fibrosis,
primary ciliary dyskinesia, and surfactant deficiencies.
PMID- 26567986
TI - Charge generation and morphology in P3HT:PCBM nanoparticles prepared by mini
emulsion and reprecipitation methods.
AB - Organic semiconductor nanoparticles provide a potentially scalable approach for
photovoltaics that can be processed from aqueous media. Particles of poly(3
hexylthiophene) (P3HT):phenyl-C61-butyric acid methyl ester (PCBM) were prepared
using two techniques; those produced by a mini-emulsion method contained greater
amounts of crystalline P3HT domains with charge generation resembling phase
separated annealed solvent-cast films.
PMID- 26567985
TI - Low Intensity Ultrasound Mediated Liposomal Doxorubicin Delivery Using Polymer
Microbubbles.
AB - Cardiotoxicity is the major dose-limiting factor in the chemotherapeutic use of
doxorubicin (Dox). A delivery vehicle that can be triggered to release its
payload in the tumoral microvasculature but not in healthy tissue would help
improve the therapeutic window of the drug. Delivery strategies combining
liposomal encapsulated Dox (LDox), microbubbles (MBs), and ultrasound (US) have
been shown to improve therapeutic efficacy of LDox, but much remains to be known
about the mechanisms and the US conditions that maximize cytotoxicity using this
approach. In this study, we compared different US pulses in terms of drug release
and acute toxicity. Drug uptake and proliferation rates using low-intensity US
were measured in squamous cell carcinoma cells exposed to LDox conjugated to or
coinjected with polymer MBs. The aims of this study were: (1) to compare the
effects of low- and high-pressure US on Dox release kinetics; (2) to evaluate
whether conjugating the liposome to the MB surface (DoxLPX) is an important
factor for drug release and cytotoxicity; and (3) to determine which US
parameters most inhibit cell proliferation and whether this inhibition is
mediated by drug release or the MB/US interaction with cells. Low-pressure US
(170 kPa) at high duty cycle (stable cavitation) released up to ~ 70% of the
encapsulated Dox from the DoxLPX, thus improving Dox bioavailability and cellular
uptake and leading to a significant reduction in cell proliferation at 48 h. Flow
cytometry showed that US generating stable oscillations of DoxLPX significantly
increased cellular Dox uptake at 4 h after US exposure compared to LDox. Drug
uptake was correlated with cytotoxicity at 48 h. Our results demonstrate that Dox
containing liposomes conjugated to polymer MBs can be triggered to release ~ 70%
of their payload using noninertial US. Following release, Dox became bioavailable
to the cells and induced significantly higher cytotoxicity compared to
nonreleased encapsulated drug. Our findings show promise for targeted drug
delivery using this theranostic delivery platform at low US intensities.
PMID- 26567987
TI - Climatic warming and the future of bison as grazers.
AB - Climatic warming is likely to exacerbate nutritional stress and reduce weight
gain in large mammalian herbivores by reducing plant nutritional quality. Yet
accurate predictions of the effects of climatic warming on herbivores are limited
by a poor understanding of how herbivore diet varies along climate gradients. We
utilized DNA metabarcoding to reconstruct seasonal variation in the diet of North
American bison (Bison bison) in two grasslands that differ in mean annual
temperature by 6 degrees C. Here, we show that associated with greater
nutritional stress in warmer climates, bison consistently consumed fewer
graminoids and more shrubs and forbs, i.e. eudicots. Bison in the warmer
grassland consumed a lower proportion of C3 grass, but not a greater proportion
of C4 grass. Instead, bison diet in the warmer grassland had a greater proportion
of N2-fixing eudicots, regularly comprising >60% of their protein intake in
spring and fall. Although bison have been considered strict grazers, as climatic
warming reduces grass protein concentrations, bison may have to attempt to
compensate by grazing less and browsing more. Promotion of high-protein,
palatable eudicots or increasing the protein concentrations of grasses will be
critical to minimizing warming-imposed nutritional stress for bison and perhaps
other large mammalian herbivores.
PMID- 26567988
TI - Focused electron beam induced etching of copper in sulfuric acid solutions.
AB - We show here that copper can be locally etched by an electron-beam induced
reaction in a liquid. Aqueous sulfuric acid (H2SO4) is utilized as the etchant
and all experiments are conducted in an environmental scanning electron
microscope. The extent of etch increases with liquid thickness and dose, and etch
resolution improves with H2SO4 concentration. This approach shows the feasibility
of liquid phase etching for material selectivity and has the potential for
circuit editing.
PMID- 26567989
TI - Enhanced wetting of Cu on ZnO by migration of subsurface oxygen vacancies.
AB - Metal adhesion on metal oxides is strongly controlled by the oxide surface
structure and composition, but lack of control over the surface conditions often
limits the possibilities to exploit this in opto- and micro-electronics
applications and heterogeneous catalysis where nanostructural control is of
utmost importance. The Cu/ZnO system is among the most investigated of such
systems in model studies, but the presence of subsurface ZnO defects and their
important role for adhesion on ZnO have been unappreciated so far. Here we reveal
that the surface-directed migration of subsurface defects affects the Cu adhesion
on polar ZnO(0001) in the technologically interesting temperature range up to 550
K. This leads to enhanced adhesion and ultimately complete wetting of ZnO(0001)
by a Cu overlayer. On the basis of our experimental and computational results we
demonstrate a mechanism which implies that defect concentrations in the bulk are
an important, and possibly controllable, parameter for the metal-on-oxide growth.
PMID- 26567991
TI - Euthyroid Graves' Disease and TSH Receptor Antibody.
PMID- 26567990
TI - Different regulation of aryl hydrocarbon receptor-regulated genes in response to
dioxin in undifferentiated and neuronally differentiated human neuroblastoma SH
SY5Y cells.
AB - Some environmental pollutants derived from industrial processes have been
suggested to be responsible for neurological impairment in children, especially
in heavily polluted areas. Since these compounds are usually activators of aryl
hydrocarbon receptor (AhR), it would be important to better understand the
molecular pathways downstream of AhR leading to neural deficits. To this purpose,
appropriate in vitro human neural model is much needed. Here we have investigated
whether undifferentiated and neuronally differentiated human neuroblastoma cells,
SH-SY5Y cells, can provide a suitable model for monitoring AhR activity induced
by environmental pollutants, focusing on 2,3,7,8-tetrachlordibenzo-p-dioxin
(TCDD), a known activator of AhR. Further characterization of differentiated SH
SY5Y showed an increase in AhRR (aryl hydrocarbon receptor repressor), no change
in ARNT1 (AhR nuclear translocator 1), and a decrease in ARNT2 expression with
differentiation; in contrast, AhR was undetectable in both undifferentiated and
differentiated cells. Nonetheless, treatment of parental as well as
differentiated SH-SY5Y cells with TCDD resulted in the induction of AhR-regulated
genes, CYP1A1 and CYP1B1; AhRR expression was also affected, but to a much
smaller extent. These results indicate that undifferentiated SH-SY5Y are less
sensitive to TCDD than neuronally differentiated ones, suggesting a higher
resistance of the undifferentiated tumor cells to toxic insults. They also
suggest that TCDD in these cells may not act via direct activation of AhR that is
undetectable in SH-SY5Y as well as in differentiated neurons. Hence, these cells
do not provide an appropriate model for studying ligand-mediated activation of
AhR.
PMID- 26567992
TI - Endoscopic Submucosal Dissection (ESD) with Additional Therapy for Superficial
Esophageal Cancer with Submucosal Invasion.
AB - OBJECTIVE: The standard treatment for submucosal esophageal cancer is
esophagectomy or chemoradiotherapy (CRT). However, these treatment modalities
could deteriorate the general condition and quality of life of the patients who
are intolerant to invasive therapy. It is therefore important and beneficial to
develop less invasive treatment protocols for these patients. METHODS: The study
included 43 patients who were clinically suspected of mucosa or submucosal
esophageal cancer but underwent endoscopic submucosal dissection (ESD) as a
primary treatment, due to the patients' poor performance statuses and/or
preferences for less invasive therapy. According to the pathological findings and
patient's general condition, whether the patient underwent additional treatments
or remained hospitalized without additional treatments was thereafter decided for
each patient. We retrospectively analyzed the outcomes of these patients.
RESULTS: Fifteen patients underwent additional surgery, 11 patients underwent
CRT/radiation therapy (RT) and 17 patients were followed without additional
treatments. During the 3-year follow-up period, the relapse-free survival rates
in the patients who received or did not receive additional treatments were 88%
and 64%, respectively (95% confidence interval, 0.45-0.76, p=0.04). The relapse
free and overall survival rates in the patients with additional treatments were
equivalent or superior to those described in previous reports of the standard
treatments. Preceding ESD contributed to reduce the local relapse significantly
to approximately 3.5% and additional CRT-related toxicities. CONCLUSION:
Preceding ESD is very effective for the local control of cancer, and useful for
histologically confirming the high-risk factors of relapse, such as >=submucosal
layer 2 (SM2) invasion and lymphovascular involvements. ESD with additional
therapy may be a promising strategy for optimizing the selection of therapy
depending on the patient's general condition.
PMID- 26567993
TI - Serum Oxidative/anti-oxidative Stress Balance Is Dysregulated in Potentially
Pulmonary Hypertensive Patients with Liver Cirrhosis: A Case Control Study.
AB - OBJECTIVE: Hepatopulmonary syndrome (HPS) is characterized by vascular dilatation
and hyperdynamic circulation, while portopulmonary hypertension (POPH) is
characterized by vasoconstriction with fibrous obliteration of the vascular bed.
Vasoactive molecules such as nitric oxide (NO) are candidate factors for
cirrhotic complications associated with these diseases. However, oxidative stress
balance is not well characterized in HPS and POPH. The present objective is to
investigate the oxidative stress and anti-oxidative stress balance and NO pathway
balance in patients with potential HPS and POPH. METHODS: We recruited patients
with decompensated cirrhosis (n=69) admitted to our hospital as liver
transplantation candidates. Patients exhibiting partial pressure of oxygen lower
than 80 mmHg and alveolar-arterial oxygen gradient (AaDO2) >=15 mmHg were
categorized as potentially having HPS (23 of 69 patients). Patients exhibiting a
tricuspid regurgitation pressure gradient >=25 mmHg were categorized as
potentially having POPH (29 of 61 patients). Serum reactive oxygen metabolites
were measured and anti-oxidative OXY-adsorbent test (OXY) were performed, and the
balance of these tests was defined as the oxidative index. The correlation
between these values and the clinical characteristics of the patients were
assessed in a cross-sectional study. RESULTS: Potential HPS patients exhibited no
correlation with oxidative stress markers. Potential POPH patients exhibited
lower OXY (p=0.037) and higher oxidative index values (p=0.001). Additionally,
the vascular NO synthase enzyme inhibiting protein, asymmetric dimethylarginine,
was higher in potential POPH patients (p=0.049). The potential POPH patients
exhibited elevated AaDO2, suggesting the presence of pulmonary shunting.
CONCLUSION: Potential POPH patients exhibited elevated oxidative stress with
decreased anti-oxidative function accompanied by inhibited NO production. Anti
oxidants represent a candidate treatment for potential POPH patients.
PMID- 26567994
TI - Prevalence and Associated Factors of Uraemic Pruritus in Continuous Ambulatory
Peritoneal Dialysis Patients.
AB - OBJECTIVE: Uraemic pruritus is a distressing symptom that has a negative impact
on the quality of life for dialysis patients. The pathophysiology of pruritus in
peritoneal dialysis (PD) patients is still poorly understood. The present study
aims to investigate the prevalence and related risk factors of pruritus in
continuous ambulatory peritoneal dialysis (CAPD) patients. METHODS: In total, 362
CAPD cases were investigated from January 2012 to April 2013. Pruritus was
assessed by visual analogue scale. RESULTS: The prevalence of severe pruritus and
mild to moderate pruritus was 12.7% and 52.5%, respectively. The patients with
severe pruritus had the longest duration of PD (p<0.001), Pittsburgh Sleep
Quality Index (PSQI) score (p<0.001), Beck Depression Inventory (BDI) score
(p=0.003), intact parathyroid hormone (iPTH) level (p=0.009), and the lowest
Medical Outcomes Short Form 36 Health Survey, Physical Component Score (SF-36
PCS) (p<0.001) among the three groups. The patients with mild to moderate
pruritus had a significantly higher iPTH level (p=0.004) compared with the
patients without pruritus. A multivariate logistic regression for pruritus showed
that higher PSQI score [odds ratio (OR)=1.305, p=0.001], higher BDI score
(OR=1.429, p=0.002), longer vintage (OR=1.039, p=0.004), and higher iPTH level
(OR=1.317, p=0.014) were independently associated with pruritus. CONCLUSION: The
prevalence of uraemic pruritus was 65.2% in CAPD patients. Sleep disorder,
depression, longer vintage, and a higher iPTH level were independent associated
factors for pruritus in CAPD patients.
PMID- 26567995
TI - Composite Physiologic Index, Percent Forced Vital Capacity and Percent Diffusing
Capacity for Carbon Monoxide Could Be Predictors of Pirfenidone Tolerability in
Patients with Idiopathic Pulmonary Fibrosis.
AB - OBJECTIVE: The goals of this study were to assess the efficacy and tolerability
of pirfenidone in patients with idiopathic pulmonary fibrosis (IPF) and to
identify predictors of tolerability to pirfenidone. METHODS: We conducted a
retrospective observational study. When the patient showed deterioration in the
percent forced vital capacity (%FVC) or experienced acute exacerbations or severe
adverse events, treatment of the patient with pirfenidone was discontinued. We
classified the patients who did not display progression following six months of
pirfenidone treatment as the tolerant group and the patients who did display
progression as the intolerant group. We retrospectively analyzed differences
between the two groups in terms of baseline characteristics. The efficacy of
pirfenidone was evaluated by the changes in vital capacity (VC) and %FVC before
and after the start of treatment in the tolerant group. Patients A total of 20
patients who had been diagnosed with IPF were treated with pirfenidone. RESULTS:
In the tolerant group, the baseline %FVC (p=0.01) and the percentage diffusing
capacity of the lungs for carbon monoxide (DLCO, p=0.02) were significantly
higher, and the baseline composite physiologic index (CPI) was significantly
lower (p=0.009) than in the intolerant group. In the tolerant group, pirfenidone
significantly reduced the decline in VC and %FVC of the patients after treatment.
In the intolerant group, five patients discontinued pirfenidone treatment because
of anorexia. CONCLUSION: We found that pirfenidone was better tolerated in
patients with milder disease symptoms, as indicated by their baseline CPI, %FVC
and %DLCO, and that patients in the tolerant group could benefit from the use of
pirfenidone.
PMID- 26567996
TI - Utility of a Sputum Antigen Detection Test in Pneumococcal Pneumonia and Lower
Respiratory Infectious Disease in Adults.
AB - OBJECTIVE: To compare the utility of Gram staining, a urinary antigen detection
kit and a sputum antigen detection kit were examined for the rapid and early
detection of pneumococcal pneumonia and lower respiratory infectious diseases.
METHODS: A newly developed sputum pneumococcal antigen detection kit (RAPIRUN),
Gram staining, and urinary antigen detection kit (BinaxNOW) were comparatively
evaluated for their ability to detect Streptococcus pneumoniae in patients with
pneumonia or lower respiratory tract infection. Sputum culture results were used
as a standard for comparison. Furthermore, the pneumococcus-positive rates in
culture and rapid tests were compared using polymerase chain reaction (PCR) as a
reference. RESULTS: Of the 169 patients studied, 54 (32.0%) tested positive for
S. pneumoniae in culture. S. pneumoniae detection sensitivities for Gram
staining, RAPIRUN, and BinaxNOW were 75.9%, 90.7%, and 53.7%, respectively; thus,
RAPIRUN had a significantly higher sensitivity than BinaxNOW (p<0.001). For
patients with >=10(5) copies/MUg of pneumococcal surface protein A DNA PCR
analysis, the detection rates of culture, Gram staining, and RAPIRUN were 85.2%,
72.1%, and 82.0%, respectively, however, the detection rate of BinaxNOW was only
47.5%. Comparisons among 45 patients with culture-positive pneumococcal pneumonia
revealed that RAPIRUN had a significantly higher detection rate than BinaxNOW in
the mild cases (p<0.006), regardless of the number of days from onset (p<0.03).
CONCLUSION: RAPIRUN is a rapid testing kit that detects S. pneumoniae in sputum
with a high sensitivity and specificity. It is a particularly more useful
detection kit than BinaxNOW for early and mild community-acquired pneumonia in
pre-treatment patients whose sputum specimens can be obtained.
PMID- 26567997
TI - Multiple Intestinal Ulcers Associated with Primary Epstein-Barr Virus Infection
in a Patient with Rheumatoid Arthritis Undergoing Methotrexate Therapy.
AB - A 47-year-old woman with a 2-year history of rheumatoid arthritis (RA) undergoing
methotrexate treatment developed a perforated ulcer in the ileum for which she
underwent emergency surgery. A histological analysis of the extirpated specimen
presented a possible Epstein-Barr virus (EBV) infection in the ulcerative lesion
without a feature of lymphoproliferative disorder. Interestingly, the patient's
serological tests with a paired serum diagnosed a primary EBV infection. The
present case emphasizes the importance of being aware of severe enteritis as a
possibility for patients with RA, for an accurate diagnosis.
PMID- 26567998
TI - Helicobacter pylori-negative Differentiated Adenocarcinoma of the Stomach.
AB - A 58-year-old Japanese man was diagnosed with differentiated adenocarcinoma of
the stomach. Histological findings of the resected specimen revealed well- to
moderately-differentiated tubular adenocarcinoma (tub1, tub2), 13 mm in diameter,
which invaded into the submucosa (SM1, 300 MUm) and lymphovascular lumen (ly1).
Serum antibody against Helicobacter pylori (Hp) and the (13)C-urea breath test
were negative, and there were no atrophic changes in the tumor-adjacent mucosa.
The immunohistochemical analysis showed that gastric mucin (MUC5AC) was strongly
positive and intestinal mucin (MUC2) was weakly and partially positive. According
to these results, the final diagnosis of Hp-negative well-differentiated early
gastric cancer was made.
PMID- 26567999
TI - Successful Treatment of Early-Diagnosed Primary Phlegmonous Gastritis.
AB - A 64-year-old man presented with epigastralgia and nausea after an acute
exacerbation of chronic pancreatitis. Abdominal computed tomography revealed
remarkable thickening of the gastric wall and intramural hypodense areas.
Esophagogastroduodenoscopy showed a large gastric ulcer surrounded by an
edematous mucosa and mucopus. The results of a culture from a biopsy of the
lesion indicated phlegmonous gastritis. The patient was successfully treated with
an antibiotic without gastrectomy.
PMID- 26568000
TI - Hepatic Angiosarcoma with Dyskeratosis Congenita.
AB - Nail dystrophy, oral leukoplakia and abnormal skin pigmentation are the defining
features of dyskeratosis congenita. Dyskeratosis congenita is a disorder of poor
telomere maintenance and is known to increase the risk of developing multiple
types of malignancy. However, there are few reports of liver tumors arising in
dyskeratosis congenita patients. We herein report the second case of hepatic
angiosarcoma arising from dyskeratosis congenita: a 23-year-old man was
introduced to our hospital due to the detection of multiple tumors in the liver.
A histological analysis showed angiosarcoma that stained positive for antibodies
to both CD31 and blood coagulation factor VIII.
PMID- 26568001
TI - Coil Migration to the Pulmonary Artery during Endovascular Embolization Treatment
in a Patient with Arteriovenous Malformation in the Right Ear.
AB - Coils are typically utilized as one of the most popular embolization agents. Coil
migration to the pulmonary artery rarely occurs and is associated with a high
tendency of severe pulmonary or cardiovascular complications. We herein present a
25-year-old man with arteriovenous malformations in the right ear. Two coils 4-mm
in diameter and 3-mm in length migrated to the pulmonary artery during
embolization. No further damage related to the coil migration was found during a
2-year follow-up period. In addition, the possible mechanisms of coil migration
are discussed.
PMID- 26568002
TI - The Potential Role of Inflammation Associated with Interaction between
Osteopontin and CD44 in a Case of Pulmonary Tumor Thrombotic Microangiopathy
Caused by Breast Cancer.
AB - Pulmonary tumor thrombotic microangiopathy (PTTM) is a rare and fatal cancer
related complication. We herein present a case of PTTM that diagnosed antemortem
by lung scintigraphy and pulmonary microvascular cytology. The patient was
treated with steroid pulse therapy. Although her symptoms temporarily improved,
she died of respiratory failure. An autopsy showed PTTM, and an
immunohistochemical analysis revealed the expression of osteopontin and CD44 in
macrophages that had migrated into the PTTM lesions. These findings suggest that
inflammation associated with the interaction between osteopontin and CD44 may
play an important role in PTTM.
PMID- 26568003
TI - Pathological Findings of the Thyroid Tissue in a Patient with Euthyroid Graves'
Disease.
AB - Euthyroid Graves' disease (EGD) is a rare condition marked by the onset of
thyroid-associated ophthalmopathy (TAO) in the absence of thyroid dysfunction.
The pathogenesis of EGD remains unclear, and a consistent view of the
pathological findings of the thyroid tissue has yet to be determined. We herein
report a case of EGD in a 34-year-old woman with papillary carcinoma treated with
total thyroidectomy. The entire thyroid specimen was investigated, and the
thyroid tissue appeared normal. In this report, we discuss the relationship
between the pathogenesis of TAO and thyroid dysfunction.
PMID- 26568004
TI - Tubulointerstitial Nephritis Caused by Peritubular Capillaritis Accompanied by
Cryoglobulinemia.
AB - A 73-year-old man with fever, renal insufficiency, and purpura was referred to
our hospital to be evaluated for renal insufficiency. Renal biopsy revealed acute
and chronic tubulointerstitial nephritis with no laboratory findings of
sarcoidosis or connective tissue disease. Low C4 levels and elevation of
rheumatoid factors suggested cryoglobulinemia, which was confirmed with
quantitative analysis. CD34 staining of kidney tissue revealed peritubular
capillaritis. Antineutrophil cytoplasmic antibodies were negative. The etiology
of peritubular capillaritis was not clear in our patient; however, it might be
associated with cryoglobulinemia because we cannot find any other diseases that
could have induced the peritubular capillaritis.
PMID- 26568005
TI - Light-Chain Deposition Disease Successfully Treated with Bortezomib in an Elderly
Patient: A Case Report and Review of the Literature.
AB - We herein report the case of an elderly patient with light-chain deposition
disease (LCDD) successfully treated with bortezomib. An 83-year-old woman was
admitted because of nephrotic syndrome. She was diagnosed to have monoclonal
gammopathy of undetermined significance (IgG-kappa type) and LCDD, on the basis
of serum and urinary immunoelectrophoresis and renal biopsy. She responded to a
modified regimen of bortezomib-based chemotherapy with disappearance of
proteinuria without any adverse effects. According to a literature review of 16
cases, including the present case, bortezomib-based chemotherapy appears to be a
convincing strategy for the treatment of LCDD even in elderly patients.
PMID- 26568006
TI - Decreased Renal Expression of H(+)-ATPase and Pendrin in a Patient with Distal
Renal Tubular Acidosis Associated with Sjogren's Syndrome.
AB - A 31-year-old woman with no significant past medical or family history was
admitted with complaints of general weakness. Laboratory tests revealed: serum
potassium 3.0 mEq/L, arterial blood pH 7.28, serum bicarbonate 17.8 mEq/L and
urinary pH 7.0. Double-labeling confocal fluorescence microscopy using H(+)
ATPase and pendrin antibodies demonstrated a decreased expression of these
proteins in the patient's renal collecting duct compared to normal controls. Anti
Sjogren's-syndrome-related antigen A (Anti-Ro/SS-A) and anti-Sjogren's syndrome
type B (anti-La/SS-B) antibodies were strongly positive with very high titers,
consistent with Sjogren's syndrome. We present a case of distal renal tubular
acidosis-associated Sjogren's syndrome with a defect in H(+)-ATPase and pendrin
in the renal collecting duct.
PMID- 26568007
TI - Anaplastic Lymphoma Kinase (ALK) Rearrangement-positive Lung Cancer with
Transformation to Pleomorphic Carcinoma.
AB - A 55-year-old woman was diagnosed with a tyrosine kinase inhibitor of anaplastic
lymphoma kinase (ALK) rearrangement-positive lung adenocarcinoma and treated with
chemotherapy consisting of crizotinib, a tyrosine kinase inhibitor of ALK, as
second-line chemotherapy. However, the size of the metastatic adrenal lesion
increased, and the patient died due to multiple organ failure. An autopsy report
revealed that the metastatic lesion of the adrenal tumor was ALK rearrangement
positive pleomorphic carcinoma. The epithelial-mesenchymal transition (EMT)
marker vimentin was immunohistochemically positive in both the lung and adrenal
lesions. The present case report suggests the possibility of transformation into
pleomorphic carcinoma as a result of EMT in patients with ALK rearrangement
positive lung cancer.
PMID- 26568008
TI - Nanoparticle Albumin-bound Paclitaxel+Carboplatin Therapy for Small Cell Lung
Cancer Combined with Squamous Cell Carcinoma and Interstitial Lung Disease.
AB - It has recently been shown that nanoparticle albumin-bound paclitaxel (nab
PAC)+carboplatin (CBDCA) provides a favorable overall response rate in non-small
cell lung cancer. This is the first case report of nab-PAC+CBDCA therapy in small
cell lung cancer (SCLC). Our patient was a 72-year-old man with stage IV SCLC
combined with squamous cell carcinoma and interstitial lung disease (ILD). We
administered nab-PAC+CBDCA as a second-line chemotherapy. A partial response was
evident after two cycles of chemotherapy, and no serious side effects occurred.
The progression-free survival was 15 weeks. Second-line chemotherapy using nab
PAC+CBDCA was effective and well tolerated in an SCLC patient with ILD.
PMID- 26568009
TI - An Autopsy Case Report of Malignant Pleural Mesothelioma with Deciduoid Features.
AB - Deciduoid mesothelioma is a rare variant of epithelioid mesothelioma. We
experienced the case of a 73-year-old man with asbestos exposure who was
diagnosed with malignant pleural mesothelioma with deciduoid features. He
received chemotherapy containing six cycles of cisplatin and pemetrexed and
survived for twenty-five months after the diagnosis. At autopsy, the final
diagnosis was biphasic pleural mesothelioma. Cells with deciduoid features had
mostly disappeared, and spindle cells markedly proliferated. To the best of our
knowledge, this is the first autopsy case of malignant pleural mesothelioma with
deciduoid features that exhibited a response to chemotherapy.
PMID- 26568010
TI - Giant Septic Lymphadenitis with Marked Gas Formation Caused by Bacteroides
fragilis in a Patient with Adult T-cell Leukemia/lymphoma.
AB - Adult T-cell leukemia/lymphoma (ATL) sometimes causes opportunistic infections. A
53-year-old woman with systemic lymphadenopathies was diagnosed with ATL by
inguinal lymph node biopsies and underwent oral chemotherapy. Two months later,
high grade fever, lower abdominal pain and lymphadenopathy recurred. Computed
tomography revealed the presence of lymphadenopathy with marked gas formation in
the pelvic lesion. Blood cultures were suggestive of septic lymphadenitis by
Bacteroides fragilis (BF). This represents the first demonstration of giant
lymphadenitis with gas formation caused by BF in a patient with ATL. Notably,
septic lymphadenitis is pivotal in the differential diagnosis of systemic
lymphadenopathy in ATL.
PMID- 26568011
TI - Atypical Subacute Recurrence of Catastrophic Antiphospholipid Syndrome in a
Japanese Female Patient.
AB - Catastrophic antiphospholipid syndrome (CAPS) survivors rarely relapse. We herein
report a case of a second CAPS episode with an unusual subacute course and no
microangiopathic hemolytic anemia (MAHA), a common CAPS symptom. During the first
episode, the 69-year-old woman responded well to high-dose glucocorticoids and
plasma exchange. On relapse, these treatments plus rituximab were ineffective and
she died of multi-organ failure and bacterial cholangitis. The absence of MAHA
and a subacute course do not exclude a CAPS recurrence.
PMID- 26568012
TI - Successful Management of Lupus Nephritis with High Titers of Myeloperoxidase Anti
Neutrophil Cytoplasmic Antibodies Using Tacrolimus.
AB - A 63-year-old Japanese woman with a 30-year history of systemic lupus
erythematosus developed macrohematuria and massive proteinuria after
seroconversion of myeloperoxidase anti-neutrophil cytoplasmic antibodies (MPO
ANCA). A renal biopsy indicated focal proliferative lupus nephritis (class III
A/C) with a fibrous crescent formation. Methylprednisolone pulse therapy (500 mg,
3 successive days) was administered because of progressive proteinuria. Steroid
therapy did not suppress the progressive proteinuria; therefore, tacrolimus was
added as an alternative immunosuppressive therapy, resulting in the improvement
of proteinuria and renal impairment. This case report suggests that MPO-ANCA
might play a pathogenic role in the exacerbation of immune-complex-type lupus
nephritis.
PMID- 26568013
TI - A Delayed Cerebral Vasospasm with Infarction Is Secondary to Listeria
monocytogenes Meningitis: MRI and MRA Are Diagnostically Useful.
AB - Among patients with bacterial meningitis, a cerebral vasospasm typically occurs
during the acute phase. We experienced a case of delayed cerebral vasospasm with
infarction that was secondary to Listeria monocytogenes meningitis. An 82-year
old woman with Listeria monocytogenes meningitis, whose symptoms had been
improving after the initiation of antibacterial therapy, fell into a coma on day
15 and developed generalized seizure. Magnetic resonance imaging (MRI) and MR
angiography (MRA) indicated a cerebral vasospasm with multiple infarctions. The
risk of vascular complications following acute bacterial meningitis requires
close follow-up to identify neurological changes and a low threshold for vascular
evaluation. In such cases, MRI and MRA have diagnostic utility.
PMID- 26568014
TI - Klebsiella oxytoca-producing IMP-1 Detected as the First Strain of Carbapenem
resistant Enterobacteriaceae in Our Hospital.
AB - We herein report a case of Klebsiella oxytoca-producing IMP-1 that was detected
as a first isolate of carbapenem-resistant Enterobacteriaceae (CRE) at our
facility. Since K. oxytoca is an uncommon strain for CRE, we speculated that the
resistant organism had already spread out inside the hospital. Metallo-beta
lactamases promotes antibiotic resistance in Enterobacteriaceae, which
potentially yields problematic issues in clinical settings. Active surveillance
of antibiotic resistant strains is important and should be repeatedly
highlighted. Furthermore, appropriate methods should be established to detect
highly resistant strains.
PMID- 26568015
TI - Acute Respiratory Distress Syndrome Manifested by Leptospirosis Successfully
Teated by Extracorporeal Membrane Oxygenation (ECMO).
AB - Leptospirosis is recognized as a zoonotic disease that is emerging worldwide.
Severe manifestations are associated with high morbidity and mortality rates and
may therefore pose an important risk to public health, especially in certain high
prevalence areas like Taiwan. The severe pulmonary form of leptospirosis is a
lesser known entity and is characterized by intra-alveolar hemorrhage and can
lead to acute respiratory failure with resistant hypoxemia, which leads to high
mortality rates despite maximally invasive mechanical ventilation and adequate
treatment. We herein present a case of severe leptospirosis complicated by
massive pulmonary hemorrhage, which was successfully managed by extra corporeal
membrane oxygenation.
PMID- 26568016
TI - A Rare Cause of Hepatic Portal Venous Gas with Gastric Emphysema.
PMID- 26568017
TI - Improvement of IgG4-related Disease with a Supplemental Steroid.
PMID- 26568018
TI - PET Superscan Caused by Lung Cancer.
PMID- 26568019
TI - The "Sandwich Sign" in Mesenteric Lymphoma.
PMID- 26568020
TI - Low Hypodiploid Acute Lymphoblastic Leukemia with Burkitt-like Morphology.
PMID- 26568021
TI - Pseudorheumatoid Arthritis Caused by Calcium Pyrophosphate Dihydrate Deposition.
PMID- 26568022
TI - Collagenous Colitis Associated with Protein-losing Enteropathy.
PMID- 26568023
TI - Targeting fibroblast growth factor receptor (FGFR) pathway in renal cell
carcinoma.
AB - Fibroblast growth factor receptor (FGFR) pathway is involved in driving vascular
endothelial growth factor (VEGF)-independent tumor angiogenesis, as a
compensatory mechanism to escape VEGF-targeted therapies. Therefore, targeting
FGF/FGFR axis seems to be a promising strategy in order to inhibit tumor
angiogenesis and reduce resistance to VEGF receptor-tyrosine kinase inhibitors.
This editorial is focused on the role of FGF/FGFR pathway in renal cell carcinoma
and on the ongoing trials of emerging agents targeting this axis.
PMID- 26568024
TI - The coastal ocean response to the global warming acceleration and hiatus.
AB - Coastlines are fundamental to humans for habitation, commerce, and natural
resources. Many coastal ecosystem disasters, caused by extreme sea surface
temperature (SST), were reported when the global climate shifted from global
warming to global surface warming hiatus after 1998. The task of understanding
the coastal SST variations within the global context is an urgent matter. Our
study on the global coastal SST from 1982 to 2013 revealed a significant cooling
trend in the low and mid latitudes (31.4% of the global coastlines) after 1998,
while 17.9% of the global coastlines changed from a cooling trend to a warming
trend concurrently. The trend reversals in the Northern Pacific and Atlantic
coincided with the phase shift of Pacific Decadal Oscillation and North Atlantic
Oscillation, respectively. These coastal SST changes are larger than the changes
of the global mean and open ocean, resulting in a fast increase of extremely
hot/cold days, and thus extremely hot/cold events. Meanwhile, a continuous
increase of SST was detected for a considerable portion of coastlines (46.7%)
with a strengthened warming along the coastlines in the high northern latitudes.
This suggests the warming still continued and strengthened in some regions after
1998, but with a weaker pattern in the low and mid latitudes.
PMID- 26568026
TI - Large Exciton Energy Shifts by Reversible Surface Exchange in 2D II-VI
Nanocrystals.
AB - Reaction of n-octylamine-passivated {CdSe[n-octylamine](0.53+/-0.06)} quantum
belts with anhydrous metal carboxylates M(oleate)2 (M = Cd, Zn) results in a
rapid exchange of the L-type amine passivation for Z-type M(oleate)2 passivation.
The cadmium-carboxylate derivative is determined to have the composition
{CdSe[Cd(oleate)2](0.19+/-0.02)}. The morphologies and crystal structures of the
quantum belts are largely unaffected by the exchange processes. Addition of n
octylamine or oleylamine to the M(oleate)2-passivated quantum belts removes
M(oleate)2 and restores the L-type amine passivation. Analogous, reversible
surface exchanges are also demonstrated for CdS quantum platelets. The absorption
and emission spectra of the quantum belts and platelets are reversibly shifted to
lower energy by M(oleate)2 passivation vs amine passivation. The largest shift of
140 meV is observed for the Cd(oleate)2-passivated CdSe quantum belts. These
shifts are attributed entirely to changes in the strain states in the Zn(oleate)2
passivated nanocrystals, whereas changes in strain states and confinement
dimensions contribute roughly equally to the shifts in the Cd(oleate)2-passivated
nanocrystals. Addition of Cd(oleate)2, which electronically couples to the
nanocrystal lattices, increases the effective thickness of the belts and
platelets by approximately a half of a monolayer, thus increasing the confinement
dimension.
PMID- 26568025
TI - Policy Measures and Reimbursement for Emergency Medical Imaging in the Era of
Payment Reform: Proceedings From a Panel Discussion of the 2015 Academic
Emergency Medicine Consensus Conference.
AB - The Affordable Care Act (ACA) of 2010 is expanding the use of quality measurement
and promulgating new payment models that place downward pressure on health care
utilization and costs. As emergency department (ED) computed tomography
utilization has tripled in the past decade, stakeholders have identified advanced
imaging as an area where quality and efficiency measures should expand. On May
12, 2015, Academic Emergency Medicine convened a consensus conference titled
"Diagnostic Imaging in the Emergency Department: A Research Agenda to Optimize
Utilization." As part of the conference, a panel of health care policy leaders
and emergency physicians discussed the effect of the ACA and other quality
programs on ED diagnostic imaging, specifically the way that quality metrics may
affect ED care and how ED diagnostic imaging fits in the broader strategy of the
U.S. government. This article discusses the content of the panel's presentations.
PMID- 26568027
TI - Adaptation and Validation of a Nutrition Environment Measures Survey for
University Grab-and-Go Establishments.
AB - PURPOSE: To adapt and validate a survey instrument to assess the nutrition
environment of grab-and-go establishments at a university campus. METHODS: A
version of the Nutrition Environment Measures Survey for grab-and-go
establishments (NEMS-GG) was adapted from existing NEMS instruments and tested
for reliability and validity through a cross-sectional assessment of the grab-and
go establishments at the University of Toronto. Product availability, price, and
presence of nutrition information were evaluated. Cohen's kappa coefficient and
intra-class correlation coefficients (ICC) were assessed for inter-rater
reliability, and construct validity was assessed using the known-groups
comparison method (via store scores). RESULTS: Fifteen grab-and-go establishments
were assessed. Inter-rater reliability was high with an almost perfect agreement
for availability (mean kappa = 0.995) and store scores (ICC = 0.999). The tool
demonstrated good face and construct validity. About half of the venues carried
fruit and vegetables (46.7% and 53.3%, respectively). Regular and healthier
entree items were generally the same price. Healthier grains were cheaper than
regular options. Six establishments displayed nutrition information.
Establishments operated by the university's Food Services consistently scored the
highest across all food premise types for nutrition signage, availability, and
cost of healthier options. CONCLUSIONS: Health promotion strategies are needed to
address availability and variety of healthier grab-and-go options in university
settings.
PMID- 26568028
TI - Respiratory inflammation and infections in high-performance athletes.
AB - Upper respiratory illness is the most common reason for non-injury-related
presentation to a sports medicine clinic, accounting for 35-65% of illness
presentations. Recurrent or persistent respiratory illness can have a negative
impact on health and performance of athletes undertaking high levels of strenuous
exercise. The cause of upper respiratory symptoms (URS) in athletes can be
uncertain but the majority of cases are related to common respiratory viruses,
viral reactivation, allergic responses to aeroallergens and exercise-related
trauma to the integrity of respiratory epithelial membranes. Bacterial
respiratory infections are uncommon in athletes. Undiagnosed or inappropriately
treated asthma and/or allergy are common findings in clinical assessments of
elite athletes experiencing recurrent URS. High-performance athletes with
recurrent episodes of URS should undergo a thorough clinical assessment to
exclude underlying treatable conditions of respiratory inflammation. Identifying
athletes at risk of recurrent URS is important in order to prescribe preventative
clinical, training and lifestyle strategies. Monitoring secretion rates and
falling concentrations of salivary IgA can identify athletes at risk of URS.
Therapeutic interventions are limited by the uncertainty of the underlying cause
of inflammation. Topical anti-inflammatory sprays can be beneficial for some
athletes. Dietary supplementation with bovine colostrum, probiotics and selected
antioxidants can reduce the incidence or severity of URS in some athletes.
Preliminary studies on athletes prone to URS indicate a genetic predisposition to
a pro-inflammatory response and a dysregulated anti-inflammatory cytokine
response to intense exercise as a possible mechanism of respiratory inflammation.
This review focuses on respiratory infections and inflammation in
elite/professional athletes.
PMID- 26568030
TI - Cannabis use and transition to psychosis in individuals at ultra-high risk:
review and meta-analysis.
AB - BACKGROUND: Previous research has established the relationship between cannabis
use and psychotic disorders. Whether cannabis use is related to transition to
psychosis in patients at ultra-high risk (UHR) for psychosis remains unclear. The
present study aimed to review the existing evidence on the association between
cannabis use and transition to psychosis in UHR samples. METHOD: A search of
PsychInfo, Embase and Medline was conducted from 1996 to August 2015. The search
yielded 5559 potentially relevant articles that were selected on title and
abstract. Subsequently 36 articles were screened on full text for eligibility.
Two random-effects meta-analyses were performed. First, we compared transition
rates to psychosis of UHR individuals with lifetime cannabis use with non
cannabis-using UHR individuals. Second, we compared transition rates of UHR
individuals with a current DSM-IV cannabis abuse or dependence diagnosis with
lifetime users and non-using UHR individuals. RESULTS: We found seven prospective
studies reporting on lifetime cannabis use in UHR subjects (n = 1171). Of these
studies, five also examined current cannabis abuse or dependence. Lifetime
cannabis use was not significantly associated with transition to psychosis [odds
ratio (OR) 1.14, 95% confidence interval (CI) 0.856-1.524, p = 0.37]. A second
meta-analysis yielded an OR of 1.75 (95% CI 1.135-2.710, p = 0.01), indicating a
significant association between current cannabis abuse or dependence and
transition to psychosis. CONCLUSIONS: Our results show that cannabis use was only
predictive of transition to psychosis in those who met criteria for cannabis
abuse or dependence, tentatively suggesting a dose-response relationship between
current cannabis use and transition to psychosis.
PMID- 26568029
TI - Exercise and type 2 diabetes: focus on metabolism and inflammation.
AB - Type 2 diabetes mellitus (T2DM) is associated with metabolic dysregulation and
chronic inflammation, and regular exercise may provide a strong stimulus for
improving both. In this review, we first discuss the link between inflammation
and metabolism. Next, we give an update on the clinical metabolic effects of
exercise in T2DM patients with special focus on which parameters to consider for
optimizing metabolic improvements. We then discuss the mechanisms whereby
exercise exerts its anti-inflammatory and related metabolic effects. Evidence
exists that interleukin (IL)-1beta is involved in pancreatic beta-cell damage,
whereas tumor necrosis factor (TNF)-alpha appears to be a key molecule in
peripheral insulin resistance. Mechanistic studies in humans suggest that
moderate acute elevations in IL-6, as provoked by exercise, exert direct anti
inflammatory effects by an inhibition of TNF-alpha and by stimulating IL-1ra (IL
1 receptor antagonist), thereby limiting IL-1beta signaling. In addition, IL-6
has direct impact on glucose and lipid metabolism. Moreover, indirect anti
inflammatory effects of exercise may be mediated via improvements in, for
example, body composition. While waiting for the outcome of long-term randomized
clinical training studies with hard end points, it should be emphasized that
physical activity represents a natural strong anti-inflammatory and metabolism
improving strategy with minor side effects.
PMID- 26568031
TI - Phenotypic Screening Identifies Protein Synthesis Inhibitors as H-Ras-Nanocluster
Increasing Tumor Growth Inducers.
AB - Ras isoforms H-, N-, and K-ras are each mutated in specific cancer types at
varying frequencies and have different activities in cell fate control. On the
plasma membrane, Ras proteins are laterally segregated into isoform-specific
nanoscale signaling hubs, termed nanoclusters. As Ras nanoclusters are required
for Ras signaling, chemical modulators of nanoclusters represent ideal candidates
for the specific modulation of Ras activity in cancer drug development. We
therefore conducted a chemical screen with commercial and in-house natural
product libraries using a cell-based H-ras-nanoclustering FRET assay. Next to
established Ras inhibitors, such as a statin and farnesyl-transferase inhibitor,
we surprisingly identified five protein synthesis inhibitors as positive
regulators. Using commonly employed cycloheximide as a representative compound,
we show that protein synthesis inhibition increased nanoclustering and effector
recruitment specifically of active H-ras but not of K-ras. Consistent with these
data, cycloheximide treatment activated both Erk and Akt kinases and specifically
promoted H-rasG12V-induced, but not K-rasG12V-induced, PC12 cell differentiation.
Intriguingly, cycloheximide increased the number of mammospheres, which are
enriched for cancer stem cells. Depletion of H-ras in combination with
cycloheximide significantly reduced mammosphere formation, suggesting an
exquisite synthetic lethality. The potential of cycloheximide to promote tumor
cell growth was also reflected in its ability to increase breast cancer cell
tumors grown in ovo. These results illustrate the possibility of identifying Ras
isoform-specific modulators using nanocluster-directed screening. They also
suggest an unexpected feedback from protein synthesis inhibition to Ras
signaling, which might present a vulnerability in certain tumor cell types.
PMID- 26568033
TI - Effects of boryl, phosphino, and phosphonio substituents on optical,
electrochemical, and photophysical properties of 2,5-dithienylphospholes and 2
phenyl-5-thienylphospholes.
AB - The optical, electrochemical, and photophysical properties of Mes2B- (Mes = 2,4,6
trimethylphenyl), Ph2P-, or Ph2MeP(+)-substituted 2,5-dithienylphospholes and 2
phenyl-5-thienylphospholes are reported. The Mes2B- and Ph2P-substituted
derivatives were prepared via regioselective lithiation and metathesis at the
thiophene rings of the corresponding unsubstituted pi-systems. The Ph2MeP(+)
substituted derivatives (phosphonium salts) were obtained via methylation of the
Ph2P-substituted pi-systems. The optical and electrochemical data and density
functional theory calculations showed that the highest occupied molecular orbital
and lowest unoccupied molecular orbital energies of these alpha,alpha'-linked
thiophene-phosphole pi-systems were sensitive to the intrinsic nature of the B
and P substituents. The fluorescence quantum yields (Phif) of the Ph2MeP(+)
substituted derivatives varied considerably depending on the counter anion,
concentration, and solvent, but the pi-pi* transition energies were unchanged.
The emitting ability of the Ph2MeP(+)-substituted 2,5-dithienylphosphole pi
system changed significantly from Phif = 0.07 to 0.87. These findings were
investigated using time-resolved fluorescence spectroscopy and fluorescence
titration measurements. The results show that phosphonium iodides were present in
the equilibrium mixtures of three or two emitting ion pairs in CH2Cl2. The light
emitting abilities of the Ph2MeP(+)-substituted derivatives rely on heavy atom
effects derived from the counter halide anions.
PMID- 26568032
TI - Phase II study of tosedostat with cytarabine or decitabine in newly diagnosed
older patients with acute myeloid leukaemia or high-risk MDS.
AB - Tosedostat, an oral aminopeptidase inhibitor, has synergy with cytarabine and
hypomethylating agents. We performed a Phase II trial to determine rates of
complete remission (CR) and survival using tosedostat with cytarabine or
decitabine in older patients with untreated acute myeloid leukaemia (AML) or high
risk myelodysplastic syndrome (MDS). Thirty-four patients >=60 years old (median
age 70 years; range, 60-83) were randomized to receive tosedostat (120 mg on days
1-21 or 180 mg continuously) with 5 d of either cytarabine (1 g/m2 /d) or
decitabine (20 mg/m2 /d) every 35 d. Twenty-nine patients (85%) had AML,
including 15 (44%) with secondary AML/MDS, and 5 (15%) had MDS-refractory anaemia
with excess blasts type 2. The CR/CR with incomplete count recovery (CRi) rate
was 53% [9 in each arm; 14 CR (41%) and 4 CRi (12%)], attained in 6 of 14
patients with adverse cytogenetics and 4 of 7 with FLT3-internal tandem
duplication mutations. Median follow-up was 11.2 months (range, 0.5-22.3), and
median survival was 11.5 months (95% confidence interval, 5.2-16.7). Twenty-three
patients (67.6%) were treated as outpatients and 10 of these patients required
hospitalization for febrile neutropenia. No Grade 3-4 non-haematological
toxicities required withdrawal from study. Tosedostat with cytarabine or
decitabine is tolerated in older patients with untreated AML/MDS, results in a
CR/CRi rate of >50%, and warrants further study in larger trials.
PMID- 26568035
TI - Anomalous twisting strength of tilt grain boundaries in armchair graphene
nanoribbons.
AB - The twisting response of armchair graphene nanoribbons with tilt grain boundaries
is theoretically and numerically investigated. It is found that the critical
instability twist rate of graphene nanoribbons with grain boundaries is generally
about 10% higher than that of common armchair graphene nanoribbons when the width
of nanoribbons is less than 4.0 nm. Our analytical analysis indicates that the
strengthening effect is resulted from the rotation of the compressed direction,
deflection of grain boundaries, and the reflexing of the creased angle in
nanoribbons: the rotation of the compressed direction induced by grain boundaries
improves the buckling strength of nanoribbons due to the chirality-dependent
buckling in graphene; the deflection of grain boundaries leads to a nonzero
strain in the axle wire of nanoribbons, which eventually decreases the compressed
stress; grain boundaries induce a spontaneous creased angle in nanoribbons, which
is reflexed under twist loading and impedes the propagation of instability in
nanoribbons. Furthermore, we found and demonstrated that grain boundaries changed
the transport properties of twisted graphene nanoribbons. It is expected that our
findings would improve the fundamental understanding of the strain-engineering of
graphene nanoribbons used in nanodevices.
PMID- 26568034
TI - Marginalized zero-inflated negative binomial regression with application to
dental caries.
AB - The zero-inflated negative binomial regression model (ZINB) is often employed in
diverse fields such as dentistry, health care utilization, highway safety, and
medicine to examine relationships between exposures of interest and overdispersed
count outcomes exhibiting many zeros. The regression coefficients of ZINB have
latent class interpretations for a susceptible subpopulation at risk for the
disease/condition under study with counts generated from a negative binomial
distribution and for a non-susceptible subpopulation that provides only zero
counts. The ZINB parameters, however, are not well-suited for estimating overall
exposure effects, specifically, in quantifying the effect of an explanatory
variable in the overall mixture population. In this paper, a marginalized zero
inflated negative binomial regression (MZINB) model for independent responses is
proposed to model the population marginal mean count directly, providing
straightforward inference for overall exposure effects based on maximum
likelihood estimation. Through simulation studies, the finite sample performance
of MZINB is compared with marginalized zero-inflated Poisson, Poisson, and
negative binomial regression. The MZINB model is applied in the evaluation of a
school-based fluoride mouthrinse program on dental caries in 677 children.
PMID- 26568036
TI - Towards an understanding of the biology and targeted treatment of paediatric
relapsed acute lymphoblastic leukaemia.
AB - Acute lymphoblastic leukaemia is the most common childhood cancer and for those
children who relapse, prognosis is poor and new therapeutic strategies are
needed. Recurrent pathways implicated in relapse include RAS, JAK STAT, cell
cycle, epigenetic regulation, B cell development, glucocorticoid response,
nucleotide metabolism and DNA repair. Targeting these pathways is a rational
therapeutic strategy and may deliver novel, targeted therapies into the clinic.
Relapse often stems from a minor clone present at diagnosis and thus analysis of
persisting leukaemia during upfront therapy may allow targeted drug intervention
to prevent relapse.
PMID- 26568037
TI - A novel approach for managing the growing demand for ambulance services by low
acuity patients.
AB - Objective The aim of the present study was to describe the Ambulance Victoria
(AV) secondary telephone triage service, called the Referral Service (RS), for
low-priority patients calling triple zero. This service provides alternatives to
ambulance dispatch, such as doctor or nurse home visits. Methods A descriptive
epidemiological review of all the cases managed between 2009 and 2012 was
conducted, using data from AV case records, the Victorian Admitted Episodes
Dataset and the Australian Bureau of Statistics. Cases were reviewed for patient
demographics, condition, final disposition and RS outcome. Results In all, 107148
cases were included in the study, accounting for 10.3% of the total calls for
ambulance attendance. Median patient age was 54 years and 55% were female.
Geographically based socioeconomic status was associated with the rate of calls
to the RS (r=-0.72; 95% confidence interval CI -0.104, -0.049; P<0.001).
Abdominal pain and back symptoms were the most common patient problems. Although
68% of patients were referred to the emergency department, only 27.6% of the
total cases were by emergency ambulance; the remainder were diverted to non
emergency ambulance or the patient's own private transport. The remaining 32% of
cases were referred to alternative service providers or given home care advice.
Conclusions This paper describes the use of an ongoing secondary triage service,
providing an effective strategy for managing emergency ambulance demand. What is
known about the topic? Some calls to emergency services telephone numbers for
ambulance assistance consist of cases deemed to be low-acuity that could
potentially be better managed in the primary care setting. The demand on
ambulance resources is increasing each year. Secondary telephone triage systems
have been trialled in ambulance services in the US and UK with minimal success in
terms of overall impact on ambulance resourcing. What does this paper add? This
study describes a model of secondary telephone triage in the ambulance setting
that has provided an effective way to divert patients to more suitable forms of
health care to meet their needs. What are the implications for practitioners? The
implications for practitioners are vast. Some of the issues that currently face
paramedics include: fatigue because of high workloads; skills decay because of a
lack of exposure to patients requiring intervention with skills the paramedics
have, as well as a lack of time for paramedics to practice these skills during
their downtime; and decreasing job satisfaction linked to both these factors.
Implications for patients include quicker response times because more ambulances
will be available to respond and increased patient safety because of decreased
fatigue and higher skill levels in paramedics.
PMID- 26568038
TI - Nodular cutaneous mucinosis in a hypothyroid dog--a severe form of myxoedema.
PMID- 26568039
TI - High-efficiency exfoliation of layered materials into 2D nanosheets in switchable
CO2/Surfactant/H2O system.
AB - Layered materials present attractive and important properties due to their two
dimensional (2D) structure, allowing potential applications including
electronics, optoelectronics, and catalysis. However, fully exploiting the
outstanding properties will require a method for their efficient exfoliation.
Here we present that a series of layered materials can be successfully exfoliated
into single- and few-layer nanosheets using the driving forces coming from the
phase inversion, i.e., from micelles to reverse micelles in the emulsion
microenvironment built by supercritical carbon dioxide (SC CO2). The effect of
variable experimental parameters including CO2 pressure, ethanol/water ratio, and
initial concentration of bulk materials on the exfoliation yield have been
investigated. Moreover, we demonstrate that the exfoliated 2D nanosheets have
their worthwhile applications, for example, graphene can be used to prepare
conductive paper, MoS2 can be used as fluorescent label to perform cellular
labelling, and BN can effectively reinforce polymers leading to the promising
mechanical properties.
PMID- 26568040
TI - Improving the Quality of Imaging in the Emergency Department.
AB - Imaging is critical for the care of emergency department (ED) patients. However,
much of the imaging performed for acute care today is overutilization, creating
substantial cost without significant benefit. Further, the value of imaging is
not easily defined, as imaging only affects outcomes indirectly, through
interaction with treatment. Improving the quality, including appropriateness, of
emergency imaging requires understanding of how imaging contributes to patient
care. The six-tier efficacy hierarchy of Fryback and Thornbury enables
understanding of the value of imaging on multiple levels, ranging from technical
efficacy to medical decision-making and higher-level patient and societal
outcomes. The imaging efficacy hierarchy also allows definition of imaging
quality through the Institute of Medicine (IOM)'s quality domains of safety,
effectiveness, patient-centeredness, timeliness, efficiency, and equitability and
provides a foundation for quality improvement. In this article, the authors
elucidate the Fryback and Thornbury framework to define the value of imaging in
the ED and to relate emergency imaging to the IOM quality domains.
PMID- 26568041
TI - Revealing the binding modes and the unbinding of 14-3-3sigma proteins and
inhibitors by computational methods.
AB - The 14-3-3sigma proteins are a family of ubiquitous conserved eukaryotic
regulatory molecules involved in the regulation of mitogenic signal transduction,
apoptotic cell death, and cell cycle control. A lot of small-molecule inhibitors
have been identified for 14-3-3 protein-protein interactions (PPIs). In this
work, we carried out molecular dynamics (MD) simulations combined with molecular
mechanics generalized Born surface area (MM-GBSA) method to study the binding
mechanism between a 14-3-3sigma protein and its eight inhibitors. The ranking
order of our calculated binding free energies is in agreement with the
experimental results. We found that the binding free energies are mainly from
interactions between the phosphate group of the inhibitors and the hydrophilic
residues. To improve the binding free energy of Rx group, we designed the
inhibitor R9 with group R9 = 4-hydroxypheny. However, we also found that the
binding free energy of inhibitor R9 is smaller than that of inhibitor R1. By
further using the steer molecular dynamics (SMD) simulations, we identified a new
hydrogen bond between the inhibitor R8 and residue Arg64 in the pulling paths.
The information obtained from this study may be valuable for future rational
design of novel inhibitors, and provide better structural understanding of
inhibitor binding to 14-3-3sigma proteins.
PMID- 26568042
TI - Cyclooxygenase-2-1195G>A Polymorphism and Head and Neck Squamous Cell Carcinoma
Susceptibility: A Meta-Analysis of 1564 Cases and 2346 Controls.
AB - BACKGROUND The aim of this study was to investigate the association between
cyclooxygenase-2 (COX-2) rs689466 (-1195 G>A) polymorphism and susceptibility to
head and neck squamous cell carcinoma (HNSCC) by performing a meta-analysis.
MATERIAL AND METHODS PubMed and Embase were searched for relevant cohort and case
control studies up to 13 March 2015. After data extraction and methodological
quality assessment for eligible studies, the overall, subgroup, sensitivity, and
cumulative meta-analyses were conducted using the Comprehensive Meta-Analysis
software (version 2.2). RESULTS Finally, 5 case-control studies involving 1564
HNSCC patients and 2346 healthy controls were included. For overall population,
the results of 3 genetic models showed significant association, while the other 2
presented negative association [A vs. G: OR=0.97-1.09, 95%CI=0.97-1.09; AA vs.
GG: OR=1.26, 95%CI=1.01-1.57; AA vs. GA: OR=1.21, 95%CI=1.01-1.45); AA vs.
(GG+GA): OR=1.20, 95%CI=1.01-1.43; (AA+GA) vs. GG: OR=0.98, 95%CI=0.84-1.15].
Publication bias was not assessed due to the limited number of included studies.
CONCLUSIONS This meta-analysis indicated that COX-2 rs689466 polymorphism might
be associated with increased susceptibility to HNSCC. We also suggest performing
more relevant studies in order to enlarge the sample size and obtain more precise
results.
PMID- 26568043
TI - Disentangling metabolic pathways involved in copper resistance in Candida
fukuyamaensis RCL-3 indigenous yeast.
AB - Candida fukuyamaensis RCL-3 yeast strain isolated from a copper filter plant is
able to lower copper concentration in culture medium. In the present study,
effect of copper in proteins expression and mechanisms involved in copper
resistance were explored using comparative proteomics. Mono-dimensional gel
electrophoresis revealed differential band expressions between cells grown with
or without copper. 2-DE analysis of C. fukuyamaensis RCL-3 revealed that copper
exposure produced at least an over-expression of 40 proteins. Sixteen proteins
were identified and grouped in four categories according to their functions:
glycolysis and ATP production, synthesis of proteins, oxidative stress response,
and processing and transport of proteins. Integral membrane proteins and membrane
associated proteins were analyzed, showing nine protein bands over-expressed in
Cu-supplemented medium. Four proteins were identified, namely nucleoporin pom152,
elongation factor 2, copper chaperone Sod1 Ccs1, and eiosome component Lsp1. The
proteomic analysis performed allowed the identification of different metabolic
pathways and certain proteins involved in metal input and storage related to cell
ability to bioremediate copper. These proteins and mechanisms could be used for
future applications of C. fukuyamaensis RCL-3 in biotechnological processes such
as remediation of heavy metals.
PMID- 26568044
TI - Phosphorescent Nanocluster Light-Emitting Diodes.
AB - Devices utilizing an entirely new class of earth abundant, inexpensive
phosphorescent emitters based on metal-halide nanoclusters are reported. Light
emitting diodes with tunable performance are demonstrated by varying cation
substitution to these nanoclusters. Theoretical calculations provide insight
about the nature of the phosphorescent emitting states, which involves a strong
pseudo-Jahn-Teller distortion.
PMID- 26568045
TI - Molecular Designs for Enhancement of Polarity in Ferroelectric Soft Materials.
AB - The racemic oxovanadium(IV) salmmen complexes, [VO((rac)-(4-X-salmmen))] (X =
C12C10C5 (1), C16 (2), and C18 (3); salmmen = N,N'-monomethylenebis
salicylideneimine) with "banana shaped" molecular structures were synthesized,
and their ferroelectric properties were investigated. These complexes exhibit
well-defined hysteresis loops in their viscous phases, moreover, 1 also displays
liquid crystal behaviour. We observed a synergetic effect influenced by three
structural aspects; the methyl substituents on the ethylene backbone, the banana
shaped structure and the square pyramidal metal cores all play an important role
in generating the observed ferroelectricity, pointing the way to a useful
strategy for the creation of advanced ferroelectric soft materials.
PMID- 26568046
TI - Stereochemical Studies of the Karlotoxin Class Using NMR Spectroscopy and DP4
Chemical-Shift Analysis: Insights into their Mechanism of Action.
AB - After publication of karlotoxin 2 (KmTx2; 1), the harmful algal bloom
dinoflagellate Karlodinium sp. was collected and scrutinized to identify
additional biologically active complex polyketides. The structure of 1 was
validated and revised at C49 using computational NMR tools including J-based
configurational analysis and chemical-shift calculations. The characterization of
two new compounds [KmTx8 (2) and KmTx9 (3)] was achieved through overlaid 2D HSQC
NMR techniques, while the relative configurations were determined by comparison
to 1 and computational chemical-shift calculations. The detailed evaluation of 2
using the NCI-60 cell lines, NMR binding studies, and an assessment of the
literature supports a mode of action (MoA) for targeting cancer-cell membranes,
especially of cytostatic tumors. This MoA is uniquely different from that of
current agents employed in the control of cancers for which 2 shows sensitivity.
PMID- 26568047
TI - Strong and fast-recovery organic/inorganic hybrid AuNPs-supramolecular gels based
on loofah-like 3D networks.
AB - Super strong and fast-recovery organic/inorganic hybrid gold nanoparticle (AuNPs)
supramolecular gels based on a three-dimensional loofah-like nanoscale network
self-assembled by polyhedral oligomeric silsesquioxane (POSS) core supramolecular
gelators are reported for the first time. Two series of POSS core
organic/inorganic hybrid gelators, POSS-BOC-l-Homophenylalanine (POSS-Hpy) and
POSS-Boc-Cys(Bzl)-OH (POSS-Cys), with two types of peripherals having different
abilities for driving the self-assembly of AuNPs in gels were designed and
synthesized, both of which self-assembled into three-dimensional loofah-like
nanoscale gel networks producing hybrid physical gels with fast-recovery
behaviors. The mechanical properties of the resultant hybrid gels were
dramatically increased by as much as 100 times in the system of sulfur containing
POSS-Cys gelators without destroying the fast-recovery behaviors, with the
addition of AuNPs, which had direct interaction with AuNPs to give S-Au non
covalent driving force to lead AuNPs self-assemble onto the 3D loofah-like
network nanofibres in the supramolecular hybrid gel system. However, in the POSS
Hpy gelator system without sulfur, no strong interaction with AuNPs existed and
the POSS-Hpy nanocomposites showed no clear changes in morphology, thermal
stability or rheological properties, confirmed by scanning electron microscopy
(SEM), transmission electron microscopy (TEM), tube-inversion and rotational
rheometer measurements. This indicated that the organic/inorganic hybrid gelator
POSS-Cys could be applied to the formation of soft materials in which AuNPs were
self-assembled and closely arranged into three-dimensional nanoscale networks.
This hybrid material has great potential for applications in self-recovery, nano-
and micron-scale electronic devices, because it has both a large mechanical
strength and a fast-recovery capability.
PMID- 26568049
TI - The 4th Biennial Congress of ECTA, Eurasian Colorectal Technologies Association,
Moscow, 24-26 May 2015.
PMID- 26568048
TI - Visual detection of serum asialohaptoglobin by plasmonic sandwich ELLSA--a new
platform for cirrhosis diagnosis.
AB - The cirrhotic condition of the liver has long been acknowledged as the preface to
liver cancer. The desialylation status of the serum acute phase protein,
haptoglobin, has been introduced as a new diagnostic analyte for liver cirrhosis.
The reliability of this new diagnostic molecule has been evaluated in 30 liver
cirrhosis patients having a history of earlier viral hepatitis C (HCV-LC). A
novel enzyme linked lectinosorbent assay has been developed coupled with the
plasmon mechanism of gold nanoparticle aggregation as the colorimetric read out
which can visually distinguish the cirrhotic liver patients from the normal
healthy and hepatitis C controls. The assay can be useful for rapid point-of-care
detection, and even an untrained person can execute it without a specialized
instrument. This method employs Sambucus nigra agglutinin (SNA) to detect the
extent of alpha-2,6 sialylation of serum haptoglobin, the new diagnostic molecule
for liver cirrhosis.
PMID- 26568050
TI - Single-incision laparoscopic subtotal colectomy with cecorectal anastomosis for
slow transit constipation.
PMID- 26568051
TI - A theory of progression from obstructed defecation to fecal incontinence.
PMID- 26568052
TI - Defining the learning curve for the modified Karydakis flap.
PMID- 26568053
TI - Risk factors associated with colonic diverticulosis among patients from a defined
geographic area.
AB - BACKGROUND: Only a few data regarding colonic diverticulosis are available in
Italy. We examined the frequency of colonic diverticulosis and its associations
with risk factors in a homogeneous Northern Sardinian population undergoing
colonoscopy for any reason in the last decades. METHODS: This was a retrospective
single-center study. Medical records of patients undergoing colonoscopy for any
indication between 1995 and 2013 were reviewed. Demographic information including
age, gender, geographic area (urban, rural), marital status, smoking habit,
occupation, body mass index, and comorbidities were collected. Presence, number,
and extension of diverticula were assessed by colonoscopy. The diagnosis of
diverticulosis was defined by the presence of more than five diverticula.
RESULTS: A total of 4458 records were collected (39.8 % males). Analysis by birth
cohorts showed a significant progressive age-related increase in the prevalence
of diverticulosis. The average prevalence was 18.9 % without significant
variation across the 19-year observation period. In 101 (12.1 %) subjects
diverticula were single or few. Seventy-one percent of diverticula were located
predominantly in the left side, 2 % in the right side, and 14.3 % were spread
throughout the colon. There was a significant association with obesity but not
with smoking habits, marital status, or urban versus rural environment. A strong
association was observed between the presence of diverticulosis and
cardiovascular and other gastrointestinal and lung disease (p < 0.0001). These
associations were also confirmed by the logistic regression analysis.
CONCLUSIONS: In this endoscopic study, colonic diverticulosis in Northern
Sardinia showed prevalence similar to other series in Western countries, and it
was predominantly left sided and age related.
PMID- 26568054
TI - A safety evaluation of canakinumab for the treatment of systemic onset juvenile
idiopathic arthritis.
AB - INTRODUCTION: Interleukin-1 (IL-1) is the key molecule of a strong pro
inflammatory pathway in the innate immune system. The IL-1 family harbors
components with pro- and anti-inflammatory effects essential for the regulation
of the inflammation process. Auto-inflammatory diseases and systemic onset
juvenile idiopathic arthritis (JIA) are examples of chronic inflammatory diseases
that are IL-1 dependent. IL-1 blockade has proven to be very effective and has
greatly improved the outcome of these disorders. AREAS COVERED: This review
describes the components of the IL-1 family and the available IL-1 blocking
agents for clinical practice. Among them, canakinumab was more recently
introduced. Based on the published clinical trials one can conclude that the
clinical efficacy in auto-inflammatory diseases is at least as good as other IL-1
blocking agents. The safety data are limited to those registration studies (Phase
2 and 3). In short term the adverse events described are not very different from
the other IL-1 blockers. EXPERT OPINION: Longer term use in larger numbers of
patients and adequate data collection using large-scale registries are necessary
to provide us with a well-balanced overview of safety issues of canakinumab.
Registration studies and open label extension studies show an acceptable safety
profile so far.
PMID- 26568055
TI - Influence of the Crc regulator on the hierarchical use of carbon sources from a
complete medium in Pseudomonas.
AB - The Crc protein, together with the Hfq protein, participates in catabolite
repression in pseudomonads, helping to coordinate metabolism. Little is known
about how Crc affects the hierarchy of metabolite assimilation from complex
mixtures. Using proton Nuclear Magnetic Resonance (NMR) spectroscopy, we carried
out comprehensive metabolite profiling of culture supernatants (metabolic
footprinting) over the course of growth of both Pseudomonas putida and P.
aeruginosa, and compared the wild-type strains with deletion mutants for crc. A
complex metabolite consumption hierarchy was observed, which was broadly similar
between the two species, although with some important differences, for example in
sugar utilization. The order of metabolite utilization changed upon inactivation
of the crc gene, but even in the Crc-null strains some compounds were completely
consumed before late metabolites were taken up. This suggests the presence of
additional regulatory elements that determine the time and order of consumption
of compounds. Unexpectedly, the loss of Crc led both species to excrete acetate
and pyruvate as a result of unbalanced growth during exponential phase, compounds
that were later consumed in stationary phase. This loss of carbon during growth
helps to explain the contribution of the Crc/Hfq regulatory system to
evolutionary fitness of pseudomonads.
PMID- 26568056
TI - Employing human rights frameworks to realize access to an HIV cure.
AB - INTRODUCTION: The scale of the HIV pandemic - and the stigma, discrimination and
violence that surrounded its sudden emergence - catalyzed a public health
response that expanded human rights in principle and practice. In the absence of
effective treatment, human rights activists initially sought to protect
individuals at high risk of HIV infection. With advances in antiretroviral
therapy, activists expanded their efforts under international law, advocating
under the human right to health for individual access to treatment. DISCUSSION:
As a clinical cure comes within reach, human rights obligations will continue to
play a key role in political and programmatic decision-making. Building upon the
evolving development and implementation of the human right to health in the
global response to HIV, we outline a human rights research agenda to prepare for
HIV cure access, investigating the role of human rights law in framing 1)
resource allocation, 2) international obligations, 3) intellectual property and
4) freedom from coercion. CONCLUSIONS: The right to health is widely recognized
as central to governmental, intergovernmental and non-governmental responses to
the pandemic and critical both to addressing vulnerability to infection and to
ensuring universal access to HIV prevention, treatment, care and support. While
the advent of an HIV cure will raise new obligations for policymakers in
implementing the right to health, the resolution of past debates surrounding HIV
prevention and treatment may inform claims for universal access.
PMID- 26568057
TI - Sudden cardiac arrest during emergency caesarean delivery in a 31-year-old woman,
due to accelerated structural valve degeneration of an aortic valve
bioprosthesis.
AB - The choice of prosthetic valve to implant in women of childbearing age requiring
a valve replacement is challenging. Mechanical valves mandate the use of oral
anticoagulation (OAC) for the prevention of thromboembolic complications, but the
use of OAC during pregnancy can lead to maternal and fetal complications, in
particular, warfarin embryopathy. Conversely, the use of bioprosthetic valves
during pregnancy eliminates the need for OAC, but can instead be associated with
accelerated structural valve degeneration. We present the case of a 31-year-old
woman with a bioprosthetic valve in the aortic position, who developed undetected
accelerated structural valve degeneration 5.5 years following implantation of a
Mitroflow bioprosthetic aortic valve, and who suffered a catastrophic
complication during emergency caesarean delivery as a result.
PMID- 26568058
TI - Characteristics of Human Endometrial Stem Cells in Tissue and Isolated Cultured
Cells: An Immunohistochemical Aspect.
AB - BACKGROUND: The aim of this study was to investigate the percentage of the stem
cells population in human endometrial tissue sections and cultured cells at
fourth passage. METHODS: Human endometrial specimens were divided into two parts,
one part for morphological studies and the other part for in vitro culture. Full
thickness of human normal endometrial sections and cultured endometrial cells at
fourth passage were analyzed via immunohistochemistry for CD146 and some stemness
markers such as Oct4, Nanog, Sox2, and Klf4 and the expression of typical
mesenchymal stem cell markers CD90, CD105. RESULTS: 11.88 +/- 1.29% of human
endometrial cells whitin tissue sections expressed CD146 marker vs. 28+/-2.3% of
cultured cells, CD90 and CD105 were expressed by functionalis stroma (85+/-2.4
and 89+/-3.2%) than basalis stroma (16+/-1.4 and 17+/-1.9%), respectively
(P<0.05). Oct4 and Nanog-expressing cells comprise 1.43+/-0.08 and 0.54+/-0.01%
of endometrial stromal cells in endometrial sections vs. 12+/-3.1% and 8+/-2.9%
of cultured cells, respectively. They reside near the glands in the basal layer
of endometrium. Sox2 and Klf4 were not commonly expressed in tissue samples and
cultured cells. CD9 and EpCAM were expressed by epithelial cells of the
endometrium, rather than by stroma or perivascular cells. CONCLUSION: The human
endometrial stem cells and pluripotency markers may be localized more in basalis
layer of endometrium. The immunostaining observations of endometrial cells at
fourth passage were correlated with the immunohistochemistry data.
PMID- 26568060
TI - Categorization of Putative Factors Against Rhopalosiphum padi (L.) (Heteroptera:
Aphididae).
AB - The bird cherry-oat aphid, Rhopalosiphum padi (L.) (Heteroptera: Aphididae),
causes heavy losses to wheat crops worldwide by direct damage and virus
transmission. This study was conducted to identify putative resistance mechanisms
in four wheat varieties (Bobtail, Ladd, Stephens, and Skiles) and one advanced
line (YS434)where R. padi was subjected to choice and no-choice tests.
Antixenosis, antibiosis and tolerance studies were conducted in controlled
environmental conditions at temperature of 20+/-5 degrees C, 50-65% RH, and a
photoperiod of 14:10 (L:D) h. Based on the antixenosis test, the variety Skiles
was found susceptible to R. padi, while the line YS434 showed a significant level
of resistance; the varieties Bobtail, Ladd, and Stephens showed intermediate
response. In the antibiosis experiment, R. padi produced less progeny on the
variety Skiles as compared with other varieties, but the developmental time for
nymphs was also significantly shorter on Skiles and recorded higher intrinsic
rate of natural increase (r(m)) values as compared with the varieties YS434,
Bobtail, and Ladd. In the tolerance tests, the variety Ladd showed significantly
lower tolerance index value than YS434, followed by Skiles, Bobtail, and
Stephens. The plant resistance index value was greater for the variety Ladd,
followed by Stephens, YS434, and Bobtail. In conclusion, this study provides
baseline information that will contribute to the identification of putative
resistance factors for a future breeding program against this aphid.
PMID- 26568059
TI - TLR2, TLR4 and TLR9 genotypes and haplotypes in the susceptibility to and
clinical course of Chlamydia trachomatis infections in Dutch women.
AB - Chlamydia trachomatis infections demonstrate remarkable differences in clinical
course that are approximately 40% based on host genetic variation. Here, we study
the single nucleotide polymorphisms (SNPs) and their haplotypes in TLR2, TLR4 and
TLR9 (TLR2 +2477G>A; TLR2 -16934T>A; TLR4+896A>G; TLR9 -1237T>C and TLR9
+2848G>A) in relation to the susceptibility to, and severity of C. trachomatis
infections. We analysed the five SNPs in a cohort of 770 Dutch Caucasian women
either attending a sexually transmitted diseases outpatient clinic (n = 731) or
having complaints of subfertility (n = 39). Haplotype analyses showed a trend for
TLR2 haplotype I (-16934T/+2477G) to protect against the development of symptoms
and tubal pathology (Ptrend = 0.03) after Chlamydia infection. In the
susceptibility cohort, TLR9 haplotype III (-1237C/+2848A) showed a significant
decreasing trend in the development of symptoms after C. trachomatis infection (P
= 0.02, OR: 0.55, 95%CI: 0.33-0.91). Logistic regression of the TLR2 haplotypes,
TLR4+896A>G, and TLR9 haplotypes showed that the TLR2 haplotype combinations AG
TA and AG-TG confer risk (OR 3.4 (P = 0.01) and 1.6 (P = 0.03)), while the TLR9
haplotype combination TG-TA protects against C. trachomatis infections (OR: 0.4,
P = 0.004). Our study shows that both TLR2 and TLR9 genes and SNP combinations do
influence the clinical course of Chlamydia infections.
PMID- 26568061
TI - Levels of Selected Groups of Compounds in Refill Solutions for Electronic
Cigarettes.
AB - INTRODUCTION: Electronic cigarettes are gaining in popularity worldwide. However,
information about chemical evaluations of refill solutions is very limited. Our
study aimed to develop methods and to assess the levels of eight groups of
compounds in 55 refill solutions for 17 brands. The eight chemical groups include
mono/polyhydric alcohols, tobacco alkaloids, tobacco-specific nitrosamines
(TSNAs), solanesol, phenolic compounds, carbonyl compounds, volatile organic
compounds (VOCs), and polycyclic aromatic hydrocarbons (PAHs). METHODS: Selected
compounds were extracted from refill solutions and analyzed with appropriate
chromatographic and spectroscopic methods. RESULTS: The total mass percentage of
propylene glycol and glycerol in most refill solutions ranged from 80%~97%.
Triethylene glycol was detected in one sample and menthol was found in 16
samples, including in samples that were not labeled as "mint". The labeled
concentrations of nicotine of the 25 samples were not consistent with, and were
in most cases lower than the measured concentrations. The concentrations of
nicotine in samples that were labeled at the same "strength" (eg, HIGH, MIDDLE,
or LOW) differed significantly among brands. Selected groups of compounds
including TSNAs, solanesol, VOCs, PAHs, phenolic compounds, and carbonyl
compounds were all detectable, with varying levels and detection frequencies.
CONCLUSIONS: A panel of methods were developed and validated and subsequently
used to assess the levels of selected groups of compounds in electronic cigarette
refill solutions. Propylene glycol and glycerol constituted the major ingredients
of most refill solutions. The nicotine content was generally not labeled clearly
or accurately. The exposure and health effects on consumers of these impurities,
toxicants, or carcinogens should be further evaluated. IMPLICATIONS: Our study
have developed methods and assessed the levels of eight groups of compounds in 55
refill solutions for 17 brands in the Chinese market. Our study shows that
propylene glycol and glycerol constitute the major ingredients of most refill
solutions, and also indicated the necessity for clearly and accurately labeling
nicotine content of e-liquids. Compounds that may originate from tobacco,
solvents or other sources, such as TSNAs, solanesol, VOCs, PAHs, phenolic
compounds, and carbonyl compounds were all found with different levels and
detection frequencies.
PMID- 26568062
TI - Selective Permeability of Uranyl Peroxide Nanocages to Different Alkali Ions:
Influences from Surface Pores and Hydration Shells.
AB - The precise guidance to different ions across the biological channels is
essential for many biological processes. An artificial nanopore system will
facilitate the study of the ion-transport mechanism through nanosized channels
and offer new views for designing nanodevices. Herein we reveal that a 2.5 nm
sized, fullerene-shaped molecular cluster Li48+m K12(OH)m [UO2(O2)(OH)]60-(H2O)n
(m ~ 20 and n ~ 310) (U60) shows selective permeability to different alkali ions.
The subnanometer pores on the water-ligand-rich surface of U60 are able to block
Rb(+) and Cs(+) ions from passing through, while allowing Na(+) and K(+) ions,
which possess larger hydrated sizes, to enter the interior space of U60. An
interestingly high entropy gain during the binding process between U60 and alkali
ions suggests that the hydration shells of Na(+)/K(+) and U60 are damaged during
the interaction. The ion selectivity of U60 is greatly influenced by both the
morphologies of the surface nanopores and the dynamics of the hydration shells.
PMID- 26568063
TI - Universal conventional and real-time PCR diagnosis tools for Sarcoptes scabiei.
AB - BACKGROUND: The mite Sarcoptes scabiei has a known host-range of over 100 mammal
species including humans. One of the prime objectives of the Sarcoptes-World
Molecular Network (WMN) is to design and develop universal Sarcoptes PCR-based
diagnosis methods. METHODS: We describe here for the first time two universal
mitochondrial-based diagnosis methods: (i) conventional end-point PCR and (ii)
TaqMan real-time PCR. The design of both of these universal diagnosis methods was
based on Sarcoptes samples collected from 23 host species in 14 countries.
RESULTS: These methods, based on skin scrapings, were successfully used to
etiologically confirm the diagnosis of different clinical degrees of sarcoptic
mange in 48 animals belonging to six species. These universal PCR-based diagnosis
methods are highly specific, technically sensitive and simple, and are based on
the amplification of 135 bp from the Mitochondrial 16S rDNA. The method based on
TaqMan real-time qPCR was more sensitive than the conventional end-point PCR.
CONCLUSIONS: Two universal PCR-based diagnosis methods for S. scabiei were
successfully designed and applied; one based on conventional end-point PCR and
the other on TaqMan real-time PCR. We recommend further testing and the
application of these new universal methods worldwide.
PMID- 26568064
TI - Effect of chalcogens on CO insertion into the palladium-methyl bond of
[(N^N^X)Pd(CH3)](+) (X = O, S, Se) and on CO/ethylene copolymerisation.
AB - Neutral chloromethylpalladium(II) complexes, [Pd(Cl)(CH3)(L)] (1a-5a) with
ligands kappa(2)-N^S-2-((3,5-di-tert-butyl-1H-pyrazol-1-yl)methyl)-6
(phenylthiomethyl)pyridine (L1), kappa(2)-N^S-2-((3,5-dimethyl-1H-pyrazol-1
yl)methyl)-6-(phenylthiomethyl)pyridine (L2), kappa(2)-N^Se-2-((3,5-di-tert-butyl
1H-pyrazol-1-yl)methyl)-6-(phenylselanylmethyl)pyridine (L3), kappa(2)-N^Se-2
((3,5-dimethyl-1H-pyrazol-1-yl)methyl)-6-(phenylselanylmethyl)pyridine (L4), and
kappa(2)-N^N-2-((3,5-dimethyl-1H-pyrazol-1-yl)methyl)-6-(phenoxymethyl)pyridine
(L5) have been synthesised and characterised by various spectroscopic techniques.
Ligands L1-L4 exhibit Npy^S/Se bidentate coordination whereas L5 shows an Npy^Npz
bidentate coordination mode in their corresponding neutral palladium complexes.
Abstraction of chloride in neutral palladium complexes with NaBAr4 (BAr4 =
tetrakis[3,5-bis(trifluoromethyl)-phenyl]borate) resulted in the formation of the
cationic palladium complexes 1b-5b, in which L1-L4 adopt a tridentate Npz^Npy^X
(X = S or Se) coordination mode in their respective cationic palladium complexes
(1b-4b) whilst L5 in complex 5b adopts a Npy^Npz bidentate coordination mode and
the palladium centre is stabilized by the weakly coordinating acetonitrile.
Compounds 1b-5b readily undergo CO insertion into the Pd-CH3 bond to form Pd-acyl
that determines their ability to catalyse CO/ethylene copolymerisation to
polyketones.
PMID- 26568065
TI - The combined effects of 2,3,7,8-tetrachlorodibenzo-p-dioxin and the phytoestrogen
genistein on steroid hormone secretion, AhR and ERbeta expression and the
incidence of apoptosis in granulosa cells of medium porcine follicles.
AB - Low doses of endocrine disrupting chemicals (EDCs) used in combination may act in
a manner different from that of individual compounds. The objective of the study
was to examine in vitro effects of low doses of 2,3,7,8-tetrachlorodibenzo-p
dioxin (TCDD; 100 pM) and genistein (500 nM) on: 1) progesterone (P4) and
estradiol (E2) secretion (48 h); 2) dynamic changes in aryl hydrocarbon receptor
(AhR) mRNA and protein expression (1, 3, 6, 24 and 48 h); 3) dynamic changes in
estrogen receptor beta (ERbeta) mRNA and protein expression (1, 3, 6, 24 and 48
h); and 4) induction of apoptosis in porcine granulosa cells derived from medium
follicles (3, 6 and 24 h). TCDD had no effect on P4 or E2 production, but
potentiated the inhibitory effect of genistein on P4 production. In contrast to
the individual treatments which did not produce any effects, TCDD and genistein
administered together decreased ERbeta and AhR protein expression in granulosa
cells. Moreover, the inhibitory effect of TCDD on AhR mRNA expression was
abolished by genistein. The treatments did not induce apoptosis in the cells. In
summary, combined effects of low concentrations of TCDD and genistein on
follicular function of pigs differed from that of individual compounds. The
results presented in the current paper clearly indicate that effects exerted by
low doses of EDCs applied in combination must be taken into consideration when
studying potential risk effects of EDCs on biological processes.
PMID- 26568072
TI - Live from under the lens: exploring microbial motility with dynamic imaging and
microfluidics.
AB - Motility is one of the most dynamic features of the microbial world. The ability
to swim or crawl frequently governs how microorganisms interact with their
physical and chemical environments, and underpins a myriad of microbial
processes. The ability to resolve temporal dynamics through time-lapse video
microscopy and the precise control of the physicochemical microenvironment
afforded by microfluidics offer powerful new opportunities to study the many
motility adaptations of microorganisms and thereby further our understanding of
their ecology. In this Review, we outline recent insights into the motility
strategies of microorganisms brought about by these techniques, including the
hydrodynamic signature of microorganisms, their locomotion mechanics, chemotaxis,
their motility near and on surfaces, swimming in moving fluids and motility in
dense microbial suspensions.
PMID- 26568073
TI - Copy number variations at LEPR gene locus associated with gene expression and
phenotypic traits in Chinese cattle.
AB - Current evidences show that copy number variations (CNVs) are linked to complex
phenotypic traits. Leptin receptor (LEPR) gene plays a critical role in energy
homeostasis and fat development and re-sequencing of the cattle genome revealed
the CNV region (herein referred to as "I3 DNA") within the LEPR intron 3. In the
present study, we qualified copy numbers of I3 DNA within LEPR gene in four
cattle breeds (Qinchuan, Nanyang, Jinnan and Xianan) by quantitative PCR, and
explored their impacts on LEPR gene expression and phenotypic traits in Qinchuan
and Nanyang cattle. The results showed that more individuals in Nanyang are with
loss of the I3 DNA copy number than that in the others. Additionally, I3 DNA CNVs
exhibited a significant negative correlation with LEPR gene expression (P <
0.05). Association analysis showed that gain/normal copy number types performed
better traits of body weight, body height and body length than the loss type in
Nanyang. To the best of our knowledge, this is the first evidence of the
association between LEPR CNVs and cattle traits, and this may help deep
understanding of the function of CNVs which may be promising markers for beef
cattle breeding and genetics.
PMID- 26568074
TI - Fracture care using percutaneously applied titanium mesh cages (OsseoFix(r)) for
unstable osteoporotic thoracolumbar burst fractures is able to reduce cement
associated complications--results after 12 months.
AB - BACKGROUND: Despite the known demographic shift with expected doubled rate of
vertebral body fractures by the year 2050, a standardized treatment concept for
traumatic and osteoporotic incomplete burst fracture of the truncal spine does
not exist. This study aims to determine whether minimally invasive fracture care
for incomplete osteoporotic thoracolumbar burst fractures using intravertebral
expandable titanium mesh cages is a suitable procedure and may provide improved
safety in terms of cement-associated complications in comparison to kyphoplasty
procedure. METHODS: In 2011/2012, 15 patients (10 women, 5 men; mean age 77) with
15 incomplete osteoporotic thoracolumbar burst fractures (T10 to L4) were
stabilized using intravertebral expandable titanium mesh cages (OsseoFix(r)) as
part of a prospective study. X-ray, MRI and bone density measurements (DXA) were
performed preinterventionally. The clinical and radiological results were
evaluated preoperatively, postoperatively and after 12 months according to the
visual analogue scale (VAS), the Oswestry Disability Index (ODI), X-ray (Beck
Index, Cobb angle) and CT analyses. Wilcoxon rank sum test, sign test and
Fischer's exact test were used for statistical evaluation. RESULTS: A significant
reduction in pain intensity (VAS) from preoperative 8.0 to 1.6 after 12 months
and significant improvement in activity level (ODI) from preoperative 79.0 to
30.5 % after 12 months were revealed. Radiologically, the mean kyphotic angle
according to Cobb showed significant improvements from preoperative 9.1 degrees
to 8.0 degrees after 12 months. A vertebral body subsidence was revealed in only
one case (6.7 %). No changes in the position of the posterior wall were revealed.
No cement leakage or perioperative complications were seen. CONCLUSION: As a safe
and effective procedure, the use of intravertebral expandable titanium mesh cages
presents a valuable alternative to usual intravertebral stabilization procedures
for incomplete osteoporotic burst fractures and bears the potential to reduce
cement-associated complications. TRIAL REGISTRATION: German Clinical Trials
Register (DKRS) DRKS00008833 .
PMID- 26568075
TI - Research and Evaluations of the Health Aspects of Disasters, Part IV: Framework
for Societal Structures: the Societal Systems.
AB - For the purposes of research and/or evaluation, a community/society is organized
into 13 Societal Systems under the umbrella of an overall Coordination and
Control System. This organization facilitates descriptions of a community/society
or a component of a community for assessment at any designated time across the
Temporal Phases of a disaster. Such assessments provide a picture of the
functional status of one or more Systems that comprise a community. Since no
system operates in isolation from the other systems, information of the
concomitant status of several Societal Systems is crucial to gaining a complete
understanding of compromised functions, as well as the effects and side effects
of any intervention directed at restoring the functional state of the affected
community or risk-reduction interventions of a community-at-risk. The 13 Societal
Systems include: (1) Public Health; (2) Medical Care; (3) Water and Sanitation;
(4) Shelter and Clothing; (5) Food and Nutrition; (6) Energy Supply; (7) Public
Works and Engineering; (8) Social Structures; (9) Logistics and Transportation;
(10) Security; (11) Communications; (12) Economy; and (13) Education. Many
functions and sub-functions of the Systems overlap, or share some common sub
functions with other systems. For the purposes of research/evaluation, it is
necessary to assign functions and sub-functions to only one of the Societal
Systems.
PMID- 26568076
TI - CrossTalk proposal: Physiological CO2 exchange can depend on membrane channels.
PMID- 26568077
TI - Effects of live weight adjusted feeding strategy on plasma indicators of energy
balance in Holstein cows managed for extended lactation.
AB - In early lactation, most of the dairy cows are in negative energy balance; the
extent and duration depend in part on the feeding strategy. Previous studies
showed an increased lactation milk yield by use of a live weight (LW) adjusted
feeding strategy with a high energy diet before and a reduced energy diet after
LW nadir compared with a standard diet throughout lactation. The objective of the
present study was to examine how such an individualized feeding strategy affects
plasma indicators of energy status. It was hypothesized that an energy-enriched
diet until LW nadir will reduce the severity of the negative energy balance, and
that the reduction in diet energy concentration from LW nadir will extend the
negative energy balance period further. Sixty-two Holstein cows (30% first
parity) were managed for 16 months extended lactation and randomly allocated to
one of two feeding strategies at calving. Two partially mixed rations were used,
one with a high energy density (HD) and a 50 : 50 forage : concentrate ratio, and
one with a lower energy density (LD, control diet) and a 60 : 40 forage :
concentrate ratio. Half of the cows were offered the HD diet until they reached
at least 42 days in milk and a LW gain?0 kg/day based on a 5-days LW average, and
were then shifted to the LD diet (strategy HD-LD). The other half of the cows
were offered the LD diet throughout lactation (control strategy LD-LD). Weekly
blood samples were drawn for analysis of plasma metabolites and hormones. Before
the shift in diet, the HD-LD cows had higher glucose and lower beta
hydroxybutyrate and non-esterified fatty acids (NEFA) concentrations than the LD
LD cows. After the shift until 36 weeks after calving, plasma NEFA was higher in
HD-LD than LD-LD cows. Insulin and insulin-like growth factor-1 were not affected
by the feeding strategy. To conclude, in early lactation, the energy-enriched
diet reduced the negative energy balance. Plasma NEFA was higher in HD-LD than LD
LD cows from diet shift until 36 weeks after calving, indicating a carry-over
effect of the early lactation HD diet to late lactation metabolism.
PMID- 26568078
TI - Hybrid Toffoli gate on photons and quantum spins.
AB - Quantum computation offers potential advantages in solving a number of
interesting and difficult problems. Several controlled logic gates, the elemental
building blocks of quantum computer, have been realized with various physical
systems. A general technique was recently proposed that significantly reduces the
realization complexity of multiple-control logic gates by harnessing multi-level
information carriers. We present implementations of a key quantum circuit: the
three-qubit Toffoli gate. By exploring the optical selection rules of one-sided
optical microcavities, a Toffoli gate may be realized on all combinations of
photon and quantum spins in the QD-cavity. The three general controlled-NOT gates
are involved using an auxiliary photon with two degrees of freedom. Our results
show that photons and quantum spins may be used alternatively in quantum
information processing.
PMID- 26568079
TI - Selected renal cells modulate disease progression in rodent models of chronic
kidney disease via NF-kappaB and TGF-beta1 pathways.
AB - AIM: Identification of mechanistic pathways for selected renal cell (SRC)
therapeutic bioactivity in rodent models of chronic kidney disease. MATERIALS &
METHODS: In vivo and in vitro functional bioassays applied to investigate
regenerative outcomes associated with delivery of SRC to diseased rodent kidney.
RESULTS: In vivo, SRC reduces chronic infiltration by monocytes/macrophages. SRC
attenuates NF-kappaB and PAI-1 responses while simultaneously promoting host
tubular cell expansion through trophic cues. In vitro, SRC-derived conditioned
media attenuates TNF-alpha-induced NF-kappaB response, TGF-beta-mediated PAI-1
response and increases expression of transcripts associated with cell cycle
regulation. Observed bioactive responses were from vesicle and nonvesicle
associated factors, including specific miRNAs. CONCLUSION: We identify a
paracrine mechanism for SRC immunomodulatory and trophic cues on host renal
tissues, catalyzing long-term functional benefits in vivo.
PMID- 26568080
TI - Real-time mobile teledermoscopy for skin cancer screening targeting an
agricultural population: an experiment on 289 patients in France.
AB - BACKGROUND: The incidence of skin cancer has reached epidemic proportions in the
white population and is significantly elevated in agricultural populations, who
are exposed to ultraviolet radiation during their professional activities. In
2014, the Agricultural Social Insurance Mutual Benefit Fund (MSA) offered its
customers who work in agriculture and live in rural areas with reduced access to
dermatologists the ability to participate in a 1-day teledermoscopic (TDS)
screening event. OBJECTIVE: This study's aim was to assess the feasibility of
real-time mobile TDS triage of a large number of agricultural workers by trained
medical officers and occupational physicians. METHODS: Fifteen TDS screening
centres were located in different areas of France. Individuals older than 18
years who worked in agriculture and lived in rural area near a TDS screening
centre were invited to participate in a 1-day screening event and were examined
by an MSA physician. In cases of suspicious skin lesions, clinical and
dermoscopic images were obtained and transferred immediately to four
dermatologists who were simultaneously present at the tele-platform for diagnosis
and decision-making. Low-quality images were retaken. RESULTS: Two-hundred eighty
nine patients underwent skin cancer screening. Among 199 patients (69%), 390
suspicious lesions were identified and generated 412 pictures. All lesions were
analysed by dermatologists. For 105 patients (53%), no follow-up was required.
Seventeen patients were referred to local dermatologists for rapid examination,
including 12 cases of suspected malignant melanocytic lesions. Among the 12
patients with suspected melanoma, face-to-face visits were conducted within 10
days for 11 of them, and 1 case of melanoma was confirmed by histopathology.
CONCLUSIONS: Our study suggests that teledermoscopy performed in the context of
occupational medicine and targeted to agricultural populations is feasible and
could be useful for improving skin cancer screening in at-risk populations while
avoiding face-to-face examinations by a dermatologist in 53% of cases.
PMID- 26568081
TI - Rehabilitating the regulative use of reason: Kant on empirical and chemical laws.
AB - In his Kritik der reinen Vernunft, Kant asserts that laws of nature "carry with
them an expression of necessity" (A159/B198). There is, however, widespread
interpretive disagreement regarding the nature and source of the necessity of
empirical laws of natural sciences in Kant's system. It is especially unclear how
chemistry-a science without a clear, straightforward connection to the a priori
principles of the understanding-could contain such genuine, empirical laws.
Existing accounts of the necessity of causal laws unfortunately fail to
illuminate the possibility of non-physical laws. In this paper, I develop an
alternative, 'ideational' account of natural laws, according to which ideas of
reason necessitate the laws of some non-physical sciences. Chemical laws, for
instance, are grounded on ideas of the elements, and the chemist aims to reduce
her phenomena to these elements via experimentation. Although such ideas are
beyond the possibility of experience, their postulation is necessary for the
achievement of reason's theoretical ends: the unification and explanation of the
cognitions of science.
PMID- 26568082
TI - Henry More and the development of absolute time.
AB - This paper explores the nature, development and influence of the first English
account of absolute time, put forward in the mid-seventeenth century by the
'Cambridge Platonist' Henry More. Against claims in the literature that More does
not have an account of time, this paper sets out More's evolving account and
shows that it reveals the lasting influence of Plotinus. Further, this paper
argues that More developed his views on time in response to his adoption of
Descartes' vortex cosmology and cosmogony, providing new evidence of More's wider
project to absorb Cartesian natural philosophy into his Platonic metaphysics.
Finally, this paper argues that More should be added to the list of sources that
later English thinkers - including Newton and Samuel Clarke - drew on in
constructing their absolute accounts of time.
PMID- 26568083
TI - The ontic conception of scientific explanation.
AB - Wesley Salmon's version of the ontic conception of explanation is a main
historical root of contemporary work on mechanistic explanation. This paper
examines and critiques the philosophical merits of Salmon's version, and argues
that his conception's most fundamental construct is either fundamentally obscure,
or else reduces to a non-ontic conception of explanation. Either way, the ontic
conception is a misconception.
PMID- 26568084
TI - Associationism without associative links: Thomas Brown and the associationist
project.
AB - There are two roles that association played in 18th-19th century associationism.
The first dominates modern understanding of the history of the concept:
association is a causal link posited to explain why ideas come in the sequence
they do. The second has been ignored: association is merely regularity in the
trains of thought, and the target of explanation. The view of association as
regularity arose in several forms throughout the tradition, but Thomas Brown
(1778-1820) makes the distinction explicit. He argues that there is no
associative link, and association is mere sequence. I trace this view of
association through the tradition, and consider its implications: Brown's views,
in particular, motivate a rethinking of the associationist tradition in
psychology. Associationism was a project united by a shared explanandum
phenomenon, rather than a theory united by a shared theoretical posit.
PMID- 26568085
TI - David Hume's no-miracles argument begets a valid No-Miracles Argument.
AB - Hume's essay 'Of Miracles' has been a focus of controversy ever since its
publication. The challenge to Christian orthodoxy was only too evident, but the
balance-of-probabilities criterion advanced by Hume for determining when
testimony justifies belief in miracles has also been a subject of contention
among philosophers. The temptation for those familiar with Bayesian methodology
to show that Hume's criterion determines a corresponding balance-of-posterior
probabilities in favour of miracles is understandable, but I will argue that
their attempts fail. However, I show that his criterion generates a valid form of
the so-called No-Miracles Argument appealed to by modern realist philosophers,
whose own presentation of it, despite their possession of the probabilistic
machinery Hume himself lacked, is invalid.
PMID- 26568086
TI - The role of orientation experiments in discovering mechanisms.
AB - Many types of experiments have been recognized in the literature. One important
type we discuss in this article is the orientation experiment. While orientation
experiments are like other types of experiments in that they are tests for causal
relevance, they also have other qualities. One important (but not the only) goal
of these experiments is to offer a rough, qualitative characterization of the
mechanism responsible for a capacity of interest, effectively constraining future
research. This makes them particularly useful during the early stages of
investigation, when an explanandum-phenomenon has just been identified and
several (often competing) hypotheses as to the qualitative character of the
mechanism responsible for it are proposed. We illustrate our claims, and
explicate a number of additional aims that orientation experiments can sometimes
serve, by considering three case studies from different era's, namely the
discovery of the mechanisms responsible for i) the capacity of eels to produce
numbing sensations (17th and 18th century), ii) puerperal fever in Semmelweis'
Vienna Maternity Hospital (19th century), and iii) the capacity of pigeons to
home (20th century).
PMID- 26568087
TI - Social exclusion in academia through biases in methodological quality evaluation:
On the situation of women in science and philosophy.
AB - Empirical studies show that academia is socially exclusive. I argue that this
social exclusion works, at least partly, through the systematic methodological
disqualification of contributions from members of underrepresented social groups.
As methodological quality criteria are underdetermined their interpretation and
weighting can be biased with relation to gender, race, social background, etc.
Such biased quality evaluation can take place on a local or global level. The
current situation of women in academic philosophy illuminates this. I conclude
that only mechanical solutions can effectively change the situation.
PMID- 26568088
TI - Narrative and epistemology: Georges Canguilhem's concept of scientific ideology.
AB - In the late 1960s, Georges Canguilhem introduced the concept of 'scientific
ideology'. This concept had not played any role in his previous work, so why
introduce it at all? This is the central question of my paper. Although it may
seem a rather modest question, its answer in fact uncovers hidden tensions in the
tradition of historical epistemology, in particular between its normative and
descriptive aspects. The term ideology suggests the influence of Althusser's and
Foucault's philosophies. However, I show the differences between Canguilhem's
concept of scientific ideology and Althusser's and Foucault's respective concepts
of ideology. I argue that Canguilhem was in fact attempting to solve long
standing problems in the tradition of historical epistemology, rather than
following the lead of his younger colleagues. I argue that Canguilhem's
'refurbishment without rejection' of Bachelard's epistemology, which the concept
of scientific ideology was aimed to implement, was necessary to justify the
historical narratives that Canguilhem had constructed in his own work as a
historian of concepts. A strict acceptance of Bachelard's epistemology would have
made it impossible to justify them. Canguilhem's concept of scientific ideology
therefore served as a theoretical justification of his practice as a historian. I
maintain that the concept of scientific ideology was needed to reconcile
Bachelard's normative epistemology with Canguilhem's view of the history of
science and its aims, which differed from Bachelard's more than it is generally
acknowledged.
PMID- 26568089
TI - The methodological defense of realism scrutinized.
AB - I revisit an older defense of scientific realism, the methodological defense, a
defense developed by both Popper and Feyerabend. The methodological defense of
realism concerns the attitude of scientists, not philosophers of science. The
methodological defense is as follows: a commitment to realism leads scientists to
pursue the truth, which in turn is apt to put them in a better position to get at
the truth. In contrast, anti-realists lack the tenacity required to develop a
theory to its fullest. As a consequence, they are less likely to get at the
truth. My aim is to show that the methodological defense is flawed. I argue that
a commitment to realism does not always benefit science, and that there is reason
to believe that a research community with both realists and anti-realists in it
may be better suited to advancing science. A case study of the Copernican
Revolution in astronomy supports this claim.
PMID- 26568090
TI - Conventionalism about what? Where Duhem and Poincare part ways.
AB - This paper examines whether, and in what contexts, Duhem's and Poincare's views
can be regarded as conventionalist or structural realist. After analysing the
three different contexts in which conventionalism is attributed to them-in the
context of the aim of science, the underdetermination problem and the
epistemological status of certain principles-I show that neither Duhem's nor
Poincare's arguments can be regarded as conventionalist. I argue that Duhem and
Poincare offer different solutions to the problem of theory choice, differ in
their stances towards scientific knowledge and the status of scientific
principles, making their epistemological claims substantially different.
PMID- 26568091
TI - The planetary increase of brightness during retrograde motion: An explanandum
constructed ad explanantem.
AB - In Ancient Greek two models were proposed for explaining the planetary motion:
the homocentric spheres of Eudoxus and the Epicycle and Deferent System. At least
in a qualitative way, both models could explain the retrograde motion, the most
challenging phenomenon to be explained using circular motions. Nevertheless,
there is another explanandum: during retrograde motion the planets increase their
brightness. It is natural to interpret a change of brightness, i.e., of apparent
size, as a change in distance. Now, while according to the Eudoxian model the
planet is always equidistant from the earth, according to the epicycle and
deferent system, the planet changes its distance from the earth, approaching to
it during retrograde motion, just as observed. So, it is usually affirmed that
the main reason for the rejection of Eudoxus' homocentric spheres in favor of the
epicycle and deferent system was that the first cannot explain the manifest
planetary increase of brightness during retrograde motion, while the second can.
In this paper I will show that this historical hypothesis is not as firmly
founded as it is usually believed to be.
PMID- 26568092
TI - Knowing what would happen: The epistemic strategies in Galileo's thought
experiments.
AB - While philosophers have subjected Galileo's classic thought experiments to
critical analysis, they have tended to largely ignored the historical and
intellectual context in which they were deployed, and the specific role they
played in Galileo's overall vision of science. In this paper I investigate
Galileo's use of thought experiments, by focusing on the epistemic and rhetorical
strategies that he employed in attempting to answer the question of how one can
know what would happen in an imaginary scenario. Here I argue we can find three
different answers to this question in Galileo later dialogues, which reflect the
changing meanings of 'experience' and 'knowledge' (scientia) in the early modern
period. Once we recognise that Galileo's thought experiments sometimes drew on
the power of memory and the explicit appeal to 'common experience', while at
other times, they took the form of demonstrative arguments intended to have the
status of necessary truths; and on still other occasions, they were
extrapolations, or probable guesses, drawn from a carefully planned series of
controlled experiments, it becomes evident that no single account of the
epistemological relationship between thought experiment, experience and
experiment can adequately capture the epistemic variety we find Galileo's use of
imaginary scenarios. To this extent, we cannot neatly classify Galileo's use of
thought experiments as either 'medieval' or 'early modern', but we should see
them as indicative of the complex epistemological transformations of the early
seventeenth century.
PMID- 26568093
TI - Expertise revisited, Part I-Interactional expertise.
AB - In Part I of this two part paper we try to set out the 'essence' of the notion of
interactional expertise by starting with its origins. In Part II we will look at
the notion of contributory expertise. The exercise has been triggered by recent
discussion of these concepts in this journal by Plaisance and Kennedy and by
Goddiksen.
PMID- 26568094
TI - Hierarchical 3D ZnIn2S4/graphene nano-heterostructures: their in situ fabrication
with dual functionality in solar hydrogen production and as anodes for lithium
ion batteries.
AB - Hierarchical 3D ZnIn2S4/graphene (ZnIn2S4/Gr) nano-heterostructures were
successfully synthesized using an in-situ hydrothermal method. The dual
functionality of these nano-heterostructures i.e. for solar hydrogen production
and lithium ion batteries has been demonstrated for the first time. The
ZnIn2S4/Gr nano-heterostructures were optimized by varying the concentrations of
graphene for utmost hydrogen production. An inspection of the structure shows the
existence of layered hexagonal ZnIn2S4 wrapped in graphene. The reduction of
graphene oxide (GO) to graphene was confirmed by Raman and XPS analyses. The
morphological analysis demonstrated that ultrathin ZnIn2S4 nanopetals are
dispersed on graphene sheets. The optical study reveals the extended absorption
edge to the visible region due to the presence of graphene and hence is used as a
photocatalyst to transform H2S into eco-friendly hydrogen using solar light. The
ZnIn2S4/Gr nano-heterostructure that is comprised of graphene and ZnIn2S4 in a
weight ratio of 1 : 99 exhibits enhanced photocatalytically stable hydrogen
production i.e. ~6365 MUmole h(-1) under visible light irradiation using just 0.2
g of nano-heterostructure, which is much higher as compared to bare hierarchical
3D ZnIn2S4. The heightened photocatalytic activity is attributed to the enhanced
charge carrier separation due to graphene which acts as an excellent electron
collector and transporter. Furthermore, the usage of nano-heterostructures and
pristine ZnIn2S4 as anodes in lithium ion batteries confers the charge capacities
of 590 and 320 mA h g(-1) after 220 cycles as compared to their initial
reversible capacities of 645 and 523 mA h g(-1), respectively. These nano
heterostructures show high reversible capacity, excellent cycling stability, and
high-rate capability indicating their potential as promising anode materials for
LIBs. The excellent performance is due to the nanostructuring of ZnIn2S4 and the
presence of a graphene layer, which works as a channel for the supply of
electrons during the charge-discharge process. More significantly, their dual
functionality in energy generation and storage is quite unique and commendable.
PMID- 26568095
TI - Handwritten, Soft Circuit Boards and Antennas Using Liquid Metal Nanoparticles.
AB - Soft conductors are created by embedding liquid metal nanoparticles between two
elastomeric sheets. Initially, the particles form an electrically insulating
composite. Soft circuit boards can be handwritten by a stylus, which sinters the
particles into conductive traces by applying localized mechanical pressure to the
elastomeric sheets. Antennas with tunable frequencies are formed by sintering
nanoparticles in microchannels.
PMID- 26568097
TI - Basis for selecting optimum antibiotic regimens for secondary peritonitis.
AB - Adequate management of severely ill patients with secondary peritonitis requires
supportive therapy of organ dysfunction, source control of infection and
antimicrobial therapy. Since secondary peritonitis is polymicrobial, appropriate
empiric therapy requires combination therapy in order to achieve the needed
coverage for both common and more unusual organisms. This article reviews
etiological agents, resistance mechanisms and their prevalence, how and when to
cover them and guidelines for treatment in the literature. Local surveillances
are the basis for the selection of compounds in antibiotic regimens, which should
be further adapted to the increasing number of patients with risk factors for
resistance (clinical setting, comorbidities, previous antibiotic treatments,
previous colonization, severity...). Inadequate antimicrobial regimens are
strongly associated with unfavorable outcomes. Awareness of resistance
epidemiology and of clinical consequences of inadequate therapy against resistant
bacteria is crucial for clinicians treating secondary peritonitis, with delicate
balance between optimization of empirical therapy (improving outcomes) and
antimicrobial overuse (increasing resistance emergence).
PMID- 26568098
TI - Controlled stepwise-synthesis of core-shell Au@MIL-100 (Fe) nanoparticles for
sensitive surface-enhanced Raman scattering detection.
AB - A novel porous Au@MIL-100 (Fe) core-shell structure in which a Au nanoparticle
(AuNP) core is coated with a controllable uniform metal-organic framework shell
has been fabricated by using a facile step-by-step procedure. The as-synthesized
Au@MIL-100 (Fe) takes advantage of the high adsorption capability of the MIL-100
(Fe) shell and the localized surface plasmon resonance properties of the AuNP
core, and was used as a hybrid surface enhanced Raman scattering (SERS)
substrate. We discussed the fabrication, physical characterization, and SERS
activity of our novel substrate, and found that this new substrate had
controllable shell thickness, high stability and good SERS activity. The
potential practical application of the novel SERS substrate was firstly evaluated
by quantitative analysis of malachite green in aquaculture water. The method
showed good linearity between 3.0 * 10(-8)-1.0 * 10(-6) mol L(-1) for malachite
green with a correlation coefficient of 0.9945. The limit of detection (LOD) was
8.0 * 10(-9) mol L(-1). Then the applicability of Au@MIL-100 (Fe) as the SERS
substrate for in situ detection of malachite green on the fish body indicated its
great potential as a rapid and on-site detection analytical strategy. In
addition, the preliminary investigation also shows that the Au@MIL-100 (Fe) has
SERS activity toward carbon disulfide which would be a new strategy for SERS
based gas sensors.
PMID- 26568096
TI - Use of biomarkers for assessing radiation injury and efficacy of countermeasures.
AB - Several candidate drugs for acute radiation syndrome (ARS) have been identified
that have low toxicity and significant radioprotective and radiomitigative
efficacy. Inasmuch as exposing healthy human volunteers to injurious levels of
radiation is unethical, development and approval of new radiation countermeasures
for ARS are therefore presently based on animal studies and Phase I safety study
in healthy volunteers. The Animal Efficacy Rule, which underlies the Food and
Drug Administration approval pathway, requires a sound understanding of the
mechanisms of injury, drug efficacy, and efficacy biomarkers. In this context, it
is important to identify biomarkers for radiation injury and drug efficacy that
can extrapolate animal efficacy results, and can be used to convert drug doses
deduced from animal studies to those that can be efficacious when used in humans.
Here, we summarize the progress of studies to identify candidate biomarkers for
the extent of radiation injury and for evaluation of countermeasure efficacy.
PMID- 26568099
TI - [Editor's commentary: Professor Emeritus Janos Feher].
PMID- 26568101
TI - [Natural bioactive agents in liver therapy].
AB - Medical science alongside with other sciences, aiming to preserve health and
combat diseases, has evolved significantly since the late 1930s. It has reached
incredible results and opened up unpredicted perspectives for future generations
to come. From the 1980s significant results also emerged from researching natural
plant active ingredients for the prevention of damage from free radicals which
were discovered in different symptoms. One of the important areas of research is
the recognition of significant bioactive molecules from the aspects of food
consumption, alongside the detection of their effect in the context of their
structure. It is also important that by possessing these data it is possible to
develop correct food consumption habits, especially for people who are suffering
from diseases. Through the decades we came a long way from folk medicine
observations to molecular, biological justification of effect mechanisms.
PMID- 26568100
TI - [Antioxidants--antioxidative stress: facts and questions, 2015].
AB - In the past years great importance has been attributed to antioxidant therapy in
the prevention and treatment of disorders developed in connection with oxidative
stress. After initial success, undesirable effects, toxicities, and prooxidant
effects of antioxidants were reported [CARET, ATBC study]. In addition,
metaanalyses failed to confirm the role of antioxidant supplementation in the
primary and secondary prevention. The authors review the prooxidant effects of
antioxidants, and their role in cell signalling and cell process modulation.
Finally, the authors summarize possible explanations why combined use of
antiooxidants is more favourable.
PMID- 26568102
TI - [Changes in neuropeptide Y and substance P immunoreactive nerve fibres and
immunocompetent cells in hepatitis].
AB - Neuropeptide Y and substance P were thought to play a role in the function of
immune cells and in amplification or elimination of the inflammatory processes.
In hepatitis the number of both neuropeptide Y and substance P immunoreactive
nerve fibres are increased, where the increase of neoropeptide Y is significant.
A large number of lymphocytes and mast cells are also stained for neuropeptide Y
and substance P. Very close associations (less than 1 um) were observed between
neuropeptide Y immunoreactive nerve fibres and immune cells stained also with
neuropeptide Y. Some immune cells were also found to be immunoreactive for tumor
necrosis factor-alpha and NF-kappaB. Some of the SP IR immunocells were also
stained for TNF-alpha and nuclear factor kappaB. Based on these data it is
hypothesized that neuropeptid Y and substance P released from nerve fibres and
immune cells play a role in inflammation and elimination of inflammation in
hepatitis.
PMID- 26568103
TI - [Immune response and oxidative stress in hepatitis C virus infection].
AB - This review summarizes our current knowledge of the innate and adaptive immune
responses induced by hepatitis C virus, and of the genetic polymorphisms that may
determine the outcome of the disease. In addition, the authors discuss the role
of reactive oxygen species and oxidative stress in hepatitis C virus-related
pathogenic processess, such as hepatitis, fibrosis, hepatocellular carcinoma,
steatosis and insulin resistance.
PMID- 26568104
TI - [Free radicals and hepatic ischemia-reperfusion].
AB - The critical importance of the ischemic-reperfusive injury is well documented
with regards to numerous organs and clinical conditions. Oxygen free radicals
play a central role in the mediation of the injury, which dominantly influences
the prevalence of postoperative complications, (long term) organ damage, and the
potential manifestation of systemic reactions. The both anatomically and
pathophysiologically unique ischemic-reperfusive injury of the liver, which is
expressively vulnerable to free radicals, is of utmost importance in liver
surgery. Several techniques (adaptive maneuvers, chemical agents) are known to
ameliorate the reperfusive injury. Based on the prior research of the workgroup
of the author, the aim of the current article is to overview the set of measures
capable of attenuating ischemic-reperfusive injury (ischemic preconditioning,
perconditioning, administration of adenosine, -inosine, -levosimendan, and -poly
ADP-ribose-polymerase inhibitor), with special attention to the ischemic
reperfusive injury of the liver, as well as the special pathophysiological role
of free radicals in mediating hepatic damage.
PMID- 26568105
TI - [The role of free radicals in the myocardial reperfusion injuries and in the
development of endogenous adaptation].
AB - The reperfusion of acute ischaemic myocardium is essential for myocardial
salvage, so-called "gold standard" therapy, however it can result in serious
damage to the myocardium. Functional alterations occur, including depressed
contractile function and decreased coronary flow as well as altered vascular
reactivity. Over several decades it has been demonstrated that oxygen radical
formation is greatly increased in the post-ischaemic heart and serves as a
critical central mechanism of ischaemic-reperfusion injury. However it has been
demonstrated that free radicals play an important role in the endogenous
adaptation phenomenon of the heart, too. Ischaemic preconditioning is a cellular
adaptive response of the heart to stress, which provides the most potent
endogenous protection against reperfusion arrhytmias, stunning and infarction.
Post-conditioning defined as brief periods of ischaemia and reperfusion during
the very early minutes of reperfusion stimulates endogenous adaptation. Post
conditioning may also attenuate the damage to endothelial cells and
cardiomyocytes from oxidants, cytokines, proteases and inflammatory cells.
PMID- 26568106
TI - [Role of reactive oxygen species in the regulation of cardiac function].
AB - Increased production of reactive oxygen species has been implicated in the
pathogenesis of congestive heart failure. However, emerging evidence suggests a
role for reactive oxygen species in regulating various physiological cellular
processes in the myocardium. The authors summarize the current understanding of
involvement of reactive oxygen species in the regulation of cardiac contractility
under physiological conditions.
PMID- 26568107
TI - [The role of oxidative stress in heart failure].
AB - Oxidative stress plays an important role in the development of heart failure.
Reactive oxygen and nitrogen species can be generated in all cell types that can
be found in the myocardium. Potential sources of reactive oxygen species are the
NADPH oxidases, nitric oxide synthase, lipoxygenases, cyclooxygenase, xanthine
oxidase, cytochrome P450 enzymes, and the mitochondrial respiratory chain. The
reactive oxygen species mediated damages are implicated in both the vascular
system (endothelial dysfunction, atherosclerosis) and the myocardium
(remodeling). Oixidative stress causes lipid and protein oixidation as well as
single stranded DNA breaks and induces changes in signaling pathways which serve
as central transducers of cardiac hypertrophic growth, remodeling and/or
ventricular dilatation.
PMID- 26568108
TI - [The role of oxidative stress in amiodaron toxicity, in left ventricular
dysfunction of hypertensive patients and in heart failure with preserved ejection
fraction].
AB - The author briefly summarizes his scientific work investigating the role of
oxidative stress in cardiovascular disorders. Using in vitro biochemical,
biophysical and in vivo animal research it was found that oxidative stress plays
a substantial role in the pathogenesis of amiodarone toxicity and antioxidants co
administered with amiodarone exert at least partial protective effect on
amiodarone toxicity, while antioxidants did not diminish and perhaps even
enhanced the antiarrhythmic action of amiodarone. Thus, co-administration of
antioxidants with amiodarone may lead to the more widespread application of
amiodarone, which is currently the most potent available antiarrhythmic agent,
but its clinical use is limited due the potentially severe toxic effect In
hypertensive patients with normal ejection fraction, the most common precursor
condition of heart failure with preserved ejection fraction, the potential
primary causal role of oxidative stress and inflammation in the left ventricular
systolic, diastolic and atrial dysfunction, which are important determinants of
the transition of hypertensive heart disease to heart failure with preserved
ejection fraction was verified.
PMID- 26568109
TI - [Oxidative stress: one of the major causes of vascular calcification in chronic
kidney disease patients].
AB - The leading cause of high mortality in dialyzed patients is cardiovascular
disease. One of the main contributors of cardiovascular event is vascular
calcification, which occurs even in very young patients. Multiple factors and
complex mechanisms are involved in the formation of robust vascular calcification
which affects a large vascular area observed in chronic kidney diseases. Patients
on dialysis are exposed to enhanced oxidative stress as a result of increased pro
oxidant activity and reduced anti-oxidant systems. The oxidation of lipoprotein
particles is implicated in the development of vascular damage representing
oxidative threat, which leads to endothelial dysfunction. Moreover, in a pro
oxidant environment osteoblastic trans-differentiation of smooth muscle cells was
shown to occur. Heme derived from oxidized hemoglobin might contribute to the
formation of reactive lipid metabolites. This oxidative burden contributes to the
development of atherosclerosis and vascular calcification. Heme oxygenase-1 and
ferritin may serve as intracellular defense mechanisms against such an insult.
PMID- 26568110
TI - [The severity of gestational diabetes mellitus affects microvascular dysfunction
measured three years after pregnancy that may be related to increased oxidative
stress].
AB - INTRODUCTION: Oxidative-nitrative stress and poly(ADP-ribose) polymerase
activation observed in gestational diabetes may play role in the increased
cardiovascular risk in later life. AIM: The present study aimed to examine the
influence of the severity of previous gestational diabetes (insulin need) on
vascular function three years after delivery. Furthermore, the authors
investigated the relation of vascular function with oxidative-nitrative stress
and poly(ADP-ribose) polymerase activation. METHOD: Macrovascular function was
measured by applanation tonometry; microvascular reactivity was assessed by
provocation tests during Laser-Doppler flowmetry in 40 women who had gestational
diabetes 3 years before the study. Oxidative-nitrative stress and poly(ADP
ribose) polymerase activity in blood components were determined by colorimetry
and immunohistochemistry. RESULTS: Three years after insulin treated gestational
diabetes impaired microvascular function and increased oxidative stress was
observed compared to mild cases. CONCLUSIONS: The severity of previous
gestational diabetes affects microvascular dysfunction that is accompanied by
elevated oxidative stress. Nitrative stress and poly(ADP-ribose) polymerase
activity correlates with certain vascular factors not related to the severity of
the disease.
PMID- 26568111
TI - Surgical versus non-surgical management of abdominal injury.
AB - BACKGROUND: Injury to the abdomen can be blunt or penetrating. Abdominal injury
can damage internal organs such as the liver, spleen, kidneys, intestine, and
large blood vessels. There are controversies about the best approach to manage
abdominal injuries. OBJECTIVES: To assess the effects of surgical and non
surgical interventions in the management of abdominal trauma in a
haemodynamically stable and non-peritonitic abdomen. SEARCH METHODS: We searched
the Cochrane Injuries Group's Specialised Register, The Cochrane Library, Ovid
MEDLINE(R), Ovid MEDLINE(R) In-Process & Other Non-Indexed Citations, Ovid
MEDLINE(R) Daily and Ovid OLDMEDLINE(R), EMBASE Classic+EMBASE (Ovid), ISI WOS
(SCI-EXPANDED, SSCI, CPCI-S & CPSI-SSH), CINAHL Plus (EBSCO), and clinical trials
registers, and screened reference lists. We ran the most recent search on 17
September 2015. SELECTION CRITERIA: Randomised controlled trials of surgical
interventions and non-surgical interventions involving people with abdominal
injury who were haemodynamically stable with no signs of peritonitis. The
abdominal injury could be blunt or penetrating. DATA COLLECTION AND ANALYSIS: Two
review authors independently applied the selection criteria. Data were extracted
by two authors using a standard data extraction form, and are reported
narratively. MAIN RESULTS: Two studies are included, which involved a total of
114 people with penetrating abdominal injuries. Both studies are at moderate risk
of bias because the randomisation methods are not fully described, and the
original study protocols are no longer available. The studies were undertaken in
Finland between 1992 and 2002, by the same two researchers.In one study, 51
people were randomised to surgery or an observation protocol. None of the
participants in the study died. Seven people had complications: 5 (18.5%) in the
surgical group and 2 (8.3%) in the observation group; the difference was not
statistically significant (P = 0.42; Fischer's exact). Among the 27 people who
had surgery, 6 (22.2%) surgeries were negative laparotomies, and 15 (55.6%) were
non-therapeutic.In the other study, 63 people were randomised to diagnostic
laparoscopy (surgery) or an observation protocol. There were no deaths and no
unnecessary surgeries in either group. Four people did not receive the
intervention they were assigned. There was no difference in therapeutic
operations between the two groups: 3 of 28 in the diagnostic laparoscopy group
versus 1 of 31 in the observation protocol group (P = 0.337). AUTHORS'
CONCLUSIONS: Based on the findings of 2 studies involving a total of 114 people,
there is no evidence to support the use of surgery over an observation protocol
for people with penetrating abdominal trauma who have no signs of peritonitis and
are stable.
PMID- 26568113
TI - Substrate structure-activity relationships guide rational engineering of modular
polyketide synthase ketoreductases.
AB - Modular polyketide synthase ketoreductases can set two chiral centers through a
single reduction. To probe the basis of stereocontrol, a structure-activity
relationship study was performed with three alpha-methyl, beta-ketothioester
substrates and four ketoreductases. Since interactions with the beta-ketoacyl
moiety were found to be most critical, residues implicated in contacting this
moiety were mutated. Two mutations were sufficient to completely reverse the
stereoselectivity of the model ketoreductase EryKR1, converting it from an enzyme
that generates (2S,3R)-products into one that yields (2S,3S)-products.
PMID- 26568112
TI - Variable responses of human and non-human primate gut microbiomes to a Western
diet.
AB - BACKGROUND: The human gut microbiota interacts closely with human diet and
physiology. To better understand the mechanisms behind this relationship, gut
microbiome research relies on complementing human studies with manipulations of
animal models, including non-human primates. However, due to unique aspects of
human diet and physiology, it is likely that host-gut microbe interactions
operate differently in humans and non-human primates. RESULTS: Here, we show that
the human microbiome reacts differently to a high-protein, high-fat Western diet
than that of a model primate, the African green monkey, or vervet (Chlorocebus
aethiops sabaeus). Specifically, humans exhibit increased relative abundance of
Firmicutes and reduced relative abundance of Prevotella on a Western diet while
vervets show the opposite pattern. Predictive metagenomics demonstrate an
increased relative abundance of genes associated with carbohydrate metabolism in
the microbiome of only humans consuming a Western diet. CONCLUSIONS: These
results suggest that the human gut microbiota has unique properties that are a
result of changes in human diet and physiology across evolution or that may have
contributed to the evolution of human physiology. Therefore, the role of animal
models for understanding the relationship between the human gut microbiota and
host metabolism must be re-focused.
PMID- 26568115
TI - Catheter-directed thrombolysis for extensive iliofemoral deep vein thrombosis:
review of literature and ongoing trials.
AB - In patients with extensive lower limb deep vein thrombosis (DVT) that, typically,
extends into the iliofemoral veins, catheter-directed thrombolysis (CDT) can
achieve faster and more complete thrombus lysis as compared with systemic
thrombolysis, while providing an acceptable safety profile through administration
of lower doses of thrombolytic agents. Through a reduction in thrombus burden,
CDT has the potential to mitigate the risk for post-thrombotic syndrome by
restoring venous patency and preserving venous valve function. The efficacy of
CDT may be improved by adjunctive approaches that include percutaneous mechanical
thrombectomy, angioplasty with or without stenting, and ultrasound-assisted CDT.
CDT may also have a specific therapeutic role in the management of iliofemoral
DVT involving patients who are pregnant or with May-Thurner syndrome. This
article summarizes the literature in this area and discusses recently completed
and ongoing randomized trials on the use of CDT in patients with extensive lower
limb DVT.
PMID- 26568114
TI - Osteogenesis of peripheral blood mesenchymal stem cells in self assembling
peptide nanofiber for healing critical size calvarial bony defect.
AB - Peripheral blood mesenchymal stem cells (PBMSCs) may be easily harvested from
patients, permitting autologous grafts for bone tissue engineering in the future.
However, the PBMSC's capabilities of survival, osteogenesis and production of new
bone matrix in the defect area are still unclear. Herein, PBMSCs were seeded into
a nanofiber scaffold of self-assembling peptide (SAP) and cultured in osteogenic
medium. The results indicated SAP can serve as a promising scaffold for PBMSCs
survival and osteogenic differentiation in 3D conditions. Furthermore, the SAP
seeded with the induced PBMSCs was splinted by two membranes of poly(lactic)
glycolic acid (PLGA) to fabricate a composited scaffold which was then used to
repair a critical-size calvarial bone defect model in rat. Twelve weeks later the
defect healing and mineralization were assessed by H&E staining and
microcomputerized tomography (micro-CT). The osteogenesis and new bone formation
of grafted cells in the scaffold were evaluated by immunohistochemistry. To our
knowledge this is the first report with solid evidence demonstrating PBMSCs can
survive in the bone defect area and directly contribute to new bone formation.
Moreover, the present data also indicated the tissue engineering with
PBMSCs/SAP/PLGA scaffold can serve as a novel prospective strategy for healing
large size cranial defects.
PMID- 26568116
TI - Electrocatalysis-induced elasticity modulation in a superionic proton conductor
probed by band-excitation atomic force microscopy.
AB - Variable temperature band-excitation atomic force microscopy in conjunction with
I-V spectroscopy was used to investigate the crystalline superionic proton
conductor CsHSO4 during proton exchange induced by a Pt-coated conductive
scanning probe. At a sample temperature of 150 degrees C and under an applied
bias <1 V, reduction currents of up to 1 nA were observed. Simultaneously, we
show that the electrochemical reactions are accompanied by a reversible decrease
in the elastic modulus of CsHSO4, as seen by a contact resonance shift, and find
evidence for superplasticity during scanning. These effects were not observed in
the room-temperature phase of CsHSO4 or in the case of catalytically inactive
conductive probes, proving the utility of this technique for monitoring
electrochemical processes on the nanoscale, as well as the use of local contact
stiffness as a sensitive indicator of electrochemical reactions.
PMID- 26568118
TI - Neurobiology of Circadian Rhythm Regulation.
AB - Over the past few decades, multilevel research has elucidated the basic
neuroanatomy, neurochemistry, and molecular neurobiology of the master circadian
pacemaker located in the hypothalamic suprachiasmatic nucleus (SCN). The
circadian timing system is composed of a large number of cellular oscillators
located in the SCN, in non-SCN brain structures, and throughout the body.
Cellular-level oscillations are generated by a molecular feedback loop in which
circadian clock genes rhythmically regulate their own transcription, as well as
that of hundreds of clock-controlled genes. The maintenance of proper
coordination within this network of cellular- and tissue-level clocks is
essential for health and well-being.
PMID- 26568117
TI - Manipulating regulatory T cells: a promising strategy to treat autoimmunity.
AB - CD4(+)CD25(+)Foxp3(+)regulatory T cells (Treg cells) are extremely important in
maintaining immune tolerance. Manipulation of Treg cells, especially autoantigen
specific Treg cells is a promising approach for treatments of autoimmune disease
since Treg cells may provide the advantage of antigen specificity without overall
immune suppression. However, the clinical application of Treg cells has long been
limited due to low numbers of Treg cells and the difficulty in identifying their
antigen specificity. In this review, we summarize studies that demonstrate
regression of autoimmune diseases using Treg cells as therapeutics. We also
discuss approaches to generate polyclonal and autoantigen-specific Treg cells in
vitro and in vivo. We also discuss our recent study that describes a novel
approach of generating autoantigen-specific Treg cells in vivo and restoring
immune tolerance by two steps apoptosis-antigen therapy.
PMID- 26568119
TI - Genetics of Circadian Rhythms.
AB - Nearly all organisms exhibit time-dependent behavior and physiology across a 24
hour day known as circadian rhythms. These outputs are manifestations of
endogenous cyclic gene expression patterns driven by the activity of a core
transcription/translation feedback loop. Cyclic gene expression determines highly
tissue-specific functional activity regulating such processes as metabolic state,
endocrine activity, and neural excitability. Entrainment of these cellular clocks
is achieved through exogenous daily inputs, such as light and food. Dysregulation
of the transcription/translation feedback loop has been shown to result in a wide
range of disorders and diseases driving increased interest in circadian
therapies.
PMID- 26568120
TI - Aging and Circadian Rhythms.
AB - Aging is associated with numerous changes, including changes in sleep timing,
duration, and quality. The circadian timing system interacts with a sleep-wake
homeostatic system to regulate human sleep, including sleep timing and structure.
This article reviews key features of the human circadian timing system, age
related changes in the circadian timing system, and how those changes may
contribute to the observed alterations in sleep.
PMID- 26568121
TI - Effect of Light and Melatonin and Other Melatonin Receptor Agonists on Human
Circadian Physiology.
AB - Circadian (body clock) timing has a profound influence on mental health, physical
health, and health behaviors. This review focuses on how light, melatonin, and
other melatonin receptor agonist drugs can be used to shift circadian timing in
patients with misaligned circadian rhythms. A brief overview of the human
circadian system is provided, followed by a discussion of patient characteristics
and safety considerations that can influence the treatment of choice. The
important features of light treatment, light avoidance, exogenous melatonin, and
other melatonin receptor agonists are reviewed, along with some of the practical
aspects of light and melatonin treatment.
PMID- 26568122
TI - Consequences of Circadian Disruption on Cardiometabolic Health.
AB - Cardiovascular disease, diabetes and obesity are highly prevalent diseases
associated with reduced quality of life and life expectancy. We discuss a novel
risk factor for these cardiometabolic diseases: circadian disruption. Circadian
disruption occurs when the internal circadian (~24-hour) rhythms are not in
synchrony with the environment or each other. This paper reviews (1)
cardiometabolic health of shift work, which often leads to circadian disruption,
(2) effects of experimentally disrupted circadian rhythms on cardiometabolic
function, (3) observational studies of sleep timing and behavioral chronotype,
and (4) potential mediators linking chronotype and shift work to circadian
disruption and cardiometabolic health.
PMID- 26568124
TI - Circadian Disruption in Psychiatric Disorders.
AB - Evidence suggests that abnormalities in circadian rhythms might prove causally or
pathophysiologically significant in psychiatric illness. The circadian regulation
of hormonal and behavioral timekeeping processes is often altered in patients
with major depression, bipolar disorder, and schizophrenia, and a susceptibility
to rhythm instability may contribute to the functional impairment. For some
patients, interventions that stabilize or resynchronize circadian rhythms prove
therapeutically effective. Circadian disruption in the clinical profiles of most
psychiatric illnesses and the treatment efficacy of chronobiological
interventions suggest that attention to circadian phenotypes in a range of
psychiatric disorders may help to uncover shared pathophysiologic mechanisms.
PMID- 26568125
TI - Non-24-Hour Sleep-Wake Rhythm Disorder in Sighted and Blind Patients.
AB - Non-24-hour sleep-wake rhythm disorder (N24SWD) is a cyclic debilitating
circadian rhythm sleep disorder characterized by an inability to sleep on a 24
hour schedule. Individuals isolated from a 24-hour light-dark cycle exhibit sleep
wake cycles different from 24 hours. Relatively rare in sighted patients, it may
be associated with delayed sleep-wake rhythm disorder or psychiatric disorders.
It is more common in totally blind individuals owing to the lack of light
information reaching the circadian pacemaker in the hypothalamus. We review the
clinical characteristics of patients with N24SWD, discuss the biological
mechanisms that may underlie its development, and describe treatment strategies.
PMID- 26568123
TI - Consequences of Circadian Disruption on Neurologic Health.
AB - Circadian rhythms have a major role in physiology and behavior. Circadian
disruption has negative consequences for physiologic homeostasis at molecular,
cellular, organ-system, and whole-organism levels. The onset of many
cerebrovascular insults shows circadian temporal trends. Impaired sleep-wake
cycle, the most robust output rhythms of the circadian system, is significantly
affected by neurodegenerative disorders, may precede them by decades, and may
also affect their progression. Emerging evidence suggests that circadian
disruption may be a risk factor for these neurologic disorders. This article
discusses the implications of circadian rhythms in brain disorders, with an
emphasis on cerebrovascular and neurodegenerative disorders.
PMID- 26568126
TI - Irregular Sleep-Wake Rhythm Disorder.
AB - Irregular sleep-wake rhythm disorder is a circadian rhythm disorder characterized
by multiple bouts of sleep within a 24-hour period. Patients present with
symptoms of insomnia, including difficulty either falling or staying asleep, and
daytime excessive sleepiness. The disorder is seen in a variety of individuals,
ranging from children with neurodevelopmental disorders, to patients with
psychiatric disorders, and most commonly in older adults with neurodegenerative
disorders. Treatment of irregular sleep-wake rhythm disorder requires a
multimodal approach aimed at strengthening circadian synchronizing agents, such
as daytime exposure to bright light, and structured social and physical
activities. In addition, melatonin may be useful in some patients.
PMID- 26568127
TI - Jet Lag and Shift Work Disorder.
AB - Jet lag and shift work disorder are circadian rhythm sleep-wake disorders
resulting from behaviorally altering the sleep-wake schedule in relation to the
external environment. Not everyone who experiences trans-meridian travel or
performs shift work has a disorder. The prevalence of jet lag disorder is
unclear, approximately 5%-10% of shift workers have shift work disorder.
Treatment aims to realign the internal circadian clock with the external
environment. Behavioral therapies include sleep hygiene and management of the
light-dark and sleep schedule. Pharmacologic agents are used to treat insomnia
and excessive sleepiness, and melatonin is used to facilitate sleep and circadian
realignment.
PMID- 26568128
TI - Circadian Clocks: Implication for Health and Disease.
PMID- 26568129
TI - Suppressing Segregation in Highly Phosphorus Doped Silicon Monolayers.
AB - Sharply defined dopant profiles and low resistivity are highly desired qualities
in the microelectronic industry, and more recently, in the development of an all
epitaxial Si:P based quantum computer. In this work, we use thin (monolayers
thick) room temperature grown silicon layers, so-called locking layers, to limit
dopant segregation in highly phosphorus doped silicon monolayers. We present
secondary ion mass spectroscopy and atom probe tomography measurements that
demonstrate the effectiveness of locking layers in suppressing P segregation.
Scanning tunneling micrographs of the surface of the locking layer show that the
growth is epitaxial, despite the low growth temperature, while magnetotransport
measurements reveal a 50% decrease in the active carrier density. We show that
applying a finely tuned rapid thermal anneal can restore the active carrier
density to 3.4 * 10(14) cm(-2) while maintaining ultra sharp dopant profiles. In
particular, 75% of the initial deposited P is confined in a layer with a full
width at half-maximum thickness of 1.0 nm and a peak P concentration of 1.2 *
10(21) cm(-3) (2.5 atom %).
PMID- 26568130
TI - Magnon dark modes and gradient memory.
AB - Extensive efforts have been expended in developing hybrid quantum systems to
overcome the short coherence time of superconducting circuits by introducing the
naturally long-lived spin degree of freedom. Among all the possible materials,
single-crystal yttrium iron garnet has shown up recently as a promising candidate
for hybrid systems, and various highly coherent interactions, including strong
and even ultrastrong coupling, have been demonstrated. One distinct advantage in
these systems is that spins form well-defined magnon modes, which allows flexible
and precise tuning. Here we demonstrate that by dissipation engineering, a non
Markovian interaction dynamics between the magnon and the microwave cavity photon
can be achieved. Such a process enables us to build a magnon gradient memory to
store information in the magnon dark modes, which decouple from the microwave
cavity and thus preserve a long lifetime. Our findings provide a promising
approach for developing long-lifetime, multimode quantum memories.
PMID- 26568131
TI - Robust molecular representations for modelling and design derived from atomic
partial charges.
AB - Ab initio derived atomic partial charges offer a simple, yet informative
representation of the molecular electron density. We demonstrate the potential of
different partial charge schemes for molecular descriptor construction. NPA and
CM5 charges turned out to be largely conformation-independent and constitute
representations of choice for molecular modelling and design.
PMID- 26568132
TI - Cardiac Optogenetics: Enhancement by All-trans-Retinal.
AB - All-trans-Retinal (ATR) is a photosensitizer, serving as the chromophore for
depolarizing and hyperpolarizing light-sensitive ion channels and pumps (opsins),
recently employed as fast optical actuators. In mammalian optogenetic
applications (in brain and heart), endogenous ATR availability is not considered
a limiting factor, yet it is unclear how ATR modulation may affect the response
to optical stimulation. We hypothesized that exogenous ATR may improve light
responsiveness of cardiac cells modified by Channelrhodopsin2 (ChR2), hence
lowering the optical pacing energy. In virally-transduced (Ad-ChR2(H134R)-eYFP)
light-sensitive cardiac syncytium in vitro, ATR supplements <=2 MUM improved
cardiomyocyte viability and augmented ChR2 membrane expression several-fold,
while >4 MUM was toxic. Employing integrated optical actuation (470 nm) and
optical mapping, we found that 1-2 MUM ATR dramatically reduced optical pacing
energy (over 30 times) to several MUW/mm(2), lowest values reported to date, but
also caused action potential prolongation, minor changes in calcium transients
and no change in conduction. Theoretical analysis helped explain ATR-caused
reduction of optical excitation threshold in cardiomyocytes. We conclude that
cardiomyocytes operate at non-saturating retinal levels, and carefully-dosed
exogenous ATR can enhance the performance of ChR2 in cardiac cells and yield
energy benefits over orders of magnitude for optogenetic stimulation.
PMID- 26568133
TI - What does a diagnostic label of 'polycystic ovary syndrome' really mean in
adolescence? A review of current practice recommendations.
AB - Polycystic ovary syndrome (PCOS) is the most common female endocrine disorder,
with many women initially presenting during adolescence. Diagnosis during this
period is particularly challenging, yet many emphasize the importance of an early
diagnosis given the long-term metabolic and reproductive health consequences
associated with the syndrome. The objective of this study was to review the
current literature to determine whether the diagnostic label 'PCOS' is necessary
to effectively manage adolescent girls presenting with features of the syndrome.
A literature search was conducted (PubMed, Medline, Informit Health and the
Cochrane Database of Systematic Reviews) identifying papers addressing the
diagnosis and management of PCOS during adolescence. Articles were selected based
on date of publication, relevance of material and the quality of evidence
presented. A total of 427 papers were screened, with 40 of these selected from
the initial search. A subsequent 154 were included from manual review of
reference lists from key papers identified in the initial search. Current
guidelines recommend treating the individual manifestations of PCOS. In doing so,
there is good evidence identifying that this approach adequately targets the
underlying metabolic and reproductive changes associated with the syndrome. This
suggests that providing a diagnostic label of PCOS is not actually necessary to
effectively manage adolescent girls with features of this syndrome.
PMID- 26568134
TI - Tools for Early Prediction of Drug Loading in Lipid-Based Formulations.
AB - Identification of the usefulness of lipid-based formulations (LBFs) for delivery
of poorly water-soluble drugs is at date mainly experimentally based. In this
work we used a diverse drug data set, and more than 2,000 solubility measurements
to develop experimental and computational tools to predict the loading capacity
of LBFs. Computational models were developed to enable in silico prediction of
solubility, and hence drug loading capacity, in the LBFs. Drug solubility in
mixed mono-, di-, triglycerides (Maisine 35-1 and Capmul MCM EP) correlated (R(2)
0.89) as well as the drug solubility in Carbitol and other ethoxylated excipients
(PEG400, R(2) 0.85; Polysorbate 80, R(2) 0.90; Cremophor EL, R(2) 0.93). A
melting point below 150 degrees C was observed to result in a reasonable
solubility in the glycerides. The loading capacity in LBFs was accurately
calculated from solubility data in single excipients (R(2) 0.91). In silico
models, without the demand of experimentally determined solubility, also gave
good predictions of the loading capacity in these complex formulations (R(2)
0.79). The framework established here gives a better understanding of drug
solubility in single excipients and of LBF loading capacity. The large data set
studied revealed that experimental screening efforts can be rationalized by
solubility measurements in key excipients or from solid state information. For
the first time it was shown that loading capacity in complex formulations can be
accurately predicted using molecular information extracted from calculated
descriptors and thermal properties of the crystalline drug.
PMID- 26568135
TI - Magnetically targeted delivery of DOX loaded Cu9S5@mSiO2@Fe3O4-PEG nanocomposites
for combined MR imaging and chemo/photothermal synergistic therapy.
AB - The combination of multi-theranostic modes in a controlled fashion has received
tremendous attention for the construction of cooperative therapeutic systems in
nanomedicine. Herein, we have synthesized a smart magnetically targeted
nanocarrier system, Cu9S5@mSiO2@Fe3O4-PEG (labelled as CMF), which integrates NIR
triggered photothermal therapy, pH/NIR-responsive chemotherapy and MR imaging
into one nanoplatform to enhance the therapeutic efficacy. This new
multifunctional paradigm has a uniform and monodisperse sesame ball-like
structure by decorating tiny Fe3O4 nanoparticles on the surface of Cu9S5@mSiO2
before a further PEG modification to improve its hydrophilicity and
biocompatibility. With doxorubicin (DOX) payload, the as-obtained CMF-DOX
composites can simultaneously provide an intense heating effect and enhanced DOX
release upon 980 nm NIR light exposure, achieving a combined chemo/photothermal
therapy. Under the influence of an external magnetic field, the magnetically
targeted synergistic therapeutic effect of CMF-DOX can lead to highly superior
inhibition of animal H22 tumor in vivo when compared to any of the single
approaches alone. The results revealed that this Cu9S5 based magnetically
targeted chemo/photothermal synergistic nanocarrier system has great promise in
future MR imaging assisted tumor targeted therapy of cancer.
PMID- 26568137
TI - Opinion Paper: Microfluidics Technique to Revolutionize the Drug Delivery Field:
Current Developments and Applications.
PMID- 26568136
TI - Optical coherence tomography-guided laser microsurgery for blood coagulation with
continuous-wave laser diode.
AB - Blood coagulation is the clotting and subsequent dissolution of the clot
following repair to the damaged tissue. However, inducing blood coagulation is
difficult for some patients with homeostasis dysfunction or during surgery. In
this study, we proposed a method to develop an integrated system that combines
optical coherence tomography (OCT) and laser microsurgery for blood coagulation.
Also, an algorithm for positioning of the treatment location from OCT images was
developed. With OCT scanning, 2D/3D OCT images and angiography of tissue can be
obtained simultaneously, enabling to noninvasively reconstruct the morphological
and microvascular structures for real-time monitoring of changes in biological
tissues during laser microsurgery. Instead of high-cost pulsed lasers, continuous
wave laser diodes (CW-LDs) with the central wavelengths of 450 nm and 532 nm are
used for blood coagulation, corresponding to higher absorption coefficients of
oxyhemoglobin and deoxyhemoglobin. Experimental results showed that the location
of laser exposure can be accurately controlled with the proposed approach of
imaging-based feedback positioning. Moreover, blood coagulation can be
efficiently induced by CW-LDs and the coagulation process can be monitored in
real-time with OCT. This technology enables to potentially provide accurate
positioning for laser microsurgery and control the laser exposure to avoid extra
damage by real-time OCT imaging.
PMID- 26568138
TI - Evaluation of Isolated Fractions of Aloe vera Gel Materials on Indinavir
Pharmacokinetics: In vitro and in vivo Studies.
AB - Aloe vera is a plant with a long history of traditional medicinal use and is
consumed in different products, sometimes in conjunction with prescribed
medicines. A. vera gel has shown the ability to modulate drug absorption in
vitro. The aim of this study was to fractionate the precipitated polysaccharide
component of A. vera gel based on molecular weight and to compare their
interactions with indinavir pharmacokinetics. Crude polysaccharides were
precipitated from a solution of A. vera gel and was fractionated by means of
centrifugal filtration through membranes with different molecular weight cut-off
values (i.e. 300 KDa, 100 KDa and 30 KDa). Marker molecules were quantified in
the aloe leaf materials by means of nuclear magnetic resonance spectroscopy and
the average molecular weight was determined by means of gel filtration
chromatography linked to multi-angle-laser-light scattering and refractive index
detection. The effect of the aloe leaf materials on the transepithelial
electrical resistance (TEER) of Caco-2 cell monolayers as well as indinavir
metabolism in LS180 cells was measured. The bioavailability of indinavir in the
presence and absence of the aloe leaf materials was determined in Sprague-Dawley
rats. All the aloe leaf materials investigated in this study reduced the TEER of
Caco-2 cell monolayers, inhibited indinavir metabolism in LS 180 cells to
different extents and changed the bioavailability parameters of indinavir in rats
compared to that of indinavir alone. These indinavir pharmacokinetic modulation
effects were not dependent on the presence of aloverose and also not on the
average molecular weight of the isolated fractions.
PMID- 26568139
TI - Ex vivo and In vivo Evaluation of Chitosan Coated Nanostructured Lipid Carriers
for Ocular Delivery of Acyclovir.
AB - BACKGROUND: Herpes keratitis is the most common infectious cause of blindness in
the developed world. It may be treated by acyclovir (ACV), however this antiviral
drug is poorly soluble with low ocular bioavailability requiring high and
frequent dosing. Nanostructured lipid carriers (NLCs) were investigated to
improve the ocular bioavailability of ACV by enhancing corneal penetration as
well as prolonging the exposure of infected cells to the antiviral agent.
METHODS: Cell uptake studies, ex vivo tolerance and cell uptake efficacy as well
as in vivo corneal permeation of the developed lipid based formulations were
investigated. NLCs were fabricated by the hot microemulsion technique and coated
with 0.5% w/v chitosan. NLCs were capable of increasing the cell uptake of
encapsulated fluorescein and ACV as examined by fluorescence microscopy and high
performance liquid chromatography (HPLC) respectively. RESULTS: When entrapped in
NLCs, the antiviral efficacy of ACV was increased by 3.5 fold after 24 hrs of
exposure. The in vivo corneal permeation of the formulation was studied on Albino
rabbits with NLCs capable of increasing the corneal bioavailability by 4.5 fold
when compared to a commercially available ACV ophthalmic ointment. CONCLUSION:
NLCs enhanced the ocular bioavailability and antiviral properties of ACV through
cell internalisation, sustained release, and increased corneal permeation.
PMID- 26568140
TI - Formation of crystalline InGaO3(ZnO)n nanowires via the solid-phase diffusion
process using a solution-based precursor.
AB - One-dimensional single crystalline InGaO3(ZnO)n (IGZO) nanostructures have great
potential for various electrical and optical applications. This paper
demonstrates for the first time, to our knowledge, a non-vacuum route for the
synthesis of IGZO nanowires by annealing ZnO nanowires covered with solution
based IGZO precursor. This method results in nanowires with highly periodic IGZO
superlattice structure. The phase transition of IGZO precursor during thermal
treatment was systematically studied. Transmission electron microscopy studies
reveal that the formation of the IGZO structure is driven by anisotropic inter
diffusion of In, Ga, and Zn atoms, and also by the crystallization of the IGZO
precursor. Optical measurements using cathodoluminescence and UV-vis spectroscopy
confirm that the nanowires consist of the IGZO compound with wide optical band
gap and suppressed luminescence.
PMID- 26568142
TI - Low-level visual attention and its relation to joint attention in autism spectrum
disorder.
AB - Visual attention is integral to social interaction and is a critical building
block for development in other domains (e.g., language). Furthermore, atypical
attention (especially joint attention) is one of the earliest markers of autism
spectrum disorder (ASD). The current study assesses low-level visual attention
and its relation to social attentional processing in youth with ASD and typically
developing (TD) youth, aged 7 to 18 years. The findings indicate difficulty
overriding incorrect attentional cues in ASD, particularly with non-social
(arrow) cues relative to social (face) cues. The findings also show reduced
competition in ASD from cues that remain on-screen. Furthermore, social
attention, autism severity, and age were all predictors of competing cue
processing. The results suggest that individuals with ASD may be biased towards
speeded rather than accurate responding, and further, that reduced engagement
with visual information may impede responses to visual attentional cues. Once
attention is engaged, individuals with ASD appear to interpret directional cues
as meaningful. These findings from a controlled, experimental paradigm were
mirrored in results from an ecologically valid measure of social attention.
Attentional difficulties may be exacerbated during the complex and dynamic
experience of actual social interaction. Implications for intervention are
discussed.
PMID- 26568141
TI - Oocyte-triggered dimerization of sperm IZUMO1 promotes sperm-egg fusion in mice.
AB - Sperm-egg fusion is indispensable for completing mammalian fertilization.
Although the underlying molecular mechanisms are poorly understood, requirement
of two spermatozoon factors, IZUMO1 and SPACA6, and two oocyte factors, CD9 and
the IZUMO1 counter-receptor JUNO, has been proven by gene disruption, and the
binding of cells to an oocyte can be reconstituted by ectopic expression of
IZUMO1. Here we demonstrate that robust IZUMO1-dependent adhesion of sperm with
an oocyte accompanies the dimerization of IZUMO1. Despite the intrinsic dimeric
property of its N-terminal region, IZUMO1 is monomeric in spermatozoa.
Interestingly, JUNO associates with monomeric IZUMO1, which is then quickly
removed as tight adhesion of the two cells is subsequently established. We
therefore propose that global structural rearrangement of IZUMO1 occurs on JUNO
recognition and that this rearrangement may then initiate force generation to
overcome repulsion between the juxtaposing membranes, through an unidentified
receptor on the egg.
PMID- 26568143
TI - One Step Encapsulation of Small Molecule Drugs in Liposomes via Electrospray
Remote Loading.
AB - Resiquimod is a Toll-like receptor (TLR) 7/8 agonist that has previously been
used as a vaccine adjuvant, as a topical treatment of viral lesions and skin
cancer, and as an antiviral treatment. We report on the combined application of
remote loading and electrospray to produce liposomal resiquimod, with the broader
goals of improving drug encapsulation efficiency and scalability of liposome
production methods. Drug loading in liposomes increased from less than 1% to
greater that 3% by mass when remote loading was used, whether the liposomes were
generated by thin-film hydration or electrospray methods. Dynamic light
scattering (DLS) determined mean vesicle diameters of 137 +/- 11 nm and 103 +/- 4
for the thin-film and electrospray methods, respectively. Transmission electron
microscopy (TEM) images showed spherical vesicles with sizes consistent with the
DLS measurements. In vitro drug release profiles found that most of the drug
remained within the liposomes at both pH 5.5 and 7.4. The in vitro bioactivity of
the liposomal drug was also demonstrated by the increase in nitrite production
when RAW macrophages were exposed to the drug. Our findings indicate that the
remotely loaded liposomes formed via the scalable electrospray method have
characteristics comparable to those produced via conventional batch methods. The
methods discussed here are not limited to the enhanced delivery of resiquimod.
Rather, they should be readily adaptable to other compounds compatible with
remote loading.
PMID- 26568144
TI - Discovery of 6-(4-{[5-Cyclopropyl-3-(2,6-dichlorophenyl)isoxazol-4
yl]methoxy}piperidin-1-yl)-1-methyl-1H-indole-3-carboxylic Acid: A Novel FXR
Agonist for the Treatment of Dyslipidemia.
AB - The farnesoid X receptor (FXR) is a member of the "metabolic" subfamily of
nuclear receptors. Several FXR agonists have been reported in the literature to
have profound effects on plasma lipids in animal models. To discover novel and
effective therapies for dyslipidemia and atherosclerosis, we have developed a
series of potent FXR agonists that robustly lower plasma LDL and vLDL in LDLr-/-
mice. To this end the novel piperidinylisoxazole system LY2562175 was discovered.
This molecule is a potent and selective FXR agonist in vitro and has robust lipid
modulating properties, lowering LDL and triglycerides while raising HDL in
preclinical species. The preclinical ADME properties of LY2562175 were consistent
with enabling once daily dosing in humans, and it was ultimately advanced to the
clinic for evaluation in humans. The synthesis and biological profile of this
molecule is discussed.
PMID- 26568145
TI - Histomorphometry of the tibia and mandible of healthy female Wistar rats at
different stages of growth.
AB - Female Wistar rats are frequently used in experimental models to study hormone
and bone pathologies and treatments. Most experimental studies involving
histomorphometric evaluation assessed long bones, and few reports also studied
mandibular bone. The aim of this work was to clarify and distinguish the age
related histomorphometric changes that occur in the tibia (subchondral bone) and
in the mandible (interradicular bone), and thus obtain reference
histomorphometric data of healthy female Wistar rats at different growth stages.
Three groups of 8 healthy female Wistar rats were euthanized at 6 (GI), 10 (GII),
and 14 (GIII) weeks. The tibiae and mandible were resected and histologically
processed to obtain H&E stained sections of the tibia and the lower first molar
to analyze the following histomorphometric parameters: Bone volume, trabecular
width, trabecular number (Th.N)(1/mm), growth cartilage width, hypertrophic
cartilage width and number of osteoclasts per area in the tibiae, and bone volume
and number of osteoclasts per area N.Oc/mm(2) in the interradicular bone of the
first lower molar. A significant decrease in subchondral bone volume as a result
of a decrease in trabecular number and growth cartilage width was observed in 14
week-old rats. Conversely, interradicular bone volume was found to increase with
age. The results highlight the importance of analyzing both types of bone to
better understand the response of two different trabecular bones, contributing in
turn to decision making regarding treatment strategies and disease management.
PMID- 26568146
TI - The management of head and neck tumors with high technology radiation therapy.
AB - Squamous cell carcinoma is responsible for 90% of the head and neck cancers
affecting over 600,000 people worldwide. Radiation therapy, surgery and
chemotherapy are the most important treatment modalities in head and neck
squamous cell carcinoma. The aim of this review is to summarize the recent
innovations in head and neck radiation therapy, which intends to appreciate the
cutting-edge intensity-modulated radiation therapy strategies to mitigate long
term toxicities and evaluate promising technologies in the field as adaptive
treatment, dose painting and proton therapy.
PMID- 26568147
TI - Rapid and robust spatiotemporal dynamics of the first-order phase transition in
crystals of the organic-inorganic perovskite (C12H25NH3)2PbI4.
AB - The dynamics of the thermally induced first-order structural phase transition in
a high-quality single crystal of the organic-inorganic perovskite
(C12H25NH3)2PbI4 was investigated by optical microscopy. The propagation of the
straight phase front (habit plane) during the phase transition along the cooling
and heating pathways of the thermal hysteresis was observed. The thermochromic
character of the transition allowed monitoring of the thermal dependence of
average optical density and aided the visualization of the interface propagation.
The thermal hysteresis loop is 10 K wide, and the interface velocity is constant
at V ~ 1.6 mm s(-1). The transition is accompanied with sizeable change in
crystal size, with elongation of ~6% along the b axis and compression of ~ -2%
along the a axis, in excellent agreement with previously reported X-ray
diffraction data. The progression of the habit plane is at least 160 times faster
than in spin-crossover materials, and opens new prospects for organic-inorganic
perovskites as solid switching materials. Moreover, the crystals of
(C12H25NH3)2PbI4 are unusually mechanically robust and present excellent
resilience to thermal cycling. These hitherto unrecognized properties turn this
and possibly similar hybrid perovskites into perspective candidates as active
medium for microscopic actuation.
PMID- 26568148
TI - Knowledge Translation and Barriers to Imaging Optimization in the Emergency
Department: A Research Agenda.
AB - Researchers have attempted to optimize imaging utilization by describing which
clinical variables are more predictive of acute disease and, conversely, what
combination of variables can obviate the need for imaging. These results are then
used to develop evidence-based clinical pathways, clinical decision instruments,
and clinical practice guidelines. Despite the validation of these results in
subsequent studies, with some demonstrating improved outcomes, their actual use
is often limited. This article outlines a research agenda to promote the
dissemination and implementation (also known as knowledge translation) of
evidence-based interventions for emergency department (ED) imaging, i.e.,
clinical pathways, clinical decision instruments, and clinical practice
guidelines. We convened a multidisciplinary group of stakeholders and held online
and telephone discussions over a 6-month period culminating in an in-person
meeting at the 2015 Academic Emergency Medicine consensus conference. We
identified the following four overarching research questions: 1) what
determinants (barriers and facilitators) influence emergency physicians' use of
evidence-based interventions when ordering imaging in the ED; 2) what
implementation strategies at the institutional level can improve the use of
evidence-based interventions for ED imaging; 3) what interventions at the health
care policy level can facilitate the adoption of evidence-based interventions for
ED imaging; and 4) how can health information technology, including electronic
health records, clinical decision support, and health information exchanges, be
used to increase awareness, use, and adherence to evidence-based interventions
for ED imaging? Advancing research that addresses these questions will provide
valuable information as to how we can use evidence-based interventions to
optimize imaging utilization and ultimately improve patient care.
PMID- 26568149
TI - Potential of the Lectin/Inhibitor Isolated from Crataeva tapia Bark (CrataBL) for
Controlling Callosobruchus maculatus Larva Development.
AB - Callosobruchus maculatus is an important predator of cowpeas. Due to infestation
during storage, this insect affects the quality of seed and crop yield. This
study aimed to investigate the effects of CrataBL, a multifunction protein
isolated from Crataeva tapia bark, on C. maculatus larva development. The
protein, which is stable even in extreme pH conditions, showed toxic activity,
reducing the larval mass 45 and 70% at concentrations of 0.25 and 1.0% (w/w),
respectively. Acting as an inhibitor, CrataBL decreased by 39% the activity of
cysteine proteinases from larval gut. Conversely, the activity of serine
proteinases was increased about 8-fold. The toxic properties of CrataBL may also
be attributed to its capacity of binding to glycoproteins or glycosaminoglycans.
Such binding interferes with larval metabolism, because CrataBL-FITC was found in
the fat body, Malpighian tubules, and feces of larvae. These results demonstrate
the potential of this protein for controlling larva development.
PMID- 26568150
TI - Body Composition, Strength, and Dietary Intake of Patients with Hip or Knee
Osteoarthritis.
AB - PURPOSE: To describe body composition (fat mass (FM) and fat-free mass (FFM)),
strength, and nutritional characteristics of patients with hip or knee
osteoarthritis undergoing total joint arthroplasty. METHODS: In this prospective
pilot study, osteoarthritic patients underwent body composition assessment using
bioelectrical impedance analysis, grip strength measurement, and completed a 24-h
dietary recall during their pre-operative assessment. RESULTS: Fifty-five
patients were included (~66% females, age 43-89 years). Mean +/- SD body mass
index (BMI) was 32.79 +/- 6.48 kg/m(2) and 62% were obese. Compared with hip
osteoarthritis patients, knee osteoarthritis patients had a higher BMI (P =
0.018) and males with knee osteoarthritis had a lower grip strength (P = 0.028).
There was a wide range in FM and FFM values across the BMI spectrum. Patients
with a higher FM index (FMI, FM/height in m(2)) had higher levels of pain (P =
0.036) and females with higher FMI had a lower grip strength (P = 0.048). Dietary
under-reporting was common and many patients did not meet recommendations for
protein, vitamins C and E, or omega-3 fatty acids. Those who consumed less
protein than the recommended dietary allowance were older (P = 0.018).
CONCLUSIONS: A wide variability of body composition and dietary intake was
observed which may impact strength and ultimately affect physical function. As
such, patients with osteoarthritis may benefit from targeted nutrition and
physical activity interventions before and after surgery.
PMID- 26568152
TI - A connectionist model of the retreat from verb argument structure
overgeneralization.
AB - A central question in language acquisition is how children build linguistic
representations that allow them to generalize verbs from one construction to
another (e.g., The boy gave a present to the girl -> The boy gave the girl a
present), whilst appropriately constraining those generalizations to avoid non
adultlike errors (e.g., I said no to her -> *I said her no). Although a consensus
is emerging that learners solve this problem using both statistical and semantics
based learning procedures (e.g., entrenchment, pre-emption, and semantic verb
class formation), there currently exist few - if any - proposals for a learning
model that combines these mechanisms. The present study used a connectionist
model to test an account that argues for competition between constructions based
on (a) verb-in construction frequency, (b) relevance of constructions for the
speaker's intended message, and (c) fit between the fine-grained semantic
properties of individual verbs and individual constructions. The model was able
not only (a) to simulate the overall pattern of overgeneralization-then-retreat,
but also (b) to use the semantics of novel verbs to predict their argument
structure privileges (just as real learners do), and
PMID- 26568153
TI - Investigation into the Relaxation Dynamics of Polymer-Protein Conjugates Reveals
Surprising Role of Polymer Solvation on Inherent Protein Flexibility.
AB - Fully biodegradable protein-polymer conjugates, namely, MBP-PMeEP (maltose
binding protein-poly methyl-ethylene phosphonate), have been investigated in
order to understand the role of polymer solvation on protein flexibility. Using
elastic and quasi-elastic incoherent neutron scattering, in combination with
partially deuterated conjugate systems, we are able to disentangle the polymer
dynamics from the protein dynamics and meaningfully address the coupling between
both components. We highlight that, in the dry state, the protein-polymer
conjugates lack any dynamical transition in accordance with the generally
observed behavior for dry proteins. In addition, we observe a larger flexibility
of the conjugated protein, compared to the native protein, as well as a lack of
polymer-glass transition. Only upon water hydration does the conjugate recover
its dynamical transition, leading to the conclusion that exclusive polymer
solvation is insufficient to unfreeze fluctuations on the picosecond-nanosecond
time scale in biomolecules. Our results also confirm the established coupling
between polymer and protein dynamics in the conjugate.
PMID- 26568151
TI - Smaller size of high metabolic rate organs explains lower resting energy
expenditure in Asian-Indian Than Chinese men.
AB - BACKGROUND: In Singapore, the obesity prevalence is disproportionately higher in
the Asian-Indians and Malays than the Chinese. Lower resting energy expenditure
(REE) may be a contributory factor. OBJECTIVE: We explored the association
between ethnicity and REE in Chinese, Asian-Indian and Malay men living in
Singapore and determined the influence of body composition, mass/volume of high
metabolic rate organs, represented by brain volume and trunk fat-free mass (FFM),
and physical activity on ethnic differences. DESIGN: Two hundred and forty-four
men from Singapore (n=100 Chinese, 70 Asian-Indians and 74 Malays), aged 21-40
years and body mass index of 18.5-30.0 kg m(-2), were recruited in this cross
sectional study. REE was assessed by indirect calorimetry and body composition by
dual-energy X-ray absorptiometry. Brain volume was measured by magnetic resonance
imaging. Physical activity was assessed by the Singapore Prospective Study
Program Physical Activity Questionnaire. RESULTS: REE was significantly lower in
Asian-Indians compared with that in Chinese after adjusting for body weight. FFM
(total, trunk and limb) and total fat mass were important predictors of REE
across all ethnic groups. Brain volume was positively associated with REE only in
Malays. Moderate and vigorous physical activity was positively associated with
REE only in Asian-Indians and Malays. The difference in REE between Asian-Indians
and Chinese was attenuated but remained statistically significant after
adjustment for total FFM (59+/-20 kcal per day), fat mass (67+/-20 kcal per day)
and brain volume (54+/-22 kcal per day). The association between REE and
ethnicity was no longer statistically significant after total FFM was replaced by
trunk FFM (which includes heart, liver, kidney and spleen) but not when it was
replaced by limb FFM (skeletal muscle). CONCLUSIONS: We have demonstrated a lower
REE in Asian-Indians compared with Chinese who may contribute to the higher rates
of obesity in the former. This difference could be accounted for by differences
in metabolically active organs.
PMID- 26568154
TI - A common genetic variant in 19q13.3 is associated with outcome of multiple
myeloma patients treated with Total Therapy 2 and 3.
PMID- 26568155
TI - Association analysis of insulin-like growth factor-1 axis parameters with
survival and functional status in nonagenarians of the Leiden Longevity Study.
AB - Reduced insulin/insulin-like growth factor 1 (IGF-1) signaling has been
associated with longevity in various model organisms. However, the role of
insulin/IGF-1 signaling in human survival remains controversial. The aim of this
study was to test whether circulating IGF-1 axis parameters associate with old
age survival and functional status in nonagenarians from the Leiden Longevity
Study. This study examined 858 Dutch nonagenarian (males>=89 years; females>=91
years) siblings from 409 families, without selection on health or demographic
characteristics. Nonagenarians were divided over sex-specific strata according to
their levels of IGF-1, IGF binding protein 3 and IGF-1/IGFBP3 molar ratio. We
found that lower IGF-1/IGFBP3 ratios were associated with improved survival:
nonagenarians in the quartile of the lowest ratio had a lower estimated hazard
ratio (95% confidence interval) of 0.73 (0.59 - 0.91) compared to the quartile
with the highest ratio (ptrend=0.002). Functional status was assessed by
(Instrumental) Activities of Daily Living ((I)ADL) scales. Compared to those in
the quartile with the highest IGF-1/IGFBP3 ratio, nonagenarians in the lowest
quartile had higher scores for ADL (ptrend=0.001) and IADL (ptrend=0.003). These
findings suggest that IGF-1 axis parameters are associated with increased old age
survival and better functional status in nonagenarians from the Leiden Longevity
Study.
PMID- 26568156
TI - Diffuse sclerosing variant of papillary thyroid carcinoma: major genetic
alterations and prognostic implications.
AB - AIM: Diffuse sclerosing variant of papillary thyroid carcinoma (DSV-PTC) is an
uncommon variant of PTC, and its prognostic significance remains controversial.
The aim of this study was to investigate the major genetic alterations of DSV-PTC
and their prognostic implications. METHODS AND RESULTS: We included 37 patients
with DSV-PTC who underwent thyroid surgery and had formalin-fixed paraffin
embedded samples. We tested for a panel of genetic alterations, including BRAF(V)
(600E) , NRAS codon 61, HRAS codon 12/13/61 and KRAS codon 12/13 point mutations
as well as RET/PTC1, RET/PTC3 and PAX8/PPARgamma rearrangements using reverse
transcription real-time polymerase chain reaction (PCR). All genetic alterations
found on PCR were confirmed by Sanger sequencing. Associations between the
identified genetic alterations and clinicopathological characteristics were
evaluated. Among 37 cases of DSV-PTC, 17 were positive for RET/PTC1 (46%), six
for RET/PTC3 (16%) and nine for BRAF(V) (600E) (24%). All
mutations/rearrangements were mutually exclusive. The remaining five cases had
none of the above genetic alterations. DSV-PTC with RET/PTC3 rearrangement was
associated with advanced-stage disease, including T4 and distant metastasis (P <
0.05). Patients with RET/PTC3 showed a higher frequency of persistent disease (P
< 0.01). In contrast, DSV-PTC with RET/PTC1 was associated with a higher
prevalence of disease remission (P < 0.05) and coexistent Hashimoto's thyroiditis
(P < 0.01). CONCLUSION: Taken together, RET/PTC rearrangement was the major
genetic alteration seen in patients with DSV-PTC, and the RET/PTC3 rearrangement
was associated with advanced stage at diagnosis and poor clinical outcome.
PMID- 26568157
TI - Gd(III) complexes intercalated into hydroxy double salts as potential MRI
contrast agents.
AB - The ion exchange intercalation of two Gd-based magnetic resonance imaging
contrast agents into hydroxy double salts (HDSs) is reported. The presence of
Gd(3+) diethylenetriaminepentaacetate and Gd(3+)
diethylenetriaminepenta(methylenephosphonate) complexes in the HDS lattice after
intercalation was confirmed by microwave plasma-atomic emission spectroscopy. The
structural aspects of the HDS-Gd composites were studied by X-ray diffraction,
with the intercalates having an interlayer spacing of 14.5-18.6 A. Infrared
spectroscopy confirmed the presence of characteristic vibration peaks associated
with the Gd(3+) complexes in the intercalation compounds. The proton relaxivities
of the Gd(3+) complex-loaded composites were 2 to 5-fold higher in longitudinal
relaxivity, and up to 10-fold higher in transverse relaxivity, compared to
solutions of the pure complexes. These data demonstrate that the new composites
reported here are potentially potent MRI contrast agents.
PMID- 26568158
TI - When do defectless alkanethiol SAMs in ionic liquids become penetrable? A
molecular dynamics study.
AB - Molecular dynamics simulations were performed to address the permeability of
defectless alkanethiol self-assembled monolayers (SAMs) on charged and uncharged
Au(111) surfaces in 1-butyl-3-methylimidazolium ([bmim][BF4]) room-temperature
ionic liquid (IL). We demonstrate that ionic permeation into the monolayer does
not start until a critical surface charge density value is attained (both for
positive and negative surface charges). The free energy barrier for the
permeation of IL components is shown to include nearly equal contributions from
ion desolvation and the channel formation in the dense monolayer. Long chain
alkanethiols (hexadecanethiol SC16H33) exhibit superior barrier properties as
compared with short chain alkanethiols (hexanethiol SC6H13) due to the dense
packing of alkanethiol chains in highly ordered zigzag conformation oriented at
the same tilt angle. Computed critical charge densities correspond to the
electrode potential values beyond the limits of the monolayer stability, which
might indicate the impermeability of the defectless monolayer towards the IL
components. Experimental findings on increased interfacial capacitance are
interpreted, therefore as some manifestation of the monolayer defectiveness
occurring in real electrochemical systems. The potential of the mean force is
constructed for a typical redox probe ferrocene/ferrocenium (Fc/Fc(+)) as well,
to investigate a possible permeation of the solute from the IL into the SC6H13
monolayer.
PMID- 26568159
TI - Guidelines for the diagnosis and management of adult aplastic anaemia.
PMID- 26568160
TI - Food insecurity and migraine in Canada.
AB - AIM: The aim of this study was to examine the prevalence of household food
insecurity in individuals reporting migraine within a large population-based
sample of Canadians. METHODS: The Canadian Community Health Survey (CCHS) uses a
stratified cluster sample design to obtain information on Canadians >=12 years of
age. Data on household food insecurity were assessed for individuals who reported
having migraine or not, providing a current point prevalence. This was assessed
for stability in two CCHS datasets from four and eight years earlier. Factors
associated with food insecurity among those reporting migraine were examined and
a logistic regression model of food insecurity was developed. We also examined
whether food insecurity was associated with other reported chronic health
conditions. RESULTS: Of 48,645 eligible survey respondents, 4614 reported having
migraine (weighted point prevalence 10.2%). Food insecurity was reported by 14.8%
who reported migraine compared with 6.8% of those not reporting migraine, giving
an odds ratio of 2.4 (95% confidence interval 2.0-2.8%). This risk estimate was
stable over the previous eight years. The higher risk for food insecurity was not
unique to migraine and was seen with some, but not all, chronic health conditions
reported in the CCHS. CONCLUSIONS: Food insecurity is more frequent among
individuals reporting migraine in Canada.
PMID- 26568162
TI - Food insecurity and migraine.
PMID- 26568161
TI - sec-Butylpropylacetamide (SPD) has antimigraine properties.
AB - BACKGROUND: Though migraine is disabling and affects 12%-15% of the population,
there are few drugs that have been developed specifically for migraine
prevention. Valproic acid (VPA) is a broad-spectrum antiepileptic drug (AED) that
is also used for migraine prophylaxis, but its clinical use is limited by its
side effect profile. sec-Butylpropylacetamide (SPD) is a novel VPA derivative,
designed to be more potent and tolerable than VPA, that has shown efficacy in
animal seizure and pain models. METHODS: We evaluated SPD's antimigraine
potential in the cortical spreading depression (CSD) and nitroglycerin (NTG)
models of migraine. To evaluate SPD's mechanism of action, we performed whole
cell recordings on cultured cortical neurons and neuroblastoma cells. RESULTS: In
the CSD model, the SPD-treated group showed a significantly lower median number
of CSDs compared to controls. In the NTG-induced mechanical allodynia model, SPD
dose-dependently reduced mechanical sensitivity compared to controls. SPD showed
both a significant potentiation of GABA-mediated currents and a smaller but
significant decrease in NMDA currents in cultured cortical neurons. Kainic acid
evoked currents and voltage-dependent sodium channel currents were not changed by
SPD. CONCLUSIONS: These results demonstrate SPD's potential as a promising novel
antimigraine compound, and suggest a GABAergic mechanism of action.
PMID- 26568163
TI - Improving healthcare: Transforming concepts into action with one patient at a
time.
PMID- 26568164
TI - Insecticide imidacloprid influences cognitive functions and alters learning
performance and related gene expression in a rat model.
AB - The potential toxic effects of several pesticides, including imidacloprid on non
target organisms have not been clearly established. Also, the chronic effects of
non-toxic doses on cognitive function in mammals are unknown. In this study, the
effects of different doses of imidacloprid on learning and memory of infant and
adult rats were evaluated, and the expressions of genes synthesizing proteins
known to be associated with learning in brain tissues were also documented. 0.5,
2 and 8 mg/kg doses of imidacloprid were administered to newborn infant and adult
Wistar albino rats by gavage. Their learning activities were evaluated, and the
expression levels of the inotropic glutamate receptor GRIN1, synoptophysin,
growth-associated protein 43 and the muscarinic receptor M1 in hippocampus were
determined by real-time PCR method. Learning activities were diminished
significantly at 2 and 8 mg/kg doses in the infant model groups and at 8 mg/kg
dose in adult rats. Also, expression levels of GRIN1, SYP and GAP-43 were found
to be insignificantly altered. Only the expression of M1 were significantly
changed in high doses of adult group. Thus imidacloprid in high doses causes
deterioration in cognitive functions particularly in infant rats, and this
deterioration may be associated with changes in the expressions of related genes.
PMID- 26568166
TI - Type of in vitro cultivation influences cytoadhesion, knob structure, protein
localization and transcriptome profile of Plasmodium falciparum.
AB - In vitro cultivation of Plasmodium falciparum is critical for studying the
biology of this parasite. However, it is likely that different in vitro
cultivation conditions influence various aspects of the parasite's life cycle. In
the present study two P. falciparum isolates were cultivated using the two most
common methods, in which AlbuMAX or human serum as additives are used, and the
results were compared. The type of cultivation influenced the knob structure of
P. falciparum-infected erythrocytes (IEs). IEs cultivated with AlbuMAX had fewer
knobs than those cultivated with human serum. Furthermore, knob size varied
between isolates and is also depended on the culture medium. In addition, there
was a greater reduction in the cytoadhesion of IEs to various endothelial
receptors in the presence of AlbuMAX than in the presence of human serum.
Surprisingly, cytoadhesion did not correlate with the presence or absence of
knobs. Greater numbers of the variant surface antigen families RIFIN, STEVOR, and
PfMC-2TM were found at the IE membrane when cultivated in the presence of
AlbuMAX. Moreover, the type of cultivation had a marked influence on the
transcriptome profile. Compared with cultivation with human serum, cultivation
with AlbuMAX increased the expression of approximately 500-870 genes.
PMID- 26568167
TI - Generation of Evidence and Translation Into Practice: Lessons Learned and Future
Directions.
AB - The generation, validation, and then translation of definitive evidence to
bedside evidence-based practice is inconsistent and presents many challenges to
emergency department (ED) researchers and clinicians. This is particularly true
for diagnostic imaging in the ED, where benefits and drawbacks may be difficult
to assess in the chaotic ED setting. This article describes, in large part, the
experience of the Pediatric Emergency Care Applied Research Network (PECARN) in
deriving and validating the traumatic brain injury prediction rules and how
PECARN is translating these prediction rules into clinical practice. Furthermore,
we discuss the potential for patient/parent shared decision-making with a focus
on patient-centered outcomes in ED research and the role this shared decision
making may play in enhancing knowledge translation and implementation of evidence
based care in the ED.
PMID- 26568165
TI - Hepatitis B virus genotype, mutations, human leukocyte antigen polymorphisms and
their interactions in hepatocellular carcinoma: a multi-centre case-control
study.
AB - Three genome-wide association studies (GWAS) have been conducted on the genetic
susceptibility of hepatitis B virus (HBV)-related hepatocellular carcinoma (HCC),
two of which consistently identified tagging single nucleotide polymorphisms
(SNPs) around HLA-DQ/DR. In contrast, large multi-centre association studies
between HBV genotype, mutations and the risk of HCC are relatively rare, and
their interactions with host variants are even less. We performed a multi-centre
study of 1,507 HBV-related HCC cases and 1,560 HBV persistent carriers as
controls to evaluate the effects of HBV genotype, mutations, GWAS-identified HLA
DQ/DR SNPs (rs9272105 and rs9275319) and their interactions on HCC risk. We found
HBV genotype C was more frequent in HBV-related HCC. And 11 HBV hotspot mutations
were independently and significantly associated with HCC risk. We also detected
significant interactions of rs9272105 with both the HBV genotype and mutations.
Through stepwise regression analysis, HBV genotype, the 11 mutations, HLA-DQ/DR
SNPs, and the interaction of rs9272105 with mutation A1752G were all entered into
the HCC prediction model, and the area under the curve for the panel including
the HLA-DQ/DR SNPs, HBV genotype and mutations was 0.840. The HBV genotype, the
mutations and the HLA-DQ/DR SNPs may serve as biomarkers for the surveillance of
HBV persistent carriers.
PMID- 26568168
TI - Effects of soap-water wash on human epidermal penetration.
AB - Skin decontamination is a primary interventional method used to decrease dermal
absorption of hazardous contaminants, including chemical warfare agents,
pesticides and industrial pollutants. Soap and water wash, the most common and
readily available decontamination system, may enhance percutaneous absorption
through the "wash-in effect." To understand better the effect of soap-water wash
on percutaneous penetration, and provide insight to improving skin
decontamination methods, in vitro human epidermal penetration rates of four C(14)
-labeled model chemicals (hydroquinone, clonidine, benzoic acid and paraoxon)
were assayed using flow-through diffusion cells. Stratum corneum (SC) absorption
rates of these chemicals at various hydration levels (0-295% of the dry SC
weights) were determined and compared with the results of the epidermal
penetration study to clarify the effect of SC hydration on skin permeability.
Results showed accelerated penetration curves of benzoic acid and paraoxon after
surface wash at 30 min postdosing. Thirty minutes after washing (60 min
postdosing), penetration rates of hydroquinone and benzoic acid decreased due to
reduced amounts of chemical on the skin surface and in the SC. At the end of the
experiment (90 min postdosing), a soap-water wash resulted in lower hydroquinone
penetration, greater paraoxon penetration and similar levels of benzoic acid and
clonidine penetration compared to penetration levels in the non-wash groups. The
observed wash-in effect agrees with the enhancement effect of SC hydration on the
SC chemical absorption rate. These results suggest SC hydration derived from
surface wash to be one cause of the wash-in effect. Further, the occurrence of a
wash-in effect is dependent on chemical identity and elapsed time between
exposure and onset of decontamination. By reducing chemical residue quantity on
skin surface and in the SC reservoir, the soap-water wash may decrease the total
quantity of chemical absorbed in the long term; however, the more immediate
accelerated absorption of chemical toxins, particularly chemical warfare agents,
may be lethal. Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 26568169
TI - Lithium-Niobate-Silica Hybrid Whispering-Gallery-Mode Resonators.
AB - Lithium-niobate-silica hybrid resonators with quality factors higher than 10(5)
are fabricated by depositing a layer of polycrystalline lithium niobate on the
flat top surfaces of inverted-wedge silica microdisk resonators. All-optical
modulation with improved performance over silica-only resonators and electro
optic modulation not achievable in silica-only resonators are realized in the
hybrid resonators.
PMID- 26568170
TI - The Effect of Rotational Disorder on the Microwave Transmission of Checkerboard
Metal Square Arrays.
AB - The effect of rotational disorder on the microwave transmission through thin
metallic checkerboard arrays has been experimentally studied. Broad resonant
features below the onset of diffraction, attributed to electromagnetic radiation
coupling through the structure via the evanescent fields of bound surface waves,
are found to be strongly dependent on the electrical connectivity of the surface.
By applying rotational disorder to the elements comprising the arrays, with the
lattice constant and element size unchanged, the electrical connectivity of the
structure can be controlled whilst maintaining periodicity. The results show that
rotational disorder can significantly affect transmission only when it changes
the structure's connectivity. When the initial structure is just above the
connectivity threshold (where the metallic occupancy is 50%), increasing disorder
causes the resonant features in transmission to invert as the structure switches
from a predominantly connected array to a disconnected array. When approximately
half of the connections are broken, the resonant features are suppressed, with
scattering loss shown to dramatically increase to as much as 40% of the incident
power over a broad frequency range. The result is a thin, highly effective
scatterer of microwaves.
PMID- 26568171
TI - Long-term outcome after surgical treatment of cranial cruciate ligament rupture
in small breed dogs. Comparison of tibial plateau leveling osteotomy and extra
articular stifle stabilization.
AB - OBJECTIVE: Cranial cruciate ligament rupture is the most frequently occurring
disease of the canine stifle. After introduction of corrective osteotomies of the
proximal tibia as surgical treatment option in medium, large and giant breeds,
the tibial plateau leveling osteotomy (TPLO) evolved into one of the favorite
procedures. In the past small breed dogs have usually been treated by extra
articular stabilization techniques. TPLO has recently become an accepted
treatment method in these breeds as well as, and is nowadays used with increasing
frequency. The purpose of this study was to evaluate long-term outcomes of TPLO
compared to an extra-articular stabilization technique in small breed dogs.
MATERIAL AND METHODS: A total of 40 stifles of 30 small breed dogs weighing <= 15
kg were treated for cranial cruciate ligament rupture with either TPLO (n = 23)
or a lateral capsular-fascial imbrication technique (CFI; n = 17). Dogs were
clinically examined before and at least 6 months after surgery by treadmill
analysis and radiography. Moreover a questionnaire was provided to the owners to
assess postoperative function and overall satisfaction. RESULTS: Twenty-one of 23
operated limbs (91.3%) treated with TPLO and five of 17 (29.4%) treated with the
CFI showed absolute values comparable to healthy dogs when evaluated by peak
vertical force, vertical impulse and its symmetry index during objective gait
analysis. Both groups showed mild, but continuous progression of osteoarthritis.
TPLO led to a significantly faster recovery and a higher degree of owner
satisfaction. CONCLUSION: Based on clinical examination and objective gait
analysis TPLO yielded excellent long-term results and a high degree of owner
satisfaction in small breed dogs <= 15 kg treated for cranial cruciate ligament
rupture. CLINICAL RELEVANCE: TPLO seems to be superior to CFI treatment of small
breed dogs in this study, although CFI should be considered as treatment option
under certain circumstances.
PMID- 26568172
TI - Stabilization of Palladium Nanoparticles on Nanodiamond-Graphene Core-Shell
Supports for CO Oxidation.
AB - Nanodiamond-graphene core-shell materials have several unique properties compared
with purely sp(2) -bonded nanocarbons and perform remarkably well as metal-free
catalysts. In this work, we report that palladium nanoparticles supported on
nanodiamond-graphene core-shell materials (Pd/ND@G) exhibit superior catalytic
activity in CO oxidation compared to Pd NPs supported on an sp(2) -bonded onion
like carbon (Pd/OLC) material. Characterization revealed that the Pd NPs in
Pd/ND@G have a special morphology with reduced crystallinity and are more stable
towards sintering at high temperature than the Pd NPs in Pd/OLC. The electronic
structure of Pd is changed in Pd/ND@G, resulting in weak CO chemisorption on the
Pd NPs. Our work indicates that strong metal-support interactions can be achieved
on a non-reducible support, as exemplified for nanocarbon, by carefully tuning
the surface structure of the support, thus providing a good example for designing
a high-performance nanostructured catalyst.
PMID- 26568173
TI - Physical Education Lessons and Activity Status of Visually Impaired and Sighted
Adolescents.
AB - BACKGROUND This study investigated participation in physical education and sports
lessons of visually impaired adolescents and their sighted peers and compared
their physical activity levels. MATERIAL AND METHODS A total of 22 visually
impaired children of mean age 13.59+/-1.14 years and 31 sighted children aged
13.61+/-0.50 years participated in the study. A questionnaire prepared for this
study was used to investigate participation of visually impaired adolescents and
their sighted peers in physical education and sports lessons at school and the
problems they encounter while doing sports. The Turkish version of the
International Physical Activity Questionnaire - short-form (IPAQ-SF) was used to
evaluate the physical activity level of the subjects. RESULTS The results of our
study suggest that physical activity levels of visually impaired children and
their sighted children were similar (p>0.05). Totally blind children had lower
IPAQ scores than those with low vision (p<0.05), and girls were less active
physically than boys (p<0.05). There were few differences in physical education
lessons of the groups, in taking part in sports-related organizations, and future
plans. CONCLUSIONS Children in secondary school, especially visually impaired
children, need to be more motivated and more encouraged to take part in various
sports or physical activities.
PMID- 26568174
TI - The use of anticoagulants for the treatment and prevention of venous
thromboembolism in obese patients: implications for safety.
AB - INTRODUCTION: Obesity is a growing problem and is associated with a high risk of
venous thromboembolism (VTE). Clinicians are increasingly challenged with
prescribing adequate anticoagulants dosing while balancing the risk of bleeding.
AREAS COVERED: In this narrative review, we address the safety of unfractionated
heparin (UFH), low-molecular-weight heparins (LMWH), fondaparinux, warfarin and
direct oral anticoagulants (DOAC) in obese patients. EXPERT OPINION: Obese
patients have been under-represented in clinical trials and, therefore, the
optimal dosing for both safety and efficacy in this subgroup remains unknown.
Current data are based on pharmacokinetic studies in healthy subjects and small
scale cohort studies not adequately powered to detect differences in bleeding or
thrombosis. Weight-based dosing of UFH and LMWH should be used over fixed dosing
in most obese patients for VTE treatment and prophylaxis. For fondaparinux,
increasing the dose with increasing weight is required for VTE treatment and
consideration should be given to increasing the dose for VTE prophylaxis.
Regarding the DOAC, they should be administered in fixed-dose regimens in the
obese sub-population. Given the increasing prevalence of obesity and the
associated increased risk of VTE, further studies are needed to establish the
safety and efficacy of anticoagulation dosing regimens.
PMID- 26568175
TI - Analysis of dsDNA and RNA viromes in methanogenic digesters reveals novel viral
genetic diversity.
AB - Although viruses are not the key players of the anaerobic digestion process, they
may affect the dynamics of bacterial and archaeal populations involved in biogas
production. Until now viruses have received very little attention in this
specific habitat; therefore, as a first step towards their characterization, we
optimized a virus filtration protocol from anaerobic sludge. Afterwards, to
assess dsDNA and RNA viral diversity in sludge samples from nine different
reactors fed either with waste water, agricultural residues or solid municipal
waste plus agro-food residues, we performed metagenomic analyses. As a result we
showed that, while the dsDNA viromes (21 assigned families in total) were
dominated by dsDNA phages of the order Caudovirales, RNA viruses (14 assigned
families in total) were less diverse and were for the main part plant-infecting
viruses. Interestingly, less than 2% of annotated contigs were assigned as
putative human and animal pathogens. Our study greatly extends the existing view
of viral genetic diversity in methanogenic reactors and shows that these viral
assemblages are distinct not only among the reactor types but also from nearly 30
other environments already studied, including the human gut, fermented food, deep
sea sediments and other aquatic habitats.
PMID- 26568176
TI - The Validity of Advance Directives in Acute Situations.
AB - BACKGROUND: Nearly every fourth person in Germany has an advance directive that
is to be used in certain medical situations. It is questionable, however, whether
advance directives truly influence important treatment decisions in the intensive
care unit. We studied the extent to which doctors and patients' relatives agree
on the applicability of advance directives in the acute setting. METHODS: A
prospective study was carried out by questionnaire among the physicians and
relatives of 50 patients with advance directives who were hospitalized on four
different multidisciplinary intensive care units. The answers of 25 residents in
training, 14 senior physicians, and 19 relatives were analyzed both
quantitatively and qualitatively. The extent of agreement was assessed by means
of Gwet's AC1 with linear weighting. RESULTS: In most of the advance directives,
the conditions under which they were meant to apply were stated in broad, general
terms in prewritten blocks of text. 23 of the 50 patients (46%) died. All
relatives stated that they were very familiar with the patients' wishes; 18 of 19
were legally responsible for decision-making. In assessing whether the advance
directive was applicable to the situation at hand, the strength of agreement
between physicians and relatives as well as between the two groups of physicians
was only fair and non-significant (0.35; 95% confidence interval [CI]: -0.01 to
0.71; p = 0.059 and 0.24; 95% CI: -0.03 to 0.50; p = 0.079). The relatives found
the advance directives more useful than the doctors did (median, 5 vs. 3 [p =
0.018] on a Likert scale ranging from 0 [not useful at all] to 5 [very useful])
and favored their literal application (median, 5 vs. 4 [p = 0.018] on a Likert
scale ranging from 0 [favoring the doctor's interpretation] to 5 [favoring
literal application]). 30 days after the decision, 13 relatives (68%) felt that
the patient's wishes had been fully complied with. CONCLUSION: These groups'
clearly differing assessments of the applicability of advance directives imply
that the currently most common types of advance directive are not suitable for
use in intensive care. In order to support patients' relatives in their role as
surrogate participants in decision-making, improved advance directives should be
developed, and their implementation should be incorporated into the training and
continuing medical education of intensive-care physicians.
PMID- 26568177
TI - Screening and Treatment in Retinopathy of Prematurity.
AB - BACKGROUND: More than 11 000 children are examined for possible retinopathy of
prematurity in Germany each year, and 2-5% of them are treated for it. Even
though screening and treatment programs are in place, the affected children can
still suffer visual impairment. METHODS: In this article, we summarize the
pathogenesis, screening, and treatment of retinopathy of prematurity on the basis
of a selective review of pertinent literature, retrieved by a PubMed search. The
article centers on publications from 2011 to 2015 on the new option of treatment
with VEGF inhibitors and discusses it in comparison to laser therapy. RESULTS:
All premature neonates with a low gestational age at birth, low birth weight, or
prolonged exposure to supplemental oxygen must undergo screening by an
ophthalmologist. Laser therapy is effective for stages 1-3 and for aggressive
posterior retinopathy of prematurity. Its disadvantages are the induction of
scarring and the development of severe myopia in 17-40% of the children so
treated. Anti-VEGF treatment (VEGF = vascular endothelial growth factor) does not
induce any visible scarring and seems to cause less myopia, but long-term data on
safety, dosing, and the choice of anti-VEGF drug are still lacking. CONCLUSION:
The available evidence for anti-VEGF treatment is on a much lower level than the
evidence for laser therapy. Anti-VEGF may be a way to avoid the disadvantages of
laser therapy (scarring and severe myopia). Unlike laser therapy, however, the
intravitreal injection of VEGF inhibitors may suppress systemic VEGF levels and
potentially harm the developing brain, lungs, or other organs. The currently open
questions about anti-VEGF treatment concern its dosing, choice of drug, and long
term safety.
PMID- 26568178
TI - Violence Against Medical Assistants.
PMID- 26568179
TI - Legal Uncertainties.
PMID- 26568180
TI - In Reply.
PMID- 26568181
TI - Screening Patients at High Risk.
PMID- 26568182
TI - Unmentioned Side Effects.
PMID- 26568183
TI - Prevention of Anal Carcinoma.
PMID- 26568184
TI - In Reply.
PMID- 26568185
TI - Antecedent Strategies to Promote Children's and Adolescents' Compliance with
Adult Requests: A Review of the Literature.
AB - Compliance with adult requests and directives has often been described as a
keystone behavior in children, meaning it is associated with engagement in other
desirable and socially appropriate behaviors. As such, a great deal of research
has been directed toward identifying strategies that increase compliance in
children. Antecedent strategies, which focus on increasing the probability of
compliance prior to or during the delivery of the directive or request, are
popular because they have the potential to prevent noncompliance; however, it is
not clear which of the numerous antecedent strategies are effective or for whom.
Therefore, a systematic review of the antecedent strategies for compliance was
completed. Forty-two studies were identified evaluating eight different
antecedent strategies for children aged 1-19. It was determined that high
probability command sequences, effective instruction delivery, and errorless
compliance training may all be considered evidence-based antecedent strategies to
increase children's compliance with adult requests.
PMID- 26568186
TI - Total Synthesis and Stereochemical Revision of Phacelocarpus 2-Pyrone A.
AB - The first total synthesis of phacelocarpus 2-pyrone A is reported. The original
natural compound was tentatively assigned (by NMR spectroscopy) as containing two
cis-alkenes and a trans-vinyl ether connected to a 2-pyrone ring motif. Our
computational predictions indicated that a cis-vinyl ether motif was equally
feasible. Attempts to prepare the trans-vinyl ether were met with no success. The
all cis-target compound was synthesised in nine steps, employing key regio- and
stereoselective reactions including Au(I)-catalysed vinyl etherification, Wittig
alkenylation and end-game Stille macrocyclisation. Analysis of the NMR data
enabled identification and confirmation of the correct structure of phacelocarpus
2-pyrone A, containing a cis-vinyl ether. Our studies pave the way for future
development of methodologies to these structurally distinct pyrone skipped
polyenyne natural products.
PMID- 26568187
TI - Primary diffuse malignant peritoneal mesothelioma in a striped skunk (Mephitis
mephitis).
AB - A 10-year-old female striped skunk (Mephitis mephitis) was admitted with severe
abdominal distension and lethargy. Cytological examination of the peritoneal
fluid revealed activated mesothelial cells. At necropsy, numerous growing
together, projecting, 2 to 20 mm in diameter tawny to white masses were scattered
throughout the peritoneum including the mesentery, omentum and intestinal serosa.
Microscopically, the tumor was composed of prominent papillo-tubular structures,
and immunohistochemically, the spindle to polygonal-shaped tumor cells with
nuclear polymorphism were strongly reactive for calretinin. Based on those
diagnostic features, the neoplasia was diagnosed as malignant mesothelioma. This
is the first case report of mesothelioma in the skunk.
PMID- 26568188
TI - Renal involvement in primary Sjogren syndrome.
AB - Primary Sjogren syndrome (pSS) is an autoimmune disorder characterized by
lymphoplasmacytic infiltration of the exocrine (salivary and lachrymal) glands
that results in sicca symptoms (dryness of the eyes and mouth). Systemic
complications can occur in pSS, but renal involvement is rare, affecting <10%
patients. The most frequent form of nephropathy in pSS is tubulointerstitial
nephritis (TIN), in which infiltration of the kidney by plasma cells is a key
feature and shows similarity to the lymphoplasmacytic infiltration of the
salivary glands. Electrolyte disturbances may occur in pSS, such as renal distal
tubular acidosis, diabetes insipidus, Gitelman syndrome or Fanconi syndrome.
Glomerular involvement is less frequently detected in patients with pSS, but
usually takes the form of membranoproliferative glomerulonephritis secondary to
cryoglobulinaemia. The renal prognosis in patients with pSS and TIN or glomerular
disease is usually favourable, but the risk of chronic kidney disease remains
high in patients with TIN. Appropriate screening must be performed at least once
a year in patients with systemic pSS in order to facilitate the early detection
of renal complications. In this Review we discuss the epidemiology,
pathophysiology, differential diagnosis and treatment of renal disease in pSS.
PMID- 26568189
TI - Therapy: New data do not support use of abatacept in diabetic nephropathy.
PMID- 26568192
TI - Integrating tumor microenvironment with cancer molecular classifications.
AB - The composition of the tumor microenvironment is associated with a patient's
prognosis and can be therapeutically targeted. A link between the cellular
composition and genomic features of the tumor and its response to immunotherapy
is beginning to emerge. Analyzing the microenvironment of tumor molecular
subgroups can be a useful approach to tailor immunotherapies.
PMID- 26568193
TI - Increased proximal acid reflux is associated with early readmission following
lung transplantation.
AB - BACKGROUND: Gastroesophageal reflux disease has been associated with poor
outcomes following lung transplantation. However, the association between
pretransplant reflux and post-transplant readmission, an indicator of early
clinical outcome, has not been previously assessed. METHODS: This was a
retrospective cohort study of lung transplant recipients undergoing pretransplant
multichannel intraluminal impedance and pH (MII-pH) study off acid suppression at
a tertiary care center since 2007. Subjects with pretransplant fundoplication
were excluded. Time to readmission was defined as duration from post-transplant
discharge to next hospital admission for any reason. Subgroup analysis was
performed to exclude elective readmissions. Time-to-event analysis was performed
using Cox proportional hazards model, with appropriate censoring. KEY RESULTS:
Forty-three subjects (60% men, mean age: 57, median follow-up: 1.7 years) met
inclusion criteria for the study. Patient demographics and pretransplant
cardiopulmonary function were similar between readmission cohorts. Time to all
cause readmission was associated with increased distal acid episodes (HR: 3.15, p
= 0.04) and proximal acid episodes (HR: 3.61, p = 0.008) on impedance, increased
acid exposure on pH (HR: 2.22, p = 0.04), and elevated Demeester score (HR: 2.26,
p = 0.03). When elective readmissions were excluded, early readmission remained
significantly associated with increased proximal acid reflux episodes (HR: 2.49,
p = 0.04). All findings were confirmed on Kaplan-Meier analysis. CONCLUSIONS &
INFERENCES: Elevated proximal acid reflux on pretransplant MII-pH testing was
associated with early readmission following lung transplantation, even after
excluding elective readmissions. Exposure to severe acid reflux has measurable
effects on early postoperative outcomes such as readmission, and aggressive early
antireflux therapy should be considered.
PMID- 26568191
TI - HDL abnormalities in nephrotic syndrome and chronic kidney disease.
AB - Normal HDL activity confers cardiovascular and overall protection by mediating
reverse cholesterol transport and through its potent anti-inflammatory,
antioxidant, and antithrombotic functions. Serum lipid profile, as well as
various aspects of HDL metabolism, structure, and function can be profoundly
altered in patients with nephrotic range proteinuria or chronic kidney disease
(CKD). These abnormalities can, in turn, contribute to the progression of
cardiovascular complications and various other comorbidities, such as foam cell
formation, atherosclerosis, and/or glomerulosclerosis, in affected patients. The
presence and severity of proteinuria and renal insufficiency, as well as dietary
and drug regimens, pre-existing genetic disorders of lipid metabolism, and renal
replacement therapies (including haemodialysis, peritoneal dialysis, and renal
transplantation) determine the natural history of lipid disorders in patients
with kidney disease. Despite the adverse effects associated with dysregulated
reverse cholesterol transport and advances in our understanding of the underlying
mechanisms, safe and effective therapeutic interventions are currently lacking.
This Review provides an overview of HDL metabolism under normal conditions, and
discusses the features, mechanisms, and consequences of HDL abnormalities in
patients with nephrotic syndrome or advanced CKD.
PMID- 26568190
TI - Innate immunity in diabetes and diabetic nephropathy.
AB - The innate immune system includes several classes of pattern recognition
receptors (PRRs), including membrane-bound Toll-like receptors (TLRs) and
nucleotide-binding oligomerization domain (NOD)-like receptors (NLRs). These
receptors detect pathogen-associated molecular patterns (PAMPs) and danger
associated molecular patterns (DAMPs) in the extracellular and intracellular
space. Intracellular NLRs constitute inflammasomes, which activate and release
caspase-1, IL-1beta, and IL-18 thereby initiating an inflammatory response.
Systemic and local low-grade inflammation and release of proinflammatory
cytokines are implicated in the development and progression of diabetes mellitus
and diabetic nephropathy. TLR2, TLR4, and the NLRP3 inflammasome can induce the
production of various proinflammatory cytokines and are critically involved in
inflammatory responses in pancreatic islets, and in adipose, liver and kidney
tissues. This Review describes how innate immune system-driven inflammatory
processes can lead to apoptosis, tissue fibrosis, and organ dysfunction resulting
in insulin resistance, impaired insulin secretion, and renal failure. We propose
that careful targeting of TLR2, TLR4, and NLRP3 signalling pathways could be
beneficial for the treatment of diabetes mellitus and diabetic nephropathy.
PMID- 26568194
TI - Hypomethylation of TET2 Target Genes Identifies a Curable Subset of Acute Myeloid
Leukemia.
AB - BACKGROUND: Acute myeloid leukemia (AML) is curable in a subset of cases. The DNA
methylation regulator TET2 is frequently mutated in AML, and we hypothesized that
studying TET2-specific differentially methylated CpGs (tet2-DMCs) improves AML
classification. METHODS: We used bisulfite pyrosequencing to analyze the
methylation status of four tet2-DMCs (SP140, MCCC1, EHMT1, and MTSS1) in a test
group of 94 consecutive patients and a validation group of 92 consecutive
patients treated with cytarabine-based chemotherapy. Data were analyzed with
hierarchical clustering, Cox proportional hazards regression, and Kaplan-Meier
analyses. All statistical tests were two-sided. RESULTS: In the test cohort,
hierarchical clustering analysis identified low levels of tet2-DMC methylation in
31 of 94 (33%) cases, and these had markedly longer overall survival (median
survival 72+ vs 14 months, P = .002). Similar results were seen in the validation
cohort. tet2-DMC-low status was shown to be an independent predictor of overall
survival (hazard ratio = 0.29, P = .0002). In The Cancer Genome Atlas (TCGA)
dataset where DNA methylation was analyzed by a different platform, tet2-DMC-low
methylation was also associated with improved outcome (median survival = 55 vs 15
months, P = .0003) and was a better predictor of survival than mutations in TET2,
IDH1, or IDH2, individually or combined. CONCLUSIONS: Low levels of tet2-DMC
methylation define a subgroup of AML that is highly curable and cannot be
identified solely by genetic and cytogenetic analyses.
PMID- 26568195
TI - Australian insurance costs of jockeys injured in a race-day fall.
AB - BACKGROUND: The risk of falls and injuries in horseracing varies with sex and
experience of the jockey. AIMS: To determine whether the incidence and costs of
insurance claims also differ by such factors. METHODS: A retrospective analysis
of compensation claims by flat racing and jumps jockeys injured in a race-day
fall in Australia between 2002 and 2009. Claim incidence, costs, absentee days
and location, cause and type of injury sustained were described, stratified by
jockey sex, age and experience. RESULTS: The incidence of claims by flat and
jumps racing was 0.6 and 6.5 per 1000 rides, respectively. The mean cost of a
claim was 43374 Australian dollars (AUD) (SD 249612) in flat racing and AUD 52589
(SD 157808) in jumps racing. The incidence of claims was greater for experienced
flat racing jockeys than apprentices but mean costs were higher for apprentices.
After adjustment for experience, there were no sex differences in the average
cost or incidence of flat racing jockeys' claims. In general, the fall incidence
declined, but the claim incidence and median cost of a claim increased, with age.
On average, jockeys were absent from work for 9 weeks following a substantive
injury. Limb fractures (33%), muscular or soft tissue injuries (28%) and
contusions (17%) were the most commonly reported injuries. CONCLUSIONS: The
economic costs of jockey injuries sustained in race-day falls are considerable.
Identification of differences in incidence and costs of insurance claims between
jockey characteristics will assist decision makers in the development and
assessment of targeted safety strategies.
PMID- 26568196
TI - Economic evaluation of vitamin D and calcium food fortification for fracture
prevention in Germany.
AB - OBJECTIVE: The study evaluates the economic benefit of population-wide vitamin D
and Ca food fortification in Germany. DESIGN: Based on a spreadsheet model, we
compared the cost of a population-wide vitamin D and Ca food-fortification
programme with the potential cost savings from prevented fractures in the German
female population aged 65 years and older. SETTING: The annual burden of disease
and the intervention cost were assessed for two scenarios: (i) no food
fortification; and (ii) voluntary food fortification with 20 ug (800 IU) of
cholecalciferol (vitamin D3) and 200 mg of Ca. The analysis considered six types
of fractures: hip, clinical vertebral, humerus, wrist, other femur and pelvis.
SUBJECTS: Subgroups of the German population defined by age and sex. RESULTS: The
implementation of a vitamin D and Ca food-fortification programme in Germany
would lead to annual net cost savings of ?315 million and prevention of 36 705
fractures in the target population. CONCLUSIONS: Vitamin D and Ca food
fortification is an economically beneficial preventive health strategy that has
the potential to reduce the future health burden of osteoporotic fractures in
Germany. The implementation of a vitamin D and Ca food-fortification programme
should be a high priority for German health policy makers because it offers
substantial cost-saving potential for the German health and social care systems.
PMID- 26568197
TI - CrossTalk opposing view: Physiological CO2 exchange does not normally depend on
membrane channels.
PMID- 26568198
TI - Influence of the image levels of distal femur on the measurement of tibial
tubercle-trochlear groove distance--a comparative study.
AB - BACKGROUND: The purpose of the present study was to determine whether the image
levels of the distal femur affected the measurement of the tibial tubercle
trochlear groove (TT-TG) distance. METHODS: Thirty sets of computer tomography
(CT) images and 30 sets of MR images of the knee were evaluated. The TT-TG
distance was quantified at multiple image levels in 1.5-mm increments, covering
the proximodistal range of the trochlear groove. The CT measurement was based on
osseous landmarks; the magnetic resonance imaging (MRI) measurement was based on
cartilaginous and osseous landmarks. RESULTS: The average TT-TG distances
measured with CT, with MRI based on cartilaginous landmarks, and with MRI based
on osseous landmarks were 15.74 mm (SD 3.83 mm), 12.8 mm (SD 5.67 mm), and 12.36
mm (SD 5.58 mm), respectively. No significant difference was found across image
levels in the CT measurement and the MRI measurement upon osseous landmarks (P =
0.64, P = 0.11); yet, the difference was significant in the MRI measurement upon
cartilaginous landmarks (P < 0.01). Large deviation was found between levels in
individual subjects in all the three sorts of measurement. The proximal levels
were the most variable, while the mid levels were the least variable.
CONCLUSIONS: Measurements of the TT-TG distance are not identical across the
levels of the distal femur. Cautions should be taken when specific image slices
were selected for evaluation.
PMID- 26568199
TI - Changes in Hospitalization for Ischemic Heart Disease After the 2008 Sichuan
Earthquake: 10 Years of Data in a Population of 300,000.
AB - OBJECTIVE: The effects of earthquakes on ischemic heart disease (IHD) have often
been reported. At a population level, this study examined short-term (60-day) and
long-term (5-year) hospitalization events for IHD after the 2008 Sichuan
earthquake. METHODS: We examined the 10-year medical hospitalization records on
IHD in the city of Deyang provided by the Urban Employee Basic Health Insurance
program. RESULTS: Evaluation of 19,083 hospitalizations showed a significantly
lower proportional number and cost of hospitalizations in the 60 days after the
earthquake (P<0.001). Hospitalizations were 27.81% lower than would have been
expected in a normal year; costs were 32.53% lower. However, in the 5 years after
the earthquake, the age-adjusted annual incidence of hospitalization increased
significantly (P<0.001). In the fifth year after the earthquake, it was
significantly higher in the extremely hard-hit area than in the hard-hit area
(P<0.01). CONCLUSION: After the 2008 earthquake, short- and long-term patterns of
hospitalization for IHD changed greatly, but in different ways. Our findings
suggest that medical resources for IHD should be distributed dynamically over
time after an earthquake.
PMID- 26568200
TI - Graphdiyne as a promising material for detecting amino acids.
AB - The adsorption of glycine, glutamic acid, histidine and phenylalanine on single
layer graphdiyne/graphene is investigated by ab initio calculations. The results
show that for each amino acid molecule, the adsorption energy on graphdiyne is
larger than the adsorption energy on graphene and dispersion interactions
predominate in the adsorption. Molecular dynamics simulations reveal that at room
temperature the amino acid molecules keep migrating and rotating on graphdiyne
surface and induce fluctuation in graphdiyne bandgap. Additionally, the photon
absorption spectra of graphdiyne-amino-acid systems are investigated. We uncover
that the presence of amino acid molecules makes the photon absorption peaks of
graphdiyne significantly depressed and shifted. Finally, quantum electronic
transport properties of graphdiyne-amino-acid systems are compared with the
transport properties of pure graphdiyne. We reveal that the amino acid molecules
induce distinct changes in the electronic conductivity of graphdiyne. The results
in this paper reveal that graphdiyne is a promising two-dimensional material for
sensitively detecting amino acids and may potentially be used in biosensors.
PMID- 26568201
TI - Sporulation in soil as an overwinter survival strategy in Saccharomyces
cerevisiae.
AB - Due to its commercial value and status as a research model there is an extensive
body of knowledge concerning Saccharomyces cerevisiae's cell biology and
genetics. Investigations into S. cerevisiae's ecology are comparatively lacking,
and are mostly focused on the behaviour of this species in high sugar, fruit
based environments; however, fruit is ephemeral, and presumably, S. cerevisiae
has evolved a strategy to survive when this niche is not available. Among other
places, S. cerevisiae has been isolated from soil which, in contrast to fruit, is
a permanent habitat. We hypothesize that S. cerevisiae employs a life history
strategy targeted at self-preservation rather than growth outside of the fruit
niche, and resides in forest niches, such as soil, in a dormant and resistant
sporulated state, returning to fruit via vectors such as insects. One crucial
aspect of this hypothesis is that S. cerevisiae must be able to sporulate in the
'forest' environment. Here, we provide the first evidence for a natural
environment (soil) where S. cerevisiae sporulates. While there are further
aspects of this hypothesis that require experimental verification, this is the
first step towards an inclusive understanding of the more cryptic aspects of S.
cerevisiae's ecology.
PMID- 26568202
TI - Local climatic conditions constrain soil yeast diversity patterns in
Mediterranean forests, woodlands and scrub biome.
AB - Soil yeasts represent a poorly known fraction of the soil microbiome due to
limited ecological surveys. Here, we provide the first comprehensive inventory of
cultivable soil yeasts in a Mediterranean ecosystem, which is the leading
biodiversity hotspot for vascular plants and vertebrates in Europe. We isolated
and identified soil yeasts from forested sites of Serra da Arrabida Natural Park
(Portugal), representing the Mediterranean forests, woodlands and scrub biome.
Both cultivation experiments and the subsequent species richness estimations
suggest the highest species richness values reported to date, resulting in a
total of 57 and 80 yeast taxa, respectively. These values far exceed those
reported for other forest soils in Europe. Furthermore, we assessed the response
of yeast diversity to microclimatic environmental factors in biotopes composed of
the same plant species but showing a gradual change from humid broadleaf forests
to dry maquis. We observed that forest properties constrained by precipitation
level had strong impact on yeast diversity and on community structure and lower
precipitation resulted in an increased number of rare species and decreased
evenness values. In conclusion, the structure of soil yeast communities mirrors
the environmental factors that affect aboveground phytocenoses, aboveground
biomass and plant projective cover.
PMID- 26568203
TI - A shorter set reduces the loss of cardiac autonomic and baroreflex control after
resistance exercise.
AB - Set configuration may affect the recovery pattern of cardiac vagal autonomic and
reflex modulation after a resistance exercise, since it is closely associated
with intensity and volume and determines the metabolic involvement of the
session. We tested the hypothesis that longer set configurations have a higher
impact on cardiac autonomic control and baroreflex sensitivity compared with
shorter set configurations. We studied the effects of three set configurations
with the same components of work on the cardiac autonomic control and baroreflex
sensitivity. Seventeen subjects performed one control session and three
experimental sessions of a leg-press exercise with the same volume (40
repetitions), resting time (720 s) and intensity (10RM load): (a) 5 sets of 8
repetitions with 3 min of rest between sets (8S), (b) 10 sets of 4 repetitions
with 80 s of rest between sets (4S) and (c) 40 sets of 1 repetition with 18.5 s
of rest between each repetition (1S). Longer set configurations (8S and 4S)
induced greater reductions of the vagal cardiac autonomic control and baroreflex
sensitivity (p <= .001) compared with a shorter set configuration (1S). Also, 1S
had non-significant reductions versus the control session (p > .05). These
findings suggest that a shorter set configuration can reduce the impact of
resistance exercise on the post-exercise cardiac vagal autonomic control and
baroreflex sensitivity.
PMID- 26568204
TI - Equilibrium and dynamical properties of polymer chains in random medium filled
with randomly distributed nano-sized fillers.
AB - The effect of randomly distributed nano-sized fillers on the equilibrium and
dynamical properties of linear polymers is studied by using off-lattice Monte
Carlo simulation. Lennard-Jones interactions between polymers and fillers are
considered. Results show that the statistical dimensions and dynamical diffusion
of polymer are dependent on the polymer-filler interaction strength epsilonpf.
The mean square radius of gyration shows a minimum at a critical polymer
filler interaction epsilonpf*. The value of epsilonpf* decreases with the
increase in the polymer length or the concentration of fillers. The exponent nu
in ~ N(2nu) is a typical value of self-avoiding walking chain at small
epsilonpf but it increases sharply to a bigger value at epsilonpf > epsilonpf*.
The mean square displacement decreases with the increase in epsilonpf. Moreover,
the normal diffusion of the polymer at weak interactions changes to subnormal
diffusion at moderate and strong attractions. We find that polymers diffuse in
dilute filler regions at weak attraction and diffuse in dense filler regions at
strong attraction.
PMID- 26568205
TI - A sensitive and selective sensor for biothiols based on the turn-on fluorescence
of the Fe-MIL-88 metal-organic frameworks-hydrogen peroxide system.
AB - Herein, we present a novel strategy based on a "turn-on" fluorescence system made
up of metal-organic frameworks Fe-MIL-88 and H2O2 for detecting biothiols in
human serum. The nonfluorescent Fe-MIL-88 gives weak fluorescence in the presence
of H2O2. Interestingly, it was found that biothiols such as glutathione (GSH),
cysteine (Cys) or homocysteine (Hcy) could induce fluorescence turn-on of the Fe
MIL-88/H2O2 system. Under optimal conditions, the relative fluorescence intensity
exhibited a good linear relationship in the range from 50 nM-10 MUM for GSH (r =
0.994), 50 nM-10 MUM for Cys (r = 0.990), and 50 nM-10 MUM (r = 0.992) for Hcy;
the detection limits of GSH, Cys and Hcy were 30 nM, 40 nM, and 40 nM
respectively. Mechanism investigation reveals that biothiols could associate with
Fe-MIL-88 via hydrogen bonding and electrostatic interaction followed by redox
reaction between biothiols and Fe(3+) present in the Fe-MIL-88, Fe(3+) was thus
reduced to Fe(2+), and then Fe(2+) could efficiently catalyze the decomposition
of H2O2 to yield OH radicals through the Fenton reaction. Besides, biothiols were
able to reduce H2O2 to produce OH radicals directly. Thus the Fe-MIL-88 as well
as biothiols could cooperatively contribute to the activation of H2O2 to generate
higher amounts of OH radicals, which in turn oxidize the free ligand terephthalic
acid (BDC) outside or within the Fe-MIL-88 structure to strongly fluorescent
hydroxylated terephthalic acid (OHBDC), thereby turning on the fluorescence.
PMID- 26568206
TI - Smart Pipette and Microfluidic Pipette Tip for Blood Plasma Separation.
AB - An integrated method for blood plasma separation is presented by combining a
pneumatic device, which is referred to as a "smart pipette," and a hydrophoretic
microchannel as a microfluidic pipette tip for whole-blood sample preparation.
This method enables hemolysis-free, high-purity plasma separation through smart
pipetting of whole blood, potentially providing the means for rapid, inexpensive
blood sample preparation for point-of-care testing.
PMID- 26568207
TI - Role of AMPK signaling in mediating the anticancer effects of silibinin in
esophageal squamous cell carcinoma.
AB - OBJECTIVE: Emerging evidence suggests that activation of adenosine monophosphate
activated protein kinase (AMPK) may suppress cancer growth. Identification of
novel AMPK activators is therefore crucial to exploit AMPK as a potential target
for cancer prevention and treatment. RESEARCH DESIGN AND METHODS: We determined
the expression status and role of AMPK in esophageal squamous cell carcinoma
(ESCC) and investigated whether silibinin, a nontoxic natural product, could
activate AMPK to inhibit ESCC development. RESULTS: Our results from 49 pairs of
human ESCC and normal tissues showed that AMPK was constitutively inactive in the
majority (69.4%) of ESCC. We found that silibinin induced apoptosis, and
inhibited ESCC cell proliferation in vitro and tumorigenicity in vivo without any
adverse effects. Silibinin also markedly suppressed the invasive potential of
ESCC cells in vitro and their ability to form lung metastasis in nude mice. The
anticancer effects of silibinin were abrogated by the presence of compound C or
shRNA against AMPK. More importantly, silibinin enhanced the sensitivity of ESCC
cells and tumors to the chemotherapeutic drugs, 5-fluorouracil and cisplatin.
CONCLUSIONS: This preclinical study supports that AMPK is a valid therapeutic
target and suggests that silibinin may be a potentially useful therapeutic agent
and chemosensitizer for esophageal cancer.
PMID- 26568208
TI - Circulating tumor DNA for triple-negative breast cancer diagnosis and treatment
decisions.
AB - Triple-negative breast cancer (TNBC) is a highly aggressive disease characterized
by a high number of relapses and poor overall survival. The heterogeneity of the
disease and the limited treatment options compared to other breast cancer
subtypes mainly explain these clinical outcomes. New biomarkers are urgently
needed to improve the management of TNBC. Circulating tumor DNA, identified by
tumor-related molecular alterations, could be used in the context of non-invasive
"liquid biopsy" and help in TNBC diagnosis and treatment decisions. In this
review, we discuss the key issues related to the potential of circulating tumor
DNA to improve the management of this disease and the future steps to overcome
before its implementation into clinical routine within the next 5 years.
PMID- 26568209
TI - Graduation at age 50+: Contested efforts to construct "third age" identities and
negotiate cultural age stereotypes.
AB - The cultural and social contexts of aging have changed a great deal during the
last two decades and aging experiences have become more differentiated. However,
pervasive age stereotypes still exist that limit the agency and self-perception
of older people, and part of the experience of new aging is to actively combat
such negative stereotypes. The purpose of this study is to explore how lifelong
learning and a degree attainment in midlife become embedded into new aging
practices. The study will focus on a specific group of aging workers who attained
a Master's degree from Finnish universities in their fifties. In order to better
understand the aging experiences of these older graduates, this study seeks to
address how they construct the meaning of aging in relation to their own
educational and professional status. The data consist of 14 life-history
interviews, which were analyzed as narrative identity performances.
Differentiating oneself from the stereotype of physical and mental decline and
positioning oneself in a favorable way in inter-generational relations were
common ways of approaching aging. Age-negotiation and ambivalence about aging
were expressed by structuring narratives around clear oppositions and
contradictions. University studies at age 50+ became a talking point in
countering cultural age-stereotypes, because it showed that aging workers could
still accomplish significant goals and "renew" oneself intellectually. University
studies also enabled collaboration with the younger generation and the breaking
of narrow age boundaries.
PMID- 26568211
TI - Need or right: Sexual expression and intimacy in aged care.
AB - This paper explores how the residential aged care sector could engage with
residents' sexual expression and intimacy. It is informed by a study of 19 aged
care staff members and 23 community members, and initially designed on the
principles of Appreciative Inquiry methodology. The data were collected through
focus groups and interviews and analyzed using discourse analysis. We found that
staff members mainly conceptualize sexual expression as a need to be met, while
community members (current and prospective residents) understand it as a right to
be exercised. We conclude that the way in which sexual expression is
conceptualized has critical implications for the sector's engagement with this
topic. A 'needs' discourse informs policies, procedures and practices that enable
staff to meet residents' needs, while a 'rights' discourse shapes policies,
practices and physical designs that improve residents' privacy and autonomy,
shifting the balance of power towards them. The former approach fits with a
nursing home medical model of care, and the latter with a social model of service
provision and consumption.
PMID- 26568210
TI - Walk-friendly suburbs for older adults? Exploring the enablers and barriers to
walking in a large suburban municipality in Canada.
AB - The neighbourhood environment may enable active aging by allowing the integration
of walking into an older adult's daily routine. This study explores the
relationship between the neighbourhood built environment and walking among a
small group of older adults in a large suburban municipality in Canada. In-depth
interviews using a photo-voice approach revealed that the participants walked
largely to accumulated physical activity. Older adults who lived in either
conventional residential or condominium neighbourhoods discussed poor traffic
conditions and lack of benches/trees/places as barriers, and proximity to parks
and access to shops as enablers to walking. Poor sidewalk quality, absence of
street lights and personal safety concerns were major barriers to walking only
for those living in suburban residential neighbourhoods. Our results indicate
that high quality- and safe walking infrastructure may facilitate walking for
physical activity among older adults living in the suburban communities.
PMID- 26568212
TI - The divide within: Older active ICT users position themselves against different
'Others'.
AB - Although research into older people's internet usage patterns is rapidly growing,
their understandings of digital technologies, particularly in relation to how
these are informed by their understandings of aging and old age, remain
unexplored. This is the case because research on older active ICT users tends to
regard old age as an empirically interesting part of the life-course as opposed
to a theoretically profuse source of information about why and how older people
engage with digital technologies. This article explores - through focus group
interviews with 30 older adults (aged 66-89) - the ways in which the social
position of old age is used by older active ICT users in order to make sense of
how and why they engage with these technologies. In this article, positioning
theory is used to shed light on how the older people interviewed positioned
themselves as 'active older users' in the interviews. The analysis brings to the
fore the divide that older people themselves create as they discursively position
themselves against different types of ICT users and non-users (young and old)
when describing how and why they engage with digital technologies.
PMID- 26568213
TI - Resilience and vision impairment in older people.
AB - Some people fare better than others when faced with adversity; they appear to be
more 'resilient'. This article explores the concept of resilience in the context
of vision impairment using two linked sets of narrative interview data from 2007
to 2010. Three case studies were analysed in detail using a framework approach
based upon a social-ecological model of resilience and vision impairment. Within
the model a range of assets and resources are identified which influence an
individual's capacity for resilience. A set of criteria were used to establish
the extent to which each individual appeared to be resilient at each point in
time. Analysis revealed that it is not merely the presence or absence of
individual, social, and community resources - but how these resources interact
with each other - that influences resilience and can create a risk to wellbeing.
To possess only some of these resources is not sufficient; there is a co
dependency between these resources which requires the presence of other resources
for resilience to be achieved. Resilience is not a fixed state; individuals can
become more or less resilient as their circumstances and resources change over
time. We suggest that the concept of resilience has much to offer the field of
vision impairment as it allows the identification of enablers as well as areas of
barriers to improving people's health and wellbeing and suggests further
opportunities for service providers to engage with clients, even those who appear
to be supported, as people's social, economic and emotional landscapes continue
to change over time, rather than identifying deficit.
PMID- 26568214
TI - "I want to be 100 years old, but I smoke too much": Exploring the gap between
positive aging goals and reported preparatory actions in different social
circumstances.
AB - Preparing for positive aging is shaped by the social context a person lives in.
The present qualitative study explores and compares representations about
preparatory actions in precarious workers (i.e., with temporary job contracts and
insecure pension plans) and individuals with secure pension plans living in
Germany. It also examines, the discrepancy between what middle-aged persons think
they should be doing in preparation and what they report doing for aging well.
Findings from the analysis of the semi-structured interviews conducted here show
that people who have insecure pension plans tend to see themselves as social
networkers and optimists, while those with secure pension plans see themselves as
social activists and careful planners of old age. All participants value an
active, healthy body but manage to do little in order to attain it due to lacking
time and discipline. In accordance with the socioemotional selectivity theory,
perceiving a short-time perspective makes precarious individuals focus on
emotional goals, while financially secure individuals value social goals.
Implications for practice and policy change are discussed.
PMID- 26568216
TI - Aging with service, socialization, and support: The work of faith-based stories
in a lifetime community.
AB - This project explores the impact that stories told through the church have on
rural older adults and their perceptions of community resources, possibilities,
and responsibilities as they age in the same small town where they have lived
most, if not all, of their lives. I combine qualitative research practices with
narrative theorizing to understand the ways in which faith-based stories work
with, for, and on community members. I seek to understand how these stories
foster a culture of altruism and spirit of stewardship that can ultimately build
an inclusive community, nurture a sense of responsibility across generations, and
enable residents to age in place with meaningful connection, purpose, and
support.
PMID- 26568215
TI - Not ageing in place: Negotiating meanings of residency in age-related housing.
AB - PURPOSE: This article explores the experience of residing in age-related housing.
The focus is on the negotiations around the multiple meanings assigned to place
of residency among older people - in a situation where the official policy
objectives of growing old in one's own home are not achieved. DESIGN AND METHODS:
Narrative analysis is employed to study the experiences of older people aged 75
or older living in special types of housing due to actual or anticipated
difficulties associated with age. The interviews are part of a larger body of
data gathered in MOVAGE Moving in Old Age: Transitions in Housing and Care
research project. FINDINGS: The storyworld was structured by the romantic
canonical narrative associated with the policy of 'ageing in place'; growing old
at home is idealised and moving is constructed as a disruption. This breach was
resolved through explaining deviance from canonical expectations by causes
constructed as legitimate, through encountering trouble by constructing oneself
as a non-typical resident, and through creating counter stories of natural
transitions and choices. As a result, despite the commonly negative meanings
associated with the residency in age-related housing, positive storylines
respecting values embedded in the canonical narratives of home and endurance were
achieved. IMPLICATIONS: A living environment that is experienced as suitable, and
that has adequate formal help available, supports and enables wellbeing and
independence. This is true within age-related housing as well as in other forms.
Thus, even though the important meaning of a long-term home should continue to be
acknowledged, various other kinds of forms of housing should be made available in
order to enhance older people's sense of security and feeling that they are
autonomous, independent agents in their everyday life in accordance with their
subjective life experiences.
PMID- 26568217
TI - Shifts in media images of women appearance and social status from 1960 to 2010: A
content analysis of beauty advertisements in two Australian magazines.
AB - Ageing well and successful ageing have become important themes to describe how
older individuals should keep ageing at bay. Products and services aimed at
controlling ageing have become associated with ageing well. In this study we
aimed to analyse the representation of older women in advertisements specific to
appearance and ageing. In particular, we sought to explore how ageing for women
was presented in the media over a period 50 years and when advertisements began
to use the term 'anti-ageing'. A content analysis of 710 advertisements from two
prominent Australian women's magazines, from 1960 to 2010, was conducted.
Analyses showed that advertisements provided a narrow range of images
representing women's physical appearance. The underlying messages were that
ageing is problematic and that it had become unforgivable to show any signs of
ageing. Text contained in advertisements for beauty products from the two chosen
Australian magazines often gave specific and prescriptive advice to women on ways
to avoid losing their youthful appearance. It was concluded that media relay
powerful messages to spread and modify cultural beliefs informing individuals of
a range of options that propose liberation from the problem of ageing.
PMID- 26568218
TI - 'We're in the sandwich': Aged care staff members' negotiation of constraints and
the role of the organisation in enacting and supporting an ethic of care.
AB - Aged care staff are often seen as holding power in care relationships,
particularly in client engagement. Such a perception, however, may limit our
understanding and analysis of the dynamics and politics within care spaces. This
paper uses interview and focus group data from both staff and clients of an
Australian aged care provider to identify the positions given to, and taken up
by, staff in client engagement. Focusing on one of these positions, in which
staff are seen as managing and negotiating constraints, the paper uses an ethic
of care lens to examine the context in which engagement - and this position
taking - occurs. Findings reflect the importance of the organisational and
systemic context to the practice of care ethics and the potential vulnerability
and disempowerment of care giving staff. Implications for the support of staff in
client engagement and the role of care organisations beyond structures and
processes to an active participant in an ethic of care are discussed.
PMID- 26568219
TI - Patient, resident, or person: Recognition and the continuity of self in long-term
care for older people.
AB - Becoming a resident in a long-term care facility challenges older people's
continuity of self in two major ways. Firstly, as they leave behind their
previous home, neighborhood, and often their social surroundings, older people
have to change their life-long lifestyles, causing fears of the loss of one's
self. Secondly, modern-day care facilities have some features of 'total'
institutions that produce patient-like role expectations and thus challenge older
people's selves. Our ethnographic study in a geriatric hospital and a sheltered
home in Finland aims to find out what features of daily life either support or
challenge older people's continuity of self. A philosophical reading of the
concept of recognition is used to explore how various daily practices and
interactions support recognizing people as persons in long-term care. Categories
of institution-centered and person-centered features are described to illustrate
multiple ways in which people are recognized and misrecognized. The discussion
highlights some ways in which long-term care providers could use the results of
the study.
PMID- 26568220
TI - Dementia, distributed interactional competence and social membership.
AB - The article analyzes how a person with dementia playing a guitar collaborates
with other people in a joint activity. The analysis shows that a person with
dementia may gain social membership in a group of persons with and without
dementia through social interaction, collaboration, scaffolding and use of
material anchors. It shows that interactional skills as well as skills as guitar
player are not only products of a mind-body system, but also a product of
collaboration between different actors with different participant statuses in a
particular situation. The guitar player's mind emerges in the social context of
the joint activity and scaffolding. Scaffolding comes from interactive moves from
the other participants without dementia and from the guitar. The guitar
represents a material anchor. It is a tool for participation, experiences of
pleasure, and coping, but it is also a challenge that requires management of face
threatening events.
PMID- 26568221
TI - Perceptions and employment intentions among aged care nurses and nursing
assistants from diverse cultural backgrounds: A qualitative interview study.
AB - The residential aged care industry faces shortages and high turnover rates of
direct care workers. This situation is further complicated by the increasing
cultural diversity of residents and staff. To retain direct care workers, it is
crucial to explore their perceptions of the rewards and difficulties of care
work, and their employment intentions in multicultural environments. A
qualitative descriptive study was used to understand perceptions of the rewards
and difficulties of residential aged care work for core direct care workers (i.e.
nurses and nursing assistants), how these were related to their intentions to
stay or leave, and how these varied between nurses and nursing assistants, and
between locally and overseas born workers. Individual interviews were conducted
between June and September 2013 with 16 direct care workers in an Australian
residential aged care facility with a specific focus on people from culturally
and linguistically diverse backgrounds. It was found that direct care workers'
employment intentions were related to their perceptions and management of the
rewards and difficulties of care work. Their experiences of care work, the
employment characteristics, and the organizational resources that fitted their
personality, ability, expectations, and essential needs were viewed as rewards.
Evaluating their jobs as meaningful was a shared perception for direct care
workers who intended to stay. Individual workers' perceptions of the rewarding
aspects of care work served to counterbalance the challenges of care work, and
promoted their intentions to stay. Perceptions and employment intentions varied
by occupational groups and by cultural backgrounds. Overseas born direct care
workers are valuable resources in residential aged care facility rather than a
limitation, but they do require organizational support, such as cultural
awareness of the management, English language support, a sense of family, and
appropriate job responsibility. The findings indicated that aged care policy
makers and service providers should understand the range of individual direct
care workers' positive and negative perceptions, and their employment intentions
within the context of their roles and their cultural backgrounds.
PMID- 26568222
TI - Unmasking the 'elderly mystique': Why it is time to make the personal political
in ageing research.
AB - This article uses feminist scholarship to investigate 'the elderly mystique'
which contends that the potential of old age is masked by a set of false beliefs
about ageing (i.e. ageism) which permeate social, economic, and political life
(Cohen, 1988). The article presents a theoretical model which explores the extent
to which institutionalised ageism shapes the trajectory of life after 60.(1) The
hypothesis underpinning the model is simple: The challenge for ageing societies
is not the average age of a given population, but rather, how age is used to
structure economic, social and political life. An inter-disciplinary framework is
used to examine how biological facts about ageing are used to segregate older
from younger people, giving older people the status of 'other'; economically
through retirement, politically through assumptions about 'the grey vote,' and
socially through ageist stereotyping in the media and through denial and ridicule
of the sexuality of older people. Each domain is informed by the achievements of
feminist theory and research on sexism and how its successes and failures can
inform critical investigations of ageism. The paper recognises the role of ageism
in de-politicising the lived experience of ageing. The paper concludes that
feminist scholarship, particularly work by feminists in their seventies,
eighties, and nineties, has much to offer in terms of re-framing gerontology as
an emancipatory project for current and future cohorts of older people.
PMID- 26568223
TI - One of us: Stories from two New Zealand rest homes.
AB - In this article we explore the ways in which two aged residential care facilities
in New Zealand construct and present themselves through the stories told by those
who live and work in them. Ethnographic field notes and interviews were analysed
using an immersion/crystallization method consistent with a narrative gerontology
framework. Woven into residents' stories about their lives in the facility were
tales of earlier lives and identities, immigration, occupations, marriage,
tragedies and medical emergencies. Care workers, nursing staff and managers
talked about vocation, the ethos and values of the institution and the importance
that both staff and residents felt a sense of belonging and 'being one of us.'
These stories, 'talk into reality' the aged residential care facility as a
particular kind of rest home, in which residents feel 'at home'. In addition, as
researchers who brought our own stories to the project, we actively contributed
to the construction of each institution as a certain kind of facility.
PMID- 26568224
TI - Who theorizes age? The "socio-demographic variables" device and age-period-cohort
analysis in the rhetoric of survey research.
AB - In this paper we argue that quantitative survey-based social research
essentializes age, through specific rhetorical tools. We outline the device of
'socio-demographic variables' and we discuss its argumentative functions, looking
at scientific survey-based analyses of adult scientific literacy, in the Public
Understanding of Science research field. 'Socio-demographics' are virtually
omnipresent in survey literature: they are, as a rule, used and discussed as
bundles of independent variables, requiring little, if any, theoretical and
measurement attention. 'Socio-demographics' are rhetorically effective through
their common-sense richness of meaning and inferential power. We identify their
main argumentation functions as 'structure building', 'pacification', and
'purification'. Socio-demographics are used to uphold causal vocabularies,
supporting the transmutation of the descriptive statistical jargon of 'effects'
and 'explained variance' into 'explanatory factors'. Age can also be studied
statistically as a main variable of interest, through the age-period-cohort (APC)
disambiguation technique. While this approach has generated interesting findings,
it did not mitigate the reductionism that appears when treating age as a socio
demographic variable. By working with age as a 'socio-demographic variable',
quantitative researchers convert it (inadvertently) into a quasi-biological
feature, symmetrical, as regards analytical treatment, with pathogens in
epidemiological research.
PMID- 26568225
TI - Exergaming in retirement centres and the integration of media and physical
literacies.
AB - This paper reports on a multi-method analysis of a recently emergent, though
still understudied, trend: the use of exercise-themed video games (i.e.,
'exergames') in retirement centres. The study in question specifically featured
participant observation and interviews with residents and members of staff at
retirement centres in Ontario, Canada. Data collection was aimed at understanding
how games such as Wii Bowling are being put to use in retirement centre contexts
and the implications of such activity. Findings on the one hand suggest that
exergames are deemed valuable in the process of promoting both social engagement
and physical activity. 'Virtual' bowling can bring people together in communal
spaces while also 'getting them up' and active. On the other hand, however,
exergaming presents challenges. For retirement centre residents, it engenders
health risks while also demanding the deft synchronization of media and physical
literacies. For activities coordinators and other members of staff responsible
for residents' care, it means they too must stay abreast of the technology
sector's latest innovations; they must develop media and physical literacies of
their own. These findings are used as a platform for a broader discussion of
aging, embodiment, and media in the paper's final section. Against the backdrop
of existing conceptualizations of the third age, the use of exergames in
retirement centres is deemed conducive not to independence and consumerism fully
fledged, but rather to the manifestation of 'quasi-consumerism' and 'quasi
independence' instead. Third age logic is thus both reinforced and subtly
undermined.
PMID- 26568226
TI - Roads not taken: A narrative positioning analysis of older adults' stories about
missed opportunities.
AB - The point of departure for this article is narrative gerontology's
conceptualization of life as storied and the assumption that identity development
and meaning making do not cease at any age, but rather continue throughout life.
We suggest that if identity construction is considered to be a lifelong project,
narrative gerontology would benefit from applying analytical perspectives focused
on the situated activity of narration. In this article, we apply a three-level
positioning analysis to segments of interviews with two elderly Sami women
concerning missed opportunities or roads not taken and, more specifically, to
narrations about missed opportunities for education. We argue that such
narrations should not necessarily be considered expressions of regret or
processes of reconciliation but rather as pivotal in here-and-now identity
constructions. Narrations about missed opportunities demonstrate that what
narrators choose to insert into their life stories is chosen for a purpose and
for an audience in a specific interpersonal and discursive context. We suggest
that narrative gerontology would benefit from a broader focus on the diversity of
sites of engagement in which older adults perform identity constructions. This
shift implies moving beyond traditional studies of older adults' life stories and
biographical narratives as related in the context of qualitative research
interviews (of which the present study of Sami older adults' life stories is
indeed an example).
PMID- 26568227
TI - Conflicting notions of citizenship in old age: An analysis of an activation
practice.
AB - Ageing societies and increasing healthcare expenditures are inducing Western
welfare states to reform their care arrangements. In a qualitative research
project, we explored how citizenship in old age is constructed in a public
innovative care practice situated in the southern part of the Netherlands: the
shaping of 'life cycle robust neighbourhoods'. Life cycle robustness entails a
further not formally defined ideal of age-friendly places, enabling older adults
to live independently for longer periods of time. Participation is being
presented as an important element towards life cycle robustness. We used
ethnographic methods to understand different constructions of citizenship in old
age. We analysed documents and interviewed local policymakers and civil servants,
managers and directors in the fields of housing, care and welfare, professionals
working for these organisations, and older adults living (independently) in these
neighbourhoods (n=73). Additionally, we observed formal and informal meetings and
organised focus groups. Our findings demonstrate conflicting notions of old age.
Policymakers and civil servants, managers and directors, professionals, and even
representatives of older adults share a belief an activation policy is necessary,
although they differ in how they interpret this need. Policymakers and civil
servants are convinced that societal and financial incentives necessitate current
reforms, managers and directors talk about quality and organisational needs,
while professionals mainly strive to empower older adults (as citizens).
Simultaneously, older adults try to live their lives as independent as possible.
We argue that, whereas old age became a distinct category in the last century, we
now recognise a new period in which this category is being more and more de
categorised.
PMID- 26568228
TI - Social participation at the intersection of old age and lifelong disability:
Illustrations from a Photo-Novel Project.
PMID- 26568229
TI - The need for a social revolution in residential care.
AB - Loneliness and depression are serious mental health concerns across the spectrum
of residential care, from nursing homes to assisted and retirement living.
Psychosocial care provided to residents to address these concerns is typically
based on a long-standing tradition of 'light' social events, such as games,
trips, and social gatherings, planned and implemented by staff. Although these
activities provide enjoyment for some, loneliness and depression persist and the
lack of resident input perpetuates the stereotype of residents as passive
recipients of care. Residents continue to report lack of meaning in their lives,
limited opportunities for contribution and frustration with paternalistic
communication with staff. Those living with dementia face additional
discrimination resulting in a range of unmet needs including lack of autonomy and
belonging-both of which are linked with interpersonal violence. Research
suggests, however, that programs fostering engagement and peer support provide
opportunities for residents to be socially productive and to develop a valued
social identity. The purpose of this paper is to offer a re-conceptualization of
current practices. We argue that residents represent a largely untapped resource
in our attempts to advance the quality of psychosocial care. We propose
overturning practices that focus on entertainment and distraction by introducing
a new approach that centers on resident contributions and peer support. We offer
a model-Resident Engagement and Peer Support (REAP)-for designing interventions
that advance residents' social identity, enhance reciprocal relationships and
increase social productivity. This model has the potential to revolutionize
current psychosocial practice by moving from resident care to resident
engagement.
PMID- 26568230
TI - 'It is a completely new world you step into.' How older clients and their
representatives experience the operational access to Dutch long-term
institutional care.
AB - PURPOSE OF THE STUDY: The access process is an important first step in the long
term institutional care for older people. Access can be seen as a concept
consisting of three closely related dimensions: availability, affordability and
acceptability (three A's). This study takes a new perspective by investigating
how older clients experience the access process. DESIGN AND METHODS: Data were
gathered through interviews with representatives of clients that were recently
admitted in a long-term care facility, or if possible with clients themselves. A
total of 33 respondents from 4 organizations that provide long-term institutional
care were interviewed. RESULTS: The first contact with the long-term care
provider was made in several different ways. Finding a location nearby family was
a common aim, which in urgent situations was not always feasible. Most
respondents were satisfied about the process and felt taken care of personally.
Yet, many respondents mention the lack of practical information and 'guidance' in
the complexity of elder care. For acceptability, having a dedicated liaison in
the organization was relevant. IMPLICATIONS: The study revealed that the 3A model
can be used to understand how older clients or their representatives experience
the operational access process to long-term care. Especially the dimensions'
availability and acceptability seemed to shape their experience.
PMID- 26568231
TI - Aging and older adults in three Roman Catholic magazines: Successful aging and
the Third and Fourth Ages reframed.
AB - This article is a qualitative content analysis of how aging and older adults are
represented in the articles of three Roman Catholic magazines in the United
States: America, Commonweal, and U.S. Catholic. The findings suggest that, as in
mainstream secular magazines, the concept of successful aging is common in
portrayals of older adults in the Third Age. Distinctive in Catholic magazine
portrayals of successful aging is an emphasis on meaningful activity and on the
wisdom that is gained and transmitted in this stage of life. In contrast to the
lack of attention to Fourth Age decline in mainstream magazines, in the Catholic
publications the difficult features of such deterioration are acknowledged but
are also reframed as potential sources of value. The theoretical implications of
these more complex faith-based renderings of the Third and Fourth Ages are
briefly explored.
PMID- 26568233
TI - A Fragment in Time. Elastin Peptides and Progression of Emphysema.
PMID- 26568232
TI - Interventions for trachoma trichiasis.
AB - BACKGROUND: Trachoma is the leading infectious cause of blindness. The World
Health Organization (WHO) recommends eliminating trachomatous blindness through
the SAFE strategy: Surgery for trichiasis, Antibiotic treatment, Facial
cleanliness and Environmental hygiene. This is an update of a Cochrane review
first published in 2003, and previously updated in 2006. OBJECTIVES: To assess
the effects of interventions for trachomatous trichiasis for people living in
endemic settings. SEARCH METHODS: We searched CENTRAL (which contains the
Cochrane Eyes and Vision Group Trials Register) (2015, Issue 4), Ovid MEDLINE,
Ovid MEDLINE In-Process and Other Non-Indexed Citations, Ovid MEDLINE Daily, Ovid
OLDMEDLINE (January 1946 to May 2015), EMBASE (January 1980 to May 2015), the
ISRCTN registry (www.isrctn.com/editAdvancedSearch), ClinicalTrials.gov
(www.clinicaltrials.gov) and the WHO International Clinical Trials Registry
Platform (ICTRP) (www.who.int/ictrp/search/en). We did not use any date or
language restrictions in the electronic searches for trials. We last searched the
electronic databases on 7 May 2015. We searched the reference lists of included
studies to identify further potentially relevant studies. We also contacted
authors for details of other relevant studies. SELECTION CRITERIA: We included
randomised trials of any intervention intended to treat trachomatous trichiasis.
DATA COLLECTION AND ANALYSIS: Three review authors independently selected and
assessed the trials, including the risk of bias. We contacted trial authors for
missing data when necessary. Our primary outcome was post-operative trichiasis
which was defined as any lash touching the globe at three months, one year or two
years after surgery. MAIN RESULTS: Thirteen studies met the inclusion criteria
with 8586 participants. Most of the studies were conducted in sub-Saharan Africa.
The majority of the studies were of a low or unclear risk of bias.Five studies
compared different surgical interventions. Most surgical interventions were
performed by non-physician technicians. These trials suggest the most effective
surgery is full-thickness incision of the tarsal plate and rotation of the
terminal tarsal strip. Pooled data from two studies suggested that the bilamellar
rotation was more effective than unilamellar rotation (OR 0.29, 95% CI 0.16 to
0.50). Use of a lid clamp reduced lid contour abnormalities (OR 0.65, 95% CI 0.44
to 0.98) and granuloma formation (OR 0.67, 95% CI 0.46 to 0.97). Absorbable
sutures gave comparable outcomes to silk sutures (OR 0.90, 95% CI 0.68 to 1.20)
and were associated with less frequent granuloma formation (OR 0.63, 95% CI 0.40
to 0.99). Epilation was less effective at preventing eyelashes from touching the
globe than surgery for mild trichiasis, but had comparable results for vision and
corneal change. Peri-operative azithromycin reduced post-operative trichiasis;
however, the estimate of effect was imprecise and compatible with no effect or
increased trichiasis (OR 0.85, 95% CI 0.63 to 1.14; 1954 eyes; 3 studies).
Community-based surgery when compared to health centres increased uptake with
comparable outcomes. Surgery performed by ophthalmologists and integrated eye
care workers was comparable. Adverse events were typically infrequent or mild and
included rare postoperative infections, eyelid contour abnormalities and
conjunctival granulomas. AUTHORS' CONCLUSIONS: No trials were designed to
evaluate whether the interventions for trichiasis prevent blindness as an
outcome; however, several found modest improvement in vision following
intervention. Certain interventions have been shown to be more effective at
eliminating trichiasis. Full-thickness incision of the tarsal plate and rotation
of the lash-bearing lid margin was found to be the best technique and is
preferably delivered in the community. Surgery may be carried out by an
ophthalmologist or a trained ophthalmic assistant. Surgery performed with silk or
absorbable sutures gave comparable results. Post-operative azithromycin was found
to improve outcomes where overall recurrence was low.
PMID- 26568234
TI - High-Volume Hemofiltration in Post-Cardiac Surgery Shock. A Heroic Therapy?
PMID- 26568235
TI - Shining a Light on Carbon Monoxide Poisoning.
PMID- 26568236
TI - House of Cards? Testing Fundamental Assumptions in Idiopathic Pulmonary Fibrosis
Epidemiology.
PMID- 26568237
TI - What's in a Number? When It Comes to Pulmonary Nodules, It's All About the
Number.
PMID- 26568238
TI - Does Age of Blood Matter? It Depends.
PMID- 26568239
TI - Progress in Pulmonary Hypertension with Left Heart Failure. Beyond New
Definitions and Acronyms.
PMID- 26568240
TI - Update in Bronchiectasis 2014.
PMID- 26568241
TI - SFTPA2 Mutations in Familial and Sporadic Idiopathic Interstitial Pneumonia.
PMID- 26568242
TI - Intestinal Current Measurements Detect Activation of Mutant CFTR in Patients with
Cystic Fibrosis with the G551D Mutation Treated with Ivacaftor.
PMID- 26568243
TI - Cure Not Possible, by Definition.
PMID- 26568244
TI - Reply: Cure Not Possible, by Definition.
PMID- 26568245
TI - Increased Mortality during Bleomycin-induced Pulmonary Fibrosis due to Low
Endogenous Activated Protein C Levels.
PMID- 26568246
TI - Reply: Increased Mortality during Bleomycin-induced Pulmonary Fibrosis due to Low
Endogenous Activated Protein C Levels.
PMID- 26568247
TI - Comprehensive Validation of the FAIM3:PLAC8 Ratio in Time-matched Public Gene
Expression Data.
PMID- 26568248
TI - Reply: Comprehensive Validation of the FAIM3:PLAC8 Ratio in Time-matched Public
Gene Expression Data.
PMID- 26568249
TI - Effects of grape seed extract beverage on blood pressure and metabolic indices in
individuals with pre-hypertension: a randomised, double-blinded, two-arm,
parallel, placebo-controlled trial.
AB - The aim of the present study was to test grape seed extract (GSE) as a functional
ingredient to lower blood pressure (BP) in individuals with pre-hypertension. A
single-centre, randomised, two-arm, double-blinded, placebo-controlled, 12-week,
parallel study was conducted in middle-aged adults with pre-hypertension. A total
of thirty-six subjects were randomised (1:1) to Placebo (n 18) or GSE (n 18)
groups; twenty-nine of them completed all the protocol-specified procedures
(Placebo, n 17; GSE, n 12). Subjects consumed a juice (167 kJ (40 kcal))
containing 0 mg (Placebo) or 300 mg/d GSE (150 mg) twice daily for 6 weeks
preceded by a 2-week Placebo run-in and followed by 4-week no-beverage follow-up.
Compliance was monitored. BP was measured at screening, 0, 6 and 10 weeks of
intervention and blood samples were collected at 0, 3, 6 and 10 weeks of
intervention. GSE significantly reduced systolic BP (SBP) by 5.6 % (P=0.012) and
diastolic BP (DBP) by 4.7 % (P=0.049) after 6 weeks of intervention period, which
was significantly different (SBP; P=0.03) or tended to be different (DBP; P=0.08)
from Placebo. BP returned to baseline after the 4-week discontinuation period of
GSE beverage. Subjects with higher initial BP experienced greater BP reduction;
nearly double the effect size. Fasting insulin and insulin sensitivity tended to
improve after 6 weeks of GSE beverage supplementation (P=0.09 and 0.07,
respectively); no significant changes were observed with fasting plasma lipids,
glucose, oxidised LDL, flow-mediated dilation or vascular adhesion molecules.
Total plasma phenolic acid concentrations were 1.6 times higher after 6 weeks of
GSE v. Placebo. GSE was found to be safe and to improve BP in people with pre
hypertension, supporting the use of GSE as a functional ingredient in a low
energy beverage for BP control.
PMID- 26568250
TI - Efficient near-infrared up-conversion photoluminescence in carbon nanotubes.
AB - Photoluminescence phenomena normally obey Stokes' law of luminescence according
to which the emitted photon energy is typically lower than its excitation
counterparts. Here we show that carbon nanotubes break this rule under one-photon
excitation conditions. We found that the carbon nanotubes exhibit efficient near
infrared photoluminescence upon photoexcitation even at an energy lying >100-200
meV below that of the emission at room temperature. This apparently anomalous
phenomenon is attributed to efficient one-phonon-assisted up-conversion processes
resulting from unique excited-state dynamics emerging in an individual carbon
nanotube with accidentally or intentionally embedded localized states. These
findings may open new doors for energy harvesting, optoelectronics and deep
tissue photoluminescence imaging in the near-infrared optical range.
PMID- 26568251
TI - Association of sexual risk behaviour with previous HIV testing among voluntary
HIV counselling and testing clients in Kigali, Rwanda.
AB - With increased coverage of voluntary HIV counselling and testing (VCT) in Rwanda
and a greater focus on repeat testing of key populations, it is important to
understand whether the right clients are returning for repeat testing and if
repeat testing is effective at reducing risk. We assessed the association between
repeat testing and recent sexual risk behaviours among 1852 first time or repeat
HIV testing clients in Kigali who had had sex, using data from a cross-sectional
survey. Repeat testing was associated with being female, older and type of
occupation. Multivariable analyses indicate that individuals who tested for HIV 1
2 times (aOR = 1.52, 95% CI: 1.08, 2.15) and 3+ times (aOR = 1.51, 95% CI: 1.06,
2.17) previously were more likely to report recent unprotected sex. Those with 3+
previous tests were more likely to have recently had multiple sexual partners
(aOR = 2.19, 95% CI: 1.22, 3.92). However, a significant decrease in HIV
prevalence is shown as individuals receive more HIV tests in their lifetime (p <
0.001). These findings show that individuals who report high-risk behaviours are
returning for repeat tests. However, VCT may not be successful at addressing
certain sexual risk behaviours. Therefore more intensive counselling or
additional HIV prevention services may be needed.
PMID- 26568252
TI - Significant benefit of a targeted HIV testing module on medical students'
knowledge and confidence.
AB - The objective was to evaluate the efficacy of a HIV targeted-testing teaching
session in improving knowledge and confidence at a London medical school. A
survey assessing knowledge of HIV testing guidelines, confidence to offer testing
and outcomes of targeted-testing teaching was developed and distributed to fifth
year medical students. Results were compared for students who had completed
GU/HIV modules (GU+) and those who had not (GU-) and chi-squared testing was
performed; 100 and 119 questionnaires were returned by GU+ and GU- students
(response rate of 92.6% and 97.5%), respectively. For the three knowledge-based
questions, GU+ students were significantly more likely to provide correct answers
for two (p < 0.001). Similarly, they were significantly more likely to feel
confident in offering an HIV test (p < 0.001). After targeted-testing teaching
92%, 98% and 62% felt more confident about when to test, how to discuss testing
and more knowledgeable about testing, respectively. Most students were happy to
offer HIV testing in different medical settings; significantly fewer reported
this for an acute admissions unit compared with antenatal clinic (79% vs 96%).
Students who had received targeted-testing teaching demonstrated better knowledge
and confidence about HIV testing. We hope this study raises awareness of the need
to include HIV testing teaching in medical school curricula.
PMID- 26568254
TI - Modulation of the coordination environment: a convenient approach to tailor
magnetic anisotropy in seven coordinate Co(II) complexes.
AB - The possibility of controlling magnetic anisotropy by tuning contribution of
second order perturbation to spin-orbit coupling through modulation of the
coordination environment is investigated. Subtle variation of the coordination
environment triggers a remarkable deviation in the axial zero field splitting
parameter of seven coordinate Co(II) complexes.
PMID- 26568253
TI - Associations between Sleep Duration and Overweight/Obesity: Results from 66,817
Chinese Adolescents.
AB - The findings about the shapes of associations between sleep duration and
overweight/obesity in adolescents were largely inconsistent in the existing
literature. We examined the functional forms of the associations between sleep
duration and overweight/obesity in 66,817 Chinese adolescents by modelling sleep
duration categorically and continuously. The adjusted ORs (95% CI) of overweight
(with 7.0-8.9 h of sleep being considered the reference group) for subjects
reporting <5.0 hours, 5.0-6.9 hours and >=9.0 hours of sleep were 1.26 (1.05
1.51), 1.06 (1.00-1.11) and 1.27 (1.14-1.42), respectively. The adjusted ORs (95%
CI) of obesity (with 7.0-8.9 h of daily sleep being considered as the reference
group) for adolescents reporting <5.0 hours, 5.0-6.9 hours and >=9.0 hours of
sleep were 1.24 (0.97-1.57), 0.94 (0.87-1.01) and 1.42 (1.24-1.63), respectively.
Continuous splines regressions support non-linear U shape associations between
sleep duration and overweight/obesity, with the bottom at around 7.0-8.0 hours
sleep (overweight: likelihood ratio = 32.7 p < 0.01; obesity: likelihood ratio =
40.4 p < 0.01). U-shape associations were found between sleep duration and
overweight/obesity in Chinese adolescents and an optimal sleep duration of 7.0
8.0 hours sleep may prevent overweight/obesity.
PMID- 26568256
TI - MMR deficiency may lead to a high immunogenicity and then an improvement in anti
PD-1 efficacy for metastatic colorectal cancer.
PMID- 26568257
TI - Stimuli-Triggered Sol-Gel Transitions of Polypeptides Derived from alpha-Amino
Acid N-Carboxyanhydride (NCA) Polymerizations.
AB - The past decade has witnessed significantly increased interest in the development
of smart polypeptide-based organo- and hydrogel systems with stimuli
responsiveness, especially those that exhibit sol-gel phase-transition
properties, with an anticipation of their utility in the construction of adaptive
materials, sensor designs, and controlled release systems, among other
applications. Such developments have been facilitated by dramatic progress in
controlled polymerizations of alpha-amino acid N-carboxyanhydrides (NCAs),
together with advanced orthogonal functionalization techniques, which have
enabled economical and practical syntheses of well-defined polypeptides and
peptide hybrid polymeric materials. One-dimensional stacking of polypeptides or
peptide aggregations in the forms of certain ordered conformations, such as alpha
helices and beta sheets, in combination with further physical or chemical cross
linking, result in the construction of three-dimensional matrices of polypeptide
gel systems. The macroscopic sol-gel transitions, resulting from the construction
or deconstruction of gel networks and the conformational changes between
secondary structures, can be triggered by external stimuli, including
environmental factors, electromagnetic fields, and (bio)chemical species. Herein,
the most recent advances in polypeptide gel systems are described, covering
synthetic strategies, gelation mechanisms, and stimuli-triggered sol-gel
transitions, with the aim of demonstrating the relationships between chemical
compositions, supramolecular structures, and responsive properties of polypeptide
based organo- and hydrogels.
PMID- 26568259
TI - Coordination-induced gelation of an L-glutamic acid Schiff base derivative: the
anion effect and cyanide-specific selectivity.
AB - By rational assembly of Zn(2+) and Cu(2+) with G, three metallogels (ZnG, CuG and
Zn-CuG) were prepared. The gelation was controlled by the presence of specific
anions, with their efficacy linked to the Hofmeister series. Zn-CuG could
fluorescently detect CN(-) with specific selectivity over S(2-) and Cys through
the competitive coordination of CN(-) and G with Cu(2+).
PMID- 26568258
TI - Development and characterization of antibody reagents for detecting
nanoparticles.
AB - The increasing use of nanoparticles (NPs) in technological applications and in
commercial products has escalated environmental health and safety concerns. The
detection of NPs in the environment and in biological systems is challenged by
limitations associated with commonly used analytical techniques. In this paper we
report on the development and characterization of NP binding antibodies, termed
NProbes. Phage display methodology was used to discover antibodies that bind NPs
dispersed in solution. We present a proof-of-concept for the generation of
NProbes and their use for detecting quantum dots and titanium dioxide NPs in
vitro and in an ex vivo human skin model. Continued development and refinement of
NProbes to detect NPs that vary in composition, shape, size, and surface coating
will comprise a powerful tool kit that can be used to advance nanotechnology
research particularly in the nanotoxicology and nanotherapeutics fields.
PMID- 26568260
TI - Dzip3 regulates developmental genes in mouse embryonic stem cells by reorganizing
3D chromatin conformation.
AB - In mouse embryonic stem (mES) cells, ubiquitylation of histone H2A lysine 119
represses a large number of developmental genes and maintains mES cell
pluripotency. It has been suggested that a number of H2A ubiquitin ligases as
well as deubiquitylases and related peptide fragments contribute to a delicate
balance between self-renewal and multi-lineage differentiation in mES cells.
Here, we tested whether known H2A ubiquitin ligases and deubiquitylases are
involved in mES cell regulation and discovered that Dzip3, the E3 ligase of
H2AK119, represses differentiation-inducible genes, as does Ring1B. The two sets
of target genes partially overlapped but had different spectra. We found that
Dzip3 represses gene expression by orchestrating changes in 3D organization, in
addition to regulating ubiquitylation of H2A. Our results shed light on the
epigenetic mechanism of transcriptional regulation, which depends on 3D chromatin
reorganization to regulate mES cell differentiation.
PMID- 26568261
TI - Immunotherapy and targeted therapy for cervical cancer: an update.
AB - The prognosis of patients with metastatic cervical cancer is poor with a median
survival of 8-13 months. Despite the potency of chemotherapeutic drugs, this
treatment is rarely curative and should be considered palliative only. In the
last few years, a better understanding of Human papillomavirus tumor-host immune
system interactions and the development of new therapeutics targeting immune
check points have renewed interest in the use of immunotherapy in cervical cancer
patients. Moreover, next generation sequencing has emerged as an attractive
option for the identification of actionable driver mutations and other markers.
In this review, we provide background information on the molecular biology of
cervical cancer and summarize immunotherapy studies, targeted therapies,
including those with angiogenesis inhibitors and tyrosine kinase inhibitors
recently completed or currently on-going in cervical cancer patients.
PMID- 26568262
TI - Switching Molecular Kondo Effect via Supramolecular Interaction.
AB - We apply supramolecular assembly to control the adsorption configuration of Co
porphyrin molecules on Au(111) and Cu(111) surfaces. By means of cryogenic
scanning tunneling microscopy, we reveal that the Kondo effect associated with
the Co center is absent or present in different supramolecular systems. We
perform first-principles calculations to obtain spin-polarized electronic
structures and compute the Kondo temperatures using the Anderson impurity model.
The switching behavior is traced to varied molecular adsorption heights in
different supramolecular structures. These findings unravel that a competition
between intermolecular interactions and molecule-substrate interactions subtly
regulates the molecular Kondo effect in supramolecular systems.
PMID- 26568263
TI - Antibodies to Type IV Collagen Induce Type 1 Autoimmune Pancreatitis.
AB - Type 1 autoimmune pancreatitis (AIP) is prototypic autoantibody-mediated
diseases. Sclerosis accompanied by fiber deposition is generally regarded as the
primary lesion in the development of obliterative vasculitis. However, why
collagens or their antibodies play a crucial role in the pathogenesis of AIP has
not been demonstrated. This study was performed to investigate if anti-collagen
type IV antibodies (ACIVAbs) are the key factor of fiber deposition and recruit
leukocytes, resulting in obliterative vasculitis in pancreas. Enzyme-linked
immunosorbent analyses (ELISA) were used to measure the expression of Col IV and
ACIVAbs in serum of patients with and without AIP. In vitro, adhesion and
proliferation were determined by human lymphocytes incubated with Col IV and
ACIVAbs. In vivo, C57BL0/6 mice were immunized with IgG-ACIVAbs, followed by
analysis of clinical phenotype. IgG-ACIVAbs were recognized by the serum
specimens from 12 of 22 patients with type 1 AIP, 3 of 9 patients with Crohn's
disease, and 2 of 18 patients with pancreatic cancer, but not in healthy controls
and acute pancreatitis. In patient's biopsy, ACIVAb staining increased and co
localized with subepithelial IgG4 deposits along the capillary walls and
surrounding nerve fibers. In vitro, recombinant IgG-ACIVAbs increased leukocyte
adhesion and proliferation. What is more, AIP could be induced in mice by
immunization with IgG-ACIVAbs into adult mice.
PMID- 26568265
TI - Linear monogamy of entanglement in three-qubit systems.
AB - For any three-qubit quantum systems ABC, Oliveira et al. numerically found that
both the concurrence and the entanglement of formation (EoF) obey the linear
monogamy relations in pure states. They also conjectured that the linear monogamy
relations can be saturated when the focus qubit A is maximally entangled with the
joint qubits BC. In this work, we prove analytically that both the concurrence
and EoF obey linear monogamy relations in an arbitrary three-qubit state.
Furthermore, we verify that all three-qubit pure states are maximally entangled
in the bipartition A|BC when they saturate the linear monogamy relations. We also
study the distribution of the concurrence and EoF. More specifically, when the
amount of entanglement between A and B equals to that of A and C, we show that
the sum of EoF itself saturates the linear monogamy relation, while the sum of
the squared EoF is minimum. Different from EoF, the concurrence and the squared
concurrence both saturate the linear monogamy relations when the entanglement
between A and B equals to that of A and C.
PMID- 26568264
TI - The full repertoire of Drosophila gustatory receptors for detecting an aversive
compound.
AB - The ability to detect toxic compounds in foods is essential for animal survival.
However, the minimal subunit composition of gustatory receptors required for
sensing aversive chemicals in Drosophila is unknown. Here we report that three
gustatory receptors, GR8a, GR66a and GR98b function together in the detection of
L-canavanine, a plant-derived insecticide. Ectopic co-expression of Gr8a and
Gr98b in Gr66a-expressing, bitter-sensing gustatory receptor neurons (GRNs)
confers responsiveness to L-canavanine. Furthermore, misexpression of all three
Grs enables salt- or sweet-sensing GRNs to respond to L-canavanine. Introduction
of these Grs in sweet-sensing GRNs switches L-canavanine from an aversive to an
attractive compound. Co-expression of GR8a, GR66a and GR98b in Drosophila S2
cells induces an L-canavanine-activated nonselective cation conductance. We
conclude that three GRs collaborate to produce a functional L-canavanine
receptor. Thus, our results clarify the full set of GRs underlying the detection
of a toxic tastant that drives avoidance behaviour in an insect.
PMID- 26568266
TI - Analysis of Intra- and Intersubject Variability in Oral Drug Absorption in Human
Bioequivalence Studies of 113 Generic Products.
AB - In this study, the data of 113 human bioequivalence (BE) studies of immediate
release (IR) formulations of 74 active pharmaceutical ingredients (APIs)
conducted at Sawai Pharmaceutical Co., Ltd., was analyzed to understand the
factors affecting intra- and intersubject variabilities in oral drug absorption.
The ANOVA CV (%) calculated from area under the time-concentration curve (AUC) in
each BE study was used as an index of intrasubject variability (Vintra), and the
relative standard deviation (%) in AUC was used as that of intersubject
variability (Vinter). Although no significant correlation was observed between
Vintra and Vinter of all drugs, Vintra of class 3 drugs was found to increase in
association with a decrease in drug permeability (P(eff)). Since the absorption
of class 3 drugs was rate-limited by the permeability, it was suggested that, for
such drugs, the low P(eff) might be a risk factor to cause a large intrasubject
variability. To consider the impact of poor water solubility on the variability
in BE study, a parameter of P(eff)/Do (Do; dose number) was defined to
discriminate the solubility-limited and dissolution-rate-limited absorption of
class 2 drugs. It was found that the class 2 drugs with a solubility-limited
absorption (P(eff)/Do < 0.149 * 10(-4) cm/s) showed high intrasubject
variability. Furthermore, as a reason for high intra- or intersubject variability
in AUC for class 1 drugs, effects of drug metabolizing enzymes were investigated.
It was demonstrated that intrasubject variability was high for drugs metabolized
by CYP3A4 while intersubject variability was high for drugs metabolized by
CYP2D6. For CYP3A4 substrate drugs, the Km value showed the significant relation
with Vintra, indicating that the affinity to the enzyme can be a parameter to
predict the risk of high intrasubject variability. In conclusion, by analyzing
the in house data of human BE study, low permeability, solubility-limited
absorption, and high affinity to CYP3A4 are identified as risk factors for high
intrasubject variability in oral drug absorption. This information is of
importance to design the human BE study for oral drug products containing APIs
with a risk of large intrasubject variability in oral absorption.
PMID- 26568268
TI - Catalytic functionalization of low reactive C(sp(3))-H and C(sp(2))-H bonds of
alkanes and arenes by carbene transfer from diazo compounds.
AB - The direct functionalization of low reactive C(sp(3))-H and C(sp(2))-H bonds of
alkanes and arenes, respectively, by metal-induced carbene transfer from diazo
compounds is reviewed. To date, this methodology has enabled the incorporation of
CR(1)R(2) moieties from N2[double bond, length as m-dash]CR(1)R(2) in a chemo,
regio, enantio or diastereoselective manner in those substrates with the
appropriate selection of metal and ligands.
PMID- 26568267
TI - Prevalence and molecular characterizations of enterovirus D68 among children with
acute respiratory infection in China between 2012 and 2014.
AB - EV-D68 is associated with respiratory tract infections (RTIs). Since its first
isolation, EV-D68 has been detected sporadically. However, the US and Canada have
experienced outbreaks of EV-D68 infections between August and December 2014. This
study aimed to investigate the molecular epidemiology and clinical
characteristics of EV-D68 in Chongqing, Southwestern China. From January 2012 to
November 2014, 1876 nasopharyngeal aspirate specimens (NPAs) were collected from
hospitalized children with RTIs. Among the 1876 NPAs, EV-D68 was detected in 19
samples (1.0%, 19/1876). Of these, 13 samples were detected in September and
October 2014 (9.8%, 13/132). Phylogenetic analysis showed that all 13 strains
detected in the 2014 Chongqing had high homology with the main strains of the
2014 US outbreak. Among the children with EV-D68 infection, 13 (68%) had a
history of recurrent wheezing. A total of 13 children had a discharge diagnosis
of asthma. Of these, 11 children were diagnosed with acute asthma exacerbation.
EV-D68 was the predominant pathogen that evoked asthma exacerbation in September
and October 2014. In conclusion, our results found that a history of recurrent
wheezing may be a risk factor for the detection of EV-D68 and viral-induced
asthma exacerbation may be a clinical feature of EV-D68 infection.
PMID- 26568269
TI - Overtesting and the Downstream Consequences of Overtreatment: Implications of
"Preventing Overdiagnosis" for Emergency Medicine.
AB - Overtesting, the downstream consequences of overdiagnosis, and overtreatment of
some patients are topics of growing debate within emergency medicine (EM). The
"Preventing Overdiagnosis" conference, hosted by The Dartmouth Institute for
Health Policy and Clinical Practice, with sponsorship from consumer
organizations, medical journals, and academic institutions, is evidence of an
expanding interest in this topic. However, EM represents a compellingly unique
environment, with increased decision density tied to high stakes for patients and
providers with missed or delayed diagnoses in a professional atmosphere that does
not tolerate mistakes. This article reviews the relevance of this reductionist
paradigm to EM, provides a first-hand synopsis of the first "Preventing
Overdiagnosis" conference, and assesses barriers to moving the concept of less
test ordering to reality.
PMID- 26568270
TI - A novel self-assembled sandwich nanomedicine for NIR-responsive release of NO.
AB - A novel sandwich nanomedicine (GO-BNN6) for near-infrared (NIR) light responsive
release of nitric oxide (NO) has been constructed by self-assembly of graphene
oxide (GO) nanosheets and a NO donor BNN6 through the pi-pi stacking interaction.
The GO-BNN6 nanomedicine has an extraordinarily high drug loading capacity (1.2
mg BNN6 per mg GO), good thermal stability, and high NIR responsiveness. The NO
release from GO-BNN6 can be easily triggered and effectively controlled by
adjusting the switching, irradiation time and power density of NIR laser. The
intracellular NIR-responsive release of NO from the GO-BNN6 nanomedicine causes a
remarkable anti-cancer effect.
PMID- 26568271
TI - Unexplained thrombocytosis: association of Baltimore polymorphism with germline
MPL nonsense mutation.
PMID- 26568272
TI - PPV Polymerization through the Gilch Route: Diradical Character of Monomers.
AB - Despite various studies on the polymerization of poly(p-phenylene vinylene) (PPV)
through different precursor routes, detailed mechanistic knowledge on the
individual reaction steps and intermediates is still incomplete. The present
study aims to gain more insight into the radical polymerization of PPV through
the Gilch route. The initial steps of the polymerization involve the formation of
a p-quinodimethane intermediate, which spontaneously self-initiates through a
dimerization process leading to the formation of diradical species; chain
propagation ensues on both sides of the diradical or chain termination occurs by
the formation of side products, such as [2.2]paracyclophanes. Furthermore,
different p-quinodimethane systems were assessed with respect to the size of
their aromatic core as well as the presence of heteroatoms in/on the conjugated
system. The nature of the aromatic core and the specific substituents alter the
electronic structure of the p-quinodimethane monomers, affecting the mechanism of
polymerization. The diradical character of the monomers has been investigated
with several advanced methodologies, such as spin-projected UHF, CASSCF, CASPT2,
and DMRG calculations. It was shown that larger aromatic cores led to a higher
diradical character in the monomers, which in turn is proposed to cause rapid
initiation.
PMID- 26568273
TI - Endochondral ossification pathway genes and postmenopausal osteoporosis:
Association and specific allele related serum bone sialoprotein levels in Han
Chinese.
AB - Osteoporosis is a systemic skeletal disorder characterized by reduced bone
mineral density (BMD) and disrupted bone architecture, predisposing the patient
to increased fracture risk. Evidence from early genetic epidemiological studies
has indicated a major role for genetics in the development of osteoporosis and
the variation in BMD. In this study, we focused on two key genes in the
endochondral ossification pathway, IBSP and PTHLH. Over 9,000 postmenopausal Han
Chinese women were recruited, and 54 SNPs were genotyped. Two significant SNPs
within IBSP, rs1054627 and rs17013181, were associated with BMD and
postmenopausal osteoporosis by the two-stage strategy, and rs17013181 was also
significantly associated with serum IBSP levels. Moreover, one haplotype
(rs12425376-rs10843047-rs42294) covering the 5' end of PTHLH was associated with
postmenopausal osteoporosis. Our results provide evidence for the association of
these two key endochondral ossification pathway genes with BMD and osteoporosis
in postmenopausal Han Chinese women. Combined with previous findings, we provide
evidence that a particular SNP in IBSP has an allele-specific effect on mRNA
levels, which would, in turn, reflect serum IBSP levels.
PMID- 26568274
TI - Ribosome profiling reveals dynamic translational landscape in maize seedlings
under drought stress.
AB - Plants can respond to environmental changes with various mechanisms occurred at
transcriptional and translational levels. Thus far, there have been relatively
extensive understandings of stress responses of plants on transcriptional level,
while little information is known about that on translational level. To uncover
the landscape of translation in plants in response to drought stress, we
performed the recently developed ribosome profiling assay with maize seedlings
growing under normal and drought conditions. Comparative analysis of the ribosome
profiling data and the RNA-seq data showed that the fold changes of gene
expression at transcriptional level were moderately correlated with that of
translational level globally (R(2) = 0.69). However, less than half of the
responsive genes were shared by transcription and translation under drought
condition, suggesting that drought stress can introduce transcriptional and
translational responses independently. We found that the translational
efficiencies of 931 genes were changed significantly in response to drought
stress. Further analysis revealed that the translational efficiencies of genes
were highly influenced by their sequence features including GC content, length of
coding sequences and normalized minimal free energy. In addition, we detected
potential translation of 3063 upstream open reading frames (uORFs) on 2558 genes
and these uORFs may affect the translational efficiency of downstream main open
reading frames (ORFs). Our study indicates that plant can respond to drought
stress with highly dynamic translational mechanism, that acting synergistically
with that of transcription.
PMID- 26568275
TI - Combined Use of Etomidate and Dexmedetomidine Produces an Additive Effect in
Inhibiting the Secretion of Human Adrenocortical Hormones.
AB - BACKGROUND The direct effects of etomidate were investigated on the secretion of
cortisol and its precursors by dispersed cells from the adrenal cortex of human
of animals. Dexmedetomidine (DEX) is an anesthetic agent that may interfere with
cortisol secretion via an unknown mechanism, such as involving inhibition of 11b
hydroxylase and the cholesterol side-chain cleavage enzyme system. The aim of
this study was to determine whether dexmedetomidine (DEX) has a similar
inhibitory effect on adrenocortical function, and whether combined use of
etomidate (ETO) and DEX could produce a synergistic action in inhibiting the
secretion of human adrenocortical hormones. MATERIAL AND METHODS Human
adrenocortical cells were exposed to different concentrations of ETO and DEX. The
dose-effect model between the ETO concentration and the mean secretion of
cortisone (CORT) and aldosterone (ALDO) per hour was estimated. RESULTS Hill's
equation well-described the dose-effect correlation between the ETO concentration
and the amount of ALDO and CORT secretion. When the DEX concentration was
introduced into the model by using E0 (basal secretion) as the covariate, the
goodness of fit of the ETO-CORT dose-effect model was improved significantly and
the objective function value was reduced by 4.55 points (P<0.05). The parameters
of the final ETO-ALDO pharmacodynamics model were EC50=9.74, Emax=1.20, E0=1.33,
and gamma=18.5; the parameters of the final ETO-CORT pharmacodynamics model were
EC50=9.49, Emax=8.16, E0=8.57, and gamma=37.0. In the presence of DEX, E0 was
8.57-0.0247*(CDEX-4.6), and the other parameters remained unchanged. All
parameters but gamma were natural logarithm conversion values. CONCLUSIONS
Combined use of DEX and ETO reduced ETO's inhibitory E0 (basal secretion) of CORT
from human adrenocortical cells in a dose-dependent manner, suggesting that
combined use of ETO and DEX produced an additive effect in inhibiting the
secretion of human adrenocortical hormones.
PMID- 26568276
TI - Genealogical and molecular analysis of a family-based cohort of congenital heart
disease patients from the Sao Miguel Island (Azores, Portugal).
AB - BACKGROUND: Congenital heart disease (CHD) is one common birth malformation,
accounting for ~30% of total congenital abnormalities. AIM: Considering the
unknown role of consanguinity in causing CHD, this study hypothesised that
consanguineous unions and/or familial aggregation may be frequent in the Azorean
Island of Sao Miguel (Portugal). To that end, a retrospective observational study
was performed based on genealogical and molecular analyses. SUBJECTS AND METHODS:
The study enrolled 112 CHD patients from Sao Miguel Island, which allowed the
assessment of type of family (simplex or multiplex), parental consanguinity and
grandparental endogamy. Based on 15 STR markers, inbreeding coefficients (FIS) in
the CHD cohort and healthy control group (n = 114) were estimated. RESULTS:
Multiplex families were 37.6% (n = 41/109), a rate considerably higher than
previously described in the literature (< 15%). Moreover, 9.2% (n = 10/109) of
the CHD families were consanguineous, mostly derived from third cousin unions,
and 20.2% (n = 22/109) presented full grandparental endogamy. Higher FIS values
were found in patients with parental consanguinity (0.0371) and patent ductus
arteriosus (0.0277). CONCLUSION: This study analysed several genealogical and
genetic features related with CHD, revealing the presence of parental
consanguinity and extensive familial aggregation in the CHD patients from Sao
Miguel Island.
PMID- 26568277
TI - Research Priorities in the Utilization and Interpretation of Diagnostic Imaging:
Education, Assessment, and Competency.
AB - The appropriate selection and accurate interpretation of diagnostic imaging is a
crucial skill for emergency practitioners. To date, the majority of the published
literature and research on competency assessment comes from the subspecialty of
point-of-care ultrasound. A group of radiologists, physicists, and emergency
physicians convened at the 2015 Academic Emergency Medicine consensus conference
to discuss and prioritize a research agenda related to education, assessment, and
competency in ordering and interpreting diagnostic imaging. A set of questions
for the continued development of an educational curriculum on diagnostic imaging
for trainees and competency assessment using specific assessment methods based on
current best practices was delineated. The research priorities were developed
through an iterative consensus-driven process using a modified nominal group
technique that culminated in an in-person breakout session. The four
recommendations are: 1) develop a diagnostic imaging curriculum for emergency
medicine (EM) residency training; 2) develop, study, and validate tools to assess
competency in diagnostic imaging interpretation; 3) evaluate the role of
simulation in education, assessment, and competency measures for diagnostic
imaging; 4) study is needed regarding the American College of Radiology
Appropriateness Criteria, an evidence-based peer-reviewed resource in determining
the use of diagnostic imaging, to maximize its value in EM. In this article, the
authors review the supporting reliability and validity evidence and make specific
recommendations for future research on the education, competency, and assessment
of learning diagnostic imaging.
PMID- 26568278
TI - Impact of an Optional Experiential Learning Opportunity on Student Engagement and
Performance in Undergraduate Nutrition Courses.
AB - We examined the impact of an optional experiential learning activity (ELA) on
student engagement and performance in 2 undergraduate nutrition courses. The ELA
involved completion of a 3-day food record, research lab tour, body composition
assessment, and reflective take-home assignment. Of the 808 students in the 2
courses (1 first-year and 1 second-year course), 172 (21%) participated.
Engagement was assessed by the Classroom Survey of Student Engagement (CLASSE),
and performance was assessed by percentile rank on midterm and final exams.
Students' perceived learning was assessed using a satisfaction survey. Paired
samples t tests examined change in CLASSE scores and percentile rank from
baseline to follow-up. Frequencies and thematic analysis were used to examine
responses to Likert scale and open-ended questions on the satisfaction survey,
respectively. There was an 11%-22% increase (P < 0.05) in the 3 dimensions of
student engagement and a greater increase in percentile rank between the midterm
and final exams among participants (7.63 +/- 21.9) versus nonparticipants (-1.80
+/- 22.4, P < 0.001). The majority of participants indicated the ELA enhanced
their interest and learning in both their personal health and the course.
Findings suggest ELAs related to personal health may improve interest,
engagement, and performance among undergraduate students.
PMID- 26568279
TI - Safety of monoclonal antibodies and related therapeutic proteins for the
treatment of neovascular macular degeneration: addressing outstanding issues.
AB - INTRODUCTION: The vascular endothelial growth factor (VEGF) inhibitors most
widely used to treat neovascular age-dependent macular degeneration (nAMD) are
different proteins with structural features potentially relevant to adverse
effects (AEs). Two of these are also established in cancer therapy (with higher
dosages and AEs). The importance of ocular AE and extraocular activities is still
a subject of controversy and ongoing research. AREAS COVERED: Potential risks of
intraocular VEGF inhibition based on prospective studies, in vitro
investigations, pharmacokinetics, and hints from anti-cancer treatment. EXPERT
OPINION: nAMD is a frequently observed chronic clinical condition severely
affecting the visual function of elderly persons. Intravitreal injection of VEGF
inactivating proteins is highly effective to prevent loss of vision. Anti-VEGF
therapy is well tolerated, and low rates of ocular and systemic AEs in smaller
trials suggest a very high benefit/risk ratio. The proteins established in nAMD
therapy show similar efficacies. In the controversy over the off-label use of
bevacizumab purely on grounds of much lower cost, the small, but potentially
relevant differences between the available drugs are easily either dramatized (by
pharmaceutical companies) or trivialized (by health insurances) and even
political interference is involved. Facing the lack of a convincing body of
evidence regarding safety, further long-term study results seem necessary.
PMID- 26568280
TI - Being in a Bubble: the experience of loneliness among frail older people.
AB - AIM: The aim of this study was to explore the experience of loneliness among
frail older people living at home. BACKGROUND: Loneliness is a threat to the
physical and psychological well-being with serious consequences if left
unattended. There are associations between frailty and poor psychological well
being, implying that frail older people who experience loneliness are vulnerable.
DESIGN: Qualitative content analysis, focusing on both latent and manifest
content. METHOD: Frail older people (65+ years), living at home and who have
experienced various levels in intensity of loneliness, were purposively selected
from a larger interventional study (N = 12). For this study, 'frail' means being
dependent in activities of daily life and having repeated contacts with
healthcare services. Data were collected between December 2009-August 2011. Semi
structured interviews were performed, audio recorded and transcribed verbatim.
FINDINGS: The analysis resulted in the overall theme 'Being in a Bubble', which
illustrates an experience of living in an ongoing world, but excluded because of
the participants' social surroundings and the impossibility to regain losses. The
theme 'Barriers' was interpreted as facing physical, psychological and social
barriers for overcoming loneliness. The theme 'Hopelessness' reveals the
experience when not succeeding in overcoming these barriers, including seeing
loneliness as a constant state. A positive co-existing dimension of loneliness,
offering independence, was reflected in the theme 'Freedom'. CONCLUSION: The
findings suggest that future strategies for intervening should target the frail
older persons' individual barriers and promoting the positive co-existing
dimension of loneliness. When caring, a person centred approach, encompassing
knowledge regarding physical and psychological aspects, including loneliness, is
recommended.
PMID- 26568281
TI - Transcriptional and metabolic regulation of denitrification in Paracoccus
denitrificans allows low but significant activity of nitrous oxide reductase
under oxic conditions.
AB - Oxygen is known to repress denitrification at the transcriptional and metabolic
levels. It has been a common notion that nitrous oxide reductase (N2 OR) is the
most sensitive enzyme among the four N-oxide reductases involved in
denitrification, potentially leading to increased N2 O production under suboxic
or fluctuating oxygen conditions. We present detailed gas kinetics and
transcription patterns from batch culture experiments with Paracoccus
denitrificans, allowing in vivo estimation of e(-) -flow to O2 and N2 O under
various O2 regimes. Transcription of nosZ took place concomitantly with that of
narG under suboxic conditions, whereas transcription of nirS and norB was
inhibited until O2 levels approached 0 MUM in the liquid. Catalytically
functional N2 OR was synthesized and active in aerobically raised cells
transferred to vials with 7 vol% O2 in headspace, but N2 O reduction rates were
10 times higher when anaerobic pre-cultures were subjected to the same
conditions. Upon oxygen exposure, there was an incomplete and transient
inactivation of N2 OR that could be ascribed to its lower ability to compete for
electrons compared with terminal oxidases. The demonstrated reduction of N2 O at
high O2 partial pressure and low N2 O concentrations by a bacterium not known as
a typical aerobic denitrifier may provide one clue to the understanding of why
some soils appear to act as sinks rather than sources for atmospheric N2 O.
PMID- 26568282
TI - Diet quality and six-year risk of overweight and obesity among mid-age Australian
women who were initially in the healthy weight range.
AB - Issue addressed The present study investigated the association between diet
quality, measured using the Australian Recommended Food Score (ARFS), and 6-year
risk of becoming overweight or obese in mid-age women from the Australian
Longitudinal Study of Women's Health (ALSWH). Methods Women (n=1107) aged 47.6
55.8 years who were a healthy weight (body mass index (BMI) between <=18.5 and
<25.0kgm(-2)) at baseline and who reported valid total energy intakes were
included in the study. BMI was calculated from self-reported data in 2001 and
2007. ARFS scores were calculated from data collected using the Dietary
Questionnaire for Epidemiological Studies Version 2. Logistic regression was used
to examine the relationship between ARFS score as a continuous variable and risk
of becoming overweight or obese. Results The 6-year incidence of overweight and
obesity was 18.5% and 1.1%, respectively. The mean (+/- s.d.) ARFS (maximum
possible 74) among those who remained within the healthy weight range and those
who became overweight or obese at follow-up was 35.3+/-8.1 and 34.3+/-8.8,
respectively. There was no relationship between baseline ARFS and risk of
becoming overweight or obese over 6 years. Women who were smokers were more
likely to become overweight or obese (odds ratio 1.5; 95% confidence interval
1.11-2.09; P=0.008). Conclusions Poor diet quality was common among mid-age women
of a healthy weight in the ALSWH. Higher diet quality was not associated with the
risk of overweight or obesity after 6 years, yet smoking status was. So what?
Better diet quality alone will not achieve maintenance of a healthy weight, but
should be encouraged to improve other health outcomes.
PMID- 26568283
TI - Detection of counting pseudoerrors: What helps children accept them?
AB - This study examines children's comprehension of non-essential counting features
(conventional rules). The objective of the study was to determine whether the
presence or absence of cardinal values in pseudoerrors and the type of
conventional rule violated affects children's performance. A detection task with
pseudoerrors was presented through a computer game to 146 primary school children
in grades 2 through 4. The same pseudoerrors were presented both with and without
cardinal values; the pseudoerrors violated conventional rules of spatial
adjacency, temporal adjacency, spatial-temporal adjacency, and left-to-right
direction. Half of the participants within each age group were randomly assigned
to an experimental condition that included pseudoerrors with a cardinal value,
and the other half were assigned to a condition that included pseudoerrors
without a cardinal value. The results show that when presented with a cardinal
value, children more easily recognize the optional nature of non-essential
counting features. Likewise, the type of conventional rule transgressed
significantly affected the children's acceptance of pseudoerrors as valid counts.
Participants penalized breaches of temporal and spatial-temporal adjacency to a
greater degree than breaches of spatial adjacency and left-to-right direction.
PMID- 26568284
TI - Identification of Targeting Peptides for Mucosal Delivery in Sheep and Mice.
AB - In this study we identified and characterized a novel cyclic peptide that
facilitates the rapid transportation of conjugated molecules across the
epithelial layer of the small intestine. The peptide was initially selected from
phage display libraries using a large animal experimental model, which employed
consecutive in vitro and in vivo panning. The procedure was designed to enrich
for peptides that facilitated transcytosis across the intestinal epithelium into
the intestinal afferent lymphatic system. A small set of peptides was repeatedly
isolated using this selection method; however, the cyclic nonamer CTANSSAQC, 13C,
dominated. The activity of the putative targeting peptide 13C was then verified
using a mouse model. These experiments showed that the 13C peptide as well as
macromolecules conjugated to it were rapidly transported across the intestinal
mucosa into distinct subsets of epithelial cells and CD11c+ cells located in the
lamina propria and Peyer's Patches. Significant amounts of intact protein could
be delivered into the systemic circulation after rectal and nasal application.
Thus, peptide 13C is regarded as an attractive carrier candidate for mucosal
delivery of large molecules. The preferential targeting to distinct intestinal
cells may be utilized to deliver active biological drugs for the effective
control of diseases of the gut.
PMID- 26568285
TI - Diastereo-Divergent Synthesis of Saturated Azaheterocycles Enabled by tBuOK
Mediated Hydroamination of Alkenyl Hydrazones.
AB - Diastereo-divergent synthesis of saturated azaheterocycles has been achieved by
tBuOK-mediated hydroamination of alkenyl hydrazones. DFT calculations suggested
that the cation-pi interactions between a potassium cation and aryl substituents
on hydrazones give rise to 2,5-cis selectivity in pyrrolidines, which were
synthesized by the reaction of gamma,delta-unsaturated N-benzyl hydrazones. By
contrast, 2,5-trans selectivity was observed when an isopropyl group was used as
the substituent on hydrazones. An unusual 2,6-trans selectivity in piperidine
formation was also realized using the present strategy.
PMID- 26568286
TI - Distribution of Cadmium, Iron, and Zinc in Millstreams of Hard Winter Wheat
(Triticum aestivum L.).
AB - Hard winter wheat (Triticum aestivum L.) is a major crop in the Great Plains of
the United States, and our previous work demonstrated that wheat genotypes vary
for grain cadmium accumulation with some exceeding the CODEX standard (0.2 mg kg(
1)). Previous reports of cadmium distribution in flour milling fractions have not
included high cadmium grain. This study measured the distribution of cadmium,
zinc, and iron in flour and bran streams from high cadmium (0.352 mg kg(-1))
grain on a pilot mill that produced 12 flour and four bran streams. Recovery in
flour was substantially greater for cadmium (50%) than for zinc (31%) or iron
(22%). Cadmium, zinc, and iron in the lowest mineral concentration flour stream,
representing the purest endosperm fraction, were 52, 22, and 11%, respectively,
of initial grain concentration. Our results indicate that, relative to zinc and
iron, a greater proportion of cadmium is stored in the endosperm, the source of
white flour.
PMID- 26568287
TI - Association of social-environmental factors with cognitive function in children
with sickle cell disease.
AB - The aim of this study was to examine the relationship between cognitive function
in pediatric sickle cell disease (SCD) patients and mothers' reports of social
environmental stress, depressive symptoms, and parenting. A total of 65 children
with SCD completed comprehensive neuropsychological testing to assess several
domains of cognitive functioning, including general intellectual ability,
academic achievement, and executive function. Mothers reported on demographics,
social-environmental stress, depressive symptoms, and parenting. As predicted,
children with SCD significantly underperformed relative to normative data on
measures of cognitive function. Associations between maternal social
environmental stress, maternal depressive symptoms, and parenting were mixed. The
results show partial support for the hypothesis that greater stress and
depressive symptoms and less positive parenting are associated with poorer
cognitive function in children with SCD. Linear regression analyses showed that
maternal financial stress was the strongest predictor across all domains of
cognitive function. The findings replicate and extend past research, reaffirming
that children with SCD are at risk for cognitive impairment across multiple
domains. Additionally, social-environmental stress, particularly financial
strain, is linked to mothers' depressive symptoms and parenting behaviors as well
as children's cognitive function. Future studies using direct observations of
parenting behaviors are needed. These findings, along with recent research on
parenting interventions, may inform the development of concrete, teachable
parenting and coping skills to improve cognitive functioning in children with
SCD.
PMID- 26568288
TI - Triggering of high-speed neurite outgrowth using an optical microheater.
AB - Optical microheating is a powerful non-invasive method for manipulating
biological functions such as gene expression, muscle contraction, and cell
excitation. Here, we demonstrate its potential usage for regulating neurite
outgrowth. We found that optical microheating with a water-absorbable 1,455-nm
laser beam triggers directional and explosive neurite outgrowth and branching in
rat hippocampal neurons. The focused laser beam under a microscope rapidly
increases the local temperature from 36 degrees C to 41 degrees C (stabilized
within 2 s), resulting in the elongation of neurites by more than 10 MUm within 1
min. This high-speed, persistent elongation of neurites was suppressed by
inhibitors of both microtubule and actin polymerization, indicating that the
thermosensitive dynamics of these cytoskeletons play crucial roles in this heat
induced neurite outgrowth. Furthermore, we showed that microheating induced the
regrowth of injured neurites and the interconnection of neurites. These results
demonstrate the efficacy of optical microheating methods for the construction of
arbitrary neural networks.
PMID- 26568289
TI - Discovery of Inhibitors That Overcome the G1202R Anaplastic Lymphoma Kinase
Resistance Mutation.
AB - The treatment of patients with advanced non-small-cell lung cancer harboring
chromosomal rearrangements of anaplastic lymphoma kinase (ALK) has been
revolutionized by the development of crizotinib, a small-molecule inhibitor of
ALK, ROS1, and MET. However, resistance to crizotinib inevitably develops through
a variety of mechanisms, leading to relapse both systemically and in the central
nervous system (CNS). This has motivated the development of "second-generation"
ALK inhibitors, including alectinib and ceritinib, that overcome some of the
mutations leading to resistance. However, most of the reported ALK inhibitors do
not show inhibition of the G1202R mutant, which is one of the most common
mutations. Herein, we report the development of a structural analogue of
alectinib (JH-VIII-157-02) that is potent against the G1202R mutant as well as a
variety of other frequently observed mutants. In addition, JH-VIII-157-02 is
capable of penetrating the CNS of mice following oral dosing.
PMID- 26568290
TI - A fully integrated and automated microsystem for rapid pharmacogenetic typing of
multiple warfarin-related single-nucleotide polymorphisms.
AB - A fully integrated and automated microsystem consisting of low-cost, disposable
plastic chips for DNA extraction and PCR amplification combined with a reusable
glass capillary array electrophoresis chip in a modular-based format was
successfully developed for warfarin pharmacogenetic testing. DNA extraction was
performed by adopting a filter paper-based method, followed by "in situ" PCR that
was carried out directly in the same reaction chamber of the chip without
elution. PCR products were then co-injected with sizing standards into separation
channels for detection using a novel injection electrode. The entire process was
automatically conducted on a custom-made compact control and detection
instrument. The limit of detection of the microsystem for the singleplex
amplification of amelogenin was determined to be 0.625 ng of standard K562 DNA
and 0.3 MUL of human whole blood. A two-color multiplex allele-specific PCR assay
for detecting the warfarin-related single-nucleotide polymorphisms (SNPs) 6853 (
1639G>A) and 6484 (1173C>T) in the VKORC1 gene and the *3 SNP (1075A>C) in the
CYP2C9 gene was developed and used for validation studies. The fully automated
genetic analysis was completed in two hours with a minimum requirement of 0.5 MUL
of input blood. Samples from patients with different genotypes were all
accurately analyzed. In addition, both dried bloodstains and oral swabs were
successfully processed by the microsystem with a simple modification to the DNA
extraction and amplification chip. The successful development and operation of
this microsystem establish the feasibility of rapid warfarin pharmacogenetic
testing in routine clinical practice.
PMID- 26568291
TI - microRNA-186 inhibits cell proliferation and induces apoptosis in human
esophageal squamous cell carcinoma by targeting SKP2.
AB - miR-186 has been demonstrated to have a significant role as a tumor suppressor in
many types of cancers. Nevertheless, its biological function in esophageal
squamous cell carcinoma (ESCC) remains unknown. In the present study, we found
that the expression level of miR-186 was downregulated in ESCC in comparison with
the adjacent normal tissues and was significantly associated with differentiation
level, TNM stage, and lymph node metastasis of ESCC. Functional experiments
revealed that enforced overexpression of miR-186 in ESCC cells suppressed the
proliferation, invasion, and induced the apoptosis of cells. Luciferase reporter
assay and western blotting analysis were performed to verify the target gene
regulated by miR-186, SKP2. Our findings established that the miR-186 has a
suppressive role in ESCC progression via SKP2-mediated pathway, and this implies
that miR-186 could be a potential therapeutic target for ESCC.
PMID- 26568292
TI - Loss of antigenicity with tissue age in breast cancer.
AB - Archived tumor specimens, particularly those collected by large cooperative
groups and trials, provide a wealth of material for post hoc clinical
investigation. As these tissues are rigorously collected and preserved for many
decades, subsequent use of the specimens to answer clinical questions must rely
on the assumption that expression and detection of target biomarkers are not
degraded with time. To test this assumption, we measured the expression of
estrogen receptor (ER), human epidermal growth receptor 2 (HER2), and Ki67 in
human breast carcinoma using quantitative immunofluorescence (QIF) in a series of
formalin-fixed paraffin-embedded (FFPE) tissues from 1295 individual patients
preserved for 7 to 53 years in four cohorts on tissue microarrays. Protein
expression was measured using the automated quantitative analysis method for QIF.
Change in quantitative protein expression over time was estimated in positive
cases using both Pearson's correlation and a polynomial regression analysis with
a random effects model. The average signal decreased with preservation time for
all biomarkers measured. For ER and HER2, there was an average of 10% signal loss
after 9.9 years and 8.5 years, respectively, compared with the most recent
tissue. Detection of Ki67 expression was lost more rapidly, with 10% signal loss
in just 4.5 years. Overall, these results demonstrate the need for adjustment of
tissue age when studying FFPE biospecimens. The rate of antigenicity loss is
biomarker specific and should be considered as an important variable for studies
using archived tissues.
PMID- 26568293
TI - Downregulation of TRIM27 expression inhibits the proliferation of ovarian cancer
cells in vitro and in vivo.
AB - TRIM27 (tripartite motif-containing 27) was originally identified as a fusion
partner with the RET (REarranged during transfection) proto-oncogene and is
highly expressed in various tumor cells and tissues. However, the level of
expression and function of TRIM27 in ovarian cancer remain unclear. Here we have
measured the expression of TRIM27 in normal ovarian and fallopian tube epithelial
cells and in ovarian serous carcinoma cells and correlated TRIM27 expression with
clinical and pathological parameters. In addition, we detected the effect of
TRIM27 knockdown on proliferation of ovarian cancer cells in cell culture and
xenografts. The results demonstrated that TRIM27 was highly expressed in ovarian
serous carcinoma cells, and TRIM27 expression was significantly correlated with
metastasis and FIGO stage in ovarian serous carcinoma patients. Downregulation of
TRIM27 expression suppressed the proliferation of ovarian cancer cells in cell
culture and inhibited the growth of xenografts in nude mice. TRIM27 knockdown
induced cell cycle arrest and apoptosis in ovarian cancer cells by upregulating
the expression of p-P38 and downregulating the expression of p-AKT. Thus the
present study suggests that TRIM27 could have important roles as an oncogene
during the development of ovarian cancer and could serve as a diagnostic and
therapeutic target.
PMID- 26568294
TI - Bile acids induce Delta-like 1 expression via Cdx2-dependent pathway in the
development of Barrett's esophagus.
AB - Crosstalk between the Notch signaling pathway and Caudal-related homeobox 2
(Cdx2) has important roles in the development of Barrett's esophagus (BE). We
investigated the expression and function of the Notch signaling ligand Delta-like
1 (Dll1) during the development of BE. We determined the expression levels of
Dll1 and intracellular signaling molecules related to Notch signaling ((Notch1,
Hairy/enhancer of split 1 (Hes1), and Atonal homolog 1 (ATOH1)) in human
esophageal squamous and Barrett's epithelium samples. Next, those expression
levels in esophageal squamous cells (Het-1A) and Barrett's esophageal cells (CP-A
and BAR-T) following stimulation with either bile acids or gamma-secretase
inhibitor were investigated. Finally, changes in those expression levels
following transfection of a Cdx2 or Dll1 expression vector into Het-1A cells were
examined. In addition, changes in those expression levels following knockdown of
Cdx2 or Dll1 in CP-A cells were also examined. Dll1 was found to be upregulated
and localized in the cell membrane and cytoplasm in BE. Bile acids enhanced
cytoplasmic expression of Dll1 in CP-A cells, while cleaved Notch1 expression did
not change, suggesting lack of a Dll1 agonistic effect on Notch signaling. Cells
transfected with Cdx2 revealed significantly enhanced Dll1, while forced
expression of Dll1 enhanced ATOH1, Cdx2, and MUC2 expression levels.
Nevertheless, enhanced Dll1 did not induce Hes1 expression, suggesting that Dll1
may primarily function as an intracellular signaling molecule and not a Notch
agonistic ligand in the canonical pathway. In addition, knockdown of Cdx2
completely abrogated any increase in Dll1 expression upon treatment with bile
acids. Our results revealed a novel function of Dll1: facilitation of intestinal
metaplasia in conjunction with Cdx2 expression. Furthermore, they suggest that
intracellular induction of Dll1 expression in esophageal epithelial cells due to
Cdx2 induction in response to bile acids has important roles in BE development.
PMID- 26568295
TI - Afadin is localized at cell-cell contact sites in mesangial cells and regulates
migratory polarity.
AB - In kidney glomeruli, mesangial cells provide structural support to counteract for
expansile forces caused by pressure gradients and to regulate the blood flow.
Glomerular injury results in proliferation and aberrant migration of mesangial
cells, which is the pathological characteristic of mesangial proliferative
glomerulonephritis. To date, molecular changes that occur in mesangial cells
during glomerular injury and their association with the pathogenesis of
glomerulonephritis remain largely unclear. During the search for proteins
regulating the morphology of mesangial cells, we found that afadin, a multi
domain F-actin-binding protein, and beta-catenin are expressed in cell-cell
contact sites of cultured mesangial cells and mesangial cells in vivo. Afadin
forms a protein complex with beta-catenin in glomeruli and in cultured mesangial
cells. Protein expression of afadin at mesangial intercellular junctions was
dramatically decreased in mesangial proliferative nephritis in rats and in
patients with glomerulonephritis. RNA interference-mediated depletion of afadin
in cultured mesangial cells did not affect proliferation rate but resulted in
delayed directional cell migration. Furthermore, reorientation of the Golgi
complex at the leading edges of migrating cells in wound-healing assay was
disturbed in afadin-depleted cells, suggesting the role of aberrant migratory
polarity in the pathogenesis of proliferative glomerulonephritis. These data shed
light on glomerulonephritis-associated changes in cell-cell adhesion between
mesangial cells, which might be related to migratory polarity.
PMID- 26568296
TI - Intra-tumor genetic heterogeneity in rectal cancer.
AB - Colorectal cancer arises in part from the cumulative effects of multiple gene
lesions. Recent studies in selected cancer types have revealed significant intra
tumor genetic heterogeneity and highlighted its potential role in disease
progression and resistance to therapy. We hypothesized the existence of
significant intra-tumor genetic heterogeneity in rectal cancers involving
variations in localized somatic mutations and copy number abnormalities. Two or
three spatially disparate regions from each of six rectal tumors were dissected
and subjected to the next-generation whole-exome DNA sequencing, Oncoscan SNP
arrays, and targeted confirmatory sequencing and analysis. The resulting data
were integrated to define subclones using SciClone. Mutant-allele tumor
heterogeneity (MATH) scores, mutant allele frequency correlation, and mutation
percent concordance were calculated, and copy number analysis including
measurement of correlation between samples was performed. Somatic mutations
profiles in individual cancers were similar to prior studies, with some variants
found in previously reported significantly mutated genes and many patient
specific mutations in each tumor. Significant intra-tumor heterogeneity was
identified in the spatially disparate regions of individual cancers. All tumors
had some heterogeneity but the degree of heterogeneity was quite variable in the
samples studied. We found that 67-97% of exonic somatic mutations were shared
among all regions of an individual's tumor. The SciClone computational method
identified 2-8 shared and unshared subclones in the spatially disparate areas in
each tumor. MATH scores ranged from 7 to 41. Allele frequency correlation scores
ranged from R(2)=0.69-0.96. Measurements of correlation between samples for copy
number changes varied from R(2)=0.74-0.93. All tumors had some heterogeneity, but
the degree was highly variable in the samples studied. The occurrence of
significant intra-tumor heterogeneity may allow selected tumors to have a genetic
reservoir to draw from in their evolutionary response to therapy and other
challenges.
PMID- 26568297
TI - Diabetes enhances the efficacy of AAV2 vectors in the retina: therapeutic effect
of AAV2 encoding vasoinhibin and soluble VEGF receptor 1.
AB - Adeno-associated virus (AAV) vector-mediated delivery of inhibitors of blood
retinal barrier breakdown (BRBB) offers promise for the treatment of diabetic
macular edema. Here, we demonstrated a reversal of blood-retinal barrier
pathology mediated by AAV type 2 (AAV2) vectors encoding vasoinhibin or soluble
VEGF receptor 1 (sFlt-1) when administered intravitreally to diabetic rats.
Efficacy and safety of the AAV2 vasoinhibin vector were tested by monitoring its
effect on diabetes-induced changes in the retinal vascular bed and thickness, and
in the electroretinogram (ERG). Also, the transduction of AAV2 vectors and
expression of AAV2 receptors and co-receptors were compared between the diabetic
and the non-diabetic rat retinas. AAV2 vasoinhibin or AAV2 sFlt-1 vectors were
injected intravitreally before or after enhanced BRBB due to diabetes induced by
streptozotocin. The BRBB was examined by the Evans blue method, the vascular bed
by fluorescein angiography, expression of the AAV2 EGFP reporter vector by
confocal microscopy, and the AAV2 genome, expression of transgenes, receptors,
and co-receptors by quantitative PCR. AAV2 vasoinhibin and sFlt-1 vectors
inhibited the diabetes-mediated increase in BRBB when injected after, but not
before, diabetes was induced. The AAV2 vasoinhibin vector decreased retinal
microvascular abnormalities and the diabetes-induced reduction of the B-wave of
the ERG, but it had no effect in non-diabetic controls. Also, retinal thickness
was not altered by diabetes or by the AAV2 vasoinhibin vector. The AAV2 genome,
vasoinhibin and sFlt-1 transgenes, and EGFP levels were higher in the retinas
from diabetic rats and were associated with an elevated expression of AAV2
receptors (syndecan, glypican, and perlecan) and co-receptors (fibroblast growth
factor receptor 1, alphavbeta5 integrin, and hepatocyte growth factor receptor).
We conclude that retinal transduction and efficacy of AAV2 vectors are enhanced
in diabetes, possibly due to their elevated cell entry. AAV2 vectors encoding
vasoinhibin and sFlt-1 may be desirable gene therapeutics to target diabetic
retinopathy and macular edema.
PMID- 26568298
TI - Metabolic consequences of long-term rapamycin exposure on common marmoset monkeys
(Callithrix jacchus).
AB - Rapamycin has been shown to extend lifespan in rodent models, but the effects on
metabolic health and function have been widely debated in both clinical and
translational trials. Prior to rapamycin being used as a treatment to extend both
lifespan and healthspan in the human population, it is vital to assess the side
effects of the treatment on metabolic pathways in animal model systems, including
a closely related non-human primate model. In this study, we found that long-term
treatment of marmoset monkeys with orally-administered encapsulated rapamycin
resulted in no overall effects on body weight and only a small decrease in fat
mass over the first few months of treatment. Rapamycin treated subjects showed no
overall changes in daily activity counts, blood lipids, or significant changes in
glucose metabolism including oral glucose tolerance. Adipose tissue displayed no
differences in gene expression of metabolic markers following treatment, while
liver tissue exhibited suppressed G6Pase activity with increased PCK and GPI
activity. Overall, the marmosets revealed only minor metabolic consequences of
chronic treatment with rapamycin and this adds to the growing body of literature
that suggests that chronic and/or intermittent rapamycin treatment results in
improved health span and metabolic functioning. The marmosets offer an
interesting alternative animal model for future intervention testing and
translational modeling.
PMID- 26568299
TI - Polydopamine-Gelatin as Universal Cell-Interactive Coating for Methacrylate-Based
Medical Device Packaging Materials: When Surface Chemistry Overrules Substrate
Bulk Properties.
AB - Despite its widespread application in the fields of ophthalmology, orthopedics,
and dentistry and the stringent need for polymer packagings that induce in vivo
tissue integration, the full potential of poly(methyl methacrylate) (PMMA) and
its derivatives as medical device packaging material has not been explored yet.
We therefore elaborated on the development of a universal coating for
methacrylate-based materials that ideally should reveal cell-interactivity
irrespective of the polymer substrate bulk properties. Within this perspective,
the present work reports on the UV-induced synthesis of PMMA and its more
flexible poly(ethylene glycol) (PEG)-based derivative (PMMAPEG) and its
subsequent surface decoration using polydopamine (PDA) as well as PDA combined
with gelatin B (Gel B). Successful application of both layers was confirmed by
multiple surface characterization techniques. The cell interactivity of the
materials was studied by performing live-dead assays and immunostainings of the
cytoskeletal components of fibroblasts. It can be concluded that only the
combination of PDA and Gel B yields materials possessing similar cell
interactivities, irrespective of the physicochemical properties of the underlying
substrate. The proposed coating outperforms both the PDA functionalized and the
pristine polymer surfaces. A universal cell-interactive coating for methacrylate
based medical device packaging materials has thus been realized.
PMID- 26568300
TI - Conductivity-Dependent Completion of Oxygen Reduction on Oxide Catalysts.
AB - The electric conductivity-dependence of the number of electrons transferred
during the oxygen reduction reaction is presented. Intensive properties, such as
the number of electrons transferred, are difficult to be considered conductivity
dependent. Four different perovskite oxide catalysts of different conductivities
were investigated with varying carbon contents. More conductive environments
surrounding active sites, achieved by more conductive catalysts (providing
internal electric pathways) or higher carbon content (providing external electric
pathways), resulted in higher number of electrons transferred toward more
complete 4e reduction of oxygen, and also changed the rate-determining steps from
two-step 2e process to a single-step 1e process. Experimental evidence of the
conductivity dependency was described by a microscopic ohmic polarization model
based on effective potential localized nearby the active sites.
PMID- 26568301
TI - Variants of ubiquitin-specific peptidase 24 play a crucial role in lung cancer
malignancy.
AB - Ubiquitin is a critical modifier regulating the degradation and function of its
target proteins during posttranslational modification. Here we found that
ubiquitin-specific peptidase 24 (USP24) is highly expressed in cell lines with
enhanced malignancy and in late-stage lung cancer clinical samples. Studying
single-nucleotide polymorphisms (SNPs) of USP24 using genomic DNA of lung cancer
patients revealed an increase in SNP 7656C/T. When using RNA specimens instead of
the genomic DNA of lung cancer patients, we found significant increases in the
ratios of variants 930C/T and 7656T/C, suggesting that variants at these two
sites are not only caused by the SNP of DNA but also by the RNA editing. USP24
930T and USP24-7656C increase USP24 expression levels by increasing RNA
stability. Knocking down USP24 increased Suv39h1 level through a decrease in
mouse double-minute 2 homolog levels, thus enhancing lysine-9 methylation of
histone H3, and resulting in the prevention of lung cancer malignancy. In
conclusion, as USP24 variant analysis revealed a higher ratio of variants in
blood specimens of lung cancer patients than that in normal individuals, USP24
930T and USP24-7656C might be useful as diagnostic markers for cancer detection.
PMID- 26568302
TI - EB-virus latent membrane protein 1 potentiates the stemness of nasopharyngeal
carcinoma via preferential activation of PI3K/AKT pathway by a positive feedback
loop.
AB - Our previous study reported that Epstein-Barr virus(EBV)-encoded latent membrane
protein 1 (LMP1) could induce development of CD44(+/High) stem-like cells in
nasopharyngeal carcinoma (NPC). However, the molecular mechanisms that underlie
modulation of cancer stem cells (CSCs) in NPC remain unclear. Here, we show that
LMP1 induced CSC-like properties through promotion of the expression of
epithelial-mesenchymal transition-like cellular markers and through alterations
in differentiation markers. Furthermore, LMP1 activated and triggered
phosphoinositide 3-kinase/protein kinase B (PI3K/AKT) pathway, which subsequently
stimulated expression of CSC markers, development of side population and tumor
sphere formation. This suggests that PI3K/AKT pathway has an important role in
the induction and maintenance of CSC properties in NPC. Similarly, PI3K/AKT
pathway was also activated by phosphorylase in LMP1-induced CD44(+/High) cells.
In addition, LMP1 greatly increased expression of miR-21 and downregulated
expression of the miR-21 target, PTEN. Overexpression of miR-21 by transfection
of miR-21 mimics into LMP1-transformed cells led to phosphorylase-mediated
activation of the PI3K/AKT pathway and induction of CSCs. On the contrary,
phosphorylation of the PI3K/AKT pathway and the expression of CSC were reversed
by an miR-21 inhibitor. The specific inhibitor (Ly294002) of PI3K/AKT pathway
significantly decreased expression of miR-21 and CSC markers and upregulated the
expression of PTEN, which indicates that miR-21 and PTEN are the downstream
effectors of PI3K/AKT and that expression of these two effectors are related to
the development of NPC CSCs. Taken together, our novel findings indicate that
LMP1, PI3K/AKT, miR-21 and PTEN constitute a positive feedback loop and have a
key role in LMP1-induced CSCs in NPC.
PMID- 26568303
TI - NAMPT suppresses glucose deprivation-induced oxidative stress by increasing NADPH
levels in breast cancer.
AB - Nicotinamide phosphoribosyltransferase (NAMPT) is a rate-limiting enzyme involved
in NAD+ biosynthesis. Although NAMPT has emerged as a critical regulator of
metabolic stress, the underlying mechanisms by which it regulates metabolic
stress in cancer cells have not been completely elucidated. In this study, we
determined that breast cancer cells expressing a high level of NAMPT were
resistant to cell death induced by glucose depletion. Furthermore, NAMPT
inhibition suppressed tumor growth in vivo in a xenograft model. Under glucose
deprivation conditions, NAMPT inhibition was found to increase the mitochondrial
reactive oxygen species (ROS) level, leading to cell death. This cell death was
rescued by treatment with antioxidants or NAD+. Finally, we showed that NAMPT
increased the pool of NAD+ that could be converted to NADPH through the pentose
phosphate pathway and inhibited the depletion of reduced glutathione under
glucose deprivation. Collectively, our results suggest a novel mechanism by which
tumor cells protect themselves against glucose deprivation-induced oxidative
stress by utilizing NAMPT to maintain NADPH levels.
PMID- 26568304
TI - CHIP-mediated degradation of transglutaminase 2 negatively regulates tumor growth
and angiogenesis in renal cancer.
AB - The multifunctional enzyme transglutaminase 2 (TG2) primarily catalyzes cross
linking reactions of proteins via (gamma-glutamyl) lysine bonds. Several recent
findings indicate that altered regulation of intracellular TG2 levels affects
renal cancer. Elevated TG2 expression is observed in renal cancer. However, the
molecular mechanism underlying TG2 degradation is not completely understood.
Carboxyl-terminus of Hsp70-interacting protein (CHIP) functions as an ubiquitin
E3 ligase. Previous studies reveal that CHIP deficiency mice displayed a reduced
life span with accelerated aging in kidney tissues. Here we show that CHIP
promotes polyubiquitination of TG2 and its subsequent proteasomal degradation. In
addition, TG2 upregulation contributes to enhanced kidney tumorigenesis.
Furthermore, CHIP-mediated TG2 downregulation is critical for the suppression of
kidney tumor growth and angiogenesis. Notably, our findings are further supported
by decreased CHIP expression in human renal cancer tissues and renal cancer
cells. The present work reveals that CHIP-mediated TG2 ubiquitination and
proteasomal degradation represent a novel regulatory mechanism that controls
intracellular TG2 levels. Alterations in this pathway result in TG2
hyperexpression and consequently contribute to renal cancer.
PMID- 26568305
TI - Preoperative staging of resectability of colon cancer using virtual colonoscopy:
correlation with surgical results. Our experience.
AB - PURPOSE: To evaluate the clinical usefulness of preoperative computed tomography
colonography (CTC) in locoregional staging in patients with abdominal pain
secondary toocclusive colorectal cancer (CRC). MATERIALS AND METHODS: 80 patients
with abdominal pain underwent CTC initially without contrast and after diagnosis
with contrast. 47 patients had distal CRC and 33 had proximal CRC. CTimages were
analyzed independently by two radiologists, using MPR reconstruction and VR
images. Depending on the anatomical depth of wall invasion primary tumor (T) was
classified <= T2, T3 and T4. The definition of node disease (N) was based on the
number of involved regional lymph nodes. Metastases (M) were characterized by the
presence and location of distant disease. Pre treatment stage (cT cN) was
compared with pathologic stage (pT pN). Accuracy of CTC was also evaluated.
RESULTS: The overall accuracy values for T staging of reviewer 1, reviewer 2 and
consensus reading were 91.6%, 86.2% and 92.8% respectively; 92.2%, 79.8% and
92.5% for T2; 88.1%, 85.5%, and 89.7% for T3; and 94.5%, 93.5% and 96.2% for T4.
The accuracy values for N staging and M staging were 81,.8%, 94.0% for reviewer
1; 78.2% and 88.1% for reviewer 2; 81.8% and 94.0% for consensus reading,
respectively. CONCLUSION: In our experience CTC is not only useful in the
evaluation of the proximal bowel, but can also provide surgeons with accurate
information about staging and tumor localization. CTC is recommended for better
evaluation of preoperative staging. KEY WORDS: CT colonography, Colorectal
cancer, Extracolonic findings, Staging.
PMID- 26568306
TI - Signature of a continuous quantum phase transition in non-equilibrium energy
absorption: Footprints of criticality on higher excited states.
AB - Understanding phase transitions in quantum matters constitutes a significant part
of present day condensed matter physics. Quantum phase transitions concern ground
state properties of many-body systems, and hence their signatures are expected to
be pronounced in low-energy states. Here we report signature of a quantum
critical point manifested in strongly out-of-equilibrium states with finite
energy density with respect to the ground state and extensive (subsystem)
entanglement entropy, generated by an external pulse. These non-equilibrium
states are evidently completely disordered (e.g., paramagnetic in case of a
magnetic ordering transition). The pulse is applied by switching a coupling of
the Hamiltonian from an initial value (lambdaI) to a final value (lambdaF) for
sufficiently long time and back again. The signature appears as non-analyticities
(kinks) in the energy absorbed by the system from the pulse as a function of
lambdaF at critical-points (i.e., at values of lambdaF corresponding to static
critical-points of the system). As one excites higher and higher eigenstates of
the final Hamiltonian H(lambdaF) by increasing the pulse height (|lambdaF -
lambdaI|), the non-analyticity grows stronger monotonically with it. This implies
adding contributions from higher eigenstates help magnifying the non-analyticity,
indicating strong imprint of the critical-point on them. Our findings are
grounded on exact analytical results derived for Ising and XY chains in
transverse field.
PMID- 26568307
TI - Sexually divergent expression of active and passive conditioned fear responses in
rats.
AB - Traditional rodent models of Pavlovian fear conditioning assess the strength of
learning by quantifying freezing responses. However, sole reliance on this
measure includes the de facto assumption that any locomotor activity reflects an
absence of fear. Consequently, alternative expressions of associative learning
are rarely considered. Here we identify a novel, active fear response ('darting')
that occurs primarily in female rats. In females, darting exhibits the
characteristics of a learned fear behavior, appearing during the CS period as
conditioning proceeds and disappearing from the CS period during extinction. This
finding motivates a reinterpretation of rodent fear conditioning studies,
particularly in females, and it suggests that conditioned fear behavior is more
diverse than previously appreciated. Moreover, rats that darted during initial
fear conditioning exhibited lower freezing during the second day of extinction
testing, suggesting that females employ distinct and adaptive fear response
strategies that improve long-term outcomes.
PMID- 26568308
TI - A synaptic F-actin network controls otoferlin-dependent exocytosis in auditory
inner hair cells.
AB - We show that a cage-shaped F-actin network is essential for maintaining a tight
spatial organization of Cav1.3 Ca(2+) channels at the synaptic ribbons of
auditory inner hair cells. This F-actin network is also found to provide
mechanosensitivity to the Cav1.3 channels when varying intracellular hydrostatic
pressure. Furthermore, this F-actin mesh network attached to the synaptic ribbons
directly influences the efficiency of otoferlin-dependent exocytosis and its
sensitivity to intracellular hydrostatic pressure, independently of its action on
the Cav1.3 channels. We propose a new mechanistic model for vesicle exocytosis in
auditory hair cells where the rate of vesicle recruitment to the ribbons is
directly controlled by a synaptic F-actin network and changes in intracellular
hydrostatic pressure.
PMID- 26568309
TI - Genetic architecture of natural variation in cuticular hydrocarbon composition in
Drosophila melanogaster.
AB - Insect cuticular hydrocarbons (CHCs) prevent desiccation and serve as chemical
signals that mediate social interactions. Drosophila melanogaster CHCs have been
studied extensively, but the genetic basis for individual variation in CHC
composition is largely unknown. We quantified variation in CHC profiles in the D.
melanogaster Genetic Reference Panel (DGRP) and identified novel CHCs. We used
principal component (PC) analysis to extract PCs that explain the majority of CHC
variation and identified polymorphisms in or near 305 and 173 genes in females
and males, respectively, associated with variation in these PCs. In addition, 17
DGRP lines contain the functional Desat2 allele characteristic of African and
Caribbean D. melanogaster females (more 5,9-C27:2 and less 7,11-C27:2, female sex
pheromone isomers). Disruption of expression of 24 candidate genes affected CHC
composition in at least one sex. These genes are associated with fatty acid
metabolism and represent mechanistic targets for individual variation in CHC
composition.
PMID- 26568310
TI - Planar cell polarity-mediated induction of neural stem cell expansion during
axolotl spinal cord regeneration.
AB - Axolotls are uniquely able to mobilize neural stem cells to regenerate all
missing regions of the spinal cord. How a neural stem cell under homeostasis
converts after injury to a highly regenerative cell remains unknown. Here, we
show that during regeneration, axolotl neural stem cells repress neurogenic genes
and reactivate a transcriptional program similar to embryonic neuroepithelial
cells. This dedifferentiation includes the acquisition of rapid cell cycles, the
switch from neurogenic to proliferative divisions, and the re-expression of
planar cell polarity (PCP) pathway components. We show that PCP induction is
essential to reorient mitotic spindles along the anterior-posterior axis of
elongation, and orthogonal to the cell apical-basal axis. Disruption of this
property results in premature neurogenesis and halts regeneration. Our findings
reveal a key role for PCP in coordinating the morphogenesis of spinal cord
outgrowth with the switch from a homeostatic to a regenerative stem cell that
restores missing tissue.
PMID- 26568311
TI - TANGO1 recruits ERGIC membranes to the endoplasmic reticulum for procollagen
export.
AB - Previously we showed that membrane fusion is required for TANGO1-dependent export
of procollagen VII from the endoplasmic reticulum (ER) (Nogueira, et al., 2014).
Along with the t-SNARE Syntaxin 18, we now reveal the complete complement of
SNAREs required in this process, t-SNAREs BNIP1 and USE1, and v-SNARE YKT6.
TANGO1 recruits YKT6-containing ER Golgi Intermediate Compartment (ERGIC)
membranes to procollagen VII-enriched patches on the ER. Moreover residues 1214
1396, that include the first coiled coil of TANGO1, specifically recruit ERGIC
membranes even when targeted to mitochondria. TANGO1 is thus pivotal in
concentrating procollagen VII in the lumen and recruiting ERGIC membranes on the
cytoplasmic surface of the ER. Our data reveal that growth of a mega transport
carrier for collagen export from the ER is not by acquisition of a larger patch
of ER membrane, but instead by addition of ERGIC membranes to procollagen
enriched domains of the ER by a TANGO1-mediated process.
PMID- 26568312
TI - Synchronized amplification of local information transmission by peripheral
retinal input.
AB - Sensory stimuli have varying statistics influenced by both the environment and by
active sensing behaviors that rapidly and globally change the sensory input.
Consequently, sensory systems often adjust their neural code to the expected
statistics of their sensory input to transmit novel sensory information. Here, we
show that sudden peripheral motion amplifies and accelerates information
transmission in salamander ganglion cells in a 50 ms time window. Underlying this
gating of information is a transient increase in adaptation to contrast,
enhancing sensitivity to a broader range of stimuli. Using a model and natural
images, we show that this effect coincides with an expected increase in
information in bipolar cells after a global image shift. Our findings reveal the
dynamic allocation of energy resources to increase neural activity at times of
expected high information content, a principle of adaptation that balances the
competing requirements of conserving spikes and transmitting information.
PMID- 26568313
TI - Claudin-2-dependent paracellular channels are dynamically gated.
AB - Intercellular tight junctions form selectively permeable barriers that seal the
paracellular space. Trans-tight junction flux has been measured across large
epithelial surfaces, but conductance across individual channels has never been
measured. We report a novel trans-tight junction patch clamp technique that
detects flux across individual claudin-2 channels within the tight junction of
cultured canine renal tubule or human intestinal epithelial monolayers. In both
cells, claudin-2 channels display conductances of ~90 pS. The channels are gated,
strictly dependent on claudin-2 expression, and display size- and charge
selectivity typical of claudin-2. Kinetic analyses indicate one open and two
distinct closed states. Conductance is symmetrical and reversible, characteristic
of a passive, paracellular process, and blocked by reduced temperature or site
directed mutagenesis and chemical derivatization of the claudin-2 pore. We
conclude that claudin-2 forms gated paracellular channels and speculate that
modulation of tight junction channel gating kinetics may be an unappreciated
mechanism of barrier regulation.
PMID- 26568314
TI - Multivariate analysis of electrophysiological diversity of Xenopus visual neurons
during development and plasticity.
AB - Biophysical properties of neurons become increasingly diverse over development,
but mechanisms underlying and constraining this diversity are not fully
understood. Here we investigate electrophysiological characteristics of Xenopus
tadpole midbrain neurons across development and during homeostatic plasticity
induced by patterned visual stimulation. We show that in development tectal
neuron properties not only change on average, but also become increasingly
diverse. After sensory stimulation, both electrophysiological diversity and
functional differentiation of cells are reduced. At the same time, the amount of
cross-correlations between cell properties increase after patterned stimulation
as a result of homeostatic plasticity. We show that tectal neurons with similar
spiking profiles often have strikingly different electrophysiological properties,
and demonstrate that changes in intrinsic excitability during development and in
response to sensory stimulation are mediated by different underlying mechanisms.
Overall, this analysis and the accompanying dataset provide a unique framework
for further studies of network maturation in Xenopus tadpoles.
PMID- 26568315
TI - Bystander hyperactivation of preimmune CD8+ T cells in chronic HCV patients.
AB - Chronic infection perturbs immune homeostasis. While prior studies have reported
dysregulation of effector and memory cells, little is known about the effects on
naive T cell populations. We performed a cross-sectional study of chronic
hepatitis C (cHCV) patients using tetramer-associated magnetic enrichment to
study antigen-specific inexperienced CD8(+) T cells (i.e., tumor or unrelated
virus-specific populations in tumor-free and sero-negative individuals). cHCV
showed normal precursor frequencies, but increased proportions of memory
phenotype inexperienced cells, as compared to healthy donors or cured HCV
patients. These observations could be explained by low surface expression of CD5,
a negative regulator of TCR signaling. Accordingly, we demonstrated TCR
hyperactivation and generation of potent CD8(+) T cell responses from the altered
T cell repertoire of cHCV patients. In sum, we provide the first evidence that
naive CD8(+) T cells are dysregulated during cHCV infection, and establish a new
mechanism of immune perturbation secondary to chronic infection.
PMID- 26568316
TI - Excitation and inhibition onto central courtship neurons biases Drosophila mate
choice.
AB - The ability to distinguish males from females is essential for productive mate
selection and species propagation. Recent studies in Drosophila have identified
different classes of contact chemosensory neurons that detect female or male
pheromones and influence courtship decisions. Here, we examine central neural
pathways in the male brain that process female and male pheromones using
anatomical, calcium imaging, optogenetic, and behavioral studies. We find that
sensory neurons that detect female pheromones, but not male pheromones, activate
a novel class of neurons in the ventral nerve cord to cause activation of P1
neurons, male-specific command neurons that trigger courtship. In addition,
sensory neurons that detect male pheromones, as well as those that detect female
pheromones, activate central mAL neurons to inhibit P1. These studies demonstrate
that the balance of excitatory and inhibitory drives onto central courtship
promoting neurons controls mating decisions.
PMID- 26568318
TI - Hemostatic variables, plasma lactate concentration, and inflammatory biomarkers
in dogs with gastric dilatation-volvulus.
AB - OBJECTIVE: Prospective characterization of hemostastatic variables, plasma
lactate concentration, and inflammatory biomarkers in dogs with gastric
dilatation-volvulus (GDV). MATERIAL AND METHODS: Coagulation variables
(platelets, prothrombin time [PT], activated partial thromboplastin time [aPTT],
fibrinogen, antithrombin [AT], protein C [PC], protein S [PS], D-dimers), plasma
lactate concentration and inflammatory biomarkers (C-reactive protein, white
blood cell [WBC] count, lymphocyte and neutrophil numbers) were assessed in 20
dogs with GDV presented between 2011 and 2012. Blood was taken preoperatively and
at days 1 and 3 postoperatively. The prognostic value of these variables before
and after surgery was evaluated as well as the behavior of variables during the
study. RESULTS: Overall, 7/20 (35%) dogs did not survive; two dogs (29%) were
euthanized during surgery due to severe gastric necrosis and 5 (71%) dogs after
surgery due to sepsis and disseminated intravascular coagulopathy. Prior to
surgery, median plasma lactate concentration was significantly (p = 0.01) lower
in survivors (6.2 mmol/l, range 1.9-9.7 mmol/l) when compared to non-survivors
(11.8 mmol/l, range 7.5-16.2 mmol/l). In dogs dying after surgery, significantly
higher plasma lactate concentration, coagulation times and D-dimer concentration
were present as well as lower fibrinogen concentration and activity of PC and AT
compared to survivors. At discharge, activity of AT, PC and PS were markedly
below the reference interval in 6/13 (46%), 11/13 (85%), and 8/13 (62%) dogs,
respectively. CLINICAL RELEVANCE: Only lactate plasma concentration was of
preoperative prognostic value. After surgery, severe abnormalities of coagulation
variables, especially the endogenous anticoagulants were present in most of the
dogs. The severity of the abnormalities was associated with survival.
PMID- 26568317
TI - Gastro-esophageal reflux and antisecretory drugs use among patients with chronic
autoimmune atrophic gastritis: a study with pH-impedance monitoring.
AB - BACKGROUND: Patients with chronic autoimmune atrophic gastritis (CAAG) often
refer digestive symptoms and are prescribed antisecretory medications. Aims were
to investigate: (i) gastro-esophageal reflux (GER), (ii) psychopathological
profile, (iii) frequency of use and clinical benefit of antisecretory drugs.
METHODS: Prospective observational study on 41 CAAG patients who underwent: 24 h
multichannel intra-luminal impedance-pH (MII-pH) monitoring off-therapy,
standardized medical interview and psychological questionnaire (i.e., SCL-90R).
The medical interview was repeated at least 1 month after MII-pH in patients who
were using antisecretory drugs. Statistical analysis was performed calculating
median (10th-90th percentiles) and risk ratios (RR) with 95% confidence interval.
KEY RESULTS: Median intra-gastric pH was 6.2 (4.6-7.0). One patient had acid
reflux (AC) associated with symptoms, five had increased total reflux number and
four had symptoms associated to non-acid reflux (NA) (patients referred as 'GER
positive'). Using patients 'GER negative' with normal SCL-90R as reference, the
RR of being symptomatic in patients GER positive was 2.1 (1.1-4.1) if SCL-90R was
normal and 0.9 (0.5-1.7) if it was altered (difference in RR significant being p
= 0.04). Seventeen/28 (61%) symptomatic patients were on antisecretory drugs,
which were stopped in 16 of them according to results of MII-pH and clinical
evaluation after 574 days (48-796) showed that symptoms were unchanged.
CONCLUSIONS & INFERENCES: In patients with CAAG (i) AC reflux rarely occurred
whereas increased NA reflux was not infrequent both being related to symptoms in
some patients, (ii) psychopathological profile has a role in symptoms'
occurrence, (iii) antisecretory drugs were generally inappropriately used and
clinically ineffective.
PMID- 26568319
TI - Morphology-dependent interplay of reduction behaviors, oxygen vacancies and
hydroxyl reactivity of CeO2 nanocrystals.
AB - Reduction behaviors, oxygen vacancies and hydroxyl groups play decisive roles in
the surface chemistry and catalysis of oxides. Employing isothermal H2 reduction
we simultaneously reduced CeO2 nanocrystals with different morphologies, created
oxygen vacancies and produced hydroxyl groups. The morphology of CeO2
nanocrystals was observed to strongly affect the reduction process and the
resultant oxygen vacancy structure. The resultant oxygen vacancies are mainly
located on the surfaces of CeO2 cubes and rods but in the subsurface/bulk of CeO2
octahedra. The reactivity of isolated bridging hydroxyl groups on CeO2
nanocrystals was found to depend on the local oxygen vacancy concentration, in
which they reacted to produce water at low local oxygen vacancy concentrations
but to produce both water and hydrogen with increasing local oxygen vacancy
concentration. These results reveal a morphology-dependent interplay among the
reduction behaviors, oxygen vacancies and hydroxyl reactivity of CeO2
nanocrystals, which deepens the fundamental understanding of the surface
chemistry and catalysis of CeO2.
PMID- 26568321
TI - Note Special Issue on Discrete Graphical Models in Biomedical Image Analysis.
PMID- 26568320
TI - A subset of CD163+ macrophages displays mixed polarizations in discoid lupus
skin.
AB - INTRODUCTION: Lesional skin of patients with discoid lupus erythematosus (DLE)
contains macrophages, whose polarization has yet to be investigated. To test our
hypothesis that M1 macrophages would be increased in DLE skin, we examined
transcriptome alterations in immune cell gene expression and macrophage features
in DLE and normal skin by using gene expression and histochemical approaches.
METHODS: Gene expression of RNA from DLE lesional and normal control skin was
compared by microarrays and quantitative real-time polymerase chain reaction (RT
PCR). Both skin groups were analyzed for CD163 expression by
immunohistochemistry. Double immunofluorescence studies were performed to
characterize protein expression of CD163+ macrophages. RESULTS: DLE skin had
twice as many upregulated genes than downregulated genes compared with normal
skin. Gene set enrichment analysis comparing differentially expressed genes in
DLE and normal skin with previously published gene sets associated with M1 and M2
macrophages showed strong overlap between upregulated genes in DLE skin and M1
macrophages. Quantitative RT-PCR showed that several M1 macrophage-associated
genes--e.g., chemokine (C-X-C motif) ligand 10 (CXCL10), chemokine (C-C motif)
ligand 5 (CCL5), and signal transducer and activator of transcription 1 (STAT1)
had amplified mRNA levels in DLE skin. CD163+ macrophages were increased near the
epidermal-dermal junction and perivascular areas in DLE skin compared with normal
skin. However, double immunofluorescence studies of CD163+ macrophages revealed
minor co-expression of M1 (CXCL10, tumor necrosis factor-alpha, and CD127) and M2
(CD209 and transforming growth factor-beta) macrophage-related proteins in DLE
skin. CONCLUSION: Whereas a subset of CD163+ macrophages displays mixed
polarizations in DLE skin, other immune cells such as T cells can contribute to
the expression of these macrophage-related genes.
PMID- 26568322
TI - Rocky coast processes: with special reference to the recession of soft rock
cliffs.
AB - Substantial progress in research on the recession of coastal cliffs composed of
soft materials has been made in recent years and data with higher accuracy have
been accumulated. This paper provides the state of the art review in the
recession studies and highlights two new findings obtained from the reanalysis of
existing data. The review topics are: episodic and localized nature of cliff
recession; the development of cliffline; the relationship between cliff height
and recession rate; mechanisms of cliff toe erosion by waves; a fundamental
equation for wave-induced toe erosion; factors controlling toe erosion; and slope
instabilities and mass movements. The findings are presented on (1) the temporal
change in cliffline recession mode and (2) the effect of beach sediment at the
cliff base on the cliff erosion.
PMID- 26568323
TI - 24/7 Registered Nurse Staffing Coverage in Saskatchewan Nursing Homes and Acute
Hospital Use.
AB - RESUME La legislation, dans de nombreuses juridictions, necessite les
etablissements des soins de longue duree (SLD) d'avoir une infirmiere en service
24 heures par jour, 7 jours par semaine. Bien que la recherche considerable
existe sur l'intensite SLD de la dotation en personnel infirmier, il n'existe pas
de la recherche empirique relative a cette exigence. Notre etude retrospectif
d'observation a compare des installations en Saskatchewan avec 24/7 RN couverture
aux etablissements offrant moins de couverture, completees par divers modeles de
dotation des postes de nuit. Les ratios de risque associes a moins de 24/7
couverture RN complete de la dotation infirmiere autorise de nuit, ajuste pour
l'intensite de dotation en personnel infirmier et d'autres facteurs de confusion
potentiels, etaient de 1,17, IC 95% [0,91, 1,50] et 1.00, IC a 95% [0,72, 1,39],
et avec moins de couverture 24/7 RN complete avec soin par aides personnels de
nuit, les ratios de risque etaient de 1,46, IC 95% [1,11, 1,91] et 1,11, IC 95%
[0,78, 1,58], pour les patients hospitalises et de visites aux services
d'urgence, respectivement. Ces resultats suggerent que l'utilisation des soins de
courte duree peut etre influencee negativement par l'absence de la couverture
24/7 RN.
PMID- 26568324
TI - Phloem transcriptome signatures underpin the physiological differentiation of the
pedicel, stalk and fruit of cucumber (Cucumis sativus L.).
AB - Cucumber is one of the most important vegetables grown worldwide due to its
important economic and nutritional value. The cucumber fruit consists
morphologically of the undesirable stalk and the tasty fruit; however,
physiological differentiation of these two parts and the underlying molecular
basis remain largely unknown. Here we characterized the physiological differences
among the pedicel, stalk and fruit, and compared the respective phloem
transcriptomes using laser capture microdissection coupled with RNA sequencing
(RNA-Seq). We found that the pedicel was characterized by minor cell expansion
and a high concentration of stachyose, the stalk showed rapid cell expansion and
high raffinose accumulation, and the fruit featured transition from cell division
to cell expansion and high levels of monosaccharides. Analyses of transcriptome
data indicated that cell wall- and calcium ion binding-related genes contributed
to the cell expansion in the pedicel and stalk, whereas genes implicated in cell
cycle and hormone actions regulated the transition from cell division to cell
expansion in the fruit. Differential sugar distribution in these three phloem
connected tissues resulted from tissue-specific sugar metabolism and transport.
Enrichment of transcription factors in the stalk and fruit may facilitate
nutrient accumulation in these sink organs. As such, phloem-located gene
expression partially orchestrated physiological differentiation of the pedicel,
stalk and fruit in cucumber. In addition, we identified 432 cucumber-unique genes
and five phloem markers guiding future functional studies.
PMID- 26568325
TI - Load-distributing-band cardiopulmonary resuscitation for out-of-hospital cardiac
arrest increases regional cerebral oxygenation: a single-center prospective pilot
study.
AB - BACKGROUND: Despite advances in therapeutic strategies and improved guidelines,
morbidity and mortality rates for out-of-hospital cardiac arrest (OHCA) remain
high. Especially, neurological prognosis is one of the most important problems
even though brain protection therapy for patients with OHCA has improved greatly
in recent years due to the development of emergency post-cardiac arrest
interventions such as mild therapeutic hypothermia, early percutaneous coronary
intervention, and extracorporeal cardiopulmonary resuscitation (CPR). Recently,
cerebral regional oxygen saturation (rSO2) has received attention as a method for
evaluation of cerebral oxygenation. We have reported that conventional chest
compression did not improve the rSO2 of cardiac arrest patients if they did not
achieve return of spontaneous circulation. It is, however, unclear whether a
mechanical CPR device is helpful in improving rSO2. The purpose of this study was
to evaluate the effects of load-distributing-band CPR (LDB-CPR) on rSO2. METHODS:
In this prospective study, LDB-CPR was begun for OHCA with the AutoPulse(TM)
device on patient arrival at hospital. During mechanical CPR, rSO2 values were
recorded continuously from the forehead of the patients. CPR for patients with
OHCA was performed according to the Japan Resuscitation Council Guidelines 2010
except for using the AutoPulse(TM) instead of manual chest compression. RESULTS:
From December 2012 to December 2013, 34 patients (mean age, 75.6 +/- 12.8 years)
with OHCA were included in this study. Duration of time from recognition of
cardiac collapse to arrival to hospital was 31.0 +/- 11.4 min. Compared with the
rSO2 value of 38.9 +/- 0.7 % prior to starting LDB-CPR, rSO2 values at 4, 8 and
12 minutes increased significantly after initiation of LDB-CPR (44.0 +/- 0.9 %,
45.2 +/- 0.8 %, and 45.5 +/- 0.8 %, respectively, p < 0.05). CONCLUSION: LDB-CPR
significantly increased the rSO2 of cardiac arrest patients during resuscitation.
PMID- 26568326
TI - The Cultural Adaptation of a Community-Based Child Maltreatment Prevention
Initiative.
AB - A unique primary prevention effort, Strong Communities for Children (Strong
Communities), focuses on changing attitudes and expectations regarding
communities' collective responsibilities for the safety of children. Findings
from a 6-year pilot of the initiative in South Carolina have shown promise in
reducing child maltreatment, but efforts to adapt the initiative to different
cultural contexts have been lacking. No models exist for adapting an initiative
that takes a community-level approach to ensuring children's safety. Thus, this
article addresses the gap by providing an overview of the original initiative,
how the initiative was adapted to the Israeli context, and lessons learned from
the experience. Building on conceptualizations of cultural adaptation by Castro
et al. (Prevention Science, 5, 2004, 41) and Resnicow et al. (Ethnicity and
Disease, 9, 1999, 11), sources of nonfit (i.e., sociodemographic traits,
political conflict, government services, and the presence and role of community
organizations) were identified and deep and surface structure modifications were
made to the content and delivery. Ultimately, this article describes the adaption
and dissemination of a community-based child maltreatment prevention initiative
in Tel Aviv, Israel, and addresses researchers' calls for more publications
describing the adaptation of interventions and the procedures that need to be
implemented to achieve cultural relevance.
PMID- 26568328
TI - Automated semantic annotation of rare disease cases: a case study.
PMID- 26568329
TI - MAPanalyzer: a novel online tool for analyzing microtubule-associated proteins.
AB - The wide functional impacts of microtubules are unleashed and controlled by a
battery of microtubule-associated proteins (MAPs). Specialists in the field
appreciate the diversity of known MAPs and propel the identifications of novel
MAPs. By contrast, there is neither specific database to record known MAPs, nor
MAP predictor that can facilitate the discovery of potential MAPs. We here report
the establishment of a MAP-centered online analysis tool MAPanalyzer, which
consists of a MAP database and a MAP predictor. In the database, a core MAP
dataset, which is fully manually curated from the literature, is further enriched
by MAP information collected via automated pipeline. The core dataset, on the
other hand, enables the building of a novel MAP predictor which combines
specialized machine learning classifiers and the BLAST homology searching tool.
Benchmarks on the curated testing dataset and the Arabidopsis thaliana whole
genome dataset have shown that the proposed predictor outperforms not only its
own components (i.e. the machine learning classifiers and BLAST), but also
another popular homology searching tool, PSI-BLAST. Therefore, MAPanalyzer will
serve as a promising computational resource for the investigations of MAPs.
Database URL: http://systbio.cau.edu.cn/mappred/.
PMID- 26568330
TI - Penetration and intracellular uptake of poly(glycerol-adipate) nanoparticles into
three-dimensional brain tumour cell culture models.
AB - Nanoparticle (NP) drug delivery systems may potentially enhance the efficacy of
therapeutic agents. It is difficult to characterize many important properties of
NPs in vivo and therefore attempts have been made to use realistic in vitro
multicellular spheroids instead. In this paper, we have evaluated poly(glycerol
adipate) (PGA) NPs as a potential drug carrier for local brain cancer therapy.
Various three-dimensional (3-D) cell culture models have been used to investigate
the delivery properties of PGA NPs. Tumour cells in 3-D culture showed a much
higher level of endocytic uptake of NPs than a mixed normal neonatal brain cell
population. Differences in endocytic uptake of NPs in 2-D and 3-D models strongly
suggest that it is very important to use in vitro 3-D cell culture models for
evaluating this parameter. Tumour penetration of NPs is another important
parameter which could be studied in 3-D cell models. The penetration of PGA NPs
through 3-D cell culture varied between models, which will therefore require
further study to develop useful and realistic in vitro models. Further use of 3-D
cell culture models will be of benefit in the future development of new drug
delivery systems, particularly for brain cancers which are more difficult to
study in vivo.
PMID- 26568331
TI - Does Leishmaniasis disease alter the parenchyma and protein expression in
salivary glands?
AB - Leishmaniasis is considered a serious public health problem in several regions in
Brazil and worldwide. This research aimed to perform a histopathological and
proteomic study of parotid, submandibular and sublingual glands of BALB/c mice
infected by Leishmania (L) infantum chagasi using histological,
immunohistochemical and epifluorescence techniques. Twelve isogenic BALB/c male
mice, around six- to eight-weeks old, were separated into two groups: the animals
of the control group were injected with 0.15 ml of NaCl, while those in the
experimental group were inoculated with 5 * 10(6) amastigote forms of Leishmania
(L) infantum chagasi by the ip route. After 50 days, animals were euthanized and
major salivary glands were collected to perform histological, immunohistochemical
and epifluorescence techniques using anti-Caspase-2, anti-Ki-67 and anti-beta
catenin antibodies, respectively. The histological and morphometric evaluation
showed clusters of mononuclear inflammatory cells and a higher area and perimeter
of the parotid gland. However, none of the salivary glands had
morphophysiological impairment. There was no immunoreactivity to the anti-caspase
2 antibody and Ki67 expression in acinar and ductal cells in both groups.
According to the immunofluorescence staining, the beta-catenin antibodies did not
show nuclear expression, suggesting no uncontrolled proliferation. The data
obtained in this study showed population and morphological stability of major
salivary glands after 50 days post-infection by Leishmania (L) infantum chagasi.
PMID- 26568332
TI - Increased expression of immune-related genes in leukocytes of patients with
diagnosed gestational diabetes mellitus (GDM).
AB - Compelling evidence indicates that the immune system is linked to metabolism in
gestational diabetes mellitus (GDM), but factors participating in these processes
still are awaiting identification. Inducible nitric oxide synthase, encoded by
the NOS2 gene, and surfactant protein D, encoded by the SFTPD gene, have been
implicated in diabetes. We investigated NOS2 and SFTPD mRNA levels in leukocytes
obtained from 125 pregnant women with (n = 87) or without (control group; n = 38)
GDM, and, in turn, correlated their expression with clinical parameters of
subjects. Leukocytes were isolated from the blood of pregnant women and NOS2 and
SFTPD expression in these cells was determined by quantitative real time PCR (qRT
PCR). Univariate correlation analyses were performed to assess an association
between leukocyte NOS2 and SFTPD expression and clinical characteristics of
patients. qRT-PCR experiments disclosed significantly increased leukocyte NOS2
and SFTPD mRNA levels in hyperglycemic GDM patients (P < 0.05). In the entire
study group, there were significant positive associations of leukocyte NOS2 and
SFTPD mRNAs with C-reactive protein. Additionally, transcript level of SFTPD also
correlated positively with fasting glycemia and insulin resistance. This study
demonstrates that an impaired glucose metabolism in GDM may be predominant
predictor of leukocyte NOS2 and SFTPD overexpression in diabetic patients.
Furthermore, alterations in the expression of these genes are associated with
glucose metabolism dysfunction and/or inflammation during pregnancy. In addition,
these findings support the utilization of leukocytes as good experimental model
to study a relationship between immune-related genes and metabolic changes in
women with GDM, as well as to assess the potential mechanisms underlying these
alterations.
PMID- 26568333
TI - Emergence of Negative Capacitance in Multidomain Ferroelectric-Paraelectric
Nanocapacitors at Finite Bias.
AB - The emergence of negative capacitance in an ultrathin ferroelectric/paraelectric
bilayer capacitor under electrical bias is examined using first-principles
simulation. An antiferroelectric-like behavior is predicted, and negative
capacitance is shown to emerge when the monodomain state becomes stable after
bias application. The polydomain-monodomain transition is also shown to be a
source of capacitance enhancement.
PMID- 26568334
TI - Rebuttal from Pawel Swietach, Richard D. Vaughan-Jones, Alzbeta Hulikova and
Steven A. Niederer.
PMID- 26568335
TI - Cutaneous and mucocutaneous leishmaniasis: Clinical perspectives.
AB - Leishmaniasis is endemic in 98 countries and territories, with 1.2 million new
cases per year, making it a worldwide concern. The deadly visceral form is a
leading cause of death from tropical parasitic infections, second only to
malaria. Leishmaniasis appears to be increasing in many countries because of
extended urbanization. The disease reservoir includes small mammals; parasite
transmission occurs via bite of the female phlebotomine sandfly. Disease
manifestations vary and largely depend upon the Leishmania species acquired. It
may be first evident with a range of findings-from a localized cutaneous ulcer to
diffuse painless dermal nodules-or, in the mucocutaneous form, ulceration of the
oropharynx. In the potentially deadly visceral form, the internal organs and bone
marrow are affected.
PMID- 26568336
TI - Cutaneous and mucocutaneous leishmaniasis: Differential diagnosis, diagnosis,
histopathology, and management.
AB - The diagnosis of leishmaniasis can be challenging because it mimics both
infectious and malignant conditions. A misdiagnosis may lead to an unfavorable
outcome. Using culture, histologic, and/or polymerase chain reaction study
results, a diagnosis of leishmaniasis can be established and treatment initiated.
Appropriate management requires an accurate diagnosis, which often includes
identification of the specific etiologic species. Different endemic areas have
varying sensitivities to the same medication, even within individual species.
Species identification may be of practical value, because infections with select
species have a substantial risk of visceral involvement. In addition, HIV and
otherwise immunocompromised patients with leishmaniasis have a propensity for
diffuse cutaneous leishmaniasis. For most New World Leishmania species,
parenteral antimonial drugs remain the first line of therapy, while Old World
species are easily treated with physical modalities. Historically, live organism
vaccination has been used and is effective in preventing leishmaniasis, but
results in an inoculation scar and an incubation period that may last for years.
A more effective method of vaccination would be welcome.
PMID- 26568337
TI - Mucocutaneous manifestations of helminth infections: Nematodes.
AB - In the 21st century, despite increased globalization through international travel
for business, medical volunteerism, pleasure, and immigration/refugees into the
United States, there is little published in the dermatology literature regarding
the cutaneous manifestations of helminth infections. Approximately 17% of
travelers seek medical care because of cutaneous disorders, many related to
infectious etiologies. This review will focus on the cutaneous manifestations of
helminth infections and is divided into 2 parts: part I focuses on nematode
infections, and part II focuses on trematode and cestode infections. This review
highlights the clinical manifestations, transmission, diagnosis, and treatment of
helminth infections. Nematodes are roundworms that cause diseases with cutaneous
manifestations, such as cutaneous larval migrans, onchocerciasis, filariasis,
gnathostomiasis, loiasis, dracunculiasis, strongyloidiasis, ascariasis,
streptocerciasis, dirofilariasis, and trichinosis. Tremadotes, also known as
flukes, cause schistosomiasis, paragonimiasis, and fascioliasis. Cestodes
(tapeworms) are flat, hermaphroditic parasites that cause diseases such as
sparganosis, cysticercosis, and echinococcus.
PMID- 26568339
TI - Management of dysplastic nevi: A 14-year follow-up survey assessing practice
trends among US dermatologists.
PMID- 26568338
TI - Mucocutaneous manifestations of helminth infections: Trematodes and cestodes.
AB - In the 21st century, despite increased international travel for vacation, work,
and medical missions and immigration into the United States, there is little
published in the dermatology literature regarding the cutaneous manifestations of
helminth infections. It has been estimated that 20% to 70% of international
travelers suffer from some travel-related health problem. Approximately 17% of
travelers seek medical care because of cutaneous disorders, many related to
infectious etiologies. This review will focus on cutaneous diseases caused by
helminth infections. Part I of the review focused on nematode infections; part II
will focus on trematode and cestode infections. Nematodes are roundworms that
cause diseases with cutaneous manifestations, such as cutaneous larval migrans,
onchocerciasis, filariasis, gnathostomiasis, loiasis, dracunculiasis,
strongyloidiasis, ascariasis, streptocerciasis, dirofilariasis, and trichinosis.
Tremadotes, also known as flukes, cause schistosomiasis, paragonimiasis, and
fascioliasis. Cestodes (tapeworms) are flat, hermaphroditic parasites that cause
diseases such as sparganosis, cysticercosis, and echinococcus.
PMID- 26568340
TI - Dermoscopic features of acral melanocytic nevi in patients with skin types V and
VI: A cross-sectional study.
PMID- 26568341
TI - A pigmented lesion on a brain-dead organ donor: Should the dermatologist
intervene before transplant?
PMID- 26568342
TI - Mentorship: Opinion of a silver-haired dermatologist.
PMID- 26568343
TI - Mentorship in dermatology.
PMID- 26568344
TI - On becoming a teacher.
PMID- 26568347
TI - Dermoscopy of pretibial myxedema.
PMID- 26568348
TI - Polarized light dermoscopy to aid in the diagnosis of new pink lesions in an
amelanotic melanoma survivor.
PMID- 26568349
TI - Early diagnosis of herpes zoster by handheld reflectance confocal microscopy.
PMID- 26568350
TI - Dermoscopy as an ancillary tool for the diagnosis of pityriasis versicolor.
PMID- 26568351
TI - Peripheral whitish ring with surrounding radial capillaries.
PMID- 26568352
TI - Examining the scalp for melanoma? Try a blow dryer.
PMID- 26568353
TI - A minimally invasive, scarless technique of donor tissue harvesting for
noncultured epidermal cell suspension transplantation in vitiligo.
PMID- 26568354
TI - A minimally invasive approach to lower lid blepharoplasty.
PMID- 26568355
TI - Partially de-epithelialized postauricular flap for ear reconstruction.
PMID- 26568356
TI - Computational prediction of the electronic structure and optical properties of
graphene-like beta-CuN3.
AB - Recently, a new polymorph of the highly energetic phase beta-CuN3 has been
synthesized. By hybrid density functional computations, we investigated the
structural, electronic and optical properties of beta-CuN3 bulk and layers. Due
to the quantum confinement effect, the band gap of the monolayer (2.39 eV) is
larger than that of the bulk (2.23 eV). The layer number affects the
configuration and the band gap. beta-CuN3 shows both ionic and covalent
characters, and could be stable in the infrared and visible spectrum and would
decompose under ultraviolet light. The results imply that bulk beta-CuN3 could be
used as an energetic material.
PMID- 26568357
TI - Health Care Coalitions: The Georgia Approach.
PMID- 26568358
TI - Economic burden associated with acute myeloid leukemia treatment.
AB - The economic burden associated with acute myeloid leukemia (AML) is poorly
defined and understudied. The goal of this study is estimate the direct cost of
illness for AML in the United States (US) and the United Kingdom (UK), by
conducting a comprehensive literature review and calculating the average direct
cost-of-illness per patient for the first 6 months of therapy. Patients were
grouped by therapy: intensive chemotherapy alone; induction chemotherapy followed
by allogeneic stem cell transplantation (alloSCT); low intensity therapy; and
best supportive care. Data suggest that the pathways alloSCT, followed by
intensive chemotherapy, are associated with the highest direct costs. Calculated
direct costs suggest that they are higher in the US ($14,014 for BSC-only to
$352,682 for alloSCT) than in the UK (L3708 [$5837] for BSC-only to L112,545
[$177,187]). AML appears to be associated with significant direct economic costs,
but more studies are needed to fully assess the economic impact especially in
relation to total and indirect costs.
PMID- 26568359
TI - Serological Response to Treatment of Syphilis with Doxycycline Compared with
Penicillin in HIV-infected Individuals.
AB - Serological response to treatment of syphilis with orally administered
doxycycline or intramuscularly administered penicillin was assessed in patients
with concurrent HIV. All HIV-infected individuals diagnosed with syphilis
attending 3 hospitals in Copenhagen, Denmark were included. Odds ratios (ORs)
with 95% confidence intervals (CI) associated with serological outcome were
modelled using propensity-score-adjusted logistic regression analysis. In total,
202 cases were treated with doxycycline or intramuscular penicillin. At 12
months, serological failure was observed in 12 cases (15%) treated with
doxycycline and in 8 cases (17%) treated with penicillin (OR 0.78 (95% CI 0.16
3.88), p = 0.76). The serological cure rate at 12 months was highest in patients
with primary syphilis (100%), followed by patients with secondary (89%), early
latent (71%) and late latent (67%) syphilis (p = 0.006). In conclusion, this
study provides evidence for the use of doxycycline as a treatment option when
treating a HIV-infected population for syphilis.
PMID- 26568360
TI - Toxoplasma gondii: history and diagnostic test development.
AB - Toxoplasma gondii is a protozoa that causes toxoplasmosis in people and other
animals. It is considered one of the most common parasitic infections in the
world due to its impressive range of hosts, widespread environmental
contamination and the diverse means by which animals can be infected. Despite its
ubiquity and numerous ongoing research efforts into both its basic biology and
clinical management, many aspects of diagnosis and management of this disease are
poorly understood. The range of diagnostic options that is available for
veterinary diagnostic investigators are notably more limited than those available
to medical diagnosticians, making accurate interpretation of each test result
critical. The current review joins other reviews on the parasite with a
particular emphasis on the history and continued development of diagnostic tests
that are useful for veterinary diagnostic investigations. An understanding of the
strengths and shortcomings of current diagnostic techniques will assist
veterinary and public health officials in formulating effective treatment and
control strategies in diverse animal populations.
PMID- 26568361
TI - Uncoiling collagen: a multidimensional mass spectrometry study.
AB - Mass spectrometry can be used to determine structural information about ions by
activating precursors and analysing the resulting series of fragments. Two
dimensional Fourier transform ion cyclotron resonance mass spectrometry (2D FT
ICR MS) is a technique that correlates the mass-to-charge (m/z) ratio of fragment
and precursor ions in a single spectrum. 2D FT-ICR MS records the fragmentation
of all ions in a sample without the need for isolation. To analyse specific
precursors, horizontal cross-sections of the spectrum (fragment ion scans) are
taken, providing an alternative to conventional tandem mass spectrometry (MS/MS)
experiments. In this work, 2D FT-ICR MS has been used to study the tryptic digest
of type I collagen, a large protein. Fragment ion scans have been extracted from
the 2D FT-ICR MS spectrum for precursor m/z ratios: 951.81, 850.41, 634.34, and
659.34, and 2D FT-ICR MS spectra are compared with a set of 1D MS/MS spectra
using different fragmentation methods. The results show that two-dimensional mass
spectrometry excells at MS/MS of complex mixtures, simplifying spectra by
eliminating contaminant peaks, and aiding the identification of species in the
sample. Currently, with desktop computers, 2D FT-ICR MS is limited by data
processing power, a limitation which should be alleviated using cluster parallel
computing. In order to explore 2D FT-ICR MS for collagen, with reasonable
computing time, the resolution in the fragment ion dimension is limited to 256k
data points (compared to 4M data points in 1D MS/MS spectra), but the vertical
precursor ion dimension has 4096 lines, so the total data set is 1G data points
(4 Gbytes). The fragment ion coverage obtained with a blind, unoptimized 2D FT
ICR MS experiment was lower than conventional MS/MS, but MS/MS information is
obtained for all ions in the sample regardless of selection and isolation.
Finally, although all 2D FT-ICR MS peak assignments were made with the aid of 1D
FT-ICR MS data, these results demonstrate the promise of 2D FT-ICR MS as a
technique for studying complex protein digest mixtures.
PMID- 26568362
TI - Conditional cooling limit for a quantum channel going through an incoherent
environment.
AB - We propose and experimentally verify a cooling limit for a quantum channel going
through an incoherent environment. The environment consists of a large number of
independent non-interacting and non-interfering elementary quantum systems-
qubits. The qubits travelling through the channel can only be randomly replaced
by environmental qubits. We investigate a conditional cooling limit that exploits
an additional probing output. The limit specifies when the single-qubit channel
is quantum, i.e. it preserves entanglement. It is a fundamental condition for
entanglement-based quantum technology.
PMID- 26568363
TI - Changing paradigm to target microglia in neurodegenerative diseases: from anti
inflammatory strategy to active immunomodulation.
AB - INTRODUCTION: The importance of microglia in most neurodegenerative pathologies,
from Parkinson's disease to amyotrophic lateral sclerosis and Alzheimer's
disease, is increasingly recognized. Until few years ago, microglial activation
in pathological conditions was considered dangerous to neurons due to its causing
inflammation. Today we know that these glial cells also play a crucial
physiological and neuroprotective role, which is altered in neurodegenerative
conditions. AREAS COVERED: The neuroinflammatory hypothesis for neurodegenerative
diseases has led to the trial of anti-inflammatory agents as therapeutics with
largely disappointing results. New information about the physiopathological role
of microglia has highlighted the importance of immunomodulation as a potential
new therapeutic approach. This review summarizes knowledge on microglia as a
potential therapeutic target in the most common neurodegenerative diseases, with
focus on compounds directed toward the modulation of microglial immune response
through specific molecular pathways. EXPERT OPINION: Here we support the
innovative concept of targeting microglial cells by modulating their activity,
rather than simply trying to counteract their inflammatory neurotoxicity, as a
potential therapeutic approach for neurodegenerative diseases. The advantage of
this therapeutic approach could be to reduce neuroinflammation and toxicity,
while at the same time strengthening intrinsic neuroprotective properties of
microglia and promoting neuroregeneration.
PMID- 26568364
TI - Homing of allogeneic nestin-positive hair follicle-associated pluripotent stem
cells after maternal transplantation in experimental model of cortical dysplasia.
AB - An embryo has the capability to accept allo- or xeno-geneic cells, which probably
makes it an ideal candidate for stem cell transplantation of various cerebral
cortex abnormalities, such as cortical dysplasia. The aim of this study was to
determine hair follicle-associated pluripotent (HAP) stem cells homing into
various organs of mother and fetus. Cells were obtained, analyzed for
immunophenotypic features, and then labelled with CM-Dil; nestin(+)HAP stem cells
or media phosphate-buffered saline (PBS) were intravenously delivered on day 16
of gestation in BALB/c mice, which intraperitoneally received methylazoxymethanol
(MAM) one day in advance, and homing was assessed at 24 h after cell injection.
Flow cytometry and immunocytochemistry manifested positive expression of nestin
in HAP stem cells. For both mother and fetus, brain, lungs, liver, and spleen
were the host organs for cell implants. For the brain, the figure was
considerably higher in fetus, 4.05 +/- 0.5% (p <= 0.05 vs. mother). MAM-injected
mice had a downward trend for SDF-1alpha and CXCR4 (p <= 0.05 vs. control), but
HAP stem cells group showed an upward trend for CXCR4 (p <= 0.05 vs. MAM). We
conclude the HAP stem cells show homing potential in experimental cortical
dysplasia, which may permit these cells to be a target in future work on prenatal
therapy of neural disorders.
PMID- 26568365
TI - Mycobacteria modulate host epigenetic machinery by Rv1988 methylation of a non
tail arginine of histone H3.
AB - Mycobacteria are successful pathogens that modulate the host immune response
through unclear mechanisms. Here we show that Rv1988, a secreted mycobacterial
protein, is a functional methyltransferase that localizes to the host nucleus and
interacts with chromatin. Rv1988 methylates histone H3 at H3R42 and represses the
genes involved in the first line of defence against mycobacteria. H3R42me2, a non
tail histone modification, is present at the entry and exit point of DNA in the
nucleosome and not within the regulatory sites in the N-terminal tail. Rv1988
deletion in Mycobacterium tuberculosis reduces bacterial survival in the host,
and experimental expression of M. tuberculosis Rv1988 in non-pathogenic
Mycobacterium smegmatis negatively affects the health of infected mice. Thus,
Rv1988 is an important mycobacterial virulence factor, which uses a non-canonical
epigenetic mechanism to control host cell transcription.
PMID- 26568366
TI - Dietary fat intake and endometrial cancer risk: dose-response meta-analysis of
epidemiological studies.
AB - Epidemiological studies have provided controversial evidence of the association
between dietary fat intake and endometrial cancer (EC) risk. To address this
inconsistency, we conducted this dose-response meta-analysis by total dietary fat
intake, based on epidemiological studies published up to the end of June 2015
identified from PubMed, EMBASE and Web of Science. Two authors (RH and Q-JW)
independently performed the eligibility evaluation and data extraction. All
differences were resolved by discussion with the third investigator (LJ). Random
effects models were used to estimate summary relative risks (RRs) and 95%
confidence intervals (CIs). Overall, the search yielded 16 studies (6 cohort and
10 case-control studies) that involved a total of 7556 EC cases and 563,781 non
cases. The summary RR for EC for each 30 g/day increment intake was 0.98 (95%CI =
0.95-1.001; I(2) = 0%; n = 11) for total dietary fat. Non-significant results
were observed in plant-based fat (summary RR = 1.05, 95%CI = 0.94-1.18; I(2) =
0%; n = 5) and animal-based fat (summary RR = 1.17, 95%CI = 0.92-1.36; I(2) =
85.0%; n = 6). Additionally, the null associations were observed in almost all
the subgroup and sensitivity analyses. In conclusion, findings of the present
meta-analysis suggested a lack of association between total dietary fat intake
and EC risk. Further studies, especially prospective designed studies are
warranted to confirm our findings.
PMID- 26568367
TI - A long-term survey of brucellosis: Is there any marker to predict the complicated
cases?
AB - BACKGROUND: This study aimed to find markers to predict complicated cases in
brucellosis. Patients with and without complications were compared in terms of
epidemiological, clinical and laboratory properties. METHODS: A total of 700
patients hospitalised at the Department of Infectious Diseases and Clinical
Microbiology were evaluated retrospectively. RESULTS: Of a total of 700 patients,
383 (54.7%) were male and mean age was 41.5 +/- 17.0 years. Of the patients, 517
(73.8%) were classified as acute cases. Complications occurred significantly less
frequently in acute infections (p < 0.001). Increased C-reactive protein (CRP)
and erythrocyte sedimentation rate (ESR) occurred more frequently in patients
with complicated cases (p = 0.005 and 0.021, respectively), whereas malaise,
myalgia and blood culture positivity occurred significantly less frequently in
those cases (p < 0.001, < 0.001 and 0.014, respectively). Fever at examination,
loss of malaise and myalgia and blood culture negativity were statistically
significant predictive factors for complicated patients in multivariate analysis
(p < 0.001, for each). As compared to patients without orchitis, leukocytosis
occurred more often in cases with orchitis (p < 0.001); leukopenia occurred more
often in neurobrucellosis than in cases without neurobrucellosis (p = 0.008). Of
patients who attended control regularly, 422 (98%) were treated successfully. All
of the nine patients who did not recover fully were cases with osteoarticular
involvement. CONCLUSIONS: Fever was the most significant predictive marker of
complications. Other classical symptoms of brucellosis like myalgia and malaise
were absent in most of the complicated cases. Blood culture was of limited value
in the diagnosis of complicated cases most of the time.
PMID- 26568368
TI - A prospective study of plasma 25-hydroxyvitamin D concentration and prostate
cancer risk.
AB - Mechanistic hypotheses suggest that vitamin D and the closely related parathyroid
hormone (PTH) may be involved in prostate carcinogenesis. However,
epidemiological evidence is lacking for PTH and inconsistent for vitamin D. Our
objectives were to prospectively investigate the association between vitamin D
status, vitamin D-related gene polymorphisms, PTH and prostate cancer risk. A
total of 129 cases diagnosed within the Supplementation en Vitamines et Mineraux
Antioxydants cohort were included in a nested case-control study and matched to
167 controls (13 years of follow-up). 25-Hydroxyvitamin D (25(OH)D) and PTH
concentrations were assessed from baseline plasma samples. Conditional logistic
regression models were computed. Higher 25(OH)D concentration was associated with
decreased risk of prostate cancer (ORQ4 v. Q1 0.30; 95 % CI 0.12, 0.77; P
trend=0.007). PTH concentration was not associated with prostate cancer risk (P
trend=0.4) neither did the studied vitamin D-related gene polymorphisms. In this
prospective study, prostate cancer risk was inversely associated with 25(OH)D
concentration but not with PTH concentration. These results bring a new
contribution to the understanding of the relationship between vitamin D and
prostate cancer, which deserves further investigation.
PMID- 26568370
TI - Phosphorylation-induced formation of a cytochrome c-peptide complex: a novel
fluorescent sensing platform for protein kinase assay.
AB - A novel fluorescent sensing platform has been developed for protein kinase assay
based on the phosphorylation-induced formation of a cytochrome c-peptide complex.
PMID- 26568369
TI - Evaluation of twenty-two rapid antigen detection tests in the diagnosis of Equine
Influenza caused by viruses of H3N8 subtype.
AB - BACKGROUND: Equine influenza (EI) is a highly contagious disease caused by
viruses of the H3N8 subtype. The rapid diagnosis of EI is essential to reduce the
disease spread. Many rapid antigen detection (RAD) tests for diagnosing human
influenza are available, but their ability to diagnose EI has not been
systematically evaluated. OBJECTIVES: The aim of this study was to compare the
performance of 22 RAD tests in the diagnosis of EI. METHODS: The 22 RAD tests
were performed on fivefold serial dilutions of EI virus to determine their
detection limits. The four most sensitive RAD tests (ImmunoAce Flu, BD Flu
examan, Quick chaser Flu A, B and ESPLINE Influenza A&B-N) were further evaluated
using nasopharyngeal samples collected from experimentally infected and naturally
infected horses. The results were compared to those obtained using molecular
tests. RESULTS: The detection limits of the 22 RAD tests varied hugely. Even the
four RAD tests showing the best sensitivity were 125-fold less sensitive than the
molecular techniques. The duration of virus detection in the experimentally
infected horses was shorter using the RAD tests than using the molecular
techniques. The RAD tests detected between 27% and 73% of real-time RT-PCR
positive samples from naturally infected horses. CONCLUSIONS: The study
demonstrated the importance of choosing the right RAD tests as only three of 22
were fit for diagnosing EI. It was also indicated that even RAD tests with the
highest sensitivity serve only as an adjunct to molecular tests because of the
potential for false-negative results.
PMID- 26568371
TI - Highly efficient hydrogen production through ethanol photoreforming by a carbon
nanocone/Pd@TiO2 hybrid catalyst.
AB - Production of molecular hydrogen (H2) is becoming an increasingly prominent
process, due to high expectations as a new green energy carrier and key reagent
for many industrial processes. Herein we report the high efficiency of H2
production via photoreforming of ethanol using a catalyst based on hierarchical
carbon nanocones hybridised with an inorganic layer of nanocrystalline TiO2
containing Pd nanoparticles.
PMID- 26568372
TI - Nanocrystalline Fe-Fe2O3 particle-deposited N-doped graphene as an activity
modulated Pt-free electrocatalyst for oxygen reduction reaction.
AB - The size-controlled growth of nanocrystalline Fe-Fe2O3 particles (2-3 nm) and
their concomitant dispersion on N-doped graphene (Fe-Fe2O3/NGr) could be attained
when the mutually assisted redox reaction between NGr and Fe(3+) ions could be
controlled within the aqueous droplets of a water-in-oil emulsion. The
synergistic interaction existing between Fe-Fe2O3 and NGr helped the system to
narrow down the overpotential for the oxygen reduction reaction (ORR) by bringing
a significant positive shift to the reduction onset potential, which is just 15
mV higher than its Pt-counterpart. In addition, the half-wave potential (E1/2) of
Fe-Fe2O3/NGr is found to be improved by a considerable amount of 135 mV in
comparison to the system formed by dispersing Fe-Fe2O3 nanoparticles on reduced
graphene oxide (Fe-Fe2O3/RGO), which indicates the presence of a higher number of
active sites in Fe-Fe2O3/NGr. Despite this, the ORR kinetics of Fe-Fe2O3/NGr are
found to be shifted significantly to the preferred 4-electron-transfer pathway
compared to NGr and Fe-Fe2O3/RGO. Consequently, the H2O2% was found to be reduced
by 78.3% for Fe-Fe2O3/NGr (13.0%) in comparison to Fe-Fe2O3/RGO (51.2%) and NGr
(41.0%) at -0.30 V (vs. Hg/HgO). This difference in the yield of H2O2 formed
between the systems along with the improvements observed in terms of the oxygen
reduction onset and E1/2 in the case of Fe-Fe2O3/NGr reveals the activity
modulation achieved for the latter is due to the coexistence of factors such as
the presence of the mixed valancies of iron nanoparticles, small size and
homogeneous distribution of Fe-Fe2O3 nanoparticles and the electronic
modifications induced by the doped nitrogen in NGr. A controlled interplay of
these factors looks like worked favorably in the case of Fe-Fe2O3/NGr. As a
realistic system level validation, Fe-Fe2O3/NGr was employed as the cathode
electrode of a single cell in a solid alkaline electrolyte membrane fuel cell
(AEMFC). The system could display an open circuit voltage (OCV) of 0.73 V and
maximum power and current densities of 54.40 mW cm(-2) and 200 mA cm(-2),
respectively, which are comparable to the performance characteristics of a
similar system derived by using 40 wt% Pt/C as the cathode electrode.
PMID- 26568373
TI - Lignin-Derived Advanced Carbon Materials.
AB - Lignin is a highly abundant source of renewable carbon that can be considered as
a valuable sustainable source of biobased materials. By applying specific
pretreatments and manufacturing methods, lignin can be converted into a variety
of value-added carbon materials. However, the physical and chemical
heterogeneities of lignin complicate its use as a feedstock. Herein lignin
manufacturing process, the effects of pretreatments and manufacturing methods on
the properties of product lignin, and structure-property relationships in various
applications of lignin-derived carbon materials, such as carbon fibers, carbon
mats, activated carbons, carbon films, and templated carbon, are discussed.
PMID- 26568374
TI - Comparative Genomics of the Genus Porphyromonas Identifies Adaptations for Heme
Synthesis within the Prevalent Canine Oral Species Porphyromonas cangingivalis.
AB - Porphyromonads play an important role in human periodontal disease and recently
have been shown to be highly prevalent in canine mouths. Porphyromonas
cangingivalis is the most prevalent canine oral bacterial species in both plaque
from healthy gingiva and plaque from dogs with early periodontitis. The ability
of P. cangingivalis to flourish in the different environmental conditions
characterized by these two states suggests a degree of metabolic flexibility. To
characterize the genes responsible for this, the genomes of 32 isolates
(including 18 newly sequenced and assembled) from 18 Porphyromonad species from
dogs, humans, and other mammals were compared. Phylogenetic trees inferred using
core genes largely matched previous findings; however, comparative genomic
analysis identified several genes and pathways relating to heme synthesis that
were present in P. cangingivalis but not in other Porphyromonads. Porphyromonas
cangingivalis has a complete protoporphyrin IX synthesis pathway potentially
allowing it to synthesize its own heme unlike pathogenic Porphyromonads such as
Porphyromonas gingivalis that acquire heme predominantly from blood. Other
pathway differences such as the ability to synthesize siroheme and vitamin B12
point to enhanced metabolic flexibility for P. cangingivalis, which may underlie
its prevalence in the canine oral cavity.
PMID- 26568375
TI - Parallel Selection Revealed by Population Sequencing in Chicken.
AB - Human-driven selection during domestication and subsequent breed formation has
likely left detectable signatures within the genome of modern chicken. The
elucidation of these signatures of selection is of interest from the perspective
of evolutionary biology, and for identifying genes relevant to domestication and
improvement that ultimately may help to further genetically improve this
economically important animal. We used whole genome sequence data from 50 hens of
commercial white (WL) and brown (BL) egg-laying chicken along with pool sequences
of three meat-type chicken to perform a systematic screening of past selection in
modern chicken. Evidence of positive selection was investigated in two steps.
First, we explored evidence of parallel fixation in regions with overlapping
elevated allele frequencies in replicated populations of layers and broilers,
suggestive of selection during domestication or preimprovement ages. We confirmed
parallel fixation in BCDO2 and TSHR genes and found four candidates including
AGTR2, a gene heavily involved in "Ascites" in commercial birds. Next, we
explored differentiated loci between layers and broilers suggestive of selection
during improvement in chicken. This analysis revealed evidence of parallel
differentiation in genes relevant to appearance and production traits exemplified
with the candidate gene OPG, implicated in Osteoporosis, a disorder related to
overconsumption of calcium in egg-laying hens. Our results illustrate the
potential for population genetic techniques to identify genomic regions relevant
to the phenotypes of importance to breeders.
PMID- 26568376
TI - Diabetes Causation Beliefs Among Spanish-Speaking Patients.
AB - PURPOSE: The purpose of this study was to explore how the inquiry of cultural
diabetes causation beliefs can improve Hispanic/Latino patient self-management.
METHODS: Two semistructured focus groups were conducted with 13 Hispanic/Latinos
adults diagnosed with type 2 diabetes mellitus. Prior to taking part in the group
discussion, participants completed a demographic survey and the Illness
Perception Questionnaire-Revised. RESULTS: The top 5 diabetes causation items
endorsed by participants per the questionnaire included stress or worry,
behavior, hereditary, diet/eating habits, and family problems/worries. The
qualitative analysis revealed stress as a recurring theme for a cause of
diabetes. Work stress was specifically identified as a contributor to unhealthy
eating and diabetes. Most participants were aware of and believed in susto and
referred to it as coraje (anger). Participants believed that asking patients
about their diabetes causation beliefs and emotional status can help health
professionals (1) better understand the patient and (2) identify and prioritize
diabetes treatments. Participants also indicated that the role of doctors is
important and the encouragement that they give to patients is clinically and
spiritually valued. CONCLUSIONS: Stress was identified as a cause of diabetes in
addition to unhealthy diets and heredity. Asking patients about diabetes
causation beliefs and emotional status may help prioritize treatment and
management goals.
PMID- 26568377
TI - Disease-induced resource constraints can trigger explosive epidemics.
AB - Advances in mathematical epidemiology have led to a better understanding of the
risks posed by epidemic spreading and informed strategies to contain disease
spread. However, a challenge that has been overlooked is that, as a disease
becomes more prevalent, it can limit the availability of the capital needed to
effectively treat those who have fallen ill. Here we use a simple mathematical
model to gain insight into the dynamics of an epidemic when the recovery of sick
individuals depends on the availability of healing resources that are generated
by the healthy population. We find that epidemics spiral out of control into
"explosive" spread if the cost of recovery is above a critical cost. This can
occur even when the disease would die out without the resource constraint. The
onset of explosive epidemics is very sudden, exhibiting a discontinuous
transition under very general assumptions. We find analytical expressions for the
critical cost and the size of the explosive jump in infection levels in terms of
the parameters that characterize the spreading process. Our model and results
apply beyond epidemics to contagion dynamics that self-induce constraints on
recovery, thereby amplifying the spreading process.
PMID- 26568378
TI - New investigations into the stability of Mesna using LC-MS/MS and NMR.
AB - INTRODUCTION: It is important for sarcoma patients to receive the correct dose of
Mesna as an adjuvant with ifosfamide to reduce the risk of hemorrhagic cystitis.
This paper describes a study conducted to evaluate the physicochemical stability
of Mesna for injection formulation over 14 days. METHODS: Mesna samples (n = 4,
20 mg/ml) were incubated in glass vials at 37 + 0.5oC. Mesna concentrations were
determined by liquid chromatography-mass spectrometry (LC-MS/MS), and nuclear
magnetic resonance spectroscopy (NMR) was used to detect degradation products.
Evaporative losses and pH were also monitored. RESULTS: Our results differed from
those published in existing literature. Both LC-MS/MS and NMR indicated that
Mesna was unstable. The mean percentage decrease in Mesna concentration was 40%
by day 14 of the analysis. The presence of Mesna's dimer Dimesna was detected on
day 0 and its concentration increased over time. Dimesna was the only by-product
identified. CONCLUSION: Both LC-MS/MS and NMR analyses confirmed the instability
of Mesna and its conversion into Dimesna.
PMID- 26568379
TI - Poor Prognostic Outcome in Cerebral Venous Sinus Thrombosis Associated with
Dyskinesia and Elevated Platelet Volume.
AB - Although about 80% of patients with cerebral venous sinus thrombosis have a good
prognosis, some patients develop severe complications and a small proportion do
not survive. The study included patients who had been diagnosed with cerebral
venous sinus thrombosis in our hospital from May 2008 to February 2014. Based on
the modified Rankin Scale (mRS) scores at 3 months for outcome, the patients were
divided into two groups: good prognosis (mRS score <= 2) and poor prognosis (mRS
score > 2). Univariate and multivariate regression analysis were performed to
identify significant prognostic factors for poor outcome. A total of 86 patients
with cerebral venous sinus thrombosis, 54 males and 32 females, average age 41.3
years (range, 3-83 years), were enrolled. Of these 86 patients, 64 (74.4%) had a
good prognosis and 22 (25.6%) a poor prognosis. Univariate analysis revealed that
dyskinesia was a significant risk factor (factor with odds ratio >1) for poor
prognosis. In multivariate analysis, the risk of poor prognosis in patients with
dyskinesia was 23 times higher than for those without dyskinesia (p < 0.001).
Thrombosis of the sinus transervus was found to reduce the risk of poor prognosis
in both univariate and multivariate analysis. Most patients with cerebral venous
sinus thrombosis have a good prognosis but patients with dyskinesia have a poorer
prognosis.
PMID- 26568380
TI - Graphene Nanoribbon-Supported PtPd Concave Nanocubes for Electrochemical
Detection of TNT with High Sensitivity and Selectivity.
AB - In this work, PtPd concave nanocubes anchored on graphene nanoribbons (PtPd
rGONRs) were successfully fabricated through a hydrothermal process. The
structural characterizations confirmed that PtPd concave cubes with an average
size of around 11 nm have been successfully synthesized and they are uniformly
assembled on the surface of rGONRs. The electrochemical measurements demonstrated
that the PtPd-rGONRs composite-modified glassy carbon electrode (GCE) shows much
enhanced current signals for TNT reduction, which is 4 and 12-fold higher than
rGONRs and bare glassy carbon electrode, respectively. The PtPd-rGONRs exhibited
a wide linear range for TNT detection from 0.01 to 3 ppm with the sensing limit
of 0.8 ppb. Moreover, the PtPd-rGONRs showed excellent detection stability for
the determination of TNT. Most importantly, the PtPd-rGONRs-based electrochemical
detection platform can be successfully applied to TNT detection in tap water and
real lake water samples. The present study indicates that graphene nanoribbon
supported nanocrystals are promising in designing high performance
electrochemical sensors for explosives detection.
PMID- 26568381
TI - Structural basis for cpSRP43 chromodomain selectivity and dynamics in Alb3
insertase interaction.
AB - Canonical membrane protein biogenesis requires co-translational delivery of
ribosome-associated proteins to the Sec translocase and depends on the signal
recognition particle (SRP) and its receptor (SR). In contrast, high-throughput
delivery of abundant light-harvesting chlorophyll a,b-binding proteins (LHCPs) in
chloroplasts to the Alb3 insertase occurs post-translationally via a soluble
transit complex including the cpSRP43/cpSRP54 heterodimer (cpSRP). Here we
describe the molecular mechanisms of tethering cpSRP to the Alb3 insertase by
specific interaction of cpSRP43 chromodomain 3 with a linear motif in the Alb3 C
terminal tail. Combining NMR spectroscopy, X-ray crystallography and biochemical
analyses, we dissect the structural basis for selectivity of chromodomains 2 and
3 for their respective ligands cpSRP54 and Alb3, respectively. Negative
cooperativity in ligand binding can be explained by dynamics in the chromodomain
interface. Our study provides a model for membrane recruitment of the transit
complex and may serve as a prototype for a functional gain by the tandem
arrangement of chromodomains.
PMID- 26568384
TI - Iron-catalysed homo- and copolymerisation of propylene: steric influence of
bis(imino)pyridine ligands.
AB - A series of iron complexes bearing a bis(imino)pyridine ligand were synthesised
and examined as precatalysts for homopolymerisation of propylene. The alkyl
substituents attached to the aryl group on the imine nitrogen atoms significantly
affected the catalytic activity and molecular weight of the obtained
polypropylenes. Copolymerisation of propylene and various allyl comonomers
catalysed by iron/bis(imino)pyridine was also investigated.
PMID- 26568382
TI - Discovery of Novel ROCK1 Inhibitors via Integrated Virtual Screening Strategy and
Bioassays.
AB - Rho-associated kinases (ROCKs) have been regarded as promising drug targets for
the treatment of cardiovascular diseases, nervous system diseases and cancers. In
this study, a novel integrated virtual screening protocol by combining molecular
docking and pharmacophore mapping based on multiple ROCK1 crystal structures was
utilized to screen the ChemBridge database for discovering potential inhibitors
of ROCK1. Among the 38 tested compounds, seven of them exhibited significant
inhibitory activities of ROCK1 (IC50 < 10 MUM) and the most potent one (compound
TS-f22) with the novel scaffold of 4-Phenyl-1H-pyrrolo [2,3-b] pyridine had an
IC50 of 480 nM. Then, the structure-activity relationships of 41 analogues of TS
f22 were examined. Two potent inhibitors were proven effective in inhibiting the
phosphorylation of the downstream target in the ROCK signaling pathway in vitro
and protecting atorvastatin-induced cerebral hemorrhage in vivo. The high hit
rate (28.95%) suggested that the integrated virtual screening strategy was quite
reliable and could be used as a powerful tool for identifying promising active
compounds for targets of interest.
PMID- 26568383
TI - MR-PheWAS: hypothesis prioritization among potential causal effects of body mass
index on many outcomes, using Mendelian randomization.
AB - Observational cohort studies can provide rich datasets with a diverse range of
phenotypic variables. However, hypothesis-driven epidemiological analyses by
definition only test particular hypotheses chosen by researchers. Furthermore,
observational analyses may not provide robust evidence of causality, as they are
susceptible to confounding, reverse causation and measurement error. Using body
mass index (BMI) as an exemplar, we demonstrate a novel extension to the phenome
wide association study (pheWAS) approach, using automated screening with
genotypic instruments to screen for causal associations amongst any number of
phenotypic outcomes. We used a sample of 8,121 children from the ALSPAC dataset,
and tested the linear association of a BMI-associated allele score with 172
phenotypic outcomes (with variable sample sizes). We also performed an
instrumental variable analysis to estimate the causal effect of BMI on each
phenotype. We found 21 of the 172 outcomes were associated with the allele score
at an unadjusted p < 0.05 threshold, and use Bonferroni corrections, permutation
testing and estimates of the false discovery rate to consider the strength of
results given the number of tests performed. The most strongly associated
outcomes included leptin, lipid profile, and blood pressure. We also found novel
evidence of effects of BMI on a global self-worth score.
PMID- 26568385
TI - Choosing Wisely in Emergency Medicine: A National Survey of Emergency Medicine
Academic Chairs and Division Chiefs.
AB - OBJECTIVES: The Choosing Wisely campaign was launched in 2011 to promote
stewardship of medical resources by encouraging patients and physicians to speak
with each other regarding the appropriateness of common tests and procedures.
Medical societies including the American College of Emergency Physicians (ACEP)
have developed lists of potentially low-value practices for their members to
address with patients. No research has described the awareness or attitudes of
emergency physicians (EPs) regarding the Choosing Wisely campaign. The study
objective was to assess these beliefs among leaders of academic departments of
emergency medicine (EM). METHODS: This was a Web-based survey of emergency
department (ED) chairs and division chiefs at institutions with allopathic EM
residency programs. The survey examined awareness of Choosing Wisely, anticipated
effects of the program, and discussions of Choosing Wisely with patients and
professional colleagues. Participants also identified factors they associated
with the use of potentially low-value services in the ED. Questions and answer
scales were refined using iterative pilot testing with EPs and health services
researchers. RESULTS: Seventy-eight percent (105/134) of invited participants
responded to the survey. Eighty percent of respondents were aware of Choosing
Wisely. A majority of participants anticipate the program will decrease costs of
care (72% of respondents) and use of ED diagnostic imaging (69%) but will have no
effect on EP salaries (94%) or medical-legal risks (65%). Only 45% of chairs have
ever addressed Choosing Wisely with patients, in contrast to 88 and 82% who have
discussed it with faculty and residents, respectively. Consultant-requested tests
were identified by 97% of residents as a potential contributor to low-value
services in the ED. CONCLUSIONS: A substantial majority of academic EM leaders in
our study were aware of Choosing Wisely, but only slightly more than half could
recall any ACEP recommendations for the program. Respondents familiar with
Choosing Wisely anticipated generally positive effects, but chairs reported only
infrequently discussing Choosing Wisely with patients. Future research should
identify potentially low-value tests requested by consultants and objectively
measure the utility and cost of these tests among ED patient populations.
PMID- 26568387
TI - Rhabdomyosarcoma of the Uterus: A Case Report.
PMID- 26568386
TI - Relationship between timed and spot urine collections for measuring phosphate
excretion.
AB - BACKGROUND: Twenty-four hour urinary phosphate excretion (UPE) reflects
intestinal phosphate absorption in steady state and may be more informative than
serum phosphate (sPi) when assessing phosphate homoeostasis clinically. Timed
urine collections are cumbersome and prone to collection errors. Spot urine
phosphate/creatinine ratio (uPiCr) may be a useful, simple surrogate for 24-h
UPE, but requires further validation. This study aimed to determine the
relationship between uPiCr and 24-h UPE. METHODS: This single-centre cross
sectional study examined contemporaneous serum, spot urine and 24-h urine. Serum
biochemistry was analysed. Urine phosphate concentration (uPi) and creatinine
concentration (uCr) were measured in spot and 24-h urine collections. Spearman's
rank correlation coefficients and Bland-Altman plots were used to assess
agreement between spot uPiCr and UPE. Backward multivariate analysis was
undertaken for UPE prediction. RESULTS: One hundred and sixteen participants (77
with kidney disease and 39 healthy volunteers) were studied. Seventy-four (63.8
%) were male. Median (IQR) age was 61(49-71) years. Median (IQR) spot uPiCr and
total UPE were 1.7 (1.3-2.2) mmol/mmol and 25.8 (19.9-35.0) mmol/d, respectively.
There was no correlation between spot uPiCr and 24-h UPE (R = 0.064, P = 0.51)
but spot uPi significantly correlated with 24-h UPE (R = 0.385, P < 0.001).
Although spot and 24-h measures of phosphate handling correlated (all P < 0.001),
Bland-Altman analysis revealed bias between collection techniques. UPE prediction
model using the independent variables of eGFR, sPi, albumin and spot uPi provided
R (2) = 0.443. CONCLUSION: No direct correlation was noted between spot uPiCr and
24-h UPE. Normalization of uPi to uCr on spot urine samples may be inappropriate
when evaluating urinary phosphate excretion in adults and thus, a spot uPiCr is
not a suitable surrogate for measuring UPE. A UPE prediction model utilising spot
urine biochemistry cannot be advocated at present.
PMID- 26568388
TI - Ultrasound Evaluation of the Transverse Movement of the Flexor Pollicis Longus
Tendon on the Distal Radius during Wrist and Finger Motion in Healthy Volunteers.
AB - PURPOSE: This study aimed to evaluate the kinematics of the flexor pollicis
longus tendon (FPL) at the wrist by examining the movement of the FPL on the
distal radius during various wrist and finger motions using transverse ultrasound
in healthy volunteers. METHODS: Forty-eight wrists of 24 asymptomatic volunteers
were examined by transverse ultrasound to observe the location of the FPL on the
distal radius at 5 wrist positions (neutral, 60 degrees dorsal flexion, 60
degrees palmar flexion, 40 degrees ulnar deviation, and 10 degrees radial
deviation) with all 5 fingers in full extension and full flexion, and isolated
thumb in full flexion, respectively. RESULTS: We found that the FPL was situated
statistically significantly more ulnodorsally at the wrist dorsal and ulnar
deviation positions, more ulnopalmarly at the wrist palmar flexion position, and
more radiopalmarly at the wrist radial deviation-position than at the wrist
neutral position with all 5 fingers at full extension. Especially, it moved
statistically significantly most ulnodorsally at the wrist dorsal flexion
position during finger motion. The FPL moved most statistically significantly
ulnopalmarly at the wrist palmar flexion position with all 5 fingers in full
extension among all wrist positions during finger motion. During finger motion,
the wrist dorsal flexion position induced significant displacement of the FPL to
the distal radius and compressed it between the flexor tendons and the distal
radius. The average distance between the FPL and the volar surface of the distal
radius in the palmar-dorsal direction at wrist dorsal flexion position in all
fingers at full flexion was 1.9 mm, the smallest among all wrist positions during
finger motion. CONCLUSIONS: There is a significant relationship between the
transverse movement of the FPL at the distal radius and wrist and finger motions.
Our findings indicated that the irritation of the FPL caused by the movement of
both the FPL itself and of the flexor digitorum superficialis and profundus is
most induced with the wrist in dorsal flexion with all 5 fingers at full flexion
compared to other wrist positions during finger motion. This wrist position might
be the optimum one at which to evaluate the irritation of the FPL from volar
locking plates in patients with distal radius fracture. We believe that our
transverse ultrasound results can play a role in the gaining of a better
understanding of the kinematics of the FPL. Moreover, they have potential to lead
to improved diagnosis of and treatment for fractures of the distal radius and
help to minimize the risk of FPL rupture related to volar locking plates.
PMID- 26568389
TI - Improvements in Signs and Symptoms of Dry Eye after Instillation of 2%
Rebamipide.
AB - PURPOSE: Because dry eye greatly reduces quality of life, this study aimed to
examine rebamipide instillation in patients with dry eye and assess the
improvement of signs and symptoms as evaluated with the Ocular Surface Disease
Index, which is the most popular index and is highly reliable. METHODS: From June
2013 through January 2014, we examined 50 eyes of 25 patients with dry eye (6 men
and 19 woman) at our institution. Dry eye was diagnosed on the basis of the
presence of symptoms, tear dynamics, and ocular surface abnormalities according
to the Japanese criteria for dry eye. Before being enrolled, all patients
underwent ocular surface health assessment, including history interviews, and
completed the Ocular Surface Disease Index questionnaire. Patients received 2%
rebamipide ophthalmic solution 4 times daily for 4 weeks. Signs and symptoms were
analyzed before and 4 weeks after rebamipide administration. Tear dynamics, tear
break-up time, and ocular surface abnormalities were measured and compared
between before and 4 weeks after rebamipide administration. RESULTS: Of the 25
patients, 9 had definite dry eye and 16 had probable dry eye. Tear break-up time
and the fluorescein staining score significantly improved after 4 weeks. However,
no significant change was observed for the Schirmer test I and the lissamine
green staining score. CONCLUSIONS: The administration of 2% rebamipide 4 times
daily for 4 weeks improves the signs and symptoms of dry eye and improves
patients' quality of life.
PMID- 26568390
TI - Ethanol Dose- and Time-dependently Increases alpha and beta Subunits of
Mitochondrial ATP Synthase of Cultured Neonatal Rat Cardiomyocytes.
AB - Mitochondria are target subcellular organelles of ethanol. In this study, the
effects of ethanol on protein composition was examined with 2-dimensional
electrophoresis of protein extracts from cultured neonatal rat cardiomyocytes
exposed to 100 mM ethanol for 24 hours. A putative beta subunit of mitochondrial
ATP synthase was increased, which was confirmed by Western blot. The cellular
protein abundances in the alpha and beta subunits of ATP synthase increased in
dose (0, 10, 50, and 100 mM) - and time (0.5 hour and 24 hours) -dependent
manners. The DNA microarray analysis of total RNA extract demonstrated that gene
expression of the corresponding messenger RNAs of these subunit proteins did not
significantly alter due to 24-hour ethanol exposure. Therefore, protein
expression of these nuclear-encoded mitochondrial proteins may be regulated at
the translational, rather than the transcriptional, level. Alternatively,
degradation of these subunit proteins might be decreased. Additionally, cellular
ATP content of cardiomyocytes scarcely decreased following 24-hour exposure to
any examined concentrations of ethanol. Previous studies, together with this
study, have demonstrated that protein abundance of the alpha subunit or beta
subunit or both subunits of ATP synthase after ethanol exposure or dysfunctional
conditions might differ according to tissue: significant increases in heart but
decreases in liver and brain. Thus, it is suggested that the abundance of subunit
proteins of mitochondrial ATP synthase in the ethanol-exposed heart, being
different from that in the liver and brain, should increase dose-dependently
through either translational upregulation or decreased degradation or both to
maintain ATP production, as the heart requires much more energy than other
tissues for continuing sustained contractions.
PMID- 26568391
TI - Intraperitoneal Migration of a Mesh Plug from a Hernioplasty Forming a
Colocutaneous Fistula with the Cecum: Report of a Case.
AB - Tension-free hernia repair with a mesh plug causes relatively low postoperative
pain and allows an earlier return to work, as well as a low recurrence rate.
Occasionally, however, hernioplasty can result in complications including mesh
migration and invasion of intra-abdominal organs. This report describes the case
of a 57-year-old man who had undergone a right inguinal hernioplasty 13 years
previously. Recovery was uneventful until he experienced inflammation of the
groin, and required open drainage three times for a refractory abscess in his
right groin. Additional colonoscopy and x-ray examinations with contrast medium
clearly demonstrated a mesh plug that had migrated and penetrated the cecum,
forming a colocutaneous fistula. The mesh was successfully removed under general
anesthesia, and the inflammation in the groin resolved.
PMID- 26568392
TI - A Girl with Idiopathic Epilepsy Showing Forced Normalization after Levetiracetam
Administration.
AB - BACKGROUND: Forced normalization has been reported in association with almost all
anti-epileptic drugs. PATIENT: We report on a 9-year-old girl with idiopathic
epilepsy who showed forced normalization after administration of levetiracetam
(LEV). She initially presented with generalized tonic-clonic seizures when she
was 4 years old. Diffuse sharp and slow wave complexes (SWCs) were observed on
electroencephalography (EEG). We prescribed sodium valproate (VPA) and
benzodiazepines, but the seizures and EEG findings worsened gradually. Although
subsequent administration of LEV stopped the seizures, the patient became subject
to episodes of rage and violent behavior. Forced normalization was confirmed by
the disappearance of SWCs on EEG. We reduced the dose of LEV and tried in various
ways to resolve the situation, but finally we had to abandon LEV. CONCLUSIONS: To
the best of our knowledge, this is the first report of a patient with idiopathic
epilepsy but without disabilities in everyday life showing forced normalization
associated with LEV administration.
PMID- 26568393
TI - Neurosyphilis Mimicking Ramsay Hunt Syndrome.
AB - A 36-year-old man presented with facial nerve palsy, hearing loss, vertigo and
headache. He was initially diagnosed with Ramsay Hunt syndrome and treated with a
systemic steroid and valaciclovir; however, his symptoms deteriorated. Serum
rapid plasma reagin (RPR) and treponema pallidum hemagglutination tests were
positive. Cerebrospinal fluid analysis revealed an elevated white blood cell
count and positive RPR, confirming the diagnosis of neurosyphilis. Penicillin G
(PCG) was administered, and his facial nerve function and headache improved.
However, left-side hearing loss worsened temporarily, which was assumed to be a
Jarisch-Herxheimer reaction. Betamethasone was administered along with PCG, and
he recovered completely.
PMID- 26568394
TI - Successful Rescue of a Patient with Acute Aconitine Poisoning Complicated by
Polycystic Renal Hemorrhage.
AB - INTRODUCTION: Aconitine is a highly toxic diterpenoid alkaloid, produced by
plants of the Aconitum genus, that is still used in Chinese herbal medicines.
Aconitine poisoning remains common in China and other parts of Asia. CASE REPORT:
A 48-year-old man received a diagnosis of aconitine poisoning after ingesting
herbal medicinal wine made with caowu, which is made from Aconitum kusnezoffii
roots, and was admitted to our hospital' s emergency department.
Electrocardiography and thoracoabdominal computed tomography showed
cardiovascular toxicity from aconitine poisoning along with polycystic renal
hemorrhaging. Because the arrhythmia was not controlled with lidocaine, blood
purification with a reduced dosage of heparin was performed to treat the
arrhythmia and to avoid increasing the bleeding of the polycystic renal
hemorrhage. The patient recovered from aconitine poisoning and polycystic kidney
hemorrhage. CONCLUSIONS: This case significantly advances our understanding of
hemoperfusion with reduced heparin for the treatment of ventricular arrhythmia
caused by aconitine poisoning.
PMID- 26568395
TI - Layered nano-gratings by electron beam writing to form 3-level diffractive
optical elements for 3D phase-offset holographic lithography.
AB - A multi-level nanophotonic structure is a major goal in providing advanced
optical functionalities as found in photonic crystals and metamaterials. A three
level nano-grating phase mask has been fabricated in an electron-beam resist (ma
N) to meet the requirement of holographic generation of a diamond-like 3D
nanostructure in photoresist by a single exposure step. A 2D mask with 600 nm
periodicity is presented for generating first order diffracted beams with a
preferred pi/2 phase shift on the X- and Y-axes and with sufficient 1(st) order
diffraction efficiency of 3.5% at 800 nm wavelength for creating a 3D periodic
nanostructure in SU-8 photoresist. The resulting 3D structure is anticipated to
provide an 8% complete photonic band gap (PBG) upon silicon inversion. A thin
SiO2 layer was used to isolate the grating layers and multiple spin-coating steps
served to planarize the final resist layer. A reversible soft coating (aquaSAVE)
was introduced to enable SEM inspection and verification of each insulating
grating layer. This e-beam lithographic method is extensible to assembling
multiple layers of a nanophotonic structure.
PMID- 26568396
TI - Competition Between pi-pi and C-H/pi Interactions: A Comparison of the Structural
and Electronic Properties of Alkoxy-Substituted 1,8
Bis((propyloxyphenyl)ethynyl)naphthalenes.
AB - The structural and electronic consequences of pi-pi and C-H/pi interactions in
two alkoxy-substituted 1,8-bis- ((propyloxyphenyl)ethynyl)naphthalenes are
explored by using X-ray crystallography and electronic structure computations.
The crystal structure of analogue 4, bearing an alkoxy side chain in the 4
position of each of the phenyl rings, adopts a pi-stacked geometry, whereas
analogue 8, bearing alkoxy groups at both the 2- and the 5-positions of each
ring, has a geometry in which the rings are splayed away from a pi-stacked
arrangement. Symmetry-adapted perturbation theory analysis was performed on the
two analogues to evaluate the interactions between the phenylethynyl arms in each
molecule in terms of electrostatic, steric, polarization, and London dispersion
components. The computations support the expectation that the pi-stacked geometry
of the alkoxyphenyl units in 4 is simply a consequence of maximizing pi-pi
interactions. However, the splayed geometry of 8 results from a more subtle
competition between different noncovalent interactions: this geometry provides a
favorable anti-alignment of C-O bond dipoles, and two C-H/pi interactions in
which hydrogen atoms of the alkyl side chains interact favorably with the pi
electrons of the other phenyl ring. These favorable interactions overcome
competing pi-pi interactions to give rise to a geometry in which the
phenylethynyl substituents are in an offset, unstacked arrangement.
PMID- 26568397
TI - Proliferation centres of chronic lymphocytic leukaemia/small lymphocytic lymphoma
have enhanced expression of MYC protein, which does not result from rearrangement
or gain of the MYC gene.
PMID- 26568398
TI - Formononetin promotes angiogenesis through the estrogen receptor alpha-enhanced
ROCK pathway.
AB - Formononetin is an isoflavone that has been shown to display estrogenic
properties and induce angiogenesis activities. However, the interrelationship
between the estrogenic properties and angiogenesis activities of formononetin are
not well defined. In the present study, docking and enzymatic assay demonstrated
that formononetin displayed direct binding to the ligand-binding domain (LBD) of
estrogen receptor alpha (ERalpha) with an agonistic property. Results from Human
Umbilical Vein Endothelial Cells (HUVEC) by using real-time migration xCELLigence
system, immunofluorescence and western blotting provided strong evidences of
formononetin induced endothelial cell migration and dramatic actin cytoskeleton
spatial modification through ERalpha-enhanced-ROCK-II/MMP2/9 signaling pathways.
In addition, results from co-immunoprecipitation suggested formononetin induced
cell migration via recruiting of ERalpha/ROCK-II activated complex formation.
More interestingly, in zebrafish embryo we observed that formononetin
significantly promoted angiogenic sproutings in the subintestinal vessels (SIVs)
that could be completely abolished by ROCK inhibitor. In this study, we
elucidated the underlying mechanisms that formononetin produced proangiogenesis
effects through an ERalpha-enhanced ROCK-II signaling pathways. Results from the
present study also expand our knowledge about the enigmatic underlying mechanisms
of phytoestrogenic compounds in the promotion of angiogenesis in relation to
ERalpha and ROCK interaction in endothelial cells and their relationship with
actin assembly and cell migration.
PMID- 26568399
TI - A and D genomes spatial separation at somatic metaphase in tetraploid cotton:
evidence for genomic disposition in a polyploid plant.
AB - Chromosomal dispositions were analyzed on the metaphase plate of tetraploid
cotton (AADD). At metaphase, the two subgenomes, A and D, were separated in a
radial pattern in which the small D subgenome chromosomes tended to concentrate
at the center and the large A subgenome chromosomes were scattered about the
periphery on the metaphase plate. Although the ordered chromosome arrangement was
disturbed in an artificial hexaploid (AADDGG), the separation pattern could be
recovered after the majority of the additional genome (GG) chromosomes were
removed by backcrossing the artificial hexaploid with the tetraploid cotton
(AADD). A similar genome separation phenomenon was also found in synthesized
tetraploid cotton (AAGG). These results indicate that the genome separation
pattern could be established immediately after tetraploid cotton formation and
could be stably inherited in tetraploid cotton. Given the evidence of parental
genome separation in other plants and animals, we speculated that genome
separation might be a normal phenomenon in diploid and polyploid species. These
finding will shed light on the chromosome conformation in plant cells.
PMID- 26568400
TI - Better lipid target achievement for secondary prevention through disease
management programs for diabetes mellitus and coronary heart disease in clinical
practice in Germany.
AB - AIMS: Disease management programs (DMP) for diabetes mellitus (DM) or coronary
heart disease (CHD) address the treatment of lipid disorders. The current
registry aimed to compare drug utilization, lipid lowering effects and further
outcomes of outpatients at high cardiovascular risk in DMP for DM or CHD compared
to patients in routine care (no-DMP). METHODS: This was a prospective non
interventional registry with a 1 year follow-up which enrolled consecutive
patients with known DM and/or any vascular disease on simvastatin 40 mg
monotherapy, to document lipid target achievement in clinical practice in Germany
according to existing guidelines. Drug use (maintenance, add-on, switch,
discontinuation) and other components of care were upon the discretion of the
treating physician. RESULTS: Of a total of 12,154 patients (mean age 65.8 years,
61.2% males), 3273 were in DMP CHD, 3265 in DMP DM and 1760 in DMP CHD + DM. In
DMP patients compared to no-DMP patients, comorbidities/risk factors were more
frequent. More patients in the DMP groups attained the target level of low
density lipoprotein (LDL-C) <70 mg/dl (1.8 mmol/l) at baseline (8.5% DMP vs. 5.7%
no-DMP), at 6 month (10.3% vs. 7.4%) and 12 month follow-up (10.1% vs. 7.1%).
Cholesterol absorption inhibitors were added in 16% of the patients at the end of
the baseline or at the follow-up visits, while statin treatment (including mean
dose) remained largely unchanged. Target achievement rates were highest for all
time points in the DMP CHD + DM group. With respect to limitations, this study
was restricted to lipid disorders as qualifying diagnosis and simvastatin as
qualifying treatment, which is a potential cause of selection bias. Information
on non-pharmacological measures was not collected, and the 12-month follow-up
period was relatively short. CONCLUSION: Patients in DMP compared to those not in
DMP achieved better LDL-C lowering and higher control rates, but overall lipid
target achievement rates need to be improved. Longer-term observations are needed
to corroborate these findings.
PMID- 26568401
TI - The Importance of the Number of Leads with fQRS for Predicting In-Hospital
Mortality in Acute STEMI Patients Treated with Primary PCI.
AB - BACKGROUND: Fragmented QRS (fQRS) has been shown to be related to increased
cardiovascular mortality and morbidity. However, limited data are available for
evaluating the relationship between the number of leads with fQRS and in-hospital
all-cause mortality in patients with acute ST segment elevation myocardial
infarction (STEMI). The aim of our study is to investigate the prognostic
importance of the number of leads with fQRS in acute STEMI patients treated by
primary percutaneous coronary intervention (PCI). METHODS: Two hundred ten
eligible patients with acute STEMI that underwent primary PCI were enrolled in
this study. Each patient's 12-lead electrocardiography (ECG) taken in the first
48 hours was analyzed and the number of leads with fQRS were recorded. RESULTS:
The number derivations with fQRS were significantly higher in patients who
developed in-hospital mortality than the patients who did not develop in-hospital
mortality (2.6 +/- 2.6 vs 0.9 +/- 1.3; P = 0.002). Also, patients with >=3 leads
with fQRS had higher rate of in-hospital all-cause mortality (23.5% vs 7.4%, P =
0.009), higher frequency of Q wave (67.6% vs 36.9%, P = 0.001), and higher
frequency of fQRS with Q wave (67.6% vs 15.9%, P < 0.001) than those patients
with <3 leads with fQRS. By a multivariate regression analysis, the number of
leads with fQRS was found to be an independent predictor of in-hospital all-cause
mortality (odds ratio: 1.415, 95% confidence interval: 1.049-1.909, P = 0.023).
CONCLUSIONS: The number of leads with fQRS on 12-lead ECG is an independent
predictor of in-hospital all-cause mortality in patients with acute STEMI treated
by primary PCI.
PMID- 26568404
TI - Characteristics of women who present for abortion towards the end of the mid
trimester in Scotland: national audit 2013-2014.
AB - OBJECTIVES: Women in Scotland who request an abortion (for non-medical reasons)
within the legal gestational limit (up to 24 weeks) but beyond the gestational
limit of all abortion facilities in Scotland (only up to 20 weeks) must travel to
England if they wish to terminate the pregnancy. We wished to determine the
number and characteristics of women presenting at >=16 weeks' gestation for
abortion, and compare the characteristics of those proceeding to abortion with
those continuing the pregnancy. METHODS: Over a period of 12 months we conducted
a prospective audit of women presenting at >=16 weeks' gestation to abortion
services throughout Scotland. The characteristics of women proceeding to abortion
and those continuing the pregnancy were compared. RESULTS: A total of 267 women
presented for abortion at >=16 weeks' gestation. Their median age was 22 years
(range 14 to 47 years); 231 were from deprived areas (86.5%), 128 (47.9%) already
had a child and 73 (27.3%) had previously undergone abortion. A total of 175
women (65.5%) proceeded to abortion, locally (n = 125; 46.8%) or in England (50;
18.7%). Those at >=20 weeks' gestation were statistically more likely to continue
the pregnancy than those at earlier gestations (p < 0.001). CONCLUSIONS:
Relatively few women present for abortion in Scotland at >=16 weeks' gestation.
Those who are over 20 weeks' gestation and would need to travel to England for
abortion are more likely to continue the pregnancy, suggesting that travel is a
barrier to accessing legal abortion for this group of women. Provision of
abortion services up to 24 weeks' gestation should be considered within Scotland.
PMID- 26568405
TI - Methamphetamine induces the release of endothelin.
AB - Methamphetamine is a potent psychostimulant drug of abuse that increases release
and blocks reuptake of dopamine, producing intense euphoria, factors that may
contribute to its widespread abuse. It also produces severe neurotoxicity
resulting from oxidative stress, DNA damage, blood-brain barrier disruption,
microgliosis, and mitochondrial dysfunction. Intracerebral hemorrhagic and
ischemic stroke have been reported after intravenous and oral abuse of
methamphetamine. Several studies have shown that methamphetamine causes
vasoconstriction of vessels. This study investigates the effect of
methamphetamine on endothelin-1 (ET-1) release in mouse brain endothelial cells
by ELISA. ET-1 transcription as well as endothelial nitric oxide synthase (eNOS)
activation and transcription were measured following methamphetamine treatment.
We also examine the effect of methamphetamine on isolated cerebral arteriolar
vessels from C57BL/6 mice. Penetrating middle cerebral arterioles were cannulated
at both ends with a micropipette system. Methamphetamine was applied
extraluminally, and the vascular response was investigated. Methamphetamine
treatment of mouse brain endothelial cells resulted in ET-1 release and a
transient increase in ET-1 message. The activity and transcription of eNOS were
only slightly enhanced after 24 hr of treatment with methamphetamine. In
addition, methamphetamine caused significant vasoconstriction of isolated mouse
intracerebral arterioles. The vasoconstrictive effect of methamphetamine was
attenuated by coapplication of the endothelin receptor antagonist PD145065. These
findings suggest that vasoconstriction induced by methamphetamine is mediated
through the endothelin receptor and may involve an endothelin-dependent pathway.
PMID- 26568406
TI - Synthesis and Preclinical Evaluation of Radioiodinated Hypericin Dicarboxylic
Acid as a Necrosis Avid Agent in Rat Models of Induced Hepatic, Muscular, and
Myocardial Necroses.
AB - Myocardial infarction (MI) leads to substantial morbidity and mortality around
the world. Accurate assessment of myocardial viability is essential to assist
therapies and improve patient outcomes. (131)I-hypericin dicarboxylic acid
((131)I-HDA) was synthesized and evaluated as a potential diagnostic agent for
earlier assessment of myocardium viability compared to its preceding counterpart
(131)I-hypericin ((131)I-Hyp) with strong hydrophobic property, long plasma half
life, and high uptake in mononuclear phagocyte system (MPS). Herein, HDA was
synthesized and characterized, and self-aggregation constant Kalpha was analyzed
by spectrophotometry. Plasma half-life was determined in healthy rats by gamma
counting. (131)I-HDA and (131)I-Hyp were prepared with iodogen as oxidant. In
vitro necrosis avidity of (131)I-HDA and (131)I-Hyp was evaluated in necrotic
cells induced by hyperthermia. Biodistribution was determined in rat models of
induced necrosis using gamma-counting, autoradiography, and histopathology.
Earlier imaging of necrotic myocardium to assess myocardial viability was
performed in rat models of reperfused myocardium infarction using single photon
emission computed tomography/computed tomography (SPECT/CT). As a result, the
self-aggregation constant Kalpha of HDA was lower than that of Hyp (105602 vs
194644, p < 0.01). (131)I-HDA displayed a shorter blood half-life compared with
(131)I-Hyp (9.21 vs 31.20 h, p < 0.01). The necrotic-viable ratio in cells was
higher with (131)I-HDA relative to that with (131)I-Hyp (5.48 vs 4.63, p < 0.05).
(131)I-HDA showed a higher necrotic-viable myocardium ratio (7.32 vs 3.20, p <
0.01), necrotic myocardium-blood ratio (3.34 vs 1.74, p < 0.05), and necrotic
myocardium-lung ratio (3.09 vs 0.61, p < 0.01) compared with (131)I-Hyp. (131)I
HDA achieved imaging of necrotic myocardium at 6 h postinjection (p.i.) with
SPECT/CT, earlier than what (131)I-Hyp did. Therefore, (131)I-HDA may serve as a
promising necrosis-avid diagnostic agent for earlier imaging of necrotic
myocardium compared with (131)I-Hyp. This may support further development of
radiopharmaceuticals ((123)I and (99m)Tc) based on HDA for SPECT/CT of necrotic
myocardium.
PMID- 26568407
TI - Rethinking "mutualism" in diverse host-symbiont communities.
AB - While examples of bacteria benefiting eukaryotes are increasingly documented,
studies examining effects of eukaryote hosts on microbial fitness are rare.
Beneficial bacteria are often called "mutualistic" even if mutual reciprocity of
benefits has not been demonstrated and despite the plausibility of other
explanations for these microbes' beneficial effects on host fitness. Furthermore,
beneficial bacteria often occur in diverse communities, making mutualism both
empirically and conceptually difficult to demonstrate. We suggest reserving the
terms "mutualism" and "parasitism" for pairwise interactions where the
relationship is largely independent of other species and can be verified by
measuring the fitness effect experienced by both partners. In hosts with diverse
microbial communities, we propose re-formulating some of the essential questions
of symbiosis research - e.g. concerning specificity, transmission mode, and
common evolutionary fates - as questions of community ecology and ecosystem
function, allowing important biological interactions to be investigated without
making assumptions about reciprocity. Understanding the fitness of host
associated bacteria is a crucial component of investigations into the role of
microbes in eukaryote evolution.
PMID- 26568408
TI - Engaging dental professionals in residential aged-care facilities: staff
perspectives regarding access to oral care.
AB - The limited access to oral care for older people living in residential aged care
facilities (RACFs) has been noted repeatedly in the literature. The aim of this
study was to explore RACF staff perspectives on how to engage dental
professionals in the provision of oral care for RACF residents. Semi-structured
interviews were conducted with 30 staff from six purposively selected RACFs
located in high socioeconomic areas to gain understanding of the multidimensional
issues that influenced the engagement of dental professionals from a carer
perspective. Analysis revealed that staff perceived tensions regarding
affordability, availability, accessibility and flexibility of dental
professionals as significant barriers to better oral care for their residents.
Participants raised a series of options for how to better engage dental
professionals and reduce these barriers. Their ideas included: the engagement of
RACF staff in collaborative discussions with representatives of public and
private dental services, dental associations, corporate partners and academics;
the use of hygienists/oral health therapists to educate and motivate RACF staff;
the promotion of oral health information for troubleshooting and advice on how to
deal with residents' dental pain while waiting for support; the encouragement of
onsite training for dental professionals; and the importance of gerodontology
(geriatric dentistry). Findings highlighted the need to explore alternative
approaches to delivering oral care that transcend the model of private clinical
practice to focus instead on the needs of RACFs and take into account quality of
end-of-life oral care.
PMID- 26568409
TI - Analysis of Glyphosate and Aminomethylphosphonic Acid in Nutritional Ingredients
and Milk by Derivatization with Fluorenylmethyloxycarbonyl Chloride and Liquid
Chromatography-Mass Spectrometry.
AB - A straightforward analytical method based on derivatization with
fluorenylmethyloxycarbonyl chloride and liquid chromatography-mass spectrometry
has been developed for the analysis of residues of glyphosate and
aminomethylphosphonic acid (AMPA) in a suite of nutritional ingredients derived
from soybean, corn, and sugar beet and also in cow's milk and human breast milk.
Accuracy and intermediate precision were 91-116% and <10% RSD, respectively, in
soy protein isolate. Limits of quantitation were 0.05 and 0.005 MUg/g in powdered
and liquid samples, respectively. Glyphosate and AMPA were quantified at 0.105
and 0.210 MUg/g (soy protein isolate) and 0.850 and 2.71 MUg/g (soy protein
concentrate, both derived from genetically modified soybean), respectively.
Residues were not detected in soy milk, soybean oil, corn oil, maltodextrin,
sucrose, cow's milk, whole milk powder, or human breast milk. The method is
proposed as a convenient tool for the survey of glyphosate and AMPA in the
ingredient supply chain.
PMID- 26568410
TI - Homeostatic control of nitric oxide (NO) at nanomolar concentrations in
denitrifying bacteria - modelling and experimental determination of NO reductase
kinetics in vivo in Paracoccus denitrificans.
AB - Homeostatic control of nitric oxide (NO) at nanomolar concentrations appears
common among denitrifying bacteria, often ascribed to synchronized expression of
nitrite and nitric oxide reductase (Nir and Nor). We questioned whether this is
sufficient: using the reported substrate affinities for cytochrome cd1 nitrite
reductase (cNor), our model of batch cultures of Paracoccus denitrificans
predicted NO concentrations orders of magnitude higher than measured. We rejected
a hypothesis that the homeostatic control is due to a negative feedback by NO on
the activity of NirS because the inclusion of such feedback resulted in too slow
anaerobic growth and N2 production. We proceeded by determining the kinetic
parameters for cNor in vivo by a carefully designed experiment, allowing the
estimation of NO concentration at the cell surface while anoxic cultures depleted
low headspace doses of NO. With the new parameters for cNor kinetics in vivo {v =
vmax /[1 + K2 /(NO) + K1 * K2 /(NO)(2) ]; vmax = 3.56 fmol NO cell(-1) h(-1) ,
K1 < 1 nM, and K2 = 34 nM}, the model predicted NO concentrations close to that
measured. Thus, enzyme kinetics alone can explain the observed NO homeostasis.
Determinations of enzyme kinetic parameters in vivo are not trivial but evidently
required to understand and model NO kinetics in denitrifying organisms in soils
and aquatic environments.
PMID- 26568411
TI - Discovery of Oral VEGFR-2 Inhibitors with Prolonged Ocular Retention That Are
Efficacious in Models of Wet Age-Related Macular Degeneration.
AB - The benefit of intravitreal anti-VEGF therapy in treating wet age-related macular
degeneration (AMD) is well established. Identification of VEGFR-2 inhibitors with
optimal ADME properties for an ocular indication provides opportunities for
dosing routes beyond intravitreal injection. We employed a high-throughput in
vivo screening strategy with rodent models of choroidal neovascularization and
iterative compound design to identify VEGFR-2 inhibitors with potential to
benefit wet AMD patients. These compounds demonstrate preferential ocular tissue
distribution and efficacy after oral administration while minimizing systemic
exposure.
PMID- 26568412
TI - Psychosocial functioning of children with learning disabilities at three age
levels.
AB - In this study, the relationship between age and patterns of psychosocial
functioning was investigated in a sample of 728 children with learning
disabilities (LD). In the first part of the study, Young (7-8 years), Middle (9
10 years), and Old (11-13 years) children were subtyped by cluster analysis
applied to scores on the Personality Inventory for Children (PIC). The subtypes
that emerged at each age level were similar to those found in our previous
research, and were comparable at each age level. In the second part of the study,
children were classified within a PIC-based psychosocial typology developed in
previous studies. When the subtypes were broken down by age category, the mean
PIC profiles of Young, Middle, and Old children did not differ substantially in
shape or elevation, and the proportions of Young, Middle, and Old children in
each subtype were comparable. These results suggest that patterns of psychosocial
functioning of children with LD are stable across ages 7-13 years and, overall,
do not show increased psychopathology with increased age.
PMID- 26568414
TI - Nanostructures of Indium Gallium Nitride Crystals Grown on Carbon Nanotubes.
AB - Nanostructure (NS) InGaN crystals were grown on carbon nanotubes (CNTs) using
metalorganic chemical vapor deposition. The NS-InGaN crystals, grown on a ~5-MUm
long CNT/Si template, were estimated to be ~100-270 nm in size. Transmission
electron microscope examinations revealed that single-crystalline InGaN NSs were
formed with different crystal facets. The observed green (~500 nm)
cathodoluminescence (CL) emission was consistent with the surface image of the NS
InGaN crystallites, indicating excellent optical properties of the InGaN NSs on
CNTs. Moreover, the CL spectrum of InGaN NSs showed a broad emission band from
490 to 600 nm. Based on these results, we believe that InGaN NSs grown on CNTs
could aid in overcoming the green gap in LED technologies.
PMID- 26568413
TI - Cancer cell-selective killing polymer/copper combination.
AB - Chemotherapy has been adopted for cancer treatment for decades. However, its
efficacy and safety are frequently compromised by the multidrug-resistance of
cancer cells and the poor cancer cell selectivity of anticancer drugs. Hereby, we
report a combination of a pyridine-2-thiol containing polymer and copper which
can effectively kill a wide spectrum of cancer cells, including drug resistant
cancer cells, while sparing normal cells. The polymer nanoparticle enters cells
via an exofacial thiol facilitated route, and releases active pyridine-2-thiol
with the help of intracellularly elevated glutathione (GSH). Due to their high
GSH level, cancer cells are more vulnerable to the polymer/copper combination. In
addition, RNA microarray analysis revealed that the treatment can reverse cancer
cells' upregulated oncogenes (CIRBP and STMN1) and downregulated tumor suppressor
genes (CDKN1C and GADD45B) to further enhance the selectivity for cancer cells.
PMID- 26568416
TI - De novo design of protein mimics of B-DNA.
AB - Structural mimicry of DNA is utilized in nature as a strategy to evade molecular
defences mounted by host organisms. One such example is the protein Ocr - the
first translation product to be expressed as the bacteriophage T7 infects E.
coli. The structure of Ocr reveals an intricate and deliberate arrangement of
negative charges that endows it with the ability to mimic ~24 base pair stretches
of B-DNA. This uncanny resemblance to DNA enables Ocr to compete in binding the
type I restriction modification (R/M) system, and neutralizes the threat of
hydrolytic cleavage of viral genomic material. Here, we report the de novo design
and biophysical characterization of DNA mimicking peptides, and describe the
inhibitory action of the designed helical bundles on a type I R/M enzyme,
EcoR124I. This work validates the use of charge patterning as a design principle
for creation of protein mimics of DNA, and serves as a starting point for
development of therapeutic peptide inhibitors against human pathogens that employ
molecular camouflage as part of their invasion stratagem.
PMID- 26568417
TI - Apoptosis during embryonic tissue remodeling is accompanied by cell senescence.
AB - This study re-examined the dying process in the interdigital tissue during the
formation of free digits in the developing limbs. We demonstrated that the
interdigital dying process was associated with cell senescence, as deduced by
induction of beta-gal activity, mitotic arrest, and transcriptional up-regulation
of p21 together with many components of the senescence-associated secretory
phenotype. We also found overlapping domains of expression of members of the
Btg/Tob gene family of antiproliferative factors in the regressing interdigits.
Notably, Btg2 was up-regulated during interdigit remodeling in species with free
digits but not in the webbed foot of the duck. We also demonstrate that oxidative
stress promoted the expression of Btg2, and that FGF2 and IGF1 which are survival
signals for embryonic limb mesenchyme inhibited Btg2 expression. Btg2
overexpression in vivo and in vitro induced all the observed changes during
interdigit regression, including oxidative stress, arrest of cell cycle
progression, transcriptional regulation of senescence markers, and caspase
mediated apoptosis. Consistent with the central role of p21 on cell senescence,
the transcriptional effects induced by overexpression of Btg2 are attenuated by
silencing p21. Our findings indicate that cell senescence and apoptosis are
complementary processes in the regression of embryonic tissues and share common
regulatory signals.
PMID- 26568418
TI - Concise Synthesis and Facile Nanotube Assembly of a Symmetrically
Multifunctionalized Cycloparaphenylene.
AB - The concise synthesis of C3-symmetrical [12]CPP-hexacarboxylate has been achieved
through macrocyclization by the rhodium-catalyzed intermolecular cross
cyclotrimerization and subsequent reductive aromatization. C3-Symmetrical
functionalization of CPP with highly polar alkoxycarbonyl groups enabled the
structurally uniform nanotube assembly in the crystalline state through multiple
hydrogen-bonding interactions giving a dimer followed by one-dimensional
stacking.
PMID- 26568419
TI - Corrigendum: Graphene-enabled electrically switchable radar-absorbing surfaces.
PMID- 26568420
TI - X-ray induced dimerization of cinnamic acid: Time-resolved inelastic X-ray
scattering study.
AB - A classic example of solid-state topochemical reactions is the ultraviolet-light
induced photodimerization of alpha-trans-cinnamic acid (CA). Here, we report the
first observation of an X-ray-induced dimerization of CA and monitor it in situ
using nonresonant inelastic X-ray scattering spectroscopy (NRIXS). The time
evolution of the carbon core-electron excitation spectra shows the effects of two
X-ray induced reactions: dimerization on a short time-scale and disintegration on
a long time-scale. We used spectrum simulations of CA and its dimerization
product, alpha-truxillic acid (TA), to gain insight into the dimerization
effects. From the time-resolved spectra, we extracted component spectra and time
dependent weights corresponding to CA and TA. The results suggest that the X-ray
induced dimerization proceeds homogeneously in contrast to the dimerization
induced by ultraviolet light. We also utilized the ability of NRIXS for direct
tomography with chemical-bond contrast to image the spatial progress of the
reactions in the sample crystal. Our work paves the way for other time-resolved
studies on chemical reactions using inelastic X-ray scattering.
PMID- 26568421
TI - Identification of a Conformational Equilibrium That Determines the Efficacy and
Functional Selectivity of the MU-Opioid Receptor.
AB - G-protein-coupled receptor (GPCR) ligands impart differing degrees of signaling
in the G-protein and arrestin pathways, in phenomena called "biased signaling".
However, the mechanism underlying the biased signaling of GPCRs is still unclear,
although crystal structures of GPCRs bound to the G protein or arrestin are
available. In this study, we observed the NMR signals from methionine residues of
the MU-opioid receptor (MUOR) in the balanced- and biased-ligand-bound states. We
found that the intracellular cavity of MUOR exists in an equilibrium between
closed and multiple open conformations with coupled conformational changes on the
transmembrane helices 3, 5, 6, and 7, and that the population of each open
conformation determines the G-protein- and arrestin-mediated signaling levels in
each ligand-bound state. These findings provide insight into the biased signaling
of GPCRs and will be helpful for development of analgesics that stimulate MUOR
with reduced tolerance and dependence.
PMID- 26568422
TI - Surgical treatment of hepatic hydatid cysts A retrospective analysis of 425
patients.
AB - BACKGROUND: We aimed to retrospectively analyze patients who underwent surgical
treatment in our clinic for hydatid cysts in terms of the surgical methods
implemented and their results. METHODS: Archival records of the patients who
underwent surgery for the treatment of hydatid cysts between 2007 and 2014 were
analyzed retrospectively. RESULTS: The records of 425 patients who underwent
surgery with varying ages of 16 to 88 years (mean: 44.5) were obtained. Among the
patients, 33.9% (n=144) were male and 66.1% (n=281) were female. The most
frequent symptoms were abdominal pain (46.4%) and dyspepsia (30.9%). About 79.5%
of the patients had hydatid cysts in their livers, and 66.8% of these cysts were
on the right lobe of the liver. Surgical intervention was performed on 513 cysts.
The average diameter of these cysts was 8.3 cm. About 85.5% (n= 438) of the
interventions implemented were partial cystectomy. Laparotomy was performed
through the right subcostal incision on 81% (n=345) of the patients who underwent
conventional surgery. The most frequently encountered complication was biliary
fistula. The mortality rate was 0%. CONCLUSIONS: The results showed that most of
the cases were uncomplicated isolated hepatic hydatid cysts frequently found on
the right lobe of the liver. The most frequently implemented surgical procedure
was partial cystectomy. This procedure was simple, fast and applicable for
uncomplicated hepatic hydatid cysts. KEY WORDS: Hepatic hydatid cyst, Mortality,
Partial cystectomy.
PMID- 26568424
TI - Not all droughts are created equal: the impacts of interannual drought pattern
and magnitude on grassland carbon cycling.
AB - Climate extremes, such as drought, may have immediate and potentially prolonged
effects on carbon cycling. Grasslands store approximately one-third of all
terrestrial carbon and may become carbon sources during droughts. However, the
magnitude and duration of drought-induced disruptions to the carbon cycle, as
well as the mechanisms responsible, remain poorly understood. Over the next
century, global climate models predict an increase in two types of drought:
chronic but subtle 'press-droughts', and shorter term but extreme 'pulse
droughts'. Much of our current understanding of the ecological impacts of drought
comes from experimental rainfall manipulations. These studies have been highly
valuable, but are often short term and rarely quantify carbon feedbacks. To
address this knowledge gap, we used the Community Land Model 4.0 to examine the
individual and interactive effects of pulse- and press-droughts on carbon cycling
in a mesic grassland of the US Great Plains. A series of modeling experiments
were imposed by varying drought magnitude (precipitation amount) and interannual
pattern (press- vs. pulse-droughts) to examine the effects on carbon storage and
cycling at annual to century timescales. We present three main findings. First, a
single-year pulse-drought had immediate and prolonged effects on carbon storage
due to differential sensitivities of ecosystem respiration and gross primary
production. Second, short-term pulse-droughts caused greater carbon loss than
chronic press-droughts when total precipitation reductions over a 20-year period
were equivalent. Third, combining pulse- and press-droughts had intermediate
effects on carbon loss compared to the independent drought types, except at high
drought levels. Overall, these results suggest that interannual drought pattern
may be as important for carbon dynamics as drought magnitude and that extreme
droughts may have long-lasting carbon feedbacks in grassland ecosystems.
PMID- 26568425
TI - Two-dimensional carbon-based conductive materials with dynamically controlled
asymmetric Dirac cones.
AB - The design of two dimensional graphene-type materials with an anisotropic
electron flow direction in the X- and Y-axes opens the door for the development
of novel electronic materials with multiple functions in nanoelectronics. In the
present work, we have studied the electronic transport properties of a new family
of 2D graphene-graphyne hybrids presenting conformationally free phenylethylene
subunits. This system ensures two different conductive pathways that are
perpendicular to each other: an acene nanoribbon subunit, in the X-axis, with
graphene-type conduction, and a free to rotate phenylethylene subunit, in the Y
axis, in which the magnitude of the conduction depends dynamically on the
corresponding torsion angle. Our calculations have confirmed that this system
presents two different conduction pathways, which are related to the presence of
asymmetric Dirac-type cones. Moreover, the Dirac cones can be dynamically
modified in the presence of an external gate electrode, which is unprecedented in
the literature.
PMID- 26568423
TI - ZJU index: a novel model for predicting nonalcoholic fatty liver disease in a
Chinese population.
AB - Non-alcoholic fatty liver disease (NAFLD) is an important health issue worldwide.
We aimed to develop a simple model to determine the presence of NAFLD in a
Chinese population. A cross-sectional study with 9602 subjects was conducted.
Potential predictors were entered into a stepwise logistic regression analysis to
obtain the model. We used 148 patients with liver biopsy to validate this model.
The model, named the ZJU index, was developed based on body mass index (BMI),
fasting plasma glucose (FPG), triglycerides (TG), and the serum alanine
aminotransferase (ALT) to serum aspartate transaminase (AST) ratio. The area
under the receiver operating characteristic curve (AUROC) of the ZJU index to
detect NAFLD was 0.822. At a value of <32.0, the ZJU index could rule out NAFLD
with a sensitivity of 92.2%, and at a value of >38.0, the ZJU index could detect
NAFLD with a specificity of 93.4%. In patients with liver biopsy, the ZJU index
could detect steatosis with good accuracy, with an AUROC of 0.896. This study
revealed that the ZJU index is a helpful model to detect NAFLD for community
physicians in China. It was validated not only by a validation cohort but also by
pathological data.
PMID- 26568426
TI - Hitting the Front Cover.
PMID- 26568427
TI - Seasonal Variation of Surgical Site Infections: Why Does It Occur, Why Does It
Matter?
PMID- 26568428
TI - Twenty-eight-week results from the REALISTIC phase IIIb randomized trial:
efficacy, safety and predictability of response to certolizumab pegol in a
diverse rheumatoid arthritis population.
AB - INTRODUCTION: This 28-week, phase IIIb study assessed safety and maintenance of
response to certolizumab pegol (CZP) in a diverse population of rheumatoid
arthritis (RA) patients, stratified by prior anti-TNF exposure, concomitant
methotrexate (MTX) use and disease duration. The ability to predict achievement
of low disease activity (LDA) at week 28 from improvements in Disease Activity
Score 28 (DAS28), erythrocyte sedimentation rate (ESR), swollen joint count (SJC)
and Clinical Disease Activity Index (CDAI) up to week 12 was assessed. METHODS:
The 28-week study population included all patients who completed the double-blind
(DB) phase and entered the open-label (OL) phase, receiving 200 mg CZP every 2
weeks (Q2W) >=16 weeks. In the 12-week DB period, patients with active RA and an
inadequate response to >=1 disease-modifying antirheumatic drug (DMARD) were
randomized 4:1 to CZP (400 mg at weeks 0, 2 and 4 then 200 mg Q2W) or placebo
(Q2W), stratified by prior anti-TNF use, concomitant use of MTX and disease
duration (<2 years vs. >=2 years). RESULTS: A total of 955 patients entered the
OL phase. At week 28, similar clinical improvements were seen in those receiving
CZP throughout (CZP -> CZP; n = 771) and those receiving placebo during the DB
phase and switching to CZP in the OL phase (placebo -> CZP; n = 184) (ACR20
response rate = 59.7% vs. 53.3%; ACR50/ACR70 response rates were also similar).
Effect of CZP treatment was similar regardless of prior anti-TNF use, disease
duration and concomitant DMARDs, based on ACR20 response rates. The percentage of
patients achieving DAS28(ESR) LDA at week 28 was calculated for DAS28(ESR), SJC
or CDAI responders at earlier time points. Reductions from baseline (Delta) of
DAS28(ESR) <1.2, DeltaSJC <25% or DeltaCDAI <10 by week 12 were associated with
<9% chance of achieving LDA at week 28 regardless of prior anti-TNF exposure.
Adverse event rates were similar for placebo -> CZP and CZP -> CZP patients, with
no new safety signals identified. CONCLUSIONS: A diverse population of RA
patients with varying disease duration showed rapid and sustained clinical
improvements on CZP treatment, regardless of prior anti-TNF or concomitant DMARD
use. Failure to achieve improvements in DAS28(ESR), SJC or CDAI within the first
12 weeks of CZP therapy was associated with a low chance of achieving LDA at week
28. No new safety signals were observed. TRIAL REGISTRATION: ClinicalTrials.gov,
NCT00717236 , 15 July 2008.
PMID- 26568430
TI - Rebuttal from Gordon J. Cooper, Rossana Occhipinti and Walter F. Boron.
PMID- 26568429
TI - Massive thymic hemorrhage and hemothorax occurring in utero.
AB - BACKGROUND: Thymic enlargement is a common and physiological finding in children
and neonates' X-rays, but it is usually asymptomatic. Occasionally it can cause
respiratory distress. In most cases the aetiology of this expansion remains
unclear and it is diagnosed as a thymic hyperplasia. True thymic hyperplasia is
defined as a gland expansion, both in size and weight, while maintaining normal
microscopic architecture. Often it is a diagnosis of exclusion and prognosis is
good. Thymic haemorrhage is an unusual condition related to high foetal and
neonatal mortality. CASE PRESENTATION: We report a case of spontaneous massive
thymic haemorrhage in a newborn developing at birth acute respiratory distress
associated with severe bilateral haemothorax. Thymic enlargement was evident
after pleural evacuation and confirmed by radiographic, Computed Tomography (CT)
images and Magnetic Resonance Imaging (MRI) sequences. The spontaneous resolution
of this enlargement seen with CT scan and MRI sequences suggested a thymic
haemorrhage; surgery was not necessary. CONCLUSION: Thymic haemorrhage should be
considered in newborn infants with pleural effusion, mediastinal space
enlargement and Respiratory Distress.
PMID- 26568431
TI - A Self-Quenching-Resistant Carbon-Dot Powder with Tunable Solid-State
Fluorescence and Construction of Dual-Fluorescence Morphologies for White Light
Emission.
AB - Self-quenching in the aggregation state is overcome, and tunable solid-state
photoluminescence of carbon-dot powder is achieved. Furthermore, based on the
controllable optical property in organic solvents, a novel concept, i.e.,
constructing dual-fluorescence morphologies from single luminescent species, is
presented to realize white-light emission.
PMID- 26568432
TI - Strain and temperature dependent absorption spectra studies for identifying the
phase structure and band gap of EuTiO3 perovskite films.
AB - Post-annealing has been approved to effectively relax the out-of-plane strain in
thin films. Epitaxial EuTiO3 (ETO) thin films, with and without strain, have been
fabricated on (001) LaAlO3 substrates by pulsed laser deposition. The absorption
and electronic transitions of the ETO thin films are investigated by means of
temperature dependent transmittance spectra. The antiferrodistortive phase
transition can be found at about 260-280 K. The first-principles calculations
indicate there are two interband electronic transitions in ETO films. Remarkably,
the direct optical band gap and higher interband transition for ETO films show
variation in trends with different strains and temperatures. The strain leads to
a band gap shrinkage of about 240 meV while the higher interband transition an
expansion of about 140 meV. The hardening of the interband transition energies in
ETO films with increasing temperature can be attributed to the Frohlich electron
phonon interaction. The behavior can be linked to the strain and low temperature
modified valence electronic structure, which is associated with rotations of the
TiO6 octahedra.
PMID- 26568433
TI - Passive immunization with hyperimmune egg-yolk IgY as prophylaxis and therapy for
poultry diseases--A review.
AB - Passive immunization with pathogen-specific egg yolk antibodies (IgY) is emerging
as a potential alternative to antibiotics for the treatment and prevention of
various human and animal diseases. Laying hens are an excellent source of high
quality polyclonal antibodies, which can be collected noninvasively from egg
yolks. The use of IgY offers several advantages in that it is environmentally
friendly, nontoxic, and reduces the numbers of animals required for antibody
production. This paper reviews the use of IgY antibodies in the treatment and
prevention of enteric pathogen infections in poultry. Brief descriptions of the
production, structure, and properties of IgY are also presented. Some limitations
of the technology and future perspectives are discussed.
PMID- 26568435
TI - Estimation of daily dietary fluoride intake: 3-d food diary v. 2-d duplicate
plate.
AB - The 3-d food diary method (3-d FD) or the 2-d duplicate plate (2-d DP) method
have been used to measure dietary fluoride (F) intake by many studies. This study
aimed to compare daily dietary F intake (DDFI) estimated by the 3-d FD and 2-d DP
methods at group and individual levels. Dietary data for sixty-one healthy
children aged 4-6 years were collected using 3-d FD and 2-d DP methods with a 1
week gap between each collection. Food diary data were analysed for F using the
Weighed Intake Analysis Software Package, whereas duplicate diets were analysed
by an acid diffusion method using an F ion-selective electrode. Paired t test and
linear regression were used to compare dietary data at the group and individual
levels, respectively. At the group level, mean DDFI was 0.025 (sd 0.016) and
0.028 (sd 0.013) mg/kg body weight (bw) per d estimated by 3-d FD and 2-d DP,
respectively. No statistically significant difference (P=0.10) was observed in
estimated DDFI by each method at the group level. At an individual level, the
agreement in estimating F intake (mg/kg bw per d) using the 3-d FD method
compared with the 2-d DP method was within +/-0.011 (95 % CI 0.009, 0.013) mg/kg
bw per d. At the group level, DDFI data obtained by either the 2-d DP method or
the 3-d FD method can be replaced. At an individual level, the typical error and
the narrow margin between optimal and excessive F intake suggested that the DDFI
data obtained by one method cannot replace the dietary data estimated from the
other method.
PMID- 26568434
TI - A universal tumor cell isolation method enabled by fibrin-coated microchannels.
AB - We report a simple but effective strategy to capture tumor cells using fibrin
immobilized microchannels. It is a universal method since it shows an ability to
capture both epithelial and mesenchymal tumor cells. The cell capture efficiency
is up to 90%.
PMID- 26568436
TI - The Behavioral Addiction Indoor Tanning Screener (BAITS): An Evaluation of a
Brief Measure of Behavioral Addictive Symptoms.
PMID- 26568437
TI - A Geometric-Structure Theory for Maximally Random Jammed Packings.
AB - Maximally random jammed (MRJ) particle packings can be viewed as prototypical
glasses in that they are maximally disordered while simultaneously being
mechanically rigid. The prediction of the MRJ packing density phiMRJ, among other
packing properties of frictionless particles, still poses many theoretical
challenges, even for congruent spheres or disks. Using the geometric-structure
approach, we derive for the first time a highly accurate formula for MRJ
densities for a very wide class of two-dimensional frictionless packings, namely,
binary convex superdisks, with shapes that continuously interpolate between
circles and squares. By incorporating specific attributes of MRJ states and a
novel organizing principle, our formula yields predictions of phiMRJ that are in
excellent agreement with corresponding computer-simulation estimates in almost
the entire alpha-x plane with semi-axis ratio alpha and small-particle relative
number concentration x. Importantly, in the monodisperse circle limit, the
predicted phiMRJ = 0.834 agrees very well with the very recently numerically
discovered MRJ density of 0.827, which distinguishes it from high-density "random
close packing" polycrystalline states and hence provides a stringent test on the
theory. Similarly, for non-circular monodisperse superdisks, we predict MRJ
states with densities that are appreciably smaller than is conventionally thought
to be achievable by standard packing protocols.
PMID- 26568438
TI - Value of pre-operative breast MRI for the size assessment of ductal carcinoma in
situ.
AB - OBJECTIVE: To retrospectively evaluate the accuracy of pre-operative breast MRI
and mammography in determining the size of ductal carcinoma in situ (DCIS)
compared with the histopathological results. METHODS: 79 patients [mean age: 56.5
(standard deviation 10.2) years] with pathologically proven DCIS (79 lesions)
obtained a bilateral mammogram and a pre-operative contrast-enhanced MRI. The
accuracy of MRI and mammography to detect tumour size were estimated and
compared, using histopathological size as the gold standard, on the subjects with
measurements with both modalities (n = 60). RESULTS: MRI detected 67 (85%)
lesions, mammography detected 72 (91%) and both modalities detected 60 (76%).
Median DCIS size detected by mammography vs MRI was smaller (1.55 vs 1.65 cm).
Out of these 60 cases, compared with the histopathological size, the accuracy of
MRI and mammography was 0.66 and 0.56, respectively (p = 0.045). MRI showed
better accuracy than mammography for younger patients (age <= 50 years, p =
0.003). For tumour nuclear grade, there was a statistically significant
difference for the intermediate level, with higher accuracy for MRI (p = 0.03).
CONCLUSION: MRI was more accurate than mammography in DCIS size assessment when
visible, particularly in lesions of intermediate grade and in patients less than
50 years of age. ADVANCES IN KNOWLEDGE: Breast MRI may help in management of DCIS
of intermediate grade and in females less than 50 years of age.
PMID- 26568439
TI - Intraoperative micro-computed tomography (micro-CT): a novel method for
determination of primary tumour dimensions in breast cancer specimens.
AB - OBJECTIVES: Micro-CT is a promising modality to determine breast tumour size in
three dimensions in intact lumpectomy specimens. We compared the accuracy of
tumour size measurements using specimen micro-CT with measurements using
multimodality pre-operative imaging. METHODS: A tabletop micro-CT was used to
image breast lumpectomy specimens. The largest tumour dimension on three
dimensional reconstructed micro-CT images of the specimen was compared with the
measurements determined by pre-operative mammography, ultrasound and MRI. The
largest dimension of pathologic invasive cancer size was used as the gold
standard reference to assess the accuracy of imaging assessments. RESULTS: 50
invasive breast cancer specimens in 50 patients had micro-CT imaging. 42 were
invasive ductal carcinoma, 6 were invasive lobular carcinoma and 2 were other
invasive cancer. Median patient age was 63 years (range 33-82 years). When
compared with the largest pathologic tumour dimension, micro-CT measurements had
the best correlation coefficient (r = 0.82, p < 0.001) followed by MRI (r = 0.78,
p < 0.001), ultrasound (r = 0.61, p < 0.001) and mammography (r = 0.40, p <
0.01). When compared with pre-operative modalities, micro-CT had the best
correlation coefficient (r = 0.86, p < 0.001) with MRI, followed by ultrasound (r
= 0.60, p < 0.001) and mammography (r = 0.54, p < 0.001). Overall, mammography
and ultrasound tended to underestimate the largest tumour dimension, while MRI
and micro-CT overestimated the largest tumour dimension more frequently.
CONCLUSION: Micro-CT is a potentially useful tool for accurate assessment of
tumour dimensions within a lumpectomy specimen. Future studies need to be carried
out to see if this technology could have a role in margin assessment. ADVANCES IN
KNOWLEDGE: Micro-CT is a promising new technique which could potentially be used
for rapid assessment of breast cancer dimensions in an intact lumpectomy specimen
in order to guide surgical excision.
PMID- 26568440
TI - Ultrasound: the triage tool in the emergency department: using ultrasound first.
AB - Ultrasound in the emergency department has long been recognized as a powerful
screening and diagnostic tool for both physicians and radiologists. In the
emergency department, since time is of the essence, it becomes a critical tool in
triaging patients. Over the years, ultrasound has gained several advantages over
other modalities because of its non-ionizing radiation, portability,
accessibility, non-invasive method and simpler learning curve. As a result,
ultrasound has become one of the most frequently used diagnostic tools in the
emergency department by non-radiologists. The value of ultrasound is implemented
in every acute ailment in the emergency department such as trauma, acute abdomen,
acute pelvic pain, acute scrotal pain, appendicitis in children and acute deep
venous thrombosis. Our objective is to discuss the benefit of using ultrasound as
the primary modality for each of these diseases.
PMID- 26568441
TI - Active porous transition towards spatiotemporal control of molecular flow in a
crystal membrane.
AB - Fluidic control is an essential technology widely found in processes such as
flood control in land irrigation and cell metabolism in biological tissues. In
any fluidic control system, valve function is the key mechanism used to actively
regulate flow and miniaturization of fluidic regulation with precise workability
will be particularly vital in the development of microfluidic control. The
concept of crystal engineering is alternative to processing technology in
microstructure construction, as the ultimate microfluidic devices must provide
molecular level control. Consequently, microporous crystals can instantly be
converted to microfluidic devices if introduced in an active transformability of
porous structure and geometry. Here we show that the introduction of a stress
induced martensitic transition mechanism converts a microporous molecular crystal
into an active fluidic device with spatiotemporal molecular flow controllability
through mechanical reorientation of subnanometre channels.
PMID- 26568442
TI - Influence of copper content on the electrocatalytic activity toward methanol
oxidation of Co(chi)Cu(y) alloy nanoparticles-decorated CNFs.
AB - In this study, CoCu alloy nanoparticles-incorporated carbon nanofibers are
introduced as effective non precious electrocatalyst for methanol oxidation in
alkaline medium. The introduced electrocatalyst has been synthesized by simple
and effective process; electrospinning. Typically, calcination, in nitrogen
atmosphere, of electrospun nanofibers composed of cobalt acetate, copper acetate
and poly (vinyl alcohol) leads to form carbon nanofibers decorated by CoCu
nanoparticles. The nanofibrous morphology and alloy structure have been confirmed
by SEM, TEM and XRD analyses. Investigation of the electrocatalytic activity
indicates that copper content has strong influence, the alloy nanoparticles
having the composition Cu5%Co95% showed distinct high performance; 100 times
higher than other formulations. Overall, the introduced study revealed the veil
about the distinct role of copper in enhancing the electrocatalytic activity of
cobalt-based materials.
PMID- 26568443
TI - Decreasing the number of small eating occasions (<15 % of total energy intake)
regardless of the time of day may be important to improve diet quality but not
adiposity: a cross-sectional study in British children and adolescents.
AB - Evidence of associations between meal frequency (MF) and snack frequency (SF) and
diet and obesity in young populations is limited. This cross-sectional study
examined MF and SF in relation to dietary intake and adiposity measures in
British children aged 4-10 years (n 818) and adolescents aged 11-18 years (n
818). Based on data from a 7-d weighed dietary record, all eating occasions were
divided into meals or snacks on the basis of contribution to energy intake (>=15
or <15 %) or time (06.00-10.00, 12.00-15.00 and 18.00-21.00 hours or others). All
measures of MF and SF showed positive associations with energy intake, except for
MF based on energy contribution in children. Irrespective of the definition of
snacks, SF was associated with higher intakes of soft drinks, confectionery and
total sugar, lower intakes of cereals, fish, meat, protein, PUFA, starch and
dietary fibre, and a lower diet quality (assessed by the Mediterranean diet
score, except for SF based on energy contribution in adolescents). MF based on
time, but not based on energy contribution, was associated with higher intakes of
confectionery and total sugar, lower intakes of fish, protein, PUFA and starch,
and, only in children, a lower diet quality. All measures of MF and SF showed no
association with adiposity measures. In conclusion, this cross-sectional study in
British children and adolescents suggests that decreasing the number of small
eating occasions (<15 % of total energy intake) regardless of the time of day may
be important to improve diet quality but not adiposity.
PMID- 26568444
TI - Which patients benefit from post-implant CT dosimetry after real-time
intraoperative planning for low dose rate prostate brachytherapy? Case series and
systematic literature review.
AB - INTRODUCTION: At present, post-implant CT-based dosimetry is a standard quality
assurance practice following low dose rate (LDR) prostate brachytherapy. However,
it rarely influences management and involves radiation exposure, costs and
inconvenience. The purpose of our study was to assess the need for post-implant
CT-based dosimetry through correlation with pre-implant and real-time dosimetry
and review its place in the management of patients treated with LDR
brachytherapy, so that it could be undertaken more selectively. METHODS: The real
time dosimetry parameters of 34 consecutive patients who underwent LDR
brachytherapy were compared with day 30 post-implant CT-based dosimetry. To
validate our results against the world practice, we performed a meta-analysis of
six relevant published studies, which combined data from 699 patients. The
Student's t-test was performed to verify whether our dosimetric parameters
significantly differ from the results of the meta-analysis. RESULTS: In our case
series, the mean target volume on real-time-planned US and post-implant CT was
33.9 and 32.7 cc, respectively (P > 0.05). The dose-volume histogram (DVH)
parameters were significantly different between real-time-planned and post
implant dosimetry, but re-implantation was not needed for any patients. The
literature review demonstrated that there is no consensus on measures being
reported. Comparison showed that our cohort had significantly smaller prostate
volumes, but the DVHs were similar to other series. CONCLUSIONS: Post-implant CT
and dosimetry did not alter patients' management after real-time intraoperative
planning. However, we recommend that it still be employed for difficult cases or
if there are any concerns identified in real-time planned dosimetry.
PMID- 26568445
TI - Early Childhood Household Smoke Exposure Predicts Less Task-Oriented Classroom
Behavior at Age 10.
AB - Secondhand tobacco smoke is considered a developmental neurotoxicant especially
given underdeveloped vital systems in young children. An ecological test of its
negative influence on brain development can be made by examining the prospective
association between early childhood household smoke exposure and later classroom
behavior. Using a longitudinal birth cohort, we examined the unique contribution
of household tobacco smoke exposure to children's subsequent classroom engagement
at age 10. From child ages 1.5 to 7 years, parents of 2,055 participants from the
Quebec Longitudinal Study of Child Development reported on household smoking by
themselves and other home occupants. At age 10, fourth-grade teachers reported on
the child's classroom engagement. In terms of prevalence, 58% of parents reported
that their children were never exposed to smoke in the home, while 34% and 8% of
children were exposed to transient and continuous household smoke, respectively.
Compared with never exposed children, those who were exposed to transient and
continuous household smoke scored 13% and 9% of a standard deviation lower on
classroom engagement in fourth grade, standardized B = -.128 (95% confidence
interval = -.186, -.069) and standardized B = -.093 (95% confidence interval =
.144, -.043), respectively. Compared with their never exposed peers, children
exposed to transient and continuous early childhood household smoke showed
proportionately less classroom engagement, which reflects task-orientation,
following directions, and working well autonomously and with others. This
predisposition poses risks for high school dropout, which from a population
health perspective is closely linked with at-risk lifestyle habits and unhealthy
outcomes.
PMID- 26568446
TI - Liquid-phase epitaxial growth of a homochiral MOF thin film on poly(L-DOPA)
functionalized substrate for improved enantiomer separation.
AB - A homochiral MOF film grown on a functionalized substrate in a capillary column
with high orientation and homogeneity was successfully prepared by using a layer
by-layer liquid phase epitaxial method; by introducing self-polymerized 3,4
dihydroxy-L-phenylalanine (poly(L-DOPA)) as a chiral substrate, the obtained
enantiopure substrate mounted homochiral MOF thin film showed improved enantiomer
separation.
PMID- 26568447
TI - Regioselective modification of unprotected glycosides.
AB - Selective modification of unprotected carbohydrates is difficult due to the
similar reactivity of the hydroxyl groups. In carbohydrate synthesis, therefore,
even straightforward transformations often require multiple synthetic steps. The
development of selective methods for carbohydrate modification is consequently
highly desired. This review describes the methods for the regio- and
chemoselective carbohydrate modification, with a focus on novel approaches that
mainly apply transition metal catalysis and organocatalysis, and discusses the
challenges and opportunities in this field.
PMID- 26568448
TI - The favourable large misorientation angle grain boundaries in graphene.
AB - A grain boundary (GB) in graphene is a linear defect between two specifically
oriented graphene edges, whose title angles are denoted as theta1 and theta2,
respectively. Here we present a systematic theoretical study on the structure and
stability of GBs in graphene as a function of the misorientation angle, Phi =
(theta1-theta2) and the GB orientation in multi-crystalline graphene, which is
denoted by Theta = (theta1 + theta2). It is surprising that although the number
of disorders of the GB, i.e., the pentagon-heptagon pairs (5|7s), reaches the
maximum at Phi~ 30 degrees , the GB formation energy versus the Phi curve reaches
a local minimum. The subsequent M-shape of the Efvs. the Phi curve is due to the
strong cancellation of the local strains around 5|7 pairs by the "head-to-tail"
formation. This study successfully explains many previously observed experimental
puzzles, such as the multimodal distribution of GBs and the abundance of GB
misorientation angles of ~30 degrees . Besides, this study also showed that the
formation energy of GBs is less sensitive to Theta, although the twin boundaries
are slightly more stable than others.
PMID- 26568449
TI - Altered tactile processing in children with autism spectrum disorder.
AB - Although tactile reactivity issues are commonly reported in children with autism
spectrum disorder (ASD), the underlying mechanisms are poorly understood. Less
feed-forward inhibition has been proposed as a potential mechanism for some
symptoms of ASD. We tested static and dynamic tactile thresholds as a behavioral
proxy of feed-forward inhibition in 42 children (21 children with ASD and 21
typically developing [TD] children). Subthreshold conditioning typically raises
the dynamic detection threshold, thus comparison of the dynamic to the static
threshold generates a metric that predicts gamma-aminobutyric acid (GABA)
mediated feed-forward inhibition. Children with ASD had marginally higher static
thresholds and a significantly lower ratio between thresholds as compared with TD
children. The lower ratio, only seen in children with ASD, might be indicative of
less inhibition. Static thresholds were correlated with autism spectrum quotient
scores, indicating the higher the tactile threshold, the more ASD traits. The
amount of feed-forward inhibition (ratio between dynamic/static) was negatively
correlated with autism diagnostic observation schedule repetitive behavior
scores, meaning the less inhibition the more ASD symptoms. In summary, children
with ASD showed altered tactile processing compared with TD children; thus
measuring static and dynamic thresholds could be a potential biomarker for ASD
and might be useful for prediction of treatment response with therapeutics,
including those that target the GABAergic system. Autism Res 2016, 9: 616-620.
(c) 2015 International Society for Autism Research, Wiley Periodicals, Inc.
PMID- 26568450
TI - IRE1alpha-XBP1 is a novel branch in the transcriptional regulation of Ucp1 in
brown adipocytes.
AB - The unfolded protein response (UPR) not only resolves endoplasmic reticulum (ER)
stress, but also regulates cellular physiological functions. In this study, we
first linked the UPR to the physiological roles of brown adipose tissue (BAT).
BAT is one of the tissues that control energy homeostasis in the body. Brown
adipocytes are able to dissipate energy in the form of heat owing to their
mitochondrial protein, uncoupling protein 1 (UCP1). We found that one of the UPR
branches, the IRE1alpha-XBP1 pathway, was activated during the transcriptional
induction of Ucp1. Inhibiting the IRE1alpha-XBP1 pathway reduced the induction of
Ucp1 expression. However, the activation of the IRE1alpha-XBP1 pathway by ER
stress never upregulated Ucp1. On the other hand, the activation of protein
kinase A (PKA) induced Ucp1 transcription through the activation of IRE1alpha
XBP1. The inhibition of PKA abrogated the activation of IRE1alpha-XBP1 pathway,
while the inhibition of a p38 mitogen activated protein kinase (p38 MAPK), which
is one of the downstream molecules of PKA, never suppressed the activation of
IRE1alpha-XBP1 pathway. These data indicate that PKA-dependent IRE1alpha-XBP1
activation is crucial for the transcriptional induction of Ucp1 in brown
adipocytes, and they demonstrate a novel, ER stress -independent role of the UPR
during thermogenesis.
PMID- 26568451
TI - Increased tolerance to humans among disturbed wildlife.
AB - Human disturbance drives the decline of many species, both directly and
indirectly. Nonetheless, some species do particularly well around humans. One
mechanism that may explain coexistence is the degree to which a species tolerates
human disturbance. Here we provide a comprehensive meta-analysis of birds,
mammals and lizards to investigate species tolerance of human disturbance and
explore the drivers of this tolerance in birds. We find that, overall, disturbed
populations of the three major taxa are more tolerant of human disturbance than
less disturbed populations. The best predictors of the direction and magnitude of
bird tolerance of human disturbance are the type of disturbed area (urbanized
birds are more tolerant than rural or suburban populations) and body mass (large
birds are more tolerant than small birds). By identifying specific features
associated with tolerance, these results guide evidence-based conservation
strategies to predict and manage the impacts of increasing human disturbance on
birds.
PMID- 26568452
TI - Targeting kinases with anilinopyrimidines: discovery of N-phenyl-N'-[4-(pyrimidin
4-ylamino)phenyl]urea derivatives as selective inhibitors of class III receptor
tyrosine kinase subfamily.
AB - Kinase inhibitors are attractive drugs/drug candidates for the treatment of
cancer. The most recent literature has highlighted the importance of multi target
kinase inhibitors, although a correct balance between specificity and non
specificity is required. In this view, the discovery of multi-tyrosine kinase
inhibitors with subfamily selectivity is a challenging goal. Herein we present
the synthesis and the preliminary kinase profiling of a set of novel 4
anilinopyrimidines. Among the synthesized compounds, the N-phenyl-N'-[4
(pyrimidin-4-ylamino)phenyl]urea derivatives selectively targeted some members of
class III receptor tyrosine kinase family. Starting from the structure of hit
compound 19 we synthesized a further compound with an improved affinity toward
the class III receptor tyrosine kinase members and endowed with a promising
antitumor activity both in vitro and in vivo in a murine solid tumor model.
Molecular modeling simulations were used in order to rationalize the behavior of
the title compounds.
PMID- 26568453
TI - Superficial epigastric vein sparing in the saphenous-femoral crossectomy or in
the closures of the saphena magna.
AB - AIM: Considerations based on years of experience, have led our school to spare
the superior collateral vein: the superficial epigastric vein. MATERIAL OF STUDY:
1536 selective epigastric-sparing crossectomies performed with the support of
Duplex US to detect the normal functioning of terminal saphenous-fermoral
junction and femoral valves. RESULTS: The selective-haemodynamic sapheno-femoral
junction ligation" (although this is not strictly done), saves from ligation (but
only in the presence of functioning femoral and saphenous terminal valves) and
division the termination of the superficial epigastric vein into the common
femoral vein. DISCUSSION: Superficial epigastric vein is a descending draining
vessel, assisted by gravity (unlike the inferior collaterals). In case of obesity
or portal hypertension, the spared superficial epigastric vein could drain into
the common femoral vein keeping its anatomical and haemodynamic functions.
CONCLUSIONS: The resulting anterograde flow will provide some flow through the
residual saphenous stump, preventing its thrombosis. KEY WORDS: Duplex US,
Ligation, Saphenous vein.
PMID- 26568454
TI - Structural, electronic and vibrational properties of few-layer 2H- and 1T-TaSe2.
AB - Two-dimensional metallic transition metal dichalcogenides (TMDs) are of interest
for studying phenomena such as charge-density wave (CDW) and superconductivity.
Few-layer tantalum diselenides (TaSe2) are typical metallic TMDs exhibiting rich
CDW phase transitions. However, a description of the structural, electronic and
vibrational properties for different crystal phases and stacking configurations,
essential for interpretation of experiments, is lacking. We present first-
principles calculations of structural phase energetics, band dispersion near the
Fermi level, phonon properties and vibrational modes at the Brillouin zone center
for different layer numbers, crystal phases and stacking geometries. Evolution of
the Fermi surfaces as well as the phonon dispersions as a function of layer
number reveals dramatic dimensionality effects in this CDW material. Our results
indicate strong electronic interlayer coupling, detail energetically possible
stacking geometries, and provide a basis for interpretation of Raman spectra.
PMID- 26568456
TI - 1,1'-Bis(di-tert-butylphosphino)ferrocene copper(I) complex catalyzed C-H
activation and carboxylation of terminal alkynes.
AB - Four copper(i) complexes, [CuBr(dtbpf)] (1), [CuI(dtbpf)] (2), [Cu4(MU2-I)2(MU3
I)2(MU-dtbpf)2] (3) and [Cu6(MU3-I)6(MU-dtbpf)2].2CH3CN (4), were prepared using
CuX (X = Br, I) and 1,1'-bis(di-tert-butylphosphino)ferrocene (dtbpf). These
complexes have been characterized by elemental analyses, IR, (1)H and (31)P NMR,
ESI-MS and electronic absorption spectroscopy. Molecular structures of the
complexes 2 and 4 were determined crystallographically. Complex 2 is the first
monomeric isolated Cu(i) complex of dtbpf with the largest P-Cu-P bite angle
(120.070(19) degrees ) to date. Complex 4 shows a centrosymmetrical dimeric unit
with two [Cu3(MU3-I)3] motifs bridged by two bidentate dtbpf ligands in the
kappa(1)-manner. Each [Cu3(MU3-I)3] motif unites to form a pyramid with one
copper atom at the apex and one of the triangular faces capped by an iodine atom.
All the complexes were found to be efficient catalysts for the conversion of
terminal alkynes into propiolic acids with CO2. Owing to the excellent catalytic
activity, the reactions proceeded at atmospheric pressure and ambient temperature
(25 degrees C). The catalytic products were obtained in moderate to good yields
(80-96%) by using complex loading to 2 mol%. To the best of our knowledge, this
is the first example of an active ferrocenyl diphosphine Cu(i) catalyst for the
carboxylation of terminal alkynes with CO2.
PMID- 26568455
TI - HTR1A Polymorphisms and Clinical Efficacy of Antipsychotic Drug Treatment in
Schizophrenia: A Meta-Analysis.
AB - BACKGROUND: This meta-analysis was conducted to evaluate whether HTR1A gene
polymorphisms impact the efficacy of antipsychotic drugs in patients with
schizophrenia. METHODS: Candidate gene studies that were published in English up
to August 6, 2015 were identified by a literature search of PubMed, Web of
Science, and Google scholar. Data were pooled from individual clinical trials
considering overall symptoms, positive symptoms and negative symptoms, and
standard mean differences were calculated by applying a random-effects model.
RESULTS: The present meta-analysis included a total of 1281 patients from 10
studies. Three polymorphisms of HTR1A (rs6295, rs878567, and rs1423691) were
selected for the analysis. In the pooled data from all studies, none of these
HTR1A polymorphisms correlated significantly with either overall symptoms or
positive symptoms. However, C allele carriers of the rs6295 polymorphism showed a
significantly greater negative symptoms improvement than G allele carriers
(P=.04, standardized mean difference =-0.14, 95%CI = 0.01 to 0.28). CONCLUSIONS:
The results of our present analysis indicate that the HTR1A rs6295 polymorphism
may impact negative symptoms improvement but not on either overall symptoms or
positive symptoms improvement. However, this meta-analysis was based on a small
number of studies and patients, and the effect size on negative symptoms was
small. Given this limitation, the results should be confirmed by further
investigations.
PMID- 26568458
TI - Testing Classical Species Properties with Contemporary Data: How "Bad Species" in
the Brassy Ringlets (Erebia tyndarus complex, Lepidoptera) Turned Good.
AB - All species concepts are rooted in reproductive, and ultimately genealogical,
relations. Genetic data are thus the most important source of information for
species delimitation. Current ease of access to genomic data and recent
computational advances are blooming a plethora of coalescent-based species
delimitation methods. Despite their utility as objective approaches to identify
species boundaries, coalescent-based methods (1) rely on simplified demographic
models that may fail to capture some attributes of biological species, (2) do not
make explicit use of the geographic information contained in the data, and (3)
are often computationally intensive. In this article, we present a case of
species delimitation in the Erebia tyndarus species complex, a taxon regarded as
a classic example of problematic taxonomic resolution. Our approach to species
delimitation used genomic data to test predictions rooted in the biological
species concept and in the criterion of coexistence in sympatry. We (1) obtained
restriction-site associated DNA (RAD) sequencing data from a carefully designed
sample, (2) applied two genotype clustering algorithms to identify genetic
clusters, and (3) performed within-clusters and between-clusters analyses of
isolation by distance as a test for intrinsic reproductive barriers. Comparison
of our results with those from a Bayes factor delimitation coalescent-based
analysis, showed that coalescent-based approaches may lead to overconfident
splitting of allopatric populations, and indicated that incorrect species
delimitation is likely to be inferred when an incomplete geographic sample is
analyzed. While we acknowledge the theoretical justification and practical
usefulness of coalescent-based species delimitation methods, our results stress
that, even in the phylogenomic era, the toolkit for species delimitation should
not dismiss more traditional, biologically grounded, approaches coupling genomic
data with geographic information.
PMID- 26568457
TI - Synthesis of [18F]Arenes via the Copper-Mediated [18F]Fluorination of Boronic
Acids.
AB - A copper-mediated radiofluorination of aryl- and vinylboronic acids with K(18)F
is described. This method exhibits high functional group tolerance and is
effective for the radiofluorination of a range of electron-deficient, -neutral,
and -rich aryl-, heteroaryl-, and vinylboronic acids. This method has been
applied to the synthesis of [(18)F]FPEB, a PET radiotracer for quantifying
metabotropic glutamate 5 receptors.
PMID- 26568459
TI - Variation in Pediatric Cervical Spine Computed Tomography Radiation Dose Index.
AB - OBJECTIVES: The objective was to evaluate variation in the current estimated
radiation dose index for pediatric cervical spine (c-spine) computed tomography
(CT) examinations. METHODS: This was a retrospective analysis of pediatric (age
younger than 19 years) c-spine CT examinations from the American College of
Radiology Dose Index Registry, July 2011 through December 2014. We used the
volume CT dose index (CTDIvol) as the radiation dose estimate and used summary
statistics to describe patient and hospital characteristics. RESULTS: There were
12,218 pediatric CT c-spine examinations performed across 296 participating
hospitals. Fifty-six percent were in male patients, and 79% were in children
older than 10 years. Most hospitals (55%) were community hospitals without trauma
designations, and the largest proportion of examinations (41%) were performed at
these hospitals. The median CTDIvol was 15 mGy (interquartile range = 9 to 23
mGy) representing a more than 2.5-fold difference between the 25th and 75th
percentiles. Pediatric hospitals (both trauma and nontrauma centers) delivered
the lowest CTDIvol across all age groups and showed the least amount of
variability in dose. CONCLUSIONS: There is significant variation in the radiation
dose index for pediatric c-spine CT examinations. Pediatric hospitals practice at
lower CT dose estimates than other hospitals. Individual hospitals should examine
their practices in an effort to ensure standardization and optimization of CT
parameters to minimize radiation exposures to pediatric patients.
PMID- 26568460
TI - O2 Inhibition of Ni-Containing CO Dehydrogenase Is Partly Reversible.
AB - Ni-containing CO dehydrogenases (CODHs) are very efficient metalloenzymes that
catalyze the conversion between CO2 and CO. They are a source of inspiration for
designing CO2-reduction catalysts and can also find direct use in biotechnology.
They are deemed extremely sensitive to O2, but very little is known about this
aspect of their reactivity. We investigated the reaction with O2 of
Carboxydothermus hydrogenoformans (Ch) CODH II and the homologous, recently
characterized CODH from Desulfovibrio vulgaris (Dv) through protein film
voltammetry and solution assays (in the oxidative direction). We found that O2
reacts very quickly with the active site of CODHs, generating species that
reactivate upon reduction--this was unexpected. We observed that distinct CODHs
exhibit different behaviors: Dv CODH reacts half as fast with O2 than Ch CODH,
and only the former fully recovers the activity upon reduction. The results raise
hope that fast CO/CO2 biological conversion may be feasible under aerobic
conditions.
PMID- 26568461
TI - Bio-nanoplatforms based on carbon dots conjugating with F-substituted nano
hydroxyapatite for cellular imaging.
AB - Carbon dots (CDs) have shown great promise in a wide range of bioapplications due
to their tunable optical properties and noncytotoxicity. For the first time, a
rational strategy was designed to construct new bio-nanoplatforms based on
carboxylic acid terminated CDs (CDs-COOH) conjugating with amino terminated F
substituted nano-hydroxyapatite (NFAp) via EDC/NHS coupling chemistry. The
monodisperse NFAp nanorods were functionalized with o-phosphoethanolamine (PEA)
to provide them with amino groups and render them hydrophilic with respect to the
ligand exchange process. The CDs-COOH@PEA-NFAp conjugates exhibits bright blue
fluorescence under UV illumination, excellent photostability and colloidal
stability. Due to their low cytotoxicity and good biocompatibility as determined
by methyl thiazolyl tetrazolium (MTT) assay, the CDs-COOH@PEA-NFAp conjugates
were successfully applied as bio-nanoplatforms to MCF-7 breast cancer cells for
cellular imaging in vitro. More importantly, the functional CDs conjugated to
NFAp provide an extended and general approach to construct different water
soluble NFAp bio-nanoplatforms for other easily functionalised luminescent
materials. Therefore, these green nanoplatforms may be a prospective candidate
for applications in bioimaging or targeted biological therapy and drug delivery.
PMID- 26568462
TI - Blood film findings in severe babesiosis.
PMID- 26568464
TI - Symptom interval of osteosarcoma around the knee joint: an analysis of 82
patients of a single institute.
AB - The time from first onset of symptoms or signs to a definitive diagnosis and
initiation of treatment is defined as symptom interval (SI), the impact of SI on
prognosis of patient with osteosarcoma (OS) remains unclear. A total medical
records of 52 male and 30 female aged between 8 and 61 (median, 17) with OS
around the knee joint were reviewed. Two components of SI, patient delay and
professional delay were analysed. The median total SI was 2 months (range from
0.2 to 13.2 months). The median patient delay was 1.05 months (range from 0 to
12.5), and median professional delay was 0.45 months. Total SI and patient delay
were not significantly different when patients were grouped by gender, age,
tumour size and response to chemotherapy. Younger patients (<18 years) had
shorter professional delay than older patients (>=18 years) (P = 0.02). Although
there was a trend for longer time to lung metastasis in patients of SI <3 months,
there was no significant difference compared with patients of SI >=3 months (P =
0.15). In this study, younger patients had shorter professional delay than older
patients. There was no significant correlation between SI and time to lung
metastasis.
PMID- 26568463
TI - FOXO1 inhibits osteoclastogenesis partially by antagnozing MYC.
AB - FOXO transcription factors especially FOXO1 have profound roles in bone
development and remodeling. The regulation of cells of the osteoblast lineage by
FOXOs is suggested to be stage-specific or context dependent. Intriguingly,
recent studies on the role played by FOXOs in osteoclastogenesis reached
different conclusion. Bartell et al. showed that FOXOs restrained
osteoclastogenesis and bone resorption partially by upregulation of the H2O2
inactivating enzyme catalase. Wang et al. demonstrated that FOXO1 activated
osteoclast formation. In the present study, we confirmed the results of Bartell
et al. that FOXO1 expression was reduced upon stimulation of RANKL; FOXO1
inhibition promoted and FOXO1 activation repressed, osteoclast differentiation
and activity; the inhibitory effect of FOXO1 on osteoclastogenesis was partially
mediated by ROS since treatment with ROS scavengers cancelled the effect of FOXO1
inhibition on osteoclastogenesis. We further investigated the mechanisms
responsible for repressed osteoclastogenesis by FOXO1. We found that FOXO1
inhibition modulated MAPKs, NF-kappaB and AP-1. Finally, we proved that the
inhibitory effect of FOXO1 on osteoclast formation was partially mediated by MYC
suppression by showing that MYC repression almost totally abrogated the effect of
FOXO1 inhibition on osteoclastogenesis. To conclude, our study confirmed FOXO1 as
a cell-autonomous inhibitor of osteoclastogenesis.
PMID- 26568465
TI - Topical prazosin attenuates sensitivity to tactile stimuli in patients with
complex regional pain syndrome.
AB - BACKGROUND: The sympathetic nervous system may play an important role in certain
forms of chronic pain. The main aim of this study was to determine whether
functional blockade of alpha1 -adrenoceptors would alter sensitivity to cutaneous
stimulation in patients with complex regional pain syndrome (CRPS). METHODS AND
RESULTS: In an initial study, high-performance liquid chromatography-mass
spectrometry of intradermal interstitial fluid collected from the forearms of
three healthy individuals established that the alpha1 -adrenoceptor antagonist
prazosin penetrated the skin barrier when mixed in Lipoderm((r)) cream base.
Next, we found that application of this cream to the forearm of 10 healthy
participants attenuated axon reflex vasodilatation to the iontophoresis of
phenylephrine, demonstrating functional blockade of alpha1 -adrenoceptors.
Subsequently, effects of the cream on sensitivity to mechanical and thermal
stimulation were investigated in 14 healthy participants and 19 patients with
CRPS (eight with an apparent adrenergic component of pain). Both in patients and
controls, topical application of the prazosin cream increased sensitivity to skin
cooling but reduced sensations evoked by gentle brushing. In addition,
hyperalgesia to sharp stimulation was lower at the prazosin- than vehicle-treated
site in the CRPS-affected limb, and allodynia to brushing was lower at the
prazosin-treated than vehicle-treated site in patients with an adrenergic
component of pain. CONCLUSIONS: Prazosin cream inhibited adrenergic axon reflex
vasodilatation in healthy volunteers, and also inhibited dynamic allodynia and
punctate hyperalgesia in the CRPS-affected limb of some patients. Further studies
are required to assess the potential benefits of topically applied prazosin for
CRPS.
PMID- 26568467
TI - Extracting order from heterogeneity: A report on the EpiGeneSys workshop "Single
Cell Epigenetics" in Montpellier, June 11-12, 2015.
AB - Understanding epigenetic modifications to chromatin that regulate gene expression
and cell-fate decisions is now possible in single cells thanks to recent
technological advances. As interdisciplinary approaches are required to derive
biological principles, this workshop brought together some of Europe's leading
researchers in single-cell epigenetics to share technologies and biological
insights.
PMID- 26568466
TI - Decitabine for Treatment of Myelodysplastic Syndromes in Chinese Patients: An
Open-Label, Phase-3b Study.
AB - INTRODUCTION: The objective of this study was to evaluate the efficacy and safety
of decitabine in Chinese patients with myelodysplastic syndrome (MDS). METHODS:
Patients (>=18 years) who had a de novo or secondary MDS diagnosis according to
French-American-British classification and an International Prognostic Scoring
System score >=0.5 were enrolled and randomized (1:1) to one of two decitabine
regimens: 3-day treatment (3-h intravenous infusion of 15 mg/m(2) given every 8 h
for three consecutive days/cycle/6 weeks) or 5-day treatment (1-h intravenous
infusion of 20 mg/m(2) once daily on days 1-5/cycle/4 weeks). After a minimum of
30 patients were assigned to 3-day schedule, the remaining were assigned to the 5
day schedule. The primary efficacy endpoint was the overall response rate (ORR).
Secondary outcome measures included hematologic improvement (HI), cytogenetic
response rate, the time to acute myeloid leukemia (AML) progression, and overall
survival (OS). RESULTS: In total, 132 of 135 enrolled patients (3-day treatment,
n = 36; 5-day treatment, n = 99) discontinued treatment (major reasons included
patient withdrawal/lack of efficacy, n = 48; adverse events, n = 23; and disease
progression, n = 22). During the study, 35 of 132 (26.5%) patients from the
intent-to-treat (ITT) group achieved significant (P < 0.001) ORR [3-day group (n
= 10, 29.4%), P = 0.003; 5-day group (n = 25, 25.5%), P < 0.001]. The HI rate was
similar between the 3-day (47.1%) and 5-day groups (48.0%). Cytogenetic response
was achieved in 20 of the 30 (66.7%) patients who had a baseline cytogenetic
abnormality. Fifty-three (40.2%) AML transformations or deaths occurred and the
median AML-free survival time was 23.8 months for all patients from the ITT set;
24-month OS rate was 48.9%. Adverse events of myelosuppression-related disorders
(85.6%) and infections (43.2%) were commonly reported. CONCLUSION: Decitabine
treatment was efficacious in Chinese patients with MDS with its safety profile
comparable to the global studies of decitabine conducted to date. FUNDING: Xian
Janssen Pharmaceutical Ltd. China (a company of Johnson & Johnson). TRIAL
REGISTRATION: ClinicalTrials.gov identifier, NCT01751867.
PMID- 26568468
TI - Analysis of Volatile Markers for Virgin Olive Oil Aroma Defects by SPME-GC/FID:
Possible Sources of Incorrect Data.
AB - The need to explain virgin olive oil (VOO) aroma descriptors by means of
volatiles has raised interest in applying analytical techniques for trapping and
quantitating volatiles. Static headspace sampling with solid phase
microextraction (SPME) as trapping material is one of the most applied solutions
for analyzing volatiles. The use of an internal standard and the determination of
the response factors of the main volatiles seem to guarantee the correct
determination of volatile concentrations in VOOs by SPME-GC/FID. This paper,
however, shows that the competition phenomena between volatiles in their
adsorption to the SPME fiber, inherent in static headspace sampling, may affect
the quantitation. These phenomena are more noticeable in the particular case of
highly odorant matrices, such as rancid and vinegary VOOs with high intensity of
defect. The competition phenomena can modify the measurement sensitivity, which
can be observed in volatile quantitation as well as in the recording of internal
standard areas in different matrices. This paper analyzes the bias of the peak
areas and concentrations of those volatiles that are markers for each sensory
defect of VOOs (rancid, vinegary, musty, and fusty) when the intensity and
complexity of aroma are increased. Of the 17 volatile markers studied in this
work, 10 presented some anomalies in the quantitation in highly odorant matrices
due the competition phenomena. However, quantitation was not affected in the
concentration ranges at which each volatile marker is typically found in the
defective oils they were characteristic of, validating their use as markers.
PMID- 26568469
TI - Health Promotion Can Postpone Frailty: Results from the RCT Elderly Persons in
the Risk Zone.
AB - OBJECTIVE: Very old persons (80+) are often described as "frail", implying that
they are particularly vulnerable to adverse health outcomes. Elderly Persons in
the Risk Zone was designed to determine whether a preventive home visit or
multiprofessional senior group meetings could postpone deterioration in frailty
if the intervention is carried out when the person is not so frail. DESIGN AND
SAMPLE: The study was a RCT with follow-ups at 1 and 2 years. A total of 459
persons (80+), still living at home, were included. Participants were independent
in activities of daily life and cognitively intact. MEASURES: Frailty was
measured in two complementary ways, with the sum of eight frailty indicators and
with the Mob-T Scale measuring tiredness in daily activities. RESULTS: Both
interventions showed favorable effects in postponing the progression of frailty
measured as tiredness in daily activities for up to 1 year. However, neither of
the two interventions was effective in postponing frailty measured with the sum
of frailty indicators. CONCLUSIONS: The results in this study show the potential
of health promotion to older persons. The multiprofessional approach, including a
broad spectrum of information and knowledge, might have been an important factor
contributing to a more positive view of aging.
PMID- 26568470
TI - Proteogenomics of a saxitoxin-producing and non-toxic strain of Anabaena
circinalis (cyanobacteria) in response to extracellular NaCl and phosphate
depletion.
AB - In Australia, saxitoxin production is strain dependent within the bloom-forming
freshwater cyanobacterium Anabaena circinalis. Freshwater cyanobacteria are
exposed to rapid fluctuations in environmental nutrient concentrations, and their
adaption is vital for competition, succession and dominance. Two elements of
environmental significance, phosphorus and sodium chloride, are proposed to play
a role in bloom development and saxitoxin biosynthesis respectively. The aim of
our study was to comparatively analyse the model saxitoxin-producing A.
circinalis AWQC131C and non-toxic A. circinalis AWQC310F at the genomic level and
proteomic level, in response to phosphate depletion and increased extracellular
NaCl. When challenged, photosynthesis, carbon/nitrogen metabolisms,
transcription/translation, oxidative stress and nutrient transport functional
categories demonstrated the largest changes in protein abundance. In response to
increased NaCl, SxtC, a protein conserved in all known saxitoxin biosynthetic
pathways, was downregulated. Additionally, toxin quantification revealed a
decrease in total saxitoxin and decarbomoyl-gonyautoxin2/3 content in response to
the NaCl treatment. In response to phosphate depletion, the toxic and non-toxic
strain displayed similar proteomic profiles, although the toxic strain did not
alter the abundance of as many proteins as the non-toxic strain. These findings
have important implications for the future, since response and adaption
mechanisms are directly related to in situ dominance of cyanobacteria.
PMID- 26568472
TI - A convenient process to fabricate gelatin modified porous PLLA materials with
high hydrophilicity and strength.
AB - PLLA porous materials with high porosity were prepared by a gradual precipitation
method and further modified by using different concentrations of gelatin aqueous
solutions. Therefore, porous materials with different contents of gelatin coating
were obtained. The micro morphology, crystallization, thermal performance,
hydrophilicity and mechanical properties of the materials were evaluated by
scanning electron microscopy (SEM), differential scanning calorimetry (DSC), X
ray diffraction (XRD), thermogravimetric analysis (TGA), water uptake ability
tests and compression tests. It was found that the modified materials were formed
by the stacking of nanosheets. The materials can maintain more than 80% porosity,
high water uptake abilities and fast water uptake rates after modification. The
compressive moduli of the materials were significantly improved from the initial
sample with a value of 0.57 MPa to 46.41 MPa with gelatin modification. Due to
the high porosity of materials, interconnected pore structures, and good surface
hydrophilicity, the materials were expected to be widely used in the field of
tissue engineering scaffolds, especially for bone substitutes, mainly due to
their tunable and excellent mechanical properties.
PMID- 26568473
TI - From Soybean residue to advanced supercapacitors.
AB - Supercapacitor technology is an extremely timely area of research with fierce
international competition to develop cost-effective, environmentally friendlier
EC electrode materials that have real world application. Herein, nitrogen-doped
carbons with large specific surface area, optimized micropore structure and
surface chemistry have been prepared by means of an environmentally sound
hydrothermal carbonization process using defatted soybean (i.e., Soybean meal), a
widely available and cost-effective protein-rich biomass, as precursor followed
by a chemical activation step. When tested as supercapacitor electrodes in
aqueous electrolytes (i.e. H2SO4 and Li2SO4), they demonstrate excellent
capacitive performance and robustness, with high values of specific capacitance
in both gravimetric (250-260 and 176 F g(-1) in H2SO4 and Li2SO4 respectively)
and volumetric (150-210 and 102 F cm(-3) in H2SO4 and Li2SO4 respectively) units,
and remarkable rate capability (>60% capacitance retention at 20 A g(-1) in both
media). Interestingly, when Li2SO4 is used, the voltage window is extended up to
1.7 V (in contrast to 1.1 V in H2SO4). Thus, the amount of energy stored is
increased by 50% compared to H2SO4 electrolyte, enabling this environmentally
sound Li2SO4-based supercapacitor to deliver ~12 Wh kg(-1) at a high power
density of ~2 kW kg(-1).
PMID- 26568474
TI - Molecular modeling approach to explore the role of cathepsin B from Hordeum
vulgare in the degradation of Abeta peptides.
AB - The pathological hallmark of Alzheimer's disease is the accumulation of Abeta
peptides in human brains. These Abeta peptides can be degraded by several enzymes
such as hACE, hECE, hIDE and cathepsin B. Out of which cathepsin B also belongs
to the papain super family and has been found in human brains, it has a role in
Abeta peptide degradation through limited proteolysis. The Abeta concentrations
are maintained properly by its production and clearance via receptor-mediated
cellular uptake and direct enzymatic degradation. However, the reduced production
of Abeta degrading enzymes as well as their Abeta degrading activity in human
brains initiate the process of accumulation of Abeta peptides. So it becomes
essential to investigate the molecular interactions involved in the process of
Abeta degradation in detail at the atomic level. Hence, homology modeling,
molecular docking and molecular dynamics simulation techniques have been used to
explore the possible role of cathepsin B from Hordeum vulgare in the degradation
of amyloid beta (Abeta) peptides. The homology model of cathepsin B from Hordeum
vulgare shows good similarity with human cathepsin B. Molecular docking and MD
simulation results revealed that the active site residues Cys32, HIS112, HIS113
are involved in the catalytic activity of cathepsin B. The sulfhydryl group of
the Cys32 residue of cathepsin B from Hordeum vulgare cleaves the Abeta peptide
from the carboxylic end of Glu11. Hence, this structural study might be helpful
in designing alternative strategies for the treatment of AD.
PMID- 26568475
TI - Dynamics of Particle Size on Inhalation of Environmental Aerosol and Impact on
Deposition Fraction.
AB - Inhalation of elevated levels of particulate air pollution has been shown to
elicit the onset of adverse health effects in humans, where the magnitude of the
response is a product of where in the lung the particulate dose is delivered. At
any point in time during inhalation the depositional flux of the aerosol is a
function of the radius of the droplet, thus a detailed understanding of the rate
and magnitude of the mass flux of water to the droplet during inhalation is
crucial. In this study, we assess the impact of aerosol hygroscopicity on
deposited dose through the inclusion of a detailed treatment of the mass flux of
water to account for the dynamics of particle size in a modified version of the
standard International Commission on Radiological Protection (ICRP) whole lung
deposition model. The ability to account for the role of the relative humidity
(RH) of the aerosol prior to, and during, inhalation on the deposition pattern is
explored, and found to have a significant effect on the deposition pattern. The
model is verified by comparison to previously published measurements, and used to
demonstrate that ambient RH affects where in the lung indoor particulate air
pollution is delivered.
PMID- 26568476
TI - Corrigendum: Phase steps and resonator detuning measurements in microresonator
frequency combs.
PMID- 26568478
TI - Tetrathiomolybdate mediates cisplatin-induced p38 signaling and EGFR degradation
and enhances response to cisplatin therapy in gynecologic cancers.
AB - Cisplatin and its analogs are among the most widely used chemotherapeutic agents
against various types of cancer. It is known that cisplatin can activate
epidermal growth factor receptor (EGFR), which may provide a survival benefit in
cancers. Tetrathiomolybdate (TM) is a potent anti-cancer and anti-angiogenic
agent and has been investigated in a number of clinical trials for cancer. In
this study, we explore the therapeutic potential of TM on cisplatin-mediated EGFR
regulation. Our study shows that TM is not cytotoxic, but exerts an anti
proliferative effect in ECC-1 cells. However, TM treatment prior to cisplatin
markedly improves cisplatin-induced cytotoxicity. TM suppressed cisplatin-induced
activation of EGFR while potentiating activation of p38; the activation of p38
signaling appeared to promote cisplatin-induced EGFR degradation. These results
are in contrast to what we saw when cells were co-treated with cisplatin plus an
EGFR tyrosine kinase inhibitor, where receptor activation was inhibited but
receptor degradation was also blocked. Our current study is in agreement with
previous findings that TM may have a therapeutic benefit by inhibiting EGFR
activation. We furthermore provide evidence that TM may provide an additional
benefit by potentiating p38 activation following cisplatin treatment, which may
in turn promote receptor degradation by cisplatin.
PMID- 26568479
TI - A Bioorthogonal Reaction of N-Oxide and Boron Reagents.
AB - The development of bioorthogonal reactions has classically focused on bond
forming ligation reactions. In this report, we seek to expand the functional
repertoire of such transformations by introducing a new bond-cleaving reaction
between N-oxide and boron reagents. The reaction features a large dynamic range
of reactivity, showcasing second-order rate constants as high as 2.3*10(3) M(-1)
s(-1) using diboron reaction partners. Diboron reagents display minimal cell
toxicity at millimolar concentrations, penetrate cell membranes, and effectively
reduce N-oxides inside mammalian cells. This new bioorthogonal process based on
miniscule components is thus well-suited for activating molecules within cells
under chemical control. Furthermore, we demonstrate that the metabolic diversity
of nature enables the use of naturally occurring functional groups that display
inherent biocompatibility alongside abiotic components for organism-specific
applications.
PMID- 26568477
TI - Characterisation of worldwide Helicobacter pylori strains reveals genetic
conservation and essentiality of serine protease HtrA.
AB - HtrA proteases and chaperones exhibit important roles in periplasmic protein
quality control and stress responses. The genetic inactivation of htrA has been
described for many bacterial pathogens. However, in some cases such as the
gastric pathogen Helicobacter pylori, HtrA is secreted where it cleaves the
tumour-suppressor E-cadherin interfering with gastric disease development, but
the generation of htrA mutants is still lacking. Here, we show that the htrA gene
locus is highly conserved in worldwide strains. HtrA presence was confirmed in
992 H. pylori isolates in gastric biopsy material from infected patients.
Differential RNA-sequencing (dRNA-seq) indicated that htrA is encoded in an
operon with two subsequent genes, HP1020 and HP1021. Genetic mutagenesis and
complementation studies revealed that HP1020 and HP1021, but not htrA, can be
mutated. In addition, we demonstrate that suppression of HtrA proteolytic
activity with a newly developed inhibitor is sufficient to effectively kill H.
pylori, but not other bacteria. We show that Helicobacter htrA is an essential
bifunctional gene with crucial intracellular and extracellular functions. Thus,
we describe here the first microbe in which htrA is an indispensable gene, a
situation unique in the bacterial kingdom. HtrA can therefore be considered a
promising new target for anti-bacterial therapy.
PMID- 26568481
TI - Theoretical tools to distinguish O-ylides from O-ylidic complexes in carbene
solvent interactions.
AB - In this paper, we report the geometries and properties of 48 molecular species
located on the MP2/6-311++G(d,p) PES of the fluorocarbene-(methanol)3 system. The
structures were found by a combination of a stochastic search method, using a
modified Metropolis acceptance test, and some hand constructed very symmetrical
structures. We use several theoretical descriptors to categorize these species,
focusing our attention on the interaction between the carbene carbon and the
methanol oxygen, CcO, because this is the key interaction in the formation of O
ylides, ether products, and O-ylidic solvation complexes. These descriptors
include natural charges and natural bond orbitals (NBO), CcO bond orders, CcO
distances, energetic stabilities, and properties at bond critical points.
Accordingly, the isomers were divided into four groups: ethers, fluorocarbene
methanol O-ylides, O-ylidic carbene-solvent complexes and hydrogen bonded carbene
solvent complexes. We found that the possibility of forming H-bonds among solvent
molecules and between the carbene carbon and the hydrogen of the solvent molecule
affects the stability, structure and nature of CcO interactions in O-ylides and O
ylidic complexes to the point of generating some diffuse borderlines between
these two kinds of species. We determined which set of theoretical tools is
suitable to better distinguish between them. Additionally, we clarify the nature
of the relevant interactions in these species.
PMID- 26568480
TI - Bumblebee learning and memory is impaired by chronic exposure to a neonicotinoid
pesticide.
AB - Bumblebees are exposed to pesticides applied for crop protection while foraging
on treated plants, with increasing evidence suggesting that this sublethal
exposure has implications for pollinator declines. The challenges of navigating
and learning to manipulate many different flowers underline the critical role
learning plays for the foraging success and survival of bees. We assessed the
impacts of both acute and chronic exposure to field-realistic levels of a widely
applied neonicotinoid insecticide, thiamethoxam, on bumblebee odour learning and
memory. Although bees exposed to acute doses showed conditioned responses less
frequently than controls, we found no difference in the number of individuals
able to learn at field-realistic exposure levels. However, following chronic
pesticide exposure, bees exposed to field-realistic levels learnt more slowly and
their short-term memory was significantly impaired following exposure to 2.4 ppb
pesticide. These results indicate that field-realistic pesticide exposure can
have appreciable impacts on learning and memory, with potential implications for
essential individual behaviour and colony fitness.
PMID- 26568482
TI - Editor's Perspective: Supplements and Forums.
AB - PURPOSE: In this article, the Editor of the American Journal of Speech-Language
Pathology reflects on the distinction between the Clinical or Research Forum and
the Supplement-and the important contributions that each dissemination format
makes.
PMID- 26568483
TI - Progress of Flexible Electronics in Neural Interfacing - A Self-Adaptive Non
Invasive Neural Ribbon Electrode for Small Nerves Recording.
AB - A novel flexible neural ribbon electrode with a self-adaptive feature is
successfully implemented for various small nerves recording. As a neural
interface, the selective recording capability is characterized by having reliable
signal acquisitions from the sciatic nerve and its branches such as the peroneal
nerve, the tibial nerve, and the sural nerve.
PMID- 26568485
TI - Molecular dynamics simulations of the enhanced recovery of confined methane with
carbon dioxide.
AB - For the first time, the enhanced recovery of confined methane (CH4) with carbon
dioxide (CO2) is investigated through molecular dynamics simulations. The
adsorption energy and configuration of CH4 and CO2 on the carbon surface were
compared, which shows that CO2 is a good candidate in displacing confined CH4.
The energy barrier required for displacing CH4 by CO2 injection was found to
depend on the displacement angle. When CO2 approached vertically to the carbon
surface, the displacement of CH4 occurred most easily. The curvature and size
effects of the carbon nanopores on CH4 recovery were revealed and indicated that
there exists an optimum pore size making the displacement occur most efficiently.
The underlying mechanisms of these phenomena were uncovered. Our findings and
related analyses may help to understand CO2 enhanced gas recovery from the atomic
level and assist the future design in engineering.
PMID- 26568484
TI - Gout, not hyperuricemia alone, impairs left ventricular diastolic function.
AB - INTRODUCTION: Gout is a common metabolic disorder characterized by hyperuricemia
and chronic inflammation. Previous studies show that hyperuricemia accelerates
the occurrence and worsening of cardiovascular disease due to LV remodeling.
However, it is still unclear whether hyperuricemia is the sole contributor to
organic heart remodeling in patients with gout. In addition, there is a paucity
of data regarding the association between LV diastolic function and gout. The
objective of this study was to investigate the effects of gout on LV diastolic
function. METHODS: A total of 173 patients were divided into tertiles based on
the following serum uric acid (UA) levels: (1) serum UA <= 6.5 mg/dL (n = 54),
(2) serum UA >6.5 to <=8.5 mg/dL (n = 59), and (3) serum UA > 8.5 mg/dL (n =
60).Patients underwent a comprehensive Doppler-echocardiography examination to
evaluate LV volume, systolic and diastolic function, and left atrial (LA) volume.
RESULTS: LV diastolic parameters, including diastolic peak early transmitral flow
velocity (E), late transmitral flow velocity (A), E/A, peak early diastolic
mitral annular velocity (Em), late diastolic annular velocity (Am), Em/Am, E/Em,
maximal LA volume index (LAVi) and prevalence of moderate to severe LV diastolic
dysfunction were not significantly different between the three groups. Among the
population being studied, 108 individuals received a gout diagnosis. Gout
patients had greater LV end-systolic dimensions (27.08 +/- 4.38 mm, p = 0.006),
higher LV mass index (107.18 +/- 29.51 g/m2, p < 0.001), higher E/Em (10.07 +/-
2.91, p = 0.008), and larger maximal LAVi (16.96 +/- 7.39 mL/m2, p < 0.001) than
patients without gout. The prevalence of moderate to severe LV diastolic
dysfunction was higher in patients with gout (23%, p = 0.02). CONCLUSIONS: Gout,
not hyperuricemia alone, is associated with LV diastolic dysfunction and LA
volume enlargement.
PMID- 26568486
TI - Co-Expression Network Models Suggest that Stress Increases Tolerance to
Mutations.
AB - Network models are a well established tool for studying the robustness of complex
systems, including modelling the effect of loss of function mutations in protein
interaction networks. Past work has concentrated on average damage caused by
random node removal, with little attention to the shape of the damage
distribution. In this work, we use fission yeast co-expression networks before
and after exposure to stress to model the effect of stress on mutational
robustness. We find that exposure to stress decreases the average damage from
node removal, suggesting stress induces greater tolerance to loss of function
mutations. The shape of the damage distribution is also changed upon stress, with
a greater incidence of extreme damage after exposure to stress. We demonstrate
that the change in shape of the damage distribution can have considerable
functional consequences, highlighting the need to consider the damage
distribution in addition to average behaviour.
PMID- 26568487
TI - Infertility and Reproductive Function in Patients with Congenital Adrenal
Hyperplasia: Pathophysiology, Advances in Management, and Recent Outcomes.
AB - Individuals with congenital adrenal hyperplasia have reduced fertility. However,
reproductive outcomes have improved over the years. This review provides an
update on the multiple pathologic processes that contribute to reduced fertility
in both sexes, from alterations of the hypothalamic-pituitary-gonadal axis to the
direct effect on gonadal function by elevated circulating adrenal androgens. In
addition, elevated serum progesterone concentrations may hinder ovulation and
embryo implantation in women, whereas in men testicular adrenal rest tumors can
be a major cause of infertility. Suppression of adrenal androgen secretion
represents the first line of therapy toward spontaneous conception in both sexes.
PMID- 26568488
TI - Reproductive Issues in Women with Turner Syndrome.
AB - Turner syndrome is one of the most common chromosomal abnormalities affecting
female infants. The severity of clinical manifestations varies and it affects
multiple organ systems. Women with Turner syndrome have a 3-fold increase in
mortality, which becomes even more pronounced in pregnancy. Reproductive options
include adoption or surrogacy, assisted reproductive techniques, and in rare
cases spontaneous pregnancy. Risks for women with Turner syndrome during
pregnancy include aortic disorders, hepatic disease, thyroid disease, type 2
diabetes, and cesarean section delivery. Providers must be familiar with the
risks and recommendations in caring for women with Turner syndrome of
reproductive age.
PMID- 26568489
TI - Gonadal Function and Fertility Among Survivors of Childhood Cancer.
AB - Reproductive health and fertility are of great importance to the increasing
number of survivors of childhood cancer, approximately 70% of whom are estimated
to be over 20 years old. This article reviews the various treatment exposures
that have been associated with makers of gonadal injury and decreased fertility
in childhood cancer survivors. Identifying risk factors that decrease fertility
is essential in proper counseling and timely referral for interventions that may
allow for future fertility in high-risk populations.
PMID- 26568490
TI - Cryptorchidism and Fertility.
AB - Congenital cryptorchidism, that is, undescended testis, is one of the most common
urogenital abnormalities observed in newborn boys. In addition to the congenital
form, there is also acquired form of cryptorchidism. Fertility potential of
patients with cryptorchidism has been evaluated by testicular histology and
volume, semen quality, reproductive hormone levels, time to conception, and
paternity rates. Cryptorchidism is associated with abnormalities in testicular
development, and early treatment is recommended to optimize the fertility
potential of the patients.
PMID- 26568491
TI - Male Obesity.
AB - Many cross-sectional analyses and longitudinal studies have examined the
association between adiposity and pubertal development. In addition, the impact
of an increased fat mass on reproduction and fertility in human obese men and in
male animal models of obesity has been studied. A trend toward earlier pubertal
development and maturation in both sexes has been shown, and the notion that
obese boys might progress to puberty at a slower pace than their nonobese peers
can no longer be substantiated. Impaired fertility markers and reduced
reproductive functions have been observed in obesity. Obesity affects both
pubertal development and fertility in men.
PMID- 26568492
TI - Psychological Outcomes and Reproductive Issues Among Gender Dysphoric
Individuals.
AB - Gender dysphoria is a condition in which a person experiences discrepancy between
the natal anatomic sex and the gender he or she identifies with, resulting in
internal distress and a desire to live as the preferred gender. There is
increasing demand for treatment, which includes suppression of puberty, cross-sex
hormone therapy, and sex reassignment surgery. This article reviews longitudinal
outcome data evaluating psychological well-being and quality of life among
transgender individuals who have undergone cross-sex hormone treatment or sex
reassignment surgery. Proposed methodologies for diagnosis and initiation of
treatment are discussed, and the effects of cross-sex hormones and sex
reassignment surgery on future reproductive potential.
PMID- 26568493
TI - Reproductive System Outcome Among Patients with Polycystic Ovarian Syndrome.
AB - Polycystic ovarian syndrome (PCOS) may present with different clinical patterns
and the anovulatory phenotype may not be the most common. Data suggest that
anovulation in PCOS is not the consequence of increased androgen ovarian
secretion but rather of a severe derangement of early follicle development. Other
mechanisms may be operative in subgroups of patients and may contribute to the
arrest of follicle growth and anovulation. At least 50% of anovulatory patients
with PCOS become ovulatory in their late reproductive age. There is also evidence
that menopause may occur later in women with PCOS. Finally, a strategy for
treatment of infertility in PCOS is presented.
PMID- 26568494
TI - Fertility Preservation in Children and Adolescents.
AB - Fertility preservation is the process by which either oocytes (eggs) or sperm
undergo an intervention to preserve their use for future attempts at conception.
Consideration of fertility preservation in the pediatric and adolescent
population is important, as future childbearing is usually a central life goal.
For postpubertal girls, both oocyte and embryo cryopreservation are standard of
care and for postpubertal boys, sperm cryopreservation continues to be
recommended. Although all the risks are unknown, it appears that fertility
preservation in most cases does not worsen prognosis, allows for the birth of
healthy children, and does not increase the chance of recurrence.
PMID- 26568495
TI - Fertility Issues for Patients with Hypogonadotropic Causes of Delayed Puberty.
AB - Delayed puberty presenting with low gonadotropins has multiple causes. Self
limited delay (constitutional delay) is generally considered benign, but adult
height and bone mineral density may be compromised, and fertility has not been
studied. Functional hypogonadotropic hypogonadism due to a stressor is thought to
resolve with removal of the stressor, but reproductive endocrine dysfunction can
sometimes persist. Most but not all patients with idiopathic hypogonadotropic
hypogonadism, a typically long-lasting condition, can achieve fertility with
exogenous hormone therapy. Future studies are needed to determine fertility
outcomes in self-limited delayed puberty and to more clearly define prognostic
factors for fertility in functional and idiopathic hypogonadotropic hypogonadism.
PMID- 26568496
TI - Adolescent Varicoceles and Infertility.
AB - Varicoceles are associated with testicular atrophy and abnormal spermatogenesis.
Varicocele-related testicular damage is thought to be progressive in nature.
Adult varicoceles are common in men with infertility, and varicocele repair in
this population has demonstrated improved semen parameters and paternity
outcomes. However, without solid objective endpoints (reproducible semen
analyses, paternity), the indications for adolescent varicocele repair remain
controversial. Given the controversy surrounding adolescent varicocele
management, it is not surprising that surveys of pediatric urologists have
revealed a lack of consensus on diagnostic approaches, treatment decisions, and
operative approaches.
PMID- 26568499
TI - Reproductive Endocrinology.
PMID- 26568498
TI - Fertility Issues in Disorders of Sex Development.
AB - Fertility potential should be considered by the multidisciplinary team when
addressing gender assignment, surgical management, and patient and family
counselling of individuals with disorders of sex development. In 46,XY
individuals, defects of gonadal differentiation or androgen or anti-Mullerian
hormone synthesis or action result in incomplete or absent masculinization. In
severe forms, raised as females, motherhood is possible with oocyte donation if
Mullerian ducts have developed. In milder forms, raised as males, azoospermia or
oligospermia are frequently found, however paternity has been reported. Most
46,XX patients with normal ovarian organogenesis are raised as females, and
fertility might be possible after treatment.
PMID- 26568500
TI - Preface.
PMID- 26568501
TI - Pain Management and the Physiatrist.
PMID- 26568497
TI - Testis Development and Fertility Potential in Boys with Klinefelter Syndrome.
AB - Klinefelter syndrome (KS) is the leading genetic cause of primary hypogonadism
and infertility in men. The clinical phenotype has expanded beyond the original
description of infertility, small testes, and gynecomastia. Animal models,
epidemiologic studies, and clinical research of male subjects with KS throughout
the lifespan have allowed the better characterization of the variable phenotype
of this condition. This review provides an overview on what is known of the
epidemiology, clinical features, and pathophysiology of KS, followed by a more
focused discussion of testicular development and the clinical management of
hypogonadism and fertility in boys and men with KS.
PMID- 26568502
TI - How to Maximize Patient Safety When Prescribing Opioids.
AB - Opioid prescribing and deaths in the United States have increased exponentially
in the past couple of decades. This increase has occurred amidst growing
awareness of the lack of long-term efficacy of opioids, as well as the
significant long- and short-term risks associated with these medications. The
scope of the opioid epidemic has led to the development of extensive clinical
screening and monitoring tools recommended for health care providers who
prescribe opioids to patients for chronic nonmalignant pain. The purpose of this
review is to summarize the latest guidelines and evidence that will assist in
maximizing patient safety while using chronic opioid therapy as part of pain
management.
PMID- 26568503
TI - Current Regulations Related to Opioid Prescribing.
AB - It is the responsibility of medical professionals to do all that is possible to
safely alleviate pain. Opioids are frequently prescribed for pain but are
associated with the potential for misuse, addiction, diversion, and overdose
mortality, and thus they are strictly regulated. To adhere to legitimate practice
standards, physicians and other health care providers who prescribe opioids for
pain, particularly on a long-term basis, need current information on federal and
state laws, treatment guidelines, and regulatory actions aimed at reducing opioid
related harm. The number of opioid-prescribing policies is increasing as federal
and state governments increase scrutiny to alleviate opioid-related problems in
society. Failure to adequately comply with opioid-prescribing laws and policies
may put a prescriber at risk for legal or regulatory sanctions. Necessary actions
include thorough documentation of prescribing decisions and assessment and follow
up of patient risk for opioid misuse or addiction. Tools to check for patient
adherence to the prescribed regimen include prescription monitoring databases and
urine drug screening. This article presents an overview of the legal and
regulatory framework surrounding controlled substances law. It further discusses
recent actions at the federal and state level to prevent opioid-related harm.
PMID- 26568504
TI - Controlling the Midfield: Treating Patients With Chronic Pain Using Alternative
Payment Models.
AB - The entire American health care system is turning upside down, except for the
parts that aren't--yet. For physiatrists who manage pain problems, the future is
complex. The usual challenge of treating these devastating and costly problems
that cannot be measured physiologically is compounded by the requirement to do so
in a health care system that doesn't know what it wants to be yet. Payment,
regulation, and the very structure of practice are changing at a pace that is
halting and unpredictable. Nonetheless, knowledge about some structures is
necessary, and some themes almost certainly emerge. I propose that the role of
the pain physiatrist is best understood through a soccer analogy. Whereas the
casual spectator of the past might note the goals scored by surgical colleagues
and shots missed by primary care partners, sophisticated health care systems of
the future will learn that the pain game is won by creating a strong physiatry
midfield. Physiatrists can reach to the backfield to help primary care with tough
cases, send accurate referrals to surgeons, and reorganize the team when chronic
pain complicates the situation. Current and emerging payment structures include
insurance from government, employers, or individuals. Although the rules may
change, certain trends appear to occur: Individuals will be making more choices,
deductibles will increase, narrow groups of practitioners will work together,
pricing will become important, and the burden on primary care colleagues will
increase. Implications of each of these trends on pain medicine and specific
strategy examples are addressed. A general concept emerges that, although
procedure- and activity-based practice is still important, pain physiatrists can
best prepare for the future by leading programs that create value for their
health care system.
PMID- 26568505
TI - Assessing and Treating Patients With Neuropathic Pain.
AB - Neuropathic pain (NP) is a significant source of suffering, disability, and
impairment, as well as an enormous cost to society. Historically, pharmacologic
treatment has been limited to drugs approved for other conditions, including
anticonvulsants, antidepressants, antiarrhythmics, and opioids, but in the past 2
decades several drugs have been approved by the Food and Drug Administration
specifically for NP. Understanding the underlying pathophysiology and clinical
presentation of the various causes of NP states facilitates a rational selection
of pharmacologic, interventional, rehabilitative, and psychological options for
reducing pain and maximizing function.
PMID- 26568506
TI - Assessment and Management of Pain in Patients With Disorders of Consciousness.
AB - Pain is a first-person experience that must be reported, verbally or nonverbally,
to be correctly assessed. How, then, is pain perception determined in persons who
are noncommunicative? This determination is a major clinical challenge because
patients with disorders of consciousness are unable to communicate their feelings
and possible pain experiences. This review will describe the current knowledge of
evaluating pain perception in a minimally conscious state compared with an
unconscious state (also known as vegetative state/unresponsive wakefulness
syndrome) and how to approach the management of pain in these 2 populations.
PMID- 26568507
TI - Nonpharmacologic Options for Treating Acute and Chronic Pain.
AB - This article provides a broad overview of the clinical nonpharmacologic treatment
options for managing acute and chronic pain. Physical therapy and modalities,
interventional techniques, emerging regenerative medicine, and cognitive
behavioral paradigms of treatment are presented. Recommendations are evidence
based and are a practical resource for the musculoskeletal pain and sports
medicine practitioner.
PMID- 26568508
TI - Managing Chronic Pain in Children and Adolescents: A Clinical Review.
AB - Chronic pain in children and adolescents can be difficult for a single provider
to manage in a busy clinical setting. Part of this difficulty is that pediatric
chronic pain not only impacts the child but also the families of these children.
In this review article, we discuss etiology and pathophysiology of chronic pain,
along with variables that impact the severity of chronic pain and functional
loss. We review diagnosis and management of selected chronic pain conditions in
pediatric patients, including headache, low back pain, hypermobility, chronic
fatigue, postural orthostatic tachycardia syndrome, abdominal pain, fibromyalgia,
and complex regional pain syndrome. For each condition, we create a road map that
contains therapy prescriptions, exercise recommendations, and variables that may
influence pain severity. Potential medications for these pain conditions and
associated symptoms are reviewed. A multidisciplinary approach for managing
children with these conditions, including pediatric pain rehabilitation programs,
is emphasized. Lastly, we discuss psychological factors and interventions for
pediatric chronic pain and potential complementary and alternative natural
products and interventions.
PMID- 26568509
TI - Management of Chronic Pain.
PMID- 26568510
TI - Chronic Pain: Cure It First, Treat It Second.
PMID- 26568511
TI - Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire.
AB - Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium
(Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which
is very attractive for thermoelectrics. In this paper, we demonstrate using
molecular dynamics simulations that the already low thermal conductivity of Si/Ge
SNW can be further reduced by introducing hierarchical structure to form Si/Ge
hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces
defects to disrupt the periodicity of regular SNW and scatters coherent phonons,
which are the key contributors to thermal transport in regular SNW. Our
simulation results show that periodically arranged defects in Si/Ge H-SNW lead to
a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By
randomizing the arrangement of defects and imposing additional surface
complexities to enhance phonon scattering, further reduction in thermal
conductivity can be achieved. Compared to pure Si nanowire, the thermal
conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded
that the hierarchical structuring is an effective way of reducing thermal
conductivity significantly in SNW, which can be a promising path for improving
the efficiency of Si/Ge-based SNW thermoelectrics.
PMID- 26568512
TI - Charge density wave transition in single-layer titanium diselenide.
AB - A single molecular layer of titanium diselenide (TiSe2) is a promising material
for advanced electronics beyond graphene-a strong focus of current research. Such
molecular layers are at the quantum limit of device miniaturization and can show
enhanced electronic effects not realizable in thick films. We show that single
layer TiSe2 exhibits a charge density wave (CDW) transition at critical
temperature TC=232+/-5 K, which is higher than the bulk TC=200+/-5 K. Angle
resolved photoemission spectroscopy measurements reveal a small absolute bandgap
at room temperature, which grows wider with decreasing temperature T below TC in
conjunction with the emergence of (2 * 2) ordering. The results are rationalized
in terms of first-principles calculations, symmetry breaking and phonon entropy
effects. The observed Bardeen-Cooper-Schrieffer (BCS) behaviour of the gap
implies a mean-field CDW order in the single layer and an anisotropic CDW order
in the bulk.
PMID- 26568513
TI - Hematogenous transverse colon metastasis from primary hepatocellular carcinoma.
PMID- 26568514
TI - Effect of feeding ensiled mixture of pomegranate pulp and drier feeds on
digestibility and milk performance in dairy cows.
AB - Based on a previous ensiling study in glass silos of various pomegranate pulp
(PP) mixtures, fresh pomegranate pulp (PP) was mixed with drier feeds including
soy hulls and corn silage (40:35:25 on DM basis) and ensiled in 32 pressed bales
(700 kg each) wrapped with stretch polyethylene film. This ensiled pomegranate
pulp mixture (PPM) was included in lactating cow total mixed ration (TMR) at a
level of 20% of DM (PPM-TMR). Performance and digestion experiment was conducted
with two groups of 21 milking cows each, fed individually one of the two TMR: 1.
Control TMR without ensiled PPM; 2. Experimental TMR which contained 20% ensiled
PPM, including 8% PP as corn grain replacer. Voluntary DM intake of cows fed the
control TMR was 5.04% higher than that of the PPM cows. In vivo digestibility of
DM, OM, NDF, CP and fat were significantly higher in the control cows compared
with the PPM group, but methane production in the rumen fluid was 25% lower in
the PPM cows. A slightly higher milk yield (by 2.2%) observed in the control
cows; however, milk fat content was 5.9% higher in the PPM cows. This was
reflected in similar yield of energy corrected milk (ECM) and 3.97% increase in
production efficiency (ECM/DM intake) of the PPM cows compared with the control
ones. Welfare of the cows, as assessed by length of daily recumbence time, was in
the normal range for both groups. Body weight gain was also similar in both
groups. Data suggest that the level of 8% PP in the PPM-TMR used in this study
was probably too high for lactating cows and should be lowered to 4% in order to
achieve better performance.
PMID- 26568515
TI - Magnetic resonance imaging for prostate bed radiotherapy planning: An inter- and
intra-observer variability study.
AB - INTRODUCTION: We assessed the inter- and intra-observer variability in contouring
the prostate bed for radiation therapy planning using MRI compared with computed
tomography (CT). METHODS: We selected 15 patients with prior radical
prostatectomy. All had CT and MRI simulation for planning purposes. Image fusions
were done between CT and MRI. Three radiation oncologists with several years of
experience in treating prostate cancer contoured the prostate bed first on CT and
then on MRI. Before contouring, each radiation oncologist had to review the
Radiation Therapy Oncology Group guidelines for postoperative external beam
radiotherapy. The agreement between volumes was calculated using the Dice
similarity coefficient (DSC). Analysis was done using the Matlab software. The
DSC was compared using non-parametric statistical tests. RESULTS: Contouring on
CT alone showed a statistically significant (P = 0.001) higher similarity between
observers with a mean DSC of 0.76 (standard deviation +/- 0.05) compared with
contouring on MRI with a mean of 0.66 (standard deviation +/- 0.05). Mean intra
observer variability between CT and MRI was 0.68, 0.75 and 0.78 for the three
observers. The clinical target volume was 19-74% larger on CT than on MRI. The
intra-observer difference in clinical target volume between CT and MRI was
statistically significant in two observers and non-significant in the third one
(P = 0.09). CONCLUSIONS: We found less inter-observer variability when contouring
on CT than on MRI. Radiation Therapy Oncology Group contouring guidelines are
based on anatomical landmarks readily visible on CT. These landmarks are more
inter-observer dependent on MRI. Therefore, present contouring guidelines might
not be applicable to MRI planning.
PMID- 26568516
TI - A singlet oxygen photosensitizer enables photoluminescent monitoring of singlet
oxygen doses.
AB - A molecular dyad that can photosensitize and visualize singlet oxygen ((1)O2) was
developed. The dual photofunction enables ratiometric photoluminescence
monitoring of the progress of (1)O2-induced cell death.
PMID- 26568517
TI - Surface bioengineering of diatomite based nanovectors for efficient intracellular
uptake and drug delivery.
AB - Diatomite is a natural porous silica material of sedimentary origin. Due to its
peculiar properties, it can be considered as a valid surrogate of synthetic
porous silica for nano-based drug delivery. In this work, we exploit the
potential of diatomite nanoparticles (DNPs) for drug delivery with the aim of
developing a successful dual-biofunctionalization method by polyethylene glycol
(PEG) coverage and cell-penetrating peptide (CPP) bioconjugation, to improve the
physicochemical and biological properties of the particles, to enhance the
intracellular uptake in cancer cells, and to increase the biocompatibility of 3
aminopropyltriethoxysilane (APT) modified-DNPs. DNPs-APT-PEG-CPP showed
hemocompatibility for up to 200 MUg mL(-1) after 48 h of incubation with
erythrocytes, with a hemolysis value of only 1.3%. The cytotoxicity of the
modified-DNPs with a concentration up to 200 MUg mL(-1) and incubation with MCF-7
and MDA-MB-231 breast cancer cells for 24 h, demonstrated that PEGylation and CPP
bioconjugation can strongly reduce the cytotoxicity of DNPs-APT. The cellular
uptake of the modified-DNPs was also evaluated using the above mentioned cancer
cell lines, showing that the CPP-bioconjugation can considerably increase the DNP
cellular uptake. Moreover, the dual surface modification of DNPs improved both
the loading of a poorly water-soluble anticancer drug, sorafenib, with a loading
degree up to 22 wt%, and also enhanced the drug release profiles in aqueous
solutions. Overall, this work demonstrates that the biofunctionalization of DNPs
is a promising platform for drug delivery applications in cancer therapy as a
result of its enhanced stability, biocompatibility, cellular uptake, and drug
release profiles.
PMID- 26568518
TI - Hierarchical, porous CuS microspheres integrated with carbon nanotubes for high
performance supercapacitors.
AB - Carbon nanotubes (CNTs) incorporated porous 3-dimensional (3D) CuS microspheres
have been successfully synthesized via a simple refluxing method assisted by PVP.
The composites are composed of flower-shaped CuS secondary microspheres, which in
turn are assembled with primary nanosheets of 15-30 nm in thickness and fully
integrated with CNT. The composites possess a large specific surface area of
189.6 m(2) g(-1) and a high conductivity of 0.471 S cm(-1). As electrode
materials for supercapacitors, the nanocomposites show excellent cyclability and
rate capability and deliver an average reversible capacitance as high as 1960 F
g(-1) at a current density of 10 mA cm(-2) over 10000 cycles. The high
electrochemical performance can be attributed to the synergistic effect of CNTs
and the unique microstructure of CuS. The CNTs serve as not only a conductive
agent to accelerate the transfer of electrons in the composites, but also as a
buffer matrix to restrain the volume change and stabilize the electrode structure
during the charge/discharge process. The porous structure of CuS also helps to
stabilize the electrode structure and facilitates the transport for electrons.
PMID- 26568519
TI - Spindle assembly checkpoint inactivation fails to suppress neuroblast tumour
formation in aurA mutant Drosophila.
AB - Tissue homeostasis requires accurate control of cell proliferation,
differentiation and chromosome segregation. Drosophila sas-4 and aurA mutants
present brain tumours with extra neuroblasts (NBs), defective mitotic spindle
assembly and delayed mitosis due to activation of the spindle assembly checkpoint
(SAC). Here we inactivate the SAC in aurA and sas-4 mutants to determine whether
the generation of aneuploidy compromises NB proliferation. Inactivation of the
SAC in the sas-4 mutant impairs NB proliferation and disrupts euploidy. By
contrast, disrupting the SAC in the aurA mutant does not prevent NB
amplification, tumour formation or chromosome segregation. The monitoring of Mad2
and cyclin B dynamics in live aurA NBs reveals that SAC satisfaction is not
coupled to cyclin B degradation. Thus, the NBs of aurA mutants present delayed
mitosis, with accurate chromosome segregation occurring in a SAC-independent
manner. We report here the existence of an Aurora A-dependent mechanism promoting
efficient, timed cyclin B degradation.
PMID- 26568520
TI - Compression of X-ray Free Electron Laser Pulses to Attosecond Duration.
AB - State of the art X-ray Free Electron Laser facilities currently provide the
brightest X-ray pulses available, typically with mJ energy and several hundred
femtosecond duration. Here we present one- and two-dimensional Particle-in-Cell
simulations, utilising the process of stimulated Raman amplification, showing
that these pulses are compressed to a temporally coherent, sub-femtosecond pulse
at 8% efficiency. Pulses of this type may pave the way for routine time
resolution of electrons in nm size potentials. Furthermore, evidence is presented
that significant Landau damping and wave-breaking may be beneficial in distorting
the rear of the interaction and further reducing the final pulse duration.
PMID- 26568521
TI - Attenuated Total Reflectance Fourier Transform Infrared Spectroscopy: An
analytical technique to understand therapeutic responses at the molecular level.
AB - Rapid monitoring of the response to treatment in cancer patients is essential to
predict the outcome of the therapeutic regimen early in the course of the
treatment. The conventional methods are laborious, time-consuming, subjective and
lack the ability to study different biomolecules and their interactions,
simultaneously. Since; mechanisms of cancer and its response to therapy is
dependent on molecular interactions and not on single biomolecules, an assay
capable of studying molecular interactions as a whole, is preferred. Fourier
Transform Infrared (FTIR) spectroscopy has become a popular technique in the
field of cancer therapy with an ability to elucidate molecular interactions. The
aim of this study, was to explore the utility of the FTIR technique along with
multivariate analysis to understand whether the method has the resolution to
identify the differences in the mechanism of therapeutic response. Towards
achieving the aim, we utilized the mouse xenograft model of retinoblastoma and
nanoparticle mediated targeted therapy. The results indicate that the mechanism
underlying the response differed between the treated and untreated group which
can be elucidated by unique spectral signatures generated by each group. The
study establishes the efficiency of non-invasive, label-free and rapid FTIR
method in assessing the interactions of nanoparticles with cellular
macromolecules towards monitoring the response to cancer therapeutics.
PMID- 26568522
TI - Vegetarian and Omnivorous Nutrition - Comparing Physical Performance.
AB - Humans consuming vegetarian-based diets are observed to have reduced relative
risk for many chronic diseases. Similarly, regular physical activity has also
been shown to assist in preventing, and reducing the severity of these
conditions. Many people, including athletes, acknowledge these findings and are
adopting a vegetarian-based diet to improve their health status. Furthermore,
athletes are incorporating this approach with the specific aim of optimizing
physical performance. To examine the evidence for the relationship between
consuming a predominately vegetarian-based diet and improved physical
performance, a systematic literature review was performed using the SCOPUS
database. No date parameters were set. The keywords vegetarian OR vegan AND sport
OR athlete OR training OR performance OR endurance were used to identify relevant
literature. Included studies (i) directly compared a vegetarian-based diet to an
omnivorous/mixed diet, (ii) directly assessed physical performance, not
biomarkers of physical performance, and (iii) did not use supplementation
emulating a vegetarian diet. Reference lists were hand searched for additional
studies. Seven randomized controlled trials and one cross-sectional study met the
inclusion criteria. No distinguished differences between vegetarian-based diets
and omnivorous mixed diets were identified when physical performance was
compared. Consuming a predominately vegetarian-based diet did not improve nor
hinder performance in athletes. However, with only 8 studies identified, with
substantial variability among the studies' experimental designs, aims and
outcomes, further research is warranted.
PMID- 26568523
TI - Understanding Overuse of Computed Tomography for Minor Head Injury in the
Emergency Department: A Triangulated Qualitative Study.
AB - BACKGROUND: Overuse of computed tomography (CT) for minor head injury continues
despite developed and rigorously validated clinical decision rules like the
Canadian CT Head Rule (CCHR). Adherence to this sensitive and specific rule could
decrease the number of CT scans performed in minor head injury by 35%. But in
practice, the CCHR has failed to reduce testing, despite its accurate
performance. OBJECTIVES: The objective was to identify nonclinical, human factors
that promote or inhibit the appropriate use of CT in patients presenting to the
emergency department (ED) with minor head injury. METHODS: This was a qualitative
study in three phases, each with interview guides developed by a
multidisciplinary team. Subjects were recruited from patients treated and
released with minor head injuries and providers in an urban academic ED and a
satellite community ED. Focus groups of patients (four groups, 22 subjects total)
and providers (three groups, 22 subjects total) were conducted until thematic
saturation was reached. The findings from the focus groups were triangulated with
a cognitive task analysis, including direct observation in the ED (>150 hours),
and individual semistructured interviews using the critical decision method with
four senior physician subject matter experts. These experts are recognized by
their peers for their skill in safely minimizing testing while maintaining
patient safety and engagement. Focus groups and interviews were audio recorded
and notes were taken by two independent note takers. Notes were entered into
ATLAS.ti and analyzed using the constant comparative method of grounded theory,
an iterative coding process to determine themes. Data were double-coded and
examined for discrepancies to establish consensus. RESULTS: Five core domains
emerged from the analysis: establishing trust, anxiety (patient and provider),
constraints related to ED practice, the influence of others, and patient
expectations. Key themes within these domains included patient engagement,
provider confidence and experience, ability to identify and manage patient
anxiety, time constraints, concussion knowledge gap, influence of health care
providers, and patient expectations to get a CT. CONCLUSIONS: Despite high
quality evidence informing use of CT in minor head injury, multiple factors
influence the decision to obtain CT in practice. Identifying and disseminating
approaches and designing systems that help clinicians establish trust and manage
uncertainty within the ED context could optimize CT use in minor head injury.
PMID- 26568524
TI - A Ratiometric Fluorescent Probe Based on a Through-Bond Energy Transfer (TBET)
System for Imaging HOCl in Living Cells.
AB - A simple ratiometric probe (Naph-Rh) has been designed and synthesized based on a
through-bond energy transfer (TBET) system for sensing HOCl. In this probe,
rhodamine thiohydrazide and naphthalene formyl were connected by simple synthesis
methods to construct a structure of monothio-bishydrazide. Free probe Naph-Rh
showed only the emission of naphthalene. When probe Naph-Rh reacted with HOCl,
monothio-bishydrazide could be converted into 1,2,4-oxadiazole, which not only
ensured that the donor and the acceptor were connected with electronically
conjugated bonds, but also resulted in the spiro-ring opening and the emission of
rhodamine. Therefore, a typical TBET process took place. The probe possessed high
energy transfer efficiency and large pseudo-Stokes shifts. As the first TBET
probe for HOCl, Naph-Rh showed excellent selectivity and sensitivity toward HOCl
over other reactive oxygen species (ROS)/reactive nitrogen species (RNS), and
could respond fast to a low concentration of HOCl in the real sample. In
addition, the probe was suitable for imaging HOCl in living cells due to its real
time response, excellent resolution, and reduced cytotoxicity.
PMID- 26568525
TI - The spacer arm length in cell-penetrating peptides influences chitosan/siRNA
nanoparticle delivery for pulmonary inflammation treatment.
AB - Although chitosan and its derivatives have been frequently utilized as delivery
vehicles for small interfering RNA (siRNA), it is challenging to improve the gene
silencing efficiency of chitosan-based nanoparticles. In this study, we
hypothesized that controlling the spacer arm length between a cell-penetrating
peptide (CPP) and a nanoparticle could be critical to enhancing the cellular
uptake as well as the gene silencing efficiency of conventional chitosan/siRNA
nanoparticles. A peptide consisting of nine arginine units (R9) was used as a
CPP, and the spacer arm length was controlled by varying the number of glycine
units between the peptide (R9Gn) and the nanoparticle (n = 0, 4, and 10). Various
physicochemical characteristics of R9Gn-chitosan/siRNA nanoparticles were
investigated in vitro. Increasing the spacing arm length did not significantly
affect the complex formation between R9Gn-chitosan and siRNA. However, R9G10
chitosan was much more effective in delivering genes both in vitro and in vivo
compared with non-modified chitosan (without the peptide) and R9-chitosan
(without the spacer arm). Chitosan derivatives modified with oligoarginine
containing a spacer arm can be considered as potential delivery vehicles for
various genes.
PMID- 26568526
TI - Type B Niemann-Pick disease.
PMID- 26568527
TI - The relationship between global and specific components of quality of life,
assessed with the EORTC QLQ-C30 in a sample of 2019 cancer patients.
AB - Quality of life (QoL) is impaired in many cancer patients. The aim of this study
was to test whether detriments in QoL were less pronounced in global assessments
of QoL compared to more specific components. A total of 2059 cancer patients with
mixed diagnoses were examined 6 months after discharge from a cancer
rehabilitation clinic. QoL was measured with the EORTC QLQ-C30, which contains a
global QoL scale, and 14 functioning and symptom scales and symptom items. A
sample of the general population (n = 4476) served as controls. Regression
analyses were performed to calculate expected mean scores for the patients, based
on their age and gender distribution. Global QoL in the cancer sample (M = 69.3)
was nearly equal to that of the general population, while the mean scores of all
functioning scales, symptom scales and symptom items showed markedly worse QoL.
This general relationship between global and specific QoL was found for seven of
eight cancer types. The results indicate that global QoL is not the sum of its
parts. This should be considered when treatment effects on QoL are examined. One
alternative is to use higher order summarising functioning and symptom scales.
PMID- 26568529
TI - Variant in the RFWD3 gene associated with PATN1, a modifier of leopard complex
spotting.
AB - Leopard complex spotting (LP), the result of an incompletely dominant mutation in
TRPM1, produces a collection of unique depigmentation patterns in the horse.
Although the LP mutation allows for expression of the various patterns, other
loci are responsible for modification of the extent of white. Pedigree analysis
of families segregating for high levels of patterning indicated a single dominant
gene, named Pattern-1 (PATN1), as a major modifier of LP. Linkage analysis in two
half-sibling families segregating for PATN1 identified a 15-Mb region on ECA3p
that warranted further investigation. Whole transcriptome sequencing of skin
samples from horses with and without the PATN1 allele was performed to identify
genic SNPs for fine mapping. Two Sequenom assays were utilized to genotype 192
individuals from five LP-carrying breeds. The initial panel highlighted a 1.6-Mb
region without a clear candidate gene. In the second round of fine mapping, SNP
ECA3:23 658 447T>G in the 3'-UTR of RING finger and WD repeat domain 3 (RFWD3)
reached a significance level of P = 1.063 * 10(-39). Sequencing of RFWD3 did not
identify any coding polymorphisms specific to PATN1 horses. Genotyping of the
RFWD3 3'-UTR SNP in 54 additional LP animals and 327 horses from nine breeds not
segregating for LP further supported the association (P = 4.17 * 10(-115)). This
variant is a strong candidate for PATN1 and may be particularly useful for LP
breeders to select for high levels of white patterning.
PMID- 26568528
TI - Pain and pain tolerance in whiplash-associated disorders: A population-based
study.
AB - BACKGROUND: Pain is a cardinal symptom in individuals with whiplash-associated
disorders (WAD). We aimed to compare pain characteristics between individuals
with WAD and individuals reporting chronic pain from other causes, and to
determine whether potential differences were accounted for by experimental pain
tolerance. METHODS: Data from the 6th Tromso Study (2007-2008, n = 12,981) were
analysed. The number of painful locations was compared between individuals with
WAD and individuals reporting chronic pain from other causes using negative
binomial regression, pain frequency using multinomial logistic regression and
pain intensity using multiple linear regression. Differences in experimental pain
tolerance (cold pressor test) were tested using Cox regression; one model
compared individuals with WAD to those with chronic pain from other causes, one
compared the two groups with chronic pain to individuals without chronic pain.
Subsequently, regression models investigating clinical pain characteristics were
adjusted for pain tolerance. RESULTS: Of individuals with WAD, 96% also reported
other causes for pain. Individuals with WAD reported a higher number of painful
locations [median (inter-quartile range): 5 (3.5-7) vs. 3 (2-5), p < 0.001] and
higher pain intensity (crude mean difference = 0.78, p < 0.001) than individuals
with chronic pain from other causes. Pain tolerance did not differ between these
two groups. Compared to individuals without chronic pain, individuals with WAD
and individuals with chronic pain from other causes had reduced pain tolerance.
CONCLUSIONS: Individuals with WAD report more additional causes of pain, more
painful locations and higher pain intensity than individuals with chronic pain
from other causes. The increased pain reporting was not accounted for by pain
tolerance.
PMID- 26568530
TI - Accuracy of Imaging Modalities in Detection of Baffle Leaks in Patients Following
Atrial Switch Operation.
AB - AIMS: Patients with dextro-transposition of the great arteries (d-TGA) status
post atrial switch operation are vulnerable to complications such as baffle
leaks. The best noninvasive imaging modality to detect baffle leaks is unknown.
The purpose of this study was to determine the sensitivity and specificity of
different noninvasive imaging modalities in the detection of baffle leaks in this
population. METHODS AND RESULTS: A single center retrospective chart review of
atrial switch patients was performed. Sensitivity, specificity, negative
predictive value, and positive predictive value for detecting leaks were
calculated for transthoracic echocardiogram (TTE) with and without agitated
saline, transesophageal echocardiogram (TEE) with and without agitated saline,
and cardiac magnetic resonance imaging (cMRI). Studies were included if performed
within 1 year of catheterization. Angiography via catheterization was used as the
gold standard for the detection of baffle leaks. Fifty-eight atrial switch
patients (54 Mustards: four Sennings) from a single pediatric center, undergoing
76 catheterizations, were analyzed. Thirty-nine catheterizations documented a
baffle leak. Overall combination of sensitivity and specificity was better in
agitated saline studies (TTE: sensitivity 71.4%, specificity 100%; TEE:
sensitivity 100%, specificity 92.3%) versus nonagitated studies (TTE: sensitivity
50.0%, specificity 100%; TEE: sensitivity 83.3%, specificity 77.8%; or cMRI:
sensitivity 66.7%, specificity 100%). CONCLUSION: TTE or TEE with agitated saline
is superior to cardiac magnetic resonance imaging or nonagitated saline TTE and
TEE in detecting baffle leaks in atrial switch patients. Agitated saline studies
should be performed in this population when looking for baffle leaks.
PMID- 26568531
TI - Metagenomic analysis of anammox communities in three different microbial
aggregates.
AB - There is great potential to understand the functional diversity of microorganisms
that are involved in waste water treatment through metagenomic analyses. This
study presents the first metagenomic comparison of taxonomic and functional
profiles of the microbial communities occurring in different aggregates from
anaerobic ammonium-oxidizing (anammox) bioreactors. The anammox bacterial
communities in both biofilm and granule sludge samples showed relatively high
abundance and diversity compared with floccular sludge. Four of the five known
genera of anammox bacteria were detected in the three cultures except Candidatus
Jettenia, which was absent in the granules. Candidatus Kuenenia comprised the
major population of anammox bacteria in these three sludges, independent of their
growth morphologies. The genome assembled for the Candidatus Kuenenia in the
granule was very similar to the published reference genome of Candidatus K.
stuttgartiensis. Genes involved in the metabolism of the anammox process were
highly detected in the biofilm and granule sludges. In particular, the abundance
of hydrazine synthase gene (hzs) in the biofilm was around 486 times more
pronounced than that in the granules. The knowledge gained in this study
highlights an important role of sludge aggregate in affecting community structure
and metabolic potential of anammox systems.
PMID- 26568533
TI - Childhood Cancer Survivors: Cured but With Long-Term Health Risks.
PMID- 26568532
TI - Obesity and Metabolic Disease After Childhood Cancer.
AB - As care for the childhood cancer patient has improved significantly, there is an
increasing incidence of treatment-related late effects. Obesity and type 2
diabetes mellitus are common and significant metabolic conditions in some
populations of adult survivors of childhood cancer. Results from the Childhood
Cancer Survivor Study and other large cohorts of childhood cancer survivors
reveal that long-term survivors of acute lymphoblastic leukemia and those who
received total body irradiation or abdominal radiotherapy are at highest risk.
The potential mechanisms for the observed increase in risk, including alterations
in leptin and adiponectin, pancreatic insufficiency, poor dietary habits,
sedentary lifestyle, and perhaps changes in the composition of the gut
microbiota, are reviewed. Discussion of exercise and diet intervention studies
shows that further research about the barriers to a healthy lifestyle and other
interventions in childhood cancer survivors is warranted.
PMID- 26568534
TI - ACR Appropriateness Criteria(r) Adjuvant Therapy in Vulvar Cancer.
AB - These American College of Radiology consensus guidelines were formed from an
expert panel on the appropriate use of adjuvant therapy in vulvar cancer after
primary treatment with surgery. The American College of Radiology Appropriateness
Criteria(r) are evidence-based guidelines for specific clinical conditions that
are reviewed every 3 years by a multidisciplinary expert panel. The guideline
development and review include an extensive analysis of current medical
literature from peer-reviewed journals and the application of a well-established
consensus methodology (modified Delphi) to rate the appropriateness of imaging
and treatment procedures by the panel. In those instances where evidence is
lacking or not definitive, expert opinion may be used to recommend imaging or
treatment. The panel reviewed the pertinent literature in vulvar cancer and voted
on three variants to establish appropriate use of imaging, adjuvant radiation,
including dose, fields, and technique, as well as adjuvant chemotherapy. This
report will aid clinicians in selecting appropriate patients for adjuvant
treatment and will provide guidelines for the optimal delivery of adjuvant
radiation therapy and chemotherapy.
PMID- 26568535
TI - Somatic and Neuroendocrine Changes in Response to Chronic Corticosterone Exposure
During Adolescence in Male and Female Rats.
AB - Prolonged stress and repeated activation of the hypothalamic-pituitary-adrenal
axis can result in many sex-dependent behavioural and metabolic changes in rats,
including alterations in feeding behaviour and reduced body weight. In adults,
these effects of stress can be mimicked by corticosterone, a major output of the
hypothalamic-pituitary-adrenal axis, and recapitulate the stress-induced sex
difference, such that corticosterone-treated males show greater weight loss than
females. Similar to adults, chronic stress during adolescence leads to reduced
weight gain, particularly in males. However, it is currently unknown whether
corticosterone mediates this somatic change and whether additional measures of
neuroendocrine function are affected by chronic corticosterone exposure during
adolescence in a sex-dependent manner. Therefore, we examined the effects of non
invasively administered corticosterone (150 or 300 MUg/ml) in the drinking water
of male and female rats throughout adolescent development (30-58 days of age). We
found that adolescent animals exposed to chronic corticosterone gain
significantly less weight than controls, which may be partly mediated by the
effects of corticosterone on food consumption, fluid intake and gonadal hormone
function. Our data further show that, despite similar circulating corticosterone
levels, males demonstrate a greater sensitivity to these changes than females. We
also found that Npy1 and Npy5 receptor mRNA expression, genes implicated in
appetite regulation, was significantly reduced in the ventral medial hypothalamus
of corticosterone-treated males and females compared to controls. Finally,
parameters of gonadal function, such as plasma sex steroid concentrations and
weight of reproductive tissues, were reduced by adolescent corticosterone
treatment, although only in males. The data obtained in the present study
indicate that chronic corticosterone exposure throughout adolescent development
results in significant and sex-dependent somatic and neuroendocrine changes, and
the results also provide an experimental framework for further investigating the
impact of corticosterone on metabolic and neuroendocrine function during
adolescence.
PMID- 26568536
TI - Covalently Attached Liquids: Instant Omniphobic Surfaces with Unprecedented
Repellency.
AB - Recent strategies to prepare "omniphobic" surfaces have demonstrated that
minimizing contact angle hysteresis (CAH) is the key criterion for effectiveness.
CAH is affected by chemistry and topography defects at the molecular and higher
levels, thus most surfaces exhibit significant CAH. Preparative methods for
stable coatings on smooth substrates with negligible CAH (<2 degrees ) for a
broad range of liquids have not been reported. In this work, we describe a simple
and rapid procedure to prepare omniphobic surfaces that are stable under pressure
and durable at elevated temperatures. Consistent with theory, they exhibit
sliding angles that decrease with liquid surface tension. Slippery omniphobic
covalently attached liquid (SOCAL) surfaces are obtained through acid-catalyzed
graft polycondensation of dimethyldimethoxysilane. The smooth, stable, and
temperature-resistant coatings show extremely low CAH (<=1 degrees ) and low
sliding angles for liquids that span surface tensions from 78.2 to 18.4 mN m(-1).
PMID- 26568537
TI - Double checking: a second look.
AB - RATIONALE, AIMS AND OBJECTIVES: Double checking is a standard practice in many
areas of health care, notwithstanding the lack of evidence supporting its
efficacy. We ask in this study: 'How do front line practitioners conceptualize
double checking? What are the weaknesses of double checking? What alternate views
of double checking could render it a more robust process?' METHOD: This is part
of a larger qualitative study based on 85 semi-structured interviews of health
care practitioners in general internal medicine and obstetrics and neonatology;
thematic analysis of the transcribed interviews was undertaken. Inductive and
deductive themes are reported. RESULTS: Weaknesses in the double checking process
include inconsistent conceptualization of double checking, double (or more)
checking as a costly and time-consuming procedure, double checking trusted as an
accepted and stand-alone process, and double checking as preventing reporting of
near misses. Alternate views of double checking that would render it a more
robust process include recognizing that double checking requires training and a
dedicated environment, Introducing automated double checking, and expanding
double checking beyond error detection. These results are linked with the
concepts of collective efficiency thoroughness trade off (ETTO), an in-family
approach, and resilience. CONCLUSION(S): Double checking deserves more
questioning, as there are limitations to the process. Practitioners could view
double checking through alternate lenses, and thus help strengthen this
ubiquitous practice that is rarely challenged.
PMID- 26568538
TI - Functional kinematics of the wrist.
AB - The purpose of this article is to review past and present concepts concerning
functional kinematics of the healthy and injured wrist. To provide a context for
students of the wrist, we describe the progression of techniques for measuring
carpal kinematics over the past century and discuss how this has influenced
today's understanding of functional kinematics. Next, we provide an overview of
recent developments and highlight the clinical relevance of these findings. We
use these findings and recent evidence that supports the importance of coupled
motion in early rehabilitation of radiocarpal injuries to develop the argument
that coupled motion during functional activities is a clinically relevant
outcome; therefore, clinicians should develop a framework for its dynamic
assessment. This should enable a tailored and individualized approach to the
treatment of carpal injuries.
PMID- 26568539
TI - A novel nickel-titanium memory alloy arthrodesis concentrator for the treatment
of stage IIIb aseptic lunate necrosis (Kienbock's disease).
PMID- 26568540
TI - The thickness of the A2 pulley and the flexor tendon are related to the severity
of trigger finger: results of a prospective study using high-resolution
ultrasonography.
AB - We aimed to investigate the relationship between the pulley-tendon complexes and
the severity of trigger finger. The thickness of the A1 and A2 pulleys, and the
cross-sectional area of the flexor tendon under the pulleys, were prospectively
assessed using 17 MHz high-resolution ultrasonography, in 20 patients with
trigger finger (31 fingers). A control group comprised 15 asymptomatic fingers.
The thickness of the A1 pulley and the proximal part of the A2 pulley, and the
cross-sectional area of the flexor tendon under the A2 pulley, were significantly
increased in the patient group. Clinical grade was significantly correlated with
the thickness of the A1 pulley, the thickness of the proximal part of the A2
pulley, and the cross-sectional area of the flexor tendon under the proximal part
of the A2 pulley. This study confirmed that the thickness of the A2 pulley and
flexor tendon under the A2 pulley seems to be related to the severity of trigger
finger. LEVEL OF EVIDENCES: Level III.
PMID- 26568541
TI - The volatile 6-pentyl-2H-pyran-2-one from Trichoderma atroviride regulates
Arabidopsis thaliana root morphogenesis via auxin signaling and ETHYLENE
INSENSITIVE 2 functioning.
AB - Plants interact with root microbes via chemical signaling, which modulates
competence or symbiosis. Although several volatile organic compounds (VOCs) from
fungi may affect plant growth and development, the signal transduction pathways
mediating VOC sensing are not fully understood. 6-pentyl-2H-pyran-2-one (6-PP) is
a major VOC biosynthesized by Trichoderma spp. which is probably involved in
plant-fungus cross-kingdom signaling. Using microscopy and confocal imaging, the
effects of 6-PP on root morphogenesis were found to be correlated with DR5:GFP,
DR5:VENUS, H2B::GFP, PIN1::PIN1::GFP, PIN2::PIN2::GFP, PIN3::PIN3::GFP and
PIN7::PIN7::GFP gene expression. A genetic screen for primary root growth
resistance to 6-PP in wild-type seedlings and auxin- and ethylene-related mutants
allowed identification of genes controlling root architectural responses to this
metabolite. Trichoderma atroviride produced 6-PP, which promoted plant growth and
regulated root architecture, inhibiting primary root growth and inducing lateral
root formation. 6-PP modulated expression of PIN auxin-transport proteins in a
specific and dose-dependent manner in primary roots. TIR1, AFB2 and AFB3 auxin
receptors and ARF7 and ARF19 transcription factors influenced the lateral root
response to 6-PP, whereas EIN2 modulated 6-PP sensing in primary roots. These
results indicate that root responses to 6-PP involve components of auxin
transport and signaling and the ethylene-response modulator EIN2.
PMID- 26568542
TI - Pathological-Condition-Driven Construction of Supramolecular Nanoassemblies for
Bacterial Infection Detection.
AB - A pyropheophorbide-alpha-based building block (Ppa-PLGVRG-Van) can be used to
construct self-aggregated superstructures in vivo for highly specific and
sensitive diagnosis of bacterial infection by noninvasive photoacoustic
tomography. This in vivo supramolecular chemistry approach opens a new avenue for
efficient, rapid, and early-stage disease diagnosis with high sensitivity and
specificity.
PMID- 26568544
TI - Novel Targeted Agents in Head and Neck Squamous Cell Carcinoma.
AB - Based on currently available genomic data, most head and neck squamous cell
carcinoma have few targetable aberrations and immediate clinical translation is
challenging. However, potential therapeutic agents listed in this article need to
be thoroughly evaluated because there are compelling scientific rationales
supporting their development. Concerted effort is required to identify better
predictive biomarkers of clinical benefit and improve the therapeutic index.
Clinicians need to better understand resistance mechanisms, generate novel
hypotheses for appropriate combination regimens and dosing schedules, develop
more accurate model systems, and conduct innovative clinical trials.
PMID- 26568546
TI - Immunotherapy for Head and Neck Squamous Cell Carcinoma.
AB - Although head and neck squamous cell carcinoma has traditionally been considered
to be a very immunosuppressive, or at least nonimmunogenic, tumor type, recent
results from clinical studies of immune checkpoint blockade strategies have led
to resurgence in the enthusiasm for immunotherapeutic approaches. Additional
strategies for immunotherapy that are under active investigation include
enhancement of cetuximab-mediated antibody-dependent cell-mediated cytotoxicity,
tumor vaccines, and engineered T cells for adoptive therapy. All of these studies
have early-phase clinical trials under way, and the next several years will be
exciting as the results of these studies are reported.
PMID- 26568545
TI - Epidermal Growth Factor Receptor Inhibition in Squamous Cell Carcinoma of the
Head and Neck.
AB - Overexpression of epidermal growth factor receptor (EGFR) is linked with poor
prognosis in squamous cell carcinoma of the head and neck (SCCHN). Cetuximab
binds specifically to EGFR with high affinity; combined with radiotherapy, it
improves locoregional control and survival over radiotherapy alone. Adding
cetuximab to platinum-based chemotherapy and 5-fluorouracil improves overall
survival in incurable disease. Only a minority of patients benefit from anti-EGFR
monoclonal antibodies. A better understanding of the molecular mechanisms
involved in treatment resistance and identification of predictive biomarkers are
crucial. Potentially more potent anti-EGFR compounds are currently under
investigation with the aim of improving treatment efficacy.
PMID- 26568543
TI - Molecular Aspects of Head and Neck Cancer Therapy.
AB - In spite of a rapidly expanding understanding of head and neck tumor biology and
optimization of radiation, chemotherapy, and surgical treatment modalities, head
and neck squamous cell carcinoma (HNSCC) remains a major cause of cancer-related
morbidity and mortality. Although our biologic understanding of these tumors had
largely been limited to pathways driving proliferation, survival, and
differentiation, the identification of HPV as a major driver of HNSCC and genomic
sequencing analyses has dramatically influenced our understanding of tumor
biology and approach to therapy. Here, we summarize molecular aspects of HNSCC
biology and identify promising areas for potential diagnostic and therapeutic
agents.
PMID- 26568547
TI - Anticipation of the Impact of Human Papillomavirus on Clinical Decision Making
for the Head and Neck Cancer Patient.
AB - Human papillomavirus (HPV) is the cause of a distinct subset of oropharyngeal
cancer rising in incidence in the United States and other developed countries.
This increased incidence, combined with the strong effect of tumor HPV status on
survival, has had a profound effect on the head and neck cancer discipline. The
multidisciplinary field of head and neck cancer is in the midst of re-evaluating
evidence-based algorithms for clinical decision making, developed from clinical
trials conducted in an era when HPV-negative cancer predominated. This article
reviews relationships between tumor HPV status and gender, cancer incidence
trends, overall survival, treatment response, racial disparities, tumor staging,
risk stratification, survival post disease progression, and clinical trial
design.
PMID- 26568548
TI - Sequential and Concurrent Chemoradiation: State of the Art.
AB - Most patients diagnosed with head and neck cancer have locally advanced disease.
Sequential and concurrent chemoradiation are standard, nonsurgical, curative
intent treatment options. Controversy remains regarding the superiority of one
approach to another. Definitive management strategies are evolving with
increasing efforts to pursue deintensification of therapy for low-risk patients,
and to pursue therapeutic intensification for high-risk patients. Both sequential
therapy and concurrent chemoradiation play important roles in shaping treatment
paradigms because both approaches may be used to investigate deintensification or
intensification strategies. This article examines the latest evidence and state
of-the-art approaches, highlighting ongoing controversies and future directions.
PMID- 26568549
TI - Transoral Endoscopic Head and Neck Surgery: The Contemporary Treatment of Head
and Neck Cancer.
AB - Traditional open surgical approaches are indicated for treatment of select tumor
subsites of head and neck cancer, but can also result in major cosmetic and
functional morbidity. Transoral surgical approaches have been used for head and
neck cancer since the 1960s, with their application continuing to evolve with the
changing landscape of this disease and recent innovations in surgical
instrumentation. The potential to further reduce treatment morbidity with
transoral surgery, while optimizing oncologic outcomes, continues to be
investigated. This review examines current literature evaluating oncologic and
quality-of-life outcomes achieved through transoral head and neck surgery.
PMID- 26568550
TI - Radiation Oncology--New Approaches in Squamous Cell Cancer of the Head and Neck.
AB - The many advances in radiotherapy for squamous cell cancer of the head and neck
described in this article will have significant effects on the ultimate outcomes
of patients who receive this treatment. The technological and clinical advances
should allow one to maintain or improve disease control, while moderating the
toxicity associated with head and neck radiation therapy.
PMID- 26568551
TI - Chemotherapy for Nasopharyngeal Carcinoma - Current Recommendation and
Controversies.
AB - Radiotherapy is the primary treatment of nasopharyngeal carcinoma and combination
chemotherapy can enhance treatment outcomes for locoregionally advanced disease.
The Intergroup 0099 study using concurrent-adjuvant cisplatin-based
chemoradiotherapy was the first trial to demonstrate a survival benefit. Since
then, there have been attempts to further improve the treatment results by
altering the chemotherapy sequence, using different chemotherapeutic agents or
schedules, and extending the use of chemotherapy to early-stage disease. This
review provides an overview of the data and highlights the current controversies
behind international guidelines.
PMID- 26568552
TI - Thyroid Gland Malignancies.
AB - Surgery remains the most important effective treatment for differentiated (DTC)
and medullary thyroid cancer (MTC). Radioactive iodine (RAI) is another important
treatment but is reserved only for DTC whose disease captures RAI. Once patients
fail primary therapy, observation is often recommended, as most DTC and MTC
patients will have indolent disease. However, in a fraction of patients, systemic
therapy must be considered. In recent decades 4 systemic therapies have been
approved by the United States FDA for DTC and MTC. Sorafenib and lenvatinib are
approved for DTC and vandetanib and cabozantinib for MTC. Anaplastic thyroid
cancer (ATC) is a rare and rapidly progressive form of thyroid cancer with a very
high mortality rate. Treatment of ATC remains a challenge. Most patients are not
surgical candidates at diagnosis due to advanced disease. External beam radiation
and radiosensitizing radiation are the mainstay of therapy at this time. However,
exciting new drugs and approaches to therapy are on the horizon but it will take
a concerted, worldwide effort to complete clinical trials in order to find
effective therapies that will improve the overall survival for this devastating
disease.
PMID- 26568553
TI - Salivary Gland Malignancies.
AB - Salivary gland malignant tumors represent a diverse group of neoplasms. Their low
incidence makes research studies challenging, with most therapeutic
recommendations based on case reviews, single-arm trials, or small randomized
trials. The standard of care for localized disease is surgical resection.
Radiotherapy is the preferred local therapy when surgery is not possible or if
there is significant morbidity. When symptomatic metastatic disease develops,
systemic therapy is considered. Recent trial accrual success with a cooperative
group, treatments based on defined molecular targets, and the development of
immunotherapies all hold promise in improving the care of patients with these
tumors.
PMID- 26568554
TI - Supportive Care and Survivorship Strategies in Management of Squamous Cell
Carcinoma of the Head and Neck.
AB - Supportive care and survivorship strategies in the management of head and neck
squamous cell carcinoma (HNSCC) revolve around continued collaborative efforts
aimed at early identification and intervention for locoregional disease
recurrence, second primary malignancy, management of treatment-related side
effects, and provision for psychosocial support. Development of evidence-based
guidelines and optimization of these strategies is increasingly important in the
setting of improved survival of patients with HNSCC because of a variety of
diagnostic and therapeutic advances and evolving demographics of HNSCC patient
population, specifically, p16-associated oropharyngeal squamous cell carcinoma.
PMID- 26568555
TI - New Diseases and New Treatments--Head and Neck Cancer Updates.
PMID- 26568556
TI - Development of key performance indicators to evaluate centralized intake for
patients with osteoarthritis and rheumatoid arthritis.
AB - INTRODUCTION: Centralized intake is integral to healthcare systems to support
timely access to appropriate health services. The aim of this study was to
develop key performance indicators (KPIs) to evaluate centralized intake systems
for patients with osteoarthritis (OA) and rheumatoid arthritis (RA). METHODS:
Phase 1 involved stakeholder meetings including healthcare providers, managers,
researchers and patients to obtain input on candidate KPIs, aligned along six
quality dimensions: appropriateness, accessibility, acceptability, efficiency,
effectiveness, and safety. Phase 2 involved literature reviews to ensure KPIs
were based on best practices and harmonized with existing measures. Phase 3
involved a three-round, online modified Delphi panel to finalize the KPIs. The
panel consisted of two rounds of rating and a round of online and in-person
discussions. KPIs rated as valid and important (>=7 on a 9-point Likert scale)
were included in the final set. RESULTS: Twenty-five KPIs identified and
substantiated during Phases 1 and 2 were submitted to 27 panellists including
healthcare providers, managers, researchers, and patients in Phase 3. After the
in-person meeting, three KPIs were removed and six were suggested. The final set
includes 9 OA KPIs, 10 RA KPIs and 9 relating to centralized intake processes for
both conditions. All 28 KPIs were rated as valid and important. CONCLUSIONS:
Arthritis stakeholders have proposed 28 KPIs that should be used in quality
improvement efforts when evaluating centralized intake for OA and RA. The KPIs
measure five of the six dimensions of quality and are relevant to patients,
practitioners and health systems.
PMID- 26568557
TI - Evidence of no protection for a recurrent case of pathogen specific clinical
mastitis from a previous case.
AB - The objective of this study was to determine whether the occurrence of a previous
case of pathogen-specific clinical mastitis (CM) protects Holstein dairy cows
against a recurrent case. Pathogens studied were Escherichia coli, Staphylococcus
aureus, Staphylococcus spp., Streptococcus spp., Klebsiella spp., and Trueperella
pyogenes. A total of 40 864 lactations (17,265 primiparous and 23,599
multiparous) from 19 835 cows from 5 large, high milk producing New York State
dairy herds were analysed. We estimated the effects of parity, calving diseases,
milk yield, current season and number of CM cases in the previous lactation on
the risk of a first CM case using generalised linear mixed models with a log link
and Poisson error distribution. The aforementioned risk factors and the
occurrence of previous cases of pathogen-specific CM within the current lactation
were evaluated as risks for second and third cases of pathogen-specific CM. Cows
with more CM cases in the previous lactation were at greater risk of pathogen
specific CM in the current lactation. Multiparous cows were at greater risk of a
second CM case if they had suffered from a first CM case that was caused by the
same pathogen as the second case. In contrast, a second CM case generally put
cows at greater risk of a third case, irrespective of whether the third case was
caused by the same or a different pathogen. Our results showed that a previous
case of pathogen specific CM does not generally protect against a recurrent case.
PMID- 26568558
TI - Life events, anxiety, social support, personality, and alexithymia in female
patients with chronic pain: A path analysis.
AB - INTRODUCTION: This study sought to identify a model that explains the
relationship between psychosocial factors and chronic pain in female patients,
and to explore all of these constructs in a single study and provide a more
holistic examination of the overall psychosocial factors that female patients
with chronic pain encounter. METHODS: Female patients with chronic pain (n =
147), aged 20-65 (M = 34.9 years, SD = 11.25), from an outpatient pain clinic
completed a cross-sectional self-report questionnaire on anxiety, life events,
personality, social support, and alexithymia. Data were analyzed by means of path
analysis. RESULTS: The direct effect of anxiety on female patients with chronic
pain was greatest among all the paths. Personality and alexithymia led to chronic
pain in female patients only indirectly, mediated by life events. The personality
factors of neuroticism and extraversion were associated positively with social
support, which had an indirect effect on the influence of life events on chronic
pain. However, alexithymia was associated negatively with social support, which
had an indirect effect on the influence of life events on chronic pain.
DISCUSSION: Our findings provide evidence that life events are a mediator in the
relationship between personality, social support, alexithymia, and chronic pain
in female patients.
PMID- 26568559
TI - Factors associated with post-stroke depression and fatigue: lesion location and
coping styles.
AB - Post-stroke depression (PSD) and post-stroke fatigue (PSF) are frequent and
persistent problems among stroke survivors. Therefore, awareness of signs and
symptoms of PSD and PSF is important for their treatment and recovery from
stroke. Additionally, since sudden serious illness can result in disequilibrium,
early institution of a coping process is essential to restoring stability. The
brain damage of stroke leaves patients with unique physical and mental
dysfunctions for which coping maybe a key resource while rebuilding lives. We
evaluated 368 consecutive patients with acute ischemic stroke for post-stroke
emotional disorders at admission and 3 months later. PSD was evaluated by using
the Beck Depression Inventory, and PSF was scored with the Fatigue Severity
Scale. The Social Support Rating Scale and Medical Coping Modes Questionnaire
were also used as measurement tools. Locations of lesions were based on MRI.
Those scans revealed infarcts located in the basal ganglia, corona radiate and
internal capsule and constituted the independent factors associated with PSF 3
months after stroke occurrence. Conversely, PSD was not related to lesion
location. Acceptance-resignation related to PSD and PSF both at admission and 3
months after stroke. Avoidance was the independent factor most closely related to
PSD, whereas confrontation was the independent factor best related to PSF at 3
months after stroke onset.
PMID- 26568560
TI - Predictors of outcome in HSV encephalitis.
AB - This study aims to explore the clinical features, radiological findings,
management and the factors influencing prognosis in PCR-confirmed herpes simplex
virus encephalitis (HSE). This is a retrospective review of consecutive patients
diagnosed with HSE at Mayo Clinic, Rochester, MN, between January 1995 and
December 2013. Only HSE cases confirmed by PCR were included. Univariate and
multivariate analysis was used to identify factors associated with good (modified
Rankin Scale of 0-2) or poor outcome (mRS of 3-6) at hospital discharge and 1
year follow-up. We identified 45 patients with HSE. Median age was 66 (IQR 53.5
78) years. HSE was caused by HSV-1 in 33 cases and by HSV-2 in 9. Nearly half had
seizures upon admission or during hospitalization. The most common regions
involved on MRI were the temporal lobe in 35 (87.5%), insula in 28 (70.0%),
frontal lobe in 27 (67.5%) and thalamus in 11 (27.5%) patients. MRI pattern was
quite homogeneous with HSV-1 infection, but much more heterogeneous with HSV-2.
Good outcome at discharge and at 6-12 months was seen in 16 (35.6%) and 27
(65.9%) patients, respectively. On multivariate analyses, older age (p = 0.001),
coma (p = 0.008), restricted diffusion on MRI (p = 0.005) and acyclovir started
after the first day of admission (p = 0.050) were associated with poor outcome at
discharge. Older age, development of coma, presence of restricted diffusion on
brain MRI and delay in the administration of acyclovir portend poor outcome in
HSE. Conversely, presence of seizures, focal neurological deficits, EEG
abnormalities and location or extension of FLAIR/T2 abnormalities did not
influence functional outcome.
PMID- 26568561
TI - Deep brain stimulation of the internal pallidum in Huntington's disease patients:
clinical outcome and neuronal firing patterns.
AB - Deep brain stimulation (DBS) of the internal globus pallidus (GPi) could treat
chorea in Huntington's disease patients. The objectives of this study were to
evaluate the efficacy of GPi-DBS to reduce abnormal movements of three patients
with Huntington's disease and assess tolerability. Three non-demented patients
with severe pharmacoresistant chorea underwent bilateral GPi-DBS and were
followed for 30, 24, and 12 months, respectively. Primary outcome measure was the
change of the chorea and total motor scores of the Unified Huntington's Disease
Rating Scale between pre- and last postoperative assessments. Secondary outcome
measures were motor changes between ventral versus dorsal and between on- and off
GPi-DBS. GPi neuronal activities were analyzed and compared to those obtained in
patients with Parkinson's disease. No adverse effects occurred. Chorea decreased
in all patients (13, 67 and 29%) postoperatively. Total motor score decreased in
patient 2 (19.6%) and moderately increased in patients 1 and 3 (17.5 and 1.7%),
due to increased bradykinesia and dysarthria. Ventral was superior to dorsal GPi
DBS to control chorea. Total motor score increased dramatically off-stimulation
compared to ventral GPi-DBS (70, 63 and 19%). Cognitive and psychic functions
were overall unchanged. Lower mean rate and less frequent bursting activity were
found in Huntington's disease compared to Parkinson's disease patients. Ventral
GPi-DBS sustainably reduced chorea, but worsened bradykinesia and dysarthria.
Based on these results and previous published reports, we propose to select non
demented HD patients with severe chorea, and a short disease evolution as the
best candidates for GPi-DBS.
PMID- 26568563
TI - Does Sport-Drink Use During Exercise Promote an Acute Positive Energy Balance?
AB - Sports drinks have been implicated in contributing to obesity and chronic
diseases by providing surplus calories and excess sugars. Using existing
literature we compared energy intake from sports drinks consumed during exercise
with the exercise-induced calorie expenditure to determine whether sports drink
use might eliminate the energy deficit and jeopardize conditions for improved
metabolic fitness. We identified 11 published studies that compared sport drink
consumption to placebo during exercise with a primary focused on the effect of
sport drinks or total carbohydrate content on enhancing physical performance.
Energy expenditure (EE) was calculated using VO2, RER, and exercise duration for
the exercise protocol. Energy ingestion (EI) was determined using the
carbohydrate dosing regimen administered before and during the exercise protocol.
A two-tailed t test was used to test whether the energy balance (EI-EE) was
different from zero (alpha level = 0.05). Sport drink consumption during aerobic
exercise of sufficient duration (>= 60 min) did not abolish the energy deficit (p
< .001). Mean +/- SD were EE, 1600 +/- 639 Cal; EI, 394 +/- 289 Cal; and EI-EE,
1206+594 Cal; VO2, 3.05 +/- 0.55 L/min; RER, 0.91 +/- 0.04; exercise duration 110
+/- 42 min. Ingesting sports drinks to enhance performance did not abolish the
caloric deficit of aerobic exercise. Sports drinks can be used in accordance with
research protocols that typically provide 30-60 g of carbohydrate per hour when
exercising at adequate durations for moderate to high intensity and still
maintain a substantive caloric deficit.
PMID- 26568562
TI - The relationship between the rate of brain volume loss during first 24 months and
disability progression over 24 and 48 months in relapsing MS.
AB - Clinical evidence in patients with relapsing-remitting multiple sclerosis
suggests an association between MRI outcome measures and disability progression
(DP). Post hoc analysis to investigate the association and potential predictive
value of brain volume loss (BVL) with long-term DP in FREEDOMS. Patients were
categorized into quartiles by SIENA-calculated percent brain volume change from
baseline to month (M) 24. Patient characteristics at baseline were determined for
each quartile, as were the proportions of patients at M24 and M48 reaching
Expanded Disability Status Scale (EDSS) scores of >=4.0 or >=6.0 or DP confirmed
at 3 months (CDP3) or 6 months (CDP6), and change in EDSS and Multiple Sclerosis
Functional Composite. MS disease activity and severity as well as brain volume at
baseline were predictive of subsequent BVL over 24 months. The quartiles of
patients with greater BVL at 24 months were at highest risk (odds ratio, p value)
for reaching EDSS >=4 (2.8, p = 0.001) or >=6 (5.73, p = 0.0005) and experienced
more DP at M24 (CDP3 2.13, p = 0.002; CDP6 2.17, p = 0.003) and M48 (CDP3 1.98, p
= 0.006; CDP6 1.87, p = 0.018) compared to the quartile of patients with the
least amount of BVL. These findings confirm the clinical relevance of early brain
volume changes for long-term DP.
PMID- 26568564
TI - Structural insights into the loss of penicillinase and the gain of ceftazidimase
activities by OXA-145 beta-lactamase in Pseudomonas aeruginosa.
AB - OBJECTIVES: We previously described extended-spectrum oxacillinase OXA-145 from
Pseudomonas aeruginosa, which differs from narrow-spectrum OXA-35 by loss of Leu
155. The deletion results in loss of benzylpenicillin hydrolysis and acquisition
of activity against ceftazidime. We report the crystal structure of OXA-145 and
provide the basis of its switch in substrate specificity. METHODS: OXA-145
variants were generated by site-directed mutagenesis and purified to homogeneity.
The crystal structure of OXA-145 was determined and molecular dynamics
simulations were performed. Kinetic parameters were investigated in the absence
and in the presence of sodium hydrogen carbonate (NaHCO3) for representative
substrates. RESULTS: The structure of OXA-145 was obtained at a resolution of 2.3
A and its superposition with that of OXA-10 showed that Trp-154 was shifted by
1.8 A away from the catalytic Lys-70, which was not N-carboxylated. Addition of
NaHCO3 significantly increased the catalytic efficiency against penicillins, but
not against ceftazidime. The active-site cavity of OXA-145 was larger than that
of OXA-10, which may favour the accommodation of large molecules such as
ceftazidime. Molecular dynamics simulations of OXA-145 in complex with
ceftazidime revealed two highly coordinated water molecules on the alpha- or beta
face of the acyl ester bond, between Ser-67 and ceftazidime, which could be
involved in the catalytic process. CONCLUSIONS: Deletion of Leu-155 resulted in
inefficient positioning of Trp-154, leading to a non-carboxylated Lys-70 and thus
to loss of hydrolysis of the penicillins. Ceftazidime hydrolysis could be
attributed to enlargement of the active site and to a catalytic mechanism
independent of the carboxylated Lys-70.
PMID- 26568565
TI - Pharmacokinetics of vancomycin and dosing recommendations for trauma patients.
AB - OBJECTIVES: The objectives of this study were to characterize the population
pharmacokinetics of vancomycin in trauma patients and to propose dosing schemes
to optimize therapy. PATIENTS AND METHODS: Trauma patients from Hospital
Universitario Severo Ochoa (Spain) receiving intravenous vancomycin and routine
therapeutic drug monitoring were included. Concentrations and time data were
retrospectively collected, and population modelling was performed with NONMEM
7.2; internal and external validations were performed to probe the final model.
Finally, several simulations were executed to propose dosing guidelines to reach
expected vancomycin concentrations. RESULTS: A total of 118 trauma patients were
included; the population was 45% males, with a mean age of 77 years (range 37-100
years) and a mean total body weight (TBW) of 72 kg (range 38-110 kg). The
pharmacokinetics of vancomycin was best described by a two-compartment open
model; creatinine clearance (CLCR) was related to vancomycin clearance (0.49 +/-
0.04 L/h), being diminished by the presence of furosemide (0.34 +/- 0.05 L/h).
TBW influenced both the central volume of distribution (V1 = 0.74 +/- 0.1 L/kg)
and peripheral volume of distribution (V2 = 5.9 +/- 2 L/kg), but patients with
age >65 years showed a larger V1 (1.07 +/- 0.1 L/kg). Bootstrapping was performed
to internally validate the stability of the final model. External validation was
developed using an alternate population of 40 patients with the same
characteristics. The validated model was compared with population pharmacokinetic
models previously published and showed better predictive performance for trauma
patients than the current one. This final model allowed us to propose a new
practical dose guideline to reach higher trough concentrations (15-20 mg/L) and
AUC0-24/MIC ratios of more than 400 after 4 days of vancomycin treatment.
CONCLUSIONS: A new population model was described for trauma patients to optimize
vancomycin therapy, showing precise predictive performance to be applied for
therapeutic drug monitoring and providing a new practical dose guideline that
considers CLCR and concomitant administration of furosemide for these patients.
PMID- 26568566
TI - Immunity, inflammation and reservoir in patients at an early stage of HIV
infection on intermittent ART (ANRS 141 TIPI Trial).
AB - OBJECTIVES: The objective of this study was to assess clinical and biological
changes during intermittent ART (I-ART) started early, with significant time
spent on versus off ART, which has never before been studied in ART-naive
patients with high nadir and current CD4 cell count. PATIENTS AND METHODS: ART
naive HIV-1-infected patients with baseline CD4 >= 500/mm(3) and nadir CD4 >=
400/mm(3) received 2 years of I-ART (6 month periods on once-daily boosted-PI
based ART, alternating with 6 month periods without ART) in a 2 year, Phase II,
non-comparative multicentre trial. The trial is registered with
ClinicalTrials.gov, number NCT 00820118. RESULTS: The CD4 cell count remained >=
500/mm(3) at 2 years in all 44 patients included in the study. The mean 2 year
count was higher than the mean count at baseline in 24 patients overall (55%; 95%
CI 40%-69%) and in 20 (65%; 95% CI 48%-81%) of the 31 patients who fully adhered
to the trial strategy. All but three of these latter patients had HIV-1 RNA
concentrations below 50 copies/mL after each 6 month 'on' period. Only one
strategy-related genotypic mutation (M184I) was detected. The HIV-1 DNA median
load fluctuated, but it did not differ between month 0 and month 24 (2.8 versus
2.6 log10 copies/10(6) leucocytes, P = 0.29). Biomarkers of inflammation and
endothelial activation remained stable between month 0 and month 24. Naive CD4,
CD8+CCR5+ and CD8+CD38+ T cell numbers tended to decline. One patient developed
Burkitt's lymphoma and 12 patients reported sexually transmitted infections.
CONCLUSIONS: In patients with high nadir and current CD4 cell counts, 2 year I
ART maintained the CD4 cell count above 500/mm(3), with no increase in the viral
reservoir. Immune activation seems related to HIV replication, while inflammation
seems to evolve independently and require specific attention.
PMID- 26568567
TI - Species shift and multidrug resistance of Campylobacter from chicken and swine,
China, 2008-14.
AB - OBJECTIVES: The objective of this study was to investigate the prevalence and
antimicrobial resistance of Campylobacter isolated from broiler chickens and
swine during 2008-14. METHODS: Campylobacter isolates were collected from samples
of intestinal content and excreta from broiler chickens and swine from slaughter
houses as well as conventional farms in five Chinese provinces during 2008-14.
The agar dilution method was used to determine the susceptibility of
Campylobacter isolates to seven antimicrobial agents. The chi(2) test and
Fisher's exact test were used to perform the statistical analysis. RESULTS: In
total, 989 Campylobacter jejuni and 1991 Campylobacter coli were isolated from 10
535 samples. MIC results revealed a high prevalence of multidrug resistance among
these Campylobacter isolates. In addition, we observed an apparent shift of the
dominant species from C. jejuni to C. coli in chickens and this species shift
coincided with an increased prevalence of macrolide-resistant C. coli. It is
worth noting that almost 100% of the C. jejuni and C. coli isolates were
resistant to fluoroquinolones. CONCLUSIONS: The high prevalence of
fluoroquinolone and macrolide resistance in Campylobacter suggests that these two
clinically important antibiotic classes may no longer be suitable for the
treatment of human campylobacteriosis in China. Thus, enhanced surveillance and
control efforts are needed to reduce antimicrobial resistance in this group of
major foodborne pathogens.
PMID- 26568568
TI - Comparison of Bilateral vs. Staged Unilateral Deep Brain Stimulation (DBS) in
Parkinson's Disease in Patients Under 70 Years of Age.
AB - OBJECTIVE: The most popular surgical method for deep brain stimulation (DBS) in
Parkinson's disease (PD) is simultaneous bilateral DBS. However, some centers
conduct a staged unilateral approach advocating that reduced continuous
intraoperative time reduces postoperative complications, thus justifying the cost
of a second operative session. To test these assumptions, we performed a
retrospective analysis of the Truven Health MarketScan(r) Database. METHODS:
Using the MarketScan Database, we retrospectively analyzed patients that
underwent simultaneous bilateral or staged unilateral DBS between 2000 and 2009.
The main outcome measures were 90-day postoperative complication rates, number of
reprogramming hours one year following procedure, and annualized healthcare cost.
The outcome measures were compared between cohorts using multivariate regressions
controlling for appropriate covariates. RESULTS: A total of 713 patients that
underwent DBS between 2000 and 2009 met inclusion criteria for the study. Of
these patients, 556 underwent simultaneous bilateral DBS and 157 received staged
unilateral DBS. No statistically significant differences were found between
groups in the rate of infection (simultaneous: 4.3% vs. staged: 7.0%; p = 0.178),
pneumonia (3.1% vs. 5.7%; p = 0.283), hemorrhage (2.9% vs. 2.5%; p = 0.844),
pulmonary embolism (0.5% vs. 1.3%), and device-related complications (0.5% vs.
0.0%). Patients in the staged cohort had a higher rate of lead revision in 90
days (3.2% vs. 12.7%; RR = 3.07; p < 0.001). The staged cohort had a higher mean
(SD) number of reprogramming hours within one year of procedure (6.0 +/- 5.7 vs.
7.8 +/- 8.1; RR = 1.17; p < 0.001). No significant difference was found between
the mean (SD) annualized payments between the cohorts ($86,100 +/- $94,700 vs.
$102,100 +/- $121,500; p = 0.148). CONCLUSION: Our study did not find a
significant difference between 90-day postoperative complication rates or
annualized cost between the staged and simultaneous cohorts. Thus, we believe
that it is important to consider other factors when deciding between the staged
and simultaneous DBS. Such factors include patient convenience and the laterality
of symptoms.
PMID- 26568569
TI - A New Method for Estimating the Coverage of Mass Vaccination Campaigns Against
Poliomyelitis From Surveillance Data.
AB - Mass vaccination campaigns with the oral poliovirus vaccine targeting children
aged <5 years are a critical component of the global poliomyelitis eradication
effort. Monitoring the coverage of these campaigns is essential to allow
corrective action, but current approaches are limited by their cross-sectional
nature, nonrandom sampling, reporting biases, and accessibility issues. We
describe a new Bayesian framework using data augmentation and Markov chain Monte
Carlo methods to estimate variation in vaccination coverage from children's
vaccination histories investigated during surveillance for acute flaccid
paralysis. We tested the method using simulated data with at least 200 cases and
were able to detect undervaccinated groups if they exceeded 10% of all children
and temporal changes in coverage of +/-10% with greater than 90% sensitivity.
Application of the method to data from Pakistan for 2010-2011 identified
undervaccinated groups within the Balochistan/Federally Administered Tribal Areas
and Khyber Pakhtunkhwa regions, as well as temporal changes in coverage. The
sizes of these groups are consistent with the multiple challenges faced by the
program in these regions as a result of conflict and insecurity. Application of
this new method to routinely collected data can be a useful tool for identifying
poorly performing areas and assisting in eradication efforts.
PMID- 26568570
TI - Five Authors Reply.
PMID- 26568571
TI - Re: "Differences in Risk Factors for Recurrent Versus Incident Preterm Delivery".
PMID- 26568572
TI - Association Between Television Viewing Time and All-Cause Mortality: A Meta
Analysis of Cohort Studies.
AB - Findings on the association between television (TV) viewing and all-cause
mortality in epidemiologic studies have been inconsistent. Therefore, we
conducted a meta-analysis of data from prospective cohort studies to quantify
this association. Relevant articles were identified by searching MEDLINE (PubMed;
National Library of Medicine, Bethesda, Maryland) and EMBASE (Elsevier B.V.,
Amsterdam, the Netherlands) from inception to March 1, 2015, and reviewing the
reference lists of retrieved articles. Study-specific results were pooled using a
random-effects model. Of 2,578 citations identified by the search strategy, 10
cohort studies (61,494 deaths among 647,475 individuals) met the inclusion
criteria. The summary relative risk of all-cause mortality for the highest
category of TV viewing time versus the lowest was 1.33 (95% confidence interval:
1.20, 1.47), with heterogeneity among studies (I(2) = 66.7%, P(heterogeneity) =
0.001). In dose-response meta-analysis, TV viewing time was statistically
significantly associated with all-cause mortality risk in a J-shaped fashion
(P(nonlinearity) = 0.001). These results indicate that prolonged TV viewing time
might increase the risk of all-cause mortality. Given the high prevalence of
excessive TV viewing, public health recommendations or interventions aimed at
decreasing the amount of TV viewing time in modern societies are warranted.
PMID- 26568574
TI - Snippets From the Past: Imaginative Designs--Separating Hereditary From
Environmental Effects in pre-DNA Times.
AB - In an attempt to reproduce the results of an inconclusive 1927 report by the
British Medical Research Council on the hereditary versus social origins of
rheumatic fever, Read, Ciocco, and Taussig, from Johns Hopkins University, with
the support of Frost, conducted a case-control study in 1935 and 1936. Their
study, which appeared in the American Journal of Hygiene in 1938, was outstanding
for its clear and tidy rationale for separating hereditary from environmental
causes. The authors compared the prevalence of rheumatic fever among the
relatives of 33 children admitted for "incident" rheumatic fever and 33 control
children admitted in a tuberculosis clinic for reasons other than rheumatic
fever. Both rheumatic fever (cases) and tuberculosis (controls) were diseases of
the poor. All family members of both cases and controls, including uncles, aunts,
and grandparents, were eligible for interview and physical examination. The
results were compatible with the presence of an "inherited predisposition" to
rheumatic fever because the disease was more prevalent among the uncles, aunts,
and grandparents of case patients than among those of control patients.
Methodologically, the paper by Read, Ciocco, and Taussig is an important but
almost completely forgotten milestone in the evolution of case-control studies
and of genetic epidemiology.
PMID- 26568573
TI - Associations Between Genetic Ancestries and Nicotine Metabolism Biomarkers in the
Multiethnic Cohort Study.
AB - Differences in internal dose of nicotine and tobacco-derived carcinogens among
ethnic/racial groups have been observed. In this study, we explicitly examined
the relationships between genetic ancestries (genome-wide average) and 19 tobacco
derived biomarkers in smokers from 3 admixed groups in the Multiethnic Cohort
Study (1993-present), namely, African ancestry in African Americans (n = 362),
Amerindian ancestry in Latinos (n = 437), and Asian and Native Hawaiian
ancestries in Native Hawaiians (n = 300). After multiple comparison adjustment,
both African and Asian ancestries were significantly related to a greater level
of free cotinine; African ancestry was also significantly related to lower
cotinine glucuronidation (P's < 0.00156). The predicted decrease in cotinine
glucuronidation was 8.6% (P = 4.5 * 10(-6)) per a 20% increase in African
ancestry. Follow-up admixture mapping revealed that African ancestry in a 12-Mb
region on chromosome 4q was related to lower cotinine glucuronidation (P's < 2.7
* 10(-7), smallest P = 1.5 * 10(-9)), although this is the same region reported
in our previous genome-wide association study. Our results implicate a genetic
ancestral component in the observed ethnic/racial variation in nicotine
metabolism. Further studies are needed to identify the underlying genetic
variation that could potentially be ethnic/racial specific.
PMID- 26568575
TI - Editorial: Epidemiology in History--Three Years Later.
PMID- 26568576
TI - Myocardial connexin-43 and N-Cadherin decrease during vanadium inhalation.
AB - Particulate matter air pollution has considerably increased during the last
decades; vanadium is a transition element adhered to this particulate matter, and
the combustion of fossil fuels is the main source in the atmosphere. It has been
reported that air pollution and specifically vanadium exposure increases the
probability of suffering arrhythmias; however the biological mechanism of such a
relationship remains unknown. It has been established that a diminished presence
of N-Cadherin alters the Connexin-43 arrangement, and the consequent altered
presence of these proteins predisposes to ventricular heart rate problems. We
analyzed myocardial histology and the expression of N-Cadherin and Connexin-43 by
immunohistochemistry in mouse that inhaled vanadium. Our results showed a
significant and progressive reduction in both N-Cadherin and Connexin-43, as well
as the presence of meganucleus; myofibrils disruption, and clumping in the
exposed groups were also observed. Our findings add more information about a
possible explanation for the arrythmogenic effect observed in dwellers of cities
with high particulate matter atmospheric pollution.
PMID- 26568577
TI - Does Short-Term High Dose Probiotic Supplementation Containing Lactobacillus
casei Attenuate Exertional-Heat Stress Induced Endotoxaemia and Cytokinaemia?
AB - The study aimed to determine if short-term high dose probiotic supplementation
containing Lactobacillus casei (L.casei) attenuates the commonly reported
exertional-heat stress (EHS) induced endotoxinaemia and cytokinaemia. Eight
endurance trained male volunteers (mean+/- SD: age 26 +/- 6 y, nude body mass
70.2 +/- 8.8 kg, height 1.75 +/- 0.05 m, VO2max 59 +/- 5 ml.kg-1.min-1) completed
a blinded randomized cross-over design, whereby oral ingestion of a commercially
available probiotic beverage containing L.casei (volume equivalent for *1011
colony forming units.day-1) (PRO) or placebo (PLA) was consumed for 7 consecutive
days before exposure to EHS, which comprised of 2h running exercise at 60% VO2max
in hot ambient conditions (34.0 degrees C and 32% RH). Blood samples were
collected at baseline (7 days before EHS), pre-EHS, post-EHS (1 hr, 2 hr, 4 hr,
and at 24 hr). Plasma samples were analyzed for gram-negative bacterial
endotoxin, cytokine profile (IL-6, IL-1beta, TNF-alpha, IFN-gamma, IL-8, and IL
10) and plasma osmolality. Plasma osmolality did not differ between trials. Seven
days of L.casei supplementation did not show significant changes in resting
circulatory endotoxin concentration or plasma cytokine profile compared with PLA.
A main effect of time was observed for IL-6, TNF-alpha, IL-10 and IL-8; whereby
levels increased in response to EHS (p < .05). Relative to pre-EHS
concentrations, higher plasma concentrations of endotoxin (p = .05), and a trend
for higher plasma TNF-alpha concentration (p = .09) was observed on PRO compared
with PLA throughout recovery. Short-term high dose supplementation of a probiotic
beverage containing L.casei before EHS did not attenuate EHS induced endotoxaemia
and cytokinaemia; nor is it more positively favorable over a placebo.
PMID- 26568578
TI - Use of the RatLoft decreases pup mortality in lactating mice.
AB - Lactating mice in laboratory conditions are thought to be under considerable
stress. Dams may manifest this stress through a decrease in milk yield and/or
increase in infanticide. The purpose of this study was to examine the effect of
access to an environmental enrichment device called the RatLoft on milk yield,
circulating serotonin, and pup mortality using both wild-type mice and mice
genetically deficient in tryptophan hydroxylase 1 (TPH1(-/-); the rate-limiting
enzyme in the non-neuronal serotonin synthesis pathway). Presence or absence of
the RatLoft did not affect milk yield or circulating serotonin concentrations
overall, but serotonin concentrations decreased throughout the 21-day lactation
period. Serotonin concentrations were increased in TPH1-deficient mice with
access to the RatLoft compared with those without the RatLoft on day 21. Pup
mortality tended to decrease for dams with access to the RatLoft as compared to
no RatLoft. Within the TPH1(-/-) groups, dams with access to the RatLoft tended
to kill less pups per dam than dams without the RatLoft. These results
demonstrate that access to the RatLoft during lactation decreases pup infanticide
by dams. This environmental enrichment may be particularly beneficial to TPH1(-/
) dams that, in addition to decreased infanticide, had increased serotonin
concentrations, possibly indicating enhanced well-being. Use of the RatLoft could
prove beneficial to researchers working with lactating mouse models to increase
the number of pups weaned and positively impact the welfare of the dam.
PMID- 26568579
TI - A simple method for inducing estrous cycle stage-specific morphological changes
in the vaginal epithelium of immature female mice.
AB - The vaginal epithelium of the adult female laboratory rodent changes from mucous
secretion to cornification over the course of the estrous cycle. The
morphophysiological changes occur with such regularity, accuracy and precision
that the specific stage of the estrous cycle in the rat can be determined by
inspection of the vaginal opening and/or exfoliative vaginal cytology. However,
in the mouse, post-mortem vaginal histology is often required to determine the
estrous cycle stage for ensuring the required level of reliability. Consequently,
an excess number of female adult mice are needed to allow for the delivery of
sufficient numbers of mice in a desired estrous cycle stage. In this study, we
demonstrate that the standard procedure for oocyte superovulation and collection
in the laboratory mouse (e.g. injection of equine chorionic gonadotropin followed
48 h later by human chorionic gonadotropin) can also be reliably used to induce
changes in the epithelium of 3.5-week-old mouse vaginas in an estrous cycle stage
specific manner (e.g. establishment and replacement of a mucous secreting
epithelium with a cornified epithelium; induction of cornification-associated
loricrin expression). The superovulation protocol thus allows for the efficient
and economic induction of estrous cycle stage-specific characteristics in the
Mullerian duct-derived vagina thereby avoiding the necessity of post-mortem
identification of the estrous cycle stage. In addition, our study indicates that
the laboratory mouse vagina is an excellent organ for studying the sequence of
events leading to cornification.
PMID- 26568580
TI - The Effects of Preexercise Caffeinated Coffee Ingestion on Endurance Performance:
An Evidence-Based Review.
AB - Endurance athletes commonly ingest caffeine as a means to enhance training
intensity and competitive performance. A widely-used source of caffeine is
coffee, however conflicting evidence exists regarding the efficacy of coffee in
improving endurance performance. In this context, the aims of this evidence-based
review were threefold: 1) to evaluate the effects of preexercise coffee on
endurance performance, 2) to evaluate the effects of coffee on perceived exertion
during endurance performance, and 3) to translate the research into usable
information for athletes to make an informed decision regarding the intake of
caffeine via coffee as a potential ergogenic aid. Searches of three major
databases were performed using terms caffeine and coffee, or coffee-caffeine, and
endurance, or aerobic. Included studies (n = 9) evaluated the effects of
caffeinated coffee on human subjects, provided the caffeine dose administered,
administered caffeine >= 45 min before testing, and included a measure of
endurance performance (e.g., time trial). Significant improvements in endurance
performance were observed in five of nine studies, which were on average 24.2%
over controls for time to exhaustion trials, and 3.1% for time to completion
trials. Three of six studies found that coffee reduced perceived exertion during
performance measures significantly more than control conditions (p < .05). Based
on the reviewed studies there is moderate evidence supporting the use of coffee
as an ergogenic aid to improve performance in endurance cycling and running.
Coffee providing 3-8.1 mg/kg (1.36-3.68 mg/lb) of caffeine may be used as a safe
alternative to anhydrous caffeine to improve endurance performance.
PMID- 26568581
TI - The Innovative Medicines Initiative's New Drugs for Bad Bugs programme: European
public-private partnerships for the development of new strategies to tackle
antibiotic resistance.
AB - Antibiotic resistance (ABR) is a global public health threat. Despite the
emergence of highly resistant organisms and the huge medical need for new drugs,
the development of antibacterials has slowed to an unacceptable level worldwide.
Numerous government and non-government agencies have called for public-private
partnerships and innovative funding mechanisms to address this problem. To
respond to this public health crisis, the Innovative Medicines Initiative Joint
Undertaking programme has invested more than ?660 million, with a goal of matched
contributions from the European Commission and the European Federation of
Pharmaceutical Industries and Associations, in the development of new
antibacterial strategies. The New Drugs for Bad Bugs (ND4BB) programme, an
Innovative Medicines Initiative, has the ultimate goal to boost the fight against
ABR at every level from basic science and drug discovery, through clinical
development to new business models and responsible use of antibiotics. Seven
projects have been launched within the ND4BB programme to achieve this goal. Four
of them will include clinical trials of new anti-infective compounds, as well as
epidemiological studies on an unprecedented scale, which will increase our
knowledge of ABR and specific pathogens, and improve the designs of the clinical
trials with new investigational drugs. The need for rapid concerted action has
driven the funding of seven topics, each of which should add significantly to
progress in the fight against ABR. ND4BB unites expertise and provides a platform
where the commitment and resources required by all parties are streamlined into a
joint public-private partnership initiative of unprecedented scale.
PMID- 26568582
TI - Prevalence of Disordered Eating and Its Association With Emotion Regulation in
Female College Athletes.
AB - The number of females participating in college sports in the U.S. has increased
in last two decades. While female college athletes might be at a high risk,
research examining disordered eating in this population is limited and difficult
to summarize due to differences in methodologies. Factors contributing to
disordered eating in female college athletes are not well established, but
emotional regulation may be a potential correlate. The main purpose of this study
was to examine the prevalence of disordered eating and explore potential
differences between weight-sensitive and less weight-sensitive sports in a sample
of female college athletes. The second purpose was to examine emotional
regulation, body dissatisfaction, sport type, a family history of eating
disorder, and BMI as potential predictors of disordered eating. The Eating
Attitudes Test-26 and the Minnesota Eating Behavior Survey were used to estimate
disordered eating prevalence in a sample of 151 athletes. Emotion regulation was
assessed by the Difficulties in Emotion Regulation Scale. The prevalence of
disordered eating was 6.6% and 10.6%, respectively, with no differences by sport
type. The multiple regression model explained 11% of the EAT-26 variance, F(5,
150) = 3.74, p < .01. Greater emotional regulation difficulties (beta = .174, t =
2.191, p = .03) and body dissatisfaction (beta = .276, t = 2.878, p = .005) were
significant predictors of disordered eating. Further examination of emotional
regulation and body dissatisfaction in relation to disordered eating in female
college athletes is warranted.
PMID- 26568583
TI - Occupational Exposure to Aflatoxin B1 in a Portuguese Poultry Slaughterhouse.
AB - Aflatoxin B1 (AFB1) is a secondary metabolite produced by the fungi Aspergillus
flavus and is the most potent hepatocarcinogen known in mammals and has been
classified by the International Agency of Research on Cancer as Group 1
carcinogen. Although dietary exposure to AFB1 has been extensively documented,
there are still few studies dedicated to the problem of occupational exposure.
Considering recent findings regarding AFB1 occupational exposure in poultry
production, it was considered relevant to clarify if there is also exposure in
poultry slaughterhouses. Occupational exposure assessment to AFB1 was done with a
biomarker of internal dose that measures AFB1 in the serum by enzyme-linked
immunosorbent assay. Thirty workers from a slaughterhouse were enrolled in this
study. A control group (n = 30) was also considered in order to know AFB1
background levels for Portuguese population. Fourteen workers (47.0%) showed
detectable levels of AFB1 with values from 1.06 to 4.03ng ml(-1), with a mean
value of 1.73ng ml(-1). No AFB1 was detected in serum of individuals used as
controls. Despite uncertainties regarding the exposure route that is contributing
more to exposure (inhalation or dermal) is possible to state that exposure to
AFB1 is occurring in the slaughterhouse studied. It seems that reducing AFB1
contamination in poultry production can have a positive result in this
occupational setting.
PMID- 26568584
TI - Quality of Chemical Safety Information in Printing Industry.
AB - OBJECTIVES: Employees in printing industries can be exposed to multiple solvents
in their work environment. The objectives of this study were to investigate the
critical components of chemical solvents by analyzing the components of the
solvents and collecting the Safety data sheets (SDSs), and to evaluate the hazard
communication implementation status in printing industries. METHOD: About 152
printing-related industries were recruited by area-stratified random sampling and
included 23 plate-making, 102 printing and 27 printing-assistance companies in
Taiwan. We analyzed company questionnaires (n = 152), SDSs (n = 180), and
solvents (n = 20) collected from this sample of printing-related companies.
RESULTS: Analytical results indicated that benzene and ethylbenzene, which were
carcinogen and possibly carcinogen, were detectable in the cleaning solvents, and
the detection rate were 54.5% (concentrations: <0.011-0.035 wt%) and 63.6%
(concentrations: <0.011-6.22 wt%), respectively; however, neither compound was
disclosed in the SDS for the solvents. Several other undisclosed components,
including methanol, isopropanol and n-butanol, were also identified in the
printing inks, fountain solutions and dilution solvents. We noted that, of the
companies we surveyed, only 57.2% had a hazard communication program, 61.8% had
SDSs on file and 59.9% provided employee safety and health training. We note that
hazard communication programs were missing or ineffective in almost half of the
152 printing industries surveyed. CONCLUSIONS: Current safety information of
solvents components in printing industries was inadequate, and many hazardous
compounds were undisclosed in the SDSs of the solvents or the labels of the
containers. The implementation of hazard communications in printing industries
was still not enough for protecting the employees' safety and health.
PMID- 26568585
TI - Genetic polymorphisms of interleukin 6 and interleukin 10 in Egyptian patients
with systemic lupus eythematosus.
AB - Systemic lupus erythematosus (SLE) is a complex autoimmune disease. Cytokine gene
polymorphisms play an important role in SLE. Thus, this study aimed to
investigate the associations between interleukin 6 (IL-6) and interleukin 10 (IL
10) promoter single-nucleotide polymorphisms (SNPs) and their susceptibility to
SLE and the implications for plasma levels. We genotyped IL-6-174G/C (rs1800795)
using mutagenically separated polymerase chain reaction (MS-PCR) and IL-10
1082G/A (rs1800896) and -819C/T (rs1800871) using sequence specific primer
polymerase chain reaction (SSP-PCR) in 100 Egyptian patients and 119 controls.
The plasma levels of IL-6 and IL-10 were measured by enzyme-linked immunosorbent
assay (ELISA). There was significant increase in the frequency of IL-6 (-174) GG
genotype (P < 0.05) and G allele (P < 0.01) compared to controls. A significant
increase in the distribution of IL-10 (-1082G/A) GG (P < 0.05) and AA (P < 0.05)
genotypes and a significant reduction in the frequency of GA genotype (P < 0.05)
was found in SLE patients. The mean serum concentration of IL-6 (P < 0.001) and
IL-10 (P < 0.001) was significantly elevated in SLE patients compared to healthy
controls. There was no significant association of the most common clinical
findings and IL-6 and IL-10 gene polymorphisms in SLE patients. In conclusion,
our preliminary study indicated that both GG genotype and G allele of IL-6 (
174G/C) could be considered as risk factors for SLE. In addition, the
polymorphisms at IL-10 (-1082 G/G and AA) may play a role in SLE susceptibility
in Egyptian patients. Larger prospective studies are needed to confirm our
findings.
PMID- 26568586
TI - A Multicenter Study of Invasive Fungal Infections in Patients with Childhood
onset Systemic Lupus Erythematosus.
AB - OBJECTIVE: To study the prevalence, risk factors, and mortality of invasive
fungal infections (IFI) in patients with childhood-onset systemic lupus
erythematosus (cSLE). METHODS: A retrospective multicenter cohort study was
performed in 852 patients with cSLE from 10 pediatric rheumatology services. An
investigator meeting was held and all participants received database training.
IFI were diagnosed according to the European Organization for Research and
Treatment of Cancer/Invasive Fungal Infections Cooperative Group and the National
Institute of Allergy and Infectious Diseases Mycoses Study Group Consensus Group
criteria (proven, probable, and possible). Also evaluated were demographic,
clinical, and laboratory data, and disease activity [SLE Disease Activity Index
2000 (SLEDAI-2K)], cumulative damage (Systemic Lupus International Collaborating
Clinics/American College of Rheumatology Damage Index), treatment, and outcomes.
RESULTS: IFI were observed in 33/852 patients (3.9%) with cSLE. Proven IFI was
diagnosed in 22 patients with cSLE, probable IFI in 5, and possible IFI in 6.
Types of IFI were candidiasis (20), aspergillosis (9), cryptococcosis (2), and 1
each disseminated histoplasmosis and paracoccidioidomycosis. The median of
disease duration was lower (1.0 vs 4.7 yrs, p < 0.0001) with a higher current
SLEDAI-2K [19.5 (0-44) vs 2 (0-45), p < 0.0001] and current prednisone (PRED)
dose [50 (10-60) vs 10 (2-90) mg/day, p < 0.0001] in patients with IFI compared
with those without IFI. The frequency of death was higher in the former group
(51% vs 6%, p < 0.0001). Logistic regression analysis revealed that SLEDAI-2K (OR
1.108, 95% CI 1.057-1.163, p < 0.0001), current PRED dose (OR 1.046, 95% CI 1.021
1.071, p < 0.0001), and disease duration (OR 0.984, 95% CI 0.969-0.998, p =
0.030) were independent risk factors for IFI (R(2) Nagelkerke 0.425). CONCLUSION:
To our knowledge, this is the first study to characterize IFI in patients with
cSLE. We identified that disease activity and current glucocorticoid use were the
main risk factors for these life-threatening infections, mainly in the first
years of disease course, with a high rate of fatal outcome.
PMID- 26568587
TI - Performance of Different Diagnostic Criteria for Familial Mediterranean Fever in
Children with Periodic Fevers: Results from a Multicenter International Registry.
AB - OBJECTIVE: Our aims were to validate the pediatric diagnostic criteria in a large
international registry and to compare them with the performance of previous
criteria for the diagnosis of familial Mediterranean fever (FMF). METHODS:
Pediatric patients with FMF from the Eurofever registry were used for the
validation of the existing criteria. The other periodic fevers served as
controls: mevalonate kinase deficiency (MKD), tumor necrosis factor receptor
associated periodic syndrome (TRAPS), cryopyrin-associated periodic syndrome
(CAPS), aphthous stomatitis, pharyngitis, adenitis syndrome (PFAPA), and
undefined periodic fever from the same registry. The performances of Tel
Hashomer, Livneh, and the Yalcinkaya-Ozen criteria were assessed. RESULTS: The
FMF group included 339 patients. The control group consisted of 377 patients (53
TRAPS, 45 MKD, 32 CAPS, 160 PFAPA, 87 undefined periodic fevers). Patients with
FMF were correctly diagnosed using the Yalcinkaya-Ozen criteria with a
sensitivity rate of 87.4% and a specificity rate of 40.7%. On the other hand, Tel
Hashomer and Livneh criteria displayed a sensitivity of 45.0 and 77.3%,
respectively. Both of the latter criteria displayed a better specificity than the
Yalcinkaya-Ozen criteria: 97.2 and 41.1% for the Tel Hashomer and Livneh
criteria, respectively. The overall accuracy for the Yalcinkaya-Ozen criteria was
65 and 69.6% (using 2 and 3 criteria), respectively. Ethnicity and residence had
no effect on the performance of the Yalcinkaya-Ozen criteria. CONCLUSION: The
Yalcinkaya-Ozen criteria yielded a better sensitivity than the other criteria in
this international cohort of patients and thus can be used as a tool for FMF
diagnosis in pediatric patients from either the European or eastern Mediterranean
region. However, the specificity was lower than the previously suggested adult
criteria.
PMID- 26568588
TI - Discriminant Capacity of Clinical Efficacy and Nonsteroidal Antiinflammatory Drug
sparing Endpoints, Alone or in Combination, in Axial Spondyloarthritis.
AB - OBJECTIVE: Using data from a randomized, double-blind, placebo-controlled study,
we assessed the capacity of clinical and nonsteroidal antiinflammatory drug
(NSAID)-sparing endpoints, alone and in combination, to discriminate between
treatment effects in axial spondyloarthritis (axSpA). METHODS: Patients with
active NSAID-resistant axSpA received etanercept (ETN) 50 mg/week or placebo for
8 weeks and tapered/discontinued NSAID. In posthoc logistic regression analyses,
OR were calculated that indicated the capacity of the following endpoints to
discriminate between the effects of ETN and placebo at Week 8: Bath Ankylosing
Spondylitis Disease Activity Index (BASDAI) 50; BASDAI <= 3; Assessment of
Spondyloarthritis international Society (ASAS) 20; ASAS40; Ankylosing Spondylitis
Disease Activity Score (ASDAS) with C-reactive protein (CRP) < 1.3 and ASDAS-CRP
< 2.1; >= 50% decrease from baseline in ASAS-NSAID score, score < 10, and score =
0; and each clinical and/or each NSAID measure. RESULTS: In 90 randomized
patients (ETN, n = 42; placebo, n = 48), disease activity was similar between
groups at baseline: mean (+/- SD) BASDAI (ETN vs placebo) 6.0 +/- 1.6 versus 5.9
+/- 1.5. NSAID intake was high: ASAS-NSAID score 98.2 +/- 39.0 versus 93.0 +/-
23.4. OR ranged from 1.6 (95% CI 0.5-5.4) for ASDAS-CRP < 1.3 to 5.8 (95% CI 1.2
29.1) for BASDAI50 and NSAID score of 0; most measures (34/45) reached
statistical significance (alpha = 0.05) favoring ETN. Most combined outcome
variables using OR were more discriminant than single outcome measures.
CONCLUSION: These findings suggest that changes in NSAID intake during treatment
do not prevent demonstration of clinically relevant effects of biologic
treatment, and combined (i.e., clinical with NSAID-sparing) endpoints were
frequently more discriminant than single (i.e., clinical) endpoints.
ClinicalTrials.gov (NCT01298531).
PMID- 26568589
TI - Lack of Interferon and Proinflammatory Cyto/chemokines in Serologically Active
Clinically Quiescent Systemic Lupus Erythematosus.
AB - OBJECTIVE: Serologically active clinically quiescent (SACQ) patients with
systemic lupus erythematosus (SLE) remain clinically quiescent for prolonged
periods despite anti-dsDNA antibodies and/or low complements, indicating the
presence of immune complexes. The immune mechanisms leading to this quiescence
are unknown. However, in addition to activating complement, immune complex uptake
by various cells leads to the production of interferon (IFN)-alpha and other
proinflammatory factors that are also involved in tissue damage. Here we
investigate whether production of these factors is reduced in SACQ patients.
METHODS: The levels of 5 IFN-induced genes and 19 cyto/chemokines were measured
in SACQ patients and were compared with those in serologically and clinically
active (SACA) and serologically and clinically quiescent (SQCQ) patients. SACQ
and SQCQ were defined as >= 2 years without clinical activity, with/without
persistent serologic activity, respectively, and off
corticosteroids/immunosuppressives. SACA was defined as disease activity
compelling immunosuppression. Levels of OAS1, IFIT1, MX1, LY6E, and ISG15 were
measured by quantitative real-time polymerase chain reaction (PCR) and a
composite score (IFN-5) derived from this. Plasma cyto/chemokines were measured
by Luminex assay. Nonparametric univariate and logistic regression analyses were
conducted. RESULTS: There were no differences in gene expression or
cyto/chemokine levels between SACQ and SQCQ patients. The SACQ IFN-5 score was
significantly lower than that of SACA (p = 0.003) and was driven by SACQ status,
not by autoantibody profile or disease duration. Levels of granulocyte-macrophage
colony-stimulating factor, interleukin (IL) 6, IL-10, IFN-gamma-inducible protein
10, monocyte chemoattractant protein 1, and tumor necrosis factor-alpha were
significantly lower in SACQ than SACA. CONCLUSION: The levels of proinflammatory
factors in SACQ mirror those of SQCQ patients, indicating reduced production of
these factors despite the presence of immune complexes.
PMID- 26568590
TI - Barriers to Care in Gout: From Prescriber to Patient.
AB - OBJECTIVE: To explore the understanding of gout and its management by patients
and general practitioners (GP), and to identify barriers to optimal gout care.
METHODS: Semistructured interviews were conducted with 15 GP and 22 patients in
Sydney, Australia. Discussions were focused on medication adherence, experiences
with gout, and education and perceptions around interventions for gout.
Interviews were audio recorded, transcribed verbatim, and analyzed for themes
using an analytical framework. RESULTS: Adherence to urate-lowering medications
was identified as problematic by GP, but less so by patients with gout. However,
patients had little appreciation of the risk of acute attacks related to variable
adherence. Patients felt stigmatized that their gout diagnosis was predominantly
related to perceptions that alcohol and dietary excess were causal. Patients felt
they did not have enough education about gout and how to manage it. A
manifestation of this was that uric acid concentrations were infrequently
measured. GP were concerned that they did not know enough about managing gout and
most were not familiar with current guidelines for management. For example and
importantly, the strategies for reducing the risk of acute attacks when
commencing urate-lowering therapy (ULT) were not well appreciated by GP or
patients. CONCLUSION: Patients and GP wished to know more about gout and its
management. Greater success in establishing and maintaining ULT will require
further and better education to substantially benefit patients. Also, given the
prevalence, and personal and societal significance of gout, innovative approaches
to transforming the management of this eminently treatable disease are needed.
PMID- 26568591
TI - Optimal Monitoring For Coronary Heart Disease Risk in Patients with Systemic
Lupus Erythematosus: A Systematic Review.
AB - OBJECTIVE: Premature coronary heart disease (CHD) significantly affects morbidity
and mortality in systemic lupus erythematosus (SLE). Several studies have
detected factors influencing the atherosclerotic process, as well as methods to
quantify the atherosclerotic burden in subclinical stages. The aim of this
systematic review was to identify the minimum investigations to optimally monitor
CHD risk in SLE. METHODS: English-restricted literature review was performed
using PRISMA (Preferred Reporting Items for Systematic Reviews and Meta-Analyses)
guidelines through Ovid Medline, Embase, and Cochrane Central databases, from
inception until May 2014 (Medline until October 2014). Specific search terms
included, among others, "SLE," "atherosclerosis," "CHD," "myocardial ischemia,"
"acute coronary syndrome," "myocardial infarction," and "angina pectoris." We
identified 101 eligible articles, 23 with cardiovascular events (CVE) as
endpoints and 78 with measures of subclinical atherosclerosis. The Newcastle
Ottawa scale was used for quality assessment. RESULTS: Certain traditional and
disease-specific factors were identified as independent predictors for CHD. Among
the former were age (particularly postmenopausal state), male sex, arterial
hypertension, dyslipidemia, and smoking. Disease activity and duration,
cumulative damage, antiphospholipid antibodies, high sensitivity C-reactive
protein, and renal disease were the most consistent disease-related factors.
Corticosteroids were linked to increased CHD risk whereas antimalarials were
protective. Concerning imaging techniques, carotid ultrasonography (intima-media
thickness and plaque) was shown to independently predict CVE. CONCLUSION:
Premature CHD in SLE is multifactorial; modifiable variables should be monitored
at frequent intervals to ensure prompt management. Disease-specific factors also
affect the atherogenic process and should be evaluated regularly. Carotid
ultrasonography may hold promise in predicting CVE in selected high-risk
patients.
PMID- 26568592
TI - Clinical and Radiographic Outcomes in Patients Diagnosed with Early Rheumatoid
Arthritis in the First Years of the Biologic Treatment Era: A 10-year Prospective
Observational Study.
AB - OBJECTIVE: To study short-term and longterm clinical and radiographic outcomes in
patients with early rheumatoid arthritis (RA) in the first decade of the biologic
treatment era. METHODS: Patients with early RA diagnosed at a rheumatology
outpatient clinic were consecutively enrolled between 1999 and 2001. Data were
collected on demographic characteristics, disease activity, patient-reported
outcomes, and treatments. Radiographs of hands and feet were performed at
baseline and after 2, 5, and 10 years and scored according to the Sharp/van der
Heijde method, yielding a modified total Sharp score (mTSS). RESULTS: Mean
baseline age for the 94 included patients (36 men and 58 women) was 50.4 years
and symptom duration 12.3 months; 67.8% were rheumatoid factor-positive. The
proportion of patients in remission and in low, moderate, and high disease
activity status was at baseline 4.3%, 1.1%, 35.1%, and 59.6% and at 10 years
52.1%, 20.5%, 27.4%, and 0.0%, respectively. For the period 0-2 years, 62.8% had
used prednisolone, 91.5% synthetic disease-modifying antirheumatic drug (DMARD),
and 18.1% biologic DMARD, and for the period 2-10 years the numbers were 50.6%,
89.3%, and 62.7%, respectively. At baseline, 70% of the patients had erosions on
radiographs. Mean annual change in mTSS was for 0-2 years 3.4, 2-5 years 1.7, and
5-10 years 1.2. CONCLUSION: A large proportion of our patients with RA diagnosed
and treated in the new biologic treatment era achieved a status of clinical
remission or low disease activity and had only a minor increase in radiographic
joint damage after the first years of followup.
PMID- 26568593
TI - Tumor Necrosis Factor-alpha Inhibition in Ankylosing Spondylitis and
Nonradiographic Axial Spondyloarthritis: Treatment Response, Drug Survival, and
Patient Outcome.
AB - OBJECTIVE: The purpose of this study was to (1) evaluate baseline characteristics
of nonradiographic axial spondyloarthritis (nr-axSpA) and ankylosing spondylitis
(AS) treated with tumor necrosis factor-alpha inhibitors (TNFi), (2) assess the
response to first TNFi treatment, and (3) compare drug-survival duration and
rates. METHODS: Inclusion criteria were patients with axSpA who initiated first
TNFi treatment between April 2001 and July 2014 and were followed up for at least
3 months. Efficacy criteria were an improvement of at least 2 points (on a 0-10
scale) or a 50% improvement in the Bath Ankylosing Spondylitis Disease Activity
Index (BASDAI). Baseline characteristics, responses at 12 months, and drug
survival were compared between AS and nr-axSpA. RESULTS: A total of 361 patients
were included in the study (AS, n = 263 and nr-axSpA, n = 98). Patients with AS
were more often men (65.02% vs 45.92%, p = 0.001) and had longer symptom duration
(11.71 +/- 9.52 vs 7.34 +/- 9.30 yrs, p < 0.001). Median levels of acute-phase
reactants (C-reactive protein and erythrocyte sedimentation rate) were
significantly higher in patients with AS (p < 0.001 for both). Median BASDAI
scores at first TNFi initiation were not higher in patients with nr-axSpA than in
patients with AS (59, 49-70 vs 60, 50-70, p = 0.73). BASDAI 20 and BASDAI 50
response rates at 12 months were not statistically different between patients
with AS and patients with nr-axSpA (74.58% vs 64.58%, p = 0.19 and 61.02% vs
50.00%, p = 0.19, respectively). No statistically significant difference in terms
of survival was observed between patients with AS and nr-axSpA (p = 1.00).
CONCLUSION: Treatment response and drug survival were similar in patients with AS
and nr-axSpA after first TNFi initiation.
PMID- 26568595
TI - Development of a Provisional Core Domain Set for Polymyalgia Rheumatica: Report
from the OMERACT 12 Polymyalgia Rheumatica Working Group.
AB - OBJECTIVE: The Outcome Measures in Rheumatology (OMERACT) polymyalgia rheumatica
(PMR) working group aims to develop a core set of outcome measures to be used in
clinical trials for PMR. Previous reports from OMERACT 11 included a qualitative
study of the patient experience and a preliminary literature review. METHODS: A 3
round Delphi survey of clinicians and patients with PMR was undertaken to
identify a candidate core domain set for PMR research. Additionally, a literature
review of outcome measures and their respective measurement instruments was
undertaken. Meetings of patient research partners and clinicians were convened to
review face validity of the provisional core domain set, which was subsequently
presented and discussed at the OMERACT 12 congress. RESULTS: Of the 60 clinicians
taking part in round 1, 55 took part in round 2 and 51 in round 3. Of the 55
patients who took part in round 1, 46 and 35 took part in subsequent rounds. In
total, 91% of participants in round 3 deemed the resulting draft core domain set
reasonable. The literature review identified 28 studies for full review.
Measurement instruments for each proposed domain were identified. Clinicians are
highly aware of glucocorticoid-related adverse effects, but there is relatively
little evidence about their true prevalence and severity, especially in PMR.
CONCLUSION: A provisional core domain set, presented for clinical trials in PMR,
comprises acute phase markers, physical function, death, glucocorticoid-related
adverse events, and development of giant cell arteritis. Measurement instruments
are suggested that may cover each domain, but these require formal validation for
clinical trials in PMR.
PMID- 26568594
TI - Proposal for a Candidate Core Set of Fitness and Strength Tests for Patients with
Childhood or Adult Idiopathic Inflammatory Myopathies.
AB - OBJECTIVE: Currently there are no evidence-based recommendations regarding
fitness and strength tests for patients with childhood or adult idiopathic
inflammatory myopathies (IIM). This hinders clinicians and researchers in
choosing the appropriate fitness- or muscle strength-related outcome measures for
these patients. Through a Delphi survey, we aimed to identify a candidate core
set of fitness and strength tests for children and adults with IIM. METHODS:
Fifteen experts participated in a Delphi survey that consisted of 5 stages to
achieve a consensus. Using an extensive search of published literature and
through the work of experts, a candidate core set based on expert opinion and
clinimetrics properties was developed. Members of the International Myositis
Assessment and Clinical Studies Group were invited to review this candidate core
set during the final stage, which led to a final candidate core set. RESULTS: A
core set of fitness- and strength-related outcome measures was identified for
children and adults with IIM. For both children and adults, different tests were
identified and selected for maximal aerobic fitness, submaximal aerobic fitness,
anaerobic fitness, muscle strength tests, and muscle function tests. CONCLUSION:
The core set of fitness- and strength-related outcome measures provided by this
expert consensus process will assist practitioners and researchers in deciding
which tests to use in patients with IIM. This will improve the uniformity of
fitness and strength tests across studies, thereby facilitating the comparison of
study results and therapeutic exercise program outcomes among patients with IIM.
PMID- 26568596
TI - Nighttime Blood Pressure Patterns and Subclinical Atherosclerosis in Women with
Systemic Lupus Erythematosus.
AB - OBJECTIVE: To compare 24-h ambulatory blood pressure (BP) monitoring (ABPM)
values and patterns in women with systemic lupus erythematosus (SLE) with those
of a matched control group and their relationship with the presence of
subclinical atherosclerosis. METHODS: ABPM was assessed in 70 women with SLE and
in 65 sex- and age-matched controls without a history of clinic cardiovascular
disease (CVD). Carotid-femoral pulse wave velocity (PWV), which is a marker of
subclinical atherosclerosis and a predictor of future CVD, was measured.
Multivariate logistic analysis was used to determine which explanatory variables
were independently associated with the non-dipper pattern and the presence of
nocturnal hypertension (HTN) in women with SLE. RESULTS: No differences in PWV
were found between patients and controls [median 7.3, interquartile range (IQR)
6.5-8.1 m/s vs median 7.1, IQR 6.5-7.8 m/s, p = 0.474]. The frequency of
nondipper pattern (p = 0.025) and nocturnal HTN (p = 0.004) was significantly
higher in women with SLE than in controls. White-coat and masked HTN were present
in 10% and 11% of patients and in 20% and 8% of controls, respectively (p > 0.05
in all cases). The concordance between office and ambulatory HTN in the SLE and
control groups was modest (kappa = 0.325 and kappa = 0.451, respectively). PWV
and chronic kidney disease, and PWV and the Systemic Lupus Erythematosus Disease
Activity Index were found to be independently associated with nocturnal HTN and
nondipper pattern, respectively. CONCLUSION: Women with SLE were more likely to
have an altered nighttime BP pattern than controls. In women with SLE, nondipper
pattern and nocturnal HTN were independently associated with increased
subclinical atherosclerosis measured by PWV.
PMID- 26568597
TI - Cross-sectional and Longitudinal Associations between Knee Joint Effusion
Synovitis and Knee Pain in Older Adults.
AB - OBJECTIVE: To describe the cross-sectional and longitudinal associations between
knee regional effusion synovitis and knee pain in older adults. METHODS: Data
from a population-based random sample (n = 880, mean age 62 yrs, 50% women) were
used. Baseline knee joint effusion synovitis was graded (0-3) using T2-weighted
magnetic resonance imaging (MRI) in the suprapatellar pouch, central portion,
posterior femoral recess, and subpopliteal recess. Effusion synovitis of the
whole joint was defined as a score of >= 2 in any subregion. Other knee
structural (including cartilage, bone marrow, and menisci) lesions were assessed
by MRI at baseline. Knee pain was assessed by the Western Ontario and McMaster
Universities Osteoarthritis Index questionnaire at baseline and 2.6 years later.
Multivariable analyses were performed after adjustment for age, sex, body mass
index, and other structural lesions. RESULTS: The prevalence of effusion
synovitis was 67%. Suprapatellar pouch effusion synovitis was significantly and
independently associated with increased total and nonweight-bearing knee pain in
both cross-sectional and longitudinal analyses (for an increase in total knee
pain of >= 5, RR 1.26 per grade, 95% CI 1.04-1.52), and increased weight-bearing
knee pain in longitudinal analysis only. Effusion synovitis in posterior femoral
recess and central portion were independently associated with increases in
nonweight-bearing pain (RR 1.63 per grade, 95% CI 1.32-2.01 and RR 1.29 per
grade, 95% CI 1.01-1.65, respectively) in longitudinal analyses only. CONCLUSION:
Knee joint effusion synovitis has independent associations with knee pain in
older adults. Suprapatellar pouch effusion synovitis is associated with nonweight
bearing and weight-bearing knee pain, while posterior femoral recess and central
portion effusion synovitis are only associated with nonweight-bearing pain.
PMID- 26568598
TI - Knee Pain Patterns and Associations with Pain and Function in Persons with or at
Risk for Symptomatic Radiographic Osteoarthritis: A Cross-sectional Analysis.
AB - OBJECTIVE: Knee pain location is routinely assessed in clinical practice. We
determined the patterns of patient-reported pain locations for persons with knee
osteoarthritis (OA). We also examined associations between knee pain patterns and
severity of self-reported pain with activity and self-reported functional status.
METHODS: The Osteoarthritis Initiative data were used to examine reports of pain
location (localized, regional, or global) and type and extent of knee OA.
Multivariable ANCOVA models were used to determine associations between the Knee
Injury and Osteoarthritis Outcome Survey (KOOS) Pain and the Western Ontario and
McMaster Universities Osteoarthritis Index (WOMAC) Function scales and pain
location after adjusting for potential confounding. We also used radar graphs to
illustrate pain patterns for various locations and severity of knee OA. RESULTS:
Radar graphs of 2696 knees indicated that pain pattern and location and extent of
knee OA demonstrate substantial overlap. An interaction between race and pain
location was found for WOMAC Function, but not for KOOS Pain scores. Global knee
pain was associated (p < 0.001) with substantially worse function (by 6.5 points
in African Americans) compared with pain that was localized. Knee pain reported
as global was independently associated (p < 0.001) with clinically important
lower (worse by 3.9 points) KOOS Pain scores compared with pain that was
localized. CONCLUSION: Pain patterns are not useful for inferring potential
location or severity of knee OA in individual patients, but knee pain patterns
that are global are independently associated with worse pain and function
compared with localized pain, and associations differ for function based on race.
PMID- 26568600
TI - Outcome Measures in Polymyalgia Rheumatica. A Systematic Review.
AB - OBJECTIVE: To identify the instruments used to assess polymyalgia rheumatica
(PMR) in published studies. METHODS: A systematic literature review of clinical
trials and longitudinal observational studies related to PMR, published from 1970
to 2014, was carried out. All outcome and assessment instruments were extracted
and categorized according to core areas and domains, as defined by the OMERACT
(Outcome Measures in Rheumatology) Filter 2.0. RESULTS: Thirty-five articles
(3221 patients) were included: 12 randomized controlled trials (RCT); 3
nonrandomized trials; and 20 observational studies. More than 20 domains were
identified, measured by 29 different instruments. The most frequently used
measures were pain, morning stiffness, patient global assessment and physician
global assessment, erythrocyte sedimentation rate, and C-reactive protein. The
definition of outcomes varied considerably between studies. CONCLUSION: The
outcome measures and instruments used in PMR are numerous and diversely defined.
The establishment of a core set of validated and standardized outcome
measurements is needed.
PMID- 26568599
TI - Vasoactive Therapy in Systemic Sclerosis: Real-life Therapeutic Practice in More
Than 3000 Patients.
AB - OBJECTIVE: Vasculopathy is a key factor in the pathophysiology of systemic
sclerosis (SSc) and the main cause for Raynaud phenomenon (RP), digital ulcers
(DU), and/or pulmonary arterial hypertension (PAH). It is so far unknown how
patients with SSc are treated with vasoactive agents in daily practice. To
determine to which extent patients with SSc were treated with different
vasoactive agents, we used data from the German Network for Systemic Scleroderma
registry. METHODS: The data of 3248 patients with SSc were analyzed. RESULTS:
Patients were treated with vasoactive drugs in 61.1% of cases (1984/3248). Of
these, 47.6% received calcium channel inhibitors, followed by 34.2% treated with
angiotensin-converting enzyme (ACE) inhibitors, 21.1% treated with intravenous
(IV) prostanoids, 10.1% with pentoxifylline, 8.8% with angiotensin 1 receptor
antagonists (AT1RA), 8.7% with endothelin 1 receptor antagonists (ET1RA), 4.1%
with phosphodiesterase type 5 (PDE5) inhibitors, and 5.3% with others. Patients
with RP received vasoactive therapy in 63.3% of cases, with DU in 70.1%, and with
PAH in 78.2% of cases. Logistic regression analysis revealed that patients with
PAH were significantly more often treated with PDE5 inhibitors and ET1RA, and
those with DU with ET1RA and IV prostanoids. In addition, 41.8% of patients were
treated with ACE inhibitors and/or AT1RA. Patients registered after 2009 received
significantly more often ET1RA, AT1RA, and IV prostanoids compared with patients
registered prior to 2005. CONCLUSION: These data clearly indicate that many
patients with SSc do not yet receive sufficient vasoactive therapy. Further, in
recent years, a marked change of treatment regimens can be observed.
PMID- 26568601
TI - Risk Factors for Symptomatic Avascular Necrosis in Childhood-onset Systemic Lupus
Erythematosus.
AB - OBJECTIVE: To examine the frequency and risk factors for symptomatic avascular
necrosis (AVN) in childhood-onset systemic lupus erythematosus (cSLE). METHODS: A
single-center, nested, matched, case-control design was used. There were 617
patients with cSLE followed at the Hospital for Sick Children (SickKids) Lupus
Clinic between July 1982 and June 2013 included in the study. The AVN cohort
consisted of 37 patients identified with clinical findings of symptomatic AVN and
diagnosis was confirmed by 1 or more imaging modalities. Three controls were
matched to each patient with AVN by date and age at diagnosis. Baseline clinical,
laboratory, and treatment characteristics were compared between patients with AVN
and controls by univariable analyses and if statistically significant, were
included in a multivariable logistic regression model. RESULTS: A total of 37/617
patients (6%) developed symptomatic AVN in 91 joints during followup at SickKids.
The mean duration to disease was 2.3 years. The hip was the most commonly
involved joint (26/37, 70%). Compared with the matched non-AVN cohort, patients
with AVN had a higher incidence of central nervous system (CNS) involvement and
nephritis, required greater cumulative prednisone (PRED) from cSLE diagnosis to
AVN, received a greater maximal daily PRED dose, and had more frequent use of
pulse methylprednisolone therapy. Multivariable regression analysis confirmed
major organ involvement (CNS disease and/or nephritis) and maximal daily PRED
dose as significant predictors of symptomatic AVN development. CONCLUSION:
Patients with cSLE with severe organ involvement including nephritis and CNS
disease and higher maximal daily dose of PRED are more likely to develop
symptomatic AVN.
PMID- 26568602
TI - Risk of infection among patients with non-metastatic solid tumors or non
Hodgkin's lymphoma receiving myelosuppressive chemotherapy and antimicrobial
prophylaxis in US clinical practice.
AB - Purpose Guidelines generally do not recommend oral antimicrobials for prophylaxis
against chemotherapy-related infections in patients with solid tumors. Evidence
on antimicrobial prophylaxis use, and associated chemotherapy-related infection
risk, in US clinical practice is limited. Methods A retrospective cohort design
and data from two US private healthcare claims repositories (2008-2011) were
employed. Study population included adults who received myelosuppressive
chemotherapy for non-metastatic cancer of the breast, colon/rectum, or lung, or
for non-Hodgkin's lymphoma. For each subject, the first chemotherapy course was
characterized, and within the first course, each chemotherapy cycle and
chemotherapy-related infection episode was identified. Use of prophylaxis with
oral antimicrobials and colony-stimulating factors in each cycle also was
identified. Results A total of 7116 (22% of all) non-metastatic breast cancer,
1833 (15%) non-metastatic colorectal cancer, 1999 (15%) non-metastatic lung
cancer, and 1949 (21%) non-Hodgkin's lymphoma patients received antimicrobial
prophylaxis in >=1 cycle. Mean number of antimicrobial prophylaxis cycles during
the course among these patients was typically <2, with little difference across
cancers and chemotherapy regimens. Fluoroquinolones were the most commonly
received class of antimicrobials, accounting for 20%-50% all antimicrobials
administered. Among subjects who received first-cycle antimicrobial prophylaxis,
chemotherapy-related infection risk in that cycle ranged from 3% to 6% across
cancer types. Among patients who received first-cycle antimicrobial prophylaxis
and developed chemotherapy-related infections, 38%-67% required inpatient care.
Chemotherapy-related infection risk in subsequent cycles with antimicrobial
prophylaxis was comparable. Conclusion The results of this study suggest that use
of antimicrobial prophylaxis during myelosuppressive chemotherapy is far from
uncommon in clinical practice. The results also suggest that an important
minority of cancer chemotherapy patients receiving antimicrobial prophylaxis
still develop serious infection requiring hospitalization.
PMID- 26568603
TI - Comparison of the accident process, radioactivity release and ground
contamination between Chernobyl and Fukushima-1.
AB - In this report, we have reviewed the basic features of the accident processes and
radioactivity releases that occurred in the Chernobyl accident (1986) and in the
Fukushima-1 accident (2011). The Chernobyl accident was a power-surge accident
that was caused by a failure of control of a fission chain reaction, which
instantaneously destroyed the reactor and building, whereas the Fukushima-1
accident was a loss-of-coolant accident in which the reactor cores of three units
were melted by decay heat after losing the electricity supply. Although the
quantity of radioactive noble gases released from Fukushima-1 exceeded the amount
released from Chernobyl, the size of land area severely contaminated by
(137)Cesium ((137)Cs) was 10 times smaller around Fukushima-1 compared with
around Chernobyl. The differences in the accident process are reflected in the
composition of the discharged radioactivity as well as in the composition of the
ground contamination. Volatile radionuclides (such as (132)Te-(132)I, (131)I,
(134)Cs and (137)Cs) contributed to the gamma-ray exposure from the ground
contamination around Fukishima-1, whereas a greater variety of radionuclides
contributed significantly around Chernobyl. When radioactivity deposition
occurred, the radiation exposure rate near Chernobyl is estimated to have been
770 MUGy h(-1) per initial (137)Cs deposition of 1000 kBq m(-2), whereas it was
100 MUGy h(-1) around Fukushima-1. Estimates of the cumulative exposure for 30
years are 970 and 570 mGy per initial deposition of 1000 kBq m(-2) for Chernobyl
and Fukusima-1, respectively. Of these exposures, 49 and 98% were contributed by
radiocesiums ((134)Cs + (137)Cs) around Chernobyl and Fukushima-1, respectively.
PMID- 26568604
TI - Clinical element models in the SHARPn consortium.
AB - OBJECTIVE: The objective of the Strategic Health IT Advanced Research Project
area four (SHARPn) was to develop open-source tools that could be used for the
normalization of electronic health record (EHR) data for secondary use-
specifically, for high throughput phenotyping. We describe the role of
Intermountain Healthcare's Clinical Element Models ([CEMs] Intermountain
Healthcare Health Services, Inc, Salt Lake City, Utah) as normalization "targets"
within the project. MATERIALS AND METHODS: Intermountain's CEMs were either
repurposed or created for the SHARPn project. A CEM describes "valid" structure
and semantics for a particular kind of clinical data. CEMs are expressed in a
computable syntax that can be compiled into implementation artifacts. The
modeling team and SHARPn colleagues agilely gathered requirements and developed
and refined models. RESULTS: Twenty-eight "statement" models (analogous to
"classes") and numerous "component" CEMs and their associated terminology were
repurposed or developed to satisfy SHARPn high throughput phenotyping
requirements. Model (structural) mappings and terminology (semantic) mappings
were also created. Source data instances were normalized to CEM-conformant data
and stored in CEM instance databases. A model browser and request site were built
to facilitate the development. DISCUSSION: The modeling efforts demonstrated the
need to address context differences and granularity choices and highlighted the
inevitability of iso-semantic models. The need for content expertise and
"intelligent" content tooling was also underscored. We discuss scalability and
sustainability expectations for a CEM-based approach and describe the place of
CEMs relative to other current efforts. CONCLUSIONS: The SHARPn effort
demonstrated the normalization and secondary use of EHR data. CEMs proved capable
of capturing data originating from a variety of sources within the normalization
pipeline and serving as suitable normalization targets.
PMID- 26568605
TI - Strategizing EHR use to achieve patient-centered care in exam rooms: a
qualitative study on primary care providers.
AB - OBJECTIVE: Electronic health records (EHRs) have great potential to improve
quality of care. However, their use may diminish "patient-centeredness" in exam
rooms by distracting the healthcare provider from focusing on direct patient
interaction. The authors conducted a qualitative interview study to understand
the magnitude of this issue, and the strategies that primary care providers
devised to mitigate the unintended adverse effect associated with EHR use.
METHODS AND MATERIALS: Semi-structured interviews were conducted with 21
healthcare providers at 4 Veterans Affairs (VAs) outpatient primary care clinics
in San Diego County. Data analysis was performed using the grounded theory
approach. RESULTS: The results show that providers face demands from both
patients and the EHR system. To cope with these demands, and to provide patient
centered care, providers attempt to perform EHR work outside of patient
encounters and create templates to streamline documentation work. Providers also
attempt to use the EHR to engage patients, establish patient buy-in for EHR use,
and multitask between communicating with patients and using the EHR. DISCUSSION
AND CONCLUSION: This study has uncovered the challenges that primary care
providers face in integrating the EHR into their work practice, and the
strategies they use to overcome these challenges in order to maintain patient
centered care. These findings illuminate the importance of developing "best"
practices to improve patient-centered care in today's highly "wired" health
environment. These findings also show that more user-centered EHR design is
needed to improve system usability.
PMID- 26568606
TI - The vulnerabilities of computerized physician order entry systems: a qualitative
study.
AB - OBJECTIVE: To test the vulnerabilities of a wide range of computerized physician
order entry (CPOE) systems to different types of medication errors, and develop a
more comprehensive qualitative understanding of how their design could be
improved. MATERIALS AND METHODS: The authors reviewed a random sample of 63,040
medication error reports from the US Pharmacopeia (USP) MEDMARX reporting system
where CPOE systems were considered a "contributing factor" to errors and flagged
test scenarios that could be tested in current CPOE systems. Testers entered
these orders in 13 commercial and homegrown CPOE systems across 16 different
sites in the United States and Canada, using both usual practice and where-needed
workarounds. Overarching themes relevant to interface design and
usability/workflow issues were identified. RESULTS: CPOE systems often failed to
detect and prevent important medication errors. Generation of electronic alert
warnings varied widely between systems, and depended on a number of factors,
including how the order information was entered. Alerts were often confusing,
with unrelated warnings appearing on the same screen as those more relevant to
the current erroneous entry. Dangerous drug-drug interaction warnings were
displayed only after the order was placed rather than at the time of ordering.
Testers illustrated various workarounds that allowed them to enter these
erroneous orders. DISCUSSION AND CONCLUSION: The authors found high variability
in ordering approaches between different CPOE systems, with major deficiencies
identified in some systems. It is important that developers reflect on these
findings and build in safeguards to ensure safer prescribing for patients.
PMID- 26568607
TI - Effects of health information technology on patient outcomes: a systematic
review.
AB - OBJECTIVE: To systematically review studies assessing the effects of health
information technology (health IT) on patient safety outcomes. MATERIALS AND
METHODS: The authors employed the Preferred Reporting Items for Systematic
Reviews and Meta-Analyses (PRISMA) statement methods. MEDLINE, Cumulative Index
to Nursing Allied Health (CINAHL), EMBASE, and Cochrane Library databases, from
2001 to June 2012, were searched. Descriptive and comparative studies were
included that involved use of health IT in a clinical setting and measured
effects on patient safety outcomes. RESULTS: Data on setting, subjects,
information technology implemented, and type of patient safety outcomes were all
abstracted. The quality of the studies was evaluated by 2 independent reviewers
(scored from 0 to 10). A total of 69 studies met inclusion criteria. Quality
scores ranged from 1 to 9. There were 25 (36%) studies that found benefit of
health IT on direct patient safety outcomes for the primary outcome measured, 43
(62%) studies that either had non-significant or mixed findings, and 1 (1%) study
for which health IT had a detrimental effect. Neither the quality of the studies
nor the rate of randomized control trials performed changed over time. Most
studies that demonstrated a positive benefit of health IT on direct patient
safety outcomes were inpatient, single-center, and either cohort or observational
trials studying clinical decision support or computerized provider order entry.
DISCUSSION AND CONCLUSION: Many areas of health IT application remain
understudied and the majority of studies have non-significant or mixed findings.
Our study suggests that larger, higher quality studies need to be conducted,
particularly in the long-term care and ambulatory care settings.
PMID- 26568608
TI - Improving health care proxy documentation using a web-based interview through a
patient portal.
AB - OBJECTIVE: Health care proxy (HCP) documentation is suboptimal. To improve rates
of proxy selection and documentation, we sought to develop and evaluate a web
based interview to guide patients in their selection, and to capture their
choices in their electronic health record (EHR). METHODS: We developed and
implemented a HCP interview within the patient portal of a large academic health
system. We analyzed the experience, together with demographic and clinical
factors, of the first 200 patients who used the portal to complete the interview.
We invited users to comment about their experience and analyzed their comments
using established qualitative methods. RESULTS: From January 20, 2015 to March
13, 2015, 139 of the 200 patients who completed the interview submitted their HCP
information for their clinician to review in the EHR. These patients had a median
age of 57 years (Inter Quartile Range (IQR) 45-67) and most were healthy. The 99
patients who did not previously have HCP information in their EHR were more
likely to complete and then submit their information than the 101 patients who
previously had a proxy in their health record (odds ratio 2.4, P = .005).
Qualitative analysis identified several ways in which the portal-based interview
reminded, encouraged, and facilitated patients to complete their HCP.
CONCLUSIONS: Patients found our online interview convenient and helpful in
facilitating selection and documentation of an HCP. Our study demonstrates that a
web-based interview to collect and share a patient's HCP information is both
feasible and useful.
PMID- 26568611
TI - Common Creatine Kinase gene mutation results in falsely reassuring CK levels in
muscle disorders.
PMID- 26568612
TI - Cholesterol production, accumulation, reverse transport, and excretion:
opportunities for statins, PPAR-alpha agonists, and PCSK9 inhibitors.
PMID- 26568613
TI - The Andreas Gruntzig Lecture on Interventional Cardiology at ESC 2015 in London
was delivered by Prof. Bernhard Meier who spoke with Mark Nicholls.
PMID- 26568614
TI - Genes that Escape X-Inactivation in Humans Have High Intraspecific Variability in
Expression, Are Associated with Mental Impairment but Are Not Slow Evolving.
PMID- 26568615
TI - Restriction-Modification Systems as Mobile Genetic Elements in the Evolution of
an Intracellular Symbiont.
AB - Long-term vertical transmission of intracellular bacteria causes massive genomic
erosion and results in extremely small genomes, particularly in ancient
symbionts. Genome reduction is typically preceded by the accumulation of
pseudogenes and proliferation of mobile genetic elements, which are responsible
for chromosome rearrangements during the initial stage of endosymbiosis. We
compared the genomes of an endosymbiont of termite gut flagellates, "Candidatus
Endomicrobium trichonymphae," and its free-living relative Endomicrobium
proavitum and discovered many remnants of restriction-modification (R-M) systems
that are consistently associated with genome rearrangements in the endosymbiont
genome. The rearrangements include apparent insertions, transpositions, and the
duplication of a genomic region; there was no evidence of transposon structures
or other mobile elements. Our study reveals a so far unrecognized mechanism for
genome rearrangements in intracellular symbionts and sheds new light on the
general role of R-M systems in genome evolution.
PMID- 26568617
TI - FAST ASSESSMENT OF RF POWER ABSORPTION IN INDOOR ENVIRONMENTS BY ROOM
ELECTROMAGNETICS THEORY.
AB - A fast method to assess radiofrequency (RF) radiation absorption in humans
present in realistic indoor environments is proposed. The only required inputs
are the room characteristics and the weight and position of the human with
respect to the electromagnetic source. The method is compared and validated with
full finite-difference time-domain simulations. Various realistic scenarios are
investigated, in which one to six human phantoms are present. Whole-body power
absorption ranges from 23.5 to 85.9 uW kg-1 with a median deviation of ~3.1 dB
(51 %). While this difference may appear large, it is outbalanced by a
calculation time of less than a second for the proposed method compared with
~17.5 h for a single full-wave electromagnetic simulation.
PMID- 26568618
TI - TEMPORAL DEPENDENCE OF THE RADIATION MONITORING INSTRUMENTS FOR AREA MONITORING
USED AT RADIODIAGNOSTIC AND INTERVENTION FACILITIES.
AB - Area monitoring is a fundamental test at radiodiagnostic facilities to maintain
an acceptable level of radiation exposure for employees and members of the
public. Experimental measurements were taken in an ionising radiation calibration
laboratory. Four area monitor instruments were used. Dose and dose rate
measurements were measured in integrated and rate operating modes. The results
show that precautions are necessary where the area monitor uses exposure times of
<=2 s. When taking measurements in rate mode for times <=2 s, the area monitors
evaluated show a tendency to underestimate dose rate, the inaccuracy was 41 %,
and varied between 34 and 45 % for different energies. It is highly recommendable
to work in integrated mode, inaccuracy varied from 2 to 35 %. For measurements
taken with exposure times of >=3 s, the average inaccuracy was 15 % and the range
was between 2 and 41 %.
PMID- 26568616
TI - Global Transcriptional Profiling of Diapause and Climatic Adaptation in
Drosophila melanogaster.
AB - Wild populations of the model organism Drosophila melanogaster experience highly
heterogeneous environments over broad geographical ranges as well as over
seasonal and annual timescales. Diapause is a primary adaptation to environmental
heterogeneity, and in D. melanogaster the propensity to enter diapause varies
predictably with latitude and season. Here we performed global transcriptomic
profiling of naturally occurring variation in diapause expression elicited by
short day photoperiod and moderately low temperature in two tissue types
associated with neuroendocrine and endocrine signaling, heads, and ovaries. We
show that diapause in D. melanogaster is an actively regulated phenotype at the
transcriptional level, suggesting that diapause is not a simple physiological or
reproductive quiescence. Differentially expressed genes and pathways are highly
distinct in heads and ovaries, demonstrating that the diapause response is not
uniform throughout the soma and suggesting that it may be comprised of functional
modules associated with specific tissues. Genes downregulated in heads of
diapausing flies are significantly enriched for clinally varying single
nucleotide polymorphism (SNPs) and seasonally oscillating SNPs, consistent with
the hypothesis that diapause is a driving phenotype of climatic adaptation. We
also show that chromosome location-based coregulation of gene expression is
present in the transcriptional regulation of diapause. Taken together, these
results demonstrate that diapause is a complex phenotype actively regulated in
multiple tissues, and support the hypothesis that natural variation in diapause
propensity underlies adaptation to spatially and temporally varying selective
pressures.
PMID- 26568619
TI - Placental programmed cell death: insights into the role of aquaporins.
AB - STUDY HYPOTHESIS: Are the placental aquaporins (AQPs) involved in the apoptosis
of human trophoblast? STUDY FINDING: The general blocking of placental AQPs with
HgCl2 and, in particular, the blocking of AQP3 activity with CuSO4 abrogated the
apoptotic events of human trophoblast cells. WHAT IS KNOWN ALREADY: Although
apoptosis of trophoblast cells is a natural event involved in the normal
development of the placenta, it is exacerbated in pathological processes, such as
pre-eclampsia, where an abnormal expression and functionality of placental AQPs
occur without alterations in the feto-maternal water flux. Furthermore,
fluctuations in O2 tension are proposed to be a potent inducer of placental
apoptotic changes and, in explants exposed to hypoxia/reoxygenation (H/R),
transcellular water transport mediated by AQPs was undetectable. This suggests
that AQPs might be involved in processes other than water transport, such as
apoptosis. STUDY DESIGN, SAMPLES/MATERIALS, METHODS: Explants from normal term
placentas were maintained in culture under conditions of normoxia, hypoxia and
H/R. Cell viability was determined by assessing 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyl tetrazolium bromide incorporation. For the general or specific
inhibition of AQPs, 0.3 mM HgCl2, 5 mM CuSO4, 0.3 mM tetraethylammonium chloride
(TEA) or 0.5 mM phloretin were added to the culture medium before explants were
exposed to each treatment. Oxidative stress parameters and apoptotic indexes were
evaluated in the presence or absence of AQPs blockers. AQP3 expression was
confirmed by western blot and immunohistochemistry. MAIN RESULTS AND THE ROLE OF
CHANCE: First, we observed that in H/R treatments cell viability decreased by
20.16 +/- 5.73% compared with those explants cultured in normoxia (P = 0.009; n =
7). Hypoxia did not modify cell viability significantly. Both hypoxia and H/R
conditions induced oxidative stress. Spontaneous chemiluminescence and
thiobarbituric acid reactive substance levels were significantly increased in
explants exposed to hypoxia (n = 6 per group, P = 0.0316 and P = 0.0009,
respectively) and H/R conditions (n = 6 per group, P = 0.0281 and P = 0.0001,
respectively) compared with those cultured in normoxia. Regarding apoptosis, H/R
was a more potent inducer of trophoblast apoptosis than hypoxia alone. Bax
expression and the number of apoptotic nuclei were significantly higher in
explants cultured in H/R compared with normoxia and hypoxia conditions (n = 12, P
= 0.0135 and P = 0.001, respectively). DNA fragmentation was only observed in H/R
and, compared with normoxia and hypoxia, the activity of caspase-3 was highest in
explants cultured in H/R (n = 12, P = 0.0001). In explants exposed to H/R, steric
blocking of AQP activity with HgCl2 showed that DNA degradation was undetectable
(n = 12, P = 0.001). Bax expression and caspase-3 activity were drastically
reduced (n = 12, P = 0.0146 and P = 0.0001, respectively) compared with explants
cultured in H/R but not treated with HgCl2. Similar results were observed in
explants exposed to H/R when we blocked AQP3 activity with CuSO4. DNA degradation
was undetectable and the number of apoptotic nuclei and caspase-3 activity were
significantly decreased compared with explants cultured in H/R but not treated
with CuSO4 (n = 12, P = 0.001 and P = 0.0001, respectively). However, TEA and
phloretin treatments, to block AQP1/4 or AQP9, respectively, failed in abrogate
apoptosis. In addition, we confirmed the expression and localization of AQP3 in
explants exposed to H/R. LIMITATIONS, REASONS FOR CAUTION: Our studies are
limited by the number of experimental conditions tested, which do not fully
capture the variability in oxygen levels, duration of exposure and alternating
patterns of oxygen seen in vivo. WIDER IMPLICATIONS OF THE FINDINGS: Our results
suggest that any alteration in placental AQP expression might disturb the
equilibrium of the normal apoptotic events and may be an underlying cause in the
pathophysiology of placental gestational disorders such as pre-eclampsia.
Furthermore, the dysregulation of placental AQPs may be one of the crucial
factors in triggering the clinical manifestations of pre-eclampsia. LARGE SCALE
DATA: n/a. STUDY FUNDING AND COMPETING INTERESTS: This study was supported by
UBACyT 20020090200025 and 20020110200207 grants and PIP-CONICET 11220110100561
grant, and the authors have no conflict of interest to declare.
PMID- 26568621
TI - Neighbourhood effects in health behaviours: a test of social causation with
repeat-measurement longitudinal data.
AB - BACKGROUND: Neighbourhood characteristics have been associated with health
behaviours of residents. We used longitudinal data to examine whether
neighbourhood characteristics (level of urbanization and socioeconomic status)
are related to within-individual variations in health behaviours (alcohol
consumption, smoking, exercise and self-interest in health) as people live in
different neighbourhoods over time. METHODS: Participants were from the Young
Finns prospective cohort study (N = 3145) with four repeated measurement times
(1992, 2001, 2007 and 2011/2012). Neighbourhood socioeconomic status and level of
urbanization were measured on the level of municipality and zip code area. Within
individual (i.e. fixed-effect) regression was used to examine whether these
associations were observed within individuals who lived in different
neighbourhood in different measurement times. RESULTS: People living in more
urban zip code areas were more likely to smoke (b = 0.06; CI = 0.03-0.09) and
drink alcohol (b = 0.11; CI = 0.08-0.14), and these associations were replicated
in within-individual analysis-supporting social causation. Neighbourhood
socioeconomic status and urbanization were associated with higher interest in
maintaining personal health (b = 0.05; CI = 0.03-0.08 and b = 0.05; CI = 0.02
0.07, respectively), and these associations were also similar in within
individual analysis. Physical exercise was not associated with neighbourhood
characteristics. CONCLUSIONS: These data lend partial support for the hypothesis
that neighbourhood differences influence people's health behaviours.
PMID- 26568620
TI - Mismatch or allostatic load? Timing of life adversity differentially shapes gray
matter volume and anxious temperament.
AB - Traditionally, adversity was defined as the accumulation of environmental events
(allostatic load). Recently however, a mismatch between the early and the later
(adult) environment (mismatch) has been hypothesized to be critical for disease
development, a hypothesis that has not yet been tested explicitly in humans. We
explored the impact of timing of life adversity (childhood and past year) on
anxiety and depression levels (N = 833) and brain morphology (N = 129). Both
remote (childhood) and proximal (recent) adversities were differentially mirrored
in morphometric changes in areas critically involved in emotional processing
(i.e. amygdala/hippocampus, dorsal anterior cingulate cortex, respectively). The
effect of adversity on affect acted in an additive way with no evidence for
interactions (mismatch). Structural equation modeling demonstrated a direct
effect of adversity on morphometric estimates and anxiety/depression without
evidence of brain morphology functioning as a mediator. Our results highlight
that adversity manifests as pronounced changes in brain morphometric and
affective temperament even though these seem to represent distinct mechanistic
pathways. A major goal of future studies should be to define critical time
periods for the impact of adversity and strategies for intervening to prevent or
reverse the effects of adverse childhood life experiences.
PMID- 26568622
TI - Highly accurate sequence-based prediction of half-sphere exposures of amino acid
residues in proteins.
AB - MOTIVATION: Solvent exposure of amino acid residues of proteins plays an
important role in understanding and predicting protein structure, function and
interactions. Solvent exposure can be characterized by several measures including
solvent accessible surface area (ASA), residue depth (RD) and contact numbers
(CN). More recently, an orientation-dependent contact number called half-sphere
exposure (HSE) was introduced by separating the contacts within upper and down
half spheres defined according to the Calpha-Cbeta (HSEbeta) vector or
neighboring Calpha-Calpha vectors (HSEalpha). HSEalpha calculated from protein
structures was found to better describe the solvent exposure over ASA, CN and RD
in many applications. Thus, a sequence-based prediction is desirable, as most
proteins do not have experimentally determined structures. To our best knowledge,
there is no method to predict HSEalpha and only one method to predict HSEbeta.
RESULTS: This study developed a novel method for predicting both HSEalpha and
HSEbeta (SPIDER-HSE) that achieved a consistent performance for 10-fold cross
validation and two independent tests. The correlation coefficients between
predicted and measured HSEbeta (0.73 for upper sphere, 0.69 for down sphere and
0.76 for contact numbers) for the independent test set of 1199 proteins are
significantly higher than existing methods. Moreover, predicted HSEalpha has a
higher correlation coefficient (0.46) to the stability change by residue mutants
than predicted HSEbeta (0.37) and ASA (0.43). The results, together with its easy
Calpha-atom-based calculation, highlight the potential usefulness of predicted
HSEalpha for protein structure prediction and refinement as well as function
prediction. AVAILABILITY AND IMPLEMENTATION: The method is available at
http://sparks-lab.org CONTACT: yuedong.yang@griffith.edu.au or
yaoqi.zhou@griffith.edu.au SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 26568623
TI - MIEC-SVM: automated pipeline for protein peptide/ligand interaction prediction.
AB - MOTIVATION: MIEC-SVM is a structure-based method for predicting protein
recognition specificity. Here, we present an automated MIEC-SVM pipeline
providing an integrated and user-friendly workflow for construction and
application of the MIEC-SVM models. This pipeline can handle standard amino acids
and those with post-translational modifications (PTMs) or small molecules.
Moreover, multi-threading and support to Sun Grid Engine (SGE) are implemented to
significantly boost the computational efficiency. AVAILABILITY AND
IMPLEMENTATION: The program is available at http://wanglab.ucsd.edu/MIEC-SVM
CONTACT: : wei-wang@ucsd.edu SUPPLEMENTARY INFORMATION: Supplementary data
available at Bioinformatics online.
PMID- 26568624
TI - Optimal seed solver: optimizing seed selection in read mapping.
AB - MOTIVATION: Optimizing seed selection is an important problem in read mapping.
The number of non-overlapping seeds a mapper selects determines the sensitivity
of the mapper while the total frequency of all selected seeds determines the
speed of the mapper. Modern seed-and-extend mappers usually select seeds with
either an equal and fixed-length scheme or with an inflexible placement scheme,
both of which limit the ability of the mapper in selecting less frequent seeds to
speed up the mapping process. Therefore, it is crucial to develop a new algorithm
that can adjust both the individual seed length and the seed placement, as well
as derive less frequent seeds. RESULTS: We present the Optimal Seed Solver (OSS),
a dynamic programming algorithm that discovers the least frequently-occurring set
of x seeds in an L-base-pair read in [Formula: see text] operations on average
and in [Formula: see text] operations in the worst case, while generating a
maximum of [Formula: see text] seed frequency database lookups. We compare OSS
against four state-of-the-art seed selection schemes and observe that OSS
provides a 3-fold reduction in average seed frequency over the best previous seed
selection optimizations. AVAILABILITY AND IMPLEMENTATION: We provide an
implementation of the Optimal Seed Solver in C++ at: https://github.com/CMU
SAFARI/Optimal-Seed-Solver CONTACT: hxin@cmu.edu, calkan@cs.bilkent.edu.tr or
onur@cmu.edu SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 26568625
TI - Using de novo protein structure predictions to measure the quality of very large
multiple sequence alignments.
AB - MOTIVATION: Multiple sequence alignments (MSAs) with large numbers of sequences
are now commonplace. However, current multiple alignment benchmarks are ill
suited for testing these types of alignments, as test cases either contain a very
small number of sequences or are based purely on simulation rather than empirical
data. RESULTS: We take advantage of recent developments in protein structure
prediction methods to create a benchmark (ContTest) for protein MSAs containing
many thousands of sequences in each test case and which is based on empirical
biological data. We rank popular MSA methods using this benchmark and verify a
recent result showing that chained guide trees increase the accuracy of
progressive alignment packages on datasets with thousands of proteins.
AVAILABILITY AND IMPLEMENTATION: Benchmark data and scripts are available for
download at http://www.bioinf.ucd.ie/download/ContTest.tar.gz CONTACT:
des.higgins@ucd.ie SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 26568627
TI - Uncovering multiloci-ordering by algebraic property of Laplacian matrix and its
Fiedler vector.
AB - MOTIVATION: The loci-ordering, based on two-point recombination fractions for a
pair of loci, is the most important step in constructing a reliable and fine
genetic map. RESULTS: Using the concept from complex graph theory, here we
propose a Laplacian ordering approach which uncovers the loci-ordering of
multiloci simultaneously. The algebraic property for a Fiedler vector of a
Laplacian matrix, constructed from the recombination fraction of the loci
ordering for 26 loci of barley chromosome IV, 846 loci of Arabidopsis thaliana
and 1903 loci of Malus domestica, together with the variable threshold uncovers
their loci-orders. It offers an alternative yet robust approach for ordering
multiloci. AVAILABILITY AND IMPLEMENTATION: Source code program with data set is
available as supplementary data and also in a software category of the website
(http://biophysics.dgist.ac.kr) CONTACT: crkim@pusan.ac.kr or
iksoochang@dgist.ac.kr SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 26568628
TI - rHAT: fast alignment of noisy long reads with regional hashing.
AB - MOTIVATION: Single Molecule Real-Time (SMRT) sequencing has been widely applied
in cutting-edge genomic studies. However, it is still an expensive task to align
the noisy long SMRT reads to reference genome by state-of-the-art aligners, which
is becoming a bottleneck in applications with SMRT sequencing. Novel approach is
on demand for improving the efficiency and effectiveness of SMRT read alignment.
RESULTS: We propose Regional Hashing-based Alignment Tool (rHAT), a seed-and
extension-based read alignment approach specifically designed for noisy long
reads. rHAT indexes reference genome by regional hash table (RHT), a hash table
based index which describes the short tokens within local windows of reference
genome. In the seeding phase, rHAT utilizes RHT for efficiently calculating the
occurrences of short token matches between partial read and local genomic windows
to find highly possible candidate sites. In the extension phase, a sparse dynamic
programming-based heuristic approach is used for reducing the cost of aligning
read to the candidate sites. By benchmarking on the real and simulated datasets
from various prokaryote and eukaryote genomes, we demonstrated that rHAT can
effectively align SMRT reads with outstanding throughput. AVAILABILITY AND
IMPLEMENTATION: rHAT is implemented in C++; the source code is available at
https://github.com/HIT-Bioinformatics/rHAT CONTACT: ydwang@hit.edu.cn
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online.
PMID- 26568626
TI - Metabolic network-guided binning of metagenomic sequence fragments.
AB - MOTIVATION: Most microbes on Earth have never been grown in a laboratory, and can
only be studied through DNA sequences. Environmental DNA sequence samples are
complex mixtures of fragments from many different species, often unknown. There
is a pressing need for methods that can reliably reconstruct genomes from complex
metagenomic samples in order to address questions in ecology, bioremediation, and
human health. RESULTS: We present the SOrting by NEtwork Completion (SONEC)
approach for assigning reactions to incomplete metabolic networks based on a
metabolite connectivity score. We successfully demonstrate proof of concept in a
set of 100 genome-scale metabolic network reconstructions, and delineate the
variables that impact reaction assignment accuracy. We further demonstrate the
integration of SONEC with existing approaches (such as cross-sample scaffold
abundance profile clustering) on a set of 94 metagenomic samples from the Human
Microbiome Project. We show that not only does SONEC aid in reconstructing
species-level genomes, but it also improves functional predictions made with the
resulting metabolic networks. AVAILABILITY AND IMPLEMENTATION: The datasets and
code presented in this work are available at:
https://bitbucket.org/mattbiggs/sorting_by_network_completion/ CONTACT:
papin@virginia.edu SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 26568629
TI - Accurate estimation of isoelectric point of protein and peptide based on amino
acid sequences.
AB - MOTIVATION: In any macromolecular polyprotic system-for example protein, DNA or
RNA-the isoelectric point-commonly referred to as the pI-can be defined as the
point of singularity in a titration curve, corresponding to the solution pH value
at which the net overall surface charge-and thus the electrophoretic mobility-of
the ampholyte sums to zero. Different modern analytical biochemistry and
proteomics methods depend on the isoelectric point as a principal feature for
protein and peptide characterization. Protein separation by isoelectric point is
a critical part of 2-D gel electrophoresis, a key precursor of proteomics, where
discrete spots can be digested in-gel, and proteins subsequently identified by
analytical mass spectrometry. Peptide fractionation according to their pI is also
widely used in current proteomics sample preparation procedures previous to the
LC-MS/MS analysis. Therefore accurate theoretical prediction of pI would expedite
such analysis. While such pI calculation is widely used, it remains largely
untested, motivating our efforts to benchmark pI prediction methods. RESULTS:
Using data from the database PIP-DB and one publically available dataset as our
reference gold standard, we have undertaken the benchmarking of pI calculation
methods. We find that methods vary in their accuracy and are highly sensitive to
the choice of basis set. The machine-learning algorithms, especially the SVM
based algorithm, showed a superior performance when studying peptide mixtures. In
general, learning-based pI prediction methods (such as Cofactor, SVM and Branca)
require a large training dataset and their resulting performance will strongly
depend of the quality of that data. In contrast with Iterative methods, machine
learning algorithms have the advantage of being able to add new features to
improve the accuracy of prediction. CONTACT: yperez@ebi.ac.uk AVAILABILITY AND
IMPLEMENTATION: The software and data are freely available at
https://github.com/ypriverol/pIRSupplementary information: Supplementary data are
available at Bioinformatics online.
PMID- 26568630
TI - PAPA: a flexible tool for identifying pleiotropic pathways using genome-wide
association study summaries.
AB - : Pleiotropy is common in the genetic architectures of complex diseases. To the
best of our knowledge, no analysis tool has been developed for identifying
pleiotropic pathways using multiple genome-wide association study (GWAS)
summaries by now. Here, we present PAPA, a flexible tool for pleiotropic pathway
analysis utilizing GWAS summary results. The performance of PAPA was validated
using publicly available GWAS summaries of body mass index and waist-hip ratio of
the GIANT datasets. PAPA identified a set of pleiotropic pathways, which have
been demonstrated to be involved in the development of obesity. AVAILABILITY AND
IMPLEMENTATION: PAPA program, document and illustrative example are available at
http://sourceforge.net/projects/papav1/files/ CONTACT: : fzhxjtu@mail.xjtu.edu.cn
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online.
PMID- 26568631
TI - CHRONOS: a time-varying method for microRNA-mediated subpathway enrichment
analysis.
AB - MOTIVATION: In the era of network medicine and the rapid growth of paired time
series mRNA/microRNA expression experiments, there is an urgent need for pathway
enrichment analysis methods able to capture the time- and condition-specific
'active parts' of the biological circuitry as well as the microRNA impact.
Current methods ignore the multiple dynamical 'themes'-in the form of enriched
biologically relevant microRNA-mediated subpathways-that determine the
functionality of signaling networks across time. RESULTS: To address these
challenges, we developed time-vaRying enriCHment integrOmics Subpathway aNalysis
tOol (CHRONOS) by integrating time series mRNA/microRNA expression data with KEGG
pathway maps and microRNA-target interactions. Specifically, microRNA-mediated
subpathway topologies are extracted and evaluated based on the temporal
transition and the fold change activity of the linked genes/microRNAs. Further,
we provide measures that capture the structural and functional features of
subpathways in relation to the complete organism pathway atlas. Our application
to synthetic and real data shows that CHRONOS outperforms current subpathway
based methods into unraveling the inherent dynamic properties of pathways.
AVAILABILITY AND IMPLEMENTATION: CHRONOS is freely available at
http://biosignal.med.upatras.gr/chronos/ CONTACT: tassos.bezerianos@nus.edu.sg
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online.
PMID- 26568632
TI - BioPartsBuilder: a synthetic biology tool for combinatorial assembly of
biological parts.
AB - Combinatorial assembly of DNA elements is an efficient method for building large
scale synthetic pathways from standardized, reusable components. These methods
are particularly useful because they enable assembly of multiple DNA fragments in
one reaction, at the cost of requiring that each fragment satisfies design
constraints. We developed BioPartsBuilder as a biologist-friendly web tool to
design biological parts that are compatible with DNA combinatorial assembly
methods, such as Golden Gate and related methods. It retrieves biological
sequences, enforces compliance with assembly design standards and provides a
fabrication plan for each fragment. AVAILABILITY AND IMPLEMENTATION:
BioPartsBuilder is accessible at http://public.biopartsbuilder.org and an Amazon
Web Services image is available from the AWS Market Place (AMI ID: ami-508acf38).
Source code is released under the MIT license, and available for download at
https://github.com/baderzone/biopartsbuilder CONTACT: joel.bader@jhu.edu
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online.
PMID- 26568633
TI - Optimal design of gene knockout experiments for gene regulatory network
inference.
AB - MOTIVATION: We addressed the problem of inferring gene regulatory network (GRN)
from gene expression data of knockout (KO) experiments. This inference is known
to be underdetermined and the GRN is not identifiable from data. Past studies
have shown that suboptimal design of experiments (DOE) contributes significantly
to the identifiability issue of biological networks, including GRNs. However,
optimizing DOE has received much less attention than developing methods for GRN
inference. RESULTS: We developed REDuction of UnCertain Edges (REDUCE) algorithm
for finding the optimal gene KO experiment for inferring directed graphs
(digraphs) of GRNs. REDUCE employed ensemble inference to define uncertain gene
interactions that could not be verified by prior data. The optimal experiment
corresponds to the maximum number of uncertain interactions that could be
verified by the resulting data. For this purpose, we introduced the concept of
edge separatoid which gave a list of nodes (genes) that upon their removal would
allow the verification of a particular gene interaction. Finally, we proposed a
procedure that iterates over performing KO experiments, ensemble update and
optimal DOE. The case studies including the inference of Escherichia coli GRN and
DREAM 4 100-gene GRNs, demonstrated the efficacy of the iterative GRN inference.
In comparison to systematic KOs, REDUCE could provide much higher information
return per gene KO experiment and consequently more accurate GRN estimates.
CONCLUSIONS: REDUCE represents an enabling tool for tackling the underdetermined
GRN inference. Along with advances in gene deletion and automation technology,
the iterative procedure brings an efficient and fully automated GRN inference
closer to reality. AVAILABILITY AND IMPLEMENTATION: MATLAB and Python scripts of
REDUCE are available on www.cabsel.ethz.ch/tools/REDUCE CONTACT:
rudi.gunawan@chem.ethz.ch SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 26568634
TI - TCGA2STAT: simple TCGA data access for integrated statistical analysis in R.
AB - MOTIVATION: Massive amounts of high-throughput genomics data profiled from tumor
samples were made publicly available by the Cancer Genome Atlas (TCGA). RESULTS:
We have developed an open source software package, TCGA2STAT, to obtain the TCGA
data, wrangle it, and pre-process it into a format ready for multivariate and
integrated statistical analysis in the R environment. In a user-friendly format
with one single function call, our package downloads and fully processes the
desired TCGA data to be seamlessly integrated into a computational analysis
pipeline. No further technical or biological knowledge is needed to utilize our
software, thus making TCGA data easily accessible to data scientists without
specific domain knowledge. AVAILABILITY AND IMPLEMENTATION: TCGA2STAT is
available from the https://cran.r-project.org/web/packages/TCGA2STAT/index.html
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online. CONTACT: zhandong.liu@bcm.edu.
PMID- 26568635
TI - KMAD: knowledge-based multiple sequence alignment for intrinsically disordered
proteins.
AB - Intrinsically disordered proteins (IDPs) lack tertiary structure and thus differ
from globular proteins in terms of their sequence-structure-function relations.
IDPs have lower sequence conservation, different types of active sites and a
different distribution of functionally important regions, which altogether make
their multiple sequence alignment (MSA) difficult. The KMAD MSA software has been
written specifically for the alignment and annotation of IDPs. It augments the
substitution matrix with knowledge about post-translational modifications,
functional domains and short linear motifs. RESULTS: MSAs produced with KMAD
describe well-conserved features among IDPs, tend to agree well with biological
intuition, and are a good basis for designing new experiments to shed light on
this large, understudied class of proteins. AVAILABILITY AND IMPLEMENTATION: KMAD
web server is accessible at http://www.cmbi.ru.nl/kmad/ A standalone version is
freely available. CONTACT: vriend@cmbi.ru.nl.
PMID- 26568637
TI - Visualising childhood vaccination schedules across G8 countries.
PMID- 26568636
TI - Assessment of estimated retinal atrophy progression in Stargardt macular
dystrophy using spectral-domain optical coherence tomography.
AB - AIMS: To estimate disease progression based on analysis of macular volume
measured by spectral-domain optical coherence tomography (SD-OCT) in patients
affected by Stargardt macular dystrophy (STGD1) and to evaluate the influence of
software errors on these measurements. METHODS: 58 eyes of 29 STGD1 patients were
included. Numbers and types of algorithm errors were recorded and manually
corrected. In a subgroup of 36 eyes of 18 patients with at least two examinations
over time, total macular volume (TMV) and volumes of all nine Early Treatment of
Diabetic Retinopathy Study (ETDRS) subfields were obtained. Random effects models
were used to estimate the rate of change per year for the population, and
empirical Bayes slopes were used to estimate yearly decline in TMV for individual
eyes. RESULTS: 6958 single B-scans from 190 macular cube scans were analysed.
2360 (33.9%) showed algorithm errors. Mean observation period for follow-up data
was 15 months (range 3-40). The median (IQR) change in TMV using the empirical
Bayes estimates for the individual eyes was -0.103 (-0.145, -0.059) mm3 per year.
The mean (+/-SD) TMV was 6.321+/-1.000 mm3 at baseline, and rate of decline was
0.118 mm3 per year (p=0.003). Yearly mean volume change was -0.004 mm3 in the
central subfield (mean baseline=0.128 mm3), -0.032 mm3 in the inner (mean
baseline=1.484 mm3) and -0.079 mm3 in the outer ETDRS subfields (mean
baseline=5.206 mm3). CONCLUSIONS: SD-OCT measurements allow monitoring the
decline in retinal volume in STGD1; however, they require significant manual
correction of software errors.
PMID- 26568638
TI - VELOCITY-RESOLVED [C ii] EMISSION AND [C ii]/FIR MAPPING ALONG ORION WITH
HERSCHEL.
AB - We present the first ~7.5'*11.5' velocity-resolved (~0.2 km s-1) map of the [C
ii] 158 MUm line toward the Orion molecular cloud 1 (OMC 1) taken with the
Herschel/HIFI instrument. In combination with far-infrared (FIR) photometric
images and velocity-resolved maps of the H41alpha hydrogen recombination and CO
J=2-1 lines, this data set provides an unprecedented view of the intricate small
scale kinematics of the ionized/PDR/molecular gas interfaces and of the radiative
feedback from massive stars. The main contribution to the [C ii] luminosity (~85
%) is from the extended, FUV-illuminated face of the cloud (G0>500, nH>5*103 cm
3) and from dense PDRs (G?104, nH?105 cm-3) at the interface between OMC 1 and
the H ii region surrounding the Trapezium cluster. Around ~15 % of the [C ii]
emission arises from a different gas component without CO counterpart. The [C ii]
excitation, PDR gas turbulence, line opacity (from [13C ii]) and role of the
geometry of the illuminating stars with respect to the cloud are investigated. We
construct maps of the L[C ii]/LFIR and LFIR/MGas ratios and show that L[C
ii]/LFIR decreases from the extended cloud component (~10-2-10-3) to the more
opaque star-forming cores (~10-3-10-4). The lowest values are reminiscent of the
"[C ii] deficit" seen in local ultra-luminous IR galaxies hosting vigorous star
formation. Spatial correlation analysis shows that the decreasing L[C ii]/LFIR
ratio correlates better with the column density of dust through the molecular
cloud than with LFIR/MGas. We conclude that the [C ii] emitting column relative
to the total dust column along each line of sight is responsible for the observed
L[C ii]/LFIR variations through the cloud.
PMID- 26568639
TI - Design and In Vitro Interference Test of Microwave Noninvasive Blood Glucose
Monitoring Sensor.
AB - A design of a microwave noninvasive continuous blood glucose monitoring sensor
and its interference test results are presented. The novelty of the proposed
sensor is that it comprises two spatially separated split-ring resonators, where
one interacts with the change in glucose level of a sample under test while the
other ring is used as a reference. The reference ring has a slightly different
resonant frequency and is desensitized to the sample owing to its location, thus
allowing changes in temperature to be calibrated out. From an oral glucose
tolerance test with two additional commercially available sensors (blood strip
and continuous glucose monitor) in parallel, we obtained encouraging performance
for our sensor comparable with those of the commercial sensors. The effects of
endogenous interferents common to all subjects, i.e., common sugars, vitamins
(ascorbic acid), and metabolites (uric acid) have also been investigated by using
a large Franz cell assembly. From the interference test, it is shown that the
change in sensor response is dominated by changes in glucose level for
concentrations relevant to blood, and the effects of interferents are negligible
in comparison.
PMID- 26568640
TI - Convergence of methods for coupling of microscopic and mesoscopic reaction
diffusion simulations.
AB - In this paper, three multiscale methods for coupling of mesoscopic (compartment
based) and microscopic (molecular-based) stochastic reaction-diffusion
simulations are investigated. Two of the three methods that will be discussed in
detail have been previously reported in the literature; the two-regime method
(TRM) and the compartment-placement method (CPM). The third method that is
introduced and analysed in this paper is called the ghost cell method (GCM),
since it works by constructing a "ghost cell" in which molecules can disappear
and jump into the compartment-based simulation. Presented is a comparison of
sources of error. The convergent properties of this error are studied as the time
step Deltat (for updating the molecular-based part of the model) approaches zero.
It is found that the error behaviour depends on another fundamental computational
parameter h, the compartment size in the mesoscopic part of the model. Two
important limiting cases, which appear in applications, are considered: (i)
Deltat -> 0 and h is fixed; (ii) Deltat -> 0 and h -> 0 such that ?Deltat/h is
fixed. The error for previously developed approaches (the TRM and CPM) converges
to zero only in the limiting case (ii), but not in case (i). It is shown that the
error of the GCM converges in the limiting case (i). Thus the GCM is superior to
previous coupling techniques if the mesoscopic description is much coarser than
the microscopic part of the model.
PMID- 26568642
TI - Synthesis of a Series of Novel 3,9-Disubstituted Phenanthrenes as Analogues of
Known NMDA Receptor Allosteric Modulators.
AB - 9-Substituted phenanthrene-3-carboxylic acids have been reported to have
allosteric modulatory activity at the NMDA receptor. This receptor is activated
by the excitatory neurotransmitter L-glutamate and has been implicated in a range
of neurological disorders such as schizophrenia, epilepsy and chronic pain and
neurodegenerative disorders such as Alzheimer's disease. Herein, the convenient
synthesis of a wide range of novel 3,9-disubstituted phenanthrene derivatives
starting from a few common intermediates is described. These new phenanthrene
derivatives will help to clarify the structural requirements for allosteric
modulation of the NMDA receptor.
PMID- 26568641
TI - Developmental Trajectories of Marijuana Use among Men: Examining Linkages with
Criminal Behavior and Psychopathic Features into the Mid-30s.
AB - OBJECTIVES: Examine whether young men who chronically use marijuana are at risk
for engaging in drug-related and non-drug-related criminal offending and
exhibiting psychopathic personality features in their mid-30s. METHODS: Patterns
of marijuana use were delineated in a sample of predominately Black and White
young men from adolescence to the mid-20s using latent class growth curve
analysis. Self-report and official records of criminal offending and psychopathic
personality features were assessed in the mid-30s. Analyses controlled for
multiple factors indicative of a preexisting antisocial lifestyle and co
occurring use of other substances and tested for moderation by race. RESULTS:
Four latent marijuana trajectory groups were identified: chronic high,
adolescence-limited, late increasing, and low/nonusers. Relative to low/nonusers,
chronic high and late increasing marijuana users exhibited more adult
psychopathic features and were more likely to engage in drug-related offending
during their mid-30s. Adolescence-limited users were similar to low/nonusers in
terms of psychopathic features but were more likely to be arrested for drug
related crimes. No trajectory group differences were found for violence or theft,
and the group differences were not moderated by race. CONCLUSIONS: Young men who
engage in chronic marijuana use from adolescence into their 20s are at increased
risk for exhibiting psychopathic features, dealing drugs, and enduring drug
related legal problems in their mid-30s relative to men who remain abstinent or
use infrequently.
PMID- 26568643
TI - Conception of the Mercury Deposition Coefficient Based on Long-term Stream
Intensity Measurements of Mercury Species TGM and TPM.
AB - For many years, atmospheric mercury has been perceived as a global pollutant.
Transport of mercury compounds in the atmosphere and its deposition on the
earth's surface is an important issue that requires knowledge regarding the
circulation of the various forms of this metal between environmental components.
There are many numerical models that can be used to study and image this
phenomenon. These models are based on data concerning mercury emission sources,
concentrations of this contaminant on modelling areas and meteorological data to
assess air mass inflow on a regional and global scale. A method to assess mercury
deposition fluxes on a local scale based only on stream intensity analysis of
mercury is proposed in this study. Mercury deposition fluxes (bulk) that were
assessed by the MDC method at the Zloty Potok station (regional background
station for the Silesian Agglomeration) varied from 22.8 MUg . m-2 . year-1 (an 8
month period in 2013) to 54.2 MUg . m-2 . year-1 in 2012. Developing procedures
to estimate the mercury deposition coefficient (MDC) is useful in areas where
only meteorological parameters and mercury concentrations in the atmospheric air
are measured. The obtained deposition coefficient values enable quantification of
a selected pollutant concentration and its potential impact resulting from
deposition.
PMID- 26568644
TI - Maternal Punitive Reactions to Children's Negative Emotions and Young Adult Trait
Anger: Effect of Gender and Emotional Closeness.
AB - The current study tested whether young adult's recollected reports of their
mother's punitive reactions to their negative emotions in childhood predicted
anger expression in young adulthood and whether emotional closeness weakens this
association. Further, a three-way interaction was tested to examine whether
emotional closeness is a stronger protective factor for young women than for
young men. Results revealed a significant three-way interaction (gender X
emotional closeness X maternal punitive reactions). For young men, maternal
punitive reactions to negative emotions were directly associated with increased
anger expressions. Maternal punitive reactions to young women's negative emotions
in childhood were associated with increased anger in adulthood only when they
reported low maternal emotional closeness. Findings suggest that maternal
emotional closeness may serve as a buffer against the negative effects of
maternal punitive reactions for women's anger expression in young adulthood.
PMID- 26568645
TI - Proper Use of Allele-Specific Expression Improves Statistical Power for cis-eQTL
Mapping with RNA-Seq Data.
AB - Studies of expression quantitative trait loci (eQTLs) offer insight into the
molecular mechanisms of loci that were found to be associated with complex
diseases and the mechanisms can be classified into cis- and trans-acting
regulation. At present, high-throughput RNA sequencing (RNA-seq) is rapidly
replacing expression microarrays to assess gene expression abundance. Unlike
microarrays that only measure the total expression of each gene, RNA-seq also
provides information on allele-specific expression (ASE), which can be used to
distinguish cis-eQTLs from trans-eQTLs and, more importantly, enhance cis-eQTL
mapping. However, assessing the cis-effect of a candidate eQTL on a gene requires
knowledge of the haplotypes connecting the candidate eQTL and the gene, which
cannot be inferred with certainty. The existing two-stage approach that first
phases the candidate eQTL against the gene and then treats the inferred phase as
observed in the association analysis tends to attenuate the estimated cis-effect
and reduce the power for detecting a cis-eQTL. In this article, we provide a
maximum-likelihood framework for cis-eQTL mapping with RNA-seq data. Our approach
integrates the inference of haplotypes and the association analysis into a single
stage, and is thus unbiased and statistically powerful. We also develop a
pipeline for performing a comprehensive scan of all local eQTLs for all genes in
the genome by controlling for false discovery rate, and implement the methods in
a computationally efficient software program. The advantages of the proposed
methods over the existing ones are demonstrated through realistic simulation
studies and an application to empirical breast cancer data from The Cancer Genome
Atlas project.
PMID- 26568646
TI - Indentation Analysis of Biphasic Viscoelastic Hydrogels.
AB - Mechanical properties of soft biological materials are dependent on the responses
of the two phases of which they are comprised: the solid matrix and interstitial
fluid. Indentation techniques are commonly used to measure properties of such
materials, but comparisons between different experimental and analytical
techniques can be difficult. Most models relating load and time during spherical
indentation are based on Hertzian contact theory, but the exact limitation of
this theory for soft materials are unclear. Here, we examine the response of
gelatin hydrogels to shear and indentation loading to quantify combined effects
of the solid and fluid phases. The instantaneous behavior of the hydrogels is
different for each test geometry and loading rate, but the relaxed response,
measured by the relaxed modulus, is the same for all tests, within 17%.
Additionally, indentation depths from 15-25% of the radius of the spherical
indenter are found to minimize error in the estimate of relaxed modulus.
PMID- 26568648
TI - The Impact of the Texas Top 10 Percent Law on College Enrollment: A Regression
Discontinuity Approach.
AB - We use regression discontinuity methods on a representative survey of Texas high
school seniors to discern the impact on flagship-enrollment behavior of the Texas
top 10 percent law, which guarantees admission to any Texas public university to
students who graduate in the top decile of their class. By comparing students at
and immediately below the cut-point for automatic admission, we find that the top
10 percent law affects flagship enrollment of Hispanic students eligible for the
admission guarantee, as well as rank-eligible graduates from high schools where
minority students predominate and from high schools with the state average share
of economically disadvantaged students. Our findings are robust to various model
specifications and different bandwidth choices using local linear estimation.
PMID- 26568647
TI - Resilience as Regulation of Developmental and Family Processes.
AB - Resilience can be defined as establishing equilibrium subsequent to disturbances
to a system caused by significant adversity. When families experience adversity
or transitions, multiple regulatory processes may be involved in establishing
equilibrium, including adaptability, regulation of negative affect, and effective
problem-solving skills. The authors' resilience-as-regulation perspective
integrates insights about the regulation of individual development with processes
that regulate family systems. This middle-range theory of family resilience
focuses on regulatory processes across levels that are involved in adaptation:
whole-family systems such as routines and sense of coherence; coregulation of
dyads involving emotion regulation, structuring, and reciprocal influences
between social partners; and individual self-regulation. Insights about
resilience-as-regulation are then applied to family-strengthening interventions
that are designed to promote adaptation to adversity. Unresolved issues are
discussed in relation to resilience-as-regulation in families, in particular how
risk exposure is assessed, interrelations among family regulatory mechanisms, and
how families scaffold the development of children's resilience.
PMID- 26568649
TI - Can Substance Use Disorders be Managed Using the Chronic Care Model? Review and
Recommendations from a NIDA Consensus Group.
AB - Brain imaging and genetic studies over the past two decades suggest that
substance use disorders are best considered chronic illnesses. The passing of the
Affordable Care Act in the United States has set the occasion for integrating
treatment of substance use disorders into mainstream healthcare; and for using
the proactive, team-oriented Chronic Care Model (CCM). This paper systematically
examines and compares whether and how well the CCM could be applied to the
treatment of substance use disorders, using type 2 diabetes as a comparator. The
chronic illness management approach is still new in the field of addiction and
research is limited. However comparative findings suggest that most proactive,
team treatment-oriented clinical management practices now used in diabetes
management are applicable to the substance use disorders; capable of being
implemented by primary care teams; and should offer comparable potential benefits
in the treatment of substance use disorders. Such care should also improve the
quality of care for many illnesses now negatively affected by unaddressed
substance abuse.
PMID- 26568650
TI - Development of elastomeric isolators to reduce roof bolting machine drilling
noise.
AB - Among underground coal miners, hearing loss remains one of the most common
occupational illnesses. In response to this problem, the National Institute for
Occupational Safety and Health (NIOSH) Office of Mine Safety and Health Research
(OMSHR) conducts research to reduce the noise emission of underground coal-mining
equipment, an example of which is a roof bolting machine. Field studies show
that, on average, drilling noise is the most significant contributor to a roof
bolting machine operator's noise exposure. NIOSH OMSHR has determined that the
drill steel and chuck are the dominant sources of drilling noise. NIOSH OMSHR,
Corry Rubber Corporation, and Kennametal, Inc. have developed a bit isolator that
breaks the steel-to-steel link between the drill bit and drill steel and a chuck
isolator that breaks the mechanical connection between the drill steel and the
chuck, thus reducing the noise radiated by the drill steel and chuck, and the
noise exposure of the roof bolter operator. This paper documents the evolution of
the bit isolator and chuck isolator including various alternative designs which
may enhance performance. Laboratory testing confirms that production bit and
chuck isolators reduce the A-weighted sound level generated during drilling by
3.7 to 6.6 dB. Finally, this paper summarizes results of a finite element
analysis used to explore the key parameters of the drill bit isolator and chuck
isolator to understand the impact these parameters have on noise.
PMID- 26568651
TI - Accommodation to an Unlikely Episodic State.
AB - Mini-discourses like (ia) seem slightly odd compared to their counterparts
containing a conjunction (ib). (i) a. Speaker A: John or Bill left. Speaker B:
Sam did too. b. Speaker A: John and Bill left. Speaker B: Sam did too. One
possibility is that or in Speaker A's utterance in (ia) raises the potential
Question Under Discussion (QUD) whether it was John or Bill who left and Speaker
B's reply fails to address this QUD. A different possibility is that the
epistemic state of the speaker of (ia) is somewhat unlikely or uneven: the
speaker knows that someone left, and that it was John or Bill, but doesn't know
which one. The results of four acceptability judgment studies confirmed that (ia)
is less good or coherent than (ib) (Experiment 1), but not due to failure to
address the QUD implicitly introduced by the disjunction because the penalty for
disjunction persisted even in the presence of a different overt QUD (Experiment
2) and even when there was no reply to Speaker A (Experiment 3). The hypothesis
that accommodating an unusual epistemic state might underlie the lower
acceptability of disjunction was supported by the fact that the disjunction
penalty is larger in past tense discourses than in future discourses, where
partial knowledge of events is the norm (Experiment 4). The results of an eye
tracking study revealed a penalty for disjunction relative to conjunction that
was significantly smaller when a lead in (I wonder if it was...) explicitly
introduced the disjunction. This interaction (connective X lead in) appeared in
early measures on the disjunctive phrase itself, suggesting that the input is
related to an inferred epistemic state of the speaker in a rapid and ongoing
fashion.
PMID- 26568652
TI - InterPregGen: genetic studies of pre-eclampsia in three continents.
AB - Pre-eclampsia is a major cause of maternal and fetal mortality in pregnancy. The
identification of genetic variants which predispose to pre-eclampsia demands
large DNA collections from affected mothers and babies and controls, with
reliable supporting phenotypic data. The InterPregGen study has assembled a
consortium of researchers from Europe, Central Asia and South America with the
aim of elucidating the genetic architecture of pre-eclampsia. The MoBa collection
is playing a vital role in this collaborative venture, which has the potential to
provide new insights into the causes of pre-eclampsia, and provide a rational
basis for novel approaches to prevention and treatment.
PMID- 26568653
TI - Home-School Differences in Beliefs, Support, and Control during Public Pre
kindergarten and their Link to Children's Kindergarten Readiness.
AB - This study examines the prevalence of home-school match in child-rearing beliefs
and socialization practices (control and support) and their relation to ethnicity
and readiness skills of children (n=310) making the transition from publicly
sponsored pre-k to kindergarten. Home-school match was operationalized both as a
continuous absolute measure and as categories of match or mismatch. Overall, home
school match was more prevalent than mismatch. However, the results corroborate
previous ethnographic studies showing higher rates of home-school mismatch among
African Americans and Latinos than Euro Americans. Controlling for race and
socioeconomic status, parents' beliefs and practices predicted readiness but
teachers' did not. Absolute indicators of home-school differences were not
related to kindergarten readiness. Directional indicators revealed that children
attained greater skills when parents and teachers matched on child-centered
beliefs, low control, and high support. Contrary to the cultural match
hypothesis, home-school mismatch was associated with better outcomes than match
in the case of adult-centered beliefs, control, and low support.
PMID- 26568654
TI - The Impact of Program Structure on Cortisol Patterning in Children Attending Out
of-Home Child Care.
AB - Full-day center-based child care has repeatedly been associated with rising
levels of cortisol, a hormone that helps the body manage challenge, across the
day at child care. This article presents findings from two studies examining the
relationship between child care program structure (number of days per week, and
hours per day) and cortisol production across the day. Study 1 presents findings
comparing cortisol production in 3- to 5-year-old children enrolled in either
full-day (N = 55) or half-day (N = 63) Head-Start-funded programs. Study 2
presents findings comparing young children enrolled in either full-day full-time
(5 days per week; N = 37) or full-day part-time (2-3days/week; N = 41) primarily
tuition-funded programs. Using multilevel modeling and controlling for a number
of child factors, attending full-day, full-time programs (as compared to either
half-day or part-time programs) was associated with increased cortisol production
across the day on child care and home days. Implications for early childhood
educators are discussed.
PMID- 26568655
TI - Bone age: assessment methods and clinical applications.
AB - The main bone age assessment methods are the Greulich-Pyle and Tanner-Whitehouse
2 methods, both of which involve left hand and wrist radiographs. Several other
bone age assessment methods have been developed, including ultrasonographic,
computerized, and magnetic resonance (MR) imaging methods. The ultrasonographic
method appears unreliable in children with delayed and advanced bone age. MR
imaging is noninvasive; however, bone age assessment using MR imaging is
relatively new, and further examinations are needed. An automated method for
determining bone age, named BoneXpert, has been validated for Caucasian children
with growth disorders and children of various ethnic groups. Sex hormones are
necessary for bone growth and maturation in children with a bone age
corresponding to normal pubertal age, and estrogen is essential for growth plate
closure. Bone age is an effective indicator for diagnosing and treating various
diseases. A new method for adult height prediction based on bone age has been
developed using BoneXpert, in addition to the commonly used Bayley-Pinneau and
Tanner-Whitehouse mark II methods. Furthermore, bone age may become a predictor
for the timing of peak height velocity and menarche.
PMID- 26568656
TI - The factors affecting on estimation of carbohydrate content of meals in
carbohydrate counting.
AB - The objective of this study was to identify factors affecting on errors in
carbohydrate (CHO) content estimation during CHO counting. Thirty-seven type 1
diabetes patients and 22 of their parents and 28 physicians/dieticians were
enrolled in this study. CHO counting was counted in "Carb", with 1 Carb defined
as 10 g of CHO. To evaluate the accuracy of CHO counting, 80 real-size
photographs of cooked meals were presented to the subjects for Carb estimation.
Carbs tended to be overestimated for foods containing relatively small amounts of
Carbs. On the other hands, Carbs tended to be underestimated for foods with
higher than 6 Carbs. Accurate estimation of the Carbs in food containing a large
amount of rice was particularly difficult even in the subjects having the CHO
counting experience. The Carb contents of high-calorie foods such as meats, fried
foods, and desserts tended to be overestimated. This error was smaller in
subjects having the CHO counting experience. In conclusion, misunderstanding of
high-calorie dishes containing high amounts of CHO was observed in inexperienced
subjects, indicating the efficacy of the current methodology of CHO counting. On
the other hand it was difficult even for experienced subjects to assess the
amount of seasoned rice, suggesting the need for a new methodology for accurate
estimation.
PMID- 26568657
TI - Frequencies of spontaneous breast development and spontaneous menarche in Turner
syndrome in Japan.
AB - The Growject(r) database on human GH treatment in Turner syndrome was analyzed in
the Turner Syndrome Research Collaboration, and the relationships of the
frequencies of spontaneous breast development and spontaneous menarche with
karyotype and GH treatment were investigated. One hundred and three cases started
GH treatment with 0.5 IU/kg/ week (0.5 IU group), and their dose was increased to
0.35 mg/kg/wk midway through the treatment course. Another 109 cases started GH
at a dose of 0.35 mg/kg/wk (0.35 mg group). Spontaneous breast development was
observed in 77 (36.3%) of the 212 patients, and spontaneous menarche occurred in
31 patients (14.6%). The frequency of spontaneous breast development was
significantly lower in patients with the 45,X karyotype and significantly higher
in patients with a structural abnormality of the second X chromosome. The
frequency of spontaneous menarche was significantly higher in patients with
mosaicism characterized by X monosomy and a cellular line with no structural
abnormality of the X chromosome. No significant differences in frequencies of
spontaneous breast development and spontaneous menarche were observed between the
two dose groups, indicating that GH treatment does not increase the frequency of
spontaneous puberty.
PMID- 26568658
TI - Age at menarche and near final height after treatment with gonadotropin-releasing
hormone agonist alone or combined with growth hormone in Korean girls with
central precocious puberty.
AB - The use of a GnRH agonist (GnRHa) in central precocious puberty (CPP) is known to
slow puberty progression, subsequently prevent early menarche, and attenuate the
height loss caused by advanced skeletal maturation. But enhancing the final
height has been so controversial that an additional approach has been used. We
investigated the menarcheal age and near final height (NFH) in girls with CPP
treated with GnRHa (N = 61) or GnRHa combined GH (N = 24). GnRHa was started at
8.1 +/- 0.7 yr and administered for 2.1 +/- 1.0 years. GH was used for 2.1 +/-
1.1 yr in subjects with a short predicted adult height (PAH). Menarche occurred
at 11.6 +/- 0.8 yr of age, which was 15.7 +/- 6.4 mo after GnRHa discontinuation.
PAH increased significantly from 152.0 +/- 7.2 cm to 158.8 +/- 5.6 cm during
treatment, and the NFH (159.7 +/- 4.8 cm) was taller than the midparental height
(157.8 +/- 3.4 cm). The combined treatment group showed a greater height
increment during treatment. Younger age, taller height at the start of treatment,
taller parental height and longer duration of treatment were the factors
influencing NFH. In conclusion, GnRHa treatment in girls with CPP could improve
NFH and delay menarche close to the general population. If GnRHa combined with GH
is used in girls with CPP and a short midparental height, it would improve the
NFH to a value similar to that in the general population.
PMID- 26568659
TI - An adolescent case of familial hyperparathyroidism with a germline frameshift
mutation of the CDC73 gene.
AB - A 13-yr-old boy who complained of persistent nausea, vomiting and weight loss had
hypercalcemia and an elevated intact PTH level. Computed tomography confirmed two
tumors in the thyroid gland. The tumors were surgically removed and
pathologically confirmed as parathyroid adenoma. Because his maternal aunt and
grandmother both had histories of parathyroid tumors, genetic investigation was
undertaken for him, and a germline frameshift mutation of the CDC73 gene was
identified. CDC73 gene analysis should be done on individuals who are at risk of
familial hyperparathyroidism, including those who are asymptomatic, and they
should be followed for potential primary hyperparathyroidism and associated
disorders including resultant parathyroid carcinoma.
PMID- 26568660
TI - A case of a Japanese patient with neonatal diabetes mellitus caused by a novel
mutation in the ABCC8 gene and successfully controlled with oral glibenclamide.
PMID- 26568661
TI - Early Dynamics of Cerebrospinal CD14+ Monocytes and CD15+ Granulocytes in
Patients after Severe Traumatic Brain Injury: A Cohort Study.
AB - In traumatic brain injury (TBI) the analysis of neuroinflammatory mechanisms
gained increasing interest. In this context certain immunocompetent cells might
play an important role. Interestingly, in the actual literature there exist only
a few studies focusing on the role of monocytes and granulocytes in TBI patients.
In this regard it has recently reported that the choroid plexus represents an
early, selective barrier for leukocytes after brain injury. Therefore the aim of
this study was to evaluate the very early dynamics of CD14+ monocytes and CD15+
granulocyte in CSF of patients following severe TBI with regard to the integrity
of the BBB. Cytometric flow analysis was performed to analyze the CD14+ monocyte
and CD15+ granulocyte population in CSF of TBI patients. The ratio of CSF and
serum albumin as a measure for the BBB's integrity was assessed in parallel. CSF
samples of patients receiving lumbar puncture for elective surgery were obtained
as controls. Overall 15 patients following severe TBI were enrolled. 10 patients
were examined as controls. In patients, the monocyte population as well as the
granulocyte population was significantly increased within 72 hours after TBI. The
BBB's integrity did not have a significant influence on the cell count in the
CSF.
PMID- 26568662
TI - Airway beta-Defensin-1 Protein Is Elevated in COPD and Severe Asthma.
AB - BACKGROUND: Innate immune antimicrobial peptides, including beta-defensin-1,
promote the chemotaxis and activation of several immune cells. The role of beta
defensin-1 in asthma and chronic obstructive pulmonary disease (COPD) remains
unclear. METHODS: Induced sputum was collected from healthy controls and
individuals with asthma or COPD. beta-defensin-1 protein in sputum supernatant
was quantified by ELISA. Biomarker potential was examined using receiver
operating characteristic curves. beta-defensin-1 release from primary bronchial
epithelial cells (pBECs) was investigated in culture with and without cigarette
smoke extract (CSE). RESULTS: Airway beta-defensin-1 protein was elevated in COPD
participants compared to asthma participants and healthy controls. Inflammatory
phenotype had no effect on beta-defensin-1 levels in asthma or COPD. beta
defensin-1 protein was significantly higher in severe asthma compared to
controlled and uncontrolled asthma. beta-defensin-1 protein could predict the
presence of COPD from both healthy controls and asthma patients. Exposure of
pBECs to CSE decreased beta-defensin-1 production in healthy controls; however in
pBECs from COPD participants the level of beta-defensin-1 remanied unchanged.
CONCLUSIONS: Elevated beta-defensin-1 protein is a feature of COPD and severe
asthma regardless of inflammatory phenotype. beta-defensin-1 production is
dysregulated in the epithelium of patients with COPD and may be an effective
biomarker and potential therapeutic target.
PMID- 26568663
TI - Circulating Irisin Levels Are Not Regulated by Nutritional Status, Obesity, or
Leptin Levels in Rodents.
AB - Irisin is a cleaved and secreted fragment of fibronectin type III domain
containing 5 (FNDC5) that is mainly released by skeletal muscle and was proposed
to mediate the beneficial effects of exercise on metabolism. In the present study
we aim to investigate the regulation of the circulating levels of irisin in obese
animal models (diet-induced obese (DIO) rats and leptin-deficient (ob/ob) mice),
as well as the influence of nutritional status and leptin. Irisin levels were
measured by Enzyme-Linked Immunosorbent Assay (ELISA) and Radioimmunoassay (RIA).
Serum irisin levels remained unaltered in DIO rats and ob/ob mice. Moreover, its
circulating levels were also unaffected by fasting, leptin deficiency, and
exogenous leptin administration in rodents. In spite of these negative results we
find a negative correlation between irisin and insulin in DIO animals and a
positive correlation between irisin and glucose under short-term changes in
nutritional status. Our findings indicate that serum irisin levels are not
modulated by different physiological settings associated to alterations in energy
homeostasis. These results suggest that in rodents circulating levels of irisin
are not involved in the pathophysiology of obesity and could be unrelated to
metabolic status; however, further studies should clarify its precise role in
states of glucose homeostasis imbalance.
PMID- 26568664
TI - Leukocytes: The Double-Edged Sword in Fibrosis.
AB - Skin tissue scar formation and fibrosis are often characterized by the increased
production and deposition of extracellular matrix components, accompanied by the
accumulation of a vast number of myofibroblasts. Scaring is strongly associated
with inflammation and wound healing to regain tissue integrity in response to
skin tissue injury. However, increased and uncontrolled inflammation, repetitive
injury, and individual predisposition might lead to fibrosis, a severe disorder
resulting in the formation of dense and stiff tissue that loses the physical
properties and physiological functions of normal tissue. Fibrosis is an extremely
complicated and multistage process in which bone marrow-derived leukocytes act as
both pro- and antifibrotic agents, and therefore, few, if any, effective
therapies are available for the most severe and lethal forms of fibrosis. Herein,
we discuss the current knowledge on the multidimensional impact of leukocytes on
the induction of fibrosis, focusing on skin fibrosis.
PMID- 26568665
TI - Inflammation, Oxidative Stress, and Antioxidants Contribute to Selected Sleep
Quality and Cardiometabolic Health Relationships: A Cross-Sectional Study.
AB - Sleep is vital for cardiometabolic health, but a societal shift toward poor sleep
is a prominent feature of many modern cultures. Concurrently, factors such as
diet and lifestyle have also changed and may mediate the relationship between
sleep quality and cardiometabolic health. Objectives were to explore (1) the
interrelationship and (2) mediating effect of inflammation, oxidative stress, and
antioxidants on sleep quality and cardiometabolic health. Cross-sectional data
from the US National Health and Nutritional Examination Survey 2005-06 (>=20 y; N
= 2,072) was used. Cardiometabolic health was defined as per the Joint Interim
Statement; overall sleep quality was determined from six sleep habits and
categorized as good, fair, poor, and very poor. Fair quality sleepers had optimal
inflammation, oxidative stress, and antioxidant levels. Inflammation was above
the current clinical reference range across all sleep quality categories, while
oxidative stress was only within the clinical reference range for fair sleep
quality. Selected sleep quality-cardiometabolic health relationships were
mediated by inflammation, oxidative stress, and antioxidants and were moderated
by sex. Our results provide initial evidence of a potential role for
inflammation, oxidative stress, and antioxidants in the pathway between poor
sleep quality-cardiometabolic decline. Further prospective research is needed to
confirm our results.
PMID- 26568667
TI - Decisional Capacity among Minors with HIV: A Model for Balancing Autonomy Rights
with the Need for Protection.
AB - The purpose of this paper is threefold: (1) to describe the relevant ethical and
legal issues associated with decisional capacity among minors and to discuss the
importance of these concepts for children and adolescents living with HIV; (2) to
provide a framework for assessing the decisional capacity of children and
adolescents with HIV; and (3) to present a model for thinking about how to use
this assessment data to guide action along the protection-autonomy continuum.
PMID- 26568668
TI - Analysis of the Team-Based Learning Literature: TBL Comes of Age.
AB - Team-based learning, or TBL, is an application-oriented teaching method that
combines small- and large-group learning by incorporating multiple small groups
into a large group setting. It has been increasingly used in postsecondary and
professional education over the past two decades. Given this increasing usage,
many faculty wonder about the effects TBL has on learning outcomes. The authors
performed a review and synthesis on the educational literature with respect to
TBL to examine the quality of their descriptions of core TBL elements, then
constructed narrative summaries of these selected articles. Their analysis
demonstrated early evidence of positive educational outcomes in terms of
knowledge acquisition, participation and engagement, and team performance. The
authors conclude that the TBL literature is at an important maturation point,
where more rigorous testing and study of additional questions relating to the
method are needed, as well as more accurate reporting of TBL implementation.
PMID- 26568670
TI - A Comparison of the Accuracy of Linear Measurements Obtained from Cone Beam
Computerized Tomography Images and Digital Models.
AB - The purpose of this study was to determine whether cone beam digital models are
as accurate as OrthoCAD (Cadent, Inc, Carlstadt, NJ) digital models for the
purposes of orthodontic diagnosis and treatment planning. Digital records of 30
subjects were retrospectively reviewed, and the digital models were obtained as
OrthoCAD and InVivoDental (San Jose, CA) digital models. Seven parameters
indicating linear measurements from predetermined landmarks were measured and
analyzed. The analysis of variance and Bland and Altman Analysis were used to
compare and evaluate measurements made from the study models generated from cone
beam computed tomography (CBCT) and InVivoDental software. The mean difference
between the maxillary InVivoDental models and the maxillary OrthoCAD models
ranged from -0.57 to 0.44 mm. The analysis of variance for repeated measures (P <
0.001) was applied to all data obtained from the CBCT and OrthoCAD models. The
results indicated a mean score of 35.12 and 35.12 mm, respectively. The mean
difference of all values was -7.93 * 10-3 mm. The range of these values at the
95% confidence interval was -0.14 and 0.12 mm for the lower and upper limits,
respectively. The results were not statistically significant for both groups. The
Bland and Altman analysis was also applied to the data. In the maxilla, the
results indicated that the mean difference between InVivoDental and OrthoCAD was
0.01 +/- 1.24 mm. The range of the analysis indicated a spread of -2.40 mm and
+2.40 mm. In the mandible, the results indicated that the mean difference between
InVivoDental and OrthoCAD was -0.01 +/- 1.21 mm. The range of the analysis
indicated a spread of -2.36 mm and +2.37 mm. The results showed that the linear
measurements obtained from CBCT image casts indicated a good level of accuracy
when compared with OrthoCAD models. The accuracy was considered adequate for
initial diagnosis and treatment planning in orthodontics.
PMID- 26568669
TI - The Relaxation Response Resiliency Enhancement Program in the Management of
Chronic Refractory Temporomandibular Joint Disorder: Results from a Pilot Study.
AB - OBJECTIVES: This is an open-pilot study to evaluate the feasibility,
acceptability and efficacy of a pain-specific version of an established mind-body
medicine program, the Relaxation Response [RR] Resiliency Program [R3P], in
patients with chronic temporomandibular disorder [TMD]. METHODS: Male and female
with at least a six-month history of pain involving the masticatory muscles were
sought in the Orofacial Pain Centers of the Massachusetts General Hospital [MGH]
or through an advertisement sent to MGH employees from 2008 to 2010. Eligible
participants underwent the R3P intervention [eight group sessions] after standard
medical management. Pre- and post-group patients underwent objective measures of
impairment [vertical and lateral range of motion with and without pain,
temporomandibular joint and muscle pain palpation, and algometer measures] and
completed psychosocial measures [Symptom Severity Index, Perceived Stress Scale,
the Symptom Checklist-90-Revised and Short Form 36 Health Survey]. RESULTS:
Twenty-four subjects [16 females, 90% from MGH Orofacial Pain Centers,10% from
among MGH employees], mean age 38 years, met eligibility criteria and
participated in the study. The intervention was highly feasible and accepted by
patients, as evidenced by a 92% rate of completion. Paired t-test analyses
revealed improvement on self-reported pain measures: pain intensity [p<0.02],
pain frequency [p<0.002], pain duration [p<0.027], pain tolerability [p<0.009]
and on several objective tests. CONCLUSIONS: The pain specific R3P is efficacious
in reducing objective and subjective symptoms in patients with chronic refractory
TMD. The comprehensive intervention, which combines educational information about
pain with RR, cognitive behavioral and resiliency-enhancement skills, is accepted
by patients and may be more efficacious than other treatments with fewer
elements.
PMID- 26568671
TI - Design and Validation of an Adaptive SPECT System: AdaptiSPECT.
AB - In order to obtain optimal image quality with respect to a particular task,
adaptive imaging systems automatically change their acquisition parameters in
response to preliminary data being recorded from the object under study.
Currently, the adaptive aspect in Single Photon Emission Computed Tomography
(SPECT) is limited to a manual collimator interchange and the choice of detector
rotation radius. Furthermore, there is often no optimization of any kind with
respect to a certain task. There is thus a need for more versatile SPECT systems
that autonomously optimize their acquisition geometry for every task and every
patient. Here we describe a pinhole SPECT imager, AdaptiSPECT, which is being
developed at the Center for Gamma Ray Imaging (CGRI) to enable adaptive SPECT
imaging in a pre-clinical context. Furthermore, ideas for an autonomous
adaptation procedure are discussed and some preliminary results are reported
upon.
PMID- 26568672
TI - A System Calibration and Fast Iterative Reconstruction Method for Next-Generation
SPECT Imagers.
AB - Recently, high-resolution gamma cameras have been developed with detectors
containing> 105-106 elements. SPECT imagers based on these detectors usually also
have a large number of voxel bins and therefore face memory storage issues for
the system matrix when performing fast tomographic reconstructions using
iterative algorithms. To address these issues, we have developed a method that
parameterizes the detector response to a point source and generates the system
matrix on the fly during MLEM or OSEM on graphics hardware. The calibration
method, interpolation of coefficient data, and reconstruction results are
presented in the context of a recently commissioned small-animal SPECT imager,
called FastSPECT III.
PMID- 26568673
TI - A new design for a SPECT small-animal imager.
AB - We demonstrate, using computer models, the feasibility of a new SPECT system for
imaging small animals such as mice. This system consists of four modular
scintillation cameras, four multiple-pinhole apertures, electronics, and
tomographic reconstruction software. All of these constituents have been designed
in our laboratory. The cameras are 120mm*120mm with a resolution of approximately
2mm, the apertures can have either single or multiple pinholes, and
reconstruction is performed using the OS-EM algorithm. One major advantage of
this system is the design flexibility it offers, as the cameras are easy to move
and the aperture s are simple to modify. We explored a number of possible
configurations. One promising configuration had the four camera faces forming
four sides of a cube with multiple-pinhole apertures employed to focus the
incoming high-energy photons. This system is rotated three times, so that data
are collected from a total of sixteen camera angles. It is shown that this hybrid
system has some superior properties to single-aperture-type systems. We conclude
that this proposed system offers advantages over current imaging systems in terms
of flexibility, simplicity, and performance.
PMID- 26568666
TI - Crossing the Vascular Wall: Common and Unique Mechanisms Exploited by Different
Leukocyte Subsets during Extravasation.
AB - Leukocyte extravasation is one of the essential and first steps during the
initiation of inflammation. Therefore, a better understanding of the key
molecules that regulate this process may help to develop novel therapeutics for
treatment of inflammation-based diseases such as atherosclerosis or rheumatoid
arthritis. The endothelial adhesion molecules ICAM-1 and VCAM-1 are known as the
central mediators of leukocyte adhesion to and transmigration across the
endothelium. Engagement of these molecules by their leukocyte integrin receptors
initiates the activation of several signaling pathways within both leukocytes and
endothelium. Several of such events have been described to occur during
transendothelial migration of all leukocyte subsets, whereas other mechanisms are
known only for a single leukocyte subset. Here, we summarize current knowledge on
regulatory mechanisms of leukocyte extravasation from a leukocyte and endothelial
point of view, respectively. Specifically, we will focus on highlighting common
and unique mechanisms that specific leukocyte subsets exploit to succeed in
crossing endothelial monolayers.
PMID- 26568674
TI - SemiSPECT: A Small-animal Imaging System Based on Eight CdZnTe Pixel Detectors.
AB - We have constructed a SPECT system for small animals that utilizes eight CdZnTe
pixel detectors. The eight detectors are arranged in a single octagonal ring,
where each views the object to be imaged through a single pinhole. Additional
projections are obtained via rotation of the animal. Each CdZnTe detector is
approximately 2 mm in thickness and is patterned on one surface into a 64*64
array of pixels with 380 micron pitch. We have designed an electronic readout
system capable of collecting data from the eight detectors in listmode. In this
scheme each event entry for a gamma-ray hit includes the pulse height of the
pixel with the largest signal and the pulse height for each of its eight nearest
neighbors. We present details of the overall design, the electronics, and system
performance.
PMID- 26568675
TI - Spatial Pileup Considerations for Pixellated Gamma -ray Detectors.
AB - High-spatial-resolution solid-state detectors being developed for gamma-ray
applications benefit from having pixel dimensions substantially smaller than
detector slab thickness. This leads to an enhanced possibility of charge
partially spreading to neighboring pixels as a result of diffusion (and secondary
photon emission) transverse to the drift direction. An undesirable consequence is
the effective magnification of the event "size" and the spatial overlap issues
which result when two photons are absorbed in close proximity within the
integration time of the detector/readout system. In this work, we develop the
general statistics of spatial pileup in imaging systems and apply the results to
detectors we are developing based on pixellated cadmium zinc telluride (CdZnTe)
and a multiplexing application-specific integrated circuit (ASIC) readout. We
consider the limitations imposed on total count rate capacity and explore in
detail the consequences for the LISTMODE data-acquisition strategy. Algorithms
are proposed for identifying and, where possible, resolving overlapping events by
maximum-likelihood estimation. The efficacy and noise tolerance of these
algorithms will be tested with a combination of simulated and experimental data
in future work.
PMID- 26568676
TI - Tomographic Small-Animal Imaging Using a High-Resolution Semiconductor Camera.
AB - We have developed a high-resolution, compact semiconductor camera for nuclear
medicine applications. The modular unit has been used to obtain tomographic
images of phantoms and mice. The system consists of a 64 x 64 CdZnTe detector
array and a parallel-hole tungsten collimator mounted inside a 17 cm x 5.3 cm x
3.7 cm tungsten-aluminum housing. The detector is a 2.5 cm x 2.5 cm x 0.15 cm
slab of CdZnTe connected to a 64 x 64 multiplexer readout via indium-bump
bonding. The collimator is 7 mm thick, with a 0.38 mm pitch that matches the
detector pixel pitch. We obtained a series of projections by rotating the object
in front of the camera. The axis of rotation was vertical and about 1.5 cm away
from the collimator face. Mouse holders were made out of acrylic plastic tubing
to facilitate rotation and the administration of gas anesthetic. Acquisition
times were varied from 60 sec to 90 sec per image for a total of 60 projections
at an equal spacing of 6 degrees between projections. We present tomographic
images of a line phantom and mouse bone scan and assess the properties of the
system. The reconstructed images demonstrate spatial resolution on the order of 1
2 mm.
PMID- 26568677
TI - Maximum-likelihood Estimation of 3D Event Position in Monolithic Scintillation
Crystals: Experimental Results.
AB - We present a simple 3D event position-estimation method using raw list-mode
acquisition and maximum-likelihood estimation in a modular gamma camera with a
thick (25mm) monolithic scintillation crystal. This method involves measuring 2D
calibration scans with a well-collimated 511 keV source and fitting each point to
a simple depth-dependent light distribution model. Preliminary results show that
angled collimated beams appear properly reconstructed.
PMID- 26568678
TI - Using the Scanning Fluid Dynamic Gauging Device to Understand the Cleaning of
Baked Lard Soiling Layers.
AB - Extended or repeated heating of food fats promotes polymerisation reactions that
produce difficult-to-remove soil layers. Cleaning of these baked-on/burnt-on fat
deposits was investigated using model layers generated by baking lard on 316
stainless steel discs. Rigorous characterisation of the layer material was
difficult, as it was insoluble in most solvents. Cleaning was studied using the
scanning fluid dynamic gauging technique developed by Gordon et al. (Meas Sci
Technol 21:85-103, 2010), which provides non-contact in situ measurement of layer
thickness at several sites on a sample in real time. Tests at 50 [Formula: see
text]C with alkali (sodium hydroxide, pH 10.4-11) and three surfactant solutions
indicated two removal mechanisms, related to the (1) roll-up and (2) dispersion
mechanisms reported for oily oils, namely (1) penetration of solvent at the soil
liquid interface, resulting in detachment of the soil layer as a coherent film,
observed with linear alkylbenzene sulfonic acid (LAS) and Triton X-100 and
aqueous sodium hydroxide at pH 10.4-11; and (2) the breakdown promoted by the
agent penetrating through the layer, observed with cetyl trimethyl ammonium
bromide (CTAB), in which CTAB antagonised the cleaning action of LAS.
PMID- 26568679
TI - Cancer Bioinformatic Methods to Infer Meaningful Data From Small-Size Cohorts.
AB - Whole-genome analyses have uncovered that most cancer-relevant genes cluster into
12 signaling pathways. Knowledge of the signaling pathways and associated gene
signatures not only allows us to understand the mechanisms of oncogenesis
inherent to specific cancers but also provides us with drug targets, molecular
diagnostic and prognosis factors, as well as biomarkers for patient risk
stratification and treatment. Publicly available genomic data sets constitute a
wealth of gene mining opportunities for hypothesis generation and testing.
However, the increasingly recognized genetic and epigenetic inter- and intratumor
heterogeneity, combined with the preponderance of small-size cohorts, hamper
reliable analysis and discovery. Here, we review two methods that are used to
infer meaningful biological events from small-size data sets and discuss some of
their applications and limitations.
PMID- 26568680
TI - The Widening Gulf between Genomics Data Generation and Consumption: A Practical
Guide to Big Data Transfer Technology.
AB - In the last decade, high-throughput DNA sequencing has become a disruptive
technology and pushed the life sciences into a distributed ecosystem of sequence
data producers and consumers. Given the power of genomics and declining
sequencing costs, biology is an emerging "Big Data" discipline that will soon
enter the exabyte data range when all subdisciplines are combined. These datasets
must be transferred across commercial and research networks in creative ways
since sending data without thought can have serious consequences on data
processing time frames. Thus, it is imperative that biologists,
bioinformaticians, and information technology engineers recalibrate data
processing paradigms to fit this emerging reality. This review attempts to
provide a snapshot of Big Data transfer across networks, which is often
overlooked by many biologists. Specifically, we discuss four key areas: 1) data
transfer networks, protocols, and applications; 2) data transfer security
including encryption, access, firewalls, and the Science DMZ; 3) data flow
control with software-defined networking; and 4) data storage, staging, archiving
and access. A primary intention of this article is to orient the biologist in key
aspects of the data transfer process in order to frame their genomics-oriented
needs to enterprise IT professionals.
PMID- 26568681
TI - Estimation of Hepatitis B Virus, Hepatitis C Virus, and Different Clinical
Parameters in the Thalassemic Population of Capital Twin Cities of Pakistan.
AB - Hepatitis B and C are serious public health problems worldwide. Thalassemia
patients are dependent on blood transfusions throughout their life and are at
high risk of viral infections. The aim of this study was to estimate the
prevalence of hepatitis B/C infections and different clinical parameters in
multitransfused thalassemia population. In this study, 262 multitransfused beta
thalassemia patients were enrolled from the capital twin cities of Pakistan. The
presence of hepatitis B virus (HBV)/hepatitis C virus (HCV), alanine
aminotransferase (ALT) level, serum creatinine, serum ferritin, hepatomegaly,
splenomegaly, and splenectomy were analyzed. The overall prevalence of HBV and
HCV was 3.08% and 55.73%, respectively, with 100% of patients older than 20 years
had HCV infection. The ALT levels among HBV- and HCV-positive thalassemia
patients were 92.62 +/- 41.57 U/L and 98 +/- 63.65 U/L, respectively; creatinine
values observed were 0.4 +/- 0.35 mg/dL (for HBV) and 0.39 +/- 0.24 mg/dL (for
HCV), while serum ferritin levels were 6865.87 +/- 1649.13 ng/dL (for HBV) and
5445.95 +/- 3059.28 ng/dL (for HCV). A total of 74.8% and 82.20% of HBV- and HCV
positive patients had hepatomegaly with an average increase in liver size of 4.17
and 4.33 cm, respectively. Splenomegaly was observed in 64.9% and 67.12% of HBV-
and HCV-positive patients with an average increase in spleen size of 4 and 4.46
cm, respectively. Splenectomy was observed among 14.50% and 15.75% of HBV- and
HCV-infected thalassemia patients. There is a strong need to properly screen
blood before transfusions to reduce the future load of viral hepatitis from
Pakistan.
PMID- 26568682
TI - A Perspective of Immunotherapy for Breast Cancer: Lessons Learned and Forward
Directions for All Cancers.
AB - Immunotherapy for cancer has been a focus 50 years ago. At the time, this
treatment was developed prior to cloning of the cytokines, no knowledge of
regulatory T-cells, and very little information that mesenchymal stem cells
(MSCs) (originally colony forming unit-fibroblasts [CFU-F]) could be licensed by
the inflammatory microenvironment to suppress an immune response. Given the
information available at that time, mononuclear cells from the peripheral blood
were activated ex vivo and then replaced in the patients with tumor. The intent
was to harness these activated immune cells to target the cancer cells. These
studies did not lead to long-term responses because the activated cells when
reinfused into the patients were an advantage to the resident MSCs, which can
home the tumor and then become suppressive in the presence of the immune cells.
The immune suppression caused by MSCs would also expand regulatory T-cells,
resulting instead in tumor protection. As time progressed, these different fields
converged into a new approach to use immunotherapy for cancer. This article
discusses these approaches and also reviews chimeric antigen receptor in the
context of future treatments for solid tumors, including breast cancer.
PMID- 26568683
TI - Arabinosylation Plays a Crucial Role in Extensin Cross-linking In Vitro.
AB - Extensins (EXTs) are hydroxyproline-rich glycoproteins (HRGPs) that are
structural components of the plant primary cell wall. They are basic proteins and
are highly glycosylated with carbohydrate accounting for >50% of their dry
weight. Carbohydrate occurs as monogalactosyl serine and arabinosyl
hydroxyproline, with arabinosides ranging in size from ~1 to 4 or 5 residues.
Proposed functions of EXT arabinosylation include stabilizing the polyproline II
helix structure and facilitating EXT cross-linking. Here, the involvement of
arabinosylation in EXT cross-linking was investigated by assaying the initial
cross-linking rate and degree of cross-linking of partially or fully de
arabinosylated EXTs using an in vitro cross-linking assay followed by gel
permeation chromatography. Our results indicate that EXT arabinosylation is
required for EXT cross-linking in vitro and the fourth arabinosyl residue in the
tetraarabinoside chain, which is uniquely alpha-linked, may determine the initial
cross-linking rate. Our results also confirm the conserved structure of the
oligoarabinosides across species, indicating an evolutionary significance for EXT
arabinosylation.
PMID- 26568686
TI - Design and Rationale of the Femoral Closure versus Radial Compression Devices
Related to Percutaneous Coronary Interventions (FERARI) Study.
AB - BACKGROUND: Bleeding events after percutaneous coronary interventions (PCI) are
associated with patients' age, gender, and the presence of chronic kidney
disease, antithrombotic treatment, as well as arterial access site. Patients
being treated by PCI using radial access site are associated with an improved
prognosis. However, the safety of femoral closure devices has never been compared
to radial compression devices following PCI. Therefore, the aim of this study is
to evaluate the safety of femoral closure compared to radial compression devices
in patients treated by PCI envisaging access site bleedings as well as short- and
long-term prognostic outcomes. METHODS: The Femoral Closure versus Radial
Compression Devices Related to Percutaneous Coronary Interventions (FERARI) study
is a single-center observational study comparing 400 consecutive patients
undergoing PCI either using radial compression devices (TR BandTM) or femoral
closure devices (Angio-SealTM) at the corresponding access site. The primary
outcome consists of the occurrence of vascular complications at the arterial
access site, including major bleedings as defined by common classification
systems. Secondary outcomes consist of the occurrence of adverse cardiac events,
including all-cause mortality, target lesion revascularization, and target vessel
revascularization during 30 days and 12 months of follow-up. RESULTS: Study
enrollment was initiated in February 2014. The enrollment phase is expected to
last until May 2015. CONCLUSIONS: The FERARI study intends to comparatively
evaluate the safety and prognostic outcome of patients being treated by radial or
femoral arterial closure devices following PCI during daily clinical practice.
PMID- 26568684
TI - Adult Astrogenesis and the Etiology of Cortical Neurodegeneration.
AB - As more evidence points to a clear role for astrocytes in synaptic processing,
synaptogenesis and cognition, continuing research on astrocytic function could
lead to strategies for neurodegenerative disease prevention. Reactive
astrogliosis results in astrocyte proliferation early in injury and disease
states and is considered neuroprotective, indicating a role for astrocytes in
disease etiology. This review describes the different types of human cortical
astrocytes and the current evidence regarding adult cortical astrogenesis in
injury and degenerative disease. A role for disrupted astrogenesis as a cause of
cortical degeneration, with a focus on the tauopathies and synucleinopathies,
will also be considered.
PMID- 26568685
TI - Prognostic and Predictive Significance of Stromal Fibroblasts and Macrophages in
Colon Cancer.
AB - Colon cancer development and malignant progression are driven by genetic and
epigenetic alterations in tumor cells and by factors from the tumor
microenvironment. Cancer cells become reliant on the activity of specific
oncogenes and on prosurvival and proliferative signals they receive from the
abnormal environment they create and reside in. Accordingly, the response to
anticancer therapy is determined by genetic and epigenetic changes that are
intrinsic to tumor cells and by the factors present in the tumor
microenvironment. Recent advances in the understanding of the involvement of the
tumor microenvironment in tumor progression and therapeutic response are
optimizing the application of prognostic and predictive factors in colon cancer.
Moreover, new targets in the tumor microenvironment that are amenable to
therapeutic intervention have been identified. Because stromal cells are with
rare exceptions genetically stable, the tumor microenvironment has emerged as a
preferred target for therapeutic drugs. In this review, we discuss the role of
stromal fibroblasts and macrophages in colon cancer progression and in the
response of colon cancer patients to therapy.
PMID- 26568687
TI - Serum Biopterin and Neopterin Levels as Predictors of Empty Follicles.
AB - OBJECTIVE: This study measured serum and follicular fluid (FF) levels of
biopterin, neopterin, vascular endothelial growth factor (VEGF), and macrophage
colony-stimulating factor (M-CSF) in patients receiving mild ovarian stimulation
for oocyte retrieval. PATIENTS AND METHODS: Infertile patients who underwent
ovarian stimulation were divided into the following: Group 1, no oocyte retrieval
(n = 12), and Group 2, retrieval of more than four oocytes (n = 13). Median total
gonadotropin dose in both groups was 150 IU. Biopterin and neopterin levels were
measured using high-performance liquid chromatography. VEGF and M-CSF levels were
measured by enzyme-linked immunosorbent assay. RESULTS: Compared to Group 2,
serum and FF levels of neopterin and VEGF and serum levels of M-CSF were
significantly increased, and serum and FF levels of biopterin were significantly
decreased in Group 1 (P < 0.05 each). CONCLUSION: Biopterin and neopterin levels
showed similar differences in FF and serum of patients with empty follicles.
Decreased biopterin and increased neopterin in serum could predict poor oocyte
retrieval.
PMID- 26568688
TI - Approach to the patient with neutropenia in childhood.
AB - Neutrophils have an important role in host defense and acute inflammation. It is
well known that susceptibility to infection increases when the neutrophil count
is low. Neutropenia were classified as mild, moderate and severe according to the
neutrophil counts, or acute and chronic depending on the duration of neutropenia,
or congenital and acquired according to the mechanism. The patients with
neutropenia are clinically different due to underlying mechanism, they have life-
threatening infections or no infection may be observed. The most common cause of
acquired neutropenia is viral infection, followed by drugs and autoimmune
neutropenia. Congenital neutropenia are usually diagnosed by acute and life-
threatening invasive bacterial and fungal infections. Immune system disorders and
other systemic abnormalities may be accompanied or not. Recent years, novel
single gen defects causing congenital neutropenia were defined through advanced
genetic techniques. Molecular diagnosis is useful for risk stratification, choice
of therapy and prognosis on follow- up. This review was prepared for
pediatricians as a guide focused on approach neutropenia, which tests should be
performed and when should be referred to a specialist.
PMID- 26568689
TI - Evaluation of forensic cases admitted to pediatric intensive care unit.
AB - AIM: This study aimed to determine the epidemiological and clinical
characteristics of pediatric forensic cases to contribute to the literature and
to preventive health care services. MATERIAL AND METHODS: Pediatric forensic
cases hospitalized in our pediatric intensive care unit below the age of 17 years
were reviewed retrospectively (January 2009-June 2014) . The patients were
evaluated in two groups as physical traumas (Group A) and poisonings (Group B).
The patients' age, gender, complaints at presentation, time of presentation and
referral (season, time) and, mortality rates were determined. Cases of physical
trauma (Group A) were classified as traffic accidents, falling down from height,
falling of device, drowning, electric shock, burns and child abuse. Poisonings
(Group B) were classified as pharmaceuticals, pesticides, other chemicals and
unknown drug poisonings. RESULTS: Two hundred twenthy cases were included. The
mean age was 5.1+3.1 years. One hundred fifteen (%52.5) of the cases were male
and 105 (%47.5) were female. Group A consisted of 62 patients and Group B
consisted of 158 patients. The patients presented most frequently in summer
months. The most common reason for presentation was falling down from height
(12.7%) in Group A and accidental drug poisoning (most frequently
antidepressants) in Group B. The mortality rate was 5%. CONCLUSION: Forensic
cases in the pediatric population (physical trauma and poisoning) are preventable
health problems. Especially, preventive approach to improve the environment for
falling down from height must be a priority. Increasing the awareness of families
and the community on this issue, in summer months during which forensic cases are
observed most frequently can contribute to a reduction in the number of cases.
PMID- 26568690
TI - Is there any difference between high-risk infants with different birth weight and
gestational age in neurodevelopmental characters?
AB - AIM: This study is aimed to investigate differences between cognitive, language
and motor development of high-risk infants related to birth weight and
gestational age. MATERIAL AND METHODS: One hundred sixty high-risk infants who
were born 32 weeks, 1 500 gr and below included in this study. According to
corrected age, 58 infants were 1 month, 72 were at 4 months, 82 were at 8 months
and 65 were 12 months old. Infants were seperated two groups according to
gestational age <30 weeks and 30-32 weeks and birth weight <=1 000 gr and 1 001-1
500 gr. Infants motor development were assessed with Bayley-III Infant and
Toddler Development Motor Scale (Bayley-III) and Neuro Sensory Motor
Developmental Scale (NSMDA), cognitif and lanuage development were Bayley-III
cognitive and Language scales. Assessments were applied by the same
physiotherapist at 1 month, 4 months, 8 months and 12 months old infants in
corrected age. Mann-Whitney U Test, 2 x 2 Chi-Square test ve Fisher's exact tests
were used to compare group data. Statistical significance was determined p<0.05.
RESULTS: Cognitive, motor and language developments were in normal ranges in all
infants. There were no statistical differences in cognitive, language and motor
development between groups (p>0.05). CONCLUSION: Results of this study showed
that the motor, cognitive and language development were normal in all high risk
infants and power gestational age and birth weight did not affect these
parametes.
PMID- 26568691
TI - Problems of the neonates with congenital heart disease requiring early
interventions: a regional report.
AB - AIM: In this study, it was aimed to determine the problems of the neonates who
were diagnosed with congenital heart disease requiring early intervention in our
hospital. MATERIAL AND METHODS: The files of the newborn babies with congenital
heart disease requiring early intervention who were admitted to the neonatal
intensive care unit of our hospital between January 2011 and January 2013 were
evaluated retrospectively. In all cases, echocardiography and ''Score for
Neonatal Acute Physiology-II" (SNAP-II) scoring were performed within the first
day of admission. The data were interpreted using Number Cruncher Statistical
System 2007 software. The statistical significance was set at p<0.05. RESULTS: A
total of 83 babies were included in the study. Forty six of the patients were
male (55%), and 37 (45%) were female. Sixty eight percent of the patients were
referred from the neighboring provinces and 32% were transferred from the centers
within the city. The age range was between 0 and 28 (5.6+/-6.4 day) days. The
SNAP-II scores upon admission ranged between 0 and 90 (mean: 20+/-20.3). None of
the patients was diagnosed prenatally. The most common diagnoses included
transposition of the great arteries (33.7%) and pulmonary atresia (19.3%).
Nineteen (22%) patients were lost in the neonatal intensive care unit. There was
a significant relationship between the mortality and the SNAP-II scores
(p=0.0001) and use of vasopressors (p=0.004). The diagnosis, gender, use of
alprostadil and age were not related to mortality. Three patients were discharged
following planning of elective surgery and 60 patients were referred to a
tertiary center by air ambulance. CONCLUSIONS: The results of our study indicated
that prenatal diagnosis could not be made in neonates with congenital heart
disease requiring intervention in our region. The mortality rates of these
patients were related to the severity of the clinical status at presentation
rather than to the age, gender and type of congenital heart disease. The
mortality was much higher in the patients who developed circulatory failure. Most
of the patients who survived were sent by air ambulance to the centers where the
intervention was to be performed.
PMID- 26568692
TI - Desire to have other children in families with a chronically disabled child and
its effect on the relationship of the parents.
AB - AIM: The aim of this study was to examine the desire of the parents who had a
chronically disabled child to have other children and to investigate the effect
of having a disabled child on the relationship of the parents. MATERIAL AND
METHODS: The families of 145 chronically disabled children were included in the
study. After the sociodemographic information belonging to the child and family
were obtained, the prepared questionnaire form was used to interrogate if the
parents lived together, the number of children in the family, if they wished to
have other children and the relation of the disabled child with the household.
Ethics committee approval was obtained from Dokuz Eylul University (date:
18.10.2012, decision number, 2012/34-13). The data obtained in the study were
expressed as figures and percentages. RESULTS: While 91.7% of the parents
continued their relationship, 2.8% were divorced and 1.4% were left by their
spouses. The rate of the parents who did not wish to have (or did not have) other
children after a disabled child was found to be 65.2%. The major reason for the
families not to wish to have other children was found to be the fact that they
thought they could not spare enough time for their disabled child. While 35.1% of
the parents stated that clemped together more tightly, 35.2% stated that their
concerns about the future increased further. Fifty eight percent of the parents
stated that social relations and community involvements were not affected,
whereas others stated that they were affected in different ways. CONCLUSIONS:
Although the relationship between the parents was not affected to a great extent
in families who had chronically disabled children, it was found that parents
intensively experienced concern for the future. It was found that this also
affected the desire of the parents who had a chronically disabled child to have
other children with the concern that the child will be disabled.
PMID- 26568694
TI - Tic disorders in the differential diagnosis of chronic cough in children in
relation to four cases.
AB - Chronic cough is a frequent reason for medical referrals in childhood. In
patients who do not have signs or symptoms of an underlying respiratory system
disease and who do not respond to experimental treatment, psychogenic cough
should be considered. In this paper, four patients who were referred to our
department with a prediagnosis of psychogenic cough, found to have tic disorder
as a result of the assessments performed and improved with antipsychotic
medication are presented. The differantial diagnosis of chronic cough in children
should include tic disorders as well as psychogenic cough. Tic disorders can be
diagnosed easily with detailed history and their response to medical treatment is
rather satisfactory. Recognition of these disorders by pediatricians will
minimize erroneous diagnoses and inappropriate therapies in children with a
complaint of chronic cough.
PMID- 26568693
TI - Factors which affect mortality in neonatal sepsis.
AB - AIM: Neonatal sepsis is an important cause of mortality and morbidity in
newborns. The causative agents may be different in different units and may change
in time. It was aimed to examine the microbiological agents leading to sepsis,
clinical features and antibiotic resistances in babies with sepsis hospitalized
in our unit in a two-year period. MATERIAL AND METHODS: The clinical features,
microbiological and laboratory results, antibiotic resistance patterns and
mortality rates of the newborns with sepsis followed up in our unit between 2010
and 2011 were examined in the patient record system. RESULTS: 351 babies
diagnosed with sepsis among 3219 patients hospitalized in the neonatal intensive
care unit were included in the study. The mean gestational age was found to be
30.1+/-4.1 weeks, the mean birth weight was found to be 1417.4+/-759.1 g and the
mean hospitalization time was found to be 43.6+/-34.4 days. Blood cultures were
found to be positive in 167 (47.6%) patients, urine cultures were found to be
positive in 6 (7.1%) patients and cerebrospinal fluid cultures were found to be
positive in 34 (9.6%) cases. Candida grew in 5 patients (2 patients with early
onset sepsis and 3 patients with late-onset sepsis). The most common cause of
sepsis was found to be staphylococci (coagulase negative staphylococcus was found
in 65 patients (51%) and Staphylococcus aureus was found in 38 patients (39%).
49.6% (n=63) of the gram positive bacteriae and 60% (n=21) of the gram negative
bacteriae were resistant to antibiotics. Six (7.1%) of the patients who were
infected with these bacteriae were lost. In total 24 babies were lost because of
sepsis. The bacteriae which caused to mortality with the highest rate included E.
coli, coagulase negative staphylocicci, S. aureus and Klebsiella. Low birth
weight, mechanical ventilation and parenteral nutrition were found to be
significant risk factors in terms of mortality. CONCLUSIONS: Staphylococci were
found to be the most common agents in neonatal sepsis. Low birth weight,
mechanical ventilation and parenteral nutrition are significant risk factors in
terms of mortality.
PMID- 26568695
TI - Abusive head trauma: two case reports.
AB - Abusive head trauma is a serious form of child abuse and mostly seen in infants
below the age of two years as a result of a strong shaking by the caregiver who
aims to stop the infant's crying. Characteristic symptoms include subdural
hematomas, encephalopathy, retinal hemorrhages and fractures of the long bones.
When physically examined, there are generally no externally visible signs. For
this reason, it can be underdiagnosed, if it is not considered in the
differential diagnosis. When the information provided from the parents is
inconsistent and contradictory with the clinical picture of the patient, this
form of abuse must be suspected and retinal hemorrhages should be searched. In
this article, two patients who were admitted to our emergency department and
diagnosed with physical child abuse are reported. One of these patients had a
history of minor head trauma after falling down from the sofa and the other one
had a history of breathlessness and loss of consciousness as a result of
excessive crying.
PMID- 26568696
TI - Pancytopenia due to linezolid treatment.
AB - Antibiotic-resistant infections constitute a significant portion of severe
childhood infections. A gradually increasing resistance and treatment difficulty
are observed in infections caused by enterococci, staphylococci and pneumococci.
Linezolid is one of the new antibiotics which has recently been introduced for
clinical use with gram positive efficiency. In this article, a pediatric patient
with vancomycin-resistant enterococcus infection who developed reversible bone
marrow supression related with use of linesolid was presented. A shunt was
inserted in a ten-month old female patient who had been operated at the age of
one month because of meningomyelocele and who had developed hydrocephalus.
Linezolid and meropenem treatment was started when vancomycin-resistant
Enterococcus faecium and extended-spectrum beta-lactamase positive Escherichia
coli grew in cerebrospinal fluid culture. In the second week of treatment,
cerebrospinal fluid findings improved. However, bone marrow supression was
observed. Linezolid treatment was discontinued. In the follow-up, the blood cell
counts returned to normal levels.
PMID- 26568697
TI - Does bee pollen cause to eosinophilic gastroenteropathy?
AB - Bee pollen is given to children by mothers in order to strengthen their immune
systems. There are no studies related with the side effects of bee polen in the
literature. In this article, the literature was reviewed by presenting a case of
allergic eosinophilic gastropathy related with bee polen. A 5-year old child was
admitted due to abdominal pain. Edema was detected on the eyelids and pretibial
region. In laboratory investigations, pathology was not detected in terms of
hepatic and renal causes that would explain the protein loss of the patient
diagnosed with hypoproteinemia and hypoalbuminemia. Urticaria was detected during
the follow-up visit. When the history of the patient was deepened, it was learned
that bee pollen was given to the patient every day. The total eosinophil count
was found to be 1 800/mm(3). Allergic gastroenteropathy was considered because of
hypereosinophilia and severe abdominal pain and endoscopy was performed. Biopsy
revealed abundant eosinophils in the whole gastric mucosa. A diagnosis of
allergic eosinophilic gastropathy was made. Bee polen was discontinued. Abdominal
pain and edema disappeared in five days. Four weeks later, the levels of serum
albumin and total eosinophil returned to normal.
PMID- 26568698
TI - Pulmonary inflammatory effects of source-oriented particulate matter from
California's San Joaquin Valley.
AB - The EPA regulates ambient particulate matter (PM) because substantial
associations have been established between PM and health impacts. Presently,
regulatory compliance involves broad control of PM emission sources based on mass
concentration rather than chemical composition, although PM toxicity is likely to
vary depending upon PM physicochemical properties. The overall objective of this
study was to help inform source-specific PM emission control regulations. For the
first time, source-oriented PM was collected from the atmosphere in Fresno, CA,
onto 38 source/size substrates. Mice were exposed via oropharyngeal aspiration to
equivalent mass doses [50 MUg] of two size fractions: ultrafine (Dp < 0.17MUm)
and submicron fine (0.17 < Dp < 1 MUm) during summer and winter seasons. At 24
hours post-exposure, cellular and biochemical indicators of pulmonary
inflammation were evaluated in the bronchoalveolar lavage fluid. Significant
inflammatory responses were elicited by vehicle, regional background, and cooking
PM sources that were dependent on season and particle size. This is the first
study of source-oriented toxicity of atmospheric PM and supports source-specific
emissions control strategies.
PMID- 26568699
TI - Regression analysis of sparse asynchronous longitudinal data.
AB - We consider estimation of regression models for sparse asynchronous longitudinal
observations, where time-dependent responses and covariates are observed
intermittently within subjects. Unlike with synchronous data, where the response
and covariates are observed at the same time point, with asynchronous data, the
observation times are mismatched. Simple kernel-weighted estimating equations are
proposed for generalized linear models with either time invariant or time
dependent coefficients under smoothness assumptions for the covariate processes
which are similar to those for synchronous data. For models with either time
invariant or time-dependent coefficients, the estimators are consistent and
asymptotically normal but converge at slower rates than those achieved with
synchronous data. Simulation studies evidence that the methods perform well with
realistic sample sizes and may be superior to a naive application of methods for
synchronous data based on an ad hoc last value carried forward approach. The
practical utility of the methods is illustrated on data from a study on human
immunodeficiency virus.
PMID- 26568700
TI - Molecular Analysis of Methanogen Richness in Landfill and Marshland Targeting 16S
rDNA Sequences.
AB - Methanogens, a key contributor in global carbon cycling, methane emission, and
alternative energy production, generate methane gas via anaerobic digestion of
organic matter. The methane emission potential depends upon methanogenic
diversity and activity. Since they are anaerobes and difficult to isolate and
culture, their diversity present in the landfill sites of Delhi and marshlands of
Southern Assam, India, was analyzed using molecular techniques like 16S rDNA
sequencing, DGGE, and qPCR. The sequencing results indicated the presence of
methanogens belonging to the seventh order and also the order Methanomicrobiales
in the Ghazipur and Bhalsawa landfill sites of Delhi. Sequences, related to the
phyla Crenarchaeota (thermophilic) and Thaumarchaeota (mesophilic), were detected
from marshland sites of Southern Assam, India. Jaccard analysis of DGGE gel using
Gel2K showed three main clusters depending on the number and similarity of band
patterns. The copy number analysis of hydrogenotrophic methanogens using qPCR
indicates higher abundance in landfill sites of Delhi as compared to the
marshlands of Southern Assam. The knowledge about "methanogenic archaea
composition" and "abundance" in the contrasting ecosystems like "landfill" and
"marshland" may reorient our understanding of the Archaea inhabitants. This study
could shed light on the relationship between methane-dynamics and the global
warming process.
PMID- 26568701
TI - Conceptualization of PTSD from the Vietnam War to Current Conflicts and Beyond.
PMID- 26568702
TI - Infant learning: Historical, conceptual, and methodological challenges.
AB - Acquiring knowledge about the underlying structures of the environment presents a
number of challenges for a naive learner. These challenges include the absence of
reinforcement to guide learning, the presence of numerous information sources
from which only a select few are relevant, and the uncertainty about when an
underlying structure may have undergone a change. A crucial implication of these
challenges is that the naive learner must make implicit decisions about when to
generalize to novel inputs and when to restrict generalization because there are
multiple underlying structures. An historical perspective on these challenges is
presented and some potential solutions are proposed.
PMID- 26568703
TI - Pointing as Epistemic Request: 12-month-olds Point to Receive New Information.
AB - Infants start pointing systematically to objects or events around their first
birthday. It has been proposed that infants point to an event in order to share
their appreciation of it with others. In the current study, we tested another
hypothesis, according to which infants' pointing could also serve as an epistemic
request directed to the adult. Thus, infants' motivation for pointing could
include the expectation that adults would provide new information about the
referent. In two experiments, an adult reacted to 12-month-olds' pointing
gestures by exhibiting 'informing' or 'sharing' behavior. In response, infants
pointed more frequently across trials in the informing than in the sharing
condition. This suggests that the feedback that contained new information matched
infants' expectations more than mere attention sharing. Such a result is
consistent with the idea that not just the comprehension but also the production
of early communicative signals is tuned to assist infants' learning from others.
PMID- 26568704
TI - Joint Estimation of Multiple Precision Matrices with Common Structures.
AB - Estimation of inverse covariance matrices, known as precision matrices, is
important in various areas of statistical analysis. In this article, we consider
estimation of multiple precision matrices sharing some common structures. In this
setting, estimating each precision matrix separately can be suboptimal as it
ignores potential common structures. This article proposes a new approach to
parameterize each precision matrix as a sum of common and unique components and
estimate multiple precision matrices in a constrained l1 minimization framework.
We establish both estimation and selection consistency of the proposed estimator
in the high dimensional setting. The proposed estimator achieves a faster
convergence rate for the common structure in certain cases. Our numerical
examples demonstrate that our new estimator can perform better than several
existing methods in terms of the entropy loss and Frobenius loss. An application
to a glioblastoma cancer data set reveals some interesting gene networks across
multiple cancer subtypes.
PMID- 26568705
TI - Stressors and Barriers to Services for Immigrant Fathers Raising Children with
Developmental Disabilities.
AB - This narrative review examines research on the experiences of immigrant fathers
raising children with developmental disabilities, and considers the findings
within the Canadian context. Applying Green, Johnson & Adams' (Journal of
Chiropractic Medicine, 5(3), 101-117, 2006) methodology, a step-by-step process
was followed to conduct the review. Four databases (PsychINFO, PubMed, CINAHL and
Sociological Abstracts) were used for the search. A total of 39 articles were
found to be relevant after applying the inclusion/exclusion criteria. Also 20
articles from published reference lists and peer-reviewed journal articles,
located through Google Scholar, complimented the initial search. Along with
House's (1981) four dimensions of social support, an intersectional approach
underpinned the analysis of findings. Four themes were identified which included:
economic challenges, social influences, cultural influences, and the changing
gender roles of fathers. Stressors and barriers to accessing health services in
the post-migration setting were examined. Based on the review's findings, the
paper recommends 1) addressing income inequality, 2) improving access to health
care, social and developmental services, 3) improving cultural-sensitivity of
health care, social and developmental services, and 4) increasing participation
of fathers. Overall, a more systemic understanding of immigrant fathers'
experiences is called for, taking into account their multiple social locations.
PMID- 26568706
TI - Components of Impulsivity in Gambling Disorder.
AB - This study examined the structure of impulsivity within gambling disorder. A
group of 51 men and 53 women with gambling disorder completed self-report and
behavioral measures of impulsivity. Principal component analyses found two
factors. The first was interpreted as measuring trait impulsivity. This factor
correlated with problem gambling severity, presence of comorbid mental health and
substance use disorders, history of brain injury, and was higher in Aboriginal
participants. The second factor had high loadings on the self-reported sensation
seeking scales and the behavioural measures of response impulsivity. This factor
correlated with overall gambling involvement but not with indicators of
pathology. Higher scores were associated with younger age. These results are
consistent with an evolving model of the etiology of disordered gambling that
suggests that sensation-seeking is related to gambling involvement but that trait
impulsivity and mental health struggles are associated with the development of
gambling disorder.
PMID- 26568707
TI - Gamble While You Gamble: Electronic Games in Ontario Charitable Gaming Centres.
AB - Electronic Bingo games have recently appeared in Ontario Charitable Gaming
Centres. Here we summarize the characteristics of this novel form of electronic
gambling, and give a detailed characterization of one game. We contend that these
games have structural characteristics that make them similar to modern Electronic
Gaming Machines (EGMs) that feature multiline slots games. These features include
a fast and continuous gaming experience, with player adjustable win size and
reinforcement rate, a high frequency of losses disguised as wins, and highly
salient near misses. Some of these games also have bonus rounds and provide
players with a list of recent wins. We conclude that provincial and state gaming
authorities should be aware that the placement of Bingo EGMs in existing Bingo
facilities may increase problem gambling among an already well-established
community of Bingo enthusiasts.
PMID- 26568708
TI - Intra-articular corticosteroid injections to manage trapeziometacarpal
osteoarthritis-a systematic review.
AB - BACKGROUND: Osteoarthritis of the trapeziometacarpal joint (TMJ) is a common
condition causing significant disability. The aim of this study is to ascertain
whether an intra-articular corticosteroid injection leads to pain relief and
increased function and what is the duration and magnitude of this effect.
METHODS: A systematic review with a critical appraisal of identified studies that
met the inclusion criteria was performed. Two authors performed the literature
review by independently searching the Cochrane, PubMed and Google Scholar
databases. RESULTS: A total of 118 relevant articles were found, but only nine
studies met the inclusion criteria which included 4 double-blinded randomised
control trials (RCTs) and 5 prospective case series. There is some evidence in
the literature to support the efficacy of steroid injections into the TMJ. Most
studies do suggest a good short-term benefit. However, one identifies no benefit
over placebo but two studies found a benefit lasting at least 6 months.
CONCLUSIONS: This study demonstrates that there are potentially significant
although short-term benefits to be gained from steroid injections into the TMJ.
They can lead to pain relief and improved function, certainly in the first 1 to 3
months post-injection. Steroid injections are a low-risk procedure and are
helpful in delaying or avoiding the need for surgery.
PMID- 26568709
TI - The influence of subluxation on the severity of symptoms, disability, and the
results of operative treatment in TMC osteoarthritis with total joint
arthroplasty.
AB - BACKGROUND: The role of subluxation in staging of the severity of osteoarthritic
changes remains unclear. The aim of this study was to evaluate the influence of
subluxation of symptomatic osteoarthritic trapeziometacarpal (TMC) joint
regarding severity of symptoms, disability, and results of operative treatment in
TMC osteoarthritis with total joint arthroplasty. METHODS: We included 172 hands
in 137 patients (32 males and 105 females), mean age 59 years (44-74 years), with
a combination of radiological signs of TMC osteoarthritis and clinical symptoms
in the study. All patients were operated in general anaesthesia where a ball and
socket joint implant was used. Disability of the Arm, Shoulder and Hand (DASH)
score, visual analog scale, grip strength, and abduction deficiency were recorded
and subluxation was measured on computed tomography. RESULTS: The mean
subluxation in males was 5.2 mm (SD 1.2) and in females 5.3 mm (SD 1.3) (p =
0.95). We found a weak positive correlation between grip strength and subluxation
both at the preoperative examination and at 3 and 12 months after the operation,
but no other correlations with subluxation were found. CONCLUSIONS: This study
indicates that radial subluxation may not be an important factor in symptoms and
function in patients with symptomatic TMC osteoarthritis without severe
scaphotrapezio (ST) joint degeneration. In addition, preoperative subluxation
seems not to be important for the result after total joint arthroplasty.
PMID- 26568710
TI - Intraosseous ganglion cysts of the carpus: current practice.
AB - Intraosseous cysts of the carpal bones are an infrequent cause of chronic wrist
pain. The main body of work has investigated their occurrence in the proximal
carpus, with limited incidence in the distal row. We review the current
literature on the treatment of symptomatic carpal cysts following the report of a
17-year-old male with a 12-month history of progressive right wrist pain due to
an intraosseous ganglion of the trapezoid. This review explores the pathology of
carpal cysts, their varying presentation and current treatments.
PMID- 26568711
TI - Extraskeletal osteosarcoma of the hand: the role of marginal excision and
adjuvant radiation therapy.
AB - BACKGROUND: Extraskeletal osteosarcoma of the hand is rare, and its optimal
modality of local control is not currently known. METHODS: A literature search
was performed to identify studies that describe the treatment and outcomes of
extraskeletal osteosarcoma. A second literature search was performed to identify
studies that describe the treatment and outcomes of extraskeletal osteosarcoma of
the hand specifically. RESULTS: The role of adjuvant radiation for extraskeletal
osteosarcoma is not well defined. All cases in the literature describing
treatment of extraskeletal osteosarcoma of the hand utilized amputation, and none
of the patients described received radiation therapy. However, there are multiple
reports showing excellent local control, minimal toxicity, and superior
functional outcome with limb conservation and radiation rather than amputation of
the hand in pediatric and adult soft tissue sarcoma. CONCLUSION: For
extraskeletal osteosarcoma of the hand, we recommend a treatment approach with
the goal of preservation of form and function using limb-sparing surgery and
planned postoperative radiation.
PMID- 26568712
TI - Satisfaction and functional outcome with "self-care" for the management of fifth
metacarpal fractures.
AB - BACKGROUND: Fifth metacarpal fractures are common and comprise a significant
proportion of traditional orthopaedic fracture clinic workload. We reviewed the
functional outcome and the satisfaction of patients managed with a new protocol
that promoted "self-care" and resulted in the discharge of most of these patients
from the emergency department with no further follow-up. METHODS: A retrospective
study was performed of patients discharged with a fifth metacarpal fracture
between April 2012 to October 2012. A postal questionnaire was sent to each
patient, followed by a telephone call. Patient-reported outcome measures (EQ-5D,
QuickDASH) and patient satisfaction were assessed. Of the 167 patients eligible
for the study, 5 were excluded. Of the remaining 162, 64 were uncontactable or
declined to participate. The mean follow-up time was 21.6 months (SD 1.9, range
18.1 to 24.7). RESULTS: The median EQ-5D health index score was 0.87 (IQR 0.74 to
1.00), and the median QuickDASH score was 2.3 (IQR 0 to 6.8). Seventy-nine (80.6
%) patients were satisfied with the outcome of their injury, while 83 (84.9 %)
reported being satisfied with the process. There was no difference between those
with a fracture or those without a fracture in EQ-5D (p = 0.307) or QuickDASH (p
= 0.820). CONCLUSION: Fifth metacarpal fractures can be managed effectively
through an Emergency Department protocol without any formal orthopaedic follow
up. This pathway lead to excellent patient-reported outcome measures and patient
satisfaction. This protocol has reduced unnecessary hospital attendances for
patients and increased the time available for clinicians to deal with more
challenging injuries.
PMID- 26568713
TI - Achieving the optimal epinephrine effect in wide awake hand surgery using local
anesthesia without a tourniquet.
AB - BACKGROUND: In our experience, for all surgeries in the hand, the optimal
epinephrine effect from local anesthesia-producing maximal vasoconstriction and
visualization-is achieved by waiting significantly longer than the traditionally
quoted 7 min from the time of injection. METHODS: In this prospective comparative
study, healthy patients undergoing unilateral carpal tunnel surgery waited either
7 min or roughly 30 min, between the time of injection of 1 % lidocaine with
1:100,000 epinephrine and the time of incision. A standardized incision was made
through dermis and into the subcutaneous tissue followed by exactly 60 s of
measuring the quantity of blood loss using sterile micropipettes. RESULTS: There
was a statistically significant reduction in the mean quantity of bleeding in the
group that waited roughly 30 min after injection and before incision compared to
the group that waited only 7 min (95 % confidence intervals of 0.06 + -0.03 ml/cm
of incision, compared to 0.17 + -0.08 ml/cm, respectively) (P = 0.03).
CONCLUSIONS: Waiting roughly 30 min after injection of local anesthesia with
epinephrine as oppose to the traditionally taught 7 min, achieves an optimal
epinephrine effect and vasoconstriction. In the hand, this will result in roughly
a threefold reduction in bleeding-making wide awake local anesthesia without
tourniquet (WALANT) possible. This knowledge has allowed our team to expand the
hand procedures that we can offer using WALANT. The benefits of WALANT hand
surgery include reduced cost and waste, improved patient safety, and the ability
to perform active intraoperative movement examinations.
PMID- 26568714
TI - Multiple osteochondroma of the hand: initial and long-term follow-up study.
AB - BACKGROUND: The purpose is to determine the location and type of osteochondromas
in patients with multiple osteochondroma of the hand as well as the presence of
shortening and angulation. Second, it aims to establish longitudinal data on the
change in tumors. METHODS: Retrospective review of patients with multiple
osteochondroma affecting the hand evaluating the location and type of tumors as
well as the presence of shortening and angulation is done. We examined
radiographs from final follow-up and analyzed them based on patient age at
presentation (group I = ages 2-6; II = ages 7-10; III = ages 11-19), to determine
changes over time and any differences in the number of tumors, location, and
shortening and angulation. RESULTS: The most affected bones were the index and
small finger metacarpals with an increase seen around the metacarpophalangeal
(MCP) joints. The most shortening and angulation were seen on the ulnar side.
Group II had the most tumors and the most bones with angulation. Twenty-three
hands had longitudinal follow-up with an overall increase of 2.7 tumors per hand
with a range of loss of 8 to gain of 16. There was an increase in the number of
bones with angulation and shortening. Group I showed the largest increase in
tumors, shortening, and angulation. CONCLUSIONS: The ulnar side and bones around
the MCP joints are affected most commonly. The largest change was seen as the
patients went from young childhood into adolescence, which may be due to rapid
growth during this time. This is the largest study of these patients with the
longest longitudinal data.
PMID- 26568716
TI - What factors are associated with a second opioid prescription after treatment of
distal radius fractures with a volar locking plate?
AB - PURPOSE: Knowledge of factors associated with patient's requests for a second
opioid prescription after volar plate fixation of a fracture of the distal radius
might inform better pain management protocols and encourage decreased and safer
use of opioids. This study tested the primary null hypothesis that there is no
difference in demographics, prior opioid prescriptions, injury characteristics,
and psychological factors between patients that do and do not receive a second
opioid prescription following treatment volar locking plate after distal radius
fracture. PATIENTS AND METHODS: We used data on 206 patients enrolled in one of
two prospective studies. Their mean age was 53 years +/- SD 15, and 60 (30 %)
were men. Forty-seven (23 %) patients received a second opioid prescription. We
recorded additional demographics, AO fracture type, American Society for
Anesthesiologists (ASA) classification, radiographic parameters at the time of
injury prior to reduction and after surgery, and catastrophic thinking. RESULTS:
Male sex (odds ratio [OR] 2.2, 95 % confidence interval [CI] 1.0-4.6, partial
pseudo R (2) = 0.018, P = 0.044) and greater dorsal angulation of the articular
surface on the lateral post injury radiograph (OR 0.98, 95 % CI 0.96 to 1.0,
partial pseudo R (2) = 0.033, P = 0.040) were associated with a second opioid
prescription after surgery (pseudo R (2) 0.12, P = 0.0071). CONCLUSIONS: One
measure of fracture severity (dorsal displacement) was independently associated
with a second opioid prescription, but alone it accounted for 3.3 % of the
variation. Other factors such as the patient's expectation prior to surgery, in
particular the realization that injury and surgery hurt, might be addressed in
future research. LEVEL OF EVIDENCE: Prognostic II.
PMID- 26568715
TI - A structured review addressing the use of radiographic measures of alignment and
the definition of acceptability in patients with distal radius fractures.
AB - BACKGROUND: Standard radiographs are routinely used in clinical care to
characterize the severity of a distal radius fracture and to monitor patients
following a distal radius fracture. The objective of this review was to describe
the range and variability of radiographic measures described in the literature in
patients following a distal radius fracture. METHODS: A structured literature
review was conducted using the Embase and PubMed databases. Inclusion criteria
included full-text publications which employed radiographic measures to examine
100 or more participants following a distal radius fracture. A standardized data
extraction form was used to identify study design, fracture classification
systems, the types of and definitions of radiographic measurements, and
acceptability criteria following distal radius fractures. RESULTS: From an
initial 263 studies, 31 studies were included in the final data extraction
process. A narrative synthesis of the articles included in this review indicated
that there was a set of commonly used radiographic measurements examined in
patients with a distal radius fracture which included radial inclination,
volar/dorsal tilt, intra-articular step/gap, and a measure of ulnar
variance/radial shortening. While 52 % of studies referenced or published a
standardized measurement technique, there was substantial variability in the
actual description of each radiographic measurement performed. CONCLUSIONS:
Substantial variability in how radiographic measurements are defined in large
clinical studies as seen in this review suggest a need for consensus on the
assessment and interpretations of radiographic measures used in patients
following a distal radius fracture. Guidelines for radiographic measures should
be established to ensure consistency between research and treatment centers.
PMID- 26568717
TI - The use of a single volar locking plate for AO C3-type distal radius fractures.
AB - BACKGROUND: A single volar locking plate (VLP) is now frequently used for open
reduction and internal fixation (ORIF) of many types of distal radius fractures.
Comminuted intra-articular distal radius fractures (AO C3-type) are typically the
most challenging to surgically treat. No studies directly address the adequacy of
a VLP alone for maintaining reduction of AO C-type fractures. We hypothesized
that a single VLP provides an effective method for maintaining reduction for
these fractures. METHODS: We retrospectively evaluated radiographs of a series of
AO C-type fractures. Seventy-seven patients with 77 AO C3-type fractures were
identified from billing records and were eligible for the study. All patients
were treated by fellowship-trained hand surgeons. Radiographs at the time of
union were compared to those from immediately postoperatively. RESULTS: Sixty
nine of 77 (89.6 %) fractures treated with VLP fixation alone for AO C3-type
distal radius fractures united without loss of reduction. Eight of 77 (10.4 %)
patients treated with VLP for AO C3 fractures lost reduction. The most common
fracture fragment to lose reduction was the lunate fossa (5 of 8); loss of
reduction of the scaphoid fossa die-punch fragment (2 of 8) and the radial
styloid (1 of 8) were also seen. CONCLUSIONS: The majority (89.6 %) of AO C3-type
fractures treated with a single volar locking plate come to union without loss of
reduction. LEVEL OF EVIDENCE: Level IV.
PMID- 26568718
TI - Surgical correction of distal radius malunions using an anatomic radial locking
plate.
AB - BACKGROUND: Correction of a distal radius fracture malunion is challenging
technically. These malunions most classically deform dorsally, but often the
deformity involves three planes. METHODS: Using an anatomically designed radial
plate that takes into consideration the three planes, correction of the deformity
can be obtained. In this video we see a patient with a classic dinner fork
deformity that is associated with a dorsal malunion involving the distal radius.
Correction of a distal radius malunion can be performed using a radial approach
and an anatomic radial plate. RESULTS: The authors have used this technique for
difficult distal radius fracture malunions and have achieved superb correction,
returning patients to early range of motion and an active lifestyle. CONCLUSIONS:
Careful mobilization of the branches of the lateral antebrachial cutaneous nerve
and the superficial branch of the radial nerve is required. This technique
affords the surgeon excellent access to three sides of the radius to help perform
this complex surgery.
PMID- 26568719
TI - Anatomic relationships in distal radius bridge plating: a cadaveric study.
AB - BACKGROUND: Two separate approaches have been described for radiocarpal spanning
internal fixation for high-energy distal radius fractures with metaphyseal
extension. To our knowledge, relevant anatomic relationships and structures at
risk for iatrogenic injury have not been identified in the literature. METHODS:
Twelve fresh frozen cadaver arms were randomized to fixation with a dorsal
radiocarpal spanning plate using one of two techniques: (1) index finger
metacarpal fixation (index group) or (2) middle finger metacarpal fixation
(middle group). Cadaveric dissection and relevant anatomic relationships were
assessed in relation to the plate. RESULTS: Superficial branches of the radial
sensory nerve were in contact with the index group plate in all specimens, while
no contact occurred in the middle group specimens. No extensor digitorum comminus
(EDC) middle extensor tendons contacted the plate in the index group; an average
of 10 cm of plate contact was seen in the middle group. The extensor pollicis
longus (EPL) tendon contacted the plate in both the index and middle groups for
an average distance of 12.4 and 25.5 mm, respectively. One complication [EPL and
extensor indicis proprius (EIP) entrapment] was observed in the middle finger
metacarpal group. CONCLUSION: Mounting the dorsal bridge plate to the index
finger metacarpal places the superficial branches of the radial sensory nerve at
risk during dissection, while mounting the plate to the middle finger metacarpal
leads to a greater degree of tendon-plate contact.
PMID- 26568720
TI - Computed tomography's influence on the classifications and treatment of the
distal radius fractures.
AB - INTRODUCTION: Distal radius fractures are common in emergency centers. The
radiographic routine includes at least two radiographic projections used for
diagnosing most of these fractures. Computed tomography (CT) is indicated for
evaluating complex fractures that affect the articular surface, as well as the
fragments' size and position. There are not enough comparative studies on
choosing classification and treatment by means of the four radiographic
projections and computed tomography (CT) and the association of these with the
levels of expertise. METHODS: We conducted a randomized cross-sectional study by
observing images from 61 patients with distal radius fractures organized in two
phases: the first phase comprising radiographic images alone and the second one
with those same images associated with tomograms. Seventeen evaluators with
different levels of training and expertise classified the fractures according to
the AO and Universal classification systems and proposed treatment guidelines.
RESULTS: The agreement between the AO and Universal classification ratings was
poor (worse for the former), with smaller Fleiss' kappa resulting from data
obtained by orthopedics residents and non-specialist orthopedists. CT influenced
the classification choice, with a higher change frequency for more complex
patterns in the AO classification system and intra-articular and irreducible
fractures in the Universal classification system, especially in the group
comprised of orthopedic residents and orthopedic physicians. CT did not influence
the treatment choice made by the group comprised of hand surgery residents and
hand surgeons. CONCLUSION: The less experienced in hand surgery the observer was,
the more important computed tomography was for determining the fracture pattern.
PMID- 26568721
TI - Postsurgical complications following distal radius volar plating in a diabetic
population at short-term follow-up.
AB - BACKGROUND: Diabetes mellitus increases the risk of complications following
operative treatment of lower extremity fractures. There is little published data
establishing the impact of diabetes following surgical treatment of upper
extremity fractures. This investigation aimed to compare the incidence of short
term postsurgical complications following volar locked plating of distal radius
fractures in patients with and without diabetes. METHODS: A retrospective matched
cohort investigation of 33 diabetics matched 1:2 to 66 non-diabetics was
performed, accounting for age, gender, fracture type, and smoking status.
Electronic medical records and radiographs were reviewed for all major and minor
postsurgical complications. Demographic characteristics, postoperative
radiographic parameters, and final range of motion were also compared. Mean
follow-up was 5.3 +/- 8.2 and 5.5 +/- 7.8 months for diabetics and non-diabetics,
respectively. RESULTS: The diabetic cohort had a significantly higher overall
complication rate with 24 postsurgical complications affecting 12 patients (36 %)
compared to 16 complications affecting 12 patients (18 %) in the non-diabetic
cohort. There was no difference in the incidence of major complications requiring
operative intervention. Minor complications were significantly more common in the
diabetic group and were largely accounted for by peripheral neuritis with an
incidence of 30 %. Final radiographic outcomes and range of motion were similar.
CONCLUSIONS: Diabetics experienced a greater incidence of minor postsurgical
complications following volar locked plating of distal radius fractures when
compared to a matched, control population. The difference in outcomes is largely
accounted for by the increased incidence of peripheral neuritis among diabetics.
Diabetic patients should be counseled pre-operatively regarding their elevated
risk profile.
PMID- 26568722
TI - Scapholunate instability after distal radius volar plating.
AB - BACKGROUND: Prior to volar locked plating and early motion protocols, ligamentous
injuries incidentally associated with distal radius fractures may have been
indirectly treated with immobilization. Our goal was to determine the prevalence
of scapholunate instability in our population, while identifying those who may
have had progression of instability. METHODS: We retrospectively reviewed 221
distal radius fractures treated with a volar locking plate during a 6-year
period. Average patient age was 59 years. Standard posteroanterior and lateral
radiographs from the first and last postoperative visits were analyzed for
scapholunate instability, using the criteria of scapholunate gap >=3 mm and
scapholunate angle >=60 degrees . RESULTS: Six patients (3 %) met neither or only
one criterion for instability at the first postoperative visit and did not have
ligament repair and then went on to meet both criteria at the last postoperative
visit after an early motion protocol. Seven patients (3 %) met both criteria at
the first and last postoperative visits and did not have ligament repair. Five
patients (2 %) underwent primary scapholunate ligament repair at the time of
distal radius fixation. CONCLUSIONS: In our representative population,
scapholunate instability was uncommon, either from initial injury or possible
progression of occult ligament injury, despite early motion without operative
treatment of the ligament. Thus, we did not find strong evidence for routinely
delaying motion or pursuing further workup. When early radiographs clearly
demonstrate acute scapholunate instability, more aggressive treatment may be
appropriate for selected patients.
PMID- 26568723
TI - Osteochondral defects of the upper extremity treated with particulated juvenile
cartilage transfer.
AB - We present the novel use of particulated juvenile cartilage transfer in the upper
extremity. Our patient is an active duty solider with an osteochondral defect
(OCD) of the capitellum that he sustained after an improvised explosive devise
injury to his left elbow.
PMID- 26568724
TI - Axonal fusion via conduit-based delivery of hydrophilic polymers.
AB - BACKGROUND: Hydrophilic polymers have been shown to improve physiologic recovery
following repair of transected nerves with microsutures. Our study was designed
to combine hydrophilic polymer therapy with nerve tubes (NT) to enhance polymer
delivery to the site of nerve injury. METHODS: Using a rat sciatic nerve injury
model, a single transection injury was repaired in an end-to-end fashion with NT
+ polyethylene glycol (PEG) to NT alone. Compound action potentials (CAPs) were
recorded before nerve transection and after repair. Behavioral testing was
performed for 5 weeks. RESULTS: PEG therapy restored CAPS in all, but one,
animals, while no CAPS were recorded in animals not receiving PEG. Behavioral
nerve function was measured using the standardized functional assessment
technique and foot fault asymmetry scores (FF). FF scores were improved for the
PEG therapy groups on postoperative days 7, 14, and 21. However, after expected
eventual axonal outgrowth, the benefit was less noticeable at days 28 and 35.
Immunohistochemistry of the distal axon segments showed an increase number of
sensory and motor axons in the NT + PEG group as compared to NT alone.
CONCLUSION: These data suggest that PEG delivery via a conduit may provide a
simple, effective way to fuse severed axons and regain early nerve function. For
proximal nerve injuries in large animals, recovery of axonal continuity could
dramatically improve outcomes, even if fusion only occurs in a small percentage
of axons.
PMID- 26568725
TI - Olecranon tip osteoarticular autograft transfer for irreparable coronoid process
fracture: a biomechanical study.
AB - BACKGROUND: We hypothesized that transfer of the olecranon tip for simulated type
III coronoid fracture would restore posterior ulnohumeral translation to a level
not different from that in the intact state. METHODS: The collateral ligaments
were left intact in 12 fresh-frozen cadaveric elbows, and all other soft tissues
were removed. The entire coronoid process was osteotomized flush with the ventral
aspect of the ulna and was reconstructed using the tip of the olecranon process.
Specimens were tested with an axial load of 100 N at 0.25 mm/s in 15 degrees
increments from 15 to 120 degrees of flexion. Intact, osteotomized, and
reconstructed posterior ulnohumeral displacement was measured. RESULTS: The bony
reconstruction did not obstruct range of motion of the elbow. Intact translation
(mean +/- SD) ranged from 0.3 +/- 0.1 to 1.1 +/- 0.6 mm, and translation in the
osteotomized state ranged from 1.3 +/- 1.0 to 2.0 +/- 1.0 mm. Resection of the
coronoid resulted in a significant increase in posterior ulnar translation
compared with intact at all flexion angles (p < 0.05) except at 75 degrees .
Reconstruction decreased translation versus the osteotomized state at all flexion
angles, significantly at 60 and 120 degrees . No significant difference in
translation was found between reconstructed and intact states at five of eight
positions tested. CONCLUSIONS: In this biomechanical study of irreparable
coronoid fracture, autograft olecranon tip transfer restored posterior elbow
stability to a level not significantly different from the intact elbow in five of
eight elbow positions tested.
PMID- 26568726
TI - The utility of ultrasound for diagnosing purulent infections of the upper
extremity.
AB - BACKGROUND: The purpose of this study was to determine the test characteristics
of formal ultrasound when used to diagnose upper extremity soft tissue abscess in
the setting of suspected infection. METHODS: We completed a retrospective chart
review of all patients who had formal ultrasounds at our institution for the
indication of diagnosing upper extremity abscess between July 2010 and July 2013.
Using presence of purulence as the gold standard for diagnosis of abscess, we
calculated the test characteristics of ultrasound. We then performed a series of
logistic regression models with ultrasound being the independent variable of
interest. RESULTS: Using search criteria consistent with upper extremity abscess,
we identified 512 patients who underwent ultrasound examinations during our study
period. Of these, 178 met the enrollment criteria. Ultrasound reports revealed
110 negative findings, 37 definitively positive findings, and 31 ambiguous
findings. Forty-four patients had a final diagnosis of abscess, and 15 of these
patients had negative or ambiguous ultrasounds. The sensitivity of definitively
positive ultrasound was 65.9 %. The specificity was 94.0 %. Positive predictive
value (PPV) of a definitively positive ultrasound result was 78.4 %, and negative
predictive value (NPV) of a definitively negative result was 90 %. Logistic
regression demonstrated a statistically significant association between
definitively positive ultrasound and abscess, but no association between
ambiguous ultrasound and abscess after adjustment for significant covariates.
CONCLUSIONS: Ultrasound is not a sensitive method to detect the presence of
abscess in the setting of upper extremity infection. However, in this population
of patients with suspected abscess, the negative predictive value was high with
and without the inclusion of ambiguous results, suggesting reasonable utility of
ultrasound as a rule-out test. LEVEL OF EVIDENCE: Diagnostic study, Level II.
PMID- 26568727
TI - The effect of operative technique on ulnar nerve strain following surgery for
cubital tunnel syndrome.
AB - BACKGROUND: The aim of this study is to compare the amount of strain on the ulnar
nerve based on elbow position after in situ release, subcutaneous transposition,
submuscular transposition, and medial epicondylectomy. METHODS: Six matched
cadaver upper extremity pairs underwent ulnar nerve decompression, transposition
in a sequential fashion, while five elbows underwent medial epicondylectomy. A
differential variable reluctance transducer (DVRT) was placed in the ulnar nerve.
An in situ release, a subcutaneous transposition, and a submuscular transposition
were performed sequentially with the strain being measured after each procedure
in neutral, full elbow flexion, and extension positions. The strain was then
averaged and compared for each procedure. Five cadavers underwent medial
epicondylectomy and were similarly tested. RESULTS: After the in situ release,
there was no statistically significant change in strain in either flexion or
extension. After a subcutaneous transposition, there was a statistically
significant decrease in strain in full elbow flexion but not in extension.
Similarly after a submuscular transposition, there was a statistically
significant decrease in strain in full flexion but not in extension. There was
not a statistically significant change in strain with medial epicondylectomy.
CONCLUSION: An in situ release of the ulnar nerve at the elbow may relieve
pressure on the nerve but does not address the problem of strain which may be the
underlying pathology in many cases of ulnar neuropathy at the elbow (UNE).
Transposition of the ulnar nerve anterior to the medial epicondyle addresses the
problem of strain on the ulnar nerve. In addition, it does not create an
increased strain on the ulnar nerve with elbow extension.
PMID- 26568728
TI - The Cup of Diogenes: a fixed anatomical landmark for carpal tunnel surgery.
AB - PURPOSE: Carpal tunnel syndrome is a common entrapment neuropathy. When
conservative management fails to relieve symptoms, carpal tunnel surgery is
indicated. The surgical exposure for this procedure is commonly based on variable
anatomic landmarks. The purpose of this study was to describe a fixed, easily
referenced anatomical landmark for the distal extension of the transverse carpal
ligament, the "Cup of Diogenes." MATERIALS AND METHODS: Topographical landmarks
including Kaplan cardinal line, palmaris tendon, and distal palmer crease were
marked on six fresh frozen cadaveric wrist and hand specimens. The apex of the
Cup of Diogenes is determined to be the confluence of the thenar and hypothenar
musculature of the palm. Wrists were dissected and the distance between these
landmarks and the superficial palmar arch, median nerve, transverse carpal
ligament, and ulnar nerve were measured. RESULTS: In all specimens, the ulnar
nerve was ulnar to this the apex of the Cup of Diogenes, while the median nerve
was radial. The apex was proximal in all specimens to the superficial palmar
arch. The apex marked the distal extent of the transverse carpal ligament in all
specimens. DISCUSSION: Based on our results, we feel the apex of the Cup of
Diogenes is a consistent, fixed anatomical marker for the distal extent of the
transverse carpal ligament, marking a safe zone in the palm for surgical planning
of incisions. LEVEL OF EVIDENCE: Level V - Therapeutic.
PMID- 26568729
TI - Mini dorsal incision to the triangular fibrocartilage complex: a new surgical
approach.
AB - The purpose of this paper is to describe a mini dorsal approach to the triangular
fibrocartilage complex (TFCC). We describe a mini incision approach which aims to
preserve the structure and proprioception of the primary and secondary
stabilisers of the wrist joint. This approach requires less dissection and
provides adequate exposure to the distal aspect of the TFCC and allows
visualisation of the distal radial ulna joint (DRUJ) with complete TFCC lesions.
PMID- 26568730
TI - Ulnar collateral ligament strain of the thumb metacarpophalangeal joint:
biomechanical comparison of two postoperative immobilization techniques.
AB - BACKGROUND: The aim of this study was to compare postoperative immobilization
techniques of the thumb metacarpophalangeal (MP) ulnar collateral ligament (UCL)
in a cadaver model of a noncompliant patient. METHODS: A cadaveric model with
fresh-frozen forearms was used to simulate pinch under two immobilization
conditions: (1) forearm-based thumb spica splint alone and (2) forearm-based
thumb spica splint with supplemental transarticular MP Kirschner wire fixation.
Pinch was simulated by thumb valgus loading and flexor pollicis longus (FPL)
loading. Ulnar collateral ligament displacements were measured and strain values
calculated. Statistical analysis was performed using a repeated measures analysis
of variance model. RESULTS: With valgus thumb loading, we noted a significantly
lower UCL strain in the splint and pin group compared to splint immobilization
alone. Increased load was associated with a statistically significant increase in
UCL strain within each immobilization condition. FPL loading resulted in negative
displacement, or paradoxical shortening, of the UCL in both immobilization
groups. CONCLUSIONS: While immobilized, valgus thumb force, as opposed to MP
flexion, is a likely contributor to UCL strain during simulated pinch
representing noncompliance during the postoperative period. Supplemental thumb MP
pin fixation more effectively protects the UCL from valgus strain. UCL shortening
with FPL loading likely represents paradoxical MP extension due to flexion of the
distal phalanx against the distal splint, suggesting attempted thumb flexion with
splint immobilization alone does not jeopardize UCL repair. CLINICAL RELEVANCE:
This study provides a foundation to aid clinical decision-making after UCL
repair. It reinforces the practice of surgeons who routinely pin their MP joints,
but also brings to attention that the use of temporary MP pin fixation may be
considered in difficult cases, such as those with potential noncompliance or
tenuous repair.
PMID- 26568731
TI - Revisiting spontaneous rupture of the extensor pollicis longus tendon: eight
cases without identifiable predisposing factor.
AB - INTRODUCTION: Rupture of the extensor pollicis longus (EPL) tendon has been
reported in the setting of distal radius fracture, chronic inflammatory
arthritis, following steroid injection, and with excessive or repetitive
activities. Truly, spontaneous EPL rupture, without an identifiable predisposing
factor, has rarely been reported. METHODS: We present a retrospective case series
of eight patients with spontaneous EPL rupture who were treated in our
institution. RESULTS: The majority of the cases were female (75 %, n = 6), and
the nondominant (75 %, n = 6) hand was most commonly involved. No identifiable
predisposing factor could be identified in four patients. While four patients
reported a history of a fall, radiographs failed to demonstrate skeletal
abnormality in all four cases. No additional pathology could be identified at the
time of surgery. Patients were treated using tendon transfer (n = 7) or
interpositional graft (n = 1). There has been no case of clinical recurrence in
the mean of 74.8 months (range 23-140 months) follow-up period. CONCLUSION: The
EPL tendon is at risk for spontaneous rupture even in the absence of identifiable
predisposing risk factor. Rather than pure mechanical irritation, vascular and
metabolic factors may also play a substantial role in the etiology of this
uncommon diagnosis.
PMID- 26568732
TI - The Snow-Fink technique as an opposition tendon transfer for children born with a
hypoplastic or absent thumb.
AB - BACKGROUND: A novel opposition tendon transfer, previously described by Snow and
Fink in adults but not in children, can provide better functional results and
cosmetic appearance than the currently preferred Huber transfer. METHODS: Thirty
one children were born either without a thumb (17) or with a hypoplastic thumb
(14). Thirty-eight thumbs were classified according to the Blauth classification
as stages II, IIIA, IIIB, IV, and V respectively. The opposition tendon transfer
was performed between the ages of 4 and 10, using the expendable flexor digitorum
superficialis (FDS) tendon from the ring finger which was passed through a 3-mm
diameter window in the transverse carpal ligament, routed subcutaneously across
the palm and attached to the insertion of the abductor pollicis brevis on the
base of the proximal phalanx of the thumb. This creates a vector of pull from the
ulnar side of the hand which both abducts and pronates the thumb. Twenty-one
hands underwent additional procedures such as four-flap Z-plasty (11), ulnar
collateral ligament reconstruction (6), joint transfer, full-thickness skin
grafting, and distraction lengthening. RESULTS: The ability of each child to
oppose their thumb to the index finger (score 2), middle finger (score 3), ring
finger (score 4), or small finger (score 5) was assessed preoperatively and
postoperatively by their Kapandji score. Thirty-one children (81.6 %) achieved a
postoperative Kapandji score of 5, while 7 children (18.4 %) had a postoperative
Kapandji score of 4. No deformities were observed, and the metacarpophalangeal
(MCP) joint was stabilized with the other slip of the FDS when necessary.
CONCLUSIONS: An opposition transfer using the FDS tendon of the ring finger
through a window in the transverse carpal ligament is a simple and reliable
technique for improving thumb function in children born with an absent or
hypoplastic thumb. This transfer does not produce a concave deformity in the
hypothenar eminence like the Huber transfer, provides better pronation, and
affords concurrent stabilization of the MCP joint.
PMID- 26568733
TI - Evolving paradigm in treatment of Merkel cell carcinoma of the digit from ray
resection to wide local excision and flap coverage.
AB - BACKGROUND: Merkel cell carcinoma is a rare cutaneous neuroendocrine neoplasm
that typically presents on the head and neck. Merkel cell carcinoma (MCC) of the
digits is rare, and thus limited treatment options have been presented. METHODS:
In contrast to the current treatment recommendation of ray resection of the
affected digit, two patients were treated by sentinel node biopsy, wide local
excision, and flap coverage of the defect. RESULTS: No local recurrences or
metastases have been seen 24 months postoperatively. CONCLUSION: We suggest that
the paradigm of ray amputation of a digit affected by MCC should evolve to
initial treatment with a sentinel lymph node biopsy (SLNB) with subsequent local
excision with wide margins and local flap coverage.
PMID- 26568734
TI - Expectations and limitations due to brachial plexus injury: a qualitative study.
AB - PURPOSE: This study described physical and psychosocial limitations associated
with adult brachial plexus injuries (BPI) and patients' expectations of BPI
surgery. METHODS: During in-person interviews, preoperative patients were asked
about expectations of surgery and preoperative and postoperative patients were
asked about limitations due to BPI. Postoperative patients also rated improvement
in condition after surgery. Data were analyzed with qualitative and quantitative
techniques. RESULTS: Ten preoperative and 13 postoperative patients were
interviewed; mean age was 37 years, 19 were men, all were employed/students, and
most injuries were due to trauma. Preoperative patients cited several main
expectations, including pain-related issues, and improvement in arm movement,
self-care, family interactions, and global life function. Work-related
expectations were tailored to employment type. Preoperative and postoperative
patients reported that pain, altered sensation, difficulty managing self-care,
becoming physically and financially dependent, and disability in work/school were
major issues. All patients reported making major compensations, particularly
using the uninjured arm. Most reported multiple mental health effects, were
distressed with long recovery times, were self-conscious about appearance, and
avoided public situations. Additional stresses were finding and paying for BPI
surgery. Some reported BPI impacted overall physical health, life priorities, and
decision-making processes. Four postoperative patients reported hardly any
improvement, four reported some/a good deal, and five reported a great deal of
improvement. CONCLUSIONS: BPI is a life-altering event affecting physical
function, mental well-being, financial situation, relationships, self-image, and
plans for the future. This study contributes to clinical practice by highlighting
topics to address to provide comprehensive BPI patient-centered care.
PMID- 26568736
TI - Measurement of the radiographic anatomy of the small and ring metacarpals using
computerized tomographic scans.
AB - BACKGROUND: To date, only plain radiographic definitions of normal anatomical
parameters have been described. Our study aims to describe normal anatomic
measurements of small and ring metacarpals using a novel digital reconstruction
technique based on raw CT image data. We hypothesize that current plain
radiographic data incorrectly describes normal metacarpal anatomy in the lateral
plane. METHODS: Thirty-five scans of the small and 30 scans of the ring
metacarpals form the basis for this study. Using a custom digital 3D image
reformatting software, CT sections were reconstructed in the plane of each
studied metacarpal. The 3D images were converted to sagittal and coronal weighted
projections to represent lateral and posteroanterior (PA) 2D images that are
equivalent to "perfect orthogonal" radiographs. Using a customized image
measurement program, shaft lengths, shaft-bending angle (SBA), and capital-axis
angle (CAA) were measured. RESULTS: Our results show that CAA averaged 14 and 12
degrees in the ring and small metacarpals, respectively. Apex dorsal SBA
averaged 12 and 10 degrees in the ring and small metacarpals, respectively. On
the PA images, the shafts are nearly straight. In contrast to prior reported
values, we found the CAA to be less acute and the metacarpal curvature less
pronounced on the lateral projection. We also demonstrated that much of the
metacarpal apex dorsal bend is in the shaft itself. CONCLUSION: Normal anatomic
parameters of metacarpals are based primarily on radiographic data, and as such
are limited due to bony overlap in the lateral plane, as well as imperfect
radiographic projections that are known to distort anatomical relationships. This
novel method of image reconstruction eliminates metacarpal overlap and defines
precise anatomical reference for metacarpals.
PMID- 26568735
TI - Incidental signal changes in the extensor carpi ulnaris on MRI.
AB - BACKGROUND: Magnetic resonance imaging (MRI) commonly finds musculoskeletal
abnormalities incidental to the reason for ordering the test. The purpose of this
study was to determine if the prevalence of extensor carpi ulnaris (ECU) signal
changes on MRI varies between patients undergoing upper extremity MRI for
assessment of clinically suspected ECU tendinopathy and those undergoing upper
extremity MRI for other indications. Our secondary null hypotheses were that the
prevalence of ECU signal changes on MRI does not vary based on patient age or sex
and that the prevalence of ECU signal changes on MRI does not vary among other
indications for MRI. METHODS: We searched MRI reports of all patients undergoing
MRI of the hand, wrist, or arm at our institution between 2001 and 2014 for
signal changes in the ECU. The medical record was reviewed to determine the
indication for the MRI and the presence of clinically suspected ECU tendinopathy.
RESULTS: ECU signal changes (overall prevalence of 13 %) were more common in
patients undergoing MRI for a working clinical diagnosis of ECU tendinopathy or
ulnar-sided wrist pain compared to patients evaluated for nonspecific pain and
other indications. Age was independently associated with ECU signal changes on
MRI. MRI signal changes are uncommonly associated with symptomatic tendinopathy
(low positive predictive value). CONCLUSIONS: ECU signal changes on MRI are
common and often asymptomatic.
PMID- 26568737
TI - Return to play following metacarpal fractures in football players.
AB - BACKGROUND: Metacarpal fractures are common in football players and lead to time
away from competition, but current operative treatment data is limited. The
purpose of this study was to examine demographics and outcomes of football
players who underwent operative fixation for metacarpal fractures. METHODS:
Retrospective review from 2009 to 2012 of any football player surgically treated
at one institution for a metacarpal fracture. Charts were reviewed for player
position, level of competition, mechanism of injury, return to play,
postoperative bracing, and re-fracture event. Current information was obtained
via phone interviews with the patient and their athletic trainers. Fractures were
classified by radiographic analysis. RESULTS: Twenty injuries in ten high school
players, nine college players, and one recreational player were identified. The
most common injured position was wide receiver (six cases) followed by defensive
back (five cases). Most injuries occurred through player-to-player contact (12
cases). The long finger (11 cases) was most commonly involved metacarpal. Two
players had multiple metacarpal fractures. The most common location was mid
diaphyseal (15 cases). The mean return to play for all in-season athletes was 6.3
days (range 1-21). Protective splints were used for an average of 21 days (range
14-36). All athletes returned to their preinjury level of play without recurrence
of fracture or wound complication. CONCLUSION: Football players who required
surgical fixation of a metacarpal fracture demonstrated an efficient return to
play, including in-season players with use of protective bracing. STUDY DESIGN:
Case series, Level of evidence, IV.
PMID- 26568738
TI - Relationship of age on enjoyment of physical activity in upper extremity illness.
AB - BACKGROUND: Orthopaedic surgeons often work under the assumption that patients
over 60 are low-demand individuals. This study addressed the primary null
hypothesis that older age does not correlate with the enjoyment of physical
activities in patients with upper extremity illness. Secondary analyses sought
factors associated with enjoyment of physical activity, activity level and
magnitude of disability. METHODS: A cohort of 98 new and follow-up outpatients
with upper extremity illnesses completed a measure of enjoyment of physical
activity (Physical Activity Enjoyment Scale (PACES)), were categorized into one
of three levels of activity after interview, and completed measures of general
disability (Patient-Reported Outcomes Measurement Information System (PROMIS)
Physical Function CAT), upper extremity-specific disability (Quick Disability of
the Arm, Shoulder and Hand (QuickDASH) score), coping responses to pain (PROMIS
Pain Interference CAT), and symptoms of depression (PROMIS Depression CAT).
RESULTS: Greater enjoyment of physical activity correlated with older age (r =
0.29, p = 0.0039) but not with other explanatory variables. The final
multivariable model of factors associated with greater physical activity included
older age and male sex. The final multivariable model of factors associated with
diminished PROMIS Physical Function included greater PROMIS Pain Interference,
male sex, other pain conditions, and separated/divorced and single marital status
and accounted for 34 % of the variance. CONCLUSIONS: Enjoyment of physical
activity does not decrease with age. Patient-specific expectations, goals and
preferences for physical activity should be assessed prior to decision-making on
treatment. LEVEL OF EVIDENCE: Level IV, Prognostic Study case series.
PMID- 26568739
TI - "Hand surgeons probably don't starve": Patient's perceptions of physician
reimbursements for performing an open carpal tunnel release.
AB - BACKGROUND: The purpose of this study is to evaluate patient's perceptions of
physician reimbursement for the most commonly performed surgery on the hand, a
carpal tunnel release (CTR). METHODS: Anonymous physician reimbursement surveys
were given to patients and non-patients in the waiting rooms of orthopaedic hand
physicians' offices and certified hand therapist's offices. The survey consisted
of 13 questions. Respondents were asked (1) what they thought a surgeon should be
paid to perform a carpal tunnel release, (2) to estimate how much Medicare
reimburses the surgeon, and (3) about how health care dollars should be divided
among the surgeon, the anesthesiologist, and the hospital or surgery center.
Descriptive subject data included age, gender, income, educational background,
and insurance type. RESULTS: Patients thought that hand surgeons should receive
$5030 for performing a CTR and the percentage of health care funds should be
distributed primarily to the hand surgeon (56 %), followed by the
anesthesiologist (23 %) and then the hospital/surgery center (21 %). They
estimated that Medicare reimburses the hand surgeon $2685 for a CTR. Most
patients (86 %) stated that Medicare reimbursement was "lower" or "much lower"
than what it should be. CONCLUSION: Respondents believed that hand surgeons
should be reimbursed greater than 12 times the Medicare reimbursement rate of
approximately $412 and that the physicians (surgeons and anesthesiologist) should
command most of the health care funds allocated to this treatment. This study
highlights the discrepancy between patient's perceptions and actual physician
reimbursement as it relates to federal health care. Efforts should be made to
educate patients on this discrepancy.
PMID- 26568740
TI - Surgeon personality is associated with recommendation for operative treatment.
AB - PURPOSE: When surgeons disagree about the role of surgery, patient values and
preferences should drive decision-making, but there is evidence that surgeon
preferences have substantial influence. Surgeon preferences may relate to surgeon
personality. Our primary null hypothesis is that specific personality
characteristics (work styles) are not associated with the recommendation for
operative treatment accounting for surgeon demographics. PATIENTS AND METHODS: We
invited members of the Science of Variation Group to assess images of 15 upper
extremity injuries with debatable indications for surgery, recommended operative
or non-operative treatment, and grade their confidence in this decision (n =
270); subsequently, participants completed the validated Octogram Work and
Leadership Style Test (n = 223). We selected injuries that could be treated
either operatively or non-operatively including fractures of the clavicle,
scapula, humerus, and radius fractures, and proximal and distal bicep ruptures.
RESULTS: A higher proportion of recommendations for surgery was independently
associated with a higher Octogram test pioneer score (beta regression coefficient
[beta] 0.0054, partial R (2) 0.065, 95 % confidence interval [CI] 0.0027-0.0080,
P < 0.001) and practice location outside North America and Europe (beta 0.13,
partial R (2) 0.079, 95% CI 0.073-0.020, P < 0.001) (adjusted R (2) 0.12, P <
0.001). No work styles were associated with more confidence in treatment.
CONCLUSIONS: A recommendation for discretionary surgery for musculoskeletal
injury was related to surgeon personality. Surgeon self-awareness of how their
work style can influence their recommendations might make them more receptive to
techniques that ensure patient values have more influence than surgeon
preferences on treatment decisions.
PMID- 26568741
TI - Patients' preferences regarding the delivery of health care in a hand surgical
practice.
AB - BACKGROUND: The Patient Protection and Affordable Care Act of 2010 includes
patient satisfaction scores in the calculation of reimbursement for services
provided. The Medicare and Medicaid Electronic Health Care Record Incentive
Program mandate that physicians provide electronic communication with patients.
Little data exists regarding patient preferences that might guide the physician
adhering to these guidelines. We performed a survey study to examine patients'
attitudes regarding the delivery of their health care. METHODS: We provided an
anonymous survey to all outpatient hand surgery patients within a 1-month period
at our level I academic center. The survey was structured to ascertain patients'
attitudes toward outpatient wait times as well as delivery of patient-specific
healthcare-related information. One-hundred and ninety-six surveys were available
for review. RESULTS: Of the 196 patients surveyed, 106 (54 %) were between the
ages of 45 and 64. Patients aged 25 to 44 were the least willing to wait for an
initial outpatient appointment. The majority of patients in all age groups
demonstrated unwillingness to wait more than 1 week for evaluation of a new
problem. One hundred and forty patients (71 %) were willing to wait longer for an
appointment with an upper extremity specialist rather than have an earlier
appointment with a non-upper extremity specialist. Wait times of 30 min after
arrival in the office were acceptable to 174 patients (89 %) while 40 patients
(20 %) were willing to wait an hour or more. Patients preferred a typed handout
detailing their specific problem as opposed to referral to a website or an e-mail
containing information. CONCLUSIONS: The results of our study indicate that
patients prefer typed information as opposed to e-mail or websites regarding
their health care. Our study also suggests that patients are willing to endure
longer wait times if they can be given a sooner appointment, and most prefer a
specialist for their problems. These results will provide some guidance to the
physician regarding what patients find most appealing.
PMID- 26568743
TI - Finger injuries in a developing sport: cachibol (Newcomb Ball).
AB - BACKGROUND: Cachibol (also known as Newcomb ball) is a team ball game with
characteristics similar to volleyball. Cachibol is becoming increasingly popular,
particularly among middle-age women, and is now the fastest growing female sport
in our country. Despite its growing popularity, there is a lack of information
about the epidemiology of injuries incurred from this activity. The purpose of
this study is to describe the incidence and pattern of finger injuries among
female cachibol players competing in a 3-day tournament. METHODS: We conducted a
cross-sectional survey and an observational cohort study of 612 amateur female
cachibol players participating in a 3-day national tournament; 355 players
completed questionnaires (58 % response rate). All injuries sustained during the
tournament were reported. RESULTS: Fingers were the most commonly injured part of
the body; 148 of 355 respondents reported sustaining a finger injury. During the
tournament, 26 players reported a total of 27 injuries, 15 to the fingers. Most
finger injuries resulted from a direct hit by the ball. Finger injuries, though
considered "mild," have long-term implications; over 50 % of injured players
reported long-term discomfort. CONCLUSIONS: Finger injuries are more prevalent in
cachibol than volleyball due to the unique characteristics of the game. Cachibol
related injuries are a growing cause for concern due to the growing number of
cachibol players. This study may be useful in developing effective treatment
protocols and injury prevention programs for cachibol players.
PMID- 26568742
TI - Attitudes and self-reported practices of hand surgeons regarding prescription
opioid use.
AB - BACKGROUND: Opioids are routinely prescribed after hand surgery, but there is
limited research about surgeon variation in prescription patterns and attitudes
toward the use of these drugs. We sought to examine hand surgeons' attitudes,
beliefs, and self-reported practices regarding the use of opioids. METHODS: An
invitation to an online cross-sectional survey was sent to 3225 hand surgeons
across the USA via email, of whom 502 (16 %) responded. We used previously
published data to compare hand surgeons' concerns about potential adverse opioid
related events with those of primary care physicians. RESULTS: Most hand surgeons
(76 %) reported prescription opioid abuse to be a big or moderate problem in
their communities, and 89 % felt that opioids are overused to treat pain. Nearly
all (94 %) were very or moderately confident about their clinical skills
regarding opioid prescribing, but only 40 % reported always or often asking about
a history of opioid abuse or dependence before scheduling surgery. Most (75 %)
were very or moderately comfortable refilling opioid prescriptions following
fracture surgery, while only 13 % were comfortable doing so after minor elective
surgery. Nearly half (49 %) reported being less likely to prescribe opioids
compared to 1 year ago, and 67 % believed that the best approach to reduce
postoperative opioid use is to discuss pain management and expectations with the
patient before surgery. Compared to primary care physicians, hand surgeons were
less likely to be concerned about potential adverse patient (e.g., opioid-related
addiction [67 vs. 84 %], death [37 vs. 70 %], sedation [57 vs. 71 %]) and
prescriber (e.g., malpractice claim [22 vs. 46 %], prosecution [15 vs. 45 %],
censure by state medical boards [16 vs. 44 %]) outcomes. CONCLUSION: Hand
surgeons have become aware of the extent and public health implications of the
prescription opioid epidemic, and many are taking an active role by reducing
their reliance on these drugs. Additional research using pharmacy data is needed
to confirm the extent to which hand surgeons' reliance on prescription opioids is
actually decreasing.
PMID- 26568744
TI - Modified brachioradialis wrap for stabilizing the distal radioulnar joint: case
report.
AB - Distal radioulnar joint (DRUJ) stability requires competent static and dynamic
soft tissues. Multiple DRUJ techniques have been described in the literature. Our
method is a novel modification of the Gupta method of DRUJ stabilization used in
a revision reconstruction on a patient with a total wrist arthroplasty. A
brachioradialis graft is harvested and tunneled through Parona's space volar to
the pronator quadratus and through the muscle body. The tendon is then brought
dorsal between the radius and ulna to the dorsal side of the distal ulna and
sutured to the floor of the 5th extensor compartment, as well as to the
surrounding extensor reticulum ulnar to the 6th compartment with nonabsorbable
sutures. Our modification of the method described by Gupta prevents ulnar
subluxaton of the extensor carpal ulnaris (ECU), allows the tendon graft
construct to more adequately resist volar translation of the radius, and thus
acts like a leash to pull the radius dorsally to the stationary ulna. This
modification gives the graft a better force vector to resist the volar
translation of the distal radius. We are able to present successful 30-month
follow-up of this procedure.
PMID- 26568745
TI - Using ontologies to model human navigation behavior in information networks: A
study based on Wikipedia.
AB - The need to examine the behavior of different user groups is a fundamental
requirement when building information systems. In this paper, we present Ontology
based Decentralized Search (OBDS), a novel method to model the navigation
behavior of users equipped with different types of background knowledge. Ontology
based Decentralized Search combines decentralized search, an established method
for navigation in social networks, and ontologies to model navigation behavior in
information networks. The method uses ontologies as an explicit representation of
background knowledge to inform the navigation process and guide it towards
navigation targets. By using different ontologies, users equipped with different
types of background knowledge can be represented. We demonstrate our method using
four biomedical ontologies and their associated Wikipedia articles. We compare
our simulation results with base line approaches and with results obtained from a
user study. We find that our method produces click paths that have properties
similar to those originating from human navigators. The results suggest that our
method can be used to model human navigation behavior in systems that are based
on information networks, such as Wikipedia. This paper makes the following
contributions: (i) To the best of our knowledge, this is the first work to
demonstrate the utility of ontologies in modeling human navigation and (ii) it
yields new insights and understanding about the mechanisms of human navigation in
information networks.
PMID- 26568746
TI - Predictive associations between serum fatty acids and lipoproteins in healthy non
obese Norwegians: implications for cardiovascular health.
AB - A battery of methods for multivariate data analysis has been used to assess the
associations between concentrations of fatty acids (FAs) and lipoprotein
subclasses and particle size in serum for a normolipidemic population of ethnic
Norwegians living in the rural Fjord region. Significant gender differences were
found in the lipoprotein and FA patterns. Predictive FA patterns were revealed
for lipoprotein features of importance for cardiovascular (CV) health. Thus, the
subclasses of atherogenic small and very small low density lipoprotein (LDL)
particles and the same subclasses of high density lipoprotein (HDL) particles
were associated with a pattern of saturated FAs and mono-unsaturated C16-C18 FAs.
Eicosapentaenoic acid (EPA) and the ratio of EPA to arachidonic acid (AA) had
strongest associations to features that promotes CV health: (i) large average
size of HDL and LDL particles, and, (ii) small average size of very low density
lipoprotein (VLDL) particles. Total concentration of HDL in both genders
correlated to EPA, but docosahexaenoic acid (DHA) correlated just as strongly for
women. For men, docosapentaenoic acid (DPA) showed stronger association to HDL
concentration than EPA. For both genders, concentration of large LDL particles
showed associations to levels of EPA, but stronger to DHA and DPA. High values of
EPA/AA seem to be the strongest single biomarker for good CV health in both men
and women.
PMID- 26568747
TI - Patient Perspectives in OMERACT Provide an Anchor for Future Metric Development
and Improved Approaches to Healthcare Delivery in Connective Tissue Disease
Related Interstitial Lung Disease (CTD-ILD).
AB - OBJECTIVE: The impact and natural history of connective tissue disease related
interstitial lung disease (CTD-ILD) are poorly understood; and have not been
previously described from the patient's perspective. This investigation sought
insight into CTD-ILD from the patients' perspective to add to our knowledge of
CTD-ILD, identify disease-specific areas of unmet need and gather potentially
meaningful information towards development of disease-specific patient-reported
outcome measures (PROMs). METHODS: A mixed methods design incorporating patient
focus groups (FGs) querying disease progression and life impact followed by
questionnaires with items of importance generated by >250 ILD specialists were
implemented among CTD-ILD patients with rheumatoid arthritis, idiopathic
inflammatory myopathies, systemic sclerosis, and other CTD subtypes. FG data were
analyzed through inductive analysis with five independent analysts, including a
patient research partner. Questionnaires were analyzed through Fisher's Exact
tests and hierarchal cluster analysis. RESULTS: Six multicenter FGs included 45
patients. Biophysiologic themes were cough and dyspnea, both pervasively
impacting health related quality of life (HRQoL). Language indicating dyspnea was
unexpected, unique and contextual. Psycho-social themes were Living with
Uncertainty, Struggle over Self-Identity, and Self-Efficacy - with education and
clinician communication strongly emphasised. All questionnaire items were rated
'moderately' to 'extremely' important with 10 items of highest importance
identified by cluster analysis. CONCLUSION: Patients with CTD-ILD informed our
understanding of symptoms and impact on HRQoL. Cough and dyspnea are central to
the CTD-ILD experience. Initial FGs have provided disease-specific content,
context and language essential for reliable PROM development with questionnaires
adding value in recognition of patients' concerns.
PMID- 26568749
TI - Health-related quality of life in polycystic ovary syndrome patients: A
systematic review.
AB - BACKGROUND: Increasing attention to the concept of polycystic ovary syndrome
(PCOS) health-related quality of life has led to the development of tool that
aims to measure this concept. OBJECTIVE: The purpose of this study was to conduct
a systematic review of psychometric properties of the PCOS health-related quality
of life questionnaire. MATERIALS AND METHODS: A search of database (Pubmed,
PsychInfo, CINAHL, CENTRAL, Scopus and SID) from January1998 to December 2013
yielded 6152 references of which 27 papers remained after review of the titles
and abstracts. The reviewers used structural tools to analyze the articles,
critically appraise papers, and extract the data. Finally, eight papers met the
full inclusion criteria. RESULTS: Studies suggested that the PCOS health-related
quality of life questionnaire (PCOSQ)/or its modified version (MPCOSQ) have
partial known groups validity. The convergent/divergent validity of the
questionnaire also was found to be relatively acceptable. The PCOSQ/MPCOSQ
reached acceptable benchmarks for its reliability coefficients. Regarding
structural validity, some studies suggested that the PCOSQ/MPCOSQ have an extra
dimension (related to menstruation) in addition to its existing dimensions for
original or modified versions. CONCLUSION: The PCOSQ/MPCOSQ showed acceptable
content and construct validity, reliability and internal consistency. However,
some other properties, particularly those related to factor and longitudinal
validity, absolute error of measurement, minimal clinically important difference
and responsiveness still need to be evaluated.
PMID- 26568750
TI - Effects of single dose GnRH agonist as luteal support on pregnancy outcome in
frozen-thawed embryo transfer cycles: an RCT.
AB - BACKGROUND: There is no doubt that luteal phase support is essential to enhance
the reproductive outcome in IVF cycles. In addition to progesterone and human
chorionic gonadotropin, several studies have described GnRH agonists as luteal
phase support to improve implantation rate, pregnancy rate and live birth rate,
whereas other studies showed dissimilar conclusions. All of these studies have
been done in fresh IVF cycles. OBJECTIVE: To determine whether an additional GnRH
agonist administered at the time of implantation for luteal phase support in
frozen-thawed embryo transfer (FET) improves the embryo developmental potential.
MATERIALS AND METHODS: This is a prospective controlled trial study in 200 FET
cycles, patients were randomized on the day of embryo transfer into group 1
(n=100) to whom a single dose of GnRH agonist (0.1 mg triptorelin) was
administered three days after transfer and group 2 (n=100), who did not receive
agonist. Both groups received daily vaginal progesterone suppositories plus
estradiol valerate 6 mg daily. Primary outcome measure was clinical pregnancy
rate. Secondary outcome measures were implantation rate, chemical, ongoing
pregnancy rate and abortion rate. RESULTS: A total of 200 FET cycles were
analyzed. Demographic data and embryo quality were comparable between two groups.
No statistically significant difference in clinical and ongoing pregnancy rates
was observed between the two groups (26% versus 21%, p=0.40 and 21% versus 17%,
p=0.37, respectively). CONCLUSION: Administration of a subcutaneous GnRH agonist
at the time of implantation does not increase clinical or ongoing pregnancy.
PMID- 26568748
TI - The role of autoimmunity in premature ovarian failure.
AB - Premature ovarian failure (POF) is a heterogeneous syndrome with several
causative factors. Autoimmune mechanisms are involved in pathogenesis of 4-30 %
of POF cases. The present review focuses on the role of autoimmunity in the
pathophysiology of POF. The evidences for an autoimmune etiology are:
demonstration of ovarian autoantibodies, the presence of lymphocytic oophoritis,
and association with other autoimmune disorders. Several ovarian antigenic
targets have been identified in POF patients. The oocyte seems to be the most
often targeted cell. Lymphocytic oophoritis is widely present in POF associated
adrenal insufficiency. Addison's disease is one of the most common autoimmune
disorders associated with POF. Early detection of this potentially life
threatening disease was recommended in several studies. The gold standard for
detecting autoimmune POF is ovarian biopsy. This procedure is not recommended due
to unknown clinical value, expense, and risks. Several immunoassays have been
proposed as substitute diagnostic tools. Nevertheless, there is no clinically
proven sensitive and specific serum test to confirm the diagnosis of autoimmune
POF or to anticipate the patient's chance of developing POF or associated
diseases. Some authors suggested the possible effects of immuno-modulating
therapy on the resumption of ovarian function and fertility in a selected group
of autoimmune POF patients. However, in most instances, this treatment fails to
reverse the course of the disease. Numerous studies illustrated that standard
treatment outcome for infertility is less effective in the presence of ovarian
autoimmunity. The antibody-induced damage could be a pathogenic factor.
Nevertheless, the precise cause remains obscure.
PMID- 26568751
TI - The adverse effects of the methoxsalen and ultraviolent A radiation on
spermatogenesis in mice.
AB - BACKGROUND: Different investigation showed that 5-methoxypsoralen and 8-
methoxypsoralen reduce birth rates in the rats. OBJECTIVE: In this study we
worked out the effect of methoxsalen together with ultraviolent A (UVA) radiation
on mature Balb/C mice spermatogenesis. MATERIALS AND METHODS: The LD50 standard
was determined 160 mg/kg and the UVA dose which causes erythema was calculated
0.046 J/cm2. A sub-lethal dose of 80 mg/kg of methoxsalen solution was injected
intrapritoneally to mature mice and after one hour they were exposed to UVA
radiation for 20 minutes. Experiments applied included methoxsalen alone,
methoxsalen with UVA, UVA alone, sham group (a group received Tween 80), and
control group (N=6). In all experimental groups except UVA alone group,
injections were carried out, during two consecutive weeks. Serial cross sections
(5 um thickness) were prepared for morphological and histological studies. Tunica
albuginea diameter, and number of type A and type B spermatogonia and
histological investigation of the testes were measured. RESULTS: Microscopical
and statistical analyses showed significant anomalies among the experimental
groups compared to control and sham group. These anomalies included decrease the
body weight; increase the relative testis weight; and decrease the number of
spermapogonia (type A and B), primary spermatocytes, spermatids and sperms in
experimental groups I and II compared to control group. Our results showed the
number of spermatozoa in experimental group I was 22.6+/-2.12, in experimental
group II was 33.6+/-2.05 and in control group was 44.3+/-2.77 (p<0.05). Moreover
in some experimental groups (I and II) shrinkage of seminiferous tubules and
release of primary spermatocyte and spermatids were observed to the lumen of
them. CONCLUSION: It is concluded from the results of this work that treatment
with methoxsalen with UVA can damage and disorganize seminiferous tubules and
decrease spermatogenic cells.
PMID- 26568752
TI - Sperm parameters, protamine deficiency, and apoptosis in total globozoospermia.
AB - BACKGROUND: Globozoospermia is a severe form of teratozoospermia (incidence <
0.1%) in infertile men that is characterized by round headed sperm and
acrosomeless in semen. OBJECTIVE: To compare the semen parameters, protamine
deficiency, and apoptosis in ejaculated spermatozoa between globozoospermic and
normozoospermic men. MATERIALS AND METHODS: Thirty six semen samples were divided
into two groups including 15 infertile men with total globozoospermic (> 90%
round-headed sperm) and 21 healthy donors with normal spermograms as controls.
Semen analysis was performed according to World Health Organization criteria
(2010). Sperm protamine deficiency was assessed using Chromomycin A3 (CMA3)
staining and the rate of apoptotic spermatozoa was evaluated with TUNEL assay.
RESULTS: Sperm concentration, motility, and normal morphology in globozoospermic
men were significantly decreased compared with controls (p<0.05). The rate of
CMA3-reacted spermatozoa (CMA3+) in globozoospermic men was higher than controls
(65.93 +/- 11.77 vs. 21.24 +/- 7.37, respectively, p<0.0001). The rate of
apoptotic spermatozoa (TUNEL positive) were significantly increased in
globozoospermic cases with respect to the controls (17.60 +/- 10.72 and 5.95 +/-
3.02, respectively, p<0.0001). There was no significant correlation between sperm
protamine deficiency and apoptosis in globozoospermic men. CONCLUSION:
Globozoospermic samples contain a higher proportion of spermatozoa with abnormal
chromatin packaging and DNA fragmentation than normozoospermic samples.
Therefore, in addition to absence of acrosome in the spermatozoa of
globozoospermic patients, the high percentage of spermatozoa with immature
chromatin and apoptotic marker may be considered as the other etiologies of
infertility in these patients.
PMID- 26568753
TI - New single nucleotide polymorphism G5508A in the SEPT12 gene may be associated
with idiopathic male infertility in Iranian men.
AB - BACKGROUND: Male infertility is a multifactorial disorder, which affects
approximately 10% of couples at childbearing age with substantial clinical and
social impact. Genetic factors are associated with the susceptibility to
spermatogenic impairment in humans. Recently, SEPT12 is reported as a critical
gene for spermatogenesis. This gene encodes a testis specific member of Septin
proteins, a family of polymerizing GTP-binding proteins. SEPT12 in association
with other Septins is an essential annulus component in mature sperm. So, it is
hypothesized that genetic alterations of SEPT12 may be concerned in male
infertility. OBJECTIVE: The objective of this research is exploration of new
single nucleotide polymorphism G5508A in the SEPT12 gene association with
idiopathic male infertility in Iranian men. MATERIALS AND METHODS: In this case
control study, 67 infertile men and 100 normal controls were analyzed for genetic
alterations in the active site coding region of SEPT12, using polymerase chain
reaction sequencing technique. Fisher exact test was used for statistical
analysis and p<0.05 was considered as statistically significant. RESULTS:
Genotype analysis indicated that G5508A polymorphic SEPT12 alleles were
distributed in three peaks of frequency in both control and diseases groups.
Categorization of the alleles into (GG), (GA), (AA) types revealed a significant
difference between infertile patients (azoospermic and asthenospermic) and normal
controls (p=0.005). CONCLUSION: According to our finding we suggest that G5508A
polymorphism in SEPT12 gene can affect spermatogenesis in men, the opinion needs
more investigation in different populations.
PMID- 26568754
TI - Developmental competence of immature oocytes aspirated from antral follicles in
patients with gynecological diseases.
AB - BACKGROUND: In vitro maturation (IVM) of immature oocytes collected from ovary
has been proposed for fertility preservation. In addition, quality of oocytes
post IVM is one of the factors determining its developmental competence. By using
the non-invasive Polscope system, both meiotic spindle (MS) and zona pellucida
(ZP) can be assessed in living oocytes. OBJECTIVE: The aim was to investigate the
developmental potential of immature oocytes retrieved from ovarian tissue after
IVM, as a method for fertility preservation, in patients with gynecological
diseases. MATERIALS AND METHODS: The ovarian cortex from 26 patients with
malignant and benign diseases (21-45 years old), were obtained directly from
collaborating hospitals, and transported to the IVF center on ice. In total 61
immature oocytes were aspirated, of which 18 (29.5%) were degenerated and
discarded. The remaining 43 (70.5%) healthy oocytes were cultured in IVM culture
media for 48 hr. The rate of maturity was assessed, and the ZP birefringence and
MS were imaged with Polscope technology. RESULTS: Overall 43 immature oocytes
underwent IVM technology, of which 30.2% reached viable metaphase II (MII)
oocytes. The ovarian tissues of 9 (34.6%) women were lacking oocytes at any
stage. During polarized light microscopy examination, MS could be visualized only
in one of the MII oocytes, but high ZP birefringence's were observed in the
majority of the oocytes post IVM (61.5%). CONCLUSION: Oocytes maturation post IVM
from unstimulated ovaries showed a good developmental competence in gynecologic
patients. Further studies should be performed to advance the oocyte maturation
program, such as co-culture system, for fertility preservation.
PMID- 26568755
TI - An epidemiologic survey on the causes of infertility in patients referred to
infertility center in Fatemieh Hospital in Hamadan.
AB - BACKGROUND: Infertility is considered as a major health care problem of different
communities. The high prevalence of this issue doubled its importance. A
significant proportion of infertility have been related to environmental
conditions and also acquired risk factors. Different environmental conditions
emphasized the need to study the different causes of infertility in each area.
OBJECTIVE: The aim of this study was to determine the frequency causes of
infertility in infertile couples. MATERIALS AND METHODS: In this cross sectional
descriptive study 1200 infertile men and women that were referred to infertility
clinic of Fatemieh Hospital during 2010 to 2011, were examined. This center is
the only governmental center for infertility in Hamadan. Sampling was based on
census method. Information about the patients was obtained from medical
examinations and laboratory findings. To analyze the data, descriptive statistics
such as frequencies and the mean were used. RESULTS: The prevalence of primary
and secondary infertility was 69.5% and 30.5% respectively. Among the various
causes of infertility women factors (88.6%) had the highest regard. In the causes
of female infertility, menstrual disorders, diseases (obesity, thyroid diseases,
and diabetes), ovulation dysfunction, uterine factor, fallopian tubes and
cervical factor had the highest prevalence respectively. The causes of male
infertility based on their frequency included semen fluid abnormalities, genetic
factors, vascular abnormalities, and anti-spermatogenesis factors, respectively.
CONCLUSION: Etiology pattern of infertility in our study is similar with the many
other patterns that have been reported by the World Health Organization. However,
frequency of menstrual disorders is much higher than other studies that require
further consideration.
PMID- 26568756
TI - Oxidative stress induced sperm DNA damage, a possible reason for male
infertility.
AB - BACKGROUND: Sperm DNA damage is an important factor in the etiology of male
infertility. OBJECTIVE: The aim of the study was to evaluate the association of
oxidative stress induced sperm DNA damage with the pathogenesis of male
infertility. MATERIALS AND METHODS: The study comprised a total of 66 subjects,
including fertile men (n=25) and infertile men (n=41) matched by age. Seminal
malondialdehyde (MDA), phospholipid hydroperoxide (PHP), superoxide dismutase
(SOD), total antioxidant status (TAS) and 8-hydroxy-2'-deoxy guanosine (8-OHdG)
were estimated by spectrophotometric and ELISA based methods and the association
with the sperm parameters was assessed. RESULTS: The percentages of motile and
morphologically normal cells were significantly lower (p < 0.001, p <0.001,
respectivly) in infertile men. Seminal levels of MDA, PHP and 8-OHdG were
significantly higher (p < 0.001, p < 0.001, and p=0. 02, respectively) while the
SOD and TAS were significantly lower (p=0. 0003, p< 0.001, respectively) in
infertile men. Sperm parameters were negatively correlated with MDA, PHP and 8
OHdG while positively correlated with SOD and TAS. A positive correlation of 8
OHdG with MDA and PHP and a negative correlation with TAS and SOD were also
found. CONCLUSION: These results suggested that oxidative stress induced sperm
DNA damage might have a critical effect on the etiology of infertility.
Therefore, evaluation of oxidative status, antioxidant defense systems and DNA
damage, together with sperm parameters might be a useful tool for diagnosis and
treatment of male infertility.
PMID- 26568757
TI - The relations between dietary antioxidant vitamins intake and oxidative stress in
follicular fluid and ART outcomes.
AB - BACKGROUND: Oxidative stress (OS) in the follicular environment may affect on
oocyte competence and antioxidant vitamins may modify its effects. OBJECTIVE:
This study was conducted to examine the effect of dietary intake of vitamin A, C
and E on OS in follicular environment and assisted reproduction technology (ART)
outcomes. MATERIALS AND METHODS: In this obsevationalprospective study, the
intake levels of vitamin A, C, and E were matured by validated food frequency
questionnaire and Malondialdehyde and the total antioxidant capacity (TAC) levels
of follicular fluid (FF) in 219 women undergoing ART were assessed. The number of
retrieved oocytes, percentages of metaphase II MII) stage oocytes, fertilization
rate, and embryo quality were also determined. RESULTS: No significant
association was found between vitamins intake levels and OS biomarkers, but the
mean of TAC level in FF among women who received vitamin C greater than 75 mg/d
was higher than women with lower intakes (p<0.05). The ART parameters were not
related to the vitamin E intake level, but the normal cleaved embryo rate was
positively related to vitamin A (p<0.05) and vitamin C (p=0.02) intake levels.
Also, the percentage of MII oocytes (p=0.02) and the fertilization rate (p<0.05)
were related to the vitamin C intake level. The relation between the TAC level in
FF and ART outcomes were not significant. CONCLUSION: Current results indicated
that high dietary intake of vitamin C would be followed by increasing the TAC
level in FF and improving the oocyte competence, but this effect of vitamin C is
not dependent of increasing of antioxidant defense in follicular environment.
PMID- 26568758
TI - Seminal plasma hexosaminidase in patients with normal and abnormal spermograms.
AB - BACKGROUND: Glycosidases profusion in male reproductive fluids suggests a
possible relationship with sperm function. Although Hexosaminidase (Hex) is the
most active glycosidase in epididymal fluid and seminal plasma, as well as in
spermatozoa, Glucosidase is considered a marker for epididymal function and
azoospermia. OBJECTIVE: The aim of this study was to determine Hex activity in
seminal plasma from patients with normal and abnormal spermograms and analyze its
correlation with seminal parameters. MATERIALS AND METHODS: In this cross
sectional study, seminal plasma from azoospermic, asthenozoospermic,
teratozoospermic, and normozoospermic patients was analyzed for the activity of:
total Hex, HexA isoform, and glucosidase. Besides, hexosamine levels were
determined, and the amount of Hex was quantified by immunoblot with a specific
antibody. Correlation of Hex activity with seminal parameters was also analyzed.
RESULTS: Hex activity, like glucosidase, was significantly reduced in azoospermic
samples (44, 49, and 60% reduction for total Hex, HexA and glucosidase,
respectively). A reduced amount of Hex in azoospermic samples was confirmed by
western immunoblot. Hex activity was negatively correlated with round cells in
azoospermic samples and positively correlated with motility in asthenozoospermic
ones. CONCLUSION: The results suggested that Hex activity was reduced in
azoospermic samples and this was due to a lower amount of enzyme. The correlation
to seminal parameters related to particular pathologies suggests a possible
relationship of Hex with fertilizing capacity.
PMID- 26568759
TI - Comparison of lifestyle in fertile and infertile couples in Kermanshah during
2013.
AB - BACKGROUND: Infertility is a major reproductive health in gynecology. According
to the world health organization, there are currently 50-80 million infertile
couples in the world. OBJECTIVE: Considering the critical effects of lifestyle on
reproductive health, this study aimed to compare the lifestyle of fertile and
infertile couples in Kermanshah during 2013. MATERIALS AND METHODS: This research
is a descriptive cross sectional study that was done on 216 fertile and infertile
couples attending Infertility Center and six medical centers that were selected
through the convenience sampling. Data were collected using a researcher-made
questionnaire containing demographic and fertility-related information and also
lifestyle items on nutrition, physical activity, perceived social support,
responsibility for health, and inappropriate health behaviors. Descriptive
statistics, logistic regression analysis, independent t, chi-square and
Generalized Estimating equation were performed to analyze the data. RESULTS:
Fertile and infertile women (86.1% and 73. 1% respectively, p= 0. 03) as well as
fertile and infertile men were significantly different in terms of physical
activity (87% and 96.3% p<0.001, respectively) and perceived social support
(p<0.001). Moreover, there was a significant difference between fertile and
infertile women in nutrition (p<0.001). Similar differences were observed in
responsibility for health and inappropriate health behaviors between fertile and
infertile men. However, all of the dimensions of lifestyle, except nutrition,
were significantly different between fertile and infertile couples. CONCLUSION:
As lifestyle plays a crucial role in reproductive health, the inappropriate
lifestyle of infertile couples has to be modified through effective measures such
as awareness promotion, behavioral changes, and development of a healthy
environment.
PMID- 26568760
TI - The relationship between some endometrial secretion cytokines and in vitro
fertilization.
AB - BACKGROUND: Endometrial secretion analysis is a non-invasive and promising method
in evaluation of endometrial receptivity. OBJECTIVE: The aim of the present study
was to assess the relationship between the success rate of IVF procedures and
some endometrial secretion cytokines, including interleukin-1beta (IL-1beta),
tumor necrosis factor (TNF-alpha), interferon gamma-induced protein 10 (IP-10),
and monocyte chemoattractant protein (MCP). MATERIALS AND METHODS: In a
prospective cohort study, 50 women selected for IVF met the study inclusion
criteria. All the patients underwent endometrial secretion aspiration prior to
embryo transfer. The level of IL-1beta, TNF-alpha, IP-10 and MCP were analyzed by
enzyme-linked immunosorbent assay method using special standard kits. To detect
successful implantation and pregnancy patients underwent serum human chorionic
gonadotropin measurements and ultrasound evaluation. RESULTS: Five samples were
excluded. Nine women (20%) had successful clinical pregnancies, which resulted in
live birth. Other 36 women (80%) were classified as failed pregnancy. Comparison
of cytokine levels showed lower concentrations of TNF-alpha, IP-10, and MCP in
the group with successful clinical pregnancy compared to the group with failed
pregnancy (p=0.007, 0.005 and 0.001, respectively). However, no significant
difference was revealed in IL-1beta levels between two groups (p=0.614).
CONCLUSION: The current study suggested that lower concentrations of TNF-alpha,
IP-10, and MCP in endometrial secretions might be associated with improved
endometrial receptivity and IVF outcome. Regarding IL-1beta, no statistically
significant differences were seen between the groups with and without successful
pregnancy.
PMID- 26568761
TI - Multiplex PCR based screening for micro/partial deletions in the AZF region of Y
chromosome in severe oligozoospermic and azoospermic infertile men in Iran.
AB - BACKGROUND: Infertility is a health problem which affects about 10-20% of married
couples. Male factor infertility is involved approximately 50% of infertile
couples. Most of male infertility is regarding to deletions in the male-specific
region of the Y chromosome. OBJECTIVE: In this study, the occurrence of deletions
in the AZF region and association between infertility and paternal age were
investigated in Iranian men population. MATERIALS AND METHODS: To assess the
occurrence of Y chromosomal microdeletions and partial deletions of the AZF
region, 100 infertile men and 100 controls with normal spermatogenesis were
analyzed. AZFa, AZFb, AZFc and partial deletions within the AZFc region were
analyzed using multiplex PCR method. Finally, the association between paternal
age and male infertility was evaluated. RESULTS: No AZFa, AZFb or AZFc deletions
were found in the control group. Seven infertile men had deletions as the
following: one AZFb, five AZFc, and one AZFab. Partial deletions of AZFc (gr/gr)
in 9 of the 100 infertile men (9/100, 9%) and 1 partial AZFc deletions (gr/gr) in
the control group (1/100, 1%) were observed. In addition, five b2/b3 deletions in
five azoospermic subjects (5/100, 5%) and 2 partial AZFc deletions (b2/b3) in the
control group (2/100, 2%) were identified. Moreover, the risk of male infertility
was influenced by the paternal age. CONCLUSION: The results of this study
suggested that the frequency of Y chromosome AZF microdeletions increased in
subjects with severe spermatogenic failure and gr/gr deletion associated with
spermatogenic failure.
PMID- 26568762
TI - Outcome of isolated fetal choroid plexus cyst detected in prenatal sonography
among infertile patients referred to Royan Institute: A 3-year study.
AB - BACKGROUND: Several studies have assessed the correlation of fetal choroid plexus
cyst (CPC) and the risk of congenital anomalies, but few ones have discussed
isolated CPC (with no other abnormal sonographic finding). OBJECTIVE: The aim of
this study was to determine the outcome of isolated fetal choroid plexus cyst and
to specify its clinical significance. MATERIALS AND METHODS: This cross sectional
study was carried out at Royan Institute in Tehran, Iran, between April 2009 and
December 2012. All prenatal sonographies in this period of time were assessed
using a computerized database and fetuses who had isolated CPC were recruited in
the study. Sonography reports, mother serum screening test results, fetal
echocardiography and amniocentesis were evaluated until birth. A follow-up phone
call was made to all individuals to learn about the neonatal outcomes. RESULTS:
Overall, 6240 prenatal sonographies were performed in this setting during this
period. Isolated CPC was detected in 64 fetuses. The results of double test
(N=30), triple test (N=5) and fetal echocardiography (N =24) were normal.
Quadruple test result showed 3 abnormal out of 29 cases that all had normal
karyotypes. Four samples were dropped out due to premature rupture of membranes
(N=3) and intrauterine fetal death (N=1). It was found that the outcomes of all
remaining fetuses (N=60) were normal and no anomaly ones were seen until birth.
CONCLUSION: Isolated CPC is a benign regressive condition with no clinical
significance.
PMID- 26568763
TI - Evaluation of CD56(dim) and CD56(bright) natural killer cells in peripheral blood
of women with IVF failures.
AB - BACKGROUND: Infertility is an increasing medical and social problem. In vitro
fertilization (IVF) has become a common and accessible treatment for a wide
variety of indications that have variable outcomes. Natural killer (NK) cells
have been identified as relevant immunological factors involved in reproductive
success or failure. OBJECTIVE: The aim of this study was to compare the
percentage of peripheral blood CD56(+) (CD56(dim) and CD56(bright)) cells and the
level of NK cell in patients with IVF failure with those of successful IVF
control women. MATERIALS AND METHODS: We assessed the level of CD56(dim) CD16(+)
and CD56(bright) CD16(-) cells in 50 women under IVF treatment and compared
between successful IVF and IVF failure with the flowcytometry technique. RESULTS:
Of studied women, 68% did not response to IVF therapy and 32% had successful IVF,
the level of CD56(dim) CD16(+) cells in women with IVF failure was significantly
higher than successful IVF (p<0.0001) but the level of CD56(bright) CD16(-) cells
was not significantly different between women with IVF failure and successful IVF
(p=0.28). CONCLUSION: The results of present study demonstrated that the level of
NK cells as a risk factor is associated with pregnancy loss in women with IVF
failure. However, number of sample in this study is low and further studies with
more sample size are needed to be done. We suggest considering treatment option
for women undergoing repeated IVF failure with increased percentage of CD56(dim)
cells and the level of peripheral blood NK cell.
PMID- 26568764
TI - Peanut sensitization pattern in Norwegian children and adults with specific IgE
to peanut show age related differences.
AB - BACKGROUND: Peanuts contain potent food allergens and the prevalence of allergy
is reported to increase, especially in children. Since peanut sensitization may
differ between different geographical regions, we wanted to investigate the
sensitization pattern to the individual peanut allergens in a Norwegian
population. METHODS: Cases reported to the Norwegian Food Allergy Register with
sera positive to peanut extract were analyzed for specific IgE (sIgE) to the
recombinant peanut allergens Ara h 1, Ara h 2, Ara h 3, Ara h 8 and Ara h 9 and
to birch pollen extract. Serum samples negative to the above allergens were
analyzed for sIgE to Ara h 6, and sIgE to Pru p 3 in peach were analyzed in sera
positive to the cross-reactive allergen Ara h 9. RESULTS: Highest frequency of
sIgE to Ara h 2, often co-sensitized to Ara h 1 and 3, were found in the small
children up to 6 years of age. From the age of 6 years, sensitization to Ara h 8
was predominant. The sIgE levels to the storage proteins Ara h 1, 2 and 3 were
strongly correlated, as was the sIgE levels to Ara h 8 and birch pollen extract.
A low sensitization rate of sIgE to Ara h 9 in young adults was observed, which
sIgE levels were very strongly correlated to Pru p 3. CONCLUSION: The
sensitization to peanut allergens in a Norwegian population shows a clear age
dependent pattern. The results add to the previously published research on the
sensitization patterns of peanut sensitized patients in different geographical
areas.
PMID- 26568765
TI - The Role of Androgen Receptor in Breast Cancer.
AB - The androgen receptor (AR) is a proven clinical target in prostate cancer. Recent
research indicates that it is an emerging hormonal target in breast cancer as
well, with potential clinical benefit in both estrogen receptor(ER) positive and
negative tumors. Compared to the ER, AR contains unique functional domains with
relevance to its altered role in human breast cancer. The majority of ER-positive
tumors express AR, and a significant percentage of ER-negative tumors might
benefit from combined targeting of AR and the ErbB2/HER2 oncogene. Signaling
downstream of AR might also affect many clinically important pathways which are
also emerging clinical targets in breast cancer. AR expression might also play a
role during tumor progression to metastatic disease. The role of AR as a new
important biomarker in breast cancer will be reviewed herein.
PMID- 26568766
TI - Proteomic Analysis of Anticancer TCMs Targeted at Mitochondria.
AB - Traditional Chinese medicine (TCM) is a rich resource of anticancer drugs.
Increasing bioactive natural compounds extracted from TCMs are known to exert
significant antitumor effects, but the action mechanisms of TCMs are far from
clear. Proteomics, a powerful platform to comprehensively profile drug-regulated
proteins, has been widely applied to the mechanistic investigation of TCMs and
the identification of drug targets. In this paper, we discuss several bioactive
TCM products including terpenoids, flavonoids, and glycosides that were
extensively investigated by proteomics to illustrate their antitumor mechanisms
in various cancers. Interestingly, many of these natural compounds isolated from
TCMs mostly exert their tumor-suppressing functions by specifically targeting
mitochondria in cancer cells. These TCM components induce the loss of
mitochondrial membrane potential, the release of cytochrome c, and the
accumulation of ROS, initiating apoptosis cascade signaling. Proteomics provides
systematic views that help to understand the molecular mechanisms of the TCM in
tumor cells; it bears the inherent limitations in uncovering the drug-protein
interactions, however. Subcellular fractionation may be coupled with proteomics
to capture and identify target proteins in mitochondria-enriched lysates.
Furthermore, translating mRNA analysis, a new technology profiling the drug
regulated genes in translatome level, may be integrated into the systematic
investigation, revealing global information valuable for understanding the action
mechanism of TCMs.
PMID- 26568767
TI - Acupuncture-Point Stimulation for Postoperative Pain Control: A Systematic Review
and Meta-Analysis of Randomized Controlled Trials.
AB - The purpose of this study was to evaluate the effectiveness of Acupuncture-point
stimulation (APS) in postoperative pain control compared with sham/placebo
acupuncture or standard treatments (usual care or no treatment). Only randomized
controlled trials (RCTs) were included. Meta-analysis results indicated that APS
interventions improved VAS scores significantly and also reduced total morphine
consumption. No serious APS-related adverse effects (AEs) were reported. There is
Level I evidence for the effectiveness of body points plaster therapy and Level
II evidence for body points electroacupuncture (EA), body points acupressure,
body points APS for abdominal surgery patients, auricular points seed embedding,
manual auricular acupuncture, and auricular EA. We obtained Level III evidence
for body points APS in patients who underwent cardiac surgery and cesarean
section and for auricular-point stimulation in patients who underwent abdominal
surgery. There is insufficient evidence to conclude that APS is an effective
postoperative pain therapy in surgical patients, although the evidence does
support the conclusion that APS can reduce analgesic requirements without AEs.
The best level of evidence was not adequate in most subgroups. Some limitations
of this study may have affected the results, possibly leading to an
overestimation of APS effects.